From 4ae69c54f3fc024dddab4f483d771badee11a2fe Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Tue, 28 Feb 2023 11:17:29 -0500 Subject: [PATCH] New project --- cpld/GR8RAM.qsf | 2 +- cpld/GR8RAM_assignment_defaults.qdf | 806 ++ cpld/db/GR8RAM.(0).cnf.cdb | Bin 26483 -> 0 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4873 -> 0 bytes cpld/db/GR8RAM.asm.qmsg | 6 - cpld/db/GR8RAM.asm.rdb | Bin 1383 -> 0 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3236 -> 0 bytes cpld/db/GR8RAM.cbx.xml | 5 - cpld/db/GR8RAM.cmp.cdb | Bin 62685 -> 0 bytes cpld/db/GR8RAM.cmp.hdb | Bin 21220 -> 0 bytes cpld/db/GR8RAM.cmp.idb | Bin 18494 -> 0 bytes cpld/db/GR8RAM.cmp.kpt | Bin 222 -> 0 bytes cpld/db/GR8RAM.cmp.logdb | 1 - cpld/db/GR8RAM.cmp.rdb | Bin 16242 -> 0 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 108783 -> 0 bytes cpld/db/GR8RAM.fit.qmsg | 38 - cpld/db/GR8RAM.hier_info | 220 - cpld/db/GR8RAM.hif | Bin 438 -> 0 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 0 bytes cpld/db/GR8RAM.lpc.html | 18 - cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 0 bytes cpld/db/GR8RAM.lpc.txt | 5 - cpld/db/GR8RAM.map.cdb | Bin 22538 -> 0 bytes cpld/db/GR8RAM.map.hdb | Bin 19896 -> 0 bytes cpld/db/GR8RAM.map.logdb | 1 - cpld/db/GR8RAM.map.qmsg | 19 - cpld/db/GR8RAM.map.rdb | Bin 1245 -> 0 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 16618 -> 0 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 0 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 337 -> 0 bytes cpld/db/GR8RAM.routing.rdb | Bin 1446 -> 0 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 16542 -> 0 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 30369 -> 0 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 0 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 23228 -> 0 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 18290 -> 0 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 226 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 0 bytes cpld/db/GR8RAM.smart_action.txt | 1 - cpld/db/GR8RAM.smp_dump.txt | 9 - cpld/db/GR8RAM.sta.qmsg | 20 - cpld/db/GR8RAM.sta.rdb | Bin 15877 -> 0 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 58245 -> 0 bytes cpld/db/GR8RAM.syn_hier_info | 0 cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 0 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 1004 -> 0 bytes .../compiled_partitions/GR8RAM.db_info | 6 +- cpld/output_files/GR8RAM.cdf | 6 +- cpld/output_files/GR8RAM.svf | 11651 ++++++++++++++++ cpld2/GR8RAM.qpf | 31 + cpld2/GR8RAM.qsf | 61 + cpld2/GR8RAM.qws | Bin 0 -> 48 bytes cpld2/GR8RAM.sdc | 3 + cpld2/GR8RAM.v | 568 + cpld2/db/GR8RAM.db_info | 3 + cpld2/db/GR8RAM.sld_design_entry.sci | Bin 0 -> 226 bytes 56 files changed, 13130 insertions(+), 350 deletions(-) create mode 100644 cpld/GR8RAM_assignment_defaults.qdf delete mode 100755 cpld/db/GR8RAM.(0).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(0).cnf.hdb delete mode 100755 cpld/db/GR8RAM.asm.qmsg delete mode 100755 cpld/db/GR8RAM.asm.rdb delete mode 100755 cpld/db/GR8RAM.asm_labs.ddb delete mode 100755 cpld/db/GR8RAM.cbx.xml delete mode 100755 cpld/db/GR8RAM.cmp.cdb delete mode 100755 cpld/db/GR8RAM.cmp.hdb delete mode 100755 cpld/db/GR8RAM.cmp.idb delete mode 100755 cpld/db/GR8RAM.cmp.kpt delete mode 100755 cpld/db/GR8RAM.cmp.logdb delete mode 100755 cpld/db/GR8RAM.cmp.rdb delete mode 100755 cpld/db/GR8RAM.cmp0.ddb delete mode 100755 cpld/db/GR8RAM.fit.qmsg delete mode 100755 cpld/db/GR8RAM.hier_info delete mode 100755 cpld/db/GR8RAM.hif delete mode 100755 cpld/db/GR8RAM.ipinfo delete mode 100755 cpld/db/GR8RAM.lpc.html delete mode 100755 cpld/db/GR8RAM.lpc.rdb delete mode 100755 cpld/db/GR8RAM.lpc.txt delete mode 100755 cpld/db/GR8RAM.map.cdb delete mode 100755 cpld/db/GR8RAM.map.hdb delete mode 100755 cpld/db/GR8RAM.map.logdb delete mode 100755 cpld/db/GR8RAM.map.qmsg delete mode 100755 cpld/db/GR8RAM.map.rdb delete mode 100755 cpld/db/GR8RAM.pre_map.hdb delete mode 100755 cpld/db/GR8RAM.pti_db_list.ddb delete mode 100755 cpld/db/GR8RAM.root_partition.map.reg_db.cdb delete mode 100755 cpld/db/GR8RAM.routing.rdb delete mode 100755 cpld/db/GR8RAM.rtlv.hdb delete mode 100755 cpld/db/GR8RAM.rtlv_sg.cdb delete mode 100755 cpld/db/GR8RAM.rtlv_sg_swap.cdb delete mode 100755 cpld/db/GR8RAM.sgdiff.cdb delete mode 100755 cpld/db/GR8RAM.sgdiff.hdb mode change 100755 => 100644 cpld/db/GR8RAM.sld_design_entry.sci delete mode 100755 cpld/db/GR8RAM.sld_design_entry_dsc.sci delete mode 100755 cpld/db/GR8RAM.smart_action.txt delete mode 100755 cpld/db/GR8RAM.smp_dump.txt delete mode 100755 cpld/db/GR8RAM.sta.qmsg delete mode 100755 cpld/db/GR8RAM.sta.rdb delete mode 100755 cpld/db/GR8RAM.sta_cmp.5_slow.tdb delete mode 100755 cpld/db/GR8RAM.syn_hier_info delete mode 100755 cpld/db/GR8RAM.tis_db_list.ddb delete mode 100755 cpld/db/GR8RAM.vpr.ammdb mode change 100755 => 100644 cpld/incremental_db/compiled_partitions/GR8RAM.db_info create mode 100644 cpld/output_files/GR8RAM.svf create mode 100644 cpld2/GR8RAM.qpf create mode 100644 cpld2/GR8RAM.qsf create mode 100644 cpld2/GR8RAM.qws create mode 100644 cpld2/GR8RAM.sdc create mode 100644 cpld2/GR8RAM.v create mode 100644 cpld2/db/GR8RAM.db_info create mode 100644 cpld2/db/GR8RAM.sld_design_entry.sci diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 189a496..a55b9a9 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -41,7 +41,7 @@ set_global_assignment -name DEVICE EPM240T100C5 set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:41:40 MARCH 15, 2021" -set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 diff --git a/cpld/GR8RAM_assignment_defaults.qdf b/cpld/GR8RAM_assignment_defaults.qdf new file mode 100644 index 0000000..31a43a3 --- /dev/null +++ b/cpld/GR8RAM_assignment_defaults.qdf @@ -0,0 +1,806 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +# Date created = 11:10:33 February 28, 2023 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL -value OFF +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY -value "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb deleted file mode 100755 index ae22efcb4d9139c19bcd36d72aa5a3d42c5fbaf5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 26483 zcmYJZ1yoe;^9L-VARtl_QVUW7(p|escXy+NbT{k@h=_E9lyrCJ5>nDF9ZToZ3oN@Y z-{1c|?|be!cb@r7Jaf;TbM7;DhUm$YClz=Yk9YUSOY)KGTDiH~Iy=$v@Nsf;^3X|o z+B#U$@$&J~aSL+s@N)5T^YGDWS-E-Hezu}hxA<&N$3v&3NT+A@iB87S*5lEVJLBo2 znVt8ViQUd5lY~BvtSx)nuQM;e{ft!F`%Qdx>l7wm*9%PH zyx>pf6j(AAScD_z*UnzECYU=<-c^dQr*?m>PL+91{#t`4$Ns}hx-s-kMdkF3$I5}n z+DrK5?EPigffmbr$;9l2)4ytqUNaABamuJlEg(es6tksTafyREifr%HnyB`}ZT7a= zxqT95Ck{GMaJuG3wXO063zNZn-B_>9kQ<}U&oV*JvRfIej9MIYLP6gsrs+%}Q{h3h zi}aU58@RRSN%)=r+uf-Q{ekVM9Ab)8 zDHop8$Y4A(jZ5I@z5a7sHs(yqf#7mj59p(N$({lX(7hZcSavq_%YdH8+LnY|jonLi zkmqxy2_7+Az5fK>j_>vQ)j9CPZ`VNMl$ZU$#73rLsl519i2Y#YaXH{I^YXP_O`mHd z4P~h;(5n8;ZQ_RYmR5gyoZ1_gN8rzjcBiTLAg?&>RP33+`|7Ey9Pr%A~h%Sei zIormzW%H4REJ!<`B~kdxtd#Bv=@vnO+=Gt4P91A9K?Ci%fk(1V5Jx34s}l? zRtl^Y+AYcc_>X`0nN6${w&Mzf|8z5^5fzl)eE5xLJ6Mc$_P684j7%X6KiopQlnfw+ zJ@fbwi82SQA@4QEt(A>L%Xl33%lqpK>L{lRO#=wuA6|!>xbH27=$rukPeD4r>3#an zb+Dgr<^*G&eJo4H{-is|__Z;q(4-L`@R&s39fe*U#LU%fWF_|FP(nirzjBF>F1R(P%(3!WU9++`3mG6a} z7?_w2Nwf;ZM7%>Dd<{=vm}-16ryV>7SvTYPYT}lwpjE1b?ZaM>>lRxqr*8#Lpg5Sl zmTmWJNg}cFbmB~Wpu>wO%Qj@8@ocn_qoALC2!~WN{Uv>TaKJMLCHL)B0bBOhLnHM+G=bcO3pJ#3n%Vr>OZ1MbMK%fW5&ki?uPF9Ri>2 z41sT>KO!I$KhXEIi2Ak$t~2xFSIY&TK6eEo?d1yLXUqmV8L7Zpp|bHP0H-sn1=mAZ z?G@;6SCY>4QwALI&)~)ytmX|WhYKb8su+S9la5jS%F+m*ckE|pOPRUAr@H(7C*z}= z!X-YH$nf*|e%JT2Ynuml8%x5sBeh)5(u2~oIf^#>pQen_KX4u>ECKcI&;<_tzl$1R zpqA_Qnmxd*^HtFmp5qz$et=?nVvT8kT{d9t&0>wmpQcZcH?Wj=E#&j1w5_I4YXh*o|~t={|;Hrn3sDyQ13a4se6Hq z>U3&V^Mpfb7B=$Fec=2j|OX3tdZmZ0@lo79{@>9fpx@YlF;Da$1L843T=5 z@kk2t-HT{Q{sYPXL#Jm}pK197*&}a`l+9NZduYW&s|od$at%!7->u1_7nZAkij}(P zDSZ0eFg`hxG<2A8S2AB{vZ?R9xTX=M5jO< zQhf*C8^?R2^krFb6(I5XQr7gg$bXjFAWIPiXhOc+h~yMPW0+F`8qe5~B|iJ4S`$M6 zEMBKHHO{mTH;|_UO)W6(<0|-#yl0HcCOC<|3{7*g#4fW1va=b(SP?@AH~nvm*CeH<|+_;;XLEJ zVzT_oWYMs@e&b~}INc0CGsmPmBgE=jNgn{cUrvHJA?rxHTH^O_f8oWGKEZp7#jBJU zYPjr;I}V4(t;TVg`3rvML06_0;w8D~=X!>X4|4oo71<2K+HPn_AdkxbBtW1M6`n;F z7{pQ^Ve_$V`u!L0tmns^b-!0U$?DrigRj98C&}f?`-?H$%6BRgLVmt^=|M`YB zn!#XW(0G^1QUyLBIc3>koO_l-h|YOJ4V>=kW_{8JV`7>o$dZr&eI+3HdAM-wU?$A* zl1QE-q2w+cTxx0y^w@eDdi$$XiPywdM@jE4|L{9JsNz&H*YQYE;tN%qCc$EVXS1IE9?6+bT-iI;I8QG^&(HXBlQH3Wwbz*SU zL^v|4;`T@VQOIeD6J}KxB4!D7j3&w1X6Wu0dG6z=KChA9aHPX(3dCdnD6uYKW?)=Zd2n?;mnplS;^6{h-zcI zIu(y)#h0KhygvdbJMK2Z^;=d8f*ToaGg-LiS_c@J+3T(fWPydVZPvopi!rXwdwQF+$*K=a-y=`MyGD!A;>` z%%KHWUPw<#xcHm7p4KNwAw~a}wU(x`_r^06FKyaE013)ZzjAF9HrW%dQM6OPa#^6K zwMnm$3HIa{!)@`hDoElvL&RnSJ~(JKAa?j{`2HL``E_9{g`K0 zpdXxpgWHI0318?tvUH@IU5J_KvXo(h*?Ak(%mF@pvGhP(S+|IM8aM{P>g75eyO;T_ zmteNKyq*F%rLP#`y3K63phBKs{^wB-d0zhA=l_kf?J-Wtydf?lhAAd0Z?&WUlwky- z!u@%9mU>PB25ToN)eTtLEQf=maud5q3vQ$)kVGVl0A^=>V-0;zyZ~5vkCBo`qVk-Q zg<7v5#&)C2Dwyeljas?Ncs2KscC__xjq0>p$cqzuKly||PdU<_E4+U+pCiFoVAB24-k{s`L|TL275h@#z^j$!s8h!<2qXlhivX+FZ{ye2`SwKTiDkOZj~uJZoXq$i9ajA{eKQJhizZ) zlfYXxPvb8~zdHrq(DrD8+;n6vvkBMAio+M_i5zuTO2U47e0*IY+ErePv$>Um^XJ=2 zVOaG{DPbhirwijy572TwnBi#V@TDeQjXwHbyDXWu{(TKy#>o=hF0;f|egZwvxM*BO z@UEKmWmQ|HzaYu+OUflA@wffn)Va(M0hF0m$U|pXb$$1X-VnXBmIXs0wmyNYZL=%F zKVmOkN(>sN$`v=M{xFw;7p3$=bSML`^*wzFK01#YHh4RfVdy?M6IkHu+AhdBlzFLueGg5~@(b(?ubc@!DPgdn(?D%Ss2O*xlc!j&m#Q0bG zp8qzHtvgZpV`BD-3jbd3Y~rA4Cf7S|)L3!S&+&fg3H`f_W@WO_isiSMhEY2BT_na= zvqIx-Yxl3T6nhE@i8>rbt^TvD)d9-L(ZHE{`C)*J@7Bb2jLqHc%BQ z_G6wYCvNgz{rr+r_3dUJ-xr5+LM7HjXuYB&U8G24R&R8>=`&cAot+rcC zw3UXnd%SmRtdJjhQG{NgJ0#Z{%{M=^Wj`CaVkw=*#v;_H$a}lovu}oxp-(a~*K=I?rpaQE3zI(0BIb zy5{s$`nN$3&|QI(~Oj?=i~}wb<&b<*3kl~SF5bj zQKNt5`W@|Mql^w6wFXN^{#GS|SIp;ZwSG{hCuOTTJ+AGG{D zWvHHVm)--Tca~?~DV3;x&;7%TFotqMVWi@W+q`mi?~8c`sGYiM7RM_%t^EM$&B`+! zM~koz;aKJ?kdG#qy}uH3mnxq(nJN$vIk&NGAWP5E>7MT%fa4F44xk<&rD3x*MK)X9V zmh@bR$WEs|fKdAO&N$ZC(-JPjY*3Sdz=GQSF1WO;EXw&s$QFSp@r?DCLx z@huBa3GLi<0|u}(Dm_n+IQ}$WXWKR+gHVBC-`b{-m(WyHGy3^BFd;92LAqE{15Grx%`o8aQg6JP3ZZO@f^A;$4p$))#?xp-M$K#0v+@37g0gYpcboW zgy1#-+Na?im4bRWPS zgc^Rx7&>EF>%4%)Tm`p7%5{A={&wnzj1Q>oQ-V<3F(CCd{|i;EmYpUQSCFeyB|s32 z-u9(`*fBc`+^)pmc`y^r+X+*dgfw7_tsxXrbTeD}@AK^x9X{uJ0I?-NVp>cQkbS!98oK zU3(sSF>f~eYnoi}_AX(U&$$>OB!y0fW$$u3zd!@%TOuXugKnU!j;xn_PJHBtdv)mj zo49g`B=XAg%_whl>@LSy@A#p=i%=?RKqk;>Wg6`X(z8i7Mk~hS0>R?#&PaV~(Z!x5 zop#iwO(qgW-q-WC&js*s=%;HVqk|h7RR!J6MnDn29DaAkpw@nz?cA0a&x{LoqQJ}W zy9>`54i6+OY8kC^cL4_Yi@NJT)=mO92ViY(8-LkMA!ippw^{b3GVc7~=;V{Td=uAS z=Pi5a0fp0te6;ZuXw9StZ5%TqgFeKj3_-ciqV0E^(J>h?lo{W^!?-8@gLh>=`at9a z-TR0G9x?RZBO^EJiUzqw-=nKVP9Ur7X~VGp$q6!HD3IkNY~j9)CP+dtRIL3Y&yJWk z3t0Mf76$7tgd!>9JC}w72hyqz!~~fbm$4`?*7W=^+f+taG4*s^5FLi=iwhXQO>8Z} zh_&HOa$;2?hzpbv;YIfj1ERTw(f%#jB?<4#Sy++(qXNK3lck5*dUi)0TG`t{4i9w|0)1oxztpZ%LDxw z_$XSvAJ)@!8lHnrf17RAdQa4Ki=wE%lPT!A-?bt_kM}^GFG0wbFifDIU%wbJC)hz+2;e-?MGm}f$a&;y>S%yK3xG!7Y?j+i#^fJU`Klj-eI>Tt% zgc@8@AxE9p{Ut`7yE>NJWKqkz(#$w5fSKDK{ggWl>Jue@6j@W31SCh-uMmtVaM1!C zky&Zl+~->PjpE9^GNO9<6X4S{rh4;Z6L|ku8J;*~$e0^E>X&cMXo2(VwZ1^8IW5O~i&h;p{7IxB(-p z?w(Fy+3)k%66u?K+n-U=w4Q;tgM~P4Urkbi@*uB(F(9WkUv%Q3(M4~lEAW%V4s5s6 z$z%hzn~U)0zr^chDQt>?4t&fA$vz~PWY?A;8mD!FF^a#e45&|gfvyhHOq+qojYqUI zMgU3eSQ8L$D*nG;DUIAd!t9VrxwnGiMcSUh83202z>x$!&{cSZcjSA<(V>H1FopQw%A<;6~YO z#RAz~L*g!|3hv^3G+@rzzZ*TJg{~e#12gMroJ3ky&pp|1OqsgBl37#F%bZX`jB4a* zSQ;&yzzQMT^`=Nq4b~j7U8?T~r>`eAhu)Zdk7oTEXbWFe<_2FUTjyo<7IEI-7eFAy zKCBOQB+py7NIWZVJ)ovLF(zFNpi!YMpIYsiQ$Df)g1eoXV{r*eFyAlN>^*j{yHlTn z+5!*K$KWf`bHl(|UVQ8>37yVxcOGE?IZJbPG4>mwJXjYx#o-uhJ3Gd5YOB-lA^!8B|MO4O#5yT(2wjXV=J$4x93{K!qR zTJ%YsmAYfO)lv1=k5Ie+h%ws4L>xpqDR9&htd<)~6yss$P?c;xm z$1POR;V@sa#_&3OA$p3QZb~NKZwN&AgMup z6%YPUY6AJ4Z+i4aYa}Dzmy>bD)V|aTOtD&D@9vHdSBpUD9irW{?w#s(v*P(_zK=*x z&44eANd>**bhSiPKgpt#2OFyuwtpQbSX@xMzB#yaZ98Q-$hfo3OA8>s`id%%LDZNW zqd=5)(q>HMbQARL?o-z!V2H7dE?Fc+Uqw^EM=QIifA|wzDoCfT*mG&-{(_DIy9=>0 z!QJ{qZW#Z}!ef!+=(Lp`VMldaEeMeexrPaf#=IA=KhR`id7QS5n_Uczb+qCK+BmG78QNQf9aFQsRZ>9j_5 z$kFa1L$7SnIP>1)K>=kP((AIg!C{9Tc09jXEx0-61y+vO`hZL$YXGcBQqQ2W9F#gZ zl9MP_2C#brH>@JJ^DR?xD?>-R!R>NTt#p zOd8ajuP`Zp zV94z+7B+5Qr;9%^cV80=(VzElmO=e5rmvZTst*55h!7ULEA@foByxD@5Gi8*kDsq{ zg>An8=M)K7tj>+tqJVbggKl(*KQAE#4q|}V6idyDV)+m=GO?G#{=iR`!r<}H=p)fv z;G6c7;E&hBbVE!j?kfQcpMxrCe6-jGlhf>FALgGBFNMXzF7N4{Kyic@>#4Fz<8Uy7 zG1#N*Duo}cxH5pAoV>_Zf!umXL2k*1KD_OO-(`?*%&c5a)pksTxhn-8`9UJlLM_(< zZOvg}%Rny^rsXy{+H1?SGoHY9)T*;?_U26-9>60Y((?Xnw;T&{@^5{-F5~q3-L!0N z=;p@pIV_*dn6Er?q9F#dN_2${je!7{%}+P6{MU5qni9F+fS6mHQf!~0N@_;gl}V?( zF2T*R2xEEk6lbpWE>&GlKP3Uk9l{s){{79kpHY?|~m<%W%EcndL zxhl+GLcmJrvE$XZtE|=rFWTR{f|`8O+TA`my1a4Y^1gfD#b?D<{^8_IVmXG&_(>YD zyI1mcQxD8JB{F**q~g&Tc#r5lypWtsk5s&uu8hI1kYUekU(*kYy4B8LGd^fH?q#|G z`~18J8Gytk*w-WE*k?Zl06UgRD7QLm`_~wwte&3v=~A$1FaE&3A+hCp6|?pSO*c6K zft2^cAkw?cy%$0hEi#;_GnZ4F6M^kZ->AGZBmrrL3gefN+C*r~cxVqK74B)Fi$Da( zsh|}lRn(lF6Hv?8L;L7EtOo$Ia9bw)0=YJ=1V}6Y)^SwzE#Z9mXWxoW%JgpiFQCeG z^esAjC&e+(k+ji=dKZzdep$nN>jg{L6e@)Q+2~Hu1Oj^?=8F4W#eFH7M&H28e7U(* zZ{bX9K3d-Bj`s%#ONZsZP)V{fCg>WFuNG?&^aO<>K2UmR}d2PFePH?VK))| znE^d5vwIwh)??rT5P%%konc0Q(Zz#kl{YA}aX4B56GAnfj(`Gt(LFCAZCJrEQT(-4 zK1P2EqB=VE(1x=Zb@C zDuf@8zJwcq=q?&_=~*xyi+~S#;*6vM-&1^!7%0Via5K%g5UZ79vTdD=fg>Qq=(Xcl ze{{9;XB5xFmF~iKu^;2}c`8{T7$&g!-G=i$#pCh$nkN#v>uz+mi#IXIk7h`Dz%a*? zv0)%{3Gy)gd+nUK%G=YKGH3;&XSq?0zt4NuJLicAJM=pPJpa{P6=A%ix4!rJ-gEtw z5S9>V;LJ6@&pZ!X@)R=5Xn29^7D|BDKC#7jJHGoR)aOX8`jAINlT7c_a)LEKz;PDf zo2ZmIKV6vzVM2HXDc#QP3-p8yR6V}|OF2&rdVc$60s%Z+$Zg2E_#6;|dcuU}-QoDb z{t(=vA7QJEoUH540Zwcp-?_NO*^$IXW_W}duDxHx0T;U*)Y(29re|k}f8nSmFGQft$2J$tUFNv{feMqD<+(G9k>Pzc|A&+V3ii0;tD3_fV#2`q^lhoNz>))sJS|{j z7Bm7DVm!x-AX%##c+Qz3(i*VDB_ILs)rHsd+~g4nSK=(* zF(Ot~1iP!C#xd-*t@^1dal?0zAI6n6?LD(vN&WQbPsgoMbzwLRP#?HXsunViChr79$3$qCEWZ{4v7bs`L;m6Bm z<~xdjtCHBSP_gp`F*vLJgw~53e8rJk+fG*MxwJVJcjUs&N+%;_ppKQ1+S8lEq4a%f zK(#ku(*CeN2OhYw+xg7=@Pp4%N?P^&T+lE{i}iHK(`?PW)8diVvAg7^`8iMVi(4C! z6pysOJAsEFnWzRy+fx@g8p8hQ0kk!Ek>s{V??v#{vjx2x30 zjJ0bc+$HctjMyXIhK>8ki_zx#Sth{w_`3+0Vo;N}BUYlRHpLfiO_9~T)TjnFJ~Jxt zPzSZML6|t@^0#r=+iW=v@@=*(n^bR2V0nUp9`!4yo`E^im>kaYIJ8ZwntOmg3pK`n z7*1J9L;&hH?}c?V!38RAT@Y>{WwONp8s|RE5BNcnJ}_UpitG;vOm!IBSZYV=hY2iOH+<}3?E>pKN{k(|2*8yFtRHARxeYu+V(I%5i0Y8naKo_h5 z9jINLB72|=3oHaUQpB2#CR1GsXjQ%Xmo!!c&ly81tl6cjb(@{S_ouN6*86vT}L|%m=#%6Q3?xhF(QoTx@?Aj+!zmF2kca7bNcZ@B-G^WxGu(rY^%*N;A&Mi>E$)aw=0jW^8fFD=nd-Av3kdI0CZB~qA0%kLRXIt&O?^d`vYKBzYR09r(9g89 zP`2Wku~GMG3xy|cb^;G4s=P19FOFfYpJmrRg{gaXtTTIyZ7hjzZ-Y$(7O)4r;X=q> zPp5hBLBX~$^;?!#phzrD*~1|h8oA{pKVs{=Nh(j2^X644E^XIFD{Wep>UudS2r3w~ zCdb{+12b@Y6LrEt5g2TbngPLm?R&66RDgrXar&OosIXG5^P1DSowMSQWF6Ud2M(6AK?(!m#*v01;?@U^sq=Z$M>D ztfObYjTY?jfa0`1odMAIe$pfii5P>h2bkBV_uX>o6rv074y_nnZ1iLDk=>bOPIuLU z_24^;qVZkr!t7tb?@&X;oSFpCYf=p#bX>G&(5SGWW>)2Xw@(2rw_1z*_bK}P+7P6& zagVF_xqHNb6k>lHPk>~XH+X@JiwhCjC~{f+cCBTrn11lSx z(p$8anYlik{4`xtB7HrQ{8DUg&djs@*BuS)eS4MW7{aC#mi3ZXQ$hlG_?I5BwikrP z+n6}*TzAQf>_L7&>Ms)mF2!8Nv=;7G)O5zK+Klj01jWp-*XDva3(eU*j%29ReZRt{ z+bq{XWEot~(}4k2sbK5}(o()aV4hp$S_KjC;T4ig3^}b+c_YUCH}EJlH^=cz3dixg z5nA!=4Pv04L_3#W_Ic6I(oFrx< z+ht1@U+Rbglid5gg^u}P@Ww=O6o$P>H+%^BP?0a{e+&;)z?nf z*P%jZLjGDn>(hGpDC`0UngAL#T|4Qrl{=^;K+G;m)1`In`xKY{#)B0(r{uUG>UPY> zhR@PC0kJpFI3*HWFZZ zVy?qp&Q2D%+HFSP=;10>8CP6%n86{D9BLfADut~@(d4huolMr+j;GM8>w^u29aaYT zR_)UuG5_kE?kyH~8Wa(Ec)&{&$e#=OEIC!;8Yj@+hsP~Qjv4K6Uxj^`y}=~>UBzp! zH%Cl}&pjJvTcP}LoqDPXAmCEqR}@ekCvyF5_?&0Q!edJBm6siQFo<)z_7ymr-^cc4 zw&OO5aC(R*j+0qIr-Vz&`@8GcBHm}}kz&B#mV-s%onaA~&K0NfGYL#T_m<=aIy{!8 zu<;ZkIwQ_I7xGqw{GavqkFu07AkRbgQl=R(UiWr!owN=K`Fb$7rqpBoifz+v+#`kY=r`-?Hx!|r4y);U9{ zYKUQNzsy~O8-b--#QTva^Uj5~nJhmu|MiGci^~M${;eO=q^W;pGTSs}bvxH$=70Ew zW9gshl6TV>Zo}BwCyP${0noeX2=A!(<)I^>Nd1iq$Gd#FYyU{*r5B-O@rOPcAc0~t z-LthtEVn>$k^0dMswT%|M#tWS#eretMQdl8md1^f7Y9t3*G|Wd?n~ye20%e4dxbwO zGpUe~8s$dzlyk8spy`0p1hx&zye*D$YR}pC_r-$8u3X7PCHh)p0(if#@JDNtwt(D% z!xp2Xx%gq>s47s+=+6X~X+N7{U(}TQt?Y=JRb7yZCiB<7pZr>! zZ{_Xiyr32A1%m|E_g=NYba^QCpW9ioA9aZw{h;fsuPgY+d3-SXZHMnP8q+!A-Opb; zUDLWl((7sQyG$HkER3G7f}#p?eP(m+!&QH<9~fP0wuk#&n9hv9_$Vg$AUH*aQhlRMRz6%UVqlqV%O(OxF)@XM(fk{ z{x0GaNQxa#t}5%j;F?#)v)$4ghsidQ?ThHsv|1<>^)G@QrnxH_A3)`^P(-2f0=$V*X>R2%IQ|Aj`ure*AesBCvakB2>jme-9q;OPohF) zg6q#ZAL+N2ciwU|G4Xs~1Y3tnCS5aN?Ht3lAmz$-X@Nf$#nr;4pI7X~U!E+U6yQl` zTuc>=DQPnQ6WwR3GgX$%rBQ$Ik2ZAGRu`cW7^9R8Y)OdnznJkJi~I~CW{R?qTYRB! zPja81=k4HqsV0-*etDDG+VsWal0LlEL-n1Cj+fLAR7ZC>mfR2xKYoC4JX(}3nSOppor8!g){J?d zAYS|E(?@*G?*ZOH(@G05ij?o^9zG^2r%69!0=+9PQNNB!u#^l(a5u8bN=-hG^W!qD_~oMyumHn?X+o&rL&QLOeL*m9cev z$Nlmg%`fK?2G8tP8-W0S!8iDkeC6`hpWgO*)n&`+79T30dcS2P8DrkY@Sf!D`tI+9 zWKB7~7TPu%1kqO@o4W|@;~jB6?IOZCUcRiEJS1bQGV~u=6kw^GUmrA(Z{{{pvCH<$ zO<1BV2`g;Ttt~mP3P!?4=?mK|8;0Mt#UesCr7JoAnKQHO1*#fcDE%`p8uP}rpY3cp zm7cqc?A{>uNVzUK?Cnt+xZz^q8IvmbqsrWWl`)7_`hKWfLvfWD)-ssJbelrFjjagy z09Kv4d{0hSWP2>1&ONU^%lp}NfcJCwvwX)ZP#xb*@IoAfhu(SrT}z>A=BQ4i!^m^P z7B2Buf*D@TWJGh`v_%v&J4eyc8hG|NOBC$;F~cT>+#yvhPii>Ax%i$LKw#QNF=90^(e16!@~#${fJEdcS5AQ?#A^=;*> zU7Fj}%N*6~P$W*8zM_DWsN6jPlZs(fA5BUoxgxqAlAb}p>d|iF@V=6h!ArNojPo*l z-aAE^eIT{^F`b-#QIMw>!Ww%nsFeLv_|KUCgu5eu6P^8Lwur|5cbxbTBF zd{(t3b`7>A^F%fUCt+xa*s9pI+tjK}kE<}%dBro5+a5DG4l1`56Sej2^%39J(u*Ui zuqA&LRi_ZB!6swtheQXf=aUP)p_*6bluGQECSQ|qewe*7Oj}e686PRyKA<|D*bFVe z%4-{N8KekQs!8qN)9RyzxnX}-v#mE(tDmvH%!^NM{J4cT^;4x-$&%a9MPDoSK19?2 z&{J0-l78SK5+ZoFxNe01mc~DeiRl4J9A!|n^_Buy{?}JkKeB8Ca zFC{)d1KH2Kpy_+n<3rOleWe%TT&+(opaS~l1JMssU$j&1BF9zEEZ}H2+<`_alix$H zc*ukrv-X-f9$p+v3Lp2-f<8I?{BcwUUtjKZIB6G#328O4JLBK4EG}ueQSFYQ6Vt_= zsmfjq!e4CI=*Sn5zm&9ik&E)!a$a{=v`w0_Yb2fHOLVr*ca;~uXDomPQvIs2_m(x9I>wY`UZbm2mFvVtbR;0IZuJWu9EO#z2*DLqdBVm#HeXPVP5pp=XpR{YF*7O!M0q2>%FYO?BeY9#{t^6 zF-r*TJ(X2}xDDDCTW%4HUz+*(7N( zt=&5xwm1Fng_t2KS_B87dew8(9k23Zt1px_+@8+b;XdiDN~nAd(n`%xtd6dh?7A!7 zJ4>R`HL!gGt)98h;OBntMqO?FpuiGruJqBRSK**LgV%r{izU#9A#IqWLqII=7#^I7 zU&+-lpmqO7Cxo53XAD~e*W9WA^mRQEJ7tC>YWQBFB)hh>Lxru~WH9Upbs@ z^Lf@aty_`5RY`0@q_7({NVS`3W{;e26%OSlr=$A2@TX$yu~&;qf7VGQ#bs|H??4K- zd`TdsTZTla&MoI(XfaYqGjtp?GY84N-7ds$+~9wubL&als|vJxF!Jm+FV8d^CMSaCeZ?MM+%=s9jT z5LoS|!_^DvsjmI1;SU(f*+pl+B0HvjXD2eKPGb@J!P7*RZ~E(UEXzRna6ZHYuXV?y z;5iIWGluy4WK2Qu)uME%g^Hp@3fWH&@sz$$ji`q%-bE>H*_iG-0*M_2be70x&f=? zPB47ORyRG@(As$R5hv`ZzM(?8_Zw!P*5swu>PEj~yS9q3UxxK884d}yZFF=V_3%Vl zL8{0PTyD0yeCZaI0hiMT&FODCM0q&yR+xy?IOyOj=B}K+1%{1LUl*@A6H(WTn(U;; zXCFk-Xv4e0Yik-`Z;`1C0hQ>t#iAvPlW%5Swv%~N1pDblGabxg;a66*7WO6Bnx=o$ zLS;tn)LjOi%8IU5*U^2#S6o;ea|YPz-m|KAlIqxri>**U3=D4^ztpSr8IXW z(frG;++g0!)PMQQP=aQ4J`*};G_(WOlr>eBgj)Ge$7jNB%IU6BJ4pY_vBhPU`Rf5XFw#Ceq`;J z)|z@vFO<5Sopi<>v_z!)Px@g)Dn45@!aYlaJ8)3rbB~1snYi?;bnBy@Hxu0yQY^jx zR@xjUSesw+D89Ig$CT5NALfW;X_I?bM~oL@+3}?k-^M8QQHOTB=zHCM z57Vk^E+rQh&U2c(|J20OXzQfyS*Od=FQlRJt1lz-)BS~P9sF3|voOS2de$}$IE~>G zrf{Z^u-`jjrxr&!n7ZEx)@t5`9X%@)nmIp|e#?rL)8c6GmHYR1tE&kX7AXZbV! z`?n1}aee|ZpE<2nMZaQO9=xJc439m28&gTY=JDw@sco$LH&VgLSO_S}kpE+=gG5o4 z)Vy@qrgrOx1L@5ks;s&6z=T)Zy{|-OI~VjCLIl(7>a|rHPUQuh>H4jDU9t~N1ls46 z8Xl^=XFI3#8nDeyOEcH>8stnK>7zm3BTjMY*SDyUt}O69*O(ws%WM|4#;g^^LUusX z_ZFXoOYOCP$d*6A>3~;?)G&%ALq@a>DtoHJ(GmsKeqpFG_DZ~%d%tgh#T2>IPEP7L zYAP;H6(PoC+PcU)6O58y`JV3UJQc0@sR3bx6a9M z{C=JdhH%A)?dV(MGOU+>9{XNvUNN(MO`pXUw;Q^X)MiaRYwn^jOh8XQ?~cuCWc@_< zsEo+9Ma-UxZbE3oT>Qe`x}3bBTE2;}k@W|OVc$RR43r7!09Lklc>e%TJWswM*`~6( zpRxv1!@ZI^UPazH|3tWU!LXGd7-(Nb9=|FNhu$o$oBG1o5h&pQDXaaA!9f&DQA;C9~Q&;AP~<0NgRrBJqJ084s5Q#$n3EOL9GU|uLv z$$lHX?6WEmT>wK6+QSPCVKQ@v7ge0P3^T#iD`3O?*MEIG?;B%H9HF+ z9ghV;Dm&AAj^zY3g-`V#YJ0dAI9|W+uA8P@9w3NWd82s&wjRoMMb!U5+sU!`A=ZA+ z2ZkRf0jGwGBo(pzcMm!@QBFXC-Y+SZpm*9ByZiXP7x(f3+;i(d+Eug00v(-zW>fCp zGJMD;PsGxjU~}iW)(BxpATQwd>g!p~@ySBhuE$x;VP}L;;?r3F=8KRN|6g!N>I*~} z6#pV5yzVI z(W}nRiCINu6%*4-o|YkiOV7jd?0La;XeUN?(}=Qd)%YPL9I*6toXWob{szz7EujlP$CD4WWIDa|NkB1Q-!%*m%(_!9ZVT9@*la-hDr3q9n$|y$ z?vh5(qW7?ndP08`NFd;SHX)#RP7wNW@N!hrI0;n*(4nm&Cq`5^pQFSJKW1y?#~g!v z(9}y`(Lb^L=LOcG?HFd`F4~Q^;Jex%YWIRwnCvV%;%xE;6wgji(TacRP`SUDz}{`U zmF{u=MpvZU3{XzYu{%PaTG?l0b0$U7qArQ0_Y8*&COEIepQ32498|u=k(RTtM$RW@ zM4g$@B2vzv)nj~g{g6$b`>=X3XxqAl`q-GE#<5$9yYpK&*uus+d)&urzfTNm)D z|0kj*UD+YM{NUG<_i^J!pX&?TPx$X7o+W-xTwn0oI~yhr0Ig?fXu( z3-g+}iZ)*3_-u`yA^N}~Q ze(2A?$)D|VC;2zwH}Ri`R~{$}MRIPZ1s}7p6U*^GU&Q&Ej?agAOpr1aRw(oNcB%Vg z(wDKm@OXY++riCieb$FBb?b_7Cs&Lo`%5LQCbxoS+C0|%jo^>t*6-hX|JXas4o0zH ze=vas|9^SDU4nm7-^BBxAAH;{i!y!|kMIiu8D2@dl;hQWzoa5^9Xw})c(D+VQ#!rgDW zF7B~?&5dWVUrg-hYzjEn-B-=|{ReBV$+W`rpg5a$$uepNE$YnfJrIA4(6*`{8fU594*R zH{O*CSN6r8@cH$#cbdvQ{Z>)UgL9 zL4Fg}JbvlAc|Xkiq4dDKALN0d<8~f@^LRg0KY_8~mb$O~?)Z(jt)r*)p7w_S&~s4S ztGq;Y_WqjQ0nyLbmt)g5Zj1tM-%Z;uf%AUxD+J?x5PP2p{@jl^P6zLC=-tJT(C1*C z-y!nw`tV&p^m&n3SrEZwImx@Z+OD{rk%3 zfIi^B%ib>|-q2^ve*-8X4xcPOl=)7Deu7MKCajpG|L8LD#0H@JHY8QT4M{vlD-K$< z{NzR9RKKw3h{G!#BS<;^S8QN$XcVU~42iC_a_LfW*i%^7m3oC5go`3L5Xz@Vr%@&$ ze9ih}kBGtz9P0EB@=KiV#0+(YmylmholB5=y`PgIU%B`G%cArxg|3mON|cS99I2m* z-ZRtDVAaxtU~#G(?>}*XbUa3fhm@Ccl~s->U{@_Y?0)v!@}JPT83rEW2@F$#x&sr> zBYw$|N1h<@r>p2)54lK!>rMNwjpItSJbo2}~fZVM1*4zhPN;p6KkaNe+4&PUJX^*@>yqV45%iHqG(!kiw0^=;z znFqncW6~?=_PuE0!`eE8dk(`%_@WCAhT(gC?5Ssy5pgQ-csOzy?L5rz z737tkCP#VTlf#GCUpu_1y%xCk@^MfOsAao5A1V%Fz{zL!1A5e7>z;BwUU4<{=NRAf zapl65M|O2WoP5f~t>MsCY0OcV!fn_Cew6DkI=57O$?^Ab_=(t$Y*3B_)s-G`=ieeS zhUD9$Ntp_MRU1FBa?=DKpy(6pL+0@Xa9=^&boh+fUY~n9oht1GyTJLUDIT^T8&ljy z_A;d3G4L-dChe^V3YCiQ%QvMy{qTeD2k?($M9u&A5`8JHK2Guz&<6MMV2cu~Bgd{i zV*B#jmwwmbGpeKAKP1M!jFA9lYw~MWb_6>o3??f*IkRqY<2Q zz{s|0?7~eesnM#n$E;m*;`$SIVqH}xbkNwl!49CG)Vc4ivUh}j^aWTii+z_eiACAE zRfn)207%p#A1A$F7~F!}R6pc^*9@FY)c87QrvQ7E5OOZ%A$s!!n`7`Wmts4cKkH9A zQ5v5`l}o-+Sgj-Z3o$s2L$!9+w+phXUn8_{Y?%6P`3i{3dY^DKS{wOciS>>gvgbD# z4-5JoB92ImNA=ctiiDw4z{tGFmLWoO@wB)-o>2QHRTGXct6=(e83F zaxMAxq4PsbC#K%FPcZU4YF)|^^8?wSc;ZgUYzD*IUVib!K{ER({>M6zO#eaUt)jZL z#gVH|T&-+G(=RY2aSn?UtC+k@!Cg5Kw356!^N+I^L3g$93u|Xy%JdA!r_cNCULRL3-s|{yxCZ>fom;UuJ;E9A%|Da&R(+^g?D$*P0{=~pf0O9wu8ny_4j(-{&XI?0QuZ+sL2G3IB zfMXnf7RdqU@trd=MV550mp>mH{m>CXJmV?VKI9qwV?XoR18~ovH$SmUQGk8Qo$> zw@|WZ6X9`W6KjW6b#ZTydOgX!w4%SXot%<#LSH3!c4Q28J(b*LIr>*WGiPBp_N#|*BER4h2pC8Qj@-|`)G13MgaqTF+j1dI!Y6+RYLa?eINEM?inAevz+zVsh!`b~Kx)cCJw!C92oYYQtdc5 zs@-Z-GosSCR^%u=)i~bqytJ|bJ3Anntlev}o++vy^^mnkjp`pobwvvmi@ueLCC%q% z1S;NMWLNeft4GI2<@;U5g;TuY& z3ShZmFAPY_4_ft*m3!3;>Kgt`203zu;NyUM=)p^cU;#a$*p?Ow@9#7?+CxNr8gVPx zZ9jUQv)ju<{|Bf6?Z?Y}U9JrN8RCDk0x?&g!oYv7@LxCp|7pU1=>Yr_gwKySq_T78 z=)(v8SvOHH|F$OZuhft%qyog;fCtfW1w+%4^muMR#)pK~=}wCNv)e=U;6Q_Vt2cxL&ibfZ=bZF?Vj=%Eus zMeP3Bv8kMCRwM({?oL#n4tlB@%mi%t_4a_c%^ z2d_G8>_~LHRCDE9Bat2rnMjeS?!`xG@@7#nfgJ&nsP4i?i|8%gMD?hBjELUcLPS&@ zvT|v1q4{ii@6dRmsavBH-h=9ZRfjDey8{1xCLS1Ja|C5eCTnaKRQqXexL=f|jp&JF zvc?{S>VvXti)dGF#5Y-Ws(%#Ky`!w$ykfHI8h%7nx9B0O?v1TPwN(#UR z5v;0u|BP8mmD2ll^O?Y&#)$5*Ma0Kl}M~pOjDrzERqoDdgag-wVHznct zSrFr@9O1mP!wW11M%ZFq`#vX5#JOJY=vEk=qO{;RBK8pRnMf$Pa@oGi4zwDCy+Icy ze<;`f_9mlMhujG}z$&2om%kqsdq!b2PMT;$j7z4iE9CquqEF<<2}eS<{GgQwt*C4H zLy`PAYMJXMm9%K)@KUdoIJXZb&Kos=JO?vUwiEoSD(#T@a@TSS#p@;VpS8wx+B<>cGZlbdaP(^gIbIoHk z_LIK3{yL_shxB_yw_h)L^&X@*G>a9z=;}T81EP!D**Wu^ef0t2EYa=XBF{5p3Gyzt zJ7}v5kK@bl5nn}3As9zzIz)I}Rq6fU0_5D_@0qAQW=_=*pljlQpKBl9c#7NQ$E{uC zcBZ&T^))g0O3T>qg*`bjCMV3}?=Pj8%>?7RdB+UpCf^l>vZa#Eb?wRZ}kpCwK^51(P|FZ}3 zF9!10%fo~G^T2`pKR1y7{Ri^jUHC)V_wxhwSt9<#zhZ==Gc6p5&)RGV|H}sAvlJNO zpWka$Gz9;4nl6Xne|Mn%=L~Ew`qvPBwjGGi%K$_8^KkwU{1*)5f6_qy?;ps2zk&Q8 zF_8bghw>Nx-)Y%(UED~}JI}3&y9V0%D1^i@`enz=Iy4@>KhWMq1MR(HApg4z2-iRWJrf%+)E2Ju&X4H_T5GZ6n?1NC|BK>m*%$e)$&Nty$A zCzSrh6GCl?jh%OtSKbA9yf@i~f7(F(4)4kuz~#FI*`Af#2jSrsRQ{RF_8aj2J#;o4?7IxzvV#vb(dyP`?6Co zBpyy5sL$C0`R_T9zwU|*(qA|32E`i>1a|pH^?LeZ)rqT5sP57oEV7^I*+X_287aA6 z*p}E_JHA}97T|U5UV`s$IJWVoj-AVUYTHG-mIdcsyO;3i<7S2rOMmM`v0nyqyQ) z$4{dcoy>&*|EK5zNJWm$gzon(_q2;nWFf%+6KZLTj6RXX<1nYh$jIHs^m5VXTDL)% zyO)*XzjdI!k8nNB^U9U6cgTVZ{VyzSEpq%)82I@A3j7j#Q!&u|6dXP->1L) z#rZ#Xx6Rvt{%oQ$!T|5;zv#bxi5u|y@PE$0_Wkbx@r?ewvNOb=JgoJ|=+E3phaW7# zpGCgTIYwF^w_ebIAKNJ4L(`V<=Vb%Ow@(g;Pxzm&&HW+%`G$)hZe_LUkG(7!g;TWQ zSsnOH;yUN(Oz3}&;%A8dPjUM6(Vx#{OOc{e86ga9U+{l1uziQd51Twg^uLL_&)Q}4 zH1@KoHAJ7y2kLV{d9$kM(w%zre}IeUE}CiV{ojH1ZaL82A@MMc{ud35|7|z1KYub% zpF0iI=T8IUXY+ylhql+>X+Bb17G1GPJ?*u_E@wk5-ZW?T?6^A6^+O_I@67j|G@*}=bVA-D{j)sF-50ygn)n8 zbW)L{GlBn!f&3pj&%e>H`1A7v+jr%__=&H56pc!_3iMewfd4|_57}Ub|4@8A>x1qb zoeBQ>yhLmKvw_5;*X{|h_lfMa9m_r*?+XtN>+K}dgXJnBbEAi*O zAA5=x)!WiP3xA1Z2&Vuq_gVvROWdbASGaOpujcy?;l)Q@3wZQtzOLp7FBU9Wafn{v zDAS4_PO`@EFCsrfh74&8{kp)QSm&Ftw@_p7Y8sk$HO75~|De*b1^8W!!Dn!W=-(&S z%})oop#2}o>1q`8wZy|UL-y`IK9E0l#C@;AWVYo5Ee~ATPbhSaIq?61oBO(g_Knh? zw{N~0+gY}^CiHo-^qCPIh+TVe=)(goLM_1W+M8wk-dni8iu@HLt%(oReoODJ2#KTD zUfCZ9T4x#s@KrE?-g){-*ZlknswA_^Di}R-j*30)NK= z{wF?%=!5?sCjN1Mr`Pyah~_7yPMzyp*%#t7#^^}$x>A7+dSkr+v1|SkO88Nh*oQmU z^Wg8M!|;*Ok>>H+9dx7f&l0uoIWa!Fu21P-PjdaSYy6?VeBaGq?XC%*IwybOfltYG^hty8;k_yOOJRF1qv)eN_?udv zP0fGEo-naIWIX`>Ee5OyZjAoarYf<((I*v~y1j<*xAv0aMIYsd;-kyZc=)kK#M>g@o z4ByDvFL}-xTQs;ASC;(Uv`ROJCwgx8G_XX?Zzzbn5mk z>BWmAeRb0_l7rfXmu7~vBl>d#$@Eb^k*r+~$h6B@vOQi$b=v+t>BdXw62Q^fE>dmK zy^$XZ{{fCaGTIV*GG|DCy9UviGLg8!s?YW>J86eibT_S0*6`Ox}W!29zyr2y`8@dKpg89$<3mbjnAJs5*~e6Od~ z!zbrA;NCAy_+Bo)Fg!2U^Y{3kzu`0gE_Zx9zUOapu-EhVaPu=TJibCYXr1|wcpQ)YWEBWrj1gz*Q@|F~-0pRC0LcIEi+T&?#T zyh!jceK`00w^1E1uV)i~9-fKo2S}=f@4f$x|Lq*y^Eba4%=~bf_}@jxMLMhwA@ETa z4(g0Q>zUUIPQAxhx$-k(lxRc3t~&}BUweFSFE)Do9fXVTn((i5__QxCw5Ir8AI3Vf zm%T~sHUF7itRJuoxbLsTm2?Z^kJd*uJ+UjrH@l!q@v*BfK6+wTiXVAa`kW@^uG?VV zD}UjDXBhCEXh#d;TtS(nDR#7G{jo=!yoj^WQ-!9csS@Q2M2`B9Y$+9}RM!m&@^#}M zLo%+hb_BVO@S6oedP2_QEaP8A(le6U4>a0R_j`x}8=-ztq&6vu?psKbRl$U^neCg9 zx{%b5#z`BVPIR66U!&kLwn{Z>^M_%0*}3jor@jTkopjuGj`RA-?S^Z8=!i?d@%|6v zD~i}SYtBjhrX@c^bd@OMH;zlA>y3rjhNK~Gw49%D+cyF%gV=uk_zRI(atrE>SdC#{ z9u$C9gbd2)L=fpx)$H%FDo-<2*4&KrCksCuT%~ zxY2EkrBjp9A`B8mKTb8HztUp#WerZRjNY+Dw5p1+%vh?4Zu2+Sk63L6O!!+1x{1L_ zO)$gDHxM2h#Zx9FfvP#QmZa^7_gWbnAu(?^kb~|M=kf~EN+4J37t}Y9Yt>N;7Rb4H zuqf-0u1&aFf}D|o2gZ=7qX3~ny4IN!(vi&C8xrP*7V@2_a|!7z<(TFm*EAm3H%K?I zae!PfT(_Zvoaze`ddMODV1x83R-q#ZJUwL`M{a7XI8L>A{Y0j#h%#u-I>U3Bh}Y#( zH0`AqCPZVoD%C{iW)wtwk6U5(@L>l6fF3CA!x+lbJ5qje%B(nwJlb?oc4sBGMzT%T7 zKDSFUSCLJ`n^rPIfKOiSFLkL6vQM6v8^x{O))hjd%`A0WiQ6Qz%x)#t3{gv7ZJRqA z+ZoP2dE!oovCGm#-0843$(;`KQYouL%%}qtDsprt2`}`cD>FhYI+29{ejGYC8NP;@ z88{e*x6&{_5_!)}N`csUHPUb>@|4@9Eh$7|aOz>Yg z5PxX{3CLV$4S@vJIik1!^c%|C;bi z1o&5|uFx0m{m(s7W6@-<$M-V&;IkGO!avTtfus+Azv(G6u{&61a?aw$bw(4X3h%1XY&IbI=oVZT*rS?0P+AqNOIo2kI`sLN@^FX-|!l6`% za)yJ^POc2+Wz}dDpU$zFvf}!yO5zNoip_3v2GXIP!I4#r@9QZ;f9NA=4 zAr1pZ^j@J8j%+fj;K6{gP}tFqB1(ea5S|0WWx?2!;4h9;}k4@(p?oCZwXww3)76SMHV5v1s_`hhcomnmk{WQg$P1!W!6Jhx2bZP(0H@QVC7tH zxKcYLEdH>dzis0=;pAAZY9zAk>QGV6~mR8}0#_=Ej`PwJrC=$dEdOdUL*K@7pqaM6YJF}5;y z<8$*nvN+H=2WRgeoj8mixSQ)>2Iqi3Ydm%LnLWhY_@UqJh-&*HC(eWA`+zi5oZwro3zNYfU zDt|<{`za?jh>5ogPW#+~~3bCk;H z`Dx{ERj#S9c#7cs?(CK-dtcJF{(Lu`UnCl9H}Xq#u=$Fu#FL&QDBh^@xysRRTjd`Y zfAq#z+v|X5%G+QMRNaR~=Veg-f{xR4SliJ?e-K}<-+Z~=UbLt6uUvjd)tjGl^}xc0OZ!@+4w|4ug z&KYy;fFEPQD|MhN@w}&UVyDjmU+f!lU^Dn1*Kvq!zoqi`i_c=^GOVDVac#Sm4#voJ zI-V-L|B$`+QGStf`k|KzPsS5ql&@0#=JEU-mDj5LNaff~-{hdrvj^}r9nV+YyOjS~ zIsN-AmGMjJr#%IO|9;YgXWq=OD1bP7l{tPr3ZfDz65)Co^M3aFZmws$X;<18J71y$|9PLL zWjn_%ZyP+RvwF_&E`H1f$XqCY94y#QD$|dd=ef#xx^iq{?mk4vt5v3tvu-#^`IgF| z-$C@3C}$i#TIExeAE6vv;t<=v7h&&J&bMmJ51Xlue#F?q!CHcK1p1>dIr9Da_eIkO z&usQNebt|LmER`W2Z#oJ|33f#0RR7Z0d!KmYZO5gf0OTMJ}#GBBZ5~13$;ifViJwq z?%v$(%H8fcJHZ43gCHox%0SYlND;6wM9>2*?5%7>e0kw$GS`~&h~7h)U8?~Qt! zJ;}nGH~Zf2ef{3#NYE+~y?p<40gAGaxEz{L>Pir6BSWp`*+FfPA)0I_s^>kymWz7xk znm@x0j6jldejt^jJU{6+L+gOu{m|F}&`y@1|IWt_JJ~ShaeI69e1k0)APLrssx?Ok zZbcd;%V<)nm{Il{qyma;W;cIxOH7{))XYHD@%$i^7RU7U(mKxjj~DAh&`qpmORE4x z{>l3p-~q}b;nvQt!!BSmkffoQvx^@32y3qKWqS&GIE9F6AVWt=^jpT<-GdJJ>D+%H zQ;XEeEq?{#OtZ~x{0*dHssU*6se{^;4@-6uJPv4Og6{I*cp(-`zZPx&{iAq!J@`iZ?&} z=RmaN;Fl^o=>Z(?UVPiDgYPuM5q4bVIO1J&Pyx3mg{iH3eXYo#iR{H3Is3rJf4+Xm TvHy0`e455}VkwRR00960M~#TH diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb deleted file mode 100755 index d932c80cd10ff4a296357f9f1bff01869f2757e1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4873 zcmZ`-WmFUlu%#P`1q39PWd&K5SU?)dr38_b5?#941u5x?b8!a3g!u*eVL%0UJ4Z{P zkgyO?P*eaWBp@UR69(#9xq8@HSOH%mEF6F^psqUbm6bUVZfW=KzVlGye#*Vc()`B@ zc>gge;6L`l-8D6dEOY6PetK4cC)W?4H_7F4blxS92zCulB3@DorKb0#mJhw8)_f@lBFp>1cu;kwpD9^9 zDl0Rz%Qfrx)_?nI$K#LQuTtHuiFTir?l5wfGCjy$zUP(Ouwmixo4kX7bBmg6{2K|R zgzIvpUi3f3r&~I*lWoT=zbXSh#NCehQ=GfKl_mbauzZHWzsr02H~X|J%|GGU9q>~9 zMh{|XPWVQ%7dC1UW}ox=2D{;Yij!FPcO1;I<@1%YUJPb zhp<7aqaOCsXn$`+fweGCW}2BpWAd{g7A8B*s&>FIpfVACuA)5AdLnEk2^IJ>thetT z`jkZehq`G1ej?FuW-p4tp_~yc?hJSEvnUDXNQ1X(z~_k;!pv+8!Xz@x^|pFdC8GrB zBLLo~SSgl@jR!}?n4|@aEV(_nEK^h`7^$q05}EBN-4c)l``Im{2*8y|J~ z^ZD8U5i5@oDOJ1%J2n?tg*AC;K6uj-X7(yd8Z7-tRq?n+TpL)51Rd%O#+r3PDIl8+!_da0Z1Rd6rJYFW8t@)i*G$TgJbT`p` zJ2lWWsI#ontfyjeY7R-xHhOOG`MONOJMc436gya~Z;r$kB3RlPinm54LPu>;lFS(L z@8jeC%QSMpmv$-pr)+rPUL+*n@c7CJjf-53`bBuy51q$1(Oo%oq}%+)ytCp`ge5dU z6q^W&EkT@0HG}&ea{mu<8ovR2Tkxf#8LZ^`EqdOLq>B~!_g!+hSBzT*a^V$JQI@(T z>rRJHwognpa4>axx6dd(b(Q(EQ$HMcY#Vt} z9PJ;W>5fAbMP$VZuDUn|sUFDtBT4QH31d>wkAG&=`SpoMtJihh2|ne4kg>efJ+mK! z(uuM}4nu1DrFFZ)JkL39S5U7PqZD zk&+ZLU2gU?UBZJdmh@W^l?5rt6?Y1Jt>?cLh}Ymady&9MIDoG0p`=D`13eQV8ENio zEkHO<>OX?PQ*OB;SzMu8(uChyff@mLV8kbKO-8vS!9XwqN39ztDHIn>imysbl<$oKkE`)E_NE%SzZ-msFPSiyqgq6?*sNtw z4YtxOBPxx;(ahsC4s|GBT=)HzzI*hZ)aB#h5$!YWZf<%N%%BEO!@DtTI5)28C9AtvA;U{P>1jFDlbYn{KC*h?`mMF`}(!X%YTCrr~ z=56Pe&IF*5qm1vh5a$ynMPz!Z6OU-2HVz3@K@NXVbZdEv>gt6|*QtbovD{3g*T?2anFEvTIx%&qN(s197CN~OWt#r&E@2IbmnUi z(OevibWNK255dh{(puLuvFUx+J^roQu5L7+pn63qhGms*to~na7OiY)btv~)@?pVO z+N{Y}-5;*iy-KPFpDm0ZPj)hac2E4;qBd=C<&P|>waAYMXtd~$3OGz80>JXCf=|kY zvl+)>4^NTI(cJY&`*K3&%>A4`_A5b_b7{sGkt^RBUnH)W^0cT|cFziDC~uSygndTi zB?aQ#gLbce2F-q+VcJxDSm8@{!E33hlA?lhLzQMj)98tF?kv|Yzt14pzE_ElB>+{JgAwyW&1?z1W4V(vQlQ> zekY((eQ`K{L>GWF%_?5|7uVEtSt&88OYGwW+QS)nQ^-$S^)a?~{rmon&*9z|LY5uY zjKa#YrIh?muW#_IH_dlm8F*+DRe#-N%fcD-iD>%8cp+tjV*^KgY?GPH*Jz|45Qf#& zaKQwH)HU-K+uA*vboBe%_(uD0qdcOqwlZY{neXwolw{E{V4Ku`J4E>-7y4i2q^>}T zV!|mYu5R#RES`$F>r+O^^j8_o1F27Cf)%+Vfq5Ixt_TV>b|kCb*(PTj``OOOnuGvK z)ELW?9nGKiaX-KGBF%=ZjL?laJeKBN@g}Vn-sdZL>{c9>mw2GujOc-ewNmi`itx5<5GcHfW&mdgkEB4Q_?`7*_+Q;xInJ4cguKlI z0m#j|SlUt7d|GaXtCu2!krrAP%?Ba$OhGQNAXI?Y7 zE|o}s9iO&Vm1ELSy>`K`UonsGsMRr=g_kqWbv<7nXLs46RIw(n_}Jc2mLMF|r=zCk zW(!s``YH`_z^GWRoJ3Zj*%BX*a|%UE)5bpjm6V+Y&|DVi^s+@rTA2QmQGOFu`di%p z7p+;pbji9fGl9gVI8dDmmtG*3j#~<)3p>(_{JmGJqW!z+gn)5hqV~ zLw|_Ji$QgoNUA?T`ki@c+xm?HzSR$_)z7uYVV z|0B{%lFCWC!j1hIoIiFH(ielWO5bqU99j~ds%%m;+GZRUy)1q@ zA=MgdS-fcRSr_$@F)+jhphpOedaAF7tg|J(q5hHZ%+{CpOR84P6&L;uL<*A07d{Yp4eDOyPkvpHG#I+=Z zYgOqF0Rr(`9LbW7dt!lVCVZVG2fpWo-4V`lkwXx!H@rpLX(UP1EQohj1wXQ#tJ zvDKf5ZQ`xhA-xS*Vin<>oCA$3Qkp%9h?9)wsSkLyU2b3pX^{1BY;f7CR7>D;F^(LN zlN(P7@MsDc%#J0b@n8d`MCRZrYm*7Sp2AV4-d0HD#|!FW`)Wo2O5RCn>>QoyY)e$$ z5l7o<&h*RmA%{pWy|1OKUP*)3zs3h_DpPgQN621_6CV19B~pDLv{$m1?^c6ew$1Q_ zFRE1JEK5xh7Uosn#Qs>mZlvs0Zlx@PprQ=B)xO)&K5uo6hbXytw!&#)wz^yw0BXWR z4<99eyN25zBCZ|z+XMlNnh72mW&cQ{?CK_2{t^iy={m7{alS8oLT5f0G@QpZ?&B+m z4D%i9VoS9RQ0bvOU4E$P+>Rc5pI& z`;B0Pwc*yckJ&!0&9GvOQNm_fC*3kn7Vc_a@th8d2;2?=U8K-I7kZW&=rEx)H^1?y z4)0d~38!pRhO8l2t+qguwoJ)Uc`qW@!r)OC3;{!Kv&P?~!m}C%ZC z@VwsGkr+_?Z*oB2G9;q@VP5dOVlUb0ggk%LZv;f-AM1!+2p*gB^=VCXBU-HcrDk?F zQ}*IhMlp0t=X%y6eQd^G=;z>qL!ygeZM;!j5O0-BUsl~fM{K6)B-ih9K8bnmp{Kzp~igMU* z!S$cHL_nUN-n{0$C>M&@&4i7%X;4Rld6VI2H6QoyNmc#%hzi4rF)_YkYvz_EllAw! z22B~F~eHNSGD*CyI2NP;|SoBTjd5g+RU(CZN7a8z2NwHOvWi<-Hz7-t6$6M zS-z2SPJdDUL?1Qeza?2dcR%+;Iiz(O?KkS`T%X}-JW2)KvLE)Ie78d;&E=Pk9Jno!<-YETbxXG?7v7uwGk10J$cFghl-T4K@l<$7Y}b9s zK)=w%Wj$iQ!kMy(xOlqpdgs^8^r+KCIhCX1!fTn1qqiN#W9264zkH?|U-)!yrb2EN z)9P+3|Lnyo`t0nyz3sfh7nmCA+U>j!TDXx&0o+hGs#$7s!rIk)zmYfTLrUPo+-Od2 zt&z>*7w^#frK3aeFQ>V0>c_H;A^U0B&V253#h(~nu>R(6-#T)b%RYwnlUuP_h=w2J z#<~3L0L(pmv*$5@oVcr3`bIHNq%FYJ`2oYSynC#CY3&*V%9y3rVk@If&WO_e7#)V7|veZ z>LsYNMmrbB*KlQ3jF9OU^Ui)VO3-h2;v5#4@ik;qMZAeYjiMHBlK9R_q|qT;b-Y6M(k&)qfS0?U}w! z7}I={-1CVqiCUC9@$lafbI9%%SF}BSmsFTsU}lCltBfzM@^>VJVg7Xu3bEnH6+@E@ z9fy(8LPn(+32zf-oN9fL%?DXMA0Ozy+*+3LfOi0fWpnc%7_wcx(Wp)ab#{c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I8^000000055!00000 z0027y00000003tN00000004La>{eTE8$}d$fQmZ^BtRe@&I3XTBKF!%Q5Eq}$98Vi zB#qq)!2=ra&N|!ft#b)+U-;iZ3E$aS+qz^uKB&s=?B!v7Qh!hJZmunRv0 zxpd*4Or;V=2DBT+QnPPXIFdsMqRx>E0z4XH{)BOf*_UULFX<>ZQI_g$>TS0kYN>Rq zpH0zyo5<_Q%*3~F6E>V#%j0nC5DmpeV~UnrcD}KNh6t4dyBmG_U${{ zw>#AFI&HW8Jq#zfL5<6!??ocr^y?U$a>sv+VVvcgu{@J84N?=C*)`i)d{-n!}AVdRQ{n_%PnG88s8^hm_EG@gw` z2#`jhGUR7T9>s#A!%49tkHT;T^Bv{}sX(EmTqqI8IO{UdL>kaIO5_78wP6ayh`OnW zXV0Xfz$)fn(8kepRBEo$pD%T>jPMO9nP)KAumLNqtJ%!~(1VNo z4KRDZ?a^@mM}}xL7W}j41^*eo{pc(T08*H{#GaG^#U-MQ;0nnp)3qieivj=x=2ud^ z_bSa{H5Nvt?% z&Dt8X1(G7utzZ{2k0e z)#N6i_p6}$@>~Scj}j5nI)`Jt2`dv}Ht49R-*a83@*D+yqX_DkIs>OgRZA6C8!jOt z2sqEM4~^r^E2t{Dj+v`K{LH3?f=Ee!S%o+{9_9|GN`H%~g`-ANML72(2*NY&-1GD5 zGu$m_@Jk)G1~2Gv&>!?@H0~bXzXbnSBsYMsxlSNaT!q4ee<+et&(6$9>LEF))AZ)2 zc|7s|E9$CwTcy8(XAaWM(M%he(6P#P;GZ)*#ad)pMwp?q;?S31BckX%Z zZ`!@xGEw**dZ*HVqHR)cduOq&;|=c8UjP6A|NnRa;$UE85MW?nh%&TH22u>%Kpf=a z6d&Xo;2#tM5@BEhVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j{!U)5&JZn} zKn1K|EkcHC%s^U1pjupgom^d9TwS258QB;VfHJW=9=?hM(lCP|1_p-&dHT7>yZX5L zy81y3jshxTXOIArH785TffR!f5QE$T(E?S=$iiR%v^9*)(w7-1FNmTB;!Z{;1`VJR pV;{F@ko#c9xcU3IxCX&o$HBk>l$><)C=XBxJZzu_00000|No$HdX@kH diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb deleted file mode 100755 index 365caf7b02ce9b7351b73bb45a9697763ef3599f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3236 zcmV;V3|sRN000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FK300000003bO00000 z002|~00000005*600000004La)L2n$8^@XcW;DB;rEPIU)1>0I#*n5+Di49191ekz z8be0cS_UqmRM)lp5Ug~Hq6Qbk=z~ERGBYG(LH6O&m1@_m(JR($Eh`3E6nP2))MefF zB`Hv$Fb=%}2W$;jm5bug1A2iG*vkD&%0+Nz-}{ZcoPW98f4=$VoB#jEAAkJu$HVBi zEwUMz{~vo!xMS>}rt6t)yMqo{*acBpR#6U^`8;engBOO5MuXrLZ85}xhKndKa5j0u zGL8nr-OEy+Wu8<>W738@fZ1{Q#*=@qolzuk{uX5GtIgPPDYJIzhy*DZQDm@W^&0Q3y(n{yJ zIPEE^3E>cYnNRL&3zRB^QRp;eX<5NVj5y3ezPYT(`rp_M40B=VQQT(Io+vO4m=&~{ zuUp{x4o1fKsU#cIOuB7dOM8dcpnjTzCG17byhi*cwZ~((gHG}R5Tz=3Af;VZXtZT? zotS34?i#{35vDlabi8$MC7gX6lQdDH_s$er``Xr!f4YlX+|fn6M>!LGxWGHF zq4iLiD6jF|v7no<^|Rn%LZ3KPMR<7uXE~3q$E)Dm*D&>CWlV`C{NCxd>8vcP;2(RIll!ukeqW7MC-zP@`r zIK+dHgRcqrFA1FCCv3TnhQu9dY8NWpt_UoVx-_u3;G8k{Vp{-#n;{`1R=cw6&z`F|m3U{v2OEfDOeKpJNNr&4k@Ve1| z%yPUzU(=&L^j=3M@$M%~@LP>rIbkRAFiDNU(IDJGW7j9YH89rZuyB95iS( zcY@7NnDYsU2Gr|WDW{-L5%z&Teoh;K*5yUwV|51q0iRc41DeSQXRkOGzXx9@rUx&E z8w!>{4daSGE3kq1ZfzL4dtLZj@Hbz^Q=2hg)SiGnw<@0JDk9N*u1ahcFz?8Fd7saG z)~-`z`3U?*s){Ym))TZBAZL!r;vevoT}3Yj<#6L+07L%!41I$4Zn73UrmM9$dMoTpLeHI6HD|SFEggdxA1ofjLaM)n2#MMdUee&=2 zw^cj^x~jBfr5DvlbeHpRisR>B&Y;OIc2fexW|WYObHhtD`zdgrVJcm-3qr6DV173s z?R)gzfWUL?t3BM0*L(7Nf<+|btO;g6%gz_1c%aqY1oV8G<1LBr0QX4R=Zf_qT2#OZ z6U32=#p{CoiPW`IRR1PzeHzN8A5h!IPI{lz)!L%wp5*X>^&Q3fO=4m7Fav9K%L6^V z6FYORTQ7aXnPo5+E&Ljf%6hsvPMN^q_(<&TNxbjXYpjyA*Bpk^q$(FKjkA_x>1NHc zMc8@fMX8!MKg4W-a#Nca1I`WwN)cUI%1!L>P21CZf0Q0}3R#3*xu)}>#(4^{jVf-H z3+cLhK-5iB61oz6CPhKFjHTGxIUf+-7TZeTw#aW|mW9U8Zd&Xbw z4q3KDdoHUsa)Q4B<6a^ua1rg2{NF{}wQwx$vTT~r%Jy}%8;*&zLgx@grKezTVMWLQ>D4_N+Cw%l?=D} zZ<-9prpNSW@?0HLW=YHNEy`q7jSIln-1@7#1de+8)HdktZV~ud9Q=%$&7*x8hH_Jo zs4s75OSz9jc}LYK1{vNi1hg-L+bb13!~La~jOuE>US^Y&bD<<P+ zhAsw8$`IMA=eO9#evV?=vjyukR+uhHL%9*}gTIUM*I&v|jQ&psX#wPEk zGVF>Rvm;!5+o;>AHg6{RLRopwUy$pmC2R?%3x6kk-Va`}ZXUJ1-Jx5xN5=8JkP(X{ zUD7*9?;Wplp|AIA!yYSYuJ*w>up6BN!mREGKcvsUQ-qE6UXpke-znZvqiMxgl~g=i zqtphZm^|g`_409SNyxHM_cM(2K1pQ&99Q?Athrop##F=SzF9p1?NTn)OSsr{%OQZF|Ae8`uDo*14SuIB}Tp3p( zjdK!sq<8uyr%RA#sCK5mY5HOnb}!Yygn_b`9Rq)#2udKJFFh(5_rjrPP8ts7CK4v? zRZJa(q1+^&W#o-ojQ>&V+a0>K1)tyDY3535^wXmfzYIU0VR%&yz+s-f47^h1QOco; zSlg5|3lev;QfXWgv(S;k-TlanEGk2uh^d%xP5`OB2;zNgYMQrOgBX{4a67kv=Fr65 zJ-~fGJiHX>**3bDRZyv2>@J&dLo@T14ATrAb))mm!bTo`5~2Fh6m0^X~&S z-_|D-*zn4^sFXK9v7(g^?1P=SSC{z5c!6Okug*}JEKsS}DUhUtXqKCssM7-!=%Oe6 z!D8%Vg(|zLYlRYOQ2;+>r@k^;>%PP$zQoO6=up(01RV`#KG)tmm1Wf{=3Mw%ZTb=z zW7h6#UG1ir__LtJq4s#}N-Z3z46)80Wluj{VX1;`w8Y!Fke{~3mJEB4X+`C$m@iPs zn&FLtxzU;Po?(cON{u|i4jm$^+P4(n`>5kjx(NH7l4-qHv@{_(>S+qZNHT8$2C~IA zv%K)0NXC^`6pzkM$%A6 zwV2W_%&-?fOVYE-Zlr0ih69wFz`tKa=CDzr3y1XX)9c%y+az{sgnj+;m~3)we?>J5 z5f@kNj1DU}-T)>1UOlsv@{*m_~ZJW8eyN#&X|uR+7gCp)b%p_=8-FF`>B?wUJG4aFSKD_PS1g7PH2sd0IifY z4cYl=u4S8;phV0d7kb_vk3A^FUNnj?MMP`Ae%M^m<9@aa^xs`bBq zQPU|;gkP5)@fTNSXB_yHJH|>dGy>s{Tinq_*gFG-v4gxg`X!1YoUk*WvZd5ewuq=O zI`Kmo4U3jop>iy6K|2Uj6zl%8JlWn7bR4dTQKn*_J>B$-zuFzL6y=eKu+hnXwq3yy zPTuh9KS=xn`rNS=dw|yO%M9~1uGYyLudXfvHz_`4CFX#Q67YuopEW_cS4Xbrt9)M- z?F38%q5pN!xkB$?^AgTxrrfEWM(0RR6+n?a@k diff --git a/cpld/db/GR8RAM.cbx.xml b/cpld/db/GR8RAM.cbx.xml deleted file mode 100755 index 4106069..0000000 --- a/cpld/db/GR8RAM.cbx.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb deleted file mode 100755 index 54bf809a3e42e39448661a5056f6810b819d3eb2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 62685 zcmeEs^;cA1^tK{`z()}&X_Od{l5Pf(kQ|T>K|;DqdPG1Plr7k6(v%P-8D=9Ui3{LI=a%=%v}m=&z-JpXx` zgCGA3^WXvW;{TZkJOuw^R}lYWeI6dSKW=92qZEyb1f+SvY^KbSaUypBE%%Lm@&F$C*$XvK{i;h^_g7s<{_77i!u5i5YS zJhwGc+E}vU+iuLU*h+0|_(BJgM?yrbsSz?aFjt7e&fgcI3kK|%BpWL>YAl_d)($^r z5!{?a-5VM&89~}Ay2^Q)2)GuF=w^*G>%qiuhfKn&X6dolK8$fv2GHiqMVgcPvADAR zKY&Kwe|7L4e14o@it^QC_o<6Tukcw=)$BHXQ4}83bn}>TC-3- zOw>6=*RX5q4CTg^>(X9I^M8=FCW$2@I&fCEfeo?|;vB08Fz)%{q-~N-@6TAah-y?o z{a~rsb6bTkdN?41);>2jTuWV_pgUX~P9}rw{LA1W(A#4%mm?G^aEs-{(#g?S$Hld= z4l-aky>@@s$S5L$@~yx8tk7Jxs5e8s!4pDm6EzoibtuK_uxGxq%$Eo0p4!50yP3Gf zJAf0ze2(=AELWPx=~FxU=Wr)ZIsavM-*@{nj&(~o$q!@@!|N6_Xg9t`;lTG5a(E%h;VtU8xHsVUm0!d znFAE@(*x3Z&s$qOe!gZL7X-Zxz`^_TaPo07RWgU>`P%nIESK=3 z%)k@U#J+B9h$kdd;~+C`0oV89zC^kNcXxh;fp8wIMM{G+*8SV@%@~2(gSWrY@ITn> zanO!$7qV&+UJVY7fPV-t+mQIKN%hc2_~dw|KKiQmQ#8S#}E!HhBeq=xPOt~ab4;=fG~0D z9p@Oy4Y+|o;5~MGLFHF~9%K%Od4|xQgwK;K^W_fR3|JrYTSk zHodMqCKjuvw^@D5AHXR+>(Onf0|KA+GTMQGLEwXP=;co)I)})fU6vxyEXt?$UU~jy z7+Q;fD|=Ivp#}zpTsN8QEyb4w0(mEt0C!&m$f=Akm9XkRu;T*`Z0Pj%Hko2Ut`e-o zFPnf}x~;ieK^#BsHSD?Q6}Yac0rE&vd)?+zbsRH6D6KcsM`?g;Z{DZ3s zHfZVeA^zs1hDVi5dTn*BM9Ej}>#@r{;$-dk0T5ODoC)=Bvx6#RU_HpSjX%6<(B;Gq zgq3RF*y`lNnA5(G<3XYb&VA92mWAXPb?4ZclQOj8nr4hT7-BYw-ClElYFBU#EeBeM zQC(g+#|5a`MmId(-!URZzz?cJA0WhCGq~b1qZ(u3^FH-;tp51YEE1H?WeQ}2* z&WB4-IXK)J!tXMY>vQf4F%{!5F|%r{)b$-lJZu`=Z;k2M*OIw(3`3O1z1`&n;;j6c zz`FOjXePG%esgv{!*rcE0S>9kTZEIn>QX!k3`EE4Wo(-CDhY01Q8Ifc^&xGVxe zu%N)7C+(QB+b_~cJ9GxGn)P9-fZ;3bURIE=RGF?t<^ZG#=ypLwdz3Jw-^aIvy7Ogh z_rz|WfY36l+P;x%aF`+d+LTFfeV%v;wvBjq7tjIP8Qz*(ipOBkZ%@`DgV1*-|6F9W zsBk4{sq0H}U@v?#7Mm~z^ObSF&zF+HUfrsmh*nLRr&?kcPLE) zuD}LT)Y9S$w-|L?>75cd4zfMBBnSc@_-tZCtJ?17QS5~gt7OFIY)&39su<1760MX)NnMZ%bCU^>Y^rsX-hQT zG#wo8f|@ej+5{%enUV4bjWSK%{kOAspFER@ZLY-mVZ;0gS?jj3DZm&`(37&^`&Amg}qPCp10 z(gDMYiy#J)ac;jsK^S!DU(E7ces>RZKEspIq-FZl6nf^J=fo zG6e3!3hLEwl$j<1$LLp?!8(LR(1k}H@YLR~0CeFVUv@d4mMFLH_05sswAq56CIEeh zB)e~dfXHDtRXUK}HCrl@Gp|j*gf)YYrH_NKY!5vIRpfQxYJn(c|3E27Jzfk&Hs z(Q`UjDfE`WYC^|el?u)mA!*1IG1O&digTNBS-4$_#B$Un*ZcyT+ybP*xBvj!TMmu_ zx*5^X-SvNID+I@mqKDk^eVYuR|M_CKwqRA4G(l7PtGC8fknI5~H52FKc9Gtyy^q-+PJXx^IJy!WZ5?t^{Q{CozF#uLxC z_>F1WR^?uCG%(srPX~>+i&dNG9JTpNRC$Jtdnnv&<&7z< z%=u;?9h&Ix{kd)~^U!M5I5g4Pi;~dy1o9r5Ob2GqwN#FIc~v^ZxBjo||EB4GOXB~} zlhb=d8@xnxfpQ#4<40fkJP3kVKwuAx8RR}&YCN5JT%(`>!rydR&~gKo3+Zk#cl!nR z%sn!`eHb(s$TAOjm#MYLloayuseZ!Kq>>9k=Vpw?675&VtsCFt;a)%4(-wyWwfVH# zix1^XN)A5NFPp<<&0h};VvT?uVy{;ASYoN^0awA~hdmy(B$;_W8Xe&>Z9xSJci>X; zwfoq=Jz2!G53`>V0QSkAyjH4TA9+g?5AB6>Z&rM5OL(ghTpySfHHPrO`8=$8*4aSYi;`~615E2&B_w_u8pT6AhWP&>A1T99YERRwf zkZSWECH>~pCf2_2nz+(9`Fbjuq0v#hr6S=% z&~W78o{}%^)B7RB7~VEPMUS=_3H11Yt&jq7`fCx=f&V*?y^Xc$)u-w-)@3L@BH$l> z>@$9wERfu5?Ar9VM{tOUU%fEl_Eu8oXaE3+PVnim`A*aoc{sUEva)Vk%Ea5Zw(yAYi2Q~ZdA4i-}LphlK8aikC+$%@{4V9sbCGFxwD%&p$ z^n1VaTjy6=Y*XXUCCQRu@Xbnf%zdlf29?<1fB9rS^yy0R_h-`Omi$L+zpVw2j6Z=7 z5nF!`giEzc`%#oDbN=lyGN7MiNkXZx$21S0+0@XfnDRm>kPZhXwnB)@$+amhAQt5EfgARJb|~{1(wSK#d$S1ItUeG4;Uu zgD%Xlm0k&H`b;l(oOVJh2G}}2W)E@i8y4y$m)%62JbRI{yY$7R`;Y2n%x_taDdq&2}pFxCkqy_HKDQAg2@?7IrD7BK|vh z^XqVj1l2LkaiJ@}LMp{>q+y%>Rr5&d{m=pP>yh1+ZG^n5 z$}oAQM`^YGV`yExg2oXS6r|u}#9nfFA82_*$bqjS@m~C~03N-D-qXEN%_7;rspJTGvY`3~f@S#}da=pi|7T1x&sCb5TEDt;iRfOW!#f@AF24Ji|9v9QhMD=I0H zF%#&UF*=+93~KKqWc&Rss`tC|L&;!(H2&L!!1ke+jc&h2ygB%Xr1$W6aZpo7=N)5# z1ZcG2T&%?O@Ohg5m^N#P>8x&h+lh6LDQ}|x&d;~PI%m1J~7{vzPXt*!np@SV4=Tb)%U}FvFCirt+wpA z?0y=-Unv*cq$s2^A0daJ9esI$-<@h0P)h$7lWECcQo0uOXYp5~lSOZxg{yotm-&V= zM@TJEFPJ<;+rRZLopdPL3`8PENUl zJ7f;f(!~AK-#pphBDs|V*j9cQY%AOBl-0&zy|#(IsNW5rczkC=dtw&4W__%%bxtDg zrU>gfa1denNZC6&KVZ0qcKZ239pm(H24!hvCIl4!1Q(iYYuzNZ3aZtPgOL^Xnf%I9 z5m`#wp>~T^m|3%q+LA9=HF*A!`Qap8x76XQcCo)#KLwNI-w@HWRO1@o4E`*DsXW)1 z_FJh2aqlyc*nqOAWoYjLlzy{RKj;dOtlDqASZ0-T+Ja}+sX5V#_FC7e;r&qDcf4To z&@ApAR=m@q+|5y;r7*nUqs;#+ClU2LdVtg!Pj=%|Y}_=e0{ddd>DOsWXC#$s`l$im zpG3-&a$zou!MRa9BT0eCV+CE&0Y(39GnV&rLo~OOlz)6%SKla2c#4aEdS&2HpMoFm;;b72<+oYbAjzQU8)IsL+t&ES zN`EJ@VJ~M1WD*XoarJ)9N>Emi^TPNXJyCp-)~8MR;R+V+>!G>g@v zcz#(|x}3QfBMQ|cuJ1hKVj!sHv{@wx&EQV3{vTa2@Z%{Tt7v06M(vSU^jK1e@>$bl z9(rwiMAAWu-`-y${4?VWzSOIHv69(Daus_&P?Mwe+mp#!&N`y+)PA*wsfKIA_NX83 zj+}$|-}JuFK$41LDc;t7vywZI-s@wJPwhDy7(1;}^LK~FX<0xc&6V)I-b&(8R69f5 zx-HQb2Otf-h?hI}HJ@?VGJfgv+y_{}_{$C_#+{aPzjM_0nmeY=eGGJhR|jxbNpA8TUmdGlAhxBJUa4@^hS7GKaEHpi2TLLna{XfD6Sh z62qCT+0A4Ww<&LMOyDykvDclq=?X+O{^g3JJ&wSY6ubD3LT4Ad6C@IN&z*HX9+nSt zeMu^cXYk~x_5JiC)G|JHAdB3EX(!ucG-He)CsaTGy@4u(6Dc@@EUjtX&Z~1FUaoNz~tLErlL_>L(JHx65pQVQI*D z$#{KdbIdXKV+hXwa)$1Ez*SH-Vp->o)aEd$n4@&K^2_(^bM?qDadPpum)zhd=ZvSX z_#VfeS1WGU-VzTzJv2A1q9SBwxDbC4)~ew5MMov4{vweBOL8OtaKkB#@-f+Q7Z2CG zq54w{FA`uvRm~Q0Nya}CM839RabL}ZrrIHv<81epqAJaQ*&M0aS&cJIh?o>RUdE*W zvV^9kj|lA=d7_qSkWz&l0%w|NP7Ifhv65}Ov+T#HIjUEAIwlh_Y%{YSr4?*Er0+7M zoZ9njoa7UrJd%8%7KXgV+9T>|s#yWSrOd{-((&21v3LZDP;iRtQF;{B_!GmHMjaoOnFQhN1XTb!Ed%p9aDDh1rerM$AMG z5_Zx}g0hQBp9jH+NV<^+xyjck;?+l@({Qz@Sh5UibKIMVpG!KT!w1Dmv|O!EGBunm zZ6;%w7ZXklrkUQe*oaK7fYAm^HpL#p=~N4=^kMv}QVp?~Y$p@+9q!bQ-xEAh*3&gPubFjW+&QsypU z6GDXHB){+d*PQ}21A|`X=D@xtVV`CEEpz0uBH+5#Su*2jz@ovtn;vAZ%7}NsIVeVM(m<`2fNQ$hA(z6$+N(x3z4gd{(Z8irwaz<%8bPG#~bV z@^Mc0as+A~b&<1fF&xJBx88>e#}7VQdNx@%nuN_(!AT>PuMN8bi6mnjg9NIv^jJ z1TvSO6H`If6&*tE7X}Up*S}etD~}4>;nW*&6F>`7`WY&4u~HV>_Q;m{cRcA18# zH81ow9;PLs*jDC!@|YWRS|L0TPmt1f|6cd(;H9~raU!t-=j0M-#-$A5?Vq&u^qt7+ zY+$C}Ql+d5i>^!bR^F@hgFZeGAGQ9z(`W+!1CF|xs@LrrdcWto0w<3iGY<-2F=Q>0 zb4)Ou_SN5hf%s_ucB8COxv*T%UA`BO)LZ7KHisJtwi=6d1{Ox&%#E{-$>_G2mPOR* zPMbwt!kH<3o*0Zw4Zjbpy@`5bYwt4SK24T6UnAnOq;s^R|M+@WigCZYn7Ex@?{}&s z7sA~Pf^ct8NrR?hk*P>xgoVv2i)z2|;mNDy%>Vqse=jRHp<$PYxB^Tx`lOP$eRT=Y zZmJ}r)ekcjOBf^Cmlc7DEQOn%+ouJ>Cs6Z+pnfvT^u1=T?igg0CA4-3D< z{8{>4^MB*kvMtX_y!M5|4FyWxeJ7p@zR9JKz{w?#(g#zSeU)pqkw$E~ z`ralLO-{rJYlkC2{o5r7*Z+M}aP#vITb(^kT;=^ZH~?FneKT%c)qfB{3~p_ebJ?>E z{Ix1lHojDKU(l5Kr>N;M3F5l+@Faz}U0UyVQz_cpBy83CEOB*pWP~ zvmj3^&Y{sdd{$k0u&}L2*M%jc*ysi;k}@{dfX$p}L*AVz^K2qv71SoANGg%0ouvbIr086`wcx z-WTXc+fS9vK~MA#l8`fgp9(tHd~k%%L&Lc?5y8Y@<5oF7j~it^Q0e%V86T8#f5&cS z_<%NC;fUzy+D_Vhsd7*lGL&2iK*Nt?jo$AaK5ZGruQl}c6IZDwXSaYF&fF2?s{@3(p@292WwHFZbrd^0z+%&q z9I5ujc9Hi9e%8w0x+kN|Ch$8gv|Jm5c#k?SdFOY1tXpPXp1xmblsMazIe2MvfZl&M zvxF*bGW|K2=#Pm)mX+zOzi#JV1%Qo|!WeK^9U;aQsGo1~>u0z!cj{3Rupya^$3OT2 zPudUDJq%kc_0c}zyS#qBT(&+Nd={>e(ksneQ2TAy$ta?*ijk|G_1RRJjNVtxWv$13 z;!F?O^PMd7pJ6#X$kzwzPq-L$5eD<%Ynb>s7ZzX%qwp60x z>#v(lChF_p=EY5A^{(}~Iz1D9gz7oh6@f##t2J&J`haFaJIb@zW-60z+F)k)o;7tp zOt^F03ghgBgpl~onX!6+Zr_qniesh4)g3VjCTG(> zujnP9?=Ib+-2kORkjz0C^xm2>;+I4-#6)gINv5l$kR0* zWm7U%%#}!TGpY!@%tAClmKp5~#t=z=sl;ng{I4@9!Cw{w-+asqtjNMkKX@c1lD@<6 zWOU-2YZ)~AB(1tuPQyS=Ks*80u+?wFn?FXpbVjQ3nQ?h(MQOTnKtd#m1C*n7rI3E9 zc+&ClRi1!kl>WU|ru2s$OwgG5<$rOjw22imzP^qSdYxo}cpvt~$gjsWZ>#e0u?%=j zJM(c5h0(-K<}YTWA+a|jH~Y(vG&%kd!`fE6TAOy?);qbLn&;nl8{rJL<@T#c1Eg(x z8e_;BF?DqMX@mw!9U@(yR7gSJTE{(ux(!3XLqDAU?y)>!zNU!<52xqgfVOcOHZw3h z@W>YKEhXMS;Sdnz66`0H%yg=YRo?QMu8TtjSVsx`z4BUEp)QYljP4UFG`r#jTv!-0 z^!!)xMP5v?{>8PGD21k73AEm0!zQT5ny;+!d2m<2j~l<5w{Ps;g`k`qH7{&bl=Bn5 zKEjAyODiEQE$xnlLR)HN^!D&Tu|8;B4X zX~?TW0t%<6`ZR)$`AuU%&e2wvPR(ZQiLA{@FZ_LvgZ^oGUT%CzBB*(H`uBwYF0gU0 z21nNaQBk?g+3|~jy&SYEX>6v=wq4sXly0PPRwQ|FeVO+;DfgqR&p+d+Us{HkcfU-! zWA|1StVTvgBm&(xrPuU_CAHDUa{p=nX)q;dvV`&cqeC5wLq4h!h{QA^UlLsnG@2Ey zq*^ekDGHp>P=UHW|ERGwRy?@=(36vj6z{dXK@}u0(tQDOj=mCAQ1M-?TlGy-=8VsP z_6Ds=x~9Y>^czR9oy`~D{Si>vN~wr3vZ4lmNwRwPn@*rX`;Vzd#9Y)G0LLEfbY-O? zohQs!JJ7>2faoObA-(Yb&tui0`rk*Yp~m_BJV)r76X>F_SkqGPqmfs}n>>XH78>zS zEosR({kk{BR>{Atg36BXaE*_(Cm@4@MP=#n!|O1lu=miO)Ghd~^Vg%+jV4VAb8g?D+b|u`qebjHr0)SfxUH1wuKJ{?NSV@&Yq&ZvOe+uOUE8<;u;T zd^o+vgAn{BVJOk{5krdLwB!?kBY0lNzBoVc{V=F0N@_VvGfH+Kl0n~T*eV63t#g+? zK&LpA&r%w!()1-sBVw{sz4n6){$e31S)tvHRiDeE!qrG7O0~64o>mo*6cd6S5zW)5 zqZT*X@Ov*}#1Cir)>9{8^y}4FX>$WTe11`G@68CFOk>QJp?mGOnP6`!hhAg7q4KZ# z^_gw?9%ySt!;lGAmx~Y6Rvnt`;ZAnJUr5;^$XQWGi@9q4YFc%N~y`}y3S4#P~MGt!s}V%m6o`D_H)}c>ujOHrQ@i8 zI?G*VG)@r2zU&O0>+T0Y`Q#a?J@A;I5-6%=^nOPG+}GDO*LTbl{TX!yZyqW!qdSgh$!FW78ANT4WZvy~FtY_s3Edcuh;A3( z!$tsB4P9nHDLkWGie3j7A<1bn!(QK;n)7s6UPWNpkVk0_LyOmn>epeh4F2l6NPS6YB#3X&szn}BaI#jV!ySSa4R zaEz1ykOe1~Utu;3CH}1c6aTfNZj`t#hzLzVYdQ5CN`bQ9{tCwO%m?&lH>j6 zL!5?pO!wL(%K&Ke&7ZxL4}~;J><^nnur-0YoQYOf^E6la2A7^ix(rT39Q?*YZ|ftz zZfoV4F#LL#FQDSyH-HX(iOZY)4StjC8YA*nBSzpzD{qaq+|jr{a>PH*d*$XKAco*O zL8qni(~jpm-dXZuM@h!vzkQlw$kS`)C4~3bB#UF}KI?#qv(6dQ{5|Ux%Z1NL1oPt% z&G9}YB*kMt9$MRQ#h^xilDfQ?kun9Dri0c(3XD0>hiCl=G}XzhRy>diVK&YG(?|R( z!QpvA<;1wYm_tv|yTzpkq~0MFzus<+xm$89Rb$7#M;JdQQ&L|{q}|e<;tKhtXzAO) z373ENjlGzyJDtgO;9Z(&9W&dG3fB=w-%A6FPb2=jmVQ(26)Gz9vcrw$FZv*HCT8ZV zf((a10)Z4&S)3{Y;QX%$!SU0>ehD=un-@$KDJmB?c(~X5z~8eTR`jRbZq&DCM5c9B zM&0)~Lf1)Ss#j%V(`)e@JvAfUq zuN+!+JzGDk={(KTHslcn&USi*VB9&w9}SUOe8M066sG!=;X}*c8JFmL61RZT{x&;5l7qQ< zDt`5fpfcpm)_?1SKK``)Ps0e8Nun)BS+Ynco~W`3M;gv4a}GPx$>V$9@F3Ra@w`pw zO~zNBzg_e+O>L*3LamTyj^BXv^2hLl0P-UF6poz|_q(UgrZFo9gq52kVIoa8gg>=i zJo16EfjRtp2XMUD7dX;}93eBe6a#wn%7dUHU2Hn$ykk0z9}}>Z`8}=WNs7-iw^xKQRmM|lP!>_4Svi$5@1OC+Gv;T#NSwoGd&n{0 zD*F)<@I>Z)37EL1oEs04pCn~Uk|FU@CX9+^8!eOPL+hf_48z`#))nazY=Yp=9EZ+o_q8<3>PR(AZ7sgIH_t8_sSVw5@af#n6 zmgK?_KRIQ>K?1>kTSK-O0}uh*acHCvOLp8NF;VlHe;tB%xAxmt!y3nDhyN(cTKbQ4 zM^^&G`G+?4pBnkmF$TjCV5`R}rt%Nzop~cTuFv??PiUQ9uVp4^&6_O&nzpyK86>0J zduU4|bzVpflA+8F-S>{hUK9NJA^Vkin{dwri8mo%9{BGX)9NmW6Wm?7J=^KWzE1*XiYr;&W~$ub zG%v0kZ#`~IO@~LBN`pS$Dbv|@SJC{(pl&;cMik2;LD}7NkuQy zVvCRnka6s~REBt%Ou(biS}fI|%nPQO4?LG=@yriU(0hm(W~tx0(buSs>w>B3EIB8U zl7rs=Ysd(#{?lrP2hYMev7X1KY8RkAfx-8@{(RAXn-U+9AeaoyvlRLH$I^eNoq4I`};o9m@bjcXS9BZ{$!g5~H(Z^uK_xr-vxg+5+#ckw$o zG_dmg_O0*gpe`%I6=EViEyu67=w%l&YV5w#GBaUHB9Y&!Cw^9m{0+D@NWG$>jtwTewd%l_Z@bw2NGoR@lI}VQB1DK`jB>O_li!n0p1gul?yq|h{ zp!v8Nk?x%pIe{S%y`Wm$C9^ygI*>M@ChaSWg76lCLG)k8$sx| zd_d&)(9?4EBmswT5^dpu5j_ZhpDr;plh5n+zW$AibdTR8t~UW_fXnS<>C|>b201Xy zr`&QR;OW!Kip4f!LEdjY6TH?z<>KKdcM2qxdjHL(ZN$*2`x_|n=~je)n%17ox+<82 z$q5e%ZiQ86$f{31D#x)O9@ybrgm+!ERTEC}(=HN3z*8mAfPP{Mqo}!f+rT=Z3gJkj zjGUi)B`~*~Tq%VBx;MBc2`ryJcHx`UXYyIjb)4oooG+xj5}gS19f!32HbW>LTBtOT zvP}4Io+Wn#Du>-mPE0@@r?;?wYGpACG076^X&*r7$jdY7U#^#*jZgI{qqOQPn?D{q z-VD>S;VE|o)oA?#07+IS&p)u>IN2(5k*R96mHGYAtH{!5Ui+7Mp-se>4_a=?n`@A$ zB<3}kdrTQ?me>eF8JqzO;i^|?^p<4k$S5gbS|*U_hXu;#YacP-Eu-e>rG$0aR< z93brW-Ds1zA|HAZiY;e}5SqW%|I@9c?t9PTwd)ydAGNhoFgOy)#iM=bqYAR>V0W&= z_wM1V&pDq?EO`X13wvV$?rw0pC046_V55pV(&>Onf<7rBd$3bS0e?^RU&Y<<>sv6~ zoP}U>2&EN3nwDHpSPKOXTce1*-SHn%TxqXD8Y^+BVo0?>+2{Le6-q*V0^|t6dEd*@ zb1R)t=o`I9W14qSuYH?mwQ(guc58h)xROAdX%BA6aMr}LS1JqPs42Vl9Y@8>1LrVL zH*qGCvu0FSiU;zHtMX|scZ&I~FK#on;p{=h41)eAwy*4mB1EF7qH^XoH(-}(L?nvK zu^g#z?<;!%#a=lyz<-qjFKy58H-oC~ajR0YztO5)VQo1uIvrcWK=jm36Ob90hMTzg_GY96n|ug8GwQ;=uPYLk;p8M#6| zx{0PA6DPSJNhOCp)AF>wBpkOB;s$6>h6zDCq5~|&AAa4;BjAtur}KMrKrm!yKQ$pC zDXD7tT?Y6haCLHlq%fTj5tJ|ElF$*+EUMhXcA}w@UO=aakG&t(aYi(M5>T(^+N?IW zq|^u2ETWZ>So7kWjF*p;*Fs38j`h~#rjNbe2n-8RLiaY0aZ_Ejs`l5v3-!m3BOCtYoOmH5Riz_s#SYQx(hmn7u99Pm?vYoT zt=L|XCb4^}M@ic4td0eU(L~vzHEAO6AD+ggY+_?$_(!)=N*WN?t@1sccJ=_h=NQO4 z?aXdLnVGaaFXwM5|KWHg#!i2yShSnnyj5?@%@9(*^$`e~Zz@gdo9>*yGg0U|=6@_E z8Z#B`)RP-^>}LP&y7`^urqn27FHV272j2PBS5Z}+sZ#KV>2s06ee$A%x@o}Vv1i78 z!6&B8m>?ynT`Z*fTP3p=Z{Oewft@8e-wI9ZoP@hHLkevmY$WwG%UhXB&a;=H6YW6W0fGnZ)0lZQb%@8w;X3 zqOZ4O@jzwhHNwQ&x00s-nwlCW&*H1?PGy0k=-Mq36<|EL|BNIGf_|i1pw71_Uw4zd z2od6S_kh~euP)vA?Sq*b_$*Ph^ZxBt^bAb=q@QF7mj-YzAH|A$W@Rz1eoj$5jW7J5 zZJcUL%+TY~Ak!e!VM$>CG&r(5cqNu&Bz^II+A`+ZQZf7AURlvNv!X4uP`T`@Ou81o z%J2sijJhComJNwQT&^OXM*>h)+-Tr{Qh2Wr!=$B(?N)dpPn1hD{E|knr=9mBVROr# zCIgi3O(d(|qD(1xm-Wo<%3cGhe*^KTJDwO?t2sPKa{0nAfD5-ZPvXx@8AsNet~Vts z6kPaVo)q-tWlSmMgH1J7b(98#YN z>Tr_lnG)vyml5jCrgtEeaW7iupf!s@FkyyV9}s36wYv%$c=<=2Wl&q-&9b*#*gsaF zORh5n7BR{}Cb?IjSOMhda*}_UhZ2E;}5Zca-ktH*w~XIH(zV% zUoCC|i*Jj%v~Lam43P1~dgz4N(Bn$59BUr8malN8GRWi4EUUMO(B#*IF`it*tugLx z*7R-Kd|W7=b&iUL_6BYOTR#6A+uxzak!D)bfrdW#^Xz;N&)OgEZhG9d5=&tMvr!9_ z{zJGo=X@0`r|swRMjr82r|*nH^AStJN`uMoBWS}a8>;Oy2I_3pfVyN;-hC3WB}ZPz zbq>n)_?F+A4Sj&@BIbp7s-H_Fs#nLl7Qmdy1myUf^{*y<13b8LuK+EkL|WWF$E7Xb z60qTmd?-6xXt~t!^UT8Mh%E||VpU#d6053%t@@0$7K~rJnXV1%reN&I_R;~X=TMtr3(0>UqswK?nf(|RZAeMTbQ7_40OSv0A zGi?+8dv%Y#*FKF7DQf3k2*^4da}4IYzJYh$W5GJ=3m(-;R3JZVafeUSmNVbJdi2Vv zE|K#JzOLe^JeLoKrm;gcYJoqIs20+cmd=%cAnfK36SN>D_%wVOBRCdvx zP1KGCFzQp@m&Xd(Ej7IUT>af{D*CrJqYRXV-Mc54%{M}%sj9qaYea^&UA4t+_X9Pi zUL3RQpUuzjcX>JgyaHhMT;_5h@Ot2fL-hUv<0nEcjNzx8wY7<+<141oD?88AVhrRr zv6gKKDi`9pGOHIdZ!MT!DEv&p6Z;rrS7N#YH=Zn?H%sYV^5|R{W=j&oy$b(FZ9~Y; z6r>cgr)*@xZ?L*Hc12U4rfvMR;)`g$)X|*s#j=gw7NvqBU$TL0c3J)@Ct+$Y(ijA6 zJNG^w@*UN$#-;WRtmM#io%4hh2Y+$7)syo_@O@l)d{r zJt2U~W27Y+J{4^qkm@t)=dWWx4M+7Q7~Qz8hj;XtO5_OSonx;fG8vqcXspuu zM`QH#v2fY!O1DsD6@eGyp;K@A9WrTR2{6-=oLfIK+=@rrJVKjvJEjxpzuXVoT<6Ns zdh~(sdW;WiFPvk>ego#j3fRB)JML0Ue6}PU;fE;kF8118zJeYQ_2n&~fa0e9>LV3f zCp@%_^q>pf{m;krm6$U5?>#iN`r|uX{8n>dBuNs9o{~4hJvl9K|RQE0M zoQF{u|KOf07sSP}I(G@+wB*My_u6u|OKn$Av|l!6K{8Jt*pjpJ4pPu_ zHF{x#6Tf}Pr1_A~%blAZF?xw;r6kIyxSMlgwhnpNSNfBld$f#$NGx>J1b8Eg8 z9p@lD^L|AhL7c3Km@avK0R9K0Pr&>(OYL0SL0_}OT)`g6@`?n8)amb0wOD#-LcgDc z9KJT055kAJ+z&VGBd4caOBv?ZR{P$A=(hJ^oO+}VEgw*c))`*UV-fhd&#wO61JG46 z_~RL_Zln>!IsuuCoh_0@z=jlt3XE{V>)hLCZ+tFkGl>jWJ+P zpE}rMA6VNR!YM~B2|R{Bf0Ds9NHI-X34c6Wv;53tKC~e_ND3VN20K^H+9QXawvp;w z%a;RW9itzey@{@0>(le1y#9z*!1`0uyyqNZt#SY#u3d#YUyM+2=@gS;d{L&w*o~?M zXW}(}8pcVrI@lrP(kVi1sZ3y`NdM)r5ye7V|A7k)gPpz>cHb-q=Hm6~)|-Lob&%-C zBd@9y$*01A)Mxsfk7+r!}poKFdftNTL&#Sin zHP?Mo!!{dZ8(dduD{6zz8t0@7Y=4Zf(M0=RE2JK;;Lp2kK^oh_C^@#*mcVStwG$Kc z^6sWh@hsEMF_6>!G@BM!#vJta?+tg+<;7|0!!fLA8P4qefb7N!A6+r}#=NZM=UI`Q zI+l|45||Yi-;F-L!?oU@-DRvTSS#(pn#ypCOaBLhKzzTNX`h4PzjrAQ%c%ce;p}s` z<9`+P-$e@i_jC1MTN&a^XgTM<&uIT!6n~L_+O^))zdlm@XQ_RNe^K@S_aUe04_6(d z{)+JL==9^`&-~w>>`3VkRQpfu{CfcW`5pCdzAYQ?mFYQ3<)i*5P~X4`e$P~@-viWt z=muaV3|IXdecY`dOE3OY{y5X}cb?n$LOj|w%%T31^1rg?ck^$~lx_om^eN)C{M!j-jmp2Ji;s^HAEZr&Z6>vp%4g?PtYcw4N{<{>|I^Kj*1H6b_aa43>B+qgvtE4< zW1J`NtXlpLww5V>RibHHV}Fe_W*YUbmYE7H7wM;(dRd|-{FouCWiCV-HO<2lH4;x& zOOgK@QGbi__zU%vW1J^#;39E7X%{|L`N3;rStmbM;d=lIkCGTlQ6wy@kx(>}+FOK* zGVSsOp7x4H>OwA3Jb$<&r-yejFXHSowPuQM5lv>FohVod|GO#wY~wwPwgV`9ulyCs zXICR=Cf|Q5^>mzj$3pvzg3iCvNWTvDTOX0WtK;8h!tbG-jbt}S_d@;S&huFE_@l2} zqVlJieqluN3(&D;dPeeBZlp5#hv{|4)Ia~J{K~tzFbeH= zHDXrp+RV~piqpXzram2af;KU^aYq{V0XUQ+$d>CV)ahtA;7J!}KE0ybg# z3i;nDp#K!`<4x;5qa6J?HUAJl&qj!UT1Wq%YCFEqh4D=vxYp6voYit&8_#OSQlx)8 zEfPzre_W*us0g=fJ>#2Wn)x3`Yu4M{p{aiHcC}c4s>ToV*QDV49F<{n^}kf%1Xo2+81x_jPkSoCMSPt{{g7~qmw@`{!Blq{EMmln6bpd_~W%2 zlONzf`ipD)r~U6y|2ancQ~rm+_Z}+4u`2)XT>Q-{IOCgG&(L}muK`)&9j(9B{*;Y2 z_?(4aVmVr3F-)!gLHYmW>URMxfP$R~6y+cPwH5ClpMd|B(BJM}W`6lpcqaMAn>tte zT*sebfMF<){89HK!9SjH2&cF;(_LMDW*c-VyzgZh%|Cb+G#2{DzZL(qp#2fz2dN?4 z?#4g*?=+}m2g84IiU0Gy6fSqMFg|folJ(t9^XqBqAN22&klX0r?8mDk^l>J7_8*P^ zy!?W16U6!=|Cz18e|CiY^bxxof;3KY`r}NUztPNZcW%v$!a7E}S|LoLGZKpf!YQX&i|sopW!@)Ai)e|7 zxo3#x9v+h$a*ey|V?8y=C}bTaSVrd-SnbNny_&BHSQz!7P8_lD%C+TI0(R4}bqdu4 zSHVn6tiN35c;VL|%Hv-Ar~&S?kOyqS@~WxS(nlhbsbyhm*(-``txfcZ|c}#@`0bm!obhFW7*jF`>Qsfr+EIq*Rl6AJvSnMCjUh6 zn41*8t&(yTaaDNYgzBk>Y5fBvj6(h4ExI8d;BOE-6`Syh>Mx~F{0~Cjj~)G=yZ(9( z7`Au%InC7{D!K~isQ-}eEixZy`~!S$r*ns+S%E?}slU@Sny4Qh96V8)%rw9UfL#?+5ZQcwI^BV|E|%R)=9 z4awsH{_tdRwA2Ys)A(XZtiK&ryjnel9J0_8@Z5H|O)T8FRqO3pZQcX&o%Uz@ui@!P zM`2AJ#R)sq)$gbDq5a4|>+f*&`kYEQNb70I?bXt3`wI4H%_-HAy@2_grj`irssO2e zex#mkvsmK%K=Um3_t5&Yd4NLw>3t>f7;209TSE0m{cJ4J8|(R6C zw#YISVZQ!5%@isgzB<)teB|^qo$7C>>X&3T7Mw)Y7%8*GaB(~;&SX~>=!d#R*DzZ7 zAA$q#-8N?YNN0KKW;T{Iv|F5ceK%&rm|-y^*z-3d@euMlB4Olj0?9979o!a1Kreot z8o6QIUgEgTi(9+j3+GcU{f*m)lud33Ga8;-RM8SB=9_PWr?qloZw)kHyOi3MI^N%= z)V_nXyNJEmyz!xC$umo&MYHfPC#`YH{?rERhb!AdaNz5&Q2H~gZK&<@ls@CvRtU@J zgY|Hf(jN^e^R)c~&GKoMJOjCBNBAE`t61zk?x#ymVy+4p;li29Kfi^=_dJ{ba|L%H zjMSfKZsUAz1;o>hntRwEh{Gg0pDQ{8wA@57ynaA7oc8&w9I7Wby+R3*Mg*mO%Z_l|JR?+j&iXzO&$Gh>lHEe$Ha>MyR0Oc{dmL!__*A zVQzRz@=a`l=K*8=sxCKx6k&~r;K!OL!(GaMk^U}5Co6gK|Es|MM}vR*%K)`M?RNu? z@6%Zd>=A%BT&*hv!*Jm7|5E+;=Q^Kd|CwC;*cV0b(G>zeiqmfuSFjcQ)IN8^zkUaO zzNPU&8DG-)X8+A~?A+7Ie=h99I<}ox`8yDVcufA#G;p7VU+BXo?CkjS@@d;}Aa+<% z{`j>f+Gvo*AMrot?0cKy-%j;cWWW6j@bfKZJky^`@%vfe+rW+Y1_!Ve7E%5=w#|pJ z=PjYz_@m1IgyQ$J=o8V#^go~aM{3^>bZp&H?MwPcg5L3p|2mypT;}E`+d2O~HyInr z#+_R4>3;z@OG+R2T?T-Ir+>Vo&lQ*l;BR&hWk{~4wFRawF} ztVBum^P2Lvx$+0UZz;sb6%|`mkt-c|uUjlL;a@!<9v>-Gv+u+7f+t^Z+&rP#jM+G^ ze$s+$!*{fUQ20c%O%=D2^z;NIzW>WpJ4U(vh33X0ZtY8ElO)omzCX4eXCmMP%of6C1kebS9S$k}Eo zu317Q!LoFbZk8|L=27Klt3Gjq*w59?Dawt_DT=u1t?+VO>5cf)F@bXiN^4h*I40ca z#)N@7gTAU_%sE$Wm*NLF;=ekXvw>zTqz_AE+OF~>A)`Umco5t|aXp6Z4As_M8q-DE zT1#zLloN1WC2EU!J${qsKqZ(;Yua2urR4~;p3X4#$C>4~s*Q8pHMk?;FMLlBQ5t@n z(Zs@f{IiIUZ0|5LR8NqPp7jsOdyKUXO6HN#kJ2D^a7OkA^i z4){+{H{dr+e=Z&x+us3i#A<2JF8Gi+0mk28?f)foP5$n8{H^KEZf%`xe&w%dhI72q zPwo4T+Rs)r87<2?{I!MY&GlG+z%mI2^! zwAz>Yc~oXqY~DBleolHr-3&AD4A9PSsUPou#{XF=f2tpT%@gqe=+4BPie9%|mxPBa_``~6R`T>`cf%I(f4|Z%;(u^~ ze*dieU+egP!tsBb@_$za{}*ciBL03;!5`jd5lgDyGn7B$pZ34e@rN(;#r*Pkhy0O9 zo_=xPMa+*y{7^{LKc4_4A8TP8#k4N+zjMj>&N1L!#m|0v)*a_T zIu?g}Sbb`s4V6FBkNzEOIq&*7`}*K6C>= z|GHcAPucttT>`FB#4;NAQ~RMR_2Go!KOqw^^XXlTpYUm`rwp|JbXrgR zW`D}1SDL~|cGkjG6ZU7lu7;4utULq!tmAK1rSI!|QBS#8ulEDD^#2I;IxlIMelRDB z{B!(&Sp9oV=-&L(zEjW(F3_~cm>~`92fIhPD88mt`wU6?zpeUR0*F{ffc`U}zpB!> z3Ai`F?-iYYv(3v|HyGO$(Em(qO@5-GY=g9o@n`qg_M$$I|3ymt6J5e8Pz1JZE1ZV^ zVeOyF_pZu^ZzIG)`Q}jGa9?%LdRqUK%18dML%rn~;z!r)&40sC@BQ;T)BzOE&=oG; zF)@MYgYbs&H<6xy7UdVaI#y)AGYjnZp7OtvlkZn5-+v+B&rr`RppQHGu1Ebt>SM;A z-2>SRp7ZRpnCiEPzat9x(<_bPR^^ZRdrRf-Kj1-QF`Hi((YN#Ky!-{0!*A6;iu7w& zXN&khw}5|(&tE3`y;=2l8uW{A5cb^f^t&SX0Z*|UBJIKr+COjpimI@^(&zc7_pd*x zeJwtCesctHvJVNE{g{+_TqNIl1@e8R_`Q5*sD1VX9lM9}VJ9Es`wuF=(Z9Y#KCl%o zRQg5s`2vVoiugaLfPc&1_B1E`3v;0mk>T${9Ur$TnIE88u?(!DJj|2!1|3 zCf58vKa|3vY{~)tDVAU1o+H-asgYPl!e$=ZLb{rA41jDb^nse)F z72e1e3s(!wlL>fL@xQNYkG+89;s04dHu{Hpvww@iY;HB_FqLl&#ZUR>aq?ZD^zE*m zVF`V_IVb=WX49qQl>djd|Kb&Er{|F{N@^dAwk1?PUeB4$@wbfH56_FE2KRp8pHEb9 z|8aP_>MES7*}zuISkJOD&Rj3U?Bi;;TE^!+ACoAFD?>0%n8lq9n=!ng=O;wwXtKs<8%igxjg(TfI`2k z-=qGA(JAtm(#QLY0~%)Z>A!z-{cqC#U+HRa+8<{zvHuLt|8I2t^$q{wwSxYS=FrzK zr$+vdQu?C{`ahe{ugbQPXR*E>Y7wHrNQ3Lc{Q-Miaf|4X5pkG@C8pyyaHu6Y;;P{T~D&7J9oy8jrWuxrtr< zWwUbxC#xIB>e}1l+COjZf@Z2xf1>iOqS=(u zV9!^wetYHr7s?-_;YHW~*An>wcL<`U`1#SNm42%K`!RCxds>TEZZ{j_?`4gfQWS*ZI)C2+5kMvqS*@XW{UOnpOZl!-z<#hzZ;_d zX&kSp*^=^egk@hZ|33@l$Gyd2Q_!<)$ZYf^`txlR?$Jk)R5`RNcc>HL0g4%O+2?r9Ks-X!)|j5#3BExV{jFF+;i6aGCy3qwK>TPTAc=Ox zF3R_?Hplx`sF;%d_@v~Wh(JIB-oSy@_;v)I*!{-M=VZMOPqo2gwr+t7-{%01mBqk! zI!qDqjEZ{Nfth0i3a%UxkMK>K^7;$E)`eaC24lmoX5%zPA*3?^kX9)q zUWjD{P`Dl#r;W0U6#Sy=`z_qcba^0X{afP?Xi-FpH4w8{zX|U#Rw5peVl?o)0X%%- zL*OC>@7%c-Z4veXb1q0s43lI$QwrX}Gie4P9rkLK;*+Q=N`dZXxnPN{0tzvC1-Pk>#M=RGI543HvJ4doY-PJ zvFRSr@KA+M9DP7+`T*K<%-#voE*fJ;Y--QBD8tw^ZF-2>^rWb1(bz}8gXcWsTC(Kt z$4hL=yBp@73~#Q0RxNk&p2vGzyh}J=4kIvD|H3A~{z#NmZ1)D*9#n zzokV3YqClFo(H~F5aL1n6W`#MZt)a~^F~q}lv#E#+P)5)cBg^FC8@Mp>xO7++J*OY z90%RV{8T)a&lKGj^=0%$x>cY7oQ(%c&SwziNv%fXW3+!9(hUbp;7vW>)gpyR7a+ym zEpU-;1;Cjz<;;<}jud~?BHf3eW#8Ks>1fR&>3F~R`M@}D)VWAEDcTRqk!~i?8in>R zK|0>oYSK-F_KfL?B8(al=@tOD&$UP)()|?h=Ud<+-F$#^#t&TrH>D%Rd0M37J;HV$ zu}DXVf6oQJtQ&1NCE^r!BLb6^0da@xu(T@<@aub=aumG_T*^v>#3|pBG<}Oi;twA~ zqWJ(v>LEQ8iPO{29`o3s-$wyl;&g7bkIk~bW}M=yRbhvWu4wpi;30mIha>olz|d;z z=IA^G814fGSRq)P5`%tsOKA${{FWA;3JIgYrL>ng#a9t}c&0EnBoerA@+jcAlN5NZ zh^?msKloOwgNv=F1^fZBiKNO5S3tseo-B{B&6k$S6i%{ZM6+};6E9SPT(Tl{eZvGB85md2c&qj1uoLf4*1)^Ez;4G zNpaasmcihLcl9rqLD-Mfi=>+q+^z*myjo?pyBqEI&yns>(E1SVDe`Zi5sTA1(f%Gt zNBBX}BSpIZ0RDN46e8UZA;lLhaFK2*z)2TJz(wf}0mYRvSq20D9l*JA24O!!c!xN4 zwMd-KfH++wiw{-}i1kl&5U0!(ts0Zr8abO38u$RxZy&k*8eBFS{{jy`hD6sz7$Gu? zTLKborkWfRw<0O-B#(0&wv;0A`#RbiM7Hlm{MZlMgD%HXp(`4`0C?sAUC|ih^i5!B z<-8fEoZ-F=3~NU&B`4nw3@=Ap8eQRhZbZ!+Xg^cdUNmqk+TR3iuL8{q9^vE`z;%YN zh{V=zp09Ax03J2&c^bI6jwuzSxO!$;+WKbTTqA?9U!)S}AJT`wi{FSgsHwti_|0`UiUN~`Uf(oGL;zXZk=opk?3`|IIVPskgpfI)0`z!xIj0$F>J z?nbn~5z_qz(g_}sj$gW+S~yWDL^{qAr)hzUbo_SDRiN910>-IqIv)3P()DWI_*g5IW1W4wR=U1+6AOEfk!tb^}_hLun! z?L}jJ`T$R4hTXxRz(r$613qVqW<+D0@9CUSNr=WcGwcO!<`tRulHxtUz`JhY9q^F7 z(Wc1yQ-ZfSz{fA0EQg~#XH2OqKY=ZtM|);yX)m%IiuU|wD>JmfMV3PV$JcTl9+BmL zfG^$x7g;z~Vy#bS!jpqMDe@VqZ6bG+WiGU7mE|HpB~E#*bO!LTerzrIlW{t!jtE$v z121Bi*^njH1TD^cPl#~g;df|{dvVYXYh}@QB!6xXIAP7w%%A)gE$7xkS7bj&@c?VU zx2VwVqmumD0ESt>`D(GXbt6)F~_28RRZdZ35vO~KYTb_Wl*>kG$LL_d5_Do0HFnoFZ0R~a@! z`_}a_nZa^>%rMBdIfGpXdgiy-g|6sxXW*F*_?r>Or^#D6Z;p&#gY$L3`3lam`vb$8 zIhMT%dVUZT4?>-^7t8L8_U8i!zC@;Q;p8uXudNnbHsXH^dc+zy;LJD8^$lV^N?Hb4 zJ@^ya@aau7$B&jLwpJ;4FP20WrC0lxQqYS=su%4Y zM?3MNzhR`i7gFp74y3(Eu^ZY`iqjy4z(tB(0oQYZ##Rx(NU@9Jrx(l2b29kn-!q;m z1wG2XUM{vEO?b_gXiObYu-}$|v`XEa`S9MeZNRbQHOac0 zq5VdgWTY!nUkX|`LTX-(mG&a_CTM>maNGu50vD+_2K?vX1i44yA~my+&R&QdMWntC zaD5tDz%eHAojJlmQ)aV_0&;R$CgWhV{ten(ou4AOx*9MV8E*kZ4bMDwj&_w?N^h5T_TS87@b}d#w!k=Av5_N$kaW#TG4a>b=q6dDASQ+04DK!CrS{))|a8E1`{j z{ZitckcYt)F-Vc|bP2@!gqclPGay#;?nl}Z2l(a3JN!0@cd-TgJqer~QO=IIM@Qcn zj(E3gH_J!3_yAWV@N^q#X^~t+OU*SQ#zsgxyIZ;46>w`Q+olgJ42!Ih$tjxWxIp|O z591maFbaL(Rd6;xR&KX}RxMNg9TaW#Kqw05Kf-G5WuQnH=XtiOz-Qv{g3|~e9yKVO zoDBF3YE#Kp;sgH%hugN;T72N2fd8%qEstfa7)2!FGp}2KCb$R@9KQn6Dp51TJO?iLp4o?^?!~)agm=x@;zc46=T2Lr zEwRe`CWRtLK(mo}hQJSkZ(Ibtw`H0U?>ZNF4i%e5T#W`EJ;-z}ql8fWEim&c_4%3n zGCw4oxshv&J4TKq!;HXHDtwqH8A01b5Ohhn4dr$zVDQI#UW@< zPQC#yfs0oh3^-Tdx5_ArSMZ$lFPT=ziFgI)RQtBTl^?)=0-DV;g{Kgecd6{`Z!6mY z61C>H0kM|(O>{)rndw`7D*wEona6g`bV+o=)v4fNRoLr~keX0~uLf>c0DQj$&JjWF z8zjGufPQ%GgMFo7H2`H%aC=V+T%^Er=S~W?Y?q>aJ)tSZAu5G@Sw^JT8{^lC>UBFu zjs^Z}aQkz>`F^thU?yqOZ3y_Z(mse3pF)Z!TeuY|76!Kuw7^A*pO2#y`W0V_k0RXi zn=ia77eVn);Jh}sw8CGCya@bbNZoD=+^y;`h!hKg+dEp|A_d;oP-%;@k#VamuaI5g z>Rd=+*Dj+F3V#Gr@LC38dqx{d`|ZBW)*MhrzDA)X-Z_aQDt0-=D<=IYu@{`^j=_XK$xBESAS` z97aJFo_U@e=?b33fQK_K*2@ajpCDbUHgN9;3bk=VjyBeA(MEojXpgU^HvR$0=7c18 zcPKazZ7c$=PSv=J#C4v(E40Dc2xmyV)6*=%EYZ4pAhs@BJ>WGyo(&0I(Z>9_+Gsu3 z6K(KZkE?3J9sdOb@9qL^!tv|7HckOYcv_=H8}osyf8=OmM`*+DC=mY;9#%kmowtJx z7SYCc@N+q~|K{9pIAr1dp12@CvO%vG+Aa~G3BB4vGewP{0YL~7n&L0emEvlOrAHeuXrY6EX8 z3~zw8)Qc=jLmNl5Xk%Ys;B{(g#edPpB7pPEwFwstyc?<$-?$Kz*T5|L!Z?Tgd<^mI z4yiW+d>P~o%qxIfq}~zm{gqSsHjU8Q4RC2^T!=RGiBAJ!+mxETG5dFE{WwqH8CNS*5VT?=jF9ePqn?bnN8(JXnUgseeK?z4$+;DCHJ)(@ zw~@C5PVUT`-g zgFtJI*8n*jysZqru8sa9E8&MB_6N7{fVk84aVPt0`a=eaJ53(0kz5oczpV%9INw1d z{K&(v05N^y5Jh;PRVQwV3-RI6jEfdHu>d>ZljXp_0{pv{d?@Yh?YOaX{AfB>#w~m3YH1;gtqE$Itxd%9;r~NmtM;Jsg z+acd!5^#VYM~$1nA+KBvh!AqeCr{{&&x1GkA=(MfnZetfIr)&!(XQ757a6Ysyt z#JXz4)!kiN+KZRsX_!QordHXzS(+Y#_q8bJl;t>Jus3yxhY-Shv8j7`URq?~_}kmU zgLufCX#cLl#Un(PY0-YCs0-slBJ?!i#xrrjpmsmZRAV8_2*Cqflx0d__%ef#3qodY znU{WycKCh>=B4kTB|ZD2ST8&;1fLnv;=RK2l!%(2wBQl_zZB0Sh|x;5{^t=qL3n-< z80O6&MB)LwLIrcLG~W*;ezmO_nRD&$A&l*HX|MKk{~t z%O2lETH+g%!q)WvQ4xlQS_t2u#n$38SHdovwCGdxe+gv&NsiCF1l+qTo`o^`n$K(l zdl@a+R?EmcF3=V~%E%|y2WAj*@c|&Oz%OpjvYYtKuAsGE4$s$s=jJLA5NOQa2cBEk z*2FGcTVtzcspfh8%tOfY)u6ZmATl2y&zCu$nJ(r)vC9bf0B05RM_7~MbAa!5c^{j|G79jIfq_@L(H%u(`5N$FqyLPVeI;N04RE{{ zCB&xjPh{a&bek~lRoi9#jPvMw;GAP0&l-h`e*waAc^2?6jg#d?@WAnR_KY{lR~G_~ zXR5>Ah~o*+*JAm3!28M@-VFpU_#I9?^PQO-sS=i^0sk_i*G?|4fLBn zhqvp%+wO7Z2n2esChfwbW~tWOe=|4YH20t3@rJemZt*qHeE5wtTk$_RJSP?h% z)T*oDk#n}PrCO&mHA9iUNNb@O5(Fe80s;0uSQzmn+42GB2XdFpGdJeD;L< zQpCHyg4eC8nSaWt+X1oqDtOnb(AB)r;|LN?P6nJ;@&Vuv<M?QQ5sRc$)K<5$(*ih7Pr zHD-smi>Kp!Fv7)N0|A$uD(y`F%&d}C4Nkt}lYKop8w?UPc-F5{13c5Ol8#(dwee@= zksbYGKeHFlh0MM~AYH57(GrDr$8}4z!yVDFy})@EuTrCq60>EKE4FX99WgcAu-DkN zp?#|Gc>9tYE9`fv=B_Ve{E2d8s4Q5AQI1U*Uq8Sg_s&PVNAlx)~fL7ya;=X5Q<8Cis!NKY(JZrxmR( zlFOIy8wQ)63$5TSThVssfVXcVK79Kq+DJy~OCj}qIU_RPWjnM5ES3}0X zW47=J@R+?mh2HR1?nvtuH?w*WF||G5yx&^*5T5x}@r_z!84#;4Es<#)h&g7S7rltm&J@7e4*x`k(vWgqaojlwU8atd6(p)6v@ z|E68mfGl@rkt?$BTns(I2Y<9n`xsGXm;a#OL!i%hFPJRv0VnSm1Trn+d#i(Iv)5!% zM&bDk#Mjj=?FG*3FNQ(3l0&ec-MRL@v&);~D9g>jyFTo)aMXpw(L=y_e+zFS3!j0N z`(2Df;TiAlh<9rfx{I?5XZ3tq&01SV#kZal8JqT67&?6&@+}hi5qsU!CS%{?=o;W+ z?vZs7k&(0RkuAK5jQ@wd^MJFYsP_NeQ2|jA6?viv>?#Uk0u%*&(nL{$l9NbK1Rfws z1Vx!KAc%^A2P!Cek&FowX;CnO7?7ZZ1w|461W`~yng8!wr%rcu->!RmXJ%(tpY@sU z>OTFelj>CH>b^aTOE>+m=02VI!jId&b7CW-|Kn<7xB29s1IF&>$M$8veK8xa@3T?* z%tQM+UufR2IX~!PHoi#xVdZ;{OqLFc=hk$#HHY5}(0NwW+4$Lfy3tzT!;L_R63 zBzy0}PZyPU%f6Mgqjjb8rSd=TlUoU^7yc*v@->~!&v!`Y6*}1%O#@g8$N|oy}q_m zyW6G(f1dCamZPc<8J8a4Yt-Y~leF;z;`uxE-+a%~`~2%<2pvpYV>A$uVrKtF%`*i81?>r`S^Z$vW zKR34V<-$DB=vQl;(zk;G{<>uRI#%?GH%FEK>$b=zjecy9Er$qwiehPI7$Yo}eyn-# zg;58SX@1^Pb+Jc_Ehotqua`NY4$PK&=9B-Al+K@&&QC~m{+)E*tEQXHZ9XgAHmlK1 zx37wRf!iovW&HQ)obz-4m^jSO_>%hpj~Ui`&yX#9tNynNac+J-P4r`0bbgm~)_*LcTAM0E%^A=;w>Ax&n4ok+q z4{NMnFX~`(9kb<^iu0WR6*R?%#E0KYdE5Ul#n|NPW!`Mz7lZo7aP2U)#vk8Ewe z*VF%3k#1(o`}HQ$3xwZMv_B;}e?s`nTKvC$pa1J~5A{jn&HPp$It))dHw?X_2&`Ht zT`JD>V`%YxAE_dpXWab48k~FYSmB>9u5T2dpNHD9NVr7w9Zkc+*7SInfR(y+g|wd1 zR$^%{&l3lpOES9oJnCDbZz2xzglMEMi-UggTWntHY;QU4CFCs8XO?ucA;cE!3elEIwEivq~o+Jups2tvIRWi@`@ zwDbnt^}jeoe@QK7Op{;8t1|=FUN3!GcY*L*ClTN^e!k?!`q zS{zlQn}+WeeFf29Qfg@QuS((t$f;3GvSM+TR7h%&fC>&G|uNpL;lk> z0viN65QnBfkveJqo_> z=`r>Tao~B=CgPmZ8IOKnv++6N>^ANe?6G=5H|lZM(nj+hbiUJwy_U?zKZ_5xK(lx- zJWv1Ms#fsc&?|G*Y@Dgl=czSK9ux=eYjnK;=g-=XkrvppMMD$T-=7OO{zj^AG)I;$G~i-02(A$k^7yhU`Lo%v{TwD8p9OXY3rRn5ka zi;likszwdIlqI@0bU8sbzDj3TDNdQgx;OCB65+hpv#7H1i}io%S4Dd(hd3VLu=iQf zshzh=Lo{_h{hCzsx_A#LEa~&oWDS*kgZj^n)FU~EwNx*!l|+*UQqQ@R(1bU9@T)!7 zGv9th^Z+P#mBhPC+li@XNn(5ts4!_z^d;W{i>)`Zapy?!-4-hP3GAO$lmxZ%H(|`I$E{-YL4>2XMtW zbB}O>_3aVQ|9XF^koStS)uhD$Zvm|yb$d&YYBjsRTJ9+=I`1Y8Pj8{WMf8(f=(~$< z8vDNTzjRIBYpOphr$)T3SV`JMIuko0_`>XEzQmg)KPmlVWmXscQ}V-OW$QNU4ir6| z{kY%Ol$Q=NzxC|Pf5!ja!tWjF#@Xnf36bfRkyqB;F6%_l#(a$ba6^lDmo%ieaEb2~ z=l2=1XKxZ#8tz$>_;hhmoJ-=x!f#y8D{R&GajNkED0h`6B`F+Ka~}J(9=hP|6U6yI zlPC)IeNq(K6rMJnZ60-qbmrG6g2ZGxzf1T7L|?RwUelR2_+IIZefxxRrt_i`(D~z~ zhUm>AzPI0}=&aZ?_CFf@3ct(P zL4543g4py-q5qo;{#@6di>oYGs1&bt8|e>0S@7WB~p*1cWU@l6=xGeXhc7UJ^~^#lh< z#&d#Z=8cU-M`i$pomt1a&FM9|S@#yvd45CxYG1clUlH;pA-Qw2Z*#p=7`}mio~SmH zGFvtiJ^pW|-q!KU`0#ZH zVoE)#^f1q_C;GACFKMFPs@mR9ZRd(oH?&<>m^mc{ZK3GX^rM%Gs{ThMP22w#{Ty-o zK||Y54A7Qm7F$SL<1-@e`fn!U^CD^cQOPj6X}hNA-!M%A&VNV$deJQ|BRRGL;%c_^ zsp4ciS*QQVTnMLama zLDr?MU^VesS=JpFcuQcciw#7Neuk)It)LM7%9_>I3f2K%t2>^RpIF+0b#E8uq>@58 zDDRL~I*iG_^Y-ZhGoRgrf2*vp0wW=Njrg1;&K5b4td6!3{eqgdX2n*bUs$7?6A$S;7mab_x6)*FQEVLye_SaF z^RA!CQ_l=BYU9hYDton%bIRB=8xNQ5yb(Sbc$)ar!&^Cft8iuMS-lU8?#HPX4z#gYNVNN;D9qES+waO=^F^=sHs%jC z-ONTU-;{59m@Kn#Rq4ES%P4=o_#YxVzsFATf757g=f1CO+@i*3BmgcFpBv1Fg_T%E z-eb0=SGo&+qu`o9O;$e^HUD$)i1pG>6`fT|N=s+uruq5e1FcHc9WHzf%heIt$Xx3_ z`HFix=b-<(K6-R%A4v1nVe-{K#o^wvUQFWB!h@<()knF8vqPDY09h>ZuQWMSoc}H@ zRt#G3rqXW|W6a|IEUMi#TdY1_W&LjDd%EVj9o*NBtjCbRVoQ1H6v?-HJ=0-^_`n;5 ztc66p=$FcNTT5VsAc;7Md(x+Jbcti|3O{ z3gw_MbHnDPLJe7;`Jm`CV`P3jNVCY?vac?xc;HuTywPWTMuLKT!|G2ePdymjth-P2 z=;vsNS@)Rek24J;-K_hk=san#*%7_{?TYYcl(t~qy}~S2QYZ(7x!jXQ<$nbGi2N$~ zYEyAzRqc*Iw^lGH(%vZRz9H+<5&8Gx|A2Vt&6G$t>wYJC^mEO+heSu0NK|Il{YCWG z*R12eOh2cz1?%n-X4#TLIVgL_Ix>!D$S)cPRo2dZU)9m(vf@fvVOK^%_9yYVUslWv z^$*FcctG^%PlLyK#s3rinWjmkn-z?wdq|%u0?~x%c}d_*+h=CQ82v8wgcpU@WAS#2 z${tp;#cJeeaquzPesONHekFYTeQQmJo76)}fg%!>8GerXwInYAN5QVzEvKdxri&t=m)5^ zZrq~zHwS3Gq42kqWkv$G+oidETPs4MYoj)4s_9V%C@+#5Siu7xO_C|k1 zQFL&mi&D4`KPC-JCBi|a3BRscHJ0i1ejp^a^9`(nf{w=dYDs)^=}}l%9Gu0uAZ zTg939TwU7P9h}wj71D4`p_UY#mhKkOAMPqT)_hCHZV~^D=)1LeHjDmS5t93&R8XMY zRX#_q%|5tzsiZJ}dyOPkGuK}4+mdL{UG$p>U2_e;DY~y2{z$!ux6N;fzKb~993ReA z-Emf>N)zxx6?W}3X~BGKeMz(5tr&;vHPd4S?`lbVLqJ>PA0ml7FL76I~3Bp(R(#D7H?k-dMgjRL8bUsQ*<4W0oirVXaQAlce zb7`ltg=_Lv(eIYTJulgM{RS8IufpNRfp zasIxzS`?a%7e&sd9h~nIXS_PQj2!DX_=e&3Q8)WOu6b`q(Rmi5|M6H*-fiSNy{{DA z-aCVC61SJcl@#?lE1+6W<@LB-s7I5C|MA|ofo53t(7D^?_(e%Qo+0{Pk^Y9Djd^c# zMdr>mpO_|R$S3<6J@C?hauwyoh+H~wFt5Hz9CBkc-sX4Tmj!!_^SzoCpI+mQQBxa?<`w361}Pyqti3LT=eFXuQv~ZL|>w%{qkJ6{-pTf7&#`5y>Uk3>e^mc+GvsUVR7Cs zdfUpFjmODX4+?KI_NK`avS@$dxihkB$40Mm#(SS`iI+?&6VxUWEhvu3{=VhLH71{zw8u~-?h|H$+cxl31{sqDJP|Tkf2-$0i z8C`CCtHdqT_91Mr9?zI2=o~JFKUE%@4UthCvbs?Ek1yI2j-+`WFZW}R_3L{h5fw(w*%?2?)+ zYe5@I*6lUA)yPcIUn4qiOn)S??s`eYYVM;gnhgJQ(eE@q1@d~m6@&t5XVsqA_@A0Y z^XCb|zOZKX?a}HRRYymL5@z*m$)cY>Q}i2xKTU_N6a!I*D9-51iSrMH=UWi_1&xf( zI6hLVsS#auoevFhYmAN&a*e3-dVy}t6 zEN0_}gq#^AnhwU{c43+Aa4)l1xNdYt)f;M@R}tq|2!X!b&3V*)Pspzcc~sd;SGs*l zbpFf9=Yv;_PK?nL;t#%$OG|f`bR*5uAl)q~(%m6l z(y>T>=#+fc@9*{ex%=*WXU>_KbK@Fp?cYi3U%NG*%S6Ay>`QmvYXv9yvu}O=VoL25 zTn{aeT$-@aJK;5)Gu4n>g7U3uJRV(N(5rr`Su&aSsj@4dJRXdp9#&lC9!;e z-n*}vWOK**^{>0V#veUR!%Aw!?GlOJznb-aLXmZp(U*0=E`{0+zEi6E|41kcPiZM! zV?KYQCxuLW8C-8?_T~6=nds%NAhPuN%BT4DJ}AjqM4{t0A&f0%k-^l@xlyx#)C1hG z$~tz>89AlJvlb&hw`y>PGh z*uP+6Riq6Z*fH>$I5)Tht|+yDW0#g|9M-+X+%BCNmt^1%x*rLrkL|TGypg=o6PQ!e z6#U9*IFuD$DK#Km0F?)Z0I6kH(pueB#d+{^Fr)Lh(>Qo1K+h3)&|fLPGuCk{w=Fn! zV@1MA3F~SkNWB7E*B9`$*C$(j{^RhZSfF)uuq!M>`TRm}j)T4$dzr3UFubK(bNgSv z%#^jl43}h!@=@SA*G9?j%i%h2aO5hv6Wd@@1{0k1NnwllZN)rACrPN57a{d4xX@o2 z=WZXk`3}*ZVf3?<^jzEg-iqL~OqZwNEo&`4l7tWeB+|HT?)o_u(@MQ zaJRnq&fyHayuYuq`wO-I4>7w#8P}S3>;wy$0gm}RQ8p5Qmiz74BZ9)RLfU8pZZGq~ zTBRCu=_pldXii4uk^RDm?Gf?Axj0m|UH$40q7rzw%7pFu)i-5FuZYA_My=D4b{=L z-1Zi&juwpP{(jOdAMohlwiicEtiz{`ikk_xxIsBX(x((%uT6w1F>!W_xi7p>_ERzX zlCqpmMAGfS{f*GCRJwV*8H?BtK1p|YTZ=s2?Ke(#WAA4ki!pu;tSG z-~PdS@J?`z;=u?|RNT%`E%1~7J$;Xw=N-2ur!3ZVFCgt+XBq2|CCYFg#CoM1LE)3x z+Z>>ekDwYh84GyFq41}e#@_+x9226r*=?B{Y4GUj0MNZR@I`z7Aw~ppB^XOV*V(`( z@rz)qFCZV>@tZU}4iHep*Pj2MYHVl4A;)^tAdQSD^zP2StoNhm3!brgSij+7yIIC} z#@t8N87=fLBztY&=4-**D&EaL4pn6iil2Cr^8* z3HjE2?F`wsT%;LV6xb9%nSm0WT=%Y92^EG9Q~Lpw!-0OqvhfGmxvZ zR2QHhWFFc8JZ1hwUe7J6VJFdIJ(tSnwihyZN%PS0OWtQih$POCJ?a^BOSau{1? zdA!dp41G~5T=SFkRZ5Z)UrN4-#yyVlo9|pFHDxle+_Y!>6#1cDRtS36ni^%wiL}xAK=U>A+wA*)t^aQ#p zWq2nZKmJ$D-MTbfW5rv&Y2JmS zS??R+iZ53nffJWp($9p307bQ20;fV}$%?c*#beUqXfV<2xv`*a1!Y@sweGUvmHFt* zlmed3RttBl?9T1rOW+!GdKTLqM$`!Q1ZoD4~64pr+C5?YdHJl~LJA##QP z0~_{N!6RHu}fLu$ClrZhy$#kJV#4T;@%vGJ3?Dq!U<7LrO9Xow z(t7I~#%6hZD)$y5wLH9!Q4`VCHVBD(QaF7u_BR!H(Q%#5N}B+SsBZTlFsZzFyS?*d z4OS@&R`krN*Qm0XwPZ}bZMvIU@}Vuvbh!DPr25}PV&mtg~*!5#UpFf4BaG zQ62D8@o4gG%DUkvQhFHukE-I{+wzakKc0rrULu3O3Zgp9JUVjPKN+_Cr*Y-Z(_Wv( zFt=wd(HGAC?NHl0tKLW2GNd=CpO8LVVdm0nEaeEO$P& zjG(Nupm)zfN;GITDXq|-)@Qbw=&2ty!@oAh%axz&&(<;}MiCOkXmpJ7JO>Ocyn!3D z8nC{?Il3{=DB7Oi)RE09h6hdKukf)##sbu*K1Uip8U6TCr4CBzw1_lrJQT1#v`a~c zU*h(un|@F$-ROhP;{>8Y)Xz>ee27L~o8E0m9qQCezU>aNr&~>Kjd2TW5QgjcX_RhB z&V!P2J|`YmF6PQ5q4{bkdq>!73~hiO1KayZT}HCW>%Pc2 zqpH-a5;10PQJqWz+y@~RHMRs2g)EZtxxSP7>uLosotmmilu!(kovx65?AG58+>5;9 zhUF}K4cCg75N3{;aN^?<<%-2hkr;4nW2?r+LDTiL_0Rbu_nzOaMnyIp{v?F}oB*Yj z@33hw_Y=F?0oFlWTmRV{^g0#PlPx5~t(MD5dVnS9$rn?j&Q*~MD!;#4xpVKcA7EAI zn^7(G-q|SsTspYmRkc{2R1u!EBnbPzN@HlguhTJLMtTl{T3&mwp@MIWuJ}~ z?8C5hg}A-JXSKM+8#nuq`x3tETpGQEL9`Wz_>PdG`?)rG%!kq9->FB0^M`cq*NX1F z0rCBohs{iyxikmk1`xqwVt7ZrqY3QKHQ7gc!R2S8^4ff`aOmd_#D^8PhS#OM4~~@A z+)`N{$}XwW_b4YzDp6wgKC)c#qmUVWOp$v5KQ)@1zPvAQNpN^x_+bCVTS&p6-rbsi z6WWk9a*gZcJmPI!>AkZmJq4ZEvo-pd``MJQ_rsyMspqB;r@F#JJj8^Y~ zg;j5Ly|Hu>y#uVsii4(<1+FKx>)-|C_>8uI?0{=I@WDXy8>6G(NP0hS_YC{uKP=yf zQa9gB@d`UE3oBsm_8ckr^ic6*PH>xFyk7dc{3iGOR~(E^8$9a()Ga$)=^ptIl? z{F;t4zwZ=F>SLBn4!x7U)-C@b-=Csj+uKzBBGX5DQ_~Bn-+8K0$z4S zq4|z*CvqAI&R1RBJO|u>K{KXhmZ9cbo`E2!B815OZXHw*`5h%_ifzP)5b8Y(%unXU zGIb8Bc)698iXb5q(}<8qcbEL+lO;(Dl?dP>;UZJokF|!ZLodewDMVRf^ZS-|o(c*K`@L-=5JHYCQbiAS23fMvf*=ate0Ck=f1KoNytd z4;H>9g8f1)3?KY`qF}qtP^o7m2OZtX5kbNkJE*f|YvtN&vB+ zbp$@o=zvmk9}V9&33egq)9Eg{2Qt5TCVvC?51FcQ1d26JXTk+G?3El)?GW9DR5F~u z*Es>wZP+I%Lzgk|!E;1EWvKq(qjH0>%(323LOG>Vy0yp3Azx_K8h<4iGDzF4(K4nAGUij2O#rs zMN#5Y(hHAx)k+1TaSx?5wVI`#nwc91YH*c@fHHvj+~1~nr&xZw0LAfK6n;%}Z4$nO zmn%@7pTUFaB_Gf|BxaHPa|D9mC$PM}j6e`YEcK~tNET?PTQyyKf_evYMo6$XYW@LW zr8vYlad`rpYWho$3&hO*7$dZYZou4p!sOoHB5T=l96!Oy{X1^!AYrp*?7Y1V^tp-# zF9uyAn}pKMvF92;O~7Q!h6G1}g~sZ^`e9NUMG5Nswgz-%oE8a=eKW0td$NuqbW0yU z=BikDm{LxnIe+(gR1l1nSH~k6AU53QWFt%nWd77w4CKhU0&>y+hBRZh2<=5+#L3iK z_!8QalOciKLpN;MZT8h}KKDJK4;90jMVNz^`Zm=hY` zNB92ecPZZ>WF@g?$u_6ABr5D8Ys?zYWj<2>F`eK!p>S6vA}$pyvo30m6rgbrq}&^? zsdjViPiLMwKf$wF2CoA7f>f#Z(Bu9+%M-aqk{Jk$yWmEqE7b6bL+2G4|A4q#CaB1u z#?%skcsaL^goe&?6Tn{_?YR;By5Z;~TOMk1eA{)R@US-r5plBK;Ux*Kp>jC-*@>6I zAhI->ez*>CH0%ydG*O*X?q_ai1fTK@!)8P|5x4hu7!wfqJb;8S_5;^`M_RXF7}bB~ zeT;h5)=sPg#lY#6QA})Dvlz5^^vxHrA582%*rk6G7t)R?O^^)oBzqD0*JKS#I-I&w zXtjT6f^C^TUZCGuURbF{M%=+$mYER1(^ngM;%=~f?Mf1cIpUFs?ZE14qUh_CmB$TJles7H|=#$m-|LnX>+v-6C+&W#( zIn|tl{qKsl$*D5-3ov4IIT`h_x2^2O+Y}Ec6qPWQMAC00>8_!eIDMAenA)Kw&L=NU zR_=Aj=gr3Ae8CmONoJo>4>r;Btfi(-0PYEW{WkF+HGLA`EgJ7Pkck+k9Z7eGj)FZU z2lIp#!pX3uiFuc^sR;7JT2kqUoYyi{4kK)@a7LlvSgk3oe_!cCxt07Ej!s)?(xeqZ#H%!cZKUrSy+HYTwGxD-P*VSd?vr3S<^Qk?&>A(*OL#W_ zRAWkcURH6%>q0WX5nqy^l&n_R6Q4KINHTG-zOji?haG8aePIPH?2aSn9cDTSZ3l_% zzdXgpF*))?4|w~X%GH?xZ8?cBB%d?j28m4V{cy9Z(1T@vEbqWgf#xiM;`}!P2X6g!4Np}j^LQtel5H%2Z;Rj97 zuvqt9^_-ONj(_b);zdLfYjw-~hn%dg$$P+%!7sFd!n_XQIci6DL9ioEUV zdIuGkSC?Q92Fw%2rc`q_^|}%~U4c=bH?skMKMKmxtIdHWIM@lX_|P%6o$fx5oSAO% z#Sj^kES#XrIolOiKKIs?F1kEP&PlR>D-R4<95xmf+(J#R%Ii5ErDWKN-{n!N5EB_S zy!5>RFuU1s{}NB^-eQNsB>zKGfEy~~xY(HA zSOUTa;?RP^kd4W#mRZ2ipBJ4dDln`v^?|mN*FJ4$m zTz8Wii#X@i!H-4%sXT1@O)jqfPX_9u8iI-qn3kjP9A;#qia2efQ9qXC=TfA-fs216 zVJ0Iupe+9CD8rroPUU*q#Ca=I$;o0y^av$|NlkwTSik_wAi(OuI)>%7hj;ExxN4uk zhT&5YR-3mFaH7WpjEi~iMx=p%@UXm=(CLJi$IM-uCb4Cp!W!67T}Yd!C;@#{78F`dXB-A zIBMrD03~y-*zt%!1;1A6MNh%tk`)joOLRpJb6s=({A@}S@6;=}^gk?03f!LF9D=!c zXFPDz1nnpWXN@1TN9WL7dpa#`hoWg%8?V3Xx(-PAR+V=cf`r;MPD&)U)o5+msH@F3)ru#$`+nGn6ttl^+ceGm6Z)m0n?v1Uk z;@u_7=j0}1=~++u&d(xjG>-K6=#Kp9+^-Z{Ni2O1FvpJCnh=r|zrFeTrd6H49;)r0 z=1U7E-`xAOOtv#Lz6$DrMD4o&fWBC|JT9^-S+&gjYm=!lup=9h#Q+|%@Y=gxz5T~~ zIwNeZ4o7!mWIG8N;{{$0j6NkJbvP+X9(uo+tqI$ZN$;XUrl=7YMQqNxa2TR47{#>jwrbo+N&OfWv>qzIh0c1@F7f@!ALxV!^0y z`QKc4=zp*Rmdw`pv{nC0A|`9-Rb{&Yc5KrNxLEa-yjp29C|SujSOwGP=GmKm5%BiM zr;)Wkv#6^E-uNmLg@_oGf6#Tm$U$+NQtcd45lp}U&g}nc(mIE1gR2NxJxF`J%f#`}ai2}Q_wwTL9IS%IcfQP=ca zf~cPOxOs$O|Be272rI#>xCxi}m5?^KupMEs@#-q5$T4qG+2>h*BadoSsWgsHLLr5S z6|+xQr99e zAmyeUkDy-x7tPy-=Z)h>|Fz48fWQS250zgx%l^X|A`R2qZ`paCEbr?BjPT!C8ySj) zf}Q!2(6^R*xjgQw=eBQES;q^OL|H1j|H>BzZAH%n=gNvO zSDqx(BWw#hb0hvxS+>BK*&@*(ZF?sEc6XDX;`+#%La54MnI!`hW*njH|MoiN3#2UA zwvS#Vs#f(f$vIT}E4}-StO>q)nlTqQB2K)t8dHZ9EgtpJ_bs3<>(Ue~u>@|T;KK6O zj(l?<(Zhv-lO9Tzj(xiy8WVl~Wp$Zj&i=&*=pKoNx9tZJH?YL;h@&!SG$=#yHKR;z z3+Nq>)y!O2;iHs*oLS3xjwETSlayXknGj6IwLwqc3vnXwT-o|%v8XWFP(rGihGM2!(n&AGG2WZU=ORk;M*Fgw*&l9WN7$Bc|sUNLmP7>5b#R*7>-H9fLJrc z`KVm~r^R`@L8!{VXf>y8oV1AGeBCW{7iX-pFpKnhT5K8~hOnDkoK}Jyy`2IihBEyv zKJDP_#hM_M33V=HmxP%rN6%u79ZD!To635;a|<|4kVMDVTZmLlKg;Vz&XbVDm5lHJ z-e~#~3_+Ir$S=H&f(1*wEHp&3SVV&vHLN`dSc9GoZ+*Kc;x~3wH2Lk4wdl_kENP?Z|o=j-l$`uP{;C@?&HQqoOg-+Mg9Elr_|RH?NID^BVC;!p)H( zw1Li9hFScxu;w0;n{o>__|F^x8sRTldnchimti^;X{WN$h;U#Ri)CCjsc0WXaC+Ug ziMBt4UTKHsg0Xpb%#Y7R*1m{7?jW7_SBmZt)NK~XdWnj!NS;5-PN@X_Mkcecu&GmYc7Lvm93ZG2Xw+kmETh-+;K5q04VC~}4bNfXr=RN9C{e*qN9Se&=Z7l~c7YLCW#{!5=2a95F3){t@p$2oE& z8H%5f_pY$)9Kxl0uGP+m3L5$Hm&9`z=;JEDnCvE;Pr*1fKP;nF6+%+C$lx+1N@un$ z2xLtes@pfOn1m_U5KR-v-@nNYGsZ1cF;VHFQvC|o-}2}fgGGdiCov2qwSm-@^Wa%{ z6`XuQ$MrW>`^#+I??A3f-8x3l&EcLwCdqxm#`h8ZKf&CYp@z0HA%a7$L+-Y?T$-Y} zDhb$%!HX%+^dHVhW$vE(&2}*jGNo+()dkAcWT0{(N~8R})%8OKjujXJ6U2dPMF;2r zW~pj^%XhIC>q6^S^m4i+R|Smd9$|ZRzo#%E4T>2RQ<8;*m5#%{55@eJzcpZ<^(}L>;eO-ISnUBsUE~kVkOv6+w}u$hpdOfo`Y`=k%g2a$-mlW|3HCT z4S&Kl!c2%(dDNt=(u>E3K(x#%PUEFBd?r(IlUc@@`TneF9?@aknrXi4wZ#F9h17C+ zAU&E$)a4G=?{9I%TO_KzbNH~33LSTGWw}_Ofu4fZ`YdYkK zot-QYd|4v-tDr`{7*_a3C2JFAbws(!kNcSl?EY<*@pQW3Y}L3r|2ZE{zH8yqKTG=b zn)?IxmJ-(@xH)Ir>76yt*qR(Tnss6N-`nI^h+pX5td{lNN=Yu0-OGEthU&%@A^8(4I zczS(#F_ylKVgKIBNtJ24>UZ9CM3fH)xP?we6SGNBU+Dp$%U9#$NeHL;5; zqQA7Ir7Z>r9-*_9WYL}U6ZWSNR1N+3SW#&NDtJh(IO%XSfyfrfB$#S6YA-WCWDL|E zxY-m5zNY9?Yw9#MxW;m_kBr!L^LFh?mAyw55J(uE#KW{9V8R~gnHb|FGhYSzD<~nt z;gytUtFsaH@0dM&t=c_)X&QFU5Lm8AWOQ15XLzTkx6pZZfgu^vQLcTt z*8+kCjzl-zs5`Y*XGhj%I|btR{r%HkYA@o})A>5Ss%L_l2;UTX4;uJy$pnA47LCV& z_H0gtEqBaWYK7dQY)J(@x={<<{c`{)`vcwl%exp&ztIxKz%nNiuZ(V4g$Sadzt`0` zc4~!d#E3c_2gt3_{8KMHVmJa`#cPh)vzz%e-|) z=NizT&08Aw`U+>y0JGuPw})l&`_3?)neG?qgH_T~`2-Y89_xfjGLp)Epma%_Glumf z#iDw;WlI5cOG@(peEk*Vkvu+@--IK@vTWe)X5I$Mn651}VpAySA&w@q5hTP?%*qWo z&`8lRIXNqJYM>%Cr;JBsRg_R&%{(F*BI`;h==mBh=rsXQXi@)P>4RxipH+o{@twCF zdG@R1(4KX+VGhg?=?Q=$;&4}1;oY5s!DX;&MTh85n;SHL?3qkxhG2MuA#@M>bNWKJ za&lB=B{Ui@OWu+;M+nhMqf3 z++JstLC>;ic^;AsKCR~pPq8Fz`|eDq&tu)f7($59B+^bYRpxc*&3q{yKGvgFJ@&Ly ziBT8g8yS>wHTKn<6bMPCcb=e&sx;g)}l41dUswU!DKY-oN(=#mBbiLAXj znJTv;;6u(a$Ti-L2}5J*a6n{<<21*l&AM1=QGeJ|9DG<+Zr}ew`|=Z$VPGiTy{6># zw<(sH9qz0dK8H;VD?YVyqubDM=hI$xGk;|pV{pwhDkfVlj(e zMA*axq%z?yh`{rsn5ah#h?KRFe1QD;61B!2$7hr;7A{`C#ByJ!?e^_HTsWCG``uIu z9^X@F<52;jTFBaPGC`DW+Kid0ldc&si>K!00KLz)D)cY+y;VeF%4ez#DfGl#y_ zFtSJKUi9Kk6l}z)9>MTorj6@>-+{RiuNfED0Bm^PiQ`B?(;Q@}fDFN&hu0q^C`sYN z_o2q8Ld8m(zvZwuJUk?ZT99dGp@>XPE6GJEkGP2MF0f+BfIv-&=rRys#XYJhBh)n3wvs`5CuL&iNhoL*cNkWEZH=aHJDO?{9f3#ic z6DLmCj!fwmc2#=b)_459Z+`0zkY6OZoH*)xVB9RNkCXiQy>Jws_>jVUme*OK&Pn#T zkf9dW<^+>c{BI0`xdMXL6*N76(_hzOnA2~DMkQdSwLqP+q*D5rwRzt?5dOyn_!)Ml zl2?cqm?VVDuFznlKLG%L#oznm7`GAF2jJR}A=?4jr!M8 z^m1!i$PZBmkCOh29^4g==^K`VjTf;2edg~~R!zJJE8taMygH5Yiu zfa{L9taD!`fcm8%cQTBi>@=T70kX%E&jNiS^BlJT)V_GQ&l?w~kgZ?->5 zX?-gZ!KL3q!R%wS@ruXW=Tbvht+^lWxUsxFLP_(Xl%9c>Rbz`DE|56|mjoIJ4uNr< zf+r-^#j^Ej!Ok#pgkfZ{@5_vmzgmcElX^zksVsu4G0nL=cgn9 zB(fhi57U5itDEK>goGYFZOH2?_~7-}PsoUxyA#Twm*SBc4~Yi-73B`1q9`<2qn2z% z0OD%+7alsc<-1sFls&38MKmvjdRP-6q%%*c2r`Fk*YV(^PUq-s0h27=lVqpSJjf{!-tOxd19I)n3&46i z2yCuYQt<(I`mkcPwX!eGkW)5FP=)uW<_^u4SqUD0f`t1-i(Wr)n^ypqQNdN1aKmO z!L3vX$SGC|{QdnH7coh1oxeaa8n0}p1#7pCJ_N7ml96-}X$&z>bnkZ^2P}*i!0yn# zH`Q8nqU2dQmlcRtB(;yX3gl;a$0`*9SD|3(WN?o~@p#peLe_bDf>PmutaP>;m|(}x z0Cq0JzQMpwc9QVD{V~ahuqy&N22Z^qB*t`CiT}I%ZWVuEu4qDDMF!NhoA3U0FWDa# zrA_ZB*Z-ulHm6_3eJuBJo$}-bGQ8V8NcN1!QzIJ<5x&p1Kpo$zjkEdJp{L_LvA69K zm?DQKp|V$i&wV@m44dEa!6 z7U%DGjgEcN1kz4YU_m~b)$yN#$PU)DZtlo`!XU2|jbU@!TK|NAOy3)9d-gcg1&a`S z8Ofwjl8Lb)2WqJh3cpZ9MyP1mb(ZO%B-Wyx)B^ycrLcsLX5n@J16Xo|%mO8vmHB55 zA`Da=lC*ichbSo+l`_9xJ3%J^6aZMrWba;RO3^$@_9p6fd=}m}h9W)>iN)Qi|8O43 zMEYjVCK7C(GEv*uJfcI%zwSBH1426Zjc6rzsTekCholMK-2F)U+k5kR_pO5`7bMNN zJQHNrbC=m)AqjpJE2Gc`TKzo-R3c5qp`@#|0nFpEskk}y^J5_8T!Hu&{P*$r2rE_k z$VvfZixCw2WJ!{u8L#OChWi>45QQqjdu-f3p}CPlQ|7mBD2k2qPOtLV^wsy%BrX|$ zFSjlznzgdLkXMT2J^ivpF0{kap^%t3mbaEtMr-=^3p{`UnORbz zcRzp)qVlHRibfH>F$v5d_(IHmro%r7|tzRUZCT=RZq{8|x-sW6)V=qj( zX~2Sq?GyELl4bY=ZW&>TwGi%#h>7!Xtw^tPIcTB-wc;AMQVpxT^b4h~JaBhd-{hNg zg_Q|_z}sVaeO+&eJ&_g3xA2OcRNJyZW_tT92>H#V!ar8@FJk)xn-jYCf(SKr4me8= zbg;{4bIsn}v(AZ*zzEUg{HVQ%&}F~&1Y42(JH(-Mc?m|Wi~{(Q`U~gxJLX)A2lQN3 zfsghimot#&I_ncu!STu2_Ds;+lq*}%NaOlyC}^s|`q76!*mKdctu=-O!3OMcd5!1q zl}6lC5nZIm2SPrg{|$bg)nP^^D49rn4l29)H_+n<)R{+v7O5Vt`WhkNH$Rwt?D3}i zn^%&sJyYWB_BgMjo$t-OwIq@+hebNaQNkxW2(%w_n-7hmuS?ZE#T^yo+t$_jQObiN zRoFL*zgHv&%tOLF8`w>n(-dN z0WWLZwT#!Ge%Un;65mLsnZ1F%!Cg@dV>E(DJ;;*mcK4p2Y@e*8{A`1 zHfcR1bjJ{g&%`t_#zw%W3i24|>$hDc0ieblfJU>#>zVE7*7*qA-z>Mlwy=AVoZNFaO@FQbnPMnJ&e<{J^+`*svCOz-uh_Q%%4 z7CDHZ+}oQ{)yTsRyIa<}YL=z!IN74?OIBL$Vw^?W>s{rc;hl~`JTp5e(SOHTSt^L= zGBO0|_qB;O^|MQuHC=V_h@ebq3+T~TTSN%AcDM<^CoT| zwZ1BIz{BwFeL?uLeRqgy^WZKYJD;5pg4rZ#{t+t^gU9+B;PhQIK%hrZ=0cx#GY~(# z*C=gAEOH{@1w;B@iEd*0|1&U(u;Gy{cF^r6G|xga9WO^$zk}KempN`wScq3e zZQDh)&|l9wX4cJ9EhXOWD+IW+{0$kE9Xk3Jc7;a%LnZWA7FpLIA@){RR_)Fit>a3@ z;zSJRW{QLFn?tna_^Ln>ga2~jg?h197}+;D!xNnPA2e`nrJD&n;+EdvrxzQz$ofVb z3|u}A<1NXVHGzJlMqRONwaAN3>TLgQ^Uo>u*ln>Doy;D%>_z-=-yNx8!o#b5f}TGb ziB75uUaofJmOh`~?Gro+DIfg0ta=i1d_PHeeJRw!HQ981*?vO_FSHe%FkvdcWpmqY zfrw7-jg-1@=%E*U^XufAlz#B4c~JhcC5KN;~)C=lJ6c_xW= zmvW=VGHg#&@Q8nA^3uTOa)!zZ=ni`OBwmqDot3yRtGr|O=XbSp-4wMRjs-_1FfO*Y zvkd!Sx;OoI?B!Pf&djTvxT9Y`iea4B>m4wPgGKeGN$r8uk5m7+>K|JgH4`oHkKpL#w7Ea%X6+y}J_qTpqXgeWZHYL0jdKW4*%#gt; zeZ(~a-}Z2~|MvN>5Fe`31GkELgcelCsA8L^kHZYOwId|cYR zKB?7VIPd85*hM9~k{P#F|_5$`0;_o}8qMQad~NNR?{EZ=v}%-v~`R zVR9cHCgI$*i6lMgq|Jw3oME(wOQPs;6`E;v7KVw-*HOv1!LOFGEeo5{adXy+Un;3yG~4?*brVtNEN;KOXo=Tr{2u>8fZs;_ryIOAdFj^F zruJVZJURHkZhXsQzc-Ta^&^q#_83O)E zHUIQJxV8nVLVDvPYo=mnZF6AHIh>lq6Y}50k7As3@Z`zNi)Q=_^XH1|1}=%C;kjKX zN$>*C%RmCFFzZp2mPlvKz;XJr2t~U_*-Lu?B~w}v0LOrBhx2Lp=e^IV&wkQFg6Y$6 z-`CY5%B6k3_|BsH$&`nLtIfy)stwwlF&VR&S^w`2xWkmur6NeWw3vL~U+3dzmP3RD zZ!XU{mrQI1_2U3JY}TiHFY7}MXKFiu$)Rh#qWCxCsWAOG=g;y3Z$p+ zy$=k`dMYC4YGZhHy?1ZSdN0SH8aIXy5q`bozT*Cgox)(_bkg+Rt5a8KgFGQJCw#$+ z=Gy(@QTR!y>1eOzYrOoxd{yXP%ahO^^j_=XY02aP>7rER18F<0z0mO?TB@la{DG_B zYUl8Nqz+qLg!W+XdPPKS5pPG&e46*(D@U`*z%Duf-OHmZ?c;xOt=XZEKBAM+4+)Nh z7d}tVc3w0$8}<8ib@nnJhHt8lBBh6-818N4;g@&X81=Zrq9d1;H@BGXRGZtE+bzaB z#B51Fp)UqLM^*_+%7P3s=n^J237w&bel`9F4_7Px?rm3ihYIeWiYANn8!iOcJ+1i< znw0`NM2kNfm`4w2LUxwDfBe?DQ3)x3XO&lJ9IZ9-%voTNBDTh|g2TC!r8n63Qx6}a ztg2TQD6Z_c>FhQ@BoCOBiB``yY3Y}b%&{C$d%uyD({yw67f&|4sZnEcZ}A%CoP&Cj zgVsA~SU1P^rM6x;c4yGl{{2U#vLduF=S6mOv;VB4@JryRYRTIH{g*9I-VSOt9-eBV zHL<|Nvns*Jx6;bM?M6|%_jk26m)otLp6gltGkCE~I^@IF)P6FCiyK@lpBM2CNa=+v ziIwZSxW8cl4CQJED$Q_UR$6o_qN!IP%=Co^^`mQSm-o_$Swbs~zglQ*-7{QgXRxv18(|dRsFssUv2$YFPE*qU+_2z>E}q3QW5EN)G@18gu}({ded& zN8CU@Y42^)vV!gqmU{_wQL7#zO4xy4lh{!|p`N^bH(Ky{yV&+fNW3>qh~mTB1>cqp z`N~g1vrvEauC$`beUhgHUqkZ9elFYt%v}NYYPc6Cz0>hz}hXe_v-Ttf^%iFD;tke)H1F#+@M?-zpt%Bt$oeDn#~Yo~M;DUj=1 zdE47tc|#5IzrRF@;i;#4f8U(XX_dU~-yPz!+t3}pq``GlzzPxTWQcqh&g3Y*&TL4r zPU*h5c!cnTOWd4DQA6D(bXt;lgh_~B%)8SvcAV|GCpW?t=ZZtjgn}u5CC-^lpPD5+ zON${ff2OyU%4Yo9$*+Eq^=6f32ZpLnEzRV{Z3mcCmy!C8TeecXSN#OMIDAI`YuqNP zRep(on{`FGkN|xNct1Ipt2;wfGuQv&t3!2^!Tr$_50wmGYwq9FOK_T(5f z;2__~yW<%{h__Cs_4Mt^k<||Y<#XkybX_-J^2n>2pNrF-h{s7YvC7#^6@!EHB?;Eb z$-LVY7PJcqihr|j@xN`JR~_>v_jN}!vocnzHL^7M)97RR!gR&8K(H2Pp%Q2Z%RPsR zYerNH5-d=@mg{r<;0p4xhCh2RIxT;{-Dp^R_~L%>`pPbH=f!^UasIf!%{P;srbC{9s-pvGHj)5cEm&T1;Wf zx*v8&|ICohS%K!k4ROk!%5TaKf1e&Y8ro>g%xAgSqlJ_!LDH-gR&nubh#n|F`q#YL zO$~*HBbT?!9mW-^lxQW++wO6IHDZFziEj4zAjT<+3M>Cp@ zp4yE+2vEDsd^aj5@N-reX3!?faFVWyABuCQ*q&;Rb2e%|k_%4<{&UrwJwh8<ewiaS<%h);$%;D^W+CmJwSoUg#+3)``|}_fAh1(E63(ws!2x zb~%%^-Uo**;>Gz4Z@F@?F`%(#eq$R}v)Cs0UKfL{c#@OH>{KOoF3G3tUAigmQri)< zX!84^aO~g0qZx4*HoV0}t)*pZggq$>V*-~)enqb-A4G3B@8u?ywed1H5emp!F>3*~Q(UH#}RICiy83b^0 z(%AlWr%-+YZRGi^mK&D>4(tl*ie=xJqw`)!r?u?KU9(?w z{1ttDf1TV6rhfX6_?#6HlQ#14AkK@%Ydk{1dm#3KwN(z9i$s5+TXY1mErfiTNiqx; z%E!YMruzw{b^T4_7eKANANs2Sv6zE(SF+Vqa7>3pLLQ%;_?H@w_a9SZ;TFA3U-ueq zoX@ogKH}oPd~N<*tF1fSH7LSge#?&l@LfX9CMmOQS(mT|legn(z6KmEpDc;vH{MP1 zVjuTxdg*eaMaK-^E#|ue2M#4{XH;p;u;19x+{&6T)%is}e>3D2r}x!kIsBZ-7N5gf zNd0nD^ReL0(!{4=PyNulLZuGkBl_~>pVQQ&*Do4v3r$N#UI(O0K4y4NQ6{s z@(#0felK@LOwMD`Nv7o6#mYxYa+ES`hg!X&d9qNd>*@cdJ#H@bOEwBj2QG!5h6yGq zS$EI`sUcs5Dk#feIo@A7QH!p}*(LZ##8V!I3P}u`3Q*(VsljaTFW*LTxkrd9bNV9@ z$BIEZHF9a=*EWncN;=3RWivL@JALByE8pUH2NMLdDzXY)e;wsn(TjIpFf77!XOWx@ zHDj9lvN&6?cb#2qne(aX>{m=C>;J3h%EO_0zj(Xiix#15l}d<7l69!0v4m`?ER$p@ z`@W5tO0sWJLu5k8zVBv6vWp=xmSHkuAB-_$nEmJX-+k`$KJRnyxz9bH&pGEk=VQoO zZ*+f}=6-kc5)dw`)nMJL48ir7x=M+SXcq0k`TjI?Ihi>&IEFXlW?l z&~!Vh&p1JGOjQIlJy8En-oDzkz9_s%LN?df)B2@5DM8&;fgN5y+TE#L{zj!KHpJnr ztpr*wXrXskqIE069|VQ1_L1eK7@QVa^cAh`h-z7MaqvQKM27>r=_LqSzS)U6EH4Hy zPhbbfIXf#m#==4)M<4WhwrhB&Tx8!eONN@Yf9OWgg#35Ubqd?1kUGqVSKFkW{ioGuvlZ=B4%+S`(lTE4|^j z>QrBR_#XbBokpDYiz}$ZjvoVe5Lw+$jt*jb^U zMrNk~FI7_*!5yLI4!(v_`*X-(ayai%82%bZiFE!%1j{S9%I06Neb8(QH!8sVFO|1< z6xJt@M1ze2&?_TV{ib;i-kHxqf3rt-i%N!IUYyE-_ih(6c3MufPw&nCU<`J5l25Xd z_{OES(!`URUMV!5J@yX0^Mg{?OBar{QDBJ$M&qv9E^J*jgw!6se%}PvC7yC1whe8p zhwQc|)u(oo-EzOz_zTRsd}n_N{+$%eRaiRT6yeEBJr>7)!RcYAc+d}Kz0NsR(tM9= zHAiiD;tS(vjx*jGS-F6|g5&2yvziM7*B#7e@PY8g5B`<2N-4NmOP}8{&6~t<8=oMK zqXgpy{$PrfXZRQE&CpKs^2(si{lHEr#_}uxeh<7+wO&4_Z7R z$y{}Qf3$E;inxWS{Z=C~y!a6xry>-<4AV zl#?7BjHlfJIBn}Gxp*ntddjX_p6NtBQ_l!d_vdDxS>)7@`gCYg%xk>)S}cowBclA( zI60>SCxq9O%yPbrr4$F7yrTLH+0Z9;?5YZ&-ekQO$Og(8rOBVH7`_KUUeVr|s!o!? zCq!XH4YHBbASLE!!y|l-)}h(T7kR3VlQ(>7WJ8M*NALSdye((e?W?S zUvVd({q3@Orax3ubAalX_XYjUAd5fx@hiDWk=LpwJbLI zB{*#@rw&V;#qRnyFNIqP!3P#J#B6E!$wBQ~|B5D5~`;YY}5pzZA=O`dSlzi%^Kl`C_x1hE%+ zY5XsX>+RbO&E)I{5{Nkn;dH^S`lf=C*fQmf?Oj1_e=e&f&`R3|X%9)M z2s9V6Jw&)W3?pZFQwzW|I@9S1Xg&%05?hWH|Mzna5}z&w9xK9F9DxWmIf9@i50eA9 zGX^(zwpUgJWbq}jdJ7y!NPhs=if6Na&5omfL6gT|(|*zKBh@eEdL~)l8V;&**|n1g z{*9(xa)bc8IG!G2S6a7N2E_|Bsvpj87=v+k^pwlOd>rCdz7kp{d$3cgGlu3b;ct4B zfj=qfUTh(`M_5LI$L694K}~XQWWP=d>ys1d0uHbx`hJ(_upw^k0~0fc+5KdwZ9I8M zwdLA=B*C-sW5QA*&aYDu!l#oPQWMT|6{URm42bOwNDGhL6WI5M!$K2BlTU8` ziya*Ay+Uan41q$Wse~ZHj=UF3ZQ-^bJY)fT4c@TpJv3FK-lH+l3>^ypz6Lsv+lVz>zF9Fp1U?0qs33Beo#!*6?Pz&=w zFLL_^?o(RIOgeEH3&f0*$!9T>D%W!n6;MNj@in1XQod${0U&kiO7727b_<%?Il|(W zLTcI&0#q~nd zoBKn{42Lm0v0>tFv@@}Je*Aj5@@+B!?DOopjgLVGmpr-l7)Ha?AhU$%PcMbs)tk!^ zQ>V$h3h-^LsyNzvpIP3ZSI1)J&o9=}w;wv|?eLj$rq{>aF2+ooL~hwRfyKtcQYz9q z+v9c)BVtS^gw~RnPYUPZMN-HSTYoe2Pclc=+ zHZ;#d_xE_C$fnmRI8}g+`sUStk#cAgP>Ofp+e%OTm!3rkvdIC3@^(Xad{<6d`2{Wn zc>XSHuF~_dS9ioaZp&xaIeR(4T)Q-e=kPxUIX|cg#6xV)*&1ar=~u5w*g&MAiX`An zQ-<=nqq{fZuf~cC_$wFpdkiB-#|p?Dr>x5TZsBcTwUM)gwDKVASYpLi9Eai3WL>~+^e z<;@nd(1#v}VL&ijf~0Yf0%2Z=Eey&L$!Hfa?AUf(Z#cn;I&2yF_t zp*csFvBE+ezy?p^6#qS)FWoy+pj|G*OwcSbw^GM1#sj8%D~24L6u7XjU;Fv+VJqN z(z!MN)tD{wlcir--56(S6u!N++Kdl7Av~1aE^5BXS6qPHke`L-aXXeVpTPFS)R-`; z;S10rJRG^9puI)4F@nVp4TVz~VrboE1;37oeJ7oTw`c7A^ZPPf^@DHjT#J6Q(rI26 zt`+KCJXo^sYuu-e#~7wuQi^++o^2U%lo)8-KX3V8ueZ;d-X|q`yg%#|V`(Xf4Lzp2 z?R7UdeenEMY}R3+P6}t;ydU%2(2G4nK1rERz+h7R%b>N6GN3L}RRU#yGAj~!Q7kN` zr`@ztS*+k=i-R-rdj(SJEFc5Y`MhBa1X|FW=TBdn=XEb)_W%rRc|!11&cVBg?96@^0uBVClJ?iY~oBx4*9G z{fkSl$3(|is^ljH)j5&$KdLZac}3iZYV!PegPg)O)SWvLX7%ra!Uznt8g3~!+R}v| zZKCmT(n=O>&*==3ULM&#ySEzM3bCImRxaRzH z9;J{om?rI)yRy##0c6q6BC^~w?NZ`vH?5MM@##y%O6vu+I?vWSFL29tQTxjzTF|4)QHdqZt*Nhb@Z3H3o+@R;n(~UP&8_ zGzgGvmv_V>jShlSqT-UHwhYsI?j}WZi2tsP3iX!Cf?oqT4}K{xN&LhJ zhWnxRfF{|!2--%Cnx$Xk0%eAs{dcK(iG6;u6Wfc_3A&vX9+AILn)@ImA$WNSuos<4 z;RS!93Q$?Uh)7YbeDrKcz~dr<(EQw`+_71Naa48i{H|LIB}pq=Rw|O4lQ7nMBBaEZ zgLanpTKx9B9a6d$93-XhP6XwILn=@DwQw^Uf|tyigjdOJl`NdhBIn|SgBhCRa+rK% zR*YEx8nnZzNbgEfE9Wk^?y!{DF?s~pr`J0P$}z0Xko#HxMyo3DoX`cn4@bPAn2?gD zUoIXO(dFWc%bQKf$yg3l6Pv6n>DMbL4s&^ySMix!2{rV3#CoQHZW;0sYQER@(&S9A zr-F8D#bH^WC4v{#kAZ5oZ?4;qx5!qg!c69~1yE$QnkD5==)}u_lM1+ZJIu62pdm+@TLywsr7Jp+kbwh8~ycv7n zz7hd(Y2PG0(QMH>U1i}V9iu5p9Rr-$W%M-(#z22-ub%nPy(%XwgWxSPqu>c*(3xw5 zS8ZOTDYsQ-@p}htg1_HDI~5ikVcTVDn}fPN{Z{FFf6ppkcS>m1xsARdaB>1xCU!dj zdb^?&iTR~qtijJi#Mg}XQX9F}eK)GKZ`DjO)R=RGcSoY$_Yad|U1aR$)%yS*#+W_4 zSRK`Y9qb+xHY?aUPcp5)2&X#{0d6h|0|lWsrdTg06hn_S`DA2gV{3Z!^qXmD{sL#& zvs~cC;;2`@D9U|P&(K=eYMSE4!YHouPvBY?li}mdHVE}dqsbJb-WL6dl3yVr)-q8G z#)|~~kE^#+3+!h0g4?U@caIFORp^4Lq+IjHIr?WPPJK9WJAhhrh8P6%)}ErX-e@Sm?RB>T&Y!%rszUu(?Ov-=rLj6vH`tR4S^Xeqzo*T|K`0l1Hs1eN(y`B)!8N z+{(|1@U85ga;p4Oq$0ajMiAa~Q81eb;?6Ly=r5Tn#$xI5=%%YwbYt@isrSn+bLLAu z1=-PU4|O2yZ5Y-9lE@Op6Qdo%Qnyw?9BNS$LxnO<%h|N+8wDK z4Lh(zJ49Torngp)4b-jU%SxD^bXvA>e^qy7f4j0<72D<;x>z!qfv<=Yp7G6(#%rVR zwD6?!OV!~gw+ZdnLP~o$Dil^N%}#aOD?!mnS$sF_su}O?RWHha!>q6y-}{GWUU}#= zZS#_I+Q>^#C#7!uga!Jxp2^pDjJx4JX}=hIl8Gagf*_=qVoTYz22wGV&B5m%(Z1M5)q?TNps-0~id` zK7?ttx4(!pn@*1Pzk=@le052y_iWD??9b&i-v{elW)hHDFm%MH~{y6LA;tkJVaiW`f&D^eP8!f8TM_jI=30LfEDF?A=6VJ5Iv(n@F$M$M^T?nys9Ohq6YWK zE}g#dd#DbOfaP#+WARj__Vk8|Oq#712S|PLVh-yZz&XeP?%MezZp+Qc94WriwjaJ` z{62Dfm7BYa?n2=C7MKy;pu1o9!VT?oOsWWHr(H{B5Zd3+e7(~pxy~7Q*efE4b-)B4 z+#==i>JntkhV_h}w0$Vq@sytz`(HGabYi)1#<$O<(hu$HJemwM&d>e2)4#)5ZF;Gy zGqZOQzQ>Mh1b)y_-)R{0AmEylWSCBhY}Zxs78~C0r&;mjE>a4=fErd;TBfS%JVmy% zu_47Oi1WgU6XsVLdBf3B8Ml;%e>uZPT*RJ+dJzk)m3zgcVs1@ASL6x(oPWn^=7t{~ z$r(M(_gm1+;ww^ldLR5PuR%a(%6BEaG}hpnMz=1$oQEG&@|QWC>=!@@-d&1Bu=VGPg@;>aoX!rq#BRo0Y7qZkn zs9{FlISh-8GTrCTwF!>%5}i7yDJ{W)t(8>iZvInn4^X1&ZypYi?gc8afUVm6`_&kH zzg@>-2J?RQA|2KeCdWC8jKhla9$G?D5B?QC_QvPUq>+AZM)Jmv_cQ6Q9KMp5Xu zcYLyIQi(_EZc{b-l0a<4cTEB#A+~n{$94&rhd5|rp{pS!>M5-LMlf@B@HDiAJ_wQB z8Gp(7t#yKWBP9yQhRXLN9h=<*S9`O1=klPCrBnt7+37Hr_q7g{w$D4=%1S@ zcc@e9=7q}CLFxkh>dya}uZ_l9*NjiL{obe^b-jaFectW$XOSiYZ8c$q=9^R8FjWep zWWoC+BPmwSPBwZs^jn@{m>utT1J4|nbzsKA+G&rHYLIt`|7dMAD7Ek!-L&y}-SOiJ zeP$;N55`}(?D;_S?h%DMCoVrvd{-O$=K8yJ5tA!#PCbo}6!f@o{_fMu7q1=f;bqS( zn_(g&T`PmYz_wC6PMwOGV$xQ}fRW3USDpb%o=JOfv3FJ5MOPu$uhVpZq2?3TPG)A) zvdgdqee8*By6_N*FB1PIbbw9l?n8_5(kI`Bwm$oN5ZE62ngo4*4cTMW;}*zyR-Yhd zzKUPyJ}+|^GXB6|F~BmOT+^;E|<48tgainHt_C*IYeJBHPoUgBrE^F)8E# zwD6~JO@kw5^_@=Tpe<9bt##VsUQqpJZq>WO}p#)n#;HR%T>}{ZGYd z*m$}L{Ny!Ao^Y9?biZwZ9Zh!`S{E+0GstO@exPG#1xYeb2GFi1h(?rTPaK_#WsgXF z$wiCnX@@gbZ|6rL{EuX(n-?eS^=de4R7Hv<1%5MFZ9oXe$`RALtpIwn3XQy3io0J^ zfJ5%GU0*|xXSzLW&HuR&Q_e{yk@;q@+o6X8d@m8J;e&IN9*`DN5xz8@TO2sh+fcuN zA}6AA6rSytOgEQuE>S|F{?Ma9B-VVW#L2p|ulqZOnzmSUUO%lXH)J3JXhilRthllg6x@M`- zZQ9c#32k(w4RO{3=U}Y!G|E|*-xRRPRdkfY99rJNzxs#>dJDcvxq^lDgL?$pjKAB7 znfE(`48nhn!>Urk^A!uR+(C_D?aP}<%y15Tul;K%ID0Zh_m9OP%t`e)RHxbvP06t9 zw|+kI*Z8VPX!evicByfFx!a2_=PoJ04sgslqx=o?cbFomr(veGE=kmYBJ5;ZEosn8 z>vuZU)e$usD5EYxxC~CG3+kJ>QX?7y{!82ri;RSqrM=9p7m2v`KtNrI&>o!b@XNEI zJAZU|Za=5e352hFERZ{Rh`P}ISK6mHa$b7Vee?^s*&!OVC26)CA966&L{ii*7xG;0 zM3bo?a6y)vijc;=iJFV+j4VF3e}sqmVEk?kj5}cLj$v<4HVzDl^Ov)5AwMUd-@pLkH}t9g`N5k#=f&} zH)n@8Zlbq^x0sCMjOCxTb&=%R!H}of?UuC7s(HoncdrY@@wCg^l;M#v>y4HSim4w{ zvZE9@Lt5ER(0%=^!(QpP=Xe7x%j34Wg^roY+)!cBp4 z^}^k7x^vRWb|)Ysp7D)|b3H|xSDpxBS0zicx2&e$X9`bH^{Qc_mBWajh|JTgkZadz z{@Zs2t_M84A%aovIyk|Fo?l&BS*2Ik-H7?2Aa{IZaNZJip2we4Om?6!2h?a?SMPs` z4CtOA3V3@sz>I?$&WDc>S~w5Khh&HA1RAr8T3rNzdkWHG0nh}rX4{)Ko3pT2Yd*Y3 zb|<>Q#DalF&4VYNvJZJn`tB{`GKe>PUjMa3Y;bLz-d{XNOUE;(YL_55PW& z@h+=biO-KBjh>8kQxzv5jTsK2C3$k^4X*#oI!78Dp)Krp>J$jYK=!Br>nqRiVrBpb zlA+dEKpwVgpnY`085sF0Mc6v7EGT?Lnk=xJbWC!?FKUgVrm?^>%sjE*_BfpGQ(B3> zAX#gnqwS-ZxXqwb?+W*7MEV>CE<}KIZ`eS0UP|&Qk=K9oTw^CtYi+`yFN^K@TD(cn zErFv1nFWdD9o9h#&=}=Oe5YRZPjfEwa>~|vQw?oF{e1bXjwJB{2OBs%AE@jp`&&V4 zI=})WycNOD2ot;&KnrY*C6?cv+5HNXL>-b+)Rf$=S!!-A1%}WU>cSpM0UZRg<3))Q z)Q8oYsDIMQ*#En{g_%e7eWygwhc(P~tIMM%*-9YuCO+S~)8U$unTqf8WGbpKTRTgj zh*hS3XQT?D-ET|CVOg_$ahH>Cv~jKbydI{&6R0hR*gPS%dxc8f<`2K|IUO9-vpft< zmY$qi8Vd@?UBm@je9!`Jp7tJ#mEZ?fo4D~-{yMj5%w?a|0ZxckL|CI|FUV+8OiN9} zR2rcs8a+;-yQNizA}s{Lv|M%Y74K9D>gI?RDsikm#^vQ3% zzPwrS{j~;0IVG0NEj4Y1M?VGEQgr#MHN*R20Ql1ZX1=+Q7=91Ue31F_uZH|xXueM} zp!u*3HmNp@mWpAji^r>*Gv4kgf1kd$xHH~BCqEva|HrBiY<{%uRfc(v^n-o<5w&=A z0KxoW$_Vi07&M(lll0DqxpCJqpRE;23q*zTREe6TL1`h>+uEzV`m7xEMDlNBw4hZuQ_|4}c(1u% z%YW$C(}=fu3%-3l&0D3VLjWM-i4Wh*0ssJNSz}uh{tH)L=#P=!Qpy;SF-HMT{9@y_ zqa=ZQbCm-DQAG>@HmmMDUJ|$-LzZ9W_b7e0vp{Sad}-5T>#G^Ama@$Q z!3vU!NvbP1d1b?GH8bu*9_Hfpxek$LA#uK9#t(=&WBS-bBnd$LoT?g0zpt0e8hYP$ ziJ+s`Q3aL5NvqNRu`2hr%Q@na4<4AKYy%_T(=x48xtC-(yZScSyMOyZFpv1QJ`m5n z^P)_1EB6CL;(oX}NtGGC{WC<#=2uv-6Wgvp0H@knAuFjPzyD!-b(MNnF(8Hx405k01kTU21^EH|fn($0wby!nD+Do+YhBw2{ z%|v0zoSN+^{!Ekaio|z|-Bene_ZnXmlTS95@s?3YF8=!N>ZPoZ-PqE;zdXu(?B1lTD0OO1( zZT$tM&1F%<%`M*f2fG;74(-x9>`^-*T^k{zru4CpM2Tf|mKt#hj6slvInip(V}GIe zSRrTl6Gu8hB}v;P+y-6x2&`&MTiomAF-@mYVbA2g z!gG7Q(Pt|{tizz)Y8RIV7d2UH%!^0t5Vmc&aueP%N<#z$3;|!dBBV2zJwGP`? z9`wM=cP5fLp@}k}k}pVOmJKHt6)}!(a8&Pu2D|zFrx-`Z+bD4bqdBp~MP%P8AKR&Y zdEvG{y!D4BV0N@xxivU&^P1C#8*Y8jVzo)KZ^P6%qqa}PW~m- z#0e(-f$k-|FxQ@gb8SbfL&?8OYVqd;>Du=ivv0kp6I;l0JU*5RIS(&~y zm{8cT-4SCl1ma=K|J8!W!I?71qA!0)SGYlieu z*nj2pggBuWyT@K!zLke9k~ z^?kiO<_zMwc(Sx|_twYy~Jc<^7kvlBr=!nLvWidI!vJ#_uC{*Np!Aw(kdfb)zxI z)ys~>Ky<1M)u;>3k`No+hI_x5t48^|E>mRs`Ld{t6>8!cixU@O%W3R`M^@7mv_<^A=X$^^i~exMLn5Ok;B zbe|r!4{pSqz+0je_Nh$#M7SW78F!<6)pKM&IJ0&NNoWT$lH<0sZxuppSQYZnrYn;l z9mk<^@iHxuW7M0`t%MMZK9_liKdMIY0iVcP0p00jtx-+2KZk7plnv~fJ7prUl<|$b zyD?N7QZDh$RFw&@4fN!N!ak{Fxbmx8ev+(?C!&Mpdy+W@n4YYUjuMAcZrE;fROaob ztGZUL^5j~|k;|7r!jhyp>ixP^O%;*_`xxnx8Z;i#l^7IVx7!j%@k#bI{5|K9oD12T z&#mTDgdSG8%q6Z@E7tx4NusVpRaoxu2jJt1H%> zp0d-hW8;N3-?yUk{RH6Y2blDfp#EZOL3ly2M>ljaBR8h)-lUks%0BWRq8z}#Q_n)Y z$mc#bzA%?wH#H>*Uh%3J;N~%2z^F7AuMH>4TyqgP-nIt&4tgKTN0tQp4tOQtNeA@% z=TphHr3D5)pOgRTL{1fV1Sv`bC$3M*sj3i=oKs?J%%~Hl-P!8_5$o@Af*?UkiDJVy zB-5(Ysw$G<oW_bcRWkMxpYU>Bw z-Eey(vvGa?P1jX>+H_RdIbzJIEw=E(^H_h{{enrc7PottUnPU}y&YPNzNpU@DAt|7 zQ|T#c+}_rICTvFeN|I+L>;?)bA5L0*A}521`0@N&E@VMz#3OHeBhRBd;%F?h6%|u_tm8u89sojxui(c77STGgsWZ>YF!?7@Eaz^`GJ9hl-}r{;CM$h=<3H zp_ubO=2NW;E-BA1eQ++kj0kLYITCd4M9=%&kHmN8hNlupE1jkP{#uE6T~$r`GGOtZ zg1)Ba+5DxZBd0gULTi4#yzsi$nd~EvcIay%M>liZ^&y=flSf+WTZqT?(>r4`pAQ1t zo=6>6tUC?(kg@U5vc&1WRaJvX2V|OcM(xvK>$S6^B6pmYAH5z<&MP`6^f=Yc&R3%0 zNV%)hh1ndYpRk&;jK$B2Z-o!6Doyw+79MrC-l+wfO6d#MHW#ShIvFf{)TdhgwBJbJ zue+WjD$ZT-!1eD3iJvclW+R?Ae~LUf8SaID75EAeRPu&ib8D~MVXC=NsISp7(75h< zrN4u1@YtreQv2856Rq}7?9byyqc`5%+zqUGa1uosQi6oo4So`cIBF0RaA(i!^1GO& z&r1(3D)`4%+k(a zE_l=2Ht{7)$f4!GJGWJ_dXN-j)lp6Mb~U-6_&?eBOiqTME0=KFRq|aF&;yrxG4H1s zBC$VK`tPCWpf|>ze^{Ug@i0ljb-`xW?4DYUmI;XXdBfH9HyRUn&&eoVKGb|dIVIZ0 zN~epsjSyD&pfHosS*wU#KPT?<-*C~qg7CfjjaI2~q^LT>ls2P`_s8!6KG@+NbZBSM zoMl98k6r$JJhbR@&Ea*?zB#ce)(`);^ZShs{bp<3v+D)uJidv=nd7w1yPI#08hw)b z{<9+RdbWG;3`q5Ckz{^emKt{)wqc#}$J~R$uw|-G&t4f%H@ohR0G$OMt{>>tQ*!LI Sg?Al--4v;B*%tf%U-Lh5%ij$E diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb deleted file mode 100755 index d982e4751e2f9d2a7399b6d4590d7492b1135627..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 21220 zcmZ6y1ymeO@Glx5KnMg6?gV#tcMlSR6N0-1hg}FB+}+(Bg1gHi!4}uWoyFP9_rLd@ z_uf5oPXDUAr@DHotEYQvsb1gPYM|`>tAo2S%&a$&AfXj zp!`4Z4i)o%`~Kbe^B&%%_>?b5bItK?W&WGt zJXhM6AmB@JN%^|>dcnG2TifHk<3^X?lOI2JQ0TS}H?LzQ(2T9{46*4{f+2J>HDHJA z!uZeqnX)%HJSs&9aBzbh-K;8RwTy8F|EfxY@%#EUQU~2^(pPiJ$RjtA>#KqUt)JOd zsTpmr*E_4@{@5uCVeB#qYIZig4~fOn^+E2tlr&kHscbErMl&j-<8o?*hHWm1xpH(E zmO&Rj^l5g&JJ40hqx&H#dRhelGT6R#I&$`*yFf&o{Ja|Zn6deV_Z&IW6g?;Br>6(c z{z6A|9mi?ZAecWR1wd_Ie>ruNwZu; zJl=v?Cr?4Z6q?msH-hWcrE?tD_#+KJy^sd)A>Q$ip^kp&zdD5}vvKLg=rHRN(MA;^ zNr0T}Fv8p(+?u1C-ipYb9f)9u*@(QA@V@>!)YkmZi$sV9m zzv8I7xc{jivdL{|6{(P-!(QDn{bj*dGGQs1`WLB^UTt-1s_KDf?oL27vLM<25QfJA z#jc_(?PAI83#4-jD{QTVOw}9f?S4!mL!{Tcy$UWkR($(R9PxrC!#@76YlavL+IFVK zKeC-CBr2H(E<|HFZU~RXmOU*WRqZlWv-FVX(7E4sD?jBC?_kV z*Zl~l-IH`Aj!=$+MA(ZE(Fw{V@7j~8cog|Pv$jP5%ef6p%8E1W(#lWJfp7Xcgfrgw zoYi&3`)lk^@9@FD`d@nEi@&mHWv{S9@88oof2eW$TT3aBZfu%nftePcyi~m6wN23I zi$7Gn>=kD+9%qY?;gHDK*~eBlf-y)XcmKul`p_kLh817-z6ASe7kpFfE8jiIJ_EPImv;32J5Yx}cUY=!ONUNToj}9a`L?!naIaZzs}cnu5Ca8OL@U3qXo0 zYqn(W-a4evX3s1LzG@nb$WGN6kM8wgC&lpOJbwJ7xc zt4pdkU_DU&_8@5Pq%h2Om+{)SFeWwDwF3J`=%e(c0QXZfd9OiqL#ucgYv%iwt)pm~ z8V|YQxEa`Yk^3U+Uyn!Ac7yqm;F9_B-NtJ3bMJ(~S=+IoRriP&jZi_Nzz>_(M*G(N zn7gfGw|}8tBhPHFMTtR=j^oB@N{sQg^$9`bD;1;Nfsia^QOLJ;;ko1_uFm!pOK3W5 zs3i@^`Sd_2X*l(0L8&C7SFdZ=q|$`hN@_F(RoAn*BG3C+BGoO*q^8}qCdTd_@fv(I ze^jzUXWT2#VtbqNTlg#yADv;?QX)O%wakob`4YGlOS%KB4*Hgg2LtcmKt_K0f*~=j z5%KoMArd4lDa8G(H50G_;}?M|{Y+gNjVmPuJdoXP3naApISsrGw0%K@d^wHT`kwml zewQf|hwHrdB?BBv-Z}q#6XOf!jUdIr|zrvKgvlE zzYrIu(H;9*_!7L+Oqz*L))40G-CEIa-@Y8xFPu2b*?TNldu>{$<|=Oh76bNp{N~vv z%mHPKS-AEkEL`L559wpRj|YSHI$zttBZ(r?(0N5rpbXd~BLeh1CWY@esr8zHAx~*` z3OI#k6q0hfXg6a}{quu;G5I5hg=YNd3Z?N_t6_g!HBZC!NCUA+U;nPD>Z)&9cw#*_ zHxDS|3{}<^)_XvO*78klB#UrDntPsmbX5G_61VoE0iS^z1d&wS29e4%Es0j^u&!7q`hv4_8c>F3$I*ZS zsdH9N@0EYD#92wykR zN1Jx7N%aIJ{!~XRRw$W-(4nVj1T>{u>^W}c$0es0|Wx5NEcflq)u(e zmPG?)0h2(PCsNbh#b-w_^6~lZ^(SbZUmF_GP?UkDNjczcJf5#*%($`Ba6{{2+OD+h4?+4Q93H3xpDc4MNGWCINpKVZ)n1LiV;2$^=dj+3>^luY!#;;4%i=_39;ornDnIrOep|U$nv~(PruJca z54?GmbW6D^zhN3A#hH5D)+adJ9~4C)IZ|k&hEsaiEZCvG1$DcOntA{Q7R|QI<51cc zn(t}YfNUQtF;gEg@*w-hmoFr)kuOb9uGdy1^9{J6?_$Yj$FMelhNth?NKMMz9X&X^ z3B&bmjIkA=9aXz%NPD_4%QIE2dWQ{YRX)r_*3GaxkvM#4mN^*4pc!yw&kVWk4;8sx zVM~$FRp>Lo(kMg|JIMJtv5T>6Ib=Ng&DOYI?Wv)pT*)la_gPd>zzXJL z6&YuN{hUJkppP;kjGw9}aFEZTzo8gSvf0gID+XP|Ac+5#{Zvz!%+Q~c;`(exb9NQ4 zSM2g?0m}y%__+8Q+8hnP2`r|sOVleCS9MlyHPB0RwK{#yZoxQ)#b^~XOVEB+wEmXH zxmQZ=hXFm^;Jj+k4f6fK0Gr$udvBK~)xC?xum@CRE=p#I)g6I#3$ zM4voLbtsHmy*)QG*UNC~)SD=s?kKZr$A{YRY6f|F5HoA*n3hpjRN`B&>aXmKC~gV) z-|RLK_lT|YzYghA?dd)(?MXaE+82E@XG|d9-ss9A>qND0A#$z)?(bZ?CwBKIE}pE= zLNk7T)7;gZh2NtDXinW)&OHybUZxbonwrm>k9+z&v~n!$I?s%xu{CH13ewC*2}jUb zKws2yo?~AqU&uLMZ&yNpLhkXS%aUEA8T1RzV6nbN2+F}?U&ORt{TpH6Q?V2*uZqj= z|9Kncnbqwc?PVe;{^}GK;<|rWOnu#9!#D(iL7<$b?(R%TF71=lbKce0mj>s97RT~i z;3Dp^hitB2`kB~Qw-K?tN6TaUZlKZU(v3m5ClLrJA121t18epNe19=gzaM1BhcPw{ zczOG&YtSC3g(@S+w{9}#E$?^*mP9jK(0wtD&n%AMqRqI%#O!JOa@@+?bOKL9ql?Vy zgpZy%`zQ2|Y;rV4%g=33(qm&Fv{>6fi-*@~>?;%P?_nhUI)Y=Q6+OAnJ1?-Q`84w(|R!rem3t?HyuXHiKowIOoUB=|7iw?$;mLD0$J@?8hzs1=#lk3(_`CtBza%)fp) z;i<|b8cLeOj}=j=EMcvc1jAS*LWQAGoV@b7y5hG>{RzA0&KD?5)gO-Jf06s~AUxOBr4TZIeb zr(Yab_X1K76kuOnUV6UC?a(vQDukN&1n>sVt5WJoGNN!q;^d@RRCF@Ety%>a*e-?7 zDWO`}RKNFY{;eUMjkHbbtLHPxl*Nk$tc3KAUB36WD02B1VsEG^5EnA&BQx8S`ch9s zP`mt&gxp}>Pd0vfBPo`1Sf)ZN)Z>^lrZOE7i6wM;7Qe4YR)^Q2r>F=iJ@C*JrFanO z`(&^gUuv<=rht7&n<cVS*!PJ-vOsU)aS%@(p&t8n;hU`Y**pQ z7Ml@;tF2Kz4aV^<{)Vt@I-lzG?4&pOKj|kO;}OybuQ^FZRFviJFftze_5KJpR!P;X zBd++-5bKhI&7J<8p3r-|_!B5=PblJGOVM>?+oM3Kw~~wK^ECSDgR@r8c~&}npo7-e z3OJo@5*q`v>8j6-PFT3_4GL|4ESSAiJmW+|G1uAqlBs&4px0XzYw!m3_ot8_*uNYi zfr73CTs6xCa)vK+Ia1H73)?SqMN-e1|6zsH^T`_~Bue=j+g`rvPEvNYG~NFV$oAOD zwz%wYiHl~yDd{G5{f+x;J!*$Gcs2FR!jxof$uXioDS%Ky?fl_S`a*k62vXdI4plIu|^rO2EW1-&F$zU9^`8NdA>wKCW zxRWk|Jly1s9&LL=LbA9oz+XKoV6{a5+N#m=CwB+@b=o0$_#P&F1J>EfT?(YCS@Pb*j$4c{%8q90YdA^>et#k_y^aS$`S zf6hqZ8#L}4bX=Zis}9UZdcl0w9w1frG=%O>37yy)qt+Esq@616|EB#olBbUlZF#eC zBG$r6e*COm4eXoK4gz2g_XEc+rrx~0im zHPXpF$AsYYJh#mhEhcAaZEY!w2Yl}Uh_n;^%CR8E*}T=d1KOxX2Q}-E&q_JRUy%ov zZqC!Cenc0!B!2t+-N|vPt=MeEjdbf8F+j1V2}SGd+F!-5_L>R4{`o9_e=%EEL@iao z;p7S+(ZfY9KCz>+w$sQ}re_H~?^oRt^e4XSCti$d9AJx110?!#+q!ECw>Z@B@oP#C~lR-lKQKO4BaTOQiR*DvK3zb{CjBV31uA$$L+#eO745o|8VZeK!U*| ztB-CcG=7=*qtWSyJ_@9uwXQGRo{6MWSoqIUu5az%q>H!+)*(V+;afbZeB?V?{%l6d zq|9H^=qO>)8KsCMO@*VZA8Z#Kb;t`s+}QE8)p_;{`{YJUzu+j1*6C>TB8?jf2@5(yhX`t|^Jl_G z5QSwhqHl&HkoYwktzTy1mXuHs$jOA7qHgMX=T>?`l1O^x2bTaI(85EFDup4J(*7Hewutjn7Od?jtFpFx(JS-Wu~CT6cX-2gk-+BDq->%;g3(cdjZ6ZP^t{U@aS~43GSnB`^i&;aZyQ+Q7$kl7NQzOi z1L$Z;Se~71IJpEMcSLQ#IqrD$tlX1c@cy6dl~DID`hui_Kw^JD3u?TxfEFa77brlb zY&PQ2w$1Y)&_h1_*N|SI$JYmfj{dRXO;Vk76Gt;fp=jrCkZ+RBRfM5zwhly67#OB1 zHm?;x1E-XXbgk{|P27m(^4(j2ai&V8lsgA@D5MrNAjjj55@U0OO*TIbe}20%-`4@CCZ%spS;S*>e$b+& zcxa#gamX`cjCV+wcKfbyg`nnCPcT$*L2KWvBqH)!!#QuD$T45m7v(Nn(fW5#JtUWD z^M;^Vt_}WT-9GmubbyO=R_BwUZlsAppMH~lO}G8+gyf->ex_fi+dKww;*jTxwh|i{ z@2U>!@ucCC9r}?+UtB_HAhiD zKxfAge3amP24u!~Im7BF#7CzI^%%%)PCBwc;&GVz6F&<$Z>p~l`}NeW4>e3z1}qyi zZU|8|sO?!Y&l)s1aDeure; zKH1)zkt_G#^LLoyUk~?9Mk7fhLj$&Zp_m_p`16QzF&@8`7i|n0C+|EyROP;j$T=yv zFo)$ytiOUlGN>t5sf*>XU!dzda>c}0A>8j;uj`d;Ws0`b#H*9-H6yYH3;0Cdoi8j; zhv|`O+!OZSH(FmG>!Y!+9=8#(f#>+lJNXC!1&coLwTrzc;;t{GR$@hYH<&!0?N-no zO2QmZD^($k$x9#k8VVPTEkE*gW)~2~*z2s+=D{uW;AE%1`DL9@#xX3JEFbAS)2D%m zt5T}D2I8)sQvGf=Q+@cU&h#VULywPn=l=7)zeS>ZstV0nos)kb{_R08&9@v6=GDT+ zM52y{odDHOI*NCBx2v*;*B;im$w0pYfCC-?XpHMD${X`T+CCoF%JGvlt0}Jmv@)@M zi(7lk8G{YoSZC+dOE2)Rm`joVhfqjUOw@=LszsZ)oMF&sD{gficWckYMJ3Nf{UysG zzFiEi>2vQFtMas~Zr+Ae+2vafg}*+8g1R%~jqxK%tbS%>Gyw{F0#OPY*TyfJuF{DK zjS|ID|L8u@tbG}*8G04$81K6#1Jz%$*kB6|N#+uDDU2|m9}C*S6)C8z81@xB{@R)w z!78}03Vg9M)y(+1NzL~1S+qRrv*?(}$MY0_} z`)+)G58ufU513~hh)>sp&jS;9#+-je?)MYg{2pR^`x?4Qdha)$EoxnP){re9%@fM&)1fBw7e*P+h(gZT{8omZ!BpD6P}Y&7$^CKKPoOWiMY?lC2KmIQ-I4hG!maaiLcTndmez0X+Tuad;Je zHs5(|@g-?P{s*bX>zrL?WUoc@8dsO-xz^z(*UF#(`B9Ofw2G0RMFtl{O3wM(+Qy+| zd424mmZb(O>T!ZseJXSfVkO$(6@NMDu!FyDcRKaZ0hrO=z3m@%Xr2K5mq{3WEp>O# z7&(!$sC3OQ*Qrlu>5O!9&|*>2Zdgoe@MbC(QXRG74RBcyHO{ai|6D0z@%@Ws)DaR* z#WLxrZl}Ynhcy%jBO|Im($y$2<)dmtUb3sKK-nfY->Iu=O-!SYlIp#c!_=W$++6$G zK9cs-kz1U$o@dL-s`97~#K&*qOW$=zN~e=-)|DAr*@s-8k07b7}lA2`}I)f4RH_6JH3wAXK=|r7Ia?Ukvum|>RTumV0LvV{n*N|fg(QN6(T;TUEx#SJW|d zGb+?7v==PT`63H%2D3(<%0S1(Wue!jd(?jBwYjHW=V%mE{X2!LKn7(U*e9bVwN%kF zIDQjSM}cHf^S?-4>g~r2FO3a?%L=vO-42#DZ7>{OXBa2ORKgs}=HN2(i&!N*FGARe zFnIz%R4rCu-e;+J*)=ZY`>euaAr%T)XG>aixdEeKnCha9h)4cMsshGM6TKdLq9M!Z zF9a?4gxL=?g)K@Soy%uc(h8dF#nhEQ!AD00PR{3lR!R^c)rmK%%8V`lm9Sxy>l7mQ z7(XiSxXMFwTuK%|TYSC+6E2MOpq8>$HL)6O?&kPBQo&AW+?|et4_{RLOh=jYekUt= z#;0X9WXz9#y- znuvH`c8h{IQF_G%D$NUNMImm@1cjaEoFJ`J3EHx+gx|R~{NFLCY|xbvDSy_1clR58 zx^>wTG$emnusPz>%2W+HOqNObnx0tvn00v+TNYM*GcY{KJg$LddlROUpk7)CPI}pC zq{@XK#~+e)ZHs|URgDF4&(mxK^KBnZDgkihc-5udcIy+%@L*hzC-XPReOe^${ z=QAF408U@tpJvR)sFCgu!@cZK(7W~M8#s9}w96XjlZczwFyNmZ4tX)M%ce~svdejX zGDNW5fbrm^Qz4?0{Zx)cEZGQ)}F_YFBE3`3qg>_!Sp^A#XJH1K-?4yjLmlwEzQ~7a6fZ7?z_1%JYCn|OZt+Jy zdD!P!J_V>t?WQ7^2TA@ zuaW0}V}l=y{9l%G(m`3SHO)mg)afx&6>pk`8$x!{mnU6jl?tA z!11?dz-~_9^Al#xw_l@54?gN$=zG{OoGXy@YhV~ioSA>Cpld}OMr+3p?;Ztdo?V}I zHi{N_=SB-%=D&A7Am4r2^!)b?9)k6=4fj+ocg7=Z0#5S}w{qU)UlgfNlFWY$MPU;U~0;3#%LTG9mXlkY6>h@;m@aGC)Dcy`?Yzm3$?OJGzc- zXY;QC{JRvc-<`xLgf+emfzKKXxVD6>tCCtSTtT12OITr?yRNUEU$%v?T&sQ9YcT@O zd}4u^+fSGvaplk%ifEJGwJ2c-R4hLeP|z*v@5!?blopP@VjX};y;^PsX->bAOZd_} zGJp3;+4STX!=rwM`-$?SCWtLxIGE)5TQt)WN_Q3 zwWdtmb~4%Ss}WO|nEY|BC+hStmNCNW9tqJfu4~k}*_hOQ4rOA@YhNFh*_yFKjn@!i zAj+5kEo-1%^6kz&@^rQgwYtbPImgp<`BS7dgHz#o{k=)M4y?KwCAWwH5CU->bjKAn%Y>ZM>s{&?2iM$Agg)(|YF7d>>~?fi)jPH9}b zYyRqu2h``DCQM+`zJ-Q7^d5%kLAkHp6Bh;y zNnP<4lkr8{hDkh253GXQ5uW8`N%eDM0<-3Ri>6ztY1VK2xv|YlSmDxx@LhY`O_>@l z(7q6koQFi3I#VnYsLCEv1i=WP!k?|z(Vv7!KvG2H_1sVqCQ0;M+Glte`38JW!+bt4 zSOupxd?hMn=bo()WxJ8}?)#x*Y=oeT!4b`{?npQ39v3Ala8%zZHb;$^1H{KjcrCy+ z1Vb~46hLQqG7ZC05y(W(gC(wreKv9Yoe7dccMM;0`5UaZvJ3$AJ5xq4QUH0-9V{Cn zQ{*G@x5?vIT7N-Yo<;ZuRbjiL}ntx+;4l6Jz{j0B>*iwEzc zr;!tpje_g06MTi8nMdvO@%MM0>L^^!E=Yal7rx6r&P~Iz*sNN#UB~?wRi5{jnO}O4y<6-^z^RK87#_o zc6}ntwuBMicu=1WQbL{loKddEoif9?bL6&gkPWUcI$n(ilu^6z8@puz1acU&225^w zvDb47@^idDz1nXTr;VcSe{TL&apL}{10~vh$YOuIoaC4H`FI74xThNM)!V1$-=~hE zfB1<0GdueE7}HVGmwy{$@7H6-HK`lcVvMjOjxae#{_aN-hFM|!`sx8RDz!BTr6@ed z3#UIf=#p(vVuEUSBP7-JQavoqDC~v{vtj*i>z@ATUUz^luw!;j2=vW$wFVEO7=tr@+ABzO)HEM)DjR%IQ38w2VrrXYDt0fV7+pb zcr}jAi3+r38r!@yDTK+4!duUp(D2K!?qKY>e5agz$l*3JTKgb)?D0c2fith-xvLSqTEDd#m(akND&qtd-qHASbwt!1b+&`XmunjV zb0f%;ZQ!2kSYv%m^g|jkrYo~?%su8n$LS||PGoB+mvk^IbjH>NOezq)^nVBJ?F6Jq zosK&>0BE-Hsk?A5QL(NCGkka*rF}(zo^fSH+@E8*cAsa3fux^B2MoGFGQK=NtNXj7 z@7b_`pPc{Pe;kks>-P8L*alIGVqbzV$)oP+!g9jy<-%g*o{huawi#qUt0x~uwvEDo zF`kYCEtKmR9KfvLV}|Ogdt5>ClfBz6bc0Z*mpydmq@=H;Sgd6~lS#z2_=d+*Iz-Pzb&{9(42rxeHIQw+mZdsM%W3d5l?d3TN$ z*Tc+x2WS2QPH?$AUNG|A9)x6}em+WqW{%?*abE(X%%y1#Uz$!ziUDdF zhc*4*?jFb8W7=G+M+CJxN$Ve9A}15BjLEc~L;`j=lHiMVDgmUVEYG#Z(oZHHSMRKi#(-X5U+T&Lbb`mzg=`fYEoHQmZfV?2_xI)Y zP0hs(dPXgL#lru-r;kb5Cp`Rh_>Mzv?=VZq=ol|zXx;^7y6v2A;$I*c~5DHV5o~Y(I-2&+xjT;Srln zj;uM8dZg@%alvn`=BpS-&Ge?z2hoZe8y1g4RGNjqj!W)XGTO&AvGHg<=|xTzcg<)e zH&LGh_zo*qw4$p%@fanWyKc-+)otnDCHg9VJEb(Y@r(Z6oe*cu+M~eyR<-$Md zXzl5=_#1o9R&rKf32bJtZR?uf>FiW)VNtoePaosd*S=;7&u+VpGc-JnOZUt0FnUAbbx-XO7F zZ|!LO0i#jZ>&oT_H6}{$6w}_1d#5u7Fwjn*U9$z3!D!<1uoy#6?Izxvz&n z&4%CN#V$rt3bb`kt*PS%b~D0u`h=T7^JeQhr(A+8uWYw!YA^2Du;Ss@vPU{Cm}={U z;~6yKF6POvJ$~b*%TQPJ+e^Yujt%VNWDLg5RoUa+>lFD$H`|sq-6TkacJkU$7glvQ zeywKR?cj2GL<1n$^4VTsKk_EA)pSl|Y9lY-2^^e{)%oT55`$*oc z&?4KHGG^0qQDk%9vw9dW=bCxK-niN8fHmq%@|R)3RQymM7rVE0mUMiMDr@ZHx7aj@@h7v%YK(WY(}70+MPd!V*xFT^qwc2Hgk#`-i&+ z)1xSS+*NjU$7zbq>$W;drp#F`G~<)B(bv?+B$KcR`t78X%!aZZ1JA+ozDy}^FVRXU z@<9MB9gJ4@%R}NH8Y+%^(4h$nAn0Zn-h@mj_#2``<_X;eAJ&(`_#XzQ90RfgUv&B; zOMaKC^j>{iPcK63HTWcMDeCsQ`8m zsRcKv(y#(>8aZKHVnQD@R?01{nFwRTzahjV1n2i3lk3v_qp6@^AM98KHNL?aW{)i6^;;=8uiXEa2YUmnxa|4~;E)jjrN8 z88SX(RzS;{DWua=yi?}sb(5KFRIm^WI4!qxDBm9GvQ## zMyCC9qY?`kRpN;_0t!FfWiykF4$ff#_e(r=%B-L^0&A@-{h>k;P=e{RTC13Mr>YT9 z_Gy6a3>5BEJEED*>bp)OZsRx$7=GHJ!OADlJy1sIL!+@?W4}cEY`(~+Mlqd6xxix3 zUwjB%Bwoqp%T4VOrf=|ogCfNqzb?rL4qUP2?=omh zVf}Uk=XUP_w9SkN-4Z%9s}>ELDToyxvTbe;c~Nj)CoOtGIa=ob82L=7Z?4Af3$#QmQh%$PoP2zhqt5+;sf?bsIw}fS+?b<$Z1XR|;qY3Qy4T2jub}Wt3DX z-klAEQ{e}{Vhu`pLRjCbYSff49y6<&7ry>Yuhi4CeJQY)RzaduTS(D`C$hk-%$kGl2hm{Qfy~_%Zz|C3iWZ&92N@$*fJT${PZD zHl%!&&F-jFC%b$bK%wq3l1z+cRG71_|o3sV^U)19=s^z=l9*yP+Pzvl@Q?y$TCHimAvwqrNycrtZ`03#%3DOAcu| z$rPoRU6IP@TW{AuNUyNTX73j@`j!?voe@eV10z%E*Vi+gef~ zcr55r^z>|;Nt;51s5|U(DU1j%f%MZBIGgMr6$pySuPfBokZ6bb&=hp)Nt1RRt4I}q z@#poj)OWa)f&kfi0QI+zqDjsf>xb)%c^;tuS1mDN{j;#o`uES+Z?cht%}+7~1XdF~ zqKmegq?V#ZP@IF0PR^FMzIn1o_ZJb6Y50k4z1zlm4ogSl=m$~xb&8L?WBgEG%=Cft zA8H>R!}~T=$r{7fK&!Ai^l%vG+rDW#n!KKJ`m#S8g4}EkIk?ZALl*S=f0NCCRbc(-M-`$34xH6<%@hao=?P7-2Rq;%^Z2|A1z|SKcHJH;Jm>xjN1DO#{e7_q~FB zc6LeXo%wS#AdE!Ql{5V{6cX=&Fj2x;RiNO-*oDnx+ zMn%2o#6nW)@+pQ@J1bxc%-@0QVg_J$5lqa_-peUBPHfQUl)m&oe^QCbJArAJxg8Yey<;A1?zKVCw zQdLjU-Aol0!!mq@oS zeOuG2-jzc-5B7U2Bi(yiR`+vr&%9NCO@AwEDA2P4XputX=ABiwNT2fcN=wv}GX?S| zj>2tD)=O2F@aM%v)a$+T4aDdacQQ)_q0*mDyGoWYL)_^i0`q#(o~5cDp4$*1p6 zH4PIj?S$%AIHd?J7v9{CQh~gKfnw$5XNGR}hD?nWaY!f1WPzU z{#0KE3{v;w;27eV_DA4-igJ51BYjcIg=Y3OhmH_EKt!i}Fp?E2!U_5UMN)gGB5?Md zh{R5;gMV}FJmT6Gi%UX5vXB7^k|xcxn6n}+=GXJQ}(bq!WDDPDxP z8zTB8@7pUUC0S8WTe<0jj8B|e@yt*>sImM{0^xSpc|T(*)U#p2Q4YiP?alV>DV0Yg zXeiE-;&HvTH0c|ToPXjcKoL#EK$&y#L4Co9G{kqfN>29*w)&xHzuh6I{+5V>e;9Av zx&IT5*a*mJ1Nny-rd&#N)D7Dqk&a-!ou>k9z)4_>5dHR?*Xcdc%^g`7=LxzviG#Mc zm4`Sel707rq~7dMkww3R9Qi}LvAwr;?l40CWYmO8`8&{JN^7-&Zol_4ivK1XL$#FR z8M9lYT*%d$Fotw^I);3MPYyQTm6kX(czSO~%J5~!&{QfSneA|xv&9=*Q!fW8Bv3KL z+*Aoa>NX}4Er#`|PrC+QJ06I_>N;+d5ByaNw5!!9V2+w zM}wL}uxQa|ZF{wGKNTD~0Q_^J4-^tR?wZd6x zGk(F$ev;$0YH;U@zZrBH{u)kM(~z^4ZSJPukUf`dqT*Aq&^%vL=O&$@cS$d19XlUcQt(=2`g#WvG1xHL(1WUlmnWS!$&CxbSVcEsn>U5 z1(poMb{MF6?-&1SYFrR2T4+rkfk&d=S@x)zNplMU0%-X~FORt>11}2LDL-@>kp13- z^kic4gpr|sfCSX#z0&mWe7f#2A=4fEejiL!B8cc^KyY=>UdV(dK!qm2iRL9q;G#v~ z@{7PlnZU)4;A((H` z>?cfh)AQ^sd!DCW2Oz7toI!IA{}GZ@=v|@JdxrE5*gQGLcbB^~UzQl_vnGkVElM%5 za3~35**xv3-STtr~aYT+H`$ zyJMApdGw^n{vhplUJ7iqeK@$U&kNWJ?w1NQ-06=XqMc%msbi3a zY=y1jb=$_=eo+4`IE8>k6PAM;(!tMH1@3e%N9gwd?h|R zn>#KNiyh}HfYwTd_VAG+dL|DHsUV{q1J`){uK@QE2<{-t+AG75tHO}41JZ2mH#|a= zwO0ee3!q|yPnntMN4mc0k)`n8^+1%m9|6L54lX=*{pzzWTC12V%6<$OXWBIvZ9cz- zQ%tvcG%Cm;IHNrj`R<+*XCJNTmE@r@pvMB_@V63l7!a)8=+dr*!%K!#NhNtWU@*Kk zPb<*TL0!j$g&Z4(91jRBo;ZEk@PL2actX&e6LUn5{kT<8sgr_;SwIB*GAAhH4PhZ~ z%ptShZq$0z_X_< z+OVV|rSx6^y^jZJcxl(N{)xroUAT$bg%)L9!7E4gi5NL+AyUKy4Q23p4@5~h3lN??H(dPu^EYi?y`Fl@pk$p5s!Lr7PBtPhhN5rCMIyp)Z3q412~&-LI3y=B4_v)Q1`oD8FP8D$El9DTmm%s-zt89bw3wVaQ!!$i09xd;dPa z5ZMOdSufC6_$IJhj|iC|Q?K7~GXde;S8E=T@gOH4EjMf)oGWp-USvGN15tC+ULFV( zNjL-$o|2w6dj7@@PZz3*l_3838c(Jx@W9cMrHfXh(^R(*(~|ROU^&~ZSUk97b@%-F z)f1Ew`V0Uau2!N_H=@*)^aBxS(FK5T`kOt=-(Ul|m>&Oi^az$4 z8r$?x->_RsT8cT@jVJ-hk|1IPh=6BDJrGsnr5=bfWmy<JWnm#7^FWlfpY}kMDWCB`RE0hphWuL&X?R`uYk)MLmH)$|M^)&X9*C;Yw>%J4 zq3?MhO3@Dh;d*(=(2AiY)v>Mw{SYYD>BN&1v9b^TQ;#Zz|6f2tz5C}mrnb0EOF`HA zFeN1UMQ%<*JN+t$I4(&<&~E@~Zp!ODLR35507$6Xw|K;;THhKW=C{B&>{Z764hXY} zIy5-E+`BOPgHM;T=T5gSaUEiQ$ty4qJrrjchrvq7!+~%KQIKUGNLpe}^*~BQ@`*6y zlVQkb0dZ7FwZOl5geYr2=Yc3||2+)(0wBC$vT}HEV)5$gN7Jls9`IO3?>A5aqUy0OHwmQmBtc zp*|Lc`gj!T6H%y7Mxj0xh5ED~rM&(bKT0|NiRdGNZn6dCkk#;v(AFSgTM+STAb3l0 zWEihe@|E1xOGo{W|Gy!Z=fA!D&Y;G31reXf5&j+XPv!{!b2I-A1f~S*3J(McS7OrV zp2X-7UN;@`zrFTw}0Jf`}V~h?|0ln}dj3 zf{0s#h~EYgw*?Wu3nFe0B7P4-%|nx)AmdwwY9tVDSh2f>6_KnHPSyeu(+#x_LIAM zW|d!D1Edo8I=5H8LSBS4H*T{#%B#;j``ir|s^TO`%iOP#zZn z+-hGiJmI~~emOkMm);C^_?ftk-r%Zt0=&0?@x;r(^06^Ih9sKVOH;`p4!~h$CG1!@ zjnN?>ov&2u5P-L|-F}uOAl?4%R{(y3HXP{y+-rOPY34a5t5v=5{IMqsezWy8uYfTe zGdcv|yy>7r0N&E}md4o9xw%lC13qIpqmBs62qWySUe{vx?mEJy#X9TQowzQ z-Bs%dmmyWBh7oojtZT7bx{k1WS{)I#Bdo=i;n+9&q}7KU5L=G}5}_ypveOhT)Po#p z)`-f>dr0=j87Eg~yHD5k*nQfe$L`b)NQ7@)kZP4(2y2Ym-%^Y;rK$(Mt^|jW2uOsr zJEl9iY^hc#!jwrs92>Zwu*RwbV%OOLv27uwxk7b>OHEjY)tg*~SP*-lI;vxjR0qVR zsA{b}P#r?-k?MfhBh>+MnPSy>$3X0%IyqbH&hL;C0g0%Qt3$Q-%Z{-n!dx4&1v467 zpqM|WXI}5z?(V+1b9ztgp3~bsd;Z+Hcz~{ZZuu#9es%O(Ya~}DL`UX&;^~jbVDOPx z!uAxrxh-kl?U+i6t$WAz?OP8(%rv@HdT17#H1h|lGA`zb!;x~6muc*JY~4$x`5`i) z^NLu~v{TZ&_+=WutF)C5o-=*z-P^ay`ub5h4R3j-N9AeSax~JH;&|yj+qZ%z-H`|7 z%OP?l?}`P}CJxDw@{ml8leEM>-cY%Z&qt>`T^7n~Y)pEp_U3)MVq=Wn5aufMzil(i zz9M!`cl_!5w(~1aMIU`2r8nJM)*Wv)?QkC~Q}RCw`4f5mUt8!}0G=y=m)O@n14@@* zur+P!^zjz@gf})mG1rR)h|wi{cL6O&%X?@&Cyq2j|6BdVF@=lgsD1pLXCda${qx5i0m^=W=k4uh3)V*|^(V z*u^yo9>q(CaIo#K2CuX|%leRiQC@bXRkjHE7vyDES!JgozacNv{fRO!L0OuY;j*lv zZ*xQ0xhPwd^Zkd#w-tH3f@A7Hf9g6s8F^nF7BrN-)+3V(?Bh_j0{TP`X{UfTX3<^+ z+7XI|wn}D!##q|zE-Swfc$Q25gKU$(Gspd39v=oe^)9)Uw_p^sTFpZ}kYvS$Nt zk9Ep=3CfOAvPe50v|}vV3mmxFpy~SW177+tuP5tJ9JF2Mo6@Wg*0xSOZ};I%UuT(? z$=5@5zLO67YYT|B;w_q-HAKC@brO%boQ0U?ZQ68Jp{2M z)X#M}c#3iH{{a91|NnRaq*r@v6h#=H1&S@@Ro>5fpjHY+3L>Qs3UhmNds}WF?Cv4u z4_Bo?!K6U2u_Pc8f&^nCC`5_TV4|f7niv%&QGy8yB*ci17?nRr)Zhb^nD~bQjDFv@ zJA1cRpe1ZFv$r$9`OWv9IhWnX1~JAq{kr8dc(Gb&nN-r(gy{=2XZYzX*P2wr_Fa#A zIhO`&Iy*M6Tida^EwQfS@n&2`&zu<30vPMfgd-`jTvtUy15)3NuQtZlctbwd$~mg= z(|Wcaq;q4WOZp;JEyK1{o=eo##wh=POheX?r1q=^u1PF z+{~u)`$334a)s*Ymf5$~3ARvSZ2dWBI|#?dh7vxgXHzP7Qog}Wt_cU{*Z^pwSr@#n zem(CeSjDD5!`(P-=G&gHn-FIvcvCm*EX)Sa18fES@HbDR&r#6hDw7+4Gt-uDXu%FR zy?{Lo{r;90_T$$$Xo$t&fWWhbnG&X|3l}HpsUz-F#-bd4j)aDI-BmNf;4$Vw>=4Yp zc>a%J@M2O5?rLUS3eL(WrHNh$JJ*K>R?nb)v}O#u9*t8aC~i;agyc8RzV zpW+_JS+)||0G5FM$m~W8G&TYnF0gF$n!jAAILh`wn?{n%T-|dLEiReejOBQN1yS2V zW7kuNRCSIoLkh{PN7lwsdK#!&3_f_R2S26dAPRM_l`Im#pog6YGIo0XWPvhFhK9mo zRq5?{TGTk0v5D*${C;hB%~@Q8!qB3OZYxvC6ElAP>I_bmhf_gaQ5snSJaA-IhXLb} z!o4?AnwSlM^nbbM5Qz524MO>|deY%et8a5XA6ttE_0Y<#Z{UjI;R-ctsY`v;%6qev zorAdzyEc9dugEe5bqa@B3A8eX#(TMY-YOZfZCy}}i&qdQ z)r^~hQ&yHWKTGt|b1@8^)yP24II4qJKivMweE6OO4fWIx7b3N3Pyb+4fe#Yh=hI{aokcMk7y#FrB zF6&=@4WW>HHd*+Q@^@NrXf!mmFx7Z@9tXz^)~;$QmOpgXPr{-j<%Y_^sbU9(8)g`* zg8SILgkG#{n~O5YjQ4an@B*YVGeTgvOFj&DimwoLPlW0z;Gv6Mh`2jKv;#uM9vnBi zsmZ-&L>QDtVp3Kv35ROPQx#$GK$2F|;Ytz$?uq~xfkmp6v>?wyM2ZlXj@3{a$aJx} z1C`4Yjv^>cI<90MwKGC87XNx3r_C*s{|%kMSRwch;VWeT`@`zKYba$WOdF* zl3<47S8zwo_)A5;cnu{+eO2}6 z=s~FpT^3VGB%o&)dmG+sDtmU8!dv=~I}UH9izR{3hC0>I6YU%R#UUI)%OIW7LhQrK zH*UhIA>ow6O)aR4IJ%U~zPq{(j{=s0w#$a*5bDJxs$40{mi|k7#Z^PHsD;d()r>~?of3=1Q9x!R_%GBy{zw5u40kB&ir;@ z6|R@Z%k}n9Y|75AeYMjO8mp zKp;81@BjP0=iGbGJx`v!_L^C<*Gy*5?D;JrdGO#t1>VDZ>T&;jcYoKha`&)vaR%`4 zadLC=0N#1oIa&gE`FH``f?PbjT)f;od;l#gcW*ljE5HXc3kLuXKuZ~*Yh@02Z)xXw z|6~ZuqkAC=Py*&W@r}#ip@jqqXhwu(;L+v392GjYv5gZe?un<~S>BU3d*49P_ zCxrj{<=n4t1UIBH>?g+~gOihzer!hG<;=HuRQ_nrxj4JN?ZkLVdC&bB3@V_>nU%4_#Zf;KBnMFuVze+-%fN0#L4XZ)^ z=xSCHdF1>IV{oZ3dZ>U7U9xq>8n?wDq;80L;kH>2Z81SSn9UO_p+y^DZ5Ky&5laG1 z;ARMmB)87B?c;q3u0GWt@`4<&02A=PuK-#;x+iRt4^=>9@(i~F6i>sq1%}EXDJ)z{ z#^1$R{d7+2x1SDKKonRo-{FiS$FCM;Yf}nREE$H>A@7-^lq(ajSCiY>{Y*}Cw=ITP zArs6tim@q~oFZz|y6&ahFNTI7{gx8RDwXlK+U`l))^l?S^y z_|sV*c@r$HhjMwdL7q18qi;l1!;iloZA^ElwKl25en&@Gp&qfgC>y8XC$uwCz47~a z+HMKnrW#6s46=Y&;uO7;E_OK=wQ8FRnk-F*#vwM$6ZZpCeIud-&5>($VzC*ziWJ>#+)#8V#O;S=2`XqC~>+&vsG8gIeu?m zxk+X~h2=WqoalQr1d-1oq=J$7&#;`6(Sp2PvycGGD_kn{$I~z87^7ApCGzCG=SuYP z2u3U4YEK~Kh;+KcpjAT!DZfV;f22DdWZY^|5RkHMwFi8ETDpxx6|B};r81z5$%6P4 z0Ka&yryL1QJ3&@nUdx3`CEcpsbbUWcfmrW#8J$*~n{cguoW7HJ9z}kHbb{1O1G79W zWaO>__Fk2o>FhO<;c@f;la4H>vkZBEZtGJyv#vT61TsqwUsGwM&!>E4M*9K(=)eYdW&r+e@}x3Z zE=T>vkv1gNU}M1WiFx!_%6!00Fa&VpTno5y{C0np0&Yfii7i~ye{}uzl!@-9`br7n z!_K9-v{>K+aWABp9Y+UIU5?)~TsY>3xHVAF=QEjd1YpjW*g*^|Ko5%hURrhE}FXFI7)Sq);I6K$@U z3;DbyUC!|%gBt*qhL3!dA`S-Z=9 zs;!PA(f@yC$kWSpHg$I+n@`az8l<4y@$8V zcRniSt0BN><~i2pnzb)A5HXgT&R^@ykrz*#%c}sFn}5BP%8Oj=fxnl53&_9`(&bTrx%b3p)L_(8{FH0@ zL~%fiiF-~#*Y-1|@;Jq~3z9y10>f9U3tYcCCfLWcNy#OdBn1WWQda42WQH z!~BYIBQQ4vQO*Mf1i)_i`#2Mu)mwUGeSr@)smR0ukv8(ph__ER7m51n7{E64#1o=` zY&L0etuMaG52BPdsUA6t%YNCVAf9*vxcm;d3~X+iq$fUnI7LtFCcXL>4WdIbfGJ9jj_=ro=6AG*$|6{ z_VmKTOv5ufpb{iyod@y(5K+MZ$MNy(hEg3*tsasc~}_Hpi>h-H_^?Bc%TBA)mG z@O+dT2PO9=KI}~u<~+szC<#85NyKC1ET&1s6B{6Ee)oLqiE}g)@z#^RtA}n3A(Rw= zBT{1IQ}7LF7f3v@3mA9^z98!hdb}z5=w93$CtXh?*Cta>GrJsx!gV%LI_Fvny`bnr z6ZN$|HaEdFM`Q7rjFHQUS691Q5Jd-Zfp0>JDHhb9PYk$jEmHRJ-A{V02qOdB2c~T9 z(x$i|v%+;2D&q$Rp`Lor&MQ%76?3hW$U?^@04~^FCJ`%-HeWH~4j+7TVDY%mrvR|@Fput@FiH_$mp`8+IQ?f)G;2OT*w-{0 zyu;t@eC#ImwV6m}bjuXX40UL(Qd&#t^8ug4K`fO zVrpQ0pRssyatR~Cy1D@S*!N$HOWeN?mEgGFarR`KfNyTU(|alSuOzfaLcCo*x?F9b z!UP!Ctjz}3mwq+$#Q6Tj9Wpf&0^fRp+)b-qO;?ecEg)kl_PQ^6V%)-oi|?nChPsEL z@9v@ex7&?~Q^6;BLDgHG58Pbj26>)D(5$z7)n=K>akY?YRO-8aeXYbR&#N=d3~sN^ zJ|dB4g>&PwprQhszWJth=|o)1&(SqkJ;8GF!ZI>LKEDQC z1=|#X>y#EKqTHozOK5g+#m?6_HaA)x_MxwRWOgHkCuzL5yL5@S9(y~*lJto`a`FVZ zE;uM*L?IWpm`qb30NwoiRN0! z#RVTTfIg1sI%L^yvZ)ZfKH$u5*dodchUou|DX#v%zvcz+_^GaU>h=uGSr zeg&D>6Wo{gu~?y_Fr(C!l|uu1CXa11)ECSyRW{p}v>55Vlj@Bb$dV-#I{-}Ehy2Z#mtv6 zt`Uma@quq{Cv{d0lboX&t~Ok-DZ&_z>df*CiJfK%HhT%_q|ZHyPnOx>fH@!H1JNV8 zKHOtP$@so?$X^C_JNDCViSR4HCJ0Q#C<(r29NgZEOv(#QGI;MkQ76Wy2&VKIc^@F% z7oxsE8e*I8{DBibi4{sO6qcj3pa4DxES1vqMbPtKih2JZnltk zCiQtNsm3eRbN_IzHVIkPdp=?3Iv-!o9_dAazF?_A_pIugCC&2HDiY^GQrV#KxtdBC-xTc9)j-itxR(+54d(U zl#LUAfCYSW9aU}E^D7n&k`bpo=gQuZ(KY+_1nba~oXlGs>x=K)U=ViRy3AecVIM8lCOr-hjx^ljS9s4CU-W~i98(IVa~o~5QamlS=icQC zfB_n?8;*J4OP5vCyRRLwmRK@ZFX`@g^YlxNJ}jPKnP`J6v*-{-T#!GgSZI^cWIN3F z$C11+98BaPAKFW_WrSJA8UAvAaJf}J2ryER5f_6c_O(1}zAvgW;b&tbvg*`FHN1NW zHtFv{sUs^s7-mU4F?7-^{?MEQt0wu&0W*)#KLF!<-9KcQ-@Qm0&04zY#*MV)GC1-4l3xACZ=jfSink2)y z%by^*Qh&JD-N{&9#NAB6?el{4m0@Vb3mk_7C$sA0RWclh{vb2%BB>VxCaHrxri8(a zqwZ$ePd$`VsqP`wTQckR-!$mIzC(ME8RmOCLs>mO^KCP}x6*=H@)J;dJW+AR`<__t zZM=Q!+-fHLoiD9=0QeKxmLJYgJh7e+M8r73#Y9g)$HBSF_GTw^59*QR11itG&q?Z! zxKyQ-7N>k9*%sUR8c%t&c$68%9dDL_pv@5vm_tiu1fuf3PS2jB(XghQaI?59A(_ zOUSrG0Gj~dq{;K^-k95X@(slUE^z%51^v+D7fv!cf7>)|zuJu3P5+WGTCjNn3V4C! zfS=^BQ35XI0og&_iX?*?_n^u4{4wHgpiSTws%Ufc}kGj z3F{xyi+qFgk!zSybqk$^68Up_v=A)qldd+xBl>L87I2_*mASF-u*;hMU3 zfS-@;`bdcxveNj`#f=~GQeNV zy*4AzI1QuO+k!?kyKOP8H(z(tHHnAz$HY}PJ8#p?$K3p#K8U&}tHp87xbfsEM-iv4 zd(LAa@3^7Qz#d?Ki~0w3;PBqaO@!5MS@|XDfs`fT^ol;WXKa%9-a^p+!$Tx)?q}~u07xzhOA3VY^p#C=gp1hvcn4q8# z!EU>FgUoTT8dK3re5CyF=NCGU2wvdbjOghWWtv zk5LE0h)p?^GduJb5$0z*+J58ehSEi-dww95&T}7qza002uk5K^-cLnVRU*O@xmH`H zruV%i2yf554^&xh*CGQuE$ufjI#pjWENDEQaYiHf zdt$ENGnn{O2X*B~SV(>~>iRCvVAGnDcRz(cUVM1=8vD6F^tw%a!ysD|;TczS3q;=F z-i7E(Y+%|z(uEl@g9Kh|*v~)wMQq^Hb2%@5h;JkbdHUGFSZuidqL>bzV|vxcWF;Pc z=syuqntnZ1`g%NIAdNPC&3xuD;w{kjO`Fl4vKBePW=V?mbgwRQb7#ZrssdrHVru zZ}P_BG_mIO-Zdrtax97{yd}i5Lj4nT>tx50C@u2oEqfzz}n$GJ~pu;}%@{IAF z@ENDa+4rlnJK$35k^uva&#c#zZy6KA*hJAzZB{e41DUoKv_|$n1=>|>mgomL9f?+a zTC*Z-BFRE($g(7EIP@p#&dBFC9p7e-EWAEX7U%@(;l>eJ<#-^6c;-+Q-gXIYgKjh& z;~85vF~@?edF5K)^k%-d%b&kF!q4?u{aEKDt`5Ywu#jDp6u*e#%O9QV`?nI(d zWh;1JbB2x^dE%QAtdE0C+uYQ5mePZ4_TbqKvFqywjJrZxR2HOT8glcM2nlzZD&`jT z{x~C8H|r^Gcb)aO{Q-l@6o{e3bD(6o@X8-1*FdmNj(>NHcw=(Qh4$)|HDg=?Tcz9H zXiG!;kqhV1l-0h+@_JXQ!Jsz}jRHq)Ior&rr2H}r@3+|2mhZJl`_V3mS<|O8l+m_U z2m0D~qxb-%lO|DH)d)v%a)1Q{C2cg!@YWyeAeS9)SKB&uBF+czH+!%kFo}Hc;=qZ@ zCvKn9qPc0^F8EWh9VG9MkyH}eOCl#N86s|c_koJw{R zkU)J_iFM%ni5CVjE!l#3Ud8o%Y#JVgmXf5SYlhB{`w;2vJV=kw06 zsiRx+&gLqStT)`4vP;8Tkv7;=ZGhza2_e_6CTtM;b<*n0)=j#GWD#MS!p`N?@F<3D z!FQ}tbu#8?IXZAL`xHBg=vxVD!M5$s%hwmh3Ik0RwU4jwJK|?w%RqIx{cQNCE>m@s z&A%j;jK9P){r6))xrnR&bi=^IspPxkhw~!zdRP%2-v~j!?PjWf5$CrJ?p?;y9!=-q z!Pk|IP=|M=*-snvuGz5v=fL~V*E;c$ROh3ocN}cLS;4yQ9kPF9V>&XfoP&Lv$lgOM z?Gy^TJGJK?doHh+A-aFeJMz~y*>(wY-Gx09iF^|?a_l!d@@ncLwQZ3hZshg^X--Jv`rF6DXk*sXhj_VA0SxX41L^E*-X9ltK;SKsGc-^owg&S?&p=D2(NM0LnBN7H`{bw_!7*L7z4L+LyU5;{|d$- z&pDahe_u60r6bXEOZL^6#U12s#dglIJa?OaGn8%gsM+g+P-9IYN~^u-(wgesQWnxh ze~alP;8aDhI!72 z%io=xB%t*~)F$gD4Uc>N{iWS0Hj$=b`hT(4Igk8lDKp%?pNAnQYq00*G+?~?JU*j zmWR>4ZoK-OPD!1=!&lC&J`yDaInpMRouw&Yt{boP2M z=IX*(9fOX70j-WZzSZi4lDGOvau=%-zcIJ0MHVSFXg zW|1Pr*v|--LcX*!O~>Q1^q`{!>L+=)7psm?hDM<{`}52=bYSEN9s4`__gKCtxS+nU zBwSqb^MxTUio;De)l;s?5{sZ=G1I z=Ll+>Fg97dC1{`^=aCT1A?*r<_INE<0CM&-7SR5Jr_WkPh{(OS`{fm=4NMc0-5aZW zr8rG?f_`DGN1Wltl^C|3Y1@k|M2(+FID74hFtn!+L*4S3p~#_R#Fm0r@hy5*P#3nC zfwh|BR>4|y?VX$VqNapCBLa8wr=^IU`8K=k@*Ue)|IGWKItIzYvAjQ4#WS+K@o!vI zr7k4QVhn75(5*h>D7mmXuM8?I=Oa8Dx!ZCam~XcF=9rf7Or35#Yh0~9H0t7g3YJ4Z zz(8tdR%1;+^(co}0oh3=X6?#nX$m_)6&s}$89Kkqt~!0U zR6m%7AEcfzcJzlxZT_~F82;MEeZ%e5ik6Qx{`CINtdDa0xot1lsKt?-liGfNu74V* z0HxE>rL8f0pfeyg*QoI}k<&P6Yi?@s7+kWPUOOk6*Zw1-NsV4F%Ml7KcG&lFacCD^ z6FFJi{v;AM7M0ndws-t_&aWUOKqq0%kt)B{d9z~DeMQIZw^?juQc@h}K)@o$d{Kqp zsM7u#w{%hXS;9<&X#mGt#80QfNnMd*#qx^`uUz5l&jyh>Q-& zIZ?*I?&j2mBc|u9H)V3^%5e!9L?V4v7V)%as=CUJFVDh1338kk@nERY`(^(4Mm9E= z8Ck9p${lx>qG`i(OI%tx;Tbqqqg8(d#@u)*jEo^c5#c|seCH=xB&m*s+xf^w$w;e$ z2;1^4m`YjyterKS>bZNY!kQM>L=uu2_No1=BCL<~7v|bupKGfc@fe7DyhV|8Bn&vWQR}uDB3ci4dch?}>?;aMJe%lk zig}8rtpTf8eG2Ar!taX%xog!c51&)NLI^VDJs9du;*X3 zj}v1^daX0=3GE?qxH}M7uw7~`$t5_Q+Y)Kgw|{1^`mrx^{Z&WhnSnvJkAL|aj`mxE zg%L)_t?}8}vKe8AHUHb@*{y*K)U@*=UeF%RI^74+_{GB+G2^ps64Z*%^sspIX*QdU zDNZYf@hiLY$o1IG;KWl-plsuS-zX8*a1h;jlE#X}NS8%Kp<9seqJzGl~t z?ae>%B7{Lb#4M(<#rgvMYj4o1Ad{=t7dG>ppYf5)mj=Up=T(^cCaiT?Jz${1B4PTH zDzd~q&bCr=(4DZ-Nyxz7(f3z>@VGQZoW$0GiRR?d%#>q!O7>A_`(UK*PCib+F)x)p zDLPN!+#6?K+m1CwKQKkZ+q>Y!9g{#_y&09cZ{Gs!wt0kAut`HBst&mANtBIvk|Vbr zSV+xLff5sna~!_YsrV~qLx-Or)DDxZV>sFA$UzS;+1Y)_obc18M;xhpm1q^&Hmd2x z>29Zwtf|;ftyD|QmPu=?Q=>!igOS&c`5NNpMNDHs^!?Ym--IyrT+%tElAEGkf&6VO zv9FpsxfZC2q$z1@+FS6gkKp1#`keiPz_pH}JJKF2-!t`r$Z&TvVI0BP}=Q=@q40s1u7&vPS@vC-waOZ)4XD6o~XiF zJK5Npxbm#5PdE9{LVIq|*cO;)g{_k5G~w6x-IT-VZ2k13Z%TW*l;k@`A3Jn_C`AD_ z2dk~O!;jiqC$d!6VC+SDWfddBj?E_ZDiQAkc6yUmVu@1Pfi@M?Y2U&gKRkqzNkqj1 zb;@enZx3yxa5)*)=V-YKpIQ<=Xxbv7IB#MSDk=>XImk8P71aUa300kaVd_=+xDgQF z4%hR4BjrgkL6sEeY}AlhlumXTo51;5jkIijc3|W_;MQy%_b^?j4Ow3p&^9u;h6wY0 zzh~?@+C-_=_4&JCh2bFm{JO^gLaBHa6m>h-4i>bG+9o8zwewR=XBf%i3f#uWOPFbQ zdnM`90!m)LpRBbfUooV*>7ev(%nWfz;8~G8v@+g_6C=EwZ0w~Zd*-paUE1K{ z-o)Rsr#z0WuLsOT7UVd0%pOhYFRN%?&Q17wLo-%>H6>c-cn(A=gHIf>SP_kGBfr}Y zwSpnyY*w+~ph!9$H+TT>n_HaesL|>g>a5ji@yQ)Ona*m|M+~@_=9*_cC8)`e|2KGHTr%;u!MX?)VuIDcA9E5*FH%w;)f?C$f zo>bPX#S?;C?j52km{!c~_pCCCnN2!Mt%!9Tjl7NiJ_CdOlp?(W3d5gsB%Mc_idI;M zwtcY{?FW~1lJjM0R~wdAu?hq-;S#E>B5J6d1NnX)6* zoacIwvU0pTo6n{I&wL0cW143z^?bgnM?p>M*%ou~V{aZ^B`W5E85uIplOL z((BaEs-#JFkHZr3^PkW$Y&>CiX1u_$2o3G@hs{xSIRGmXm1b#-MX3QBI<-;djp zBg<3lQ>T5nca*o@>@_{QO{pEG$?;Y_t?h7!nnc#t2dX4)xx_VRFHm9>F24}8{ix-Y zt)EU#>X1Nv6JJtUU1U*mrm9tV&L)bD$iZx=G5#QgNVdcVaD^2+GCR<)kq>RzvM;%nN=R%yl?0bf&A zkvrc$zAxFxeE4E0rX^r*&$E1|N4D_P0cUuBTi2re`wxbq#$frFm4(~J1~wVHrca1(;z+tUQ6pS`bwQt zBo-A>tp!ukAuqlhx;cvcJe9?0 zP_2h8J?7fHi~aNa?7a%KiDPds{xb*dMe$TGXxf_qhDW0^nRaF%fcgEKjZ@aeJMVY6 zgWcz*X>o@MTL0i`DTa253{@C~+nwG-vmPOlz3~-`0)ek>McdrdO{{B4#g##Ho;HRR@J(c3u(+l9QdCWc`#UPp`c9^P{ObG^b{%_kWL9=_rd`+f zuQ*q>$l!$}SZ3Y{Z#r-`B%i%uc0Wv9E0|X0vW99`JI|*5yf~*JD{D>a2OY59tf&Ex z4c^2#R~ycesZtcA;kE}5t2o-K9fRuA^=F(|TNO%JIV7-w__PHL_QRiey`KF!L?E^Q zqBRudL?cIiBkxdId>YMa2_AZ3Hj5&K-aGUZSCe=l~Y)7T3}`?^J);$hM2w$S>)fiO#R4rP#0dl~yEJX@<{S zDKG9pN8I#Vf{!8^8vI7Du>wolS)BsuM#lNZ9S*yN#r+B&aKza64)uO*xT(y@P#-zC zDAfGa)^hbH{4W36a-07MS@>v7F(_5)kLbIG4)*E6wOoC4$yblb(uS*&kJF$^vB1s7KM zfxhzuymP^q%3`OgUhdXGUf3Gx+L+7He%}tuUoyj;S#HF4$bom7Id-M)F6#MZpm7}Ozpd=Mu;HJs5W2me-mq%wO%|%)B zhvj+Nb(6$k!tB0Pq{L3D!`<%uw;&PAPeP~0OR36#PkH6^D~rZdWU zI{kN@b#zQcN6+sXA3Fa;81sB>4NS|jFwwlDzu{^}uflMGt!4M_NDzKop9jt9GLv6I z5Bjgc7IZvpyt+pB-knX2^vwIh^IPGQh{w5-PXbXjae_cQl0Omu6I}ZLcrP(C&;Gup z?rgilW9<88JBRT>;~_|6Pl;~y1S&#=s{ygEC%tkB;liPLM*E35m7)K{sZKO>q_a-7 zif(7wx`3y2Xryh1=uVOPb%|E+ej=8)fYv`0`_s^UBr$}+AjB_3cLi8a&V2nLO^QX#w8A^%Q9yYY zpXJ3ZUb42Cb`8$wDv7Rof%(PDFW-?|TR`4phTviU(`D*C;Ou>>2yf2ws^HIpTq}Wr zZo2;KR$LR}=cGx%<>**K<#$Y%x*LQ)qqIWAI_oFd(vhq5s(!D&`49`>K^>g^cDvD0 za3lU?_H8k);6xAdIKy+W@|x9AE8j|>>UPtz2Ukwp#o=uuIaMB8*OEX=qi5;4@p$&kDSBA0 z>c-V{u_n=;>WpvV*(b!9**Nb$g}=uE&vNp}d)-U2AJJ(er|>o0#m*(w(j#XozLZ!! zG{viaLg{?0enlhnTYu<{CMwchm2_D;XfZ{{WIQuX$@?nY~bje~XHpQ=Wqgh}4(fG>3Y z$2dKNd1E5##9e+2s!{3m8z!wh8eaSF4Cp!k2Il*?{!PxGJ+Q6!b6zY6FV;_9`4N}x zaubcC#xS!anYgVN5S-*MPQWG^?&9A%IjpbMBEQ9=KidLpUz~EzoI`7;_#j$l{@4XV zyu^{95Ne82Y28EgU$;Zx%ZOU_dc>bcoZP0k6!fm&6UH-?NS)&9wAQpT??wr0k6{x| z`wc&nd%x9PgDCMghqHJ!tHyKOU1rvxr3W?!u>B2!SLv*d)b_=#B~dM_9qilC(-tFO z`V^3-`paPa@i@W3(hDt4kM+c;hJc^%X0{6JsE($(Gvq6&-q*8>cvPlGh)lYC$z5y^ zTOVb(er)OU7Z$cZGmD$e-;3eLwc^lNY{GTN#5ak|%P}E++1c)3 zA`1NbHxsW|u}0cC3Gm-^raOHdZ)ZO zs{4u>{cRLwLc|X@l@XB(=xVccQ({!hG03 z3PP&;Sv{)Ic$2wfsjti=YAkK_GMQS#qIZPy4QHA&r~8^FXmWG3+NPeuLoHh)5j|ru zp4kqdP@M|*AE&eW)9kPoAg{AjQ#Ga+n{HHBuBt@pG6o&k37F~WIrfEvH@Xu`i^g?WjExH5s)QIt#?G8&H9b|1Jy{oIEU$|l8UA7KXO?zC6vLXe#L({xeWXOGD$teil zS|&>ZGRbqG{o~NlAnEkza3y>0^5@d>h@D43P56$VF+xF{yPwA)n>1*q&1B^c?6cj` z_t1`_Hrr;?lB5z(u#Kv91@rq)c61F?&-o-ssL7w^YGF6+)?7<-GaqMIbahlR%Krl_ zd#j`;kLosXk;Wm=HcbHawn_f5=)><@O7+7-3xeK`_-UTx2`PG~3)HEf{Y?P})XazC zEUb*nuXbT(v};!-K$xj2!TnLZese_Yx%slB@nrQYRF2v^5VcL7VA8l|QbJuz44V|kvL`5ZnHly)$zzDG;K3oU^QIv- zg+~?QdXFt;?ox0(`{!PNSvJ2_7+;Q(Jil2b6Tz`x${8}cAiMGK>cb!J^Xjj@Rj6!Q z&$hlGNmoyS9gU>e%Zs!gC!)RqhXmvKa@J`5wK!$$sb6CXqfNmyLmZ6e*Yl&1wu+~) zIf*2CDb55P)ETgg@G~T4-b_KVyq>MophA_mA?MXpmRicP#JYPP_h5%%0R;F<|H~baa)Cw;HWBt5|b^T^_lj? z&7FDMk0crXVT7r@2hP*yYdjkyLV;!~D1W1kxSf*#ZaK0dZWp6-Mq{9(ihz~}5)BgZ zggI0~Q{|)D+_^k{XU%867QE2)YN;z+*Y#*jRt);Tz&EL)FQPk02JaSst99V(AIg>Y zSxvXZ&oceBR!>ztrR1EtDlHSn1?f|)Ki!D_<+^%t5bzyn;P~to@1u5NSMH;_$DtNpK-HR)E$;&|NiG|_o@Q{vta2CpsRFXbx%|9N?lLC7st{g3U=W&U z_Lg9{3MxsXC!d#k+0}e~XL||M4+!SJ(+>FyVqRzUm^0~V{YL8AGZGS;)<2+gwAGa)~qvB6fO2x^>xds*1uT;I?Qe_C`_DTJMV z{8!Qc>3{#tDfs1+`Tkzn^Zl!1V(#4SVU2&&-R>8Ls#Mba#df5w>O3CFPTQ!SU~(b6 z2?)!#n3=rG)cfsn#6*|{Nz$+H9Il|9p7v7Uqo`DwE$vcds*FUgvLPoO91YIM2H~o* z5-?aE8|cWb|Kmi%2{{+L)`2}rIKqU=o*^iUCUIPi#7uBjk~dX%Ate|5j;Q6f7C&7l zpvvmUG+E`zp)5(ee;5tN@g0}~<~#N=F|k%%MGh_I{_#^xf%uLeX{+EKk@BB&Di=p9 zXj-p${rNiTW8t`tWVRqj?p=^h7GD*efXc|``1jy#emhYgqx#h6K5hkOghee*&v2D* z*uJtS|CW;~(s(y*~Mpzj~lM)q0PYfU_&Do>9827fw>kQj<5O1~TUmhH*$#nyRnW#zRadsb7EZ2)%# z)*Wp^bSx|SmmW21VHmr`l~wPIxQ)!m{nbN8q~d%!q+xZm&0l+qP{BvbwO9O8nK7e& z6Xs=`f7ez*Ns7UU=z&loeBkkE#z*?s`fV#6to9pWzLXW&R*eH4unyh2c^Dt{eNrnu zgZGuTb8`fdbNRC(@4XELQT(D8{gSXE6q8!xNA`-H-Mtr>>}T6gon#HNyLN8&v`C~_ zc?)cg4ie(5V><_q>om3#krC4kDlKdV7qPTr3rX3Zc9qhICU*J~Wch{k8(OTKT7_pK zTZQ=bP!hoS3>~pk_BohfQ&fx@MlQ0XU&BRYiT%5es^Evg!kyh6Q7H$*#1QsUKOy&Y z-?Wqpsh%V*&#Bw&ijFZV1L2RYnr18Wi#lfp$x`VWFjW~mr2cTW-vz156>;C7VX5uL zo;xM~99K$CBkj|sK2GR0V& zv$$`$+0)lQyj^xM`Mq=eGV^MAdwG&})(JEm9eij_^l#xS z?5bEWmO}Om(bLMecy=D=0`$|>#MhQ>rkqI#ETgB&^7F>9T>GF`ZoN4Q(^;#p)cxK@ z!wLjkk5jCv$+LCYxpL#kir{v=yD5Q3{RAE`c7|QEl&m?PsnVVY<{6#Q4IbWb>+~JZ z5TW`w)80(-`VNeCc2F+4m#?tU6uj^|8^WH#5SlNctR?v-GrsR(wK3KZcHIH+2w*3Iev;xvEKo3-3_h! z{LM$C`TLTc1eHL(?d)XM{e?qW|JKIKXGBt~p@O5$zb!;18 zkvka)q%)V@)*Mo{z@3i#4V`JcmB0RcwPiw?6i~ME2ABD2$XxKu*+bpLPU~=Wc@qU{ zw88@lJF(2^ilw3*T&D;+TIn`e4e5Lvh0#Ahg_ zk%sMUy|GiR9Z!gnvHB01w%|XfayZ4X<``@0#mQg5q+$!0tAf2a;7dL<_J@7PrT)R^ zsz9x0c4!FE&oS#&Bti)-lHx5UR4|eN@xx-1Psd<2-|!z=Aj)1*1lq3J3_& zg$P0dA}29~BA^tBIVDP$CPhGyPJ|GZz=a|j1f)q9G$6f=pn@Y+6sew)12ln90)&!; zU@k_zxw-LX-j{u|GjIR<>G$5--2#zEt^POAw4v)o_o;(9WqnkFfsEGZ+`z)TA9BDo znmyw|=|S)JlkrW?knh^?Oq>rX9T6}bhN}tBcz1`h9t&tmQM(Sh>v^Dr4)lbP?a@gW zZs~ynY@`uM4eOx{%K@j=7R}s0?-T~N4cFPkE~(L0)#1$^aL@iC*K)7VEQ6$3>&q(x zy2DX)#nd?4>~~+D&N((2IS03T%vwAnWw~%nzQA?PnYNQjGAHf*A%f1eeFSet55Xp} zwlOi|O8cRH@RRY-Fz1Yn7+>%1{R^0ea%l3|jk_?-rD9e)y_zx}9&s#vB992PtDDcF zvsB+xwyjPU?O9))ld`2r)Se|&rQ-7sABHALUN@lBdp$c(|6>zG z1~OhI*$B&5w!0=0ZuWGg44 zWSOn4$iaZ1Q$>!;Qu;2pW=A;$dXvRFBC+L#`{EX^9z!Ws#(m;G%%zyaKIB(asuhrd z_@!>$9bGUIfkGW#U`)J~IdkzY@l0U}o;>25T|SY+=6%w(W_#at7f6|_+AOIC`>GR{ zq3Fn~Q^vg$HIt4q>?I4yo`yjOtM=;o;}wh;LbFrJ=*U#5&2k;Ze=W(q8sVsxGUulu z!XQ1E+$@XqP!GaYjb(!0cfBOGN9#mofjd9Y>E^;p8)(r;uMSblsqG8dC^Kahm;GM8p=6}M(=xiz;#^p#H*v|N26!5YqyD?8Ft z!S9Lq70*8B-q}tLlC+VdSa~~Q@Yvm|=omhG@>))eet>J6{FL2RfkwFqgU78>&uI|( zG=sj4wezL?4VP39k8u%3cmdqM@YdzcJ)UK@H#C#pn^y4gS2+8&N`F+=7vTJSp)iC_GEE<~x)jlff)I+RXUbT#Qt&*wk$GZn>=d}!whz&Blpow2O=#_aOIr~IH) zkEQV$-UT;p@)PfQS+EwNL0n99i+Mhe3q=^Smg@Xkr0=~wKdYL45CBU6>rgCL(!gHr@nsRQ+esc033|`r9HIGFJ3FR2| zr4*WNSI3pBf)R+m`_+3)8yHTmTwL4j1dTE97_{ag6D3On4Pm?cG^*V_fUxi) zALCtnkb6%GZf)I|>cDFc{J^ROr$bl4@{OHU?oObs^WF i*pJO{b}jVusa*-i_oLajn}DnnY=t45$G3?=T<+hZmUeRh diff --git a/cpld/db/GR8RAM.cmp.kpt b/cpld/db/GR8RAM.cmp.kpt deleted file mode 100755 index 2f27ca1f1047fcb6050577e1872a474c008df897..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 222 zcmV<403rXZ4*>uG0001ZoTZUnYr;SfMc?x)F8kgjn-uAT2~;RWq2LG9$FL?7x}@2x zyBk69#~Zs)5fubq=gv8IV8)GhMYVwvEoJO;`L=xj3d>eAoPr%0D?61j9&(0lFO2K$ zdAjfeOs5#VzD%UUw~xTnFb+8;z5K0^qzU3t#FHqAd5RU8oh%7+Q8wUM&9J5oJ}T)3 zlH~y~ZfIZVPAX>$OAcc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*HlW0RR91007H900000 z0071U000000044700000004La?0svDWJz{b^@1_mUhLhS_1df-ggra6J>IG6%FKH8 zz#3;~Wq0Rpy(Y7|d)kXtWM$o|P9`g}HXq$nqt)8R0{^f;LgI%lgu%kdGDu*4Az3oW zGP3yr7UmDKFfteni+}jB20yUZ!}rDG#<_VTGjF}JXL|FhyE1Ov6A>p)oO9yDiPt;t zyz|bV;QyELe-6*JYjYpQPpNZ!(rnfH%}!fYqmxdz|ECfAdijkqf#h@T&*ANlk@}DD z|NjmB|3ke0f&Ksc_~n0=^nc!Y=X>$KYs@Q?^f5U#mzs3P_JLd-|s?>AHeTMbX0Gttxmt$i13# zztd^;URABmQL~{A2kiza*i+Z*?E}^6KaRSp*E#Gzt#>2EC=1SDv)@z2&7B=}Qt$TL z05o}Ak285yr>M72RcFvY8T8d*vlaE!VYhRPFNi%1E32MixX zPe2KE((N2|>&KeL9pKVD9@s|KnZ4r6xL)t|I*n$%A04QJPGfK!wflx0kgnNADaYoO zRf*m@=|;U?>s0jy`+qHJ^wsr#M`Hs*9``ijjZXWZX{cc_wPfBgIH?UF*an5K*9QB& zMz?vQnXh=%jUpEQs-ycRnifqHsXLauKBWK#mWJN-lap4nQQvPx3ctF9y3aGF(5veD z(`NrMNDHvzrm5?zs6)N4PF>?SL2hJBGWR;j@MHiEF-&n?lGZexp?Oao*V}``dZRz+ zf|mQIt{!Z3JxJsEz`=(IGg*()hZl zVq7(i=;5HNdF~+U*PE^0!gVbNPDbvuI!{$?aC}_vo~8r@5nqG<*B~ZyzXws}|7ZUK zgou?^Q(udpUFZz5_ucy7DC+4iLw~M)A0nV`sB+@}Lol<~=br*(diGR?>7zc`Cde@C za?Zo(hJ-9exnj0D?fLcSus&$@)!kNSA3aiSOv&sCuYDE%IC+!5vkzJY%}AmZ@#S#| z*bNWa_Cxs9(ot^JPkQKjXqD-&Lw{&@y*t^_Aj-;o9fGtKu>Kvkv2p z&XI{t{=N2L7`>kxz&ITA_Kxc(YJR>O)erW1R-^1`3+_{}*XQS-cANbu_2uwT8FN|9 zH*Dy-%kZ$-AC)1JohQe7@A#5)goU53fF=@K{38hIVM7mA+RLhT+J@FRNtN0Tbx`97MZhBN)~K7>J|9JKFJ4MejF?a2&Osy9m8y^;&GUax^**}#Hxenoj z12K9{-J#D%ivs*m-6ouFbQP)o(~fEnj`t%-O$%-siWgl&E)Lx?Lb#{pK}RBn@g!bRRe2LhUvlpT_Dy%Oq_cIfly` z)?VhUz!J{01pP%d`1K;SS#Las;nbsYs%`EV5dRk%$Y|aFWwh=qcnzwfZMZ0p9S=b> zK%0&>H(7mWR{pxl_*+J0>`XMtj)09Hj&6)8zkrdz!sm{AN5bg4fv-V)YiT3!3?;C` z0%fS6NlIe}I}M14)bp?PAtb&^COx@COtOhLG&$sV)=aWHqloZ~C&&S8C*5jG5`#Dz_UQy|`!>zKYkB z+HAI)$M7oLhED*WwqZ=oV%#?}BAZm)kyu zl_;vTLMm;p1%$ym%6g-h=^8yY!{V3qeU=z{IxDfXUYk*SMzBc?Gn1;BR87<>GbTAB zllZ=ovk!3LOz0dx&d!mJtx4i~*6*`SXEZ${?@nT|8B5JrYQ|ENq~t8$XA(7&sF_5a zMPeqw&LnCkQSSwb^5X>h&~7&mn%!|eG;WE$M*r?4{y*>cS?0m9@Qf@ovSfE9&bVd9 zEnf-T;yW)+G*oVGtK0SVn@Z0Xj+iB6^abZ&eW_fkRK)V{oqFRX5lV3aqN%XUF@B-qN;@a}97t1`?PhNu3icAlIAwkqH*Uchzy{ zz;7;zSRwlO&eG$~5@ey)j7l>qjj-WotTAJa8EbeOVz=Ode)tXmI2ymBUO` zN@|P>&!{wtGBSQfB}t7@;Te@iQAWnks3fT|DmCdfu^Bux;z`u)@_Y(?E(Y9v z`hY|nZpx!9w4=`+b%C6p)LS?fSo;U<;THCI36GaKUDnUwnUgwt3#_C#yhoQU|4o#_SbPX^cwfLN&{m! ztO33x8fymNy@rw&&j+DAAAJ*o_U!MM)izAtuDVmlMHl0FiW{THpI;c_C7t3-A3UCa z0YRQuZgIVbYcYVRIR#}ru1DC&oZjF{G=2yF^_SVp+}w-?Ga7I+{)`W1G??+htY4V* zjWhdTW+TnI!2V#Fk3QQ9LO;f7-z73zx2aO&o>IfR<$oL1UcvBGfu)TY%^W1 z`9r_69L&rYh>6>9oJ5$ZA=l|;Ir#P22n0_;*eKpsrlT&m^xfQ}rbb8K02AB$dsLuRTE=U_c&!vws4j!j_DfkZ|#>GLTv&Bi(^d(UTBNhkg^C_+lw^+Yl zN=+}E+YqU~AxtWTHG(6^#apOiEXaZJ%e$k333P^P>lkC5hg7#4eWeco9wmXJZ=ib@ z)-+M=_vVXhEFLMjtM6EA=@Ct26(yqQ=6)Ohe}e&bs0Ipmf%F(N_gBG z1EM)M$MK)J1Q&MCz>rDbFK9qE?pXamu}VfV*FCZ;Am~#80lUu(*&@w+GGEfyyI?#g&`6{LTDguApi= zDyM3nRu7|nRX%9)!WEN{hd5Mm=mE1*TXlEoHv1cM?7jix@k6_vllfNk1h;>d%`JeV z!I}I{{kYjWHOcwDS$qT7`*(Bui+QI`EbnaQmlk((i;JaY6HDB14>+yHO&q__vee_K z`%V*!q6`!-lo`qGb1&d)zObZjDrBy1s9S65C1njvS_nfDp!sfX5#ac9Ljf5N&a6fV z#T>gowgDdIIwTkR&N^nAL!Kf>lEvJ9!-QtJxV??VL{JKFCqTEa4tRIq%L;e?@$d;e z6tTryH*-s9;C#-qO@2jx)3UkAWxf4+?@bd$MjX1ebxz}zq4jB~;BIBRlwPn2o8keN z$(u6RU~Z+ph-T3#mLZv}dqq_aOnM%OTU>vzw^iQVsML1%ws&^-YLB*d@9jN&RI5CE zba$^>-Yo7IV0N$DmW#P$Y5VZdf0&<7huJ>Gjg9Sxd$oI&JG;p1E($4E_cqJbyOpiG zd&N?9yH?w~S1DJE)e?ScCKEPOvGufmY8mjW_&(@&%va(ODvrHhabwdLvr{a6hQ*Zc z+}W<~n#9bC%bm=d7L(|pIXE`&W3#@$yS>LO%j`b(0ex>croyrF2U8~&PD(>E|miH>_CO=*iaBC&M zR#?sDmR45^3%Qj-Zu!>gYJPb!x4LY(dK5WiJaf8J*(z@A?Q9fF<<0VzW~0hx<-3c! zmF+E4-f)YOR&3E@9QxA0<)T(?$&6)~;J6KgydxWvS`5_jn)>9@CAF5TOz zynerIpfo$h%*DFiuD9y#Mr1`=;wZzko00ooX&Y?t>HAwHHV!g(H=+`D&GrK_-Ken6 zud+2VKcS#UWg+9K@iy_i0 zRABi9YA8H>$+;Jal?=ZOpq=f9WWhI}CGYR-Y&_a~u)VQcyj$MWf_wM=MsauB7C>Al zbCE279`T*(_NU7wNYMS=o%_3c>y;|rwyTfu2@e?!j&dBUWbT&Jk(xpweJJxLZ$XuB zKPZF3>-V?TixAU|?YouIUa7pXVf8LkI9ih?6E!?ht^(IsE-!)3RO2?!xWib#kESaL zeUiW~8(R>nRxYpO2M;sI7c9RES(ZeTVb-*^Tih)}QAKb{wPWo7543XFPkbb#ag9{7y*&YXklvE^Y5T?I~L=HpR+|wA}b5loph9~>rJHPr|55CEXMyUnd zL#8KMwme4$H=wcqNB8e*)>3&P{+{v^opVUc5@Ye{;RO%bVry``?^FmU@yt6maQAV* zBQ!p=@^QWKxY@RPpMsyW3Pu}q^|zYGgJY`h&QquRMHlvMfais~TDq}Uy#E+ST1MS@ zj9a5F^53cV?I4Z0vHMUMUT;NxZVg8a9?Fc)f>#pim^nQfN#k>ICo+jE*-CN(l=mN+a-~xWK-wvECD0bn^5eau0 zpvjk-S5FR^*E8@Dja7wbeqO-`u@C1BJy38fYC^X=s%vjRG&$>Dr(j2YEXJmd=RiAc zIYi4_CHRtu43ttIDuf(z%ksIewGX|D?`ERO`cH8_0Ii7qQ`OeYs5*ygnLf zDUj>&u=}>KpF7-tQ$UGIQZ6l!<2==<_ZoFf#CaSxZ7!W20hhiMfL8@ z77wNH$#g?m(tq5it7l4>zl9WdJUxAgn#X8khjfCIY@2+03}w`NBWksJXh~GC+dWkc zZuht8G~KKSP~3T%Z&=Y&2sfv+f?_OF!;NT$ERv5#*wz3VapN;f;T)IGi;QU z(>`~TFC=535azd`ozzCj!hRSjT>(R%$g4 zFLOLYoSW_+ar80zpE!;~m^%ZENkqM4qg7YYbS}bjWtU^`)kO#oJFooms=9$cujm-# zhH!HbLKet1&Hxb6%pq4;Q8)0%=D54w?tl(w)}=Q_RBsT0y`k+aIqXTagkPOIL~%V1Rb z5UZ#9%C5YE1~f(Mj?_65wFY)C?CH7Y2ZrRC)3QH+H$oNrebg1FE5W~Ad$W0B$`o3G zB`&%Wsi@4fpJG?zeB~w)6*N4Q^AFU=G~E zhOd@4trtnQwc6k`w9di|4z=|de%C$$J$Z*|Yh8D9Y4z>F;UQ+wO`=5Ei5D`uEjofb z2s7&jACry;7gVC- zPw~mPZ!~t|T1)bdOEm5qHQP{z3d&KHOWQqzYJzHsmzw~9Sn4&x7^P!Ad za)6wyl|wthsi1jNxiF$Od#D#HpjU+Yxn(-mzd6@}ye63IHYn zXBCf*x>$(P1cy-K>if-BvwxasUR%Wxx^zUeo|!ke^*Bt#%&6(b+)PSM-|2K4Mx8)6K)Dp3beeE~!qa$y^Mj!LV}tWyy-Olb z)PG-tT%UzYmpYWaK&NeLowEMP4Hr%>7!` z4oMRn^l_hsxjM)!=7vIz`s&7d`N3XkquLIoq)6DpWlCrR-WnbmQ$^>nT@Iz^#HLMO z*{Wb}etWBIFE_#$JPAB$#()(Ln@0m)Yy{5iH6CN!pds~nV>>)66@5SrE$x=pu?#5I zsEc^cc@Em3(}8div7;^a+?`4X-R2Wc_#JgSgZ6>Rnx5a=by%zLD`~IMA^p@WA0CRs zF84^=-OXPAUhIoW2gEGV>kE%2urJI115rD0&7g z6t(i1%!T%Y*=U8H^8l>{i_xko;U1G%BwB_JS!w@a#M^k>Zhp=!1k{C%SrtUCaQ%y2 z+J|b;p3!DV1g*{kdYggHHG#(>@+opgN7WuT5BsRg5v%|xuFa@>L{_Xfx}6^EU+WNT zI%|!sDTj$k>X0?;_^hY5J|KHIo?VABk}TTfai~L*JpvQjOCsAAb zT6S7+-=k3vp4Q>HiFnqt){B6}lVGyM3&$#M+AT5fPpPvX_VSC1))_x0mXmgg9OyuO zfY`mfUVj1KcARe~9v0{%iKUCfX|}d^)m_Ty&Y<^r z{x&8U#;2htPrCs)_FC_>Khaku2-5gOoTjmzjPw;!srB^LGE;8Mt}g|a~`wzcg$yUAp- zwPl!E!FUmhl<5k*Mn^C~X!c|Kh_@XQf|z(AzoFqVF0k`dQTxa(lwe7#R#0R(9^QzA z8CqX=$kgBS>)kr0GyP~;R!N2x5YVZHj04;q;07^(z?1C#lW+7C>rC`0Oj(mVm4z%< zhN=6kg(BvSVT#MceTl`A$QQ~ku}UCQ_FeCsojGwI@;?T9%_ejY{=;tdmZZ&XN4tL zDe*!X0*&b}raHrfKRSFnbu)oiL{hVFl|JsKy#>NhNTM&pMnUOPL6|nLj(x&WLFsYi zwz!SdqAz+fxQX&R_6XZl`H89~UT7G*=Cu@_1wcc8aFSW%noQ7Nv zqql@<6tpj1drd4(w6f(pl|c=IY}j!;=fT?QiGf5FcM@2Mcf%0JpYb@gIAWZdBwG5-FMsFQvr=2=aa6Fk-|X*M8BE37 zkx44vvJ%loKC#tIy<$IwgK+|zaTtoR7QiNmnOA%Yr;QVc5=2m7H&jASd=kNQT313Y z{b>k03-Eqa$90ql;^D%T%!?ym0Pv_?sq6ym+O(u6f6Q9R92FHFioQ)7vzT8iDXKyN z8C}TmQc0~|@|J>rO5TUa+h(LQTPvAZ<0(k)spUf)oh0aX{^oUl1|U7y{!%*B!`tGb-IkNbk^QtyvkWqGc}l9at1c1*h+;mcw}Hm54gqC^~j z(lc1m-oYX^f)DQq*W;(e5et*oS!$2a@SzHFfe=+oav>%$7_%qcwv8E|pu6iSz~lKK z{uA#M+GI+F|9C`@;CA+i{Xgy;QG_rsR=~;zksnun_xt{9t z)7ZOc!I(O*Vg3_{E!54c7@(qMX(?4wld2k?<| z1$H&O0j1L<*=yNBkoo)qeq6@{n>_K2eXwB|1IrrP)c|(XePBKiZOq;4r7R|fXveDo z)cq45n_gzdE)qju<3{A1*HIgo?4O!6q?cdG%vNzbtSBjY1H_=!jSO1ZV2}fBJc;IK z{GyK5@$oJWX5Q|Y4>HWe@!ACvIkhN`NMab@HEG$X>zl=9+q^QXC4rr=XjTk_Kxil^ zU@gWHu~FgkAY9qnO(4u+93J8$kgx(Vgr#T&0egiAlir(^+BPvXZkn7RrNBW11(G5t z04Cr|qQGA7#CcUr>n)!E`E>s$RZQN1wm2~6txktA}9zFk~~?NvyzU< z3lih7?huq0XbM&kwVMLQOA=$DhXod4j0J!QP66X(iE+3C3}Y@W?w{0nsiZIK2w!CO`#ttGC$zwh07TCpLj-jb~B=j?CLQ zR8C`WI7qO9KwpTqz&Tvi=`{cuu>G(suoqOEh`oRn6`3CPB>ps=p&vwf+h#q#b9x%e z(FtA)Y>i@qpv#kfcP@QWdg+tNM7xQr6~AuUL|@E1`XOXe8ep9w7F5>y_=#6@eFMJe z%cE_L+yWW5yW;EdeY{_F1IJ_-90_Z)vFoYKN*(j{BdM=q0q+>^;{E#J_=#YZGYC^1CZskSy>rMzAs=VmEL@?0u@1e>Q)jOrn z;4dDwjXT|HG}jA#d)|gm5GeIBewu>dm(}zXd(0Um#NI7P7)<~n_Ere8Fm)Cf)@^+4 zDXEtx_QnB&<&(%1k8b|3;U=n_4$7J(8dHAA8g^rWsfc|Q=Un@`uL$c%Xg8trE`jw& z(P47aUnb-0mS0b{{KTQPpvid!e^l)mKed+Em4BEJdUwp%kkE_vwrXB<3FGSG`r<4w zlUx4Mg}&w|!HD6i=*~nV3x*H?&$z!!C>RpvjHV7%KB}LXQCk)5Eb1==KRud~Et|ke ze#jD@-28;N%pQ-`m+lg`n?mq5gW>91O30eM2dgjV99Bd{!>upa!}fmf(dtw4vGuKk zlC@Y9{kf>U=nCIGvM;HA4$-%@muAm#5pr1WjuyJjMu9Ud*_jqnXQrBLvy9kDB|K65+ZR+1n4s}+9 zerVjqj>2t-aN-wHI#v_qOCyT-v2#4L^9u`YRJFFF4}8-Qk;A<)H};dFZkr zMK~B}e5s7i8lG(JvAr(Mv#A^ZPRTYtN3)_o4_8g&n+3%x(F{sFyzR(_Z8Q|5hL|EYZGBLd5>PL|LrL8U354_D=J$soXxu(e6Fl-U2{2 zaRMXxAxrp-wU=3*qw<>dtLu-FtS{PmBI{cKiN{64ldI2KURt+j>R-mPhd#2!L);5O zvy1wRMi&49(Z5jp6M?Dqe46)bav{;OXnP^!;aCeG+xtRwpWOI_h$UZ^dk)@bl4&kO z`Y6h~#66kYSGJ<*IbXWOcHi8tRq%s{Oq82w>k3g28bJgDouFjTOk$A$x++=VAeXh@ zF0=M#&!Ju4M7LjcJ+ZWTO;|yK27+E9D99mVCs0OGX;gS>glXW&?k|XXCJ7_`0&8M; zAQFgri=Y4ygQn+)Fo_AGa91IyA%dbyeJ%-;m^%^!sxU(c!UzN7xg?C7R=OoBJCQI# z$2^yWkuy%WL}e!uCJgZW=omQ}bxTxM1cf&F3KAtbPjxG-V+4%^VFY)HUj8}Zm|D_~ zaR&u+#4hSBI&qy>NGoPpP(VnJux-*57$VVg!!Oc^Sr+*`%i)7k2{#@-=(PGc{2A|fc>LOfYWYqwR&+}r20&qr=kKizAE8L2foDVE2M_I( zd9mxwQkvapFKTm<2EEBh=;ou6xR|wy4{n>lPFWj{eC4K}*tWtB z7W7II$tmzD5lI+Tf?i3K-15aKp(N}XL9irBZcX8oP_mu?uTa>U79;YG?mq;EC%je` z@pyci(Y=C)k$uH**d6@sp?!#SxVyDpv5JJl;Ujljorm%vnRhr=LNM%35%AUA9-&}}M%C|@y+rnAfpP(n>#H;(mXCB;2%q37d1S>E zVU?CS%SjrobhoZ)RgC%Qim+ngl2&fhzD7!jqM()B=YK_5rD)|=+-kIhFj}3}o+7u-f+`60?UdCJ-w-i34>v``q*71!Y>r~*a|T^Rr5A*m z?Jn5~P;9y$M)FlbD0HW$0*IZ@$#@}(33cwY)DU9w=*=M>%W{^d*D)8t(;Zlho7zJUc9feeU$1Xm(@!hyzQX9iqaFcluBuN@X4&?D_1F z6qbG=-Gi{r{o$$44olmec!3mzoSG4`Wa;Cv@F9}sYK;)Len(4ZdQ?aR#EKujW_MX# zNWIDaA>E@A5ph=%U$1^vY=Dt!vSE zrN@*OFKK^F2`{1VmqhnzgBCAoeq_gsoyD2wI7uM4s6!J=bd^b@Bc| zZ?a+H6F#QZFe|onts+I=CXtGFpHB@btDk-A_>g0k=}d&Wi!C*IL>DW_<8Q(w3uU*I z&Mn|8DbqShohzO>cjpRgl2|$jVWy1wgNUcbF+vl&o_+|acGVptFg2uvCJwBfBpN)v z(sf!>Lra+1fhFS_Epg7&w9s+QSRT(b@e*zmXJ82#B#VhdMfjTCZ8Cww zI9oD$t*bktgDe&}#?vC^tg2}uCRF*VW%xA3oKQ{^F=4g`u6VE%bG$!A#M}`Px+%j> zOz@a97p94rFx>-}f>??PUB4*N7ljKW|8hev)dokTPhj5aesGy zyWKif8zl?-VWe~g41M|#i@(2n(|z`dK8P=+dbfM3N{{QZfdAGy+f?9q;BL3ORtzHE!=K<2UU%f za~l@X%psR6s2liWbI`4a2>Yrb@{5SbFWM;LQCL+s3acU_kG<;oyog9(%9eQA>Ar~{ zJbd%jdOzN{1Kn>Lr+{+jdcUJeoo=Ko-o$KZ*@)meW(uqoe((@>Xz9yHSf)%at{Vnj zblIjBjtxU55I|ojd+bTDvVWQcEBn|{EQe>e%&xq3Bk zcomdp2DF9qp^t^7b;{+@nHr9gz;&+i4eb-N9$pnYvVJ=2^`WJyBcWN}7JgjEz!^Z#kgJo>47ob_ z%mC^%N(NA;PcneIZYBe$>t!;4x=tnosOw|Kf$|%d3uI|72b-n69Bh^bGipq(qZq}9 z2GU(yF|J-3GO_MyY@UgwYvUlX@@@kp!!xP$;jM9F$)!DDN_;GIT>+#>b)9uq4bQ_Vv*8cGl+tg`5~#;je4@B{7whvokj5Z+T0GUr!Otw2GL4bW7b| z1}?JcUsbi!c4I*`T2a00URs^Hac_jVE=NF`Bs;57Si@O=q z!>g4q#a}5P=K13|4guQ*f@f^#=N;JGAI5QS$K>%TelTV;pZ1sHv>wiXjX%!)?w4I@ z2-tGpN5<5T$M2WoukPF2oX^?EeNzDLBLSSh7=ZggTo0e$uf%D6Tsr{w$v}QT7KqEm z#K%Wl-%kbd`}O#%FZV}cTzuLc|2Yo%uW{7e9|iKe5h&M};nV(RoL1x<E+4?<7Xvu|Y5?vZ2WVOj z(A1l-aW&?CB#<^xtT;2%rwzgVegHqtWDP&}h9mI)&oidI6G;0nGN!d1W+I1wl`(DB zx`p8Wa-u}@@^f(<5O8`ej`M+#etCb!b_=jU=C+*oD8!*33}omva10jIyCIpr6_C|x zObwr3h}W6{Tz)t}mxBPDr?@ZUM+0fU+kcKjKM}z1jrgk%{A2*mu2b+p2>sIl+-?HG zy!c_R|M+r%ewB)Z?$S{;PMAMH1@cZ|H{6c+gD8JuBq{r5TLU5rth+8V{ ze-6l?9eE~-3F(r5ov|L}01ZBx$o*{fur8oP4k3N;GlBa4!$4d}wmuuc`5y%8`+-1y zzY}AIkM9u~oR2Y$k)QjmK-`;wxByw^I6@wNkTk`A@vuSwhu}iI`$Hm)3!>RlW&B0} z!9PGJ>e9I1uMQv+!X;~52rlP|EYucYj!H(wcH!EKSnsSbQ zlHn%=mo@I2hcml?!5?*;NZ$cRfQzn=}{_nm?KuA_3pGX151Ooz%qcB!=g zHc;+rpxnP7fU5`KLbMOz@^1q9{l$#={i8tIHv(zDH9)Ih%}A?X43v=zl<~^}xQ_?m zLUj45K-%q$X+ty(#r<5MjK35pR>haTowB?LxLpa|LwA()kwA+6VlpDhN zHv@2An-M>NrDP_AbBHd#5-9h@K)JsYfcroIE>uQVTtaC>WAV`VEi}#xl^crtkAb%2 zeC_ZP!tb)<{t6HrE;m5>xvX)4VNd3?SWPlGI1j^v} zH{LkYj(4`aprh+OZ0I`dbg{bUxbwuV`r+b?O6?2ojw`(ypwsKC!+N{p77lSy#crpM zjXmbFL)AGnnPA6_E3T(LrR?M+X98+{Y&+`pn+=5Vu#^Y&)*#Yvb05Ty$*`N;W~5gP zx&1Y-0?HQ{L#jcq**?e9T>gosNos#Q_8MsqhM_gTK(opD|*ZA(zAQqNFCn5`LKD=e~d65is$Wm zFKTfCU9lV0b*x@^$2ZlaSoQkdL8CwDx`lV#l3A-iAc>D! zN&L)OGE*u=t?-&{EG}?ZQv1!mMV|7yrZ&o>Ul;vX=JY7Cpsb_aoA&vgTD)1AFw3&E z{7`8tK0g+oPrfes*FckX*c!*bC{eo+>>A_`@dS4AE9o)_iteObIG%6$PJ zT`f^=VSKTLp<)ZSe05k%ZWxW6|30qRL~RL}XwS8wQgedDx>WH_l85Bi1pHL z9V-i5lGME%29m{9*ZuNr7MI{4S)8uV5YGsrvU;PMa=1LVRG8e8Ta)#ZEXx8Vw+so) zFntrPNFD2#hfC@O%Mvb8=JHS@=9fKdXdFbV5eM;^J=sDitoJZbA2B2Xv#;ZlfNe(o5JB?CP*2 zDoyHC6VzE=2H@%<(J!p{5|W0A*@9heMaNOQ-&5*F5&bXj!-hq5YhiWSQuJGpxi>~o zc|w}b>QgH3HYke@*c+FOv?dWk_F-hfy|`aSTJyn0&1tJVHTy-#)RY|~ZP)0nQ%2h5 zlF2+%t2DIjW-SRef_YxV52`!=w0RJzTJxw~ZzYM8TUd45sk0G@T$RI>*?y6uDakJj zqOFsISs5=^r^RX>lh~MEa(TI5RYzXVY5UZ!J2nYDMJOB&z%O|9gJn+b=S}IB>qtZM zByCbh4qTsw<@yH+-pMU4thg=Y+33PjEcyM+Fe9y%U{SyiBi|Jqm1J_uQJ&J0qu6lf z(XqBpzS(rFt&|@;>sTu%L;0ozMZ=~fMaQN@g>?4fJlu{j)DmsEy0Gjd{LD?6B+;)((Be#VD;@LpMT8?4ZJUTYTGCJ1Q%t6{VPgPCB+PZlvFxK60nZ(z58IqXEdmTMy?n=cW z*px8n(t-*gZ|g>g{|^8F|NnRaRFXYU0znXl=lB+ouZSX*mC?jPA|cWk7j8L`y918H z4}_eFg_V^iT3DHAXQRD;Kx1M|jIptz@E>4ep^b$RZ!%|C*MH_X?W+&jm@WZkKu+nYLdvQ07!Jt;#Fo?D7*rcRWr(Rm8WjCdR zKm-z)a(S@($5K)vMI&vEwgjt0VHM8{4==B{Kn8=!3PvS1ai~rWYPza%ydUF6Qn|ZA z5O)(IgBm5Os#KM_2~HjM(jR^#rUX@9BbGg4R*At3UOs+44NlOkG~m`#HHkq-4Wt3$ zJYzP0vwx2nlR{c%*pgSmoa;S*{S45z?3l~7Y^!Q&Lo~@BK7BhnMzvw5TvXJr1Yi-L zD_op+eaN5>-YJuAj@C2Iv5i2{$Rd&ym@DPZNAW=hm12Zkv%yM4ry9{^`+^+l|9%rB z`S)OBvFVmA2`{jI<+IHi6Om)kt7gry8bithb4Z=tE;N~r>=iRzsp~4Wq*{n`OL}D| cjGX)`qf81yD021Qk0LVsrlO{Q00030|156Fu>b%7 diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb deleted file mode 100755 index ac484d59e9a1287fe76331df616ef0a585ec0bc3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 108783 zcmV)9K*hfi000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*B#+3;+NC002U!0RR91 z003(R00000008f(0RR91004La)V+0l6v-1eJOcrOyZfO#fz+bG-3~n*4tICg1W5=4 zLU0f6?kwFCdOeV9)MrK8KXS%XR#9>$mR$e894TmQfqs=Ml~ldtjDjlK zJf*EDQLVa0LDlCt(pNzh&dh8thM_1|*c2tImubWj>A|5s+Htsz?}0%{TU{Sp@F>h5 zgjz+p8rRzZ26aB32mRji`IB6)X!|Ume@L59pkL&(x>8w}I-@=(@}NiIQTX3WFU4bG zxkkxNQTRfjDLneV_829uoPQ-}lE~+`@>yw0jiUOhUW#(XT{5};xm>T#D_+!j^;uz7 za#O9s_ELH&EvW02gdcmHS6n2JQIsx}9G=KE3aUm?=aubw+N9z_$ySZ1WUJ)%#siO{ zU-6h(M)ZU#E|mP0wAHvu?@Df-GK?V>qA(~8dRnrgQ}tC)Piyp)q#8wCudY#?DoHBt z)ER|eS(K91JK;{*w!*3G)6+Y&#Uhrfz6y`B95tf4MrlV`i=tVL?&)!gD%Dq=|6#+Y z(uq737ysL;>y_Tq$dwO;a!+ikmpY?9D{EKUROb~{YFtH|!mQ|5yr^DE@}81Zcs!vB zr@BV*?#Zbh1@R=U(5&cJ`chC&DJhyg@pxiWy%e>Ia^-i5W_6{~iyBKsbhl7j+A_^g_mJ8g!C@U zjD11x)`0c^<(jdNHS0K1$Hi$ru7k0(Jc79@yfiJ}k%_{LR@T7}w!asUSK?vK@l2py zsJlNcEEp-s`EvhnKz;~1xd}X)w5aQOEEbv~?FQ0NEXeS6{I-r%UGC&rHLOea)&xVGAt!&FhFYADrUo1?lFKk2m+z#U$R&ww=dXmB9~= zS^Q@|0r?5ujHN+uFHrGz7p)F3c^p@ma$_{ezp;2LwM-Sqd31x9Pha4~aTYr9#*ox^foN z;vXBx@4}Ek4&ET)-{T0JZ1Pa}Ie(SmxpYs=En#4}SOt4BSo$Eu5 zz6HtHv9!i6{$RXszT5tGf}LyWVS`|5@oJ5ugEpor-i-GROToy$uocBPlaE#uXlCtU zsR{D4&^M%F)Vn=~k~Kae$oZ?X)V2&V6EP(&()LZNYccKsVJr_Dlf4-u_y>)oc)Jy8 zg_ty9tTB+^MWc=Bl|92TMJsd-4`V)sh*$5gM}r$UDP6MFR(uU!&L8ZQFpeU(Hc(Kd zzp1-1+e)s%yVy|7y|BC)8P?MF*|)^MTWVvf$Nh|iX!d6)PhGYv|DM49oe(Oy!o%7T z7~2!vFM$#8E*pbi0U|-o6YT1p6(BJVPjGMDd;1p_7>70gpOCwH=ZwPw%ZtXd_tbdN zV?V^$8F_%87<(*A;*;-TN88YN_I4q6Le;Q((-Z6>?IV!CXYy@Nu&ehAFn0P^vABA_ zz_5@0b8J>bA6L}LpAwsPOiJV8HEw$t4mJT?F93RjzMGU4q<=7H*FJ~6Y5V_U5 zslkdZ|c^z7?JF^8(!THYWqAiWsdI%TKG zIiX_bF1|NFW5+?7CwNudXv$mh2Lz7*(-ZkwJ@)*M+_4S&q3zk*g}eY%odu02xC1-9 zh$SU#I371(-o66$Cr9k@?oa2Sej z2VYkw%H^OJm;bUxgJ2vZKw3r?s(rS=S!(}2iXL7YX;npr!BK-dnzisLQ{mY(uf}cy z<(4+&y6A{6X0}O0&5|ztnR2;In%-Oed>gedEZ~ot@(vKdQP8I;pFKI$u}o9`?klTg z(Kl8}^*hxmk)MN7483nv6)WIA?jwhFn*u9jbfyTnVeQhV8^aW9vnd*FXYQoT?czFj z7AS1R)r_%48|HcrxkfUt2INdXLm2x_GbUk0P{m$RiFVyy@-K`D*q-3$dp5ARfCJdN zL?s*;q7Tj3fegzX=@Ues9Exl(8}yBN*ob(HL`F$fQA=$(G~1k$y{${C56?e3vT9STjE+NKEvZTup>tvW;$z1(-+53a z z%2$Kd^>C2${zq%SCan@f%!WWdAa4}C9_r&nwRc#PHj^QCCm;`5SBiFYQHjdsCDq}a zk1>>Yke_dll)ggwPEFbv$a6iD?@F-;ldf2TDT|pXPH+6iQkuBCO4F+@S$nC$ATI9V>Guj@uTp@P=8=cV+#X)5K z3zVcye4)IyrhF{wmYJWpDY>yA&5s=SGvyjJubhna^BtV3f`B_}%CE&_yruY?%l&t4 z8S1#8NrUjscwp~tvx*e4G8-ivIm+s(!A^LI4`g$p`Z(IxdmWuU_SmYX!E3T1 zykpYR3gyQ%HLvLSOOG8K z#R40QkXyU7A2o_fOOH3VwdQMZEk95n> z)=7l&I+}8~%saNIPUS|a{OxjJH@I=QpKqQeDPwC}YwAy8%Y|;z{|QA{8Br^w!0({mTeRM z%aDKD?&n)%vLcPGN6mrT!$@yIq1uoil-}c5s!4kb0&?@%X3(I{RHA<|+O%Mjr9vV@ znn9j3an1GAOKCJ4@`{-atRy=5F;%wN8pag1svAXa-SRW#SXZ#8vRKUAr^->n6~Cld zNW}<5F?el@pKs=ie!`fq7OHsD5FW~9$R7g_I96-QWjfo8m2S@%-jULFD?&LcrnKNY zax_NZN(`wr4Ju2&w;m;=Wz|Cc9TLG5$oSf}Ahk;Ji;u}=JYO`7!;AfVvs6&g!6npf zP)R{B?_?qmoSz~Gv)>tFH?c`2ZjBYwG5u>=er?X zS<3|Ng6$xdx@fcuB48iYl*dN-K9s+iI$#$W*2Utytd5*4AY%}!x7j5}Qe@k;LRt<@ zn*1+-OZ)wnmy&<~#T*1I&CwNpzDG|M6Uv)vb65=r$;)HA();R;Lmf4B#dVOa&}fL} zw~iHQfv*yS+xuFRF`N0VRpo{FksACUjemFAwm zR8-{YopNB`*xZp_B32hMV*t>vXP)(@nu z2Were`;*W0Z9;izO?e1N!xuA0>B{sl-7l0cKu3V>ZJdeHH>e;opQ1I^5k{ zy{{!~Mv2cY6RG`6k_>#2#rOVUWey>XP9`4Tkt79;w$z6iS~yPVv120Y2)Io|NqW09 z6P0~9(W<5O?Hb=0vvCTo2stkL&i)f=a?SLsKD2G&FHzCmyU+qZ-_?I9slDPzE2O>P zxq-r@SI8Fm9+Aa6SrPrr8Q8uH$>#63j`p-aDtsgS3*N$rE&RncN=Hqm;HrlNtobtL zVS#D{)I0tDI$B*W^5@=FppyA;|AIFrQ=P&a1dISKM1c5UKFX_9GIlfr{7)lqF}JJLl#$DNYH}DU&xmY>dgKCXemnI~tCqmK{w(Vjm>S!cCSYcbSB@nTQ? zC!DH3QBu?HZIoqz-4fTtj6a@kR8!y&Y(pZ{gwWU{7K^=oU;^ivH-(*{b(&dGTBzJF z`gpTHguI?TbZJbh+%Q%!`DWgZA+&6@0-w~#k3pUrQ5tE+ax}VNWo4ntN^oJwvn)5} ziooj>vp`M}Y7}2CV{!${tI%+jB{go)^8_SdmwT6hgYe3wzr8c>4O$#Sf#HHbRDVr* z;WjtOe^`F$?WIq;|L-xbQ{kWj*Iji9?Rl!v}%QH%Sx0AwX(sO@~a&tyk@?f!ir zuq%-H?3nfFQQEu|5O~|VrAZUMYr|64&$>egHv5WLple1LeQbcformvIhrCMWusxSr zj6cx(UDZ4EWwPq+GDVGmSN?~ z!|&EiV8^i*Uebme`^SMl>EQOcba8H9%hpo62s`Hicm=O(D2;YpaCwRJuFtLc2)LfS z3#D6&6?iYRBKn>Q@rsidDI3Q*@=9)Jkw3yM2YZR=yA^k|#j=tQSw@$i#1fTg%8cA# z8H=js<6_GxrcZ1ELsl~OU3|!et0xv)Mn^8F-Yf^Xxo>2_Me$j7wxjiO8dF5|?xjs} zE8=BG_9?Sad&9XqndSU8D@1PPNL1v27+_R@`ZNAHhi2z{<>Fhk5fsH^Cqr4Yvd~q z=EYbmm~sAcY(2Yx9^}|V`8wpZ+Gb)l>8BMGBxe|M!-;!@Ro*KGZ4WoPU0zay@%@k2gd`k zmir90V}da)mJKV8TYQ5@9eH^avpR;l^<)b<1HRDg>!DU}CQXd#HAufSN2k+F+$N5zAVigB`CaCFdOi6(*nt-8{U?rj`EJrXDP zk$?nHUM$Qdhty_OPw0F!y0~++W25y>_d38fYkXs|*kz_~pn^URsq^aP)(H)kJEc6w zF^o9DtEt_W+f?F9GV7N1gWix@c4+^>#)?!u_eAPbceHh!`IeAd8&=4(al^PVZ7OxS zq=bsWfYF!TdBbPn_mwubA7>;+v$psBZW z9W}51(xp(~RyWt@0{Qc4b7=k&r2gYoej7i?<)}7z3(d%5)A<*ptRvb(YeJV@K-!r+Q^|Ol5>-3=qod*$$g?1vsDGm^bU%qrJv&aY zE@;M{fxwrDeG}VGqWa&xT!~7kDfra`e3 z7!(O<9lZcXQ+eoNs+L-TU8Z;vq~(Lg<~KYt@PSjGNH)44)Uv4*i2g&#dEHkI?eK9#-t#-1(|0;bEx1- z8c|e<1^Sed$_tA*;TSu1uNhQ#o*OK~?t?kVr|%_ZP{j}hE*(GG)@ET?F9fdnn>SOg z!|}vY@9Lm=2t7rs^_$nFEyIsT_<9K*yD)YG7?U2meY4%T9U35p90ka9=xpzYRpkHui1dajid;vqs>No_WItBX z?HFp33(~&Bjnc6A%&NGi&B0aF@s8^KDq(aXDhp_QnPD8yv6`}_RlVc$ZA0S*pWMhi z*r_4eAzNlkSf$Ar!d z%|=?^wG*{QtvH+Wv01swRH~m^#d9#`p2c2c)3QU)O{Fir71)~@OAW@J!igpT`Mp=y zeMj$JA!6}j$O}-M3YOXjE|_=8RN7uo^?t~j5XOXPX|~qI=9-)YJ3S6Hl5{2%AvYMf{E{br!KU$Wq*JOEeDMQT|_a0Da+SJZA>1SA7IVy_AxZ<)6$v3+ahf~Upsy+v9q5M2p zJPy0v8a9u7#<{^lc`mH_iT<)wpGQ-BEAT?Sn6sTPGQ*+o-pL}V?bKAxqOG@EuG=YP zm~y)T2tBv-3=P^F-Z;v;J9_i(2VSKrwt?=o zS2EW^Q^nv3^qx0)E?Kn{*yVzYV924cwJqf~P>Ze#oRo8@*cz6jjm^+1-MmfDJEwB) z|Ix%stH&ORv5RwKXZhNTa@JCr=sY{T^nQl8ksM93l>bmtE44G(rdQS%NCQVLQa^^c_ zU)R_gt~FW+wZn0Qy)}D=)9PN^Y1Op3)=;KgGSMZhDVzXzX*PvZ!S?QHs*uJv&i!pa zP+q21I6bVQz?w3-#Na&y0p`&-^Jw=YWs0~TAW?A!<2BPkEW-}&rPx{HJ0ImeY4P>y z7zW4{d>%9JJY{txa6YXOWLcWD=NNVz_^xqnI(;3d7SMjq6{9eA_T$qi&5P$^=i}H~ zWb70u8_GhzLGSm{M&J0(&qX&|ZMDX{A^d@`mU6*IY4l&IB=n%pkrcZWkoo-0*gbO# znORjah?7EmX{aOz=*t_th3=+PV1T4|G6bQ3cMZ4D z!gLCpm$wwUbIDO$b8^6ax}PDpv+UkNRudhW1g|tbcC@4;Th}YTwWab90p?e3T*g%H z(=w|w_ZEGJF6F1mN$NDL- zi*K&>0*rOA=53vKQqAEC+>p@;W2qsqIv7D0MJe)6v3P8iOP-)c{)ZcLPNPXwugW4} z49Laa&cOTlW~*Ue%rS}nEa(PHL>>z1N(sH}b{M@%sKC&I^p3#T$62Vxo=stt^}|9z zo`(r`7Y7{A-8+(&JlpFC)r)t+auF;;MaFJVMfVgT=koDZ7j3CyVCMo9Y`UV*Q4BWQ!a5FYTSO&FT%|HcUb}C;O^o7UN2B=9 z>wSwh<##v>4<2lN)l%~T-zcQbs>>$Rj5cZ>%7ZB{`5Oh9gRilxjGscc_A79DmWGU- zi|t5Vs8v!k>a*q0>HQvzi8sIp7>!fip~jC>JFy(oSGz$E7}FV!4o0UKv#7>s71xj( z#ah;bwE8GNZYP;d3oa}0ERHQw-G^hI*AQ_xUbAQP?M*^wG5@mG3+c+jm<5%{E!9x( zcGNS4n)Kw>`W^|B?#}l=>=k*x_=cRGDAwXKwU!JuOR@$GPjE?QO(ya-HDbLEf7O$JBgZGdH7}yhGzbzL)SGKAZ z@a&qjLrl5pg~B9v_+{UkKPCxjJfe~`mj3Lk{LSY}}cqEww%_q8izl?Z!0N0qOXVS$f3Y<O%4tHQyvP!jJ&uwaXI<<4B5o%@7q&tyi5Gc$QSEka#tyigga2acv zRw!sT`XC}tIMkPJ2Ok%)6wp%3kBChYfHcVB2VW0}hwI1bEVD9kmy#4MWmT;*>h zO+kJ+ia%_^w`q=b)Y(h9!948-`2ksqYc%pZe^#=ZGjf?5ynz!{WEx^3?^fp}$P2!B)(#WdM9;&A2P-sn%(*E~gdYM4=Ue4wqF8INVDP*8+ z?(ZHpqU9FPXknUxUO!mvVPkj@FsyzoT#!`d5!=T&~T!%A}XskJq^W=o@5Jo@p z(>n7Bi;YD+3Xuj2RC76kn03carceLe5p!@M9}X+r4BF<$SWkCOsoTVev2u)rL?Y45 zZM~kxq&_3Pp#uRIK`6hCiIKlGg?0t2yG$-iJkA~MtcXm>ldPxyR~2&RMD?x-RSTY| zZc`}BV$~bFp+ss^nP{6$%e@T*Pa{p%#>VN>pP%I8Mn8=-tW~I$j$@Iirjf3UF8zY;e;Pd9aOn}bwnt=`mW0NJ6uwU)TV*OUT88Ln@7F!L{Pld0g_9^&gIs} zBqWrT&qL_Zl3(rv7eVUo4t@766hgOasIdds^TZ7Ml2b5AXhBNhs{C8#z>U48)HF&u?}?192{%5VqYSh0 z?lj70sKSJYR^_KW`Xb7gwWoXYR5uLPO4H`$n-ZO z2t85lBXw+_-nnPR2rE!m!D-+iueq_)ya=M#zL%)=_ny`_MlN2mOzJhz_Tgd(U4E}* zE_{KZKT$;rN zzoKp8l%4&?Uvz$fx)1zNORXRni@?}g=HV&US_Od}M=*?uAMpw>O6J)@WpCV~!&7=# z$+Xls>ZqqI&0Dj^QO;w^O9nBm;(~(#4u}E;zi*}PJO?+bBzEs8_JVGPVW`rPRjEdi zF!EZ}-a^EdBL=9dgMi&D#|TQ7a|^XEu-`;4db?G@jQTiFP2Y~@Y!__nCbc#7Fmf%!&<$F$`m3JARfR%Ft6$Ddl}?y(b< zs7_;>)2IdfJK?yC^BKOs04bJGWkGj55y`d-ReF4G48!~@XXfNe$ zqk3!qHF!xlz&Hv|axB|R4Hqi#DHgR%*B-OoL1xO#I}iznu=0YpJd3v(2$k4}J?O;TznxecNtLxq=H*|K}U5-?UJd3s;JHL~$F=G~2xDB()w{#lqb zv6e1?6a!=W)_kQp>l}Y-jdkD;c0!=A>$jnI-TbI&a1TqTZ^J+t`x(ue`n{<8gKe}f zX>#{ukN4097)zk^zK4F}TN*v6W2(MZq;`Yru(hVpOWjM8=w)$&^=9!>PUnY-GfIQYB99&u;4<;PML1P73OhvVC@vfJre za&Kpm8f&dNT4S6A`L+kHtkq#DCAx8&@4xr7&gx22-A01Ur}P<185gVUkBOv0kKS4M z&n!G>90es;E{J3ynWziIy%2V-RpRTn$35YK_{^OUORX#G>0#HG^d(}JH9{-&0QWOC zV47OG`!ouSdz)|<*;~6{B*LN-vRNOy6D9OnFUHhYCyPaZ42t4L_x}35x2$nVCK?Ik zV%5Vpj-k>y6y;z?4p~Po!zj#|v&PWubP7D5eN4Qh9Ewa{AMkhiSitQ+8BH6k`EFD=QHrtwER^NKscmJzI0xrPTCFW2M@zQjq!f1-(F;4e# zjGWXST5QWH2X0Eck7bbT@|<0XvXr1Sem$%{8g>zOz6D8fU%zG)RV17W-Ho(%XmiK| zJI@5tTBX@ellYEi+Sn~b<|4IDa1i(_??)a(zt2!&d8{*0DxmoQN`BAMjH+f0C+piI z>vwoPjvL(1oB{4?J$_B4j-+!oD7(d4smD%=0=yOIE4O9`#T@iS#?Gd*U}L_{oDcdU z<_D1@x4IcKkHfvFG=-N~xZ5trJQkQS854xZ zZFp0C^Lx~{!W6fywP7PQy0aJC4ZN09o%?Doh)wIF(CS!n=n2{Akej%DUW?gQRZn3r zraTP6quAEgkpyUhyGoCtChPZTiixXOe=KEsD3A2VC;~+k1jA#?M`;$$eo2rO8pO)l#Rn(Y8MP z+@5oRRUh#H$4Si0AF+bWz(aGX^WT?ctF)=Xa*a4-mH3ruYOAq=yskE;?J)6k2p*o` z6w-?u6h(FcXw?%unxA|Bah<{cAS$v3jGw?R_iqMBiT?yofG*R(COnb5QcVWbLwu9r z=doSLCHwaRo1VR0;GHZmqY?_Te+5f9x50}e$iIS_G}}lB{rxLgb`z%gPvn20>7JGLhd^V-V^MS+E=(>Bn1Dj;Mh#G;m3^qM4GF24fX(|8UowD_TG%Kx5HBZ6+8;P zPl5b@1@C3NCWiV6`2;PN?<^L(J>Z_bD{HavCM@1{gT@o=qC5`^$mj)=`B(61IQk^7 zUy!?cCj`+&e$}@NT!;G^C;yYNcCd@OT-E+07MI3GG2e#we~xWoSP<}&SX@rD9jfN{ zTKueUPT9(s&O+bmP#oy67h}kH$5!CPQ3tB-3(A|-txAukIp~ijXRT=(91bFRgE_yL zCjC}QJS46&EJuVTySvc4APSrS5q$7jn!PK&bLF(VR)o$aeZbi7tTr+K}Ck%A=$8`Gw=_r?P1%N zc`wrlT6I$`^^Vp{o`0eU-peAk3%)ozEeZR_SQqP5Pd?c&BonnLEbf2N2Y80i^W+^4YD%(D3zp=+>T5Ru4V1sE$0*j(eMptA?Y$w01~)55?K91@Hfzcsfasba|HZng^mfB-sygmZE1oXEL76&UStIB} z7j?e`L0*oy9E_EAmn;n+%BjG7qeGGQ$yL^92mTsoy(7OiMM1$gf&$FYf=wuJQ2|Q=plso9_;;A>yXTvZihTw$x;%VC9op*YaJ zLyI<)t#!YQeS2;{s$Wu-HLL<|J}u3gjfdbyW5T565m zgt9guLEBv#(fiU)>bc~##jDG|B0nALJ|#Jw-kG-j4C{+aWv;;=E}cjbB@a>gX8o-= z+JPHG=C7C%v)Zx=G@g{DdT}QadlRrA;rn*b9O@oZfq5H&qI^HZ_6CNmO>ukb-HrgQ zF$*cI1CUL*(TTcLC`NlSOtrdb#yW$vd3=p|#5tZe?KwgJly53QQ-t~jb!rM`-cD9@ zB+Z$t7A<*&MJDul1&&IY`8VC?4Dh&BNVdQqB;XyRHO^7&AKc-xQCO<5| z4?3gKmb&0(D@SHc8Yol8uzjUy>ZeS!=kiW#qh@Rf>?jimsF!Uo6;J$;rbL8Vf3pJ% z<@ex%*xh8-y0DzSUR5&-1TMiTwg#cvc8TS*b(#V*RDl=4Lkl4+2PIifHAg5g_NDzY zRn#)aEsgZ9f(=7-MCj4CG_G7}W+h^MyDfDlk6`DhI*bN(Jt|_!qwO5~wT&^zz}n7h z4k=|ZWhyes%Ktiy5dN_L2R``GZ+~MT@5RNh8p<1qh?DFSu8AdT)?n>@U2jx6VyC^0w-eX0Ugh0BX0aa z^y+$G43+r&OOg~EUd)H-zkUp*eWk!RSrEdQfWN{0HRS~pjj@_R)HwKMXaOeP9Apgd zFpv6YyFyn_AGG9MQUK4w{B{!?o7wu_Dmp#sorL?EKZW~7xTa`_5tJbMMvxdNdb^Ko zAtUH>BIW+sIghzXn*{>&*tZr}w_@tpBE35Rx&Mm*n!8_>7A4tQBtdVLV9b=etfl{# z%8qwBa3K|&D_||5S&LAv+UdwT8sBG~4tq}#7?Lmw^N(JMoGn80I%ghVYVlK89>;5r z+V;X8vuM8v4bmdo?qaBUd0GIqXr=C8zh>8xvDdTk4c?z* z*c$nl^n0w`+8k=biO#}_hD}^fZ{Pn-UVWNc>sTzZpb||X|64wA6s^swEVVpasw~Ik zKtQ|=`ZRJB!AW)Ris+t@Wp1ROJC@S6JR(u?BXcUfrz2jbKnb9G4}BbJHPEJr&gyuv zY94JZca>H?>0s^BV&N%9fVmE1f0`Lgh4OEwh*?GCzQ34aAw)Po?r)wR)sqs=IU!?z z=1!tezURXAIg8HL$d@J9WL42(DFM8D;7MB&FQ+eE{+35}zc6DG?wf$83?Q_}y9v~M zntB3^zpLQEn-w@gb7NNA!gZ=4ce{*KtO-dqGOx#TKQ5K5xNyt;mcm-lMiw+VW?!H( z#}YYnX8YYLsK<_$3IBUkxho}ot}L|*TfZR3ijuhjN*~?(9K|o4(m6l>0V}7LT1U{h zmP4))`{pLfP{gLp6K7iewZ_(h^3e!XQ!lKd1BKQ4IkE$v=cvdgZp#aHQs!Sxjk+i> zfD+sGK_aq4P`=G3lC}-Wq>y(jC~<5nD+0W zz*;IsW<7p_GtdoX&3cK z7}vQqo5!w!KOp%LV5DY)g*0Tdx=)ma1tIRA7#a8#^KEP7qmK==GHK*9T+_8>9i~uV z@!fJOXQhtkSHbsGxWS?vAvCRoT1T$WauO%v>Y2BvBV}sjS(5LuDr&jN?(ptl>{x=i zwDZ(^DtG*vB}*L;R&QK49LrDxJ6)m&lM_1^RhnU)(Y?=N?C;?2$s?1dyzc8bZ zs|fZ+5(1Ovz#n`DF#C-{6pO)q!pR>W?PeRFDRrL_IfhiDy^boe4T3e?plE12gY=7Y`i_L zEtR+R`C}sNZf0u~EnqGWOGi{pqg`{Z#?ZMj|Rn z$rlU~|sglhkz3Cwlv)u=}p! zBUsB$egKe_HRKR2jTu23Wzh;PSU_-pXf%V^giXFBOG15DI$#bR+6gFQHC+Cd{G(YhRuL@c}YYRxS~+j{WOyK64c zo0X}YcuT?p9eTcDlnR*6HU2G-wtrO$ZKZqXgsMA&KHdA?{fpKLT@xJEvDeo^}+2|2N^H<`XIQZ5+5 zy~UV5AhukC`+N3ot9!?1vDi6LuZ`$CiT3PKD+k@#e5AK~Y+dC>I0idrYir@RBm|hv zfc$jN%Jh6iF3LZBtMy8QJ0dbI2J$Zdtf6vrjF^o>| zl5=cq=9Cu;X~I4QcDn)awu)lLGA39=_aYSdD_emuHW(c{NoQpWd0M>3&PzuM6;IB>s7bUcdF+NU2-hqS#MHTESZ1{KS5M@c=Pk>6DMgTjZuC51xn32< zBDIYc+?esBHqovRU#Qr`ZPrXJHN0ERj6l?Gp6wXDEE(S!e{569L}IC4fYik`mwN_L z?SjfuOEYPr(6`XW5KMYBY3=!)mS;8c<*s5-zthqVT4dGHiK;Oolko7BpM0x1;1s>> zRn_|ECod83^%KKrNtUNt_QfAeYp&^IGLGR9*^%+L>zr z5$7q}gOtv(F-BUiw9NOrOz}qJU`jWM^VroD)-Ek`O(#EvUUfb%{i$a>pa_8^hZXKa zlX3ohsuV}cz~jOa&+%eqJ0oO1bW$(c`B^2Zrz{9jXfhtw zybqb{RMY=ucZ-KsuLGEZ$i4)R_n zB{-K2y?DCaEL(pjT}`RLkeUcJM-?w(c^w8>1GT^cw% zNh_~OD}k{`Ab7|y(amyAT6Ltb-3UFJv_#2DJ9=qW(&Ku>0kc!NVzfMMdb*bJzU8L_ zb^ySU{LM~M|1iZU>h1Ji-OWnIZY_~1Eoz6+C|;5*4xuN}I}WuE8GKWMUqS+N4ecF5 z`{Jr1cnm94Vk+Ltiib~aS9+h3v74kCQD{%*+vG=C3?phu00oy&H@K%UPBDk~sBa_? z>A+Rw!*s_^%^1Hkhqvs(CX$5LqUsy-Q0_7Zt%jPh+pf|gVdIT-B=;x6FU~yVkSk+9 zfP?V!L;*&u&Hj`*tqQqMIZVpf8Q>s1*Y`J`wB1M<^L?V*D`We-(NPh1WsLFYT_zxo zvwA=tXZ(!Qt=BsA9EFkgMej6Arqir-*C}_?d9r@)4);tv&w=~Qpx6b}@|#Pj`w^>O zz?y5&49byFf!A>^5uuhvNJ|dBH*SdKta7D@6KU?0&Lz8HYl1vSL;&T>qb7R^dE<`s zuE-r#5Yke^lE?&YseLgviMht@OYgU>5KFzxDtw1tPmui?Y$y~mjE7yu;DPNVETo?- z)%B$lEMXaU`uE;T0iMcWR|nvCeVGE5<%tHI*2gZkme|?#w+x=uUi+ov9aFVR_ys5d z=2^H(!jS6pC4X)za%!N3wO=`=K;KRnd%IL)sb0iZTGFb8n_>pez-DEn;k;q(=+D@G6!7`8`|S|hU{$h_Y)PFjG~#3~ zXaBT4+y!_wCeX&#cq4MjMEbT&`B+T0Knd9QVP+3Oxkldi)&VOrnlEDo(`a62@(rs2 zzYENKMa%iFLw=cZ^EE!~L;yxWxxaP=c+%BJtEq6N6g{8~rb3}=J;$y&gdm<0cH3Ewe-* zSimiihtJPhO?syvF$@83?b zM?G=>mIiyDzcC8r=iBg}4mC~Vob`N!RaN`9oAQBmrjvO_6~VwQx9;5FuBoR}_*?~^ zuK6zSlA7lGUA`f*A}V~}W^*u7gRuzyCF+K6WN&*Etof(=1fsdj;G;7MmtN z>qxnx)Fii>UJ4olm0(AtnWN7$dVeOPvtELh?v(>S6rytxK~npMQ04||DQK#8q7w*d z_Yr@z%+sX$)BTfhGa&AJOqm_fax`t^yL*sC6cZ%RG7a?NZwJwgC_Ioh#@iIJ-i5iJ`LrJ9-I(>P2 zi?3JDBD*>m$wmax;*{e>q5L~N%1b`M66%0&E%OE^!X5nnHFY3h8%fX%o79}z^vfrY zpSX73wS<|4Lt|y$51{zRmJ9OpIx@M(*2uqpE$Jwyk-Nct@)YAlkxuqA9r`J@_+she zALj(x*zl+z=T0g~et|jgZ*}p8rRZm{u{y_6Q$aHh%;7hX)~jhNx|v$7&MncT@r|+o zOYQf@bWUs6!b+oy!Lcy$R7{E4 zHGd$*$fQziUp;$I!bJpr>(1YPvu7VQzWRZ7+9RyA>^2gaI>1B6!;8PSm`_KdpAXH* zIA!7?WB0{1TBr|SM>_K9!i3*S8DQ|wIPfNyjXkLO%K?;oNF1L(+1R8betXEoYL`7W zR}}eV^>Yrq_qVlCmln7mYM;lIy9({2oZk~T@w$)wnz8JVXL3lkbE-kKWs35W8Eo0& zCEt;J!$82J)$yFgds)s*w<}qNG-)kaIX1sp-Y`b<8;-LVUr5ag46?SezNLh&9mOFH zPrezGUQMB`g}syG1Aa%jE-^KG?cR|(F31!?}M zMuSq#rn6nIQmXDs7JVVG$iwmzrtf3bd)zSc;Q&N+v0h=F<@0-@p1`=11mg$PRg9Gt)VJb&8GZtx#vP2Yb0j+ri@yLwHnQi*PU z%0`1PjJ0O#m^zq;HTku-0Y>f_Q|N8P3EGycm(`FJDmNvrKt8g(o_6dQ$~8b$x%`vt zD)eBrQ*FJ`hK#yph(u$31P7<^Up#rxNQhp3SO{~_ib?gv;YC_VDp>t^!c!REbc=LFGnGJbXo5N3KY$|BJ zDgT+C3#MRK%2-~c=1R_E4A)1Ih%6tCi+C$cTTW<%}N#(c# zLrH+h6rQy_b&JSK2X5}SWMK?&eqt&*hZfAWmp2u>qnnuPVoQsi;>lH%@i)N)O*^-;oALn z8`-rYng-=d({J@M)BG$O-TQjVKqYaZl8B?bXmG$wQAu~Lk^}IPPms;>Q~c`Yg(~!5 zHC9yeJ37`xg4V`_g3Pt-c#H`*dVoG%kYUf@K1MFa^cr}}qq$UI0{ItH`u?Gf$<3}d zCTEEoj`mvL0zMB9J!AEuO2#01{&cs+i?GT71?&rZJa=ao)h-s-S>D%S;pt<6@UK({ z7>Cfr>QvY_I$eqVapQ+Tuc zwKlxfTRm21tjW2GZteX**iuOB{^BL?Fhwqn%EO#KnC5OcF0}(oLNU9lhxA+d;HDWhHBk z_V0SI*;6purw<3x{Gfv(maKfe__r@qg4I$}_ij7;rTa(Kg`F|*v1wcO(dc6-oyR8_ zKCfY7IQVu-w4KMC@rf4H@o}Dwebri|eQXrOA{Umq7fFtk37mLl4-avOkBvs6Isrp2 zmVPV+&r#{UKc5hDNR1^zUS_t9ovS0Ml9|AHyGA!FrLH{MA$?|t)Us5bMlGuCB5WEe zu5IlTstOtV$f)78CY~xKvuGELi>gAvS|!&G*LPRguTdNXB7Z;3)}NYG2o|Zi%pnPi z!66{v*F%OZz>Jw&f3=ng+8lVJ1jh+nsmDe+7sX&nlArmLaRr{#B~KUXxLlb-1~v!L zSZ_E;e}o?Gf|+u9TNSnYcf;6!g8XeUC(`2h{V0C=R6cmBUP!&c!`g#ce`e8GX`Jhl zmUK>^@|T7ChI05rv&}%?j6H{_#y6YxG@fsbWT6UaymOV4FY@fSQS&IyWwr0#wX?Ps z@QMUlmJO$($znNy6u9MNYf#R2;`z1FN#{}eo@%i+h~*^9Zyh1-P&m1!{LcCV?pGkr zgt&XNkc{>(w^LX-Z)f!q@2t$)9I7H#FNZ}=*+!alY4FDqtxvD?){Puwn4;d~ys3oJX^!DDW#LMxr7=njT=@!_``$ z`CEjzjj1?G&0Y%y)lU{mk0z-5f*@RS$u3AFCyImQu_n^680xMf_B;HtDyjATvhYHO zyzvoK?ScQ~f#?ptX-0uP3Q)wSv({uSmLp7*c@d(^Jn%RL#qf4w6G<@*_D3cXM@l~7Vks+ z^*EK}94%NLU$*s4)E?@-=wYltGBPXVkfMZKH~802VoYU0+9SkN&!aL+O+xNph#RvR zm#b!qcV?V>^}Qo#6g>Q+60~MkE(sp_HEGkq({RL=BDeZb`C;mvcguC~@IwNe0|IoS z%5iX?!&mctf;-y0{^xI`PSAlGv?xM(o>g~0gy|1urG}->uhy1oy_iX6#2brquJX{8 zECHjg7tqG`dLS)c zH%#8QmxCE|?^hhhjG1XC&!>SG)eRAdT;#^fHUY+5d<)_wu;Q~6cm!Lj@GWZuX(3sS zd`G?bKCYL$H91GY4KhyrUW}w&;;8OdSuJqQCRBj?~5pJHwEU`6R9j13<&Gb zz1;~FS7I%-H!!o7XmrJe2gC~U*pgxoF&e`k1ngZEOzrO~L(ZuUxg-j`5$LTIIymPf z30z`KOk(sgt>#3^aYDV}nDvi>fp`5uEM4G&FYkuYgHZL7Qnq7I%QIc%5`KEdFn9ho ziO#Q8U?g=}mM98qY0P6Qvj@WHLy#{6(XF|@&}ZfUFy=@6n-c*)!403$#)SQA^6(Wd z!zjm!~FRO@lBEdBU@q9>(uJp0ZD#C-#>p1L55qukCSAjx5jvGq9B~p>; z4|XkagRHXWlSBXRk#Y`PT=d!T8BM zb>XA?;Z)N16AgTM*y5L;sKWuOhgCuwll&Z%fcGyNPp@sY81>ppYge@!#%&glyCeY z9bK$HhRiA|c)Z{)g8VX6{TQ;*)-pPIV|SY4_b402YArbEJc&$fpDMkN zV4lqGa1}df0=3w$z%XPXrY*Pj0_5sl3&&HU-xU~d1{QNj52?LHqfHS{S&r5U6%^9w zrz|Un#q{BusOsP!;EdMy+(F*XN>_6^r_Y&Xq(^)DI9C?;GaFq*Db_@@(To zC4E__?zy1wpsOD2@=W-XP*ZVqH}x*ha&KN)ec`gM<0)LQ>6`cb7V*^1qw5yABl244 zTdpg6e+Ts$qr~D8D%MKvp%7hIu^qIa#4keqf^a_?` z_JE5*-Qb|DL3F8rnrJ4`##9u;;#WOf6KpJT&(Ms;#D}FQxb?01l@%trtG%nxda7ThkC1kFzb`P+w?09R?zz3F&&Y)OWi>6sUgeP?+nrMRt1XzfGB zON5o$XvUYCNF^Mqgk~xIUzL(K;DYylEhU?>N+i{EhRQNQblShMkz=RM!0Qo4I>AHr zMh?us@$yFDJ3b6$223XNlkRloo%;FUg&diL?-P(Y@8xutk}YmLcJQ*5I{eW4B_i?+ ze)MJOo6;2KSZAd|P{2_XLj4OU;@g|(*sV|0sP}fOyH@B)7Lfgn$ur*mzMBeux=jhP zPH^9Jd;}CP0q*&DI>j6w9UZg_rh+cpg8=Pt7M zooJ}hJM(AqPn+I}?aG;0ED{}Zu*{7hP@Xkp2Axi-%psdDOkS{1jS6h+E^~;eGfJk& z())KF#Vic}PTwem@D_ftDwM`wu&FgJ8Oq|@ulrULny{m1;(ad zxl3{pAuX=<85bM;L1IQYOT^|<(%%zexC#^ZSuHhdMWADWRw%#3P^b4LHK=zH2OVtl zkF`wb;;s@?1x0iIS=CbyQacw6X)HeqBgXUsULxQEqsLOaJLx(P(cI`W>2ZKzL{Z)m84xWPZx!@mX4{wBTIpWazA$!P18M!pMiV>s9xvUEB{9e=li*ac-)gC{{z#`+tN+xMrGmyQc#%UDhl&)adi ztCFN9Z9(r~iDfjDIPBJ!LuqJI1?H#elpEZLVlZBy z=t7S5HSVJ#@-+ybmzixN&-~7mXr5YM!_x}lM1KDtdv5_9MH2lB_dsw9Ebb1|f!uB+ z!C`TCheZ~5Uz~*C3GTMLxVyXC;;xI!VvBo#dG}Y9>YhxpyZ`sS^Un8u=S-hNG3nd) z*7cIEu7X-FfHh@MZ2q)TMW~b+rP}=IQk>uQk;-DckU7}V2AuESI+dpNzD)@-?Y8$` z&yN6}1DzLXvW*ITEq9i%$Z#CZ)2woZ%h5& z%kP16vlj?#{xBt2OAM>3b_}bT#lFJ8%nK3lMWRcs%N=`biQZD#n|G$*v*e(7J<}9Q zc$mM_ode3)HI_-7s~)+n$uMvYfTZ%0%B=QTB)B&7!V|T#nyu&~z{H3`n@IcybP! z?w^!-BamfFfIphR)crB6QXu><$#sJ>9e*d8>10vz_+FiLdhu?XOSj3ffsu2Nx##gF6HTS>~BL`4v9-d{5Y zI|7XKy#w?T3M&m{iV1Jt)2Itw-5)|zcadI63A)^eAH2l>Tse55RL;5+seF&sdS>M< z=%G4tL$Eq2XHc_xSE-hxk)Bg^ihg3Si}&>5oseRN(8Y(c9qYv2B0_KoqAxFsJ*(my zC4&lz#Zp-2B^oNOo4e**I5sU9Pbc_vVKDthc?-|RiUZK>d>*MSDGAQScXL%c^dsYp zM_a;g6kHD8A|jGKK~Wm@3CrZ#z&f-{3=nS&F8hl3Luj1BHVVcA&@?NsgoG}T-#1Yip?N^7a$=b52+rL{==^*mz*xcG?AD(*&pQ|8Wu5)IR+2J`% zEx^j4n_{)K=Xx$d?xZM+XB|aLyUXRP===*DKRDA%6m=Jkpw@N6BHOzsyd@#L#q$yz zjmJdNI|%Q7{jBVL6V<+85>`IPR8VpycQ#a;49=vcg5Eud={Eu`E3QRW0M9MqF#am; zG|HOC^zEvqrd9wy@3)l-Zu&;?OYha&DB|idO-uf4KYc&(ReWxQCE_B++HnJ@VMkLf zEK3MAeIPqzJ5`L$LerfeCP^2%lJ;&3CwxVnNkynleDTr2bm5i6w)Vub!OA39md_sM zxuUq&-=VZ{s$9)%b?4rJwZ~9=$kPXrUF zNiDI^wb;^p2!)T9Em2XWRBmd5HG^w+y>6G#C_6X6z~uZ?g^llwwJ+SxYUSIFrHv7- zARp}~(_TSzM9;xxlu{pEuVMFkVzRerolzA1-h(ns9U0k?v9YpaYd914yo5IL!I5uz zIx;CowsDl!;;x+T@vcTZv$bI@de+#FdJJzOns;H6vQ_|BKGu)Eq!)A zoK9WZJ!G2Y;hV`=^O4Gq?xL4q)yZsdF3cwD;bJpAjcN zed70mHTc~>rr)fd<{4fOs4YWVcP>wFy0lS_sM<13!5SZq5~O`b@|e3ikuhg$8DnX{ zLcN(Xs9-=8{u%i5@COgY`x5w?{Z3MV=bh$3?ltLa4mBfcW5?MU*El_-pn<(a4l=fg zk~h%W1y+*dhx%jb>;joQkeI|YRARIfVriXCNB$BP6F+%i5+nryfK`zU8TNR4Ghy1_tGGTY?1>s=(*&B8W^Fv^lhv4nx2jwUtCjx zJp&EtyP>VAOVU~NAkQtmgo?2RT#L3BB#sxqK?66ZGV&&zuluVoXod*=5hOO%SVwOb zT@m|QM6(B4lWU{t!Vu}mdl*T?m{Np29(%I7oBf`s1ek6tX5Wo5^s>tYk=K-5+5s@V z1Zgi$zA;oT0*vi7lqytIW+`@I>%%9gRJ^@CHG7GxEap&c82{(-JBEX zRDrej0+#PkA8+N$M@omz;9Ux@(U;o~b>7oWUdu5yhzH$F-ujr1y3&g&?#P`3@#N}1 zr_=QD@>OkFWzYyB{GW1%gHJ|^Zmiv{Il)olceCd4OWfhr)R@- zUVG(vt(Y^4%w*ds>(Vc})ulRs$d8REsTb!1br_J|z^CaL3yMY(ImW@ABAy{jw~#9A zkS{zTj-WmZl8r``1p6+a$4LBklRQ&YP%k&9NA{@_gx#< z-gm{?cYLfQQqKWafTI*xJDYe2J#fg8BqPhLSb|OM+UFj?kv_vn0l~VWi1usZXYdvu zPB6j(HYX9uL);k8#3Pw(lj@MghYxoGf663Shy6fJEPOy#oCf~XI&5qPG(L+Q9KE0>tFBEPA z#7|#z0p2GbM`>sCzLHCp>H8IU2l~G}WYQcD<1Q!vOt)!NioALTWwDBTLrzQZD;hZ)n+M$n!GH?jnFq?) zuPkH16NaG(PTh@G9hc*87+<`zC=8A83|=I23u?kY4j|k9%&bN77R&^T2<2V3gEe2Y zR;up529^?iMd8RiO~*&vqi=Km^+5WPR5tIJtPst67+jneL)tUJI0eL-3GM@tmvf46 zsZ&6@bscAaP#~BT#q_hIsmF)yRA}}V{f!F$@vL+0v5-3}pGS2vwt^LXr&zOzD?iVBYnOAIvRHK(R6H}_dwZ()ttjo=w?qG+yk&!BlDP%f zQP+=e#rzsJ1G00fz%FvI52dIp&t+=M$`WCa367ErxkV{;rcqD#VO0yx@4_V4kX!yN zFpR>7%V}nuF(8!M3D~^bm_7FY++~vUq2ip!x0)jl+M96!HK_1tC$*^ayZ%{mzM3`S zngzvo%s7Xt-nu}&>I@gFxJBJ-V6k;z?NyI)w7jQ$eWrR;+RAXIy9@!D_xw1@yibCg zv0#F=XB^26{zlyHc_j90VD~&eqgA=ga%k1o_oZR0<&5Y$z9E`eUXq|WxV^S}9`kz6 za2`^ErD3q^6Z|pL*c#NE=X=inv0C?0;8h%Bj#?1&Ud?%Q*ZGLLpLXfH6!lE-RNS59>UPIxdm%&8PQB;>X$1T)Y&_9`r<-N8Ssj zv)~!`Avz*v_ven=k^af8g!=d zi{$nX>)D_pEAm?LVC^@!tBSG8S>`*%vQN<72`~H^>^~pVj6S}~M>{9o&@Fq%0Afup z9H+t$(2g0&jjk&W>3CN!uJgzPpSW=KY;}eTm-$YI;SJg*jDGwPvlh>X{8Mp4rB3f%GYrRt*TW)U&q8n zYp@DwWey@~{D*!NHc;+6w~4hTKO4^Ehl;~LkKi*GH zUN=xnE^uDM8OP{WWOI+ftdHfOS z5+>p8<9WP;!u>kV?%>hORVG3E&8{gYl5CunqqBqj}8;@|du;>8bdjqW=s>qXIDJ5$m!AdJyI1=JX zAI_;GUoWLD3nlm=(-f?ghr$Xo374w7WX9e4EQQW}MLwShMfw3d>5ma{#g>9<$D7m6vUaw4Zo`iTK^-d zM$)Gj5%OI+!5NK^y2telJ`r`_hfHbt8ArzZmuQih(CFBEzixfZz&n0(W5N8p8ZWv?fyKYm z#ksxpb{zhqW6}%Zc1odCs2Uu|Rbf!ka^%Mk!l-q`b^yv7w3}e9Fk8UU^4Dy4DC)SUdTCY{*qY|j}J$=i{`!CvOW|Ve=9XK zv-*`&VZ!}U*33dR- z!eF#?n`w0Xj2w^g)1L02TB63YYuazHE%vmTuZB{NaU+CDvMcPKh>|Q^Sl!slnHt)o zx$(%z9PL4_6{UyMqK0xbhq^tIhpHRcJ`5HiXxClQ7*4J+5*w>qJ+M{k8Ixj|^R=3W zvaz>}t4g^_Fr6<;PzGEe2y&G1wobT<`t_>DX^b`@ytE2amB=lhw?_mHm@!j#VU0edi742AuD ziCd?rAQEq9m}J)S0Td@xu9g_ZS`#|Y$PwxY<9N1SN~~S{)M*Xw`FInT_6qXT>%KI| ze+b2|-BRDA(q3ISDL%7u{QjsH^_jeZ5~iQ1w^SnwUTMzXu0e?*J7!XoDRRROs8qBD zp-kK%J~GL6ZYG5+kYHv=YP^yUx9t2ulS`YGbtbjWE5Sdqos#C1L6*Xi}ra-jBL_cm@$aF2f)Zf+)7Tx4_=)A99B5 zEfBweM49db>H3VpLg(`sY_}zXA=S*qT^~mmpYY@EU+6Xna4~%XtcfJi(v*#A8fq;2Br1 zNrC=lFXa`i`7&$oVUiT^ao#CVvl^;HN9H+~DpxGb8P9=z{c>Ci(ny5JT5COJl761E zqG$~^B40LwQgiiNMw27X2Ua=-PZ3w;T}$aqh@2tAS}Nr*5#UENm(lmXBsh>;1KD>_ z$X2fhug|P);gj-n+AeUeUZ3TcI!ymtfS9@b_ol{v7V8ZKX}2P${4XlohP zZlI7+Z|R4*r*%2>v|;=!X0gq5uzh^v>F+J=#c>^AtT4FZC_ZJkf6>iU^=>^KXQhd2 z2GSme3XXg2yVA+tawJ(%xx-aVflc5H;yR!9ihukj3x%HxI|BU;N3yFqenktu0gH)X zcL#$SP&D4FTyyY@_CH|11@YW?&>h>V8$TSUk+|H!K6oD20(3e)KzMg>V&yFp;R*2} zyi#h?ER7bPO491+<3G2^Zaw-8rTxM{|Xc@#=#_Xs0lG_(Xz3xi*h6k$Xc` zE>fRKa_LsGJhG8wrSi$5=vt@-`s$5e>^>78_#C8F#ryB)`^Qj))^a1k{gFB*$=y39 zD|&5lE>ZRt6+Q>{ZP(9~YjgnpIdz+k-J%5~oz?jM#G91v81j~Sjy|HtP+{^AnMpht ztL#e3oZ<`7n0b0uHFF>!PP797;ZglQB#V44OSX}|UVBM!rra#4 z#|V0HhUqjd;)pQl)TTchN&QKW5p>Ly&Qz99N3e5CTNccv>c(shHaQc0MZ5lr@%qxD zgv&&Peo=iz8?3N#fFL&9@))Lm`FQ}99VxLVMa^qUEtta|qS)Splr|k^Q*+q&25i%_ zsAjG-Qd&otWccTa@}}@Of*?JT(rtcNYo2Njoy#o2;M}Gw zew`^chi>GRV7?(;aV%2LBFL@6AHy}qmKN&Ix5R=*;-YX* zJ?6is9=xl!!(c1yqGrfW2V8PCQ!$2GwMtCfb!%A<`gLe=I?e}W;+PNNifzGk2B>99 zWWoam+Z2aixGkF#tf)CBZ|<; zW60h49fD^ebGpG1HQf6v62mCHGH|DIOEIraaHhUF~cV$)Eyf(4&?# zHby>iGU9EP+ruPv`1`T0nWfxE6>AZd@qg~DS+lV`tHb9TKyLTTYUZkfHC}IJy92ho zWJ%mcvD;zqhs9gc@fmW<%R3CV3|w)GBJaSMD%z z%_?WpsA?&?r6oCLo8rq>oK@?gmg@Ww|btUWRJj3gGb*s&bI3iAcDXaQx^||lhc!l2m;p*4@hyA=r zgrJ2S7v6FvoMXWqe3iAPWoO6&H19v6Aytk%=|f!+p(4I{B?NCNi4j01ULDi;piDiX zN~yf*G{2(8tcq$ZMl>De6lbAE=6y?#>eTs+lOCrYYcJ$zh`hm5`@xRRJEqX7;wQvH ztuLyXTZX*x68)BW@jFtikTpWEp^=(7Y>sbX_v8bg8>-AC>L1G_T)uIJ(SwL1KH1ki zGjg5>A$)#l#HxK2L^k(IE&D<$>lgWZLXYe6rEZjJ&j`Vq&L&BP5fyJ|7SYVb)L;0Y z`%_LV;MJ4B7AFjeU+!Qln)%o!{>U2bY_#CIK8zX*?QFDQtl`kABLt%b#|QQXgkZGb z9Kc@mkJvzV@o1a}9&Uo+8~rEQ_-0kmt&T#uL$!0moyRb}gp1#%@|IL6>Q}Bt`yb_| z8}mBqE0x7~6B7RBo*#B3&J|3WkBqSgJc_j&st+(|vg*M!Ah`smP&&^IPwfHD9Xqc0 zXYV~(n8Z?QPcVS*OQHu?W~iero{1KF7YC6`jAEk&^S6L5t?55v=L1>Me!F8^?l9Mf z;T>U6cd*4dcm7?jA3X(Yz-kma7tS;Rbt_LDS*MkF5hMaQ8;k6y50APYV-W4!B3CRN zQcC612ZCJJF&IsFhd;BrA;ATdQaf6X+`s(=|0;dhEPUCl;V*GT3&yHH*HzdhT5wgy zbcmUQXu(*?=K5!F4aigul}C$xhL!551f{xzEuGI-u^0)APLWXRQn;BEEY^COo-&MZ zbn$pGuI1a4VU1;QWOa0LvRHfUH@RI!3n#q!>KclZgYw(>pT3&4KSZhL7{j1N?#>??ht;vDCUYD9dDNwfGT$`s_ z6gFCa7F)g$5nPEPPR-7=u%5)Osv0V8l6VVn;ffIkJ^oW|XQ;|dqk!2RO4>2DBqcqW zk&}|5MaSeB=q5xBs`YPGcDZw>(m9r{Io<(# zY+xg*INzjd;}N_mqufKV?vxHD!`WJCQ*7j>o9meJ2w1&jo9reK@{L!f%zI-;IC5IYOC)kCF`1 za2$tYZb%P$__8=*{`^nHxv0A}{IPeVg0!aY3}KRJ=8@gO?7N=x$jV~)M0<$pkQp$j zFG59qqTL|(IX%Csn-^;Fhe*tUBku0oRBS{%<3aijPV2~K!P*nB_ANM&#_p88`)KBo zD=!c9zf!P_;QTJLCZ_fUwkQ;93BIpz_E)SmwPtI=S2U+>zWM0>h3Y2yp#q3gRRh`KG-*b{>A3XAua-5%&aIg^~??!$KUU`<&J zQv)3p@n6wp;hnkdsez2S4(c3q@@j=-{0ypF^m;q3i}N>SS+iVUs=&6~cUEtrs{OuF zRHe2+U-6q?0Xoo0zVNg-7ufTl^Ir3_`Y(vSQa9a0u$7?uf(z}+wm|<VM2TB)ae$KPn;hI6#!><-=9XV4FHMGezW z9H#=k;|OP3t*|eFZXP_-;aCA0&}d9#XA+1P@yvl9f&RUG%L?$gNSupj6z4d#t)bGI zfQUk!+SW8Ih5Ze-4UW0DI92!UK$-jA*AFY!p21>qIrTW2jyOe0r^GY5PrPU!>c%Ih z1!bZf)~DP_YCbQn@h#xEo=&OdJ7-1LO}K;K&GVG2Q$oRc zRt2^>zc@B8xqg$wwa8H-o~bb{(Eoi}X%aqq+cUlu=OrpFkB;*{&NZNVlk-vGB-{0? zJWVA?E@WTRI>XOvR9QpAyZ%k_m)_IkE7pEuU(=?dXB5ueiV;Z?7^Ca#(fJLn=T$A_ zJT2)g6PM+R_Cq0h0h+(f!`JxJ65gU5c`Xfx|D&W*u)Hd#u0>mN9C=L%Hu~2`&PP$} z&X;32ReE2Nt~S`DAF%6Gzl!eNK;Jukpp<26>pv>&HK^9bxy8|GWj893aW*v#{a_1L z8`X+E@9z4B)5}`vg~bl2JUs-ae#CQHuAF;I(#a}vU5{n45SaQoCx6GLpg{jkz2tk~ zSKJ}9GxB=GSeh6cDb24RsN{~h`ZDeucq|L(;4_o9caTf#nlnfCgo=<&wcnU_EE`~mO2CVf=a12@%};MD9Odmlxt8aJ-Grmht_f+pTCUPmi9c`AaW`nDJHLz ztWV9)hyQrGCKq+6BRRJ^2LWiiWAIoY5;!SuJSAQ--(q8EY0==i6Yr>qb28>l3Q$qB z#Bn;SYcJ z+Xl~A5a{3iBZ)@xcQ&CN-{Kh+p&vVjMi-&;>yIN>8@VB_4hex&7sH3VzN28^RWYf12C zl^uS9sRyD^N=;a5g40mlSYhwl;p}TA%xbizo0D<|#`NRYrIO?V_)&fgJSI)}PJXWn z8D(3=(T^)^QLQNUEGtF3ZjKjWP+Sn@+uaaE6H)i&%mGw2rAIGUJSG--cbgaJ|6*z$ zp>upUEp#3iF1`w!D79cIC3l?VG;NK-DZw|Y0V$XSza)(+Y=vS|Y(Sg~A1Z(vwar;+r3X$Q+i;Ws5UZDhjzF9mI(Vn&=m@gbUAsj+q`_KSQ`GOu z;~mX?rI1_Yhf$ELHr}b;n)5ov`TTq_`ADBA7D$0 zAb>kJpWw31sg16>rzN?L-mMdk1hgguke=y|-o5rL38VTaF<+wBL@^vi6VC|uO8QYV zxAwe616lGT-aB`4*dayH5A=jI@7X;@croLt3p}8B5n#`c!fki2mG|X8+0Yvzk{i?- zG%&mYqDAeZJ}u;$^bUrV3x4_0F0Le^Q*+mM>)h`_g|bly=m|NX$E2R|1KpQFKW~fd zvXVS8DbHECA}O|bjsxK6@V5~9W$$~`J2|>d$QwiF4Bm82R3YUxD}6FaS>n%sNPJKf zl0kb-3W$zB6bZ@c+FyDU$7rRXEzSzTH$1Jp@WRj1UOf=u)H^0hJ{9<3z5J^4v(vM5r$}1 z(4OsD>Y=JTdm_y!Cy>J)9`}wfZTPwdIHh2+|7L|see}*f$+Bm`{b2ug7Zl?E#je$L zUTD3&&+MKlgnY(T@&u!+Aw5yLxhXfdM9Op0K{*gRLMoMOb;bmgmqKu_P%`TN@@;`w za!-nf%RW7+XM4MbAu;P_q}jAcT+MPpT%p_d;vk|!6VFltA*A=OI!QpBCS`q&n= zo4T>7G+}5`oGIIV3AGqPpUV_*z>3kiLq1x9f zWrMjpd&L}-#>TS*<_W)$)D^?ApDmA z*a&R1x}lvR4bt{{#8ZwlxR&9D0}u)6#GBqSmcaUjiGjnu9T@22cKO2^jgIG_^=7Z& zmwCDK4(*qy8SOAG@`}4WB0B6>g=}QINQ&|M?Yx9hrp-QMu$LADed}Nr6*n4 zaRpm|=_#|+Embpb=Pn9$Zv6S~tD;YrIY!dH^LRCP++9gyl z8i(yw3{8U$nh{d8kH>g@4+E6@TF|R(wCed6W@tr(s}X!J|~;o`s0_X|pfKkC|-wS@EPg z#LzH+*J)5s5h<=vun`+PBOW=?$!fOz+MGDA^~o)Ay6^} z-clDcK3Xey?7ztN$_Z)_dj--ZvzMoSaGxN5@anbDG-pi^UN)J2+U(z#KfQ|PocN`3=vny8mu&N=O4wuOU1pQ>4H z=n}*pshv)@QQH%6ntJ@?KoZ_q7@*qGwek2%~>m5)IV2Gr(NqT{iz%98^HCr|} zCjNafls;L?Z7yJT0zW+td4Jp+l&vayIoM2Kb^C6~=WDCqPQ1Vbwf-UBSVo!sO7VuY z;O?md@ehpi);2{>X^3HE&Dd^w8zDwJqY$J#gtKSc9f#R_e#4xU>V{!**xo9G}KhS=Bs9-birmJ$ZNGYj^iux!dp4j3(`>FoNT@?i-kSQ z86Bq8J~kntat{BJx#zulKqsv{`5Ya>615pz4o5#1-T9?a@+vN5_{7R31vzRxT zc8Ev=25&Jx>=;CRW&UI-+DZsdclJj+6Q~9qWkfzq1a!0ybzNbtf-AsU;L;f0zvYK> zJ)B;qHdR(b?IQ~_WX&RBeA@@fbWl{ z=!qOGR3zOYPk=iZJ^tX0l7{tbNbT#66p-;gWnPjyD~T$2WKOZ;8Jb&b0YrMj!bVmz>NYTwTQFH&UwXzr+=CE zM@ShjS5PlQaDwtK;p5s47}PDDwN_zD@E{Ml6F?Jq@t6mu4R8_?Pe7x_t$%EK8= z(t}8!18Wn`!`V%uiwf5XpXvZz*^|ePw%Ucm!rZb17QrNpy=p(&G9tPv+g}ec^L@v# z+|aRoN9eaPtqe@4_(K1?+kLe?q-5d+-EYBEyk|$K#9S9bF1kwjq7vJ-nNAlm=rm6p z?q~&fi|vaHC^*Z{X$rjMJMjsb?7lwFE28#u3Re2%_0l`yn%fG5<|I)gh5BpmiIja> z1FdJDiXUB&Lr)^WKNrH1(zHAjc{`PpUBII5JmqP;RH7mvCP zLSi6<1B-n)i+P9QrX8^>6K!%t5Yj?)G%POK$A5e43gPXAf_C{=JI62WBgSoh162p_ ziNP?-pR2F2b4<6zU~{V)Vxt;z-Csu);cR@;dyv;E?G!Ed*j&9+$gxk?-MNB~ykyf* zxAGSivvQrbLJoj$7U&OQZ>f?5FY1i>DdBPDkQo`N$*Bu}IAX=#wt_e8Vj&TO*O7}` zqH}yToUQ2mxXcehUsXQOq?I?Zka&S^8WHq~foxpq~uPi8ch*kZ%*kUyYBg#W_7PYJEB_g7%Y%8UF0$vaWZwSAP_0{p$rrnXFy>sQ*)K~} zN%w;;tGrfm66Dc9LQb-D@vrj$@rgX=JP1~A(k}8f@m4Lbk;ASV78@^QJx9&{vi!I2^gf$$D>`vi-BnBxaLSBDpX{hdE(cr@D;IP0Z}=^V zL#n$SA%3=eaIgOUjTid_xUt0mB=#5Y%5=Ee5y3KW=KZiCUF?j_91&ms@jOft^@q2y zq3j7Dt%_{YJvJYkn*A8YA@m3Sr6=vwlTQn&xbl<`i!r@z#4HQ{?YYe=;-tKtJ2Gad z$_QkoukBn_8W#NV=zM?V4eU@e{zZ-$pR=CBr&1Pd^OFq!;IZC$WYx7e>clDKbfA!^ z+6NJ*GihI@U4D9|nNp>0sc-&;^Hdt(|6MK8n~APc;%|*A_*{TQjo_DAS>! zFEcC>dvs;WQNO^6k>ssdh=g7}T}A zu7Adrv>{onkDLT9an1B81hfc;2d#BJx9ksW55J0{Qh;T7z*?OC7@zF`Q3mQo5x|Yp zt3|>pFU+cy=De%AaYMRn>b;J1u;J$3dYtJ`b{rQL-8@-YF+c8BMwfJ^S@~W5cZoVs zQ&_=meLw&(C~OC#r7LVjP%Sh}O=>=LHyFSvUal&k9?@33EGea`IAbyLYF0h{vt{T- zcZrTkfj{B}yjkio1gaI!?mE;aPy~J|zYF}R6-iQ!0JF2h?WfB6yE1oqg%{7F4!ETP zrnK98RU!vS+%_NhB0Lji&G15l;%9dT>emKIcYyV}J0vSD$cg!FM6g2Ti~eHgJ;jCM zN7{74>rqr^M#NHw*o&`i-kooJ&dQb({;6}wv>`afg%D~afee7oEvs@jV94ZAGB>vfiE-=P|P=ae^CMS)qh34=M8D)msl|yW{Sf| ztmh?prxc_!pxRIQ`Ma1T?4pVd^^gPr*D;|ij}DjxO9jp|!g(F0dP$S{UIh^sl@+!p#z9^OxRwf1w>l#tMx z#lC%mx8pG|QurL|;zC8Yu|FCZGG8pq+^Vf_8l*2!P=5;99xWZUH75omM9XqDY;J*f zSmV+nz(&V_3omdaQ~76gVkitfYk2B%-5DNqCW#PL6-pC5-$3vUUX5o&b?~r>%uAn8NO;F!Uq(&lf zeC-#+daHN48}QqutYfDT{T2 z$vogtdm56PWTB ze=5rBd=vlubf=%jtb+U5&+YOH0t(o;QNto2)PjO)-XihuaR_Lu4CQ_F&mpq7u+agxbR{p z@u%>o{hUhv6a1DCs2`j+z4HZS6(3&fYF8%6z-;9b=eQNV^Xk_AC31r44)FwN$_2Fj zXgwzgYtIR$Du9$=Xbt}G1Ao8k?c6s%il{}&qK(hlO`>%p%g+I#b)H`HKfaLv`N2GXQLNskoI<`KFIUwVV?3`j zBYjEUd;m18S-hj4)?+s%KPAlm37mf-dug5Vd-i{lLNG-dkVfbMnM}uR1P+k+KdyUH z&UbvSK6+O^2(!LIX_>G5eq0J9O?b(A=>i?Uay4YU3$W9>cpNUKb%~yan-YNd%n0!w zCwA1Ugqu<3d_oe4@sz=Q3-!azvYk*F83^M7^F!6Q!yqxG_ib5XCx7qPTZcX7yb9#V zihJ3@fj$X|ID>23h9uMi>a-EU4oJ%r_PydLSkw9L)D`Nu+#hz`>6T+buPK5t7%^Ih z`pY54=OxI>2X?3xLUE+cR(LkJ43zair!7N&A3R5SiP7In(Xbf4-RBgoyTY<%&UOTY z*9yvZ72|}o4>;FmyPM6wwOiAe_H4KUo6)FJqAnzBd!^d#?6(X@4q?vu{Rg^%1r@-; z)2nFEmWs}S;LBHeDd2#92Sc6T$KSAwo^<+_#h+L%mP8vHC{lV#a zpMnEQw#81lW;^$o6}B6v`bGGZ(^?x?J37msOGAAAo8mfJVUY1AEqE|D+IFLC9+ z;GR0Jsnias8B<&0Bmm3S(+|8ozPVguqRn@6(6WOE0JUa|@6_=}BsRw2@jA3PPR?A>l(afhxy{o>mOX%u0R4xSTnU=^sbMMW* zBja$i4;~5&hQl{bW%k8h=sfRgAKV)o2XqZz^~v`O*e?nnI}yzij1P=Y@sPUj*-9+C z7JGw%{r;XePikjB=dS{oU&j?SU7nd`5qS&!zJ*uZv#iHxvb^zssZ#CJ_&0l|d)XPm zVs; zc*uBkc){C|Q}Vg+9s+}f&v-9hn|v!(P#%Id4*>7Ul=1QW3b5cO-MvbWE#&5{X1{_t z;u9AOJ-P$yo=oTXz|7o6YszI5A)O=p*3A6yrAJ`Gvtv#$AX{X+#@|>&<_ikuJ1^1s zFpV}GBh7rH+v8?76DG6WOMT_rRkmj8ah+WOvz0}&*UFq<9NeEZ#h)SJk>%T)yYH|O zLocE8+k)kb08AOij+@Z3te7W1F=(nMJkE-ji|YdnDfEHs{^M z9fzx@{0r|J;aS^!;0{#(ntIBRw*qX)Vf-BSu|3`8dJ0CCV+o*imS4fT;bn;BuxwFa zwc^|#wO|ls=n^HiCBI7pZpkrEn=;O)=(E(xe$4LDGE2-{ncCeD z)zrm~tX5vD3N1pyX~DHKWNS#%_4bs|wR@gUV%$&z)Jey5+_$kKoX&#H4R%t(7*i}^LhSz3(L zP;nb~HA>g9N8-|qCKj!njis@U1kcMpR7e32B`vN54Lv`Z7I*E!ZOXdXvFJ95DfYtr zE}^cZvX<3vv^YKzC(5h$tcKHqDqk)gl-s)z0)yfQ1l486qurb$mAAl1B6{|+PY1j- zT8o`j%%lSw?Q0T{Z6M(8pZX3FbK z@?YeN`)IR6wM@}7i|r(r-70GvBd#R`a;X>NK|acBUXc5p43)#EO=hsk(>`KFZ$lAx zuVL3rRhTQw0fX*4IN{xZmlyL>rx>pllAY|dj^aDtIWye2)%tGCY>&*@yrFMvN z_BOax$|8!-6lWQ*JY1ibe0){gDV^T;IUT-{OMa=qKEigNsA8*j6QGJN+_ugFU z`4|}w3ZC9+LsWhnWf#wv@8f#b!@mk8uUPN%e9NM}@zKH_B31_%MNXJJcwYY{{>>|y zkYRu91Rtf==!PKa5fFC?jSLq58+3q%+ll8PT6R4<68%XG+Q zWpgPNv_gSS<6+*(e|Ezd7U|u5@tCpQGHx#8;q}`OgKfn1(>{)%Sij<=UCEz36 zQlgMN++ZM$Lr)t$cI;pFovaD{#nB-ZPGu z2O5>Tg(<_A;D6-$gb(0j$AC!4V_UER6WQsr=Yz^HBTv3t<{vN zi}3g2snF5nGK~3XekplK+YSMhMIEY-)Xe`z290E>r9VK?ytf8;G9gq7W1;6miM&R{ zqK4Kji~h)j+!6&JZhhS&rcKwnzDL5~2JKb98uFd#@yNq@|C*=i;ZF3UqQT8?ESglad0nei zVW0|2wA377@`}mf88=t()}v+$$wb387FjQO(;Fn-DJ6qbnb&-M_aXiCkO`|A_d)d< zg^dRy*ta0Zgas$ti+$N=6^4M@Z`{iwWt_(6isJ2fV=RH;^6Rqb)lALe`(pVoT&NU&NS_x~Qq;6Ugy*hK`l!*-WQ04nTGM{HM}0+F{- zo7^C-j*-9TcLc8BcSRSq#ykJtMceU3Ze(W!kl$0MBY>Z~_}uQHNVcE5F3|spx*_R_ z`@5Cu|EvV=;{W#i|3c>nx}EO+Z|MKG*Z5$_Xdl+hlJ>_3^+Pl2h)6$V6Mo8t|)@&>xbOgs95 zgI6U_qpp5`5Tr19KngSP8VM8^i(#4F^qR)bTP;sYPe*7S`dC!jw>`jNL}R3{kznN% z<1Wf2*^i@-^T&MC6^h2)d;tEA>Iz3dqbgGkb3dn`RiC3pI1yg+y~*)G8m5qP#qfv- z+z^ML+(JWuvp6~&83B~!J4!H^hWh>}e$6B*ETZHHd^-2`CwrI=oBCv!p%~xlE(l$|igNo1lI(qy@Y!1|tLcq*0(EI59^A_e(F%Z%6*RBTobf*8(Cs zv0O0IbQ)WTMi64$2&_<}G-#ZzmU>(8J&K6-ADWrPxE%Et6L3LURC08YCQxS2=0;m! z11{)%3u>(E*R@uGjv&NX5sKtS=^tjjtwJ3B_K3lx5<-n0;-xxju0&ZvP^b1}t?6bm z;<)#eC{uRYMYUOfH{Y1+5gp-<{n;$E@b3N*?um1`mdx6>=zo@eMESdPdSxF8+vwLdHe>#;QA$Cie5 z6?K>AP`;uIo(!+~l&*5aSVL0>FIa<0 z+K>@yYSwf&!r14dpmt`wHbqIzoNle{5gvs<(1cIhWNm7bD{~AxEN;7~lHxOnQ7g=T z=X@4*YzRdysw$v%I;O&0nO>s-)kwSpt#!LTR#xL$te6gpoRD#W(a&dJGT2wKWExoS zdIS|X9PgMM0*?u~Tr8><%^f_0L!snY8~tUiu^&)hw?l2j^wn~K##Tb$In3$(8f8$f zwuv!(PQMaW+dca;6yq+ke*`71<22by{2=>e^Bu*yDu5ksvD>nW&{6d{e|qG|{@8y0 z^-es3tTZY^VNKAH6WbQfD|{lfFk_}pCO`>t>R0hyx;2F@OWQL(6kUknrlMY>Utp2z zDeRtTJiUb?%gkrdvDUD+8-xP!vq9rtkLeNE?_dQ%u?qw*6XAMFQ>nYj4Z zDOgJ&`&8Su5$*QlWc^)4>L4U$`bawX8!{|}U76Od2Rp)tHSdF{@KZH!DKf^H8}C|- zeh|&S3}2Dj5Ly3)=vHh z(t1ok+6Fm1Mb8J9PWXasFrfy5C>-D9;=mHFN4!Brk(2{s{aCBBd6`)f$CX+CuWT8j z2Q^~db+9X#5KOm@UPTpjcRdVajrHk{@@JrBRfLhXnS^kPm$Z=~SuzAgGCObUB9v_9k?~ z3L>K$lGs(SL4n1_3`Fpl(wZ`oEuZ#!+sGg!Cbk4_p)6Q+inh7=a@MHH0)^+KRi8G4 zI+Tq`Uli(ygE$J`9jX2UJ*xnZT39^S4FJ3;sMCo-bYVT92MqsUR9Cox=Y3FtfJTS~ z?%3`IzkkHO4phcQ>qPXpwh=9(`5U-k>kJ7!*gtun1Uq%Sb&x)YePPGT_cH?@;y4i- zcA8b~N809eoTCcVGCqCNz)c$yf~29Nn(T5NqGc71nyn$8TUxoPcFc6)s@5PYCSaU&h0t8?F(Bc?g)Q;Jhi@ zLJPf!99Huerh}x4NS>?=RcycLshlBEEIb7w7+;7}ZkW762oqv1m;gG1SgrTegN2wO z)90(8V`&OvRz0}z5IRz?V-qvXx;t+;P9?h`Lhh@0K{x$3kP$~Q&|>IiU1eNIWsoI% zEgZytrS~pZG%@t5>#~b59wE8w8nw9(?kN1vfS475-}<&vcsuvtuon|iLaJk^X9}BG zsgIg4(~+pg!}j4z>IUx+v;v_miU?s=%jeh|+I{LIifH{4(0{n!`+hl@y>U@IIUiw! zsM`H(JR57UPD!us$787kBM&|~(Z`|h&1jsObvRBVK?k9rioo9IB=m~n;xkFR>Td7v z*WgvYjh#20vdTA={j@Q#^uOR7Rl=6WW#S4Vx0v1%g=z}zFZCSVn6VBo4IA>9Q;>xZ zoqzo?vZxn69&iK>iLuLAO_E3Rf8D%C0GT;rW8B74+j*zZefTx=TeVT$?QIArBSXM2w!^Mh`*Ab4&Yz)QO z1=J}(lIY6~uod3Y8|}~ILj}MzSkUb)z<5fuJ8eW;4Yp#d66S#k1RCT?D-jXoTGlG~ zP!L`Ip|&F6vX=S#`1AUitKT4RcrOdnVX6YwDC6VB;B8+L)>V5)XK^SkJ-&z)Y(@z4 z(9xl^d$WCjVv48+<@6LJNCe8fowq(cxISo%yx$vkl90CAgs8*ga0IZ(_WFD%&p6jTM)yIdR@%py_PqF>A^nzwSfQV3kR|*!| zeUK;=OsLuJ*SNcXjRn^U1}l^Qd*%h&StUr<9f|a=)ICM+&(2H>-@P5-P|A4MT7Ug$ zUXSUio7)V=oH^duqk!g>-8zH#a?`})#A%JZ7-`mK#`p`B*v?(9=m+H z1tUAEt`{kp(>Nf6**GRn6qfkF2bbA|WZTqm*U}%(-G~< zTBEfS*_owpt%ak=O***uRr{1`VZz7Da*?fh{HbNg4xtma29tqbh1MGLr0P$skmG-E z<#;XYd3cYsdvRxN5BYeH^I2S%7{4NDx9U1#P2231@EEkCcozE2;$BxL#U`-2Ht7`q4MIvsYVzptTf@ zW3k&B6WZwPBxowrygc2{`z~<4*_JzCBDn0@L+<-ueuR1zGQB*?%vkCmYpW_*Rd22Igi%8a|w6qf6B4M~*2xbWj*SRoEK?|TvjdjCAiv`b&w*hsJSI7?Mg%JSKiunRA;_TUx%OwV*2aRSUi*9H;ATh1GeL;>w=8IaeU|j|7_hcT~*d8pHak zjvT_k90=vr)>c)4&S&4zdUD@;0h+Oh`-jed?4iL@LIzuYFy^75T5G znwR?(Hxg6E4}g-AxdSOUgGvkkQ0T^y;&E~%+WS!wN%8(qd4Cz??Nj&SD_>2Ka2Li&($pS+2#o2KJX-vs|U}kyCzqdde4>u!Xmk+5< zoq0*M#mtU~Ia@W>&2T2L3IWCP0V+soN%ld7BS!>`F*rqFCkcXTtjXd7b!rWa`va%2 zj+o#eh6Qs_gJTvPyy-V`=V#Av1*RAH3&WbyQMG@D5kC~kBxyq|`bJ00Rs+3SYO2ei4of^bOki*u=>*y#zx!cq%x*IH8FDSccOo zDpPyrCZ>5n)bfvRVOa!6At~s{JB1d0lfW36N8>d734&I(xed^yuwA7n)#c^HNR|Cz zH!&c?=U^~)5wn`5uFv5zwed*Ti1~gj7w<7TF7C6YE%=qO6Fp%LIg81<#{{O)_aO#d zbtwCq`+Pt$3xon7@8tRNxVo*5H2XV{Sw7KCy39NzI-BIZ-cWuWGI$vO$bQ-|#kG1u z#4V&3>oynbP`tzKXMpW2`&~S?@eLEcc|AiLCbNEsNw!AZLx0prpdC-RvLib|N*}4c z;D};mnT%yTGAA1yRNvZAR=*9*!0A_w@1)76(%SW#3T(aa{DwyP+AGg09l-cjd(XB) zzvVD?>yI$Se7=G*J<U6xX8oi&5)+-ACz^Ses@GkDG7TSVRJ zRt773jq2P3!9Rf!Jk8f9Ng6@7cEgdVESVQ*$HdqHZ`b(hXq9PQY3U^uA45IBHCRa@ z`_usgKGk>(SAAtU>eU!Wb4 zLzlNz^_W$5qlUiuNi_SM>pzlVL@D$6dvuzxhCUoruFzrc{)eeg;K4Di8kuNm>D zrj;yBb8JqPsC@G%Oy+-5Nb_+(Wu^#{5#Npk*sY5tj?Bm-q2dmL2#qhU4%5z?^H))Bu zVhB$1ohu8!j@s5~imoP|(zs4cy4B|TRLnh)W_s}2k+EBs?XJfIT@N->rBnXHaJ6_y zCG|RwI9Q)W{8Ml0vx&TaZxT}iFUV=QMfAm2p39(BBr?d)92r z8T5X&3Rfzyej(=?2B&UW$|Ii}ok=Cx%{l|CcN8Eqfzq^V@Hu7rqo;IS;ZInVMRZe0 z5_mQGda^B2XyB4_=@dT7H4k)`9=*A(C%6Du`KvZIeuFkOV(e8SSLX>6NdAU z9%FvsSC8y#j+ArA-Bg;{wp;)&&GjaKb)0NzbOh?$c#cx3U?_SHZc8fi5w)UkJAy1> zWtMG}){)!LoF^N5uvGKJtUI^!$C6u(Ad2~-q0#R(7^M7k-5+Lg$srNotEHYH28S$D zG70f18JBrb&|Goy=STXRt5hcf(t>6T=k@h1ldw zZ1n63Om4ynJxOzuUbyonG+UB~Z^9yO^IG)Bm|N-IA4R8&%g7MR#RDG$t0~s6Rf_@R zSrY5yP5dN)CLIVR_he^WPf5imWxybPG6_ZvoX%Rb(n~zs75swbxnUgIC1~MMmhBte zZ?TNvF`^@?PXLFOgAFtrv}~IB8u;mmM{vhFvju+jFER4rxN@J68V2agx%M$#8<^Z8 zp@z_4b{5hbdW*X@56_E(j5ej_Gx^RD67lVmmGnV@Dz;uY5dvARnoq-Q>O`yTc1fUE zaA%RuC+!CJ>QH@4hi>H!co&1*OPP3axdo9({C217sJwWT($#@1gchwFQ++Id-k0 z5we`i3IfYQ4vzlvWHSMWG}|Yj9RbinTT5WGrc!*BAXY=TeTtLwJx-l?6lP-ETq zgAGu5C3dT!crG*D(dR%hfS5o2?ii|QA~G-tu-YRN~@hQUm#+Dv} zma{%TWRb+6!Z6I^RVSY$i?q{O5WB+39S_zn`21=s_^Lj1rqQiU>Z?SjEU@)tZ?D80 zxF>$g^V`Bs1hECMXmH<+^DCb8d;)Piu+$445Vt6@!4_wUIsV&EL1x{D{FfPtHUn2M zi)TCS@$u&xm5K|!tDO^rCjxtJ`%*uZqYSmwSgQNklpizpUe=w0`m4xo0 zjLd47GeyRnTzu57VvUCex76Fn5YoeE=UM_U!_IoIg)f3Y%cb7nDAVMwz62^`3mKl^ z`nk-ge?vH;p3XG{;D>l!(?_AHstbADnE>iaX31wLu^Fzz6szW=Nrgx+#mp%qsRwXN zVy8`K;OU>4O1jSPpWQ^g~;%D?q1K=*A zmU5v-LUs==#NvwFIGYvH8X^p}gZ(80GzLaNsTMvE3^k5i@;wog0I&w9P(R7^K}&jD zlq*Aa&$?@v1swuq_tCjX8u6_=%Co=N1h?|oNJ;R2XLP9~Bwq4EDHOjPd!JK3|E7Hk zYwux{l?@e`&JJK6(^5|?rUYl_va7&ZGadyg4g*xxP-OT%M-12Hehk`uN;S@A#aUM@CRy4IJpGk$TI)DDspC1)j9=-v9}0=9u3f3e&-Nyi zoCDU1+EfUrPWC*BA-=VFc`^!qj5DZm31kBXd&rdGo-w(PT-UdX1PwMkWqPR8xrct~ z!U-b#J=Y=R@-6jx=6Q`n`$Lcu2%nGfvj(7)x4|i~n9`8q^X@l$QbOpZM%7 z15-*>21fPWadBLZ?rR=PaBY`e#Rvr3nY)v1Mq0WUi$JNNb(LEL)7Bm3e~O!!q~Niq z0tF31KZ~nmWrDT6n<`xM7E@d!r8LRbMMV_$7*LIg^AKc9b0-fh_P>3 zWv@^2mZ14U40aDNCSj?Sm$*SHqsz)KyjB+?VX#WSfDYZdW_rZ^ltTh@&m zt*6}!WBw#wE;_>bcZb=B5%N=F#(FNGWg;)-q7ep_0D%nshu)kJjy7MoIpN z^KBT+sgK$~4GK_9sa>g5utpV;Vd(O=Mf()V(rT#^DD9=@gUlM}b+z28%o>y=qru=TP8jLZHK1b_SH$Lm2A|M$$;_G?n?i~-a9@=8m-^o;bekICicWe$DY`> zF|ln=Y}>XuNyoPB^h|7YtS9Gt&Oh-~{c6AIs{LYrYVW(d>%R82)R$}G>?9V=Ea(8e{i=umE!*j^7)hcx%Ex?^U&N0OVPO1fTt#ZwSSMTCvF6;d>2scN=z7Z`>yP>>Tzz4$l*BwnSt={+Y0Xe&B z`TK`bs*F)?0+mQ5IghL;CRcw5=4omp;j}Q`MxQ((ey#zkgSV6W({E99l^k9B{WEeM zJY5b&Bu4y+HYtZ=i!$QaHgA6mYhs>SLr8Xm^CX@}y+i2Kd!46d@Jg6JL}%EdI$d2d z8BIf<^tDS~T6)qbfmVMuyr#U4XG_JnkCO|jCEqT^ExFP_`TO3HBz5NZt4 z5t=ZnjigAnE=CbU)IIGhIy2`)o_w*=U7Yt?PN7Wo|fGs~c?G zG4#JEew(>Z*7AK)nKR?dNHzCB=T`HssJC;)KL{q0J+E_o9wM(nTTt0^ULcxb;VJ(7 ztF#=9Z~rB($)bZ(x%2pi`Tn=~^GwXt>-D!O!kc($9R9PrN!Gr`6V|mYtFLv3%w2zW zYVFTg*RhCdO8zeL8Gu!@`+q)UUH%J)%L8fJ}vdx>+Rj4d?Ziadkp&C7Y3 zkke|;J@E`+|HfqNhf32~C?j`5u6b*|I_NDb!aNYZfFt?W2PS!;?D4zEg~hp?nB7N|s!lGYYenC^ z5Q;r$jNmCPec8Tjkb{$jhiU)Dv(L>tZTO3^Dh58}AYDJ%s%LSC?d=46>t|6%A0`|< z+atr>;S_XW>q)OQ{8StcN)xb8_H=ZI3y;*Lg&2;Sv~AAz+CW|1ftvpX zGPc@m**$v&D#t-}KV@qcnl>rox95#1d#rsQ`IDO3`wsAEA;EIBC!L9hDjA2bb3F>h z|2|M#O~!L+53|kDgZ+m$kJ?IuYAxarY9MuWr5QVNBf|iDsdmvVr(fAgg`{bK70809 z?ir1sf>JUL-KSQe$1QateL|vFv6dg%^@EvAol!llaD2F9{@sbE~YKeXA%|jZo`eNfz;&!ho*jMo+?? z0!Z4Su=cI7X4)iCuI=F6RR zRa-40eCQPY1}pJEvmC{WOG3C5tgU9!xb8O+3Mr6tzWE&&$a_W+V*Z<-r5EMhEGmBS7H-P8QODM^Oh;Fc00HY-8qVoTEisM=Nz!&KlKUs>$Rr%b;te zz7!2;S_9%QK%oAsutKFfw0&;TcTh-p{&KppKC$nXe1ShDa&~dp^~Q9VpNu^V<#x0S ztB(dEL&@K-pu*Ijm%!b2dtM;4-WOh?d{U3Px>{`x_a9S?6bVW4KI*##c{R#g zjppEt;_r>^!QKAx=$Fmc{lk_|#cshfVdCxWKQbtCP8mkj#>21*Ymr>Lt2Rq~=THN2 zx12PJLJUiPN7$;QSS_o-D&~vN)=H=6R{K>&7D9}>kXrbJYd4UEV+J?$YgITwKi_5R zN+O9VLptD2g@h#uf2f6${aOXI=p|R|j6&BzJu-!bT0!sA<9h$xBYm_Uux%autT{%~ zibRC|^v6P?x|lL*`oV2VJ4V>Dk8)f&{wv#yS`82Hjti%Q^rnzDbi4fPaN~nc04Y1yxPBAE+^+gsT9L`#beXzxv`AN7a;@fnIKy=-mFfhSplLaR z>(zdBDq8CGjI+Kgmw)N0ABzv6**&o*Lki*ySu{sqTlVI}xhJyHdtoZ<*k`d=q^n}^ zo1(d`uBu`{I-Fybof?f%F6P@Q%7igWeCYod994scWa@EALejLIhxf!ovkcUS5K%e+ z@75YnZD^FqHyBx)yS1<0yd^OJ>Rp}tQ3}TF`!G4js8~gd$(P3N;E8L4NdWpu9<(G1bfM5BNx2F3xRUb`8l)&dpdD2BZAE+<75|1l zv39;?9Pk5j1+%oA5NrsU!h}X9aMdKY3yizfV#%I!5b@PtLR|lhw`^%j&kgQ88|PbA<-e{7Zx->(xT+HW7n9J%OKoZ6fNzqww;T%0T^c;la*f!5IvV-Mn<4YlqxpEv4V!PMlfI3eKx1V5P$$BjWMOtaH`-NY=IB2aYQwU>PB-MG zVHAtf?muPxETuFo(tDT&7($B=Ug-fdspII?%PkTk$0QFfEg21$T@1?wrPvd#4HwC3 z``CB>4Yt8rn#hgcZk$h=&U7$kU3{Gf+Wo6;hbcX`tZ`cHx&nDfzQ593J?8uQWqo+K zy|DUlb@zp@k^}1a^UR-czULImNOFqIo>VJEo}o!T=$DRNd@V{&gFIoEkF&Qgeew1b zwH6WVLAKDFXL(A6xw|=BO6qXYYZh17PgsBCy4|kHqzjdXRCDRPS9A_SbC5V)r|INd zgHHiL0=i`Li;`P#EKf+kuqw5_Bc}oj-+>V4rf*f2T{~(hWXyho-Wt*RX04b8HmjMM z@d)OMX~96QRd}9`HClI9xE11)#7R@HUA7bY{*E^ecLb3~ia6zPP#5IG_=4N$- zp~nWLs`>ER_UeSRPIsx0-g@m8gc3Zn7W2l{lDiVY68ujc`Khzm$@%LMYR$x7I?6l{ zcSB>OSWBK-IJvS3N`7W(8ZjOPpP}D_8Dcu`)TU_$-iFQXr*Pz&BNi8t{X^=acN_WY zwbW&sbH){tIIp?Vm{@nkuKS+jN+FVh``A(pR+IwsZ6w~5^8UPkPXheY6R}@^wC@uf z8ERzmbvzs1hWn5HqKPzQCFlAQ-x-H#@@iQMq_h{m*i;;|CVvSD4wsUwz+x9 zZy>CVt*qv!rdn&lAn&mQMfSV>WbA+0P&q}2%16*9D<~q3ori||dpw4jlKLXOMhH4} z@H%RVOjS6a-IH8P_VW*sX-T^dD?pTKH%*JySyQkQ}nz-^zNZay7>egOC z-OH8i2s3ZG-^##b=ToG8-l+ndBR^)Uk40!4>6~Z>DI^RZS4*0ISmcgTKDdrD3MP3$ zU|a&4EMqiT&_s^c{6edW5t>Q&J$C$u!F6}dnL(+|HV`r*Q$4rOL~eCGaCGw~ar`;lfw z>g1EpR2dzHMy*S*bYv;t$}9aGl!WutNKV#> z-2Q82Lp$K*BqH$RhHaquHNK`Nj((vgLPR$SKUnUEs#`*B=Kd1g{vztnGnphkC9chC zk^FXW8Xajx%?MO^w@eAb+v}Ea3LBCHrV+Jucz_1vms&|^1iR5JcN?WdCk+hcFgWe1IpoEXy=H<-6ig zA<}{!BsN{8uZus{)#Bfs#0sv4h?g?;ltvXLtQp+J(yo}okUnxB^eeBt#TegwW?!68 z7n6ZLSn!^l-tl~hUfWVi5SMZV2&>BtZbq)W3b{+5Qq6LRu0JMCEv;rte{xA~kb|vm zrJuftx7Hjj($CBLezhopZB`muU9fmi!U__xo!>nV}-`Kw=ZGw zEnV2Cl3m)Hcz0`^+K8=jKD7MV_Mcdb)U@rnXt(32QY}SpWx$CUSp#TmX!iI7PFIrD zi17|V&HrqDz1k*&9B{0!69cQEJep6o&7(a_N;Rsu#23;8&`CQ}OZFRdLkGEa6vj() zdZ372UR0?~rp}BO8OSIKtGTlye;!=|*#nOO9> zx7qDCePyzvS0%HwL>|D*8gB8wA}h6&1q>aw@%3|YDL;vn8v=V%5x2__auPUZJMK36 zTlKR#%~d$`>v(6YU5jm`5T>h5Ah9fh^?9|TaXy_#0~yKb78(DT?$g_7X#QA4eL&Dwlv@Lses<#7 zAE0wuz0Yb~z04LL`%b)*TcYAO@$?xo^su6I)CRxMfx89jfZMbCRX)`Xxv(I!&0^Rs zOJ7Or6=>BOgukP4^fB$IM^!k}d8T@cPKBNBs1xF!WaSY$<$;!=p>n>2k2+mT-HEOr ztP0Ax`um|=j3r^Z-y$v_tQq6}_Wkb0lhl2lUoW9G!dyVfM5t;!zP)r^rb*4#WzQSI zo(0uVH%X+=O{5Z~1gio?Q>=_0wjADh9aov5WKWABklNEHxh|-H3u6FN((P^Lp7LQd zS>Z)o>?Y^e?aKrtC~S7TL#8u)L@15bY&wVr@!C`d>NwNGD`e zW~2;(VE2AR`_o-=%#(_6KD_lt5i)StTfiU0vaI>k%q$(oXdW?KTHjhqIu~7JP)sC0 zcm1j?L3*(=rZ0|3n)Ba@y@&g(vOZw!VL4Z1p5CF%!-XH;%2}W%g&|DO$;Ig65jm^821wVyI#( zu4so{s&C}ihfeRdwjWu&tj{nvZ;&NDyZqc&1im>IOH%_Fz4UD*J-@}kkD=7o&g_#O zA>sKPWJlAgaABIDF7Zhxy4%0@2QGvUD$5a zC&$WMD)yn;OK5pgmfbL77D)APgvC-I*51?#d2ep+#0MxBnRW>R9iHO{n3B3xhR4ao zFhBtv>yOJYgm$Xt!_ov{+4) zy)U_oIqjY&SWBfxfR)tmZ1k-;2HzAZB^5cfzFj>23h|WNouxL=CW(R@B_-mlPc!!< z#k&(#&Uhl7=?A@%7 z%`1a*{9rq+@mY?J&15~})Od)w;Sea4^(J-|%NRDv+>K<3sjUzLVT5Ll4Ja+Sb6yP4 zrbS+L(;>L4#SGGV=fl>Pmlj37yNVHU#U$o1-(;Z3*HSOW(12TfZduXzvvm@9{$*Jy zDFqS{v(#D-i=u3x3uwvx^@YIo zWU3`RKMh~>y2+olxrWAybX&2{f-pIbD8I#kbpxVj$v8L0MpjN%7@Cb!Rbs#*q5d*S zPxpHo3pW0q+7xHv>_$b6o=@XX91ZT7Ib>*NMw-7SWIH~~`|M$|KrY4)>-(eXn$&$1 z+ZD3Kme7R=vZflJrRl341TRBaReOhOvM^~)Q!TUPr;-Gl=a%>&IA4Kcv zmd4~Pg70c}KfA8>b)N)xR9}!W^VW_wQfnI0el`uNC&RJ!y?-%3C&UKt>29B%^^>_h3bACU)lDbQ3VO;xxjb}S%`)_~^xnKcLv!ou& zdO1!ZvDT92Y~4An*8;k6whWmPJgggd0Kw8yhGX0b!j^_4Mr6S{#@4|&Gaua2Ju8iJ zg$om3)8j|)6@hC`(b4$F*4~LcjOQu$z)N-#I$5v=_vsjBh-E{U$5<41dtqa&A-Il? zM?xdFDEw8Sbb#3ebnK6j1N}7f&Z&0yq306&?j?|fbh9AkVOF+iy36G~SA_-*V-8Th z_~^mZ1NUUT?ta)|S7mDh;jw$D)NK0|_r4HVq^NFvU7|EPTTiiUI|%p?nd4qG3r@P~ zab$SPbzMnBR(-0>*pkRK&H5@p%YPtHt8x2qpDbf{a2Rjn1N$^-0L zL|QNY&B@KII43A@m)yYu5~!ZyrcE*LZMX~$NFsZ61p+@L5OwFcXSu?lTwGnnVjPeL z*egD;azq|K?8Od0H!qUV>e8R0^TGemDgAY)HH!>BDxk|rY_f-iu-4-GqjWqgLe!6^ z()q||bpo}eh$qbVbJJv%e%KHWI4iP8RJv=#MxF;|AZxgkq8^LX9ud5`#*zxZeJG_( zxfuAp6P*CT{wl})y%|HX1&joWY_LJP8CEI4cZoSBq(+%awcH}TLuw$B8Nq%AxK@hr zuGY!o%vgMf-*u5UtfVu`gi1$f40%*zzUXS#bHssrExi5~-6d&B*>kZKE+Z zV9}&SJ`Ihj^Edtuk+WXx;-bQbW%pzCN46BL9ah-tO z{ytuZZ0_<}OtaoL9`q<9c9)?7c-_hXwK5Quwbst&vEKHNbEGLGcoOYNVDU$*=}unZ z<+fQSBjK|}t*_h0+dV%?#F3q08@KBdEwB9?>04}uJaoz_OK292JHK6zuS0{~+tTs* zArjTR14ti4^IjzxedJ5OH{~8V=PNw{6%JOlSL7Pcf~Jz;aOMt~v+4$*c!dT>$w6}V)s2@w z|9nPfj21&wdDXR|5bFL~i%pa&;;(2Q9;bxX;i%l!>)NmnCG9!vk@IUi)ZO?qi19X@ zjl52c3>iGbuJrsOT9sUPNVcW5w6WszzF%;3F%e-E|R zpp()1gEG|a??F+8`@3l6-n=L4Ev}giFB2AT0AtnuxAGVC#i0?v{s-&AjNj zbD3?Ud_@ZvtLo)9W!tY(B)Iu?UT(eX0sg1LiLi0Sw_#ar-YoQwPZ8okV!Ba zoFzj@|11CcX2xU2YjFPRO3V@VJ@Ru!;s0ad4O1lypZgqS zVTy1@&R+}Sqy9_uUl6g+6$}1vK1ZYy`Tw92ME*-Z`ah!of{=c$sQ5oyFS+YiCAR{-1HsT-xzjZ5Bk=F{!ZOt<%LK92z+fD(aV zK7-M3c3u+i2vGhXQE+HP`!D293h?iHX5z@@USoNON-N8A zmZe^tGi(@+AE77e(qD)TODf&TAL(+VbUqcnR}>*t{yH1V5#SwZ%2uLp^9#Q`a#a5* zpc@8$zP@H)^|UbGXg&)t`P>!9p9gJ6ko+PadSnRO{1kn~c$u<)$|Yodb_coKWKW)N z+ZOkkeE0_yb01_zX*?V(O9t1g7!~MCCz~(?|OQR3EM*%eF`Bs+B1_mA9}-#IUf)9R&qlaWSgvO*{$`GWO|<_{P$dx zO85`zctRR4$dab_)`ke6$yXe z$x0HYyvl39dh7_J#N zvcq5{uA8)>OJh`iC1?2fgQ_g7Nw2ILbNLs!PXJLFtw>}RsJ$uU*vR1Nw|ku``dJ~PJJQ&x zDFF?j2iXx@Mec12Vf^!3BeDoF%{qCBNwUL(UpF;LY%Mwqi7 z2DOyBd;!{HJHZcoRr(sa3$R~RmVX2BYq${lWRgx4w4`OEU>|T&=_&kg%ZrfO5e^37o#>i64 zpZ=v8Q%jq)Zs0q(+B_yU*R<($^K#L)+37;v)7~qki3i_Lb-L*RzMaGP{{#zp zwnEgT_W`O+EI%S6;J*$tV=*(L@BaB)`FqTI+*f5>)dgYk z3zXj@i(kg>Lv=#%b9n9-zRcvS^rU)}Z<_)1^8F*(!4mRcJ10I+J#vDlTHyBAj>&Td;-54mRW01 zh!0oZU}HSJLOFxq-;_G;jjOC@J^O>uj2|dlf$~~Iy$(^Y2ulb2R9}`nrVNjG_9>`_ zU7c2Xa6{9YZOmJ@ttYMJqdlECj}Qk&)-gG_z>41Xy^^0UtIA8z5pdW(i5}Y#%<$36 zQRx9e?qyZhyyMHzhxOLZHG?Re>I!UW`2B;oenD0$nUWq`BTthc=v>@`@>s`f#B)FX zmy(0u2K}99k=@^`5VgbU{odYcrYdPxGBt9p>`6A&9%Cy0A!JwrFyexHay$HGJbz!+ zFut%|r=sht{UhQT5~F!&2bu-W`63mi=Y%Id&STE~-s=-oI6?>385WXn+aiUZ=9OC= z_!v#a$oJDkPawulSWdEvO(vT?k-`)5DtKoQU%63%%(X%^em-GlZo|nV^@5!jf-6lK zWp@29-Nf*cb%i=)9^ToN=pJbtyXdrKI!c|re7sqm7ZNiMzEK&Hf6-6wQG_fc#V(Z= zO>eG)0*9sYB3-(=-iZY&rE^2S`FdB9U$}@RDYdE#AO1)9@%x{;h6_rKZ?3`V7c~y9 zaQy7xj$-V$0W=1NKBmUXUJ}&c*G=pO zpMw1%G#R}Fu3031uEx!WY4eEAEPgo`H!%g0PHI1(Mu(jUtIZ1L0VS0o4924^y#`Yv z6RndM*SHiHK<xP2krjvF`Fz5_ZMWpg@nOHkg$XolCS6i77Hke1fN+rKNoiUgl!rU^FB?T+PM_m z3%Rr*l`aFGJ!R-i-np8OG~0bQGNUEK8*!vJEr3yh9AJl*_z_l+dTMzuJ(F#rIIMdR z92d#aqcW_@fF;^5A%;9~JWb%Wu*Z@8DLHjOJD_qQpy=^A1e@4M|0pTG-gYRA%R2B+ z^Ps+TkC4g6dA4W&QAC$Va3G;xA?9VYE4Bt~tM!2zs-brc5z&#s=C;9(xwbnP9Q}Ry zjV!D+I%S3URqocC(#Z;L-iRi8JB24 z8EviMe_|!7Cn`z>S6qHXAU=z27;g6+bu5|KQdF%GF<|nMjLd5|!cltn_F?u=o>RVk zTwqZ0zNm0Ie4gM&E%7y$&*WI(d0- z-dSvdh4>Dn1Ho`HG?An)7+pd;ar==NkmKruEmbY$F#s}$UG|y>@4u-X&KXq%1CGu2 zyhsgaCyAlgEChG_RMko#J#fpi6c-){*SWv8b8hX%WADqEAY}!7#wA6rixF|wA5qD- zz^;=_Z=MwPrC&s!fjUc{w??5yd>CZ(%k3gp{|+NwLPDkq-%WRCoywH#fxt#1kTyA) zjgjISB6^LkzZlX3->Z8d*UMklK}i-2)ce+HHxA}mYWn?n4+hMC`GbX0$QAJDQ}k~X zWJ*`xESQO<{c$%-muE3_Qi%dcKOXV1aj81o1tNkPT$Ikm#au&ilprsaW$nG=5;MvA zAx{jibiKqN9{utVr=I2wGUq)talW9zh%=g2nlOV$6-Io6EY1F7$+&&QCaKBF=eJpUre$jAYVkwM717sG1}b!eTVN9M;d{6z?$N^z~G}p>0p6I zMQ)X9XRfx)L>GCP0N+sG$1QS=WDUCJv^oDK&UjS}$BMs_X`Gz37zrS;t|&#cW!{~} zo9XiWUANohm*Z!ca4$D-!r+RoQ8z_h0$m7msEKaCagtC!pn0~lW72%)j(J_=CW}7x z5Y^`iV+r9o9TDbRjWv$*?X(jD!N3-nP6AHw0~5v3LJfam|1#3-_vry&auM;T-|5<9 z>a#}r7BY2d9er{SY)TETB?5PKI8q0UgZDxzqHFY1yqxvo$>ROYa`Jnb1vkY8+MrCKNlwxPJ z);FWn3@(QPDQC_?fAjN9!|~eOxE)DSB1~?S@6+NFOzzatcC$3auc2IfM5sz{J^51! zRqfMLF9?d63)aMp{9KWF0KX}mh1W9p(zK(31F$wc{Wc>(y)lnRXb&F52VQx#)ctJm? zg}9+Sc>~AN`R8WOX+zbi|LN?$t@<@Sy%$~|P$fuB8U9qyk(9Aq5mXH{7O>!h#zTS} z?7rO{Z9qOhrz!jGkgmkx@Yxvl17QIv4!PZHH-FT=8D08=$|HYqMwZZ~HKJ|Z;P$s{ zjO>B_7X@vhW{nr?`tLB6-_)W?WZdjRTV;NveUG3_NWQ6ZpNO~c426Vdcn*!4D7PL> zW;~Ck!(C|bsZB(8RX3BIS8t0G$c4Hy znE5&NS~yQ^sWj_Fo((1`e+re(@GsLXD&@2L*qGL8t;HM!ppSFJmmEWVL^WY!AYZsY zN=3sQImxAYhdh*WA1=jG&E#alFQ;q~xSTyiP7p#_{tzFS%7epC>-+W|?4Jax0pb)pWjoYW(dNM%bTRQf_AQ z5wj@g{q3?92M$|FNR)BdXy3Ocs_FGN2pkpc(YJ2i6DX}|Jw&_k4&*e7tLV{qWt!;= zzV^+hO)Gy17#;%uDDeA}X=zs0EVH!|V-Y<$%y+pylr#l~eh@`uyM?gg+SmLr_&{b! zPKk9N#uhhDWV%cUIHmrg?V9G{=UE^abMALL#2t05zr#TlD>!Qex-S+PY zu6UdBGA!Rd(5bW>8H4}mau{`qCwaF#Q9E!or(rmb^9#RTobhDa;vC8tfMc_yL17`e zxc2Z<5gAnUhzla|I@w9!n6BRD7<}FBoT6l^j4(1bP^s-2_t_2pfHfp9!}d_TBgiWF z{QXR*gu3*>F+xUU#2*ebj-?+8r}A{x($C9&Uk(gvk8I0S7q&sM=uuRn39( zTzl0w(X(!R)8c9iR?R^E?KCp3$FUX}l6Lsq62lRXXp%*Y-vFm#N+;YI_KZEM z+g&P-P0O*{b8UT(%l@GohW%D#!s+b%nfx4GWF@YV17P=FvBxnSH{T=@^Qwy972$)K zyU`OV%Xpqft$|3paJo@3C!iH~E4VTLtE%-JpPfsa)vtm%#Hf%zWs|(=l)0Uj#P`F4 zH^TN=7EpJae7VofzRIw;^aIwxRZ4_abxq#s!LZ(Z_ICks8iB@$vI@WihOgi2 zXOBIG=IcQ+D;3nX$df#rj2IKzPk!2F4(9U;$Oyhha**$5#wMCp(BJ%_NUOIXI`ol{ z@ea*Mtbn=C?2bxZVKofed879S$)j?MDH7Ut=#cD@^gu6CA5%~*vyPM*Kp8Kl-kxix z%KdK7sMX)#%j(+#x=CiHgZJo-QPK539c-!7#HSeb>!vk(`oEayt7$KBX zN;UdE1}KW`6%xan2kFwgOyzGPM;y9}CtN}`4jwy>^U;=1`P$pG$8Ax5Wq*}}S7Dk~ zCmr$TQa2?tn%)3BDy>=0kBp}%Vgp#>28OW>(Q8A7;f0?^(?t7Jcz>0y7DblHpcR}z zjSBr)7JixBdi1w09s0y0&+I&xgC6To1LO>c=bR{PIk|+k9N||dlLqn2lq8`mME(h7Tom2L&d_ru0a`^P39V0EBb zfA!X~f)8xJau7pCQV9Cu!cMR3*bd2!PemT$kZH)(JSCfCWfvClX*cm{vfA09B(8xG zd5zZj<6jwFCGGgVvuAsQj@2Ci%J|@$KC5XH!2g#?jKzR0oOU<3WfqnS4zcjUe(ov8QU9Nr>RG;h3F-6 z7ts?_IBCdnOt2S?X80gELB zkI5Q2sEemYaK*`-a!+gz5C9)OnUjJF4s{OykCG)Xmj86C=__3TSC21|Sq)18MaEd8YzfOn#>hx76-w z=}j73R)B2-7XldBST89P4!A)h?2(Y9i};-9Cz*Hug~A|tyFS99z|ok=_c;e zM;|U`owV?(Siw9CYn~AMC1pxM&*}XCBr+@Hi$uS%yrMfHVD2Ip%)e(+uvj234@fXq zjfAUuWT=#Rui;Edp~NdZUE-8XcdEu@D0Prak6q=8{+spu4b;*szZ!!4OW6ht&_9uW z-p-3y^NUY1sE{Yo>k@-mnt!MdrVJlLuB~#)!5*?Hg7^vOQ|;@9rT9ID|Fo^Q*rM|L zMw6U?z4CVjd0+`r_#S!oWey0962X8$8HS!{42EQG#&VGy%tRDJ_TYMcc5$GCt$JkE zVL8k1uwe?UC=EtL@gfdIhGcP%@6tSV30|@aCGNAwNuU!ZL^f{BiKqptkg!5fR&MA@ z(mzqo_$x<>zz=BY*b~GkQfL_Bq#+`>pYJCGnh>KVCCkwB{JB0qkWvDiCtoY?JrtT} zKsB~~r+hV!oQ6aH%B94%X7Wp(i>)?a55|?B>PJ^JLs3*Tb|wBPY7yZ8y~^}T z9dKt7TW7i43Env<>)O!9)mk~fp1YiUe)g%iN`@IpancXq{>F~n;;neoCiG$TM>5tz zic~e`LiukRhUiZX@{L;-KN^&Pt6O^4scK#Mk?{&EA?3wbK0#bbC7V_&sS8ri(cDO+ zxVy<;9vs$+7g0Glb&*S2a##?kul*f0Jz!p;89n$m+ywGwUbRAwsq{$V z$hK>*k@#E1n?{)_^V!vWpB_}()d6G_QsTNu0R~o(M$L@Qy4e#-B^Cbw9=Dyq5|OXc zDI16`_hVZ)3H0BRsK_5L$2u6>8V8d-9kN<)EVic~)8d?DQ^V<1sJ|@EJY@9&6k@+T z$0;Bj#?(^nIhjH%EsTm;AE7gC4dvFvhqHJ4{-VkEyHf76 z`3ucKkuB2M?;HFab)+3yT+OI^PytL&qppwFOS6lU(}op18eG(%m2Y6=GaMjx7AI(! zFNVP8mF#C!&%7}~jc*vbxAIqCCKZOt5W3e$!K@mrAst;jF=XBlV(KV34>EgLQ|fuj z3cIqZWc^ml3>1N`#ri10IUJIH*h1tvGzN~kFcY|Wt&llaO+AR81~&Sjw{$~QA|9oG z`b{50ylky=INsfxR2XAdjC}J0Uo~xHrFpvGeyysE_Ja;4bL4p~0`_Ro*S5QP6Na>; zm2sw7C-P>I%-=t-K&-3hE+HyeyaDcC5QIaMDCms*Q!<#?aqmGw8PPrrpn$?_#Y{f7 z_=SRfp}=n%kNQg@TLuph$mx7;VRH}SYe6zvG96Lxfw(jgNDWYGb0`f2X z`g^C6S;cBvIfhOWnncguBI952n+Ij`3a?7Et#v(dzJFIPT z|BW+bhp0NTCNO+MN&x{zB=oGx)J2gwSx`YfdMA6q8X$Lr42`is(YlfZTp@YUiU9n~ z5c;CO9`wfMxT5z3hfv&=#|2e5;*#Ayro!yPIYA2^sE1<6YkM`bShRfS&Dzj@C z(PJPmvUgf9IHk)_(ue_TZ#==hBA3#6Br-?eA)lG72Wxq#^Mh?Fb2`dwt#OC)YK@iX zyPrV1ZS2!rff6CeT`6s#o=19y85cJG`~r8|TP+eF%MyW`ZLmMd-v4nDVss7#J0o#A zpfEbgiLz->d|+Chd%l;O@Sc2l4c=f5(^Lpb3lGt!b;;mf5Nn|imMQ%2R$K=93FisB z{+wdJzay?%K-w6m6#B^$ys$d(Mz4*yt9e(9}7x0y5)jb$5f!sz#_^&Zix*ehyRhXeF_GwrmzjU;l93y<=hhzw_ z$n`Dsj54ja%CD#+{>gX`!$;9SR5XQkDOHVAvl3}}EKgzhy6K#iJzHgKwuNoVpGnQj z=vX$#k$MRZ$3~vXq>@c~88zpdXClwUm0SK6RZpgwT^-v*C&R2|d5^&?c$g)-U4zd= zRw{GgR|D*a7t-HVk;5@0=BehCgL0@*9lB#k75SZlhDo)1imP$bv@_W%Ed`DH3GRIL z>q-1y1H)5?`hj6Kp%r}nYQIjqNXvmDBr%(f`6eKld$W);!l1znqQ9WAKa z3J8O$Hl&8xi5+6ybDPHqee}W0T8t&8W+I#O~NRV3G7Ruv~p=H8O!`W_F;@pBU zn|Fg&$|~Gb9We)&ZXEa_c`vLhs9UY<$pT3-5XU=jz!Qq|@klM>)(vWoX1LqM zw@#Uzfae3kxi7Xi=QoPPKu|#Bk0nw3#ggVBxF?S_k9%t;aKuUcq)sFp<#D@8NW-l&`UFG6jp@RP;{B{{VlX1Ot zFUPkP&FD-ulEUka4>N5lbQ}LBOLJMe#W;;*Zb%gw&vDF(b4k|%559dt5D%0={;pL%GW;FT*a=6%84xJ=El zKJyL4vx>Z-x+q8NI09$RL8M($gjH(Pz6LjNSFinR`Wf`)7P7u?h3glb4zg-%q>Iz9 zf?z*y$_KmGHC3cH&nCDanCC z+y03|jZp`nHOnRMmqg5jm05Yt_6X}ndWO91$txmeTF5~`;5wn9-ldTRN^$$kjlE_v z3MjMeUPIFbeMZ1t9poJ(f}f!tj1o2)XYMfNxeTOL{)QP!8XDP^QJeT6zEHvH87^H8 zbynIlZ7%5IxX3EMnRQg@9UrezzH0p*Pp2Iy*f^$mroacmE9ZI$U0ucMVXi1j@4wbe zYnFD~6@=QIfs+8Z%*dE&)wA{6M;?)Ux~0G*fT^AXd^CDtpxOqfb>oWgDfkSCW9Rt$ zlFq={=}`*-Pv$)06oyRjq|$%?8Q|A(f@H5%%i$dBHglB8~5ee(p^fvEM=g; zu1y@z+#0YP6a=!%kx+Hs4~57+j;b(LxJ5`glg-gM(b(9|64rEdY#URyf#(#VngB4Z z5Ia%Swjt6X_Tn2KX2nK{~qp%0~w|zNqc0~briccRLCUg5oyxGt#rhR z<4#pdTO>A%nNT>7bo3}8&h3OP`ZOV-PZblx#qJ*IYGQMqu}yk5XiHFE{}cpvWzf5W zK>C=T7j634=*i~k^BV{H*W>adLFN$N?(^vvA8Y%9n~be`=3}81gDv7zPPtCv6zP{! z@8_zD#Y36&q`mWmYw&&H&iajoN=^^i%jZpEByvEB6iKVpO{zM1BnQA-&+8me`Ds^c z@uH!!ou6AF>ej?zcr7~rj*$8j_h!+Y!)30&C>>Z4|3tEcb~3>+j{wFgfVdS05y>$3@(%+fwL zv}y4_n4XicE6{OFz|ZrhyDmJDu4?3zZ4`5!4@EhAmIzo~YI%mMtU)=OLisyW3}wyo zB1IRApH~d^?iMO!k&C*rI$PGF>tLv9d&#Ks>ZJ}+&!E^HM8dF_k2vSRkmRqXm)%yJ zC!I?^zj#7XxWAumZ?7M{X!xwH^?PZCs+@TaJz%Q@7bvKDD#l}`9! z4yXYPi29ZvJ3mR9@CuAdDA`m=vv5jv=BhTD_PSn*e8zv?<-~7lr*sv8{3{v6oGr6C zTaQ^_ct4hs{{6s&jC_f;4#S^>+|(L*Om2A?A<6f+XVQO%9GM$O)Q+2M*d-Q7dn59Xp~N+FTv4#g)EL? zK}XdXvV4Lei;|{w_ipBxv_z>M#s?K)=yO!Z( zVqH(w=1M}1nnEYLG5hG=gTLfq?G(usOMEDh1dd@-*W^0z+KP6Qi(+E@w+OGUvNLjD zh)^UWS-+9%q`}IfW8n3XlfIrnW~rECJ&uKIoi?d^qZAQW-In{ZQ*6Kn%mCMw zyJ(W^{Yk!+$;S1wtk97OWI1?}Yx2vOUID`M9*@t^*DmnG3PJ;T9TrFAk=|yzyKAvm z8gKFrq+}^fiIMZtl=wlHW_dk1OhAAVRr>0kck?0Un zrDIsiF?=oz_1OoDVn6N_TPBZzHwP6Zw!xm;N&8i?C6be^i5gj)mawsFv+fkU3*p(# z$|8+UT>)VT&x|2Oz*aYW?|Y6)G0ed)=6P%i2?Mj7IO~ykh~ux_X;@U_y*9O!ZFEWl zEQ$Tt*O!?Z#WvSK^)lXw_u5#^+AR(?Qvkyog{aLSVVG$azz$Q^Eb}S%li&YV_G?ldKwRKos3yh&j=GdGuam&~6I-chJ%V?j$_LSbwDbFM2V5H!3%nCjX!T&OY) z96bvTH0-SURJ2!zfLR#PDbR9MD;yf?MF-FnHt_PERJdC46TSRAzIWm8!wf4ib;Q1u zVGdm7XAGEpIp*PFc}yd)=Fl01Uh&Q-Z_}b&+)!=o=J8fZ6%PLs96smtLkD0*dBOPAQVlwgld8PRAaaB|EF^>S2sq{+dh#2dJjn^xR!?vgH7s;DlPfmz^sS>9gE@Vmm0 zMP1&dKjeyT#{;Z@A#;beUz}&EI%SI(@|Fp26ohlwsECgM$Q$vdpGa6tcPoTttYV#a zOJB24+I673yM2$Sxx5{mr0OnI(S(lIgFI%~M9lYzzD7=OJHw5e&`F@1*}<|9lvw#^ zqKmXtk(XHOv_EvQXcITDY$ClF)W!kxY8}f}TSW}jd0hy+0#Pw>UfC8fl3Qdup}il9 za`?+*0OmIv4ZnaQq}4GiR?RI*uKGOlsY7Rbw$L`z507y zljS2&|Bt=%fVZQl{`lMwkS-tyQXdcnDS4MJolvD0Dbh;_5Df{0&`TZ&QUygoX&O3$ z2-5sZZ&E}A>C&Ysz1K+g|NEZ3XLj%Id*S5)0c7^`$>zS@otZOdPMb4l&V=@L|CR4qN1ZW1=4*QQ)Ge70SKR&xu zlMObfdzbIf!^R=~RrE*w`)LE<%*_MUWdr_X7-LxBCMJ;{incfv`ETJ>rzk(=-fr5zU*U zh0F}NP1$N>^6))m)EqW4l7;Ipa&YrSb_G7RclEM?7jWe*wq&lCQ}4IOs_!rAb<|%Xp&Y%>(aeYN1Xdnta2XRD z7eyL$g}-ZL0^1;vqHIkyks{A*2-`U6>33Me?RgTc1Y68^d;Tv@83x>{X9wO^kF*x2ZMkzUYWX)dbKoD3YLs|vW&+QIf1)k)DkkKL%*e0tsHgBpgn`1%F3o?aEJ?RoWj)la{&>4bpzB>ou6D4wft@&@%I zzB-3jCzPMWSHGl7>-E<=zerO)*#Q4*%CePmf}>X(gS#5{K*Am&iI2>#Uou`2;M$}j z4NKG=69i+Tqa&h-bnZNRl;w6dUfwez%Gu#GC!5)&i5hF5j)+Vn#dKVhyb?;#?kYtm zZMlI->}Uk8EnqUjAZ- zLv?rMBr2*4Pm4erq!7d+V!TY(J;T`lMg$T^S#R=@R_fl-nKF2f=80V&sY27{{vbqc zewhos<;6caHF(}RQU@3qHw#gDGsyV)4&S1ApMW>QE-LiNNdE|Yd`Ai<@UHSsK)^(TB8aZ{SK@@x;U|E})C{X=Ehn}JMIgW% z=O=}1`M!9?QYKR1B=6TM5gXnw$^0A}PiiQ`%mCkS@U#|42HFgDPYCWzE01{sOlARh z2xcR;qAmZmykIWodiK@0j z%G~lIFLf;hvk4zwaoieBlA`=wh_X>W9}>0QIz-*$7+>3`?fZk?GxWZ3)PXA)f5jQ% zG8jvz!LwiOsl9lJw2vuRcN$Su;qRH=bLlNPQ#gDhq2PHx%7xo0MO7Yz(1?2=j;y zRgv3=*TJhlg=+~TA($MQXQR0Y!0iAr5)X*!1Ixb+H_ikd?ym}S*3^?6DE+ud|3ZIm zei6(t*#P}D4QgZ4o)A ze85nijveLw5;k%v`Zb1f9>5?y=ldVM*?G2uAxC{z_-naeO4XEKvTa6(CAl%L1e6$x zQ$UCr!d8e`+<-X}68xrG*1U4KWsn=?vo<0PIGbR!C9%O3%#KQv06&CNPKJ}%yvEAo z9IB^~06X*EF~5i_UuFAIpbNz4Va8jCI1D)QUpMeRWIG?RzJ>n&(bXtx0olEL#g5E1 zrd+Xky#qf09DM_9DZi+N;`~aKXG-cLl+e#nX*OZDW-`{y+Y$< z3UTeR5*hPCr+Be&1Uc+sBWPm-&`YG)V{Z&=zOP}(6(Y6T<^5W~f&UONMq@FNet{69 z1>Npd5`ZlG7R7MQ5_PY?b8MKFTmTz@1U4*>@vXIRBKO;Q#YSHC!~9mAG!i`uOK&ff zvx_f%nlr2wwHs@`L{?Q5v0l#p(G<4W3hXAnwgq^FTy|MWzZugi<$>}8fDOO?BoggG zW!oV!{$2h*dQ#g4J5O;LwV#@4D1Dc<;2jJ`7^ zh36<==5}I3zSwmD1+>y-dk{=7Pv)0Vah1PfO)A@s3b2+jzxQ$4cmo;q zUA<|rB*EUcE2M6W05T9Y4w@%jJR1#&FfozR08!KzSggjg?ssfF z!~jo?1W?pR=jIACG6w}!D5|`rQ;2ED+KVdtak~5sgu^St*Rz9W{^2;Z#$N$Z#8a>x zVok^4A`rkz*pD1d!*x?a?4s_kH8 z?|(t0Q|}1ZDJ=dr`h!UAl{P2FH`Fgjv=!Bw{lZ?ukMUh?TT@6a1IIM!&ptS1Me+&x$Xv!FA zf1xkz%ME)23l>o+O%uZO`qwyIIOLCb*}x1%rzp8xSA6^rb=lZqA*yBpG|o6;)W?%( zn$@^@HsiQ%z7pu20`#&?hQ*BGj@}!f-uLJ?vuue51Zqh0AhDy6v0kDUiRukI7M48b+pRbopN5~#A2@| zgLcTx^2Fk^HrcIYPbUROH*>?HBzWRHuW&53=JMR|Mw5)Z}&0|c2MsLy6Mf5$SgR&Tnk%LMFVLX8R7MO7*X$Y~?aZmfXaFu%zOf3&0b zMZoCd<~AEU=k1c^kcE|0Nle@{ty8ZerSmNVrX7gy=@jc3^}fY)X+<#9z%@mdyQItzJ-AjfOQ zIG7Efkln7vOq42LHcVp>PcKo*FFArn8xxJ9z%exF#x1hfsP`BOjG{qwC06AHJyY)+ zj>CiK?Zwmz<-WYcnw`IQ{@NJYx)b8!tTqBd=GJ${Ujsp}EYl#>mY3Suh|u933eDg3 z`tnUufxHe$aLEuhf9Gh-?khjJWkZN;s8Srdy1_{J6GQT~|^r4~5FpM2#*IaaSs!@jRy;UTD- zwE*&`&#s{~%#Va{_kzux^;UD%+m*JqLvKGkdWTL923UY9xE@n8*(i-e1Ggf({1$M` zk7jf%+YRxXFaCFx<{?6qfpIuvdI&lP=O-~{F@kG->ardZSBWo$`s~3DK&X|s(SZ;W zB7%OZ{+m^K80b3|CUXd5I-31K-$)_AHii&zHJ17AoM>k(n!zOLCTR;J(LVueJF6U*H z%`O7jP}Fr-PEqK}tLW`_P>7v>nB4*zS+BG%>+{y-;>)t@@a!6BdPPt|w6nQ`y~}?Q z0F4_#3DH|n?=t>sG!pw=m1?j>hP|ARyNtRFI{P}71=@iPqB^-J2Se+TN>Ra$t^DL^ zRV+>QT6x>fCfjn3b51EfAhd&}0x)CZt9VuZWdtw?F#e5<@=J-UavR`Ac=Z(35p96z zb=(Dbti0l}ZHxV)4#r8qeGt4%-f!ly=Q+bF6>L}IR2uX}$${RlJ5(T(uVr*N=E_y< zB$T)@Yrk?%c^G0liBTqGm5o-n+lg!pD_b)Q2Y?9|De8W%^?pjtdjT&8IWoIhN&PZ9d>su+Kwn(E z6ZPfJnFBz?d@5v{Ua^Q)Ya=#`Yj$j0>2jX$!(-?#QWePy)A?-yZ;HcS$=Rm7j@nl- z;+&yI*oF^Hx4Xh=`ha+V=9&N8u6eL1%K9ZGq|kY=icAnh1R1CN4)pFrtzK$IFSQkzT^=w==o)fm!qeV%r zXD;@n9lG%ci4-%;o}{fUsDlVDLVq@pf-w@Eo4O9Ct-oFRY$qk>g}b??RNP?W7z7nF zsmI=3iOER*>RsVE|3$_`j``81;Qab75ZF(`r~h^CfaY>e0G3|dUg4>bzHA<%kBw{; zh$#;zIDTmLtt|}lepiTt5QV1SI8BaNtOs3GDxH%S7ZBS77bse{`HDWZ3Lj*s{zJdZ zqinRXFg4%VUpH(3_ek3u_9KM#y4?KAyW)Sf{Xd>pj|+^+2I%WMqOs)WfoLNqwxuM4 zavU-(BY0ADl+OYV7Tk^DkT3yjEK5La*7%OPXJb%+OesjZP3AHi%Gtoio7`M(9O{E& zMeXLhKeYIgUpkniHD2tWVgss&~cDyL6!Ssb=65tf8 zaet70GXOIvL~_R^b<+AZP8{3%kgwySiDo4sTMLx>qKPWVwfTkAtn9dj6je1jo4>=W zOXvzQOC-;yT`CUmfC$B)jm}u^jZ37Gy|KIZ*;DFp7GdQq>_=DiO94yB}t4c7Z>`%J17={ z{#bnCFcDL}cSpIw;vHrWOD(3P6j?nwyG0Gwms$p(vZtXfqN>>o<8&P!gN-}6@tA(8 z_aAHaJO(>FT{e5R5_(N6+r~z@%?kedvumP@Vcnr{iZ8a3Fvt2e6$AV$hI*G9H*SvF zWZmW&k^t7PJHVmhj0&?yu7vzric+s4+1Qdx4&QHzvhCf{AnHbnvgM6U<->hY{;JMr zF9{T-`JSsu$Y5N^_<*vn@(M#5*?XO{Lx09&CmM7OyRcW71H;|fA)d@zykaL8+r#Em zmrcNaTR03q1wzuc;l!BNmG3J;R9ID{7l#s>w^C~g_{Ng(7i)-E=Z=hE;A~HYm{|N{ zI%5R9u;9qZk!*Gpi(CZAnV0u|M8df} zcpYODoX%xe3JY#EHM%&2`#eLlnyGt6HJ1bcAv>je>j@t1-S zv~0s;7kV1NTL&c5NInEB8TsSnX=hYN3frEX#idP6dmV}kEubmo{^-OSC$OWn$6 z9P=Y8cL72IUGY_-E3FY>MLQ*79L~FAGBUNxsK3HL+9jb>5UmtU`Zg!*M?j`ra5`C3 zE-|*`5M^qFy%b%(4=`NAUg&g~_lY}F4e9n%$NXLJ*AO7YWr|}w=2~0~COQ=yl1%BN zOBZM!?b4>GkZqpiBDg^iR@lL@At-W3P*I9VmY16Ebm~CRoQbvovpPMpE3Wul<`6E- zk7@KT^SH0=eY}2-(!^A?gCp6Q8K-87`x+fyf{Czunc>{$(loTzVV85Iy?R5v z%syOp9b0XGr00I=$r=q$>I0E!- zQEE$uYG>;+&vnHLFJZXnESK6=ADc=14i%ox&1W}J=&g+HVimdgI?HKZXD*D+I{^a` zjiHlMb0)F^ZKd#$*#m9pXjORex!W|~-fXGn3jX!CfO`@s?)vAR?_^8qnhYM-@A~WZ zfc|CdHG?)fe3Y@E8uJ4@B#L$Z9hdW4>s59iv_nn|Jm5dpC>uK*1Sc%a?e>+nFguD6 z2ovUNOIs9mYmlb`58azS%JO1a!ut?;S%2r$yCD!VQ&)6EMfT31k!#Ee!I;H9 zyHvP|!=$8vxoua)Z;*KGKyr-0o+4(z|hl_$x2PIgc2E z>$OSjY2dHBUK-jYt7eS)n4PhI3;rT#9bYy%(`- z$ppbRlpX5W09oM}aLg_V{A`jGdB0|c4m&`k3nG1*Gwer4<3>@iDo2~}=dnj{Y!BMA&oVM}j2=x64_bu z5T~_pHWYP&aGb$*M4865wodVTP?B{ykQM$g`&<9&oZGqbA51GA;>KQB5^f`VtzR=T z%GNm!F2hqS36OK;%M6vh@1N(##AHfKS_Xu3HYqt&xe4YRA4kd-Km; z^D>c%AW=e^hj@klNeBaG9nd7^hHycn`QcIwfecT!v5`oEtDWYLM|CX*dNF&*#5L#mM*_TKj)mftqc@UG@d~Fn5faf9nN0l({{fL;9+uGbJ|C|SVTX=L@Tz15QF$>b zeqUh5ypFJgiIg{>Gi%V*&1-HSejPfqS0n?37SF6(Dfpwv!!>_fmnTJyvhc*gTgy74 zR%9sU$JNP(OYfjGamzGM@KWqe3eQRBgnpavWV>2))Iu&&mcUs02Se?M5=^;pmE()U2oBB~qKCNl5H^e*q%VdSX^r}%-W5_o-FJ# zRwn0*SLI(el#c=Tj%AT@fW)#*mj(bKHbR_A>vs;u+ej1n4`rsdbjp$<05e6FXCcFm zw{{%Hop*{M8y#+etbDpO7x>}&2Vm&zot&^)d5j`uR=CNsiQ@|9WQ}MMT_C4bI3GPg zU>7Mfc2YeCh7tlxsKKzL0U?}e8y^&F&~7**rRe^_96_*wqV22s}i~0dy_;#*c4)9Ih|;h zJN3#8Gju_?0G@Ekwww#1VU*e({pM?kvxz9}(wt{>I1c@WfMpGF1*iElRdcwWqGT4r z4=~V4bQ?Hj5JgELXc6NsH(K@TKV$}`<8}JjXuf#mazjn!LT?bjZGkrk{|4a#4tuyB z;Lb&)@QQz=4696UUA67%#eRg0I)t0&xgF>IdW|2COO!Uqr8mQ`U+s*G$g@&hX~(jR zh!6Dg&R*fqP`*>pZ+6jg$Va&+DOa}FK&9ioM_V@FA%ceM>k;aEmFA>O`8wki&<0zm%0_tVagyio89T{yX z5L3&h08egb(5vJr)r<5nglZGuZESv%I@nkVFj%nWBo7tJrOgT&s_X`8bz)O(xptTj z;E(23+lBN`M)}_Y(=h7o7k?b93M1+-ccX&%gz#fvaU0-SH|XC*+MEb19;bJZi)F7p zkEJkQBBQ``9|H~Ooq zu*qb8hXxC~SDr9ia}LM+){wgnP#K*a^T*dZ*~{^$1Z-ux#A*dPj3Yg4C^^ulaTaoe zP#i4td7ap%#OE+2e{qBhCv08q=J)YHpk%hA0~@_bAtaY_?!}rFHEH0MhtiCtnHj}1 zxe>}lXgFhKK3F>s@wYNRyrcHN4dqQpt{ntM99TSN#wp6w*A=EiqPy(HwlQLpdqiua z=xvs{al$hRHPv=BB)Az|>#{d`%=V;9+7GMj7OlJoF(|YEjH9&SFKvIyuhWFXFe9o> zTxq!@rW9ow7&{^lUltJsHXE|PVo?X9sL<)~{~Yr_U{LHm@{k;(@A@cT6w%B1x~%N8 zfxVDXR*LPboT0LlkYFULD3q=+AH3{{>hFm97wG*byv$x$jKHrugg>Sfr>R6G^_)XE z2`g~Q8E>|zncp8cgvYDz*~uUhB%xu6?lWxs0nA?l7;JZAalx&FaN$_hbw12NNVuSE zZX@7ryj~JFHMBph8x8vbQusl^8@Fp+qCZUCXn8T{Ty~Xmb#sdY&#UZxXvf`{{r#03 zB3WZYx`H5Ie4Eg`#$Uaq-e%_k;VmbwWye|_;OuauDh!4jHm{NW>?(;$17lfi8*TH< zqRaFI7Y^^y z=DqvbfZaj`Ze*0Dvm_x}7rpV{mH#87Ri5k5-)m4N8_@RLDN5bSC3f+aWCK_!f7GCu zv{Bq(SNIKN<)YHEVN&91C}syEMung=Fmb&4QD6hz8iaS^%4AK>u6yCZ_jSuy*V}N& zqRpdxP!znCh0WDXv*%&I--(nBhkt-9bc*}vjFZEaZMCUQ!TF`2eVHP<=?KWln#6Bh zq6$rl{sMJ#5Y&C1QZV7Zzg*a`ep%Ve)Va3VjSb4TsBo(Og0Qym^lvI$nOpfX>}$i6 zL?ySQ?GX#>|(1q4jwHkh@brk!z){ z=&7MsX(2UV=H{0+tmZn!AA~3>MM61O7OxilX4VN|Bg~DWidQae{^=C81id|

(%c z+(Tz1ItUWQH_x8pnbj{7mFr?dEAhyaD)3x3&>yB1m$l#1!qu!O6_~DR(-dU_?6txHB-azuP z2N6#oAh)XY{|JI&*^j(@HeNa)rbTQH#yw7=-;cybG7nD7^^pvZ1IE(AXoFWz+^17) zy~2@vbS+(Bgwe8ifQ{Ie1&Y)4Pe=UZ2UJBRH3P2{F`X%k>@nJj{B!fc+PGMlpMAL4 z^}n=0O}9kCaOkj$+cGPk*yOcpD0TT{I!8LX9iGl}+!ayWJJY!pesD~4%IA-3lDeeA z=5Djy>jWSRRhxN5m66S#^r8F>1hAwCz?R|u_`+1p10$yBmtESBXGbKk!cw^quAEd# z$VxZtK)Bnfs=dMvu9pQxj$UQBlFwWa+8Iw|;Q>+B+yj7WGG&o}&uyEA=!p@)+v;Fr zQK;7?+vYAeHj#kMzKL+~9T^Wh&D%or-$1sa?wk9Bt*tHV*J*m1+csbS&W?6sV;p6z zNNcYPh$7VkseOMdtQH#9%6nTn`ZK?N0k0}zI!~8@6dfpvG6^t5Uo?h702pS|ys`~D zp7IK(WPqoZ(cT~imZ-bcd{7pkOIkF^GQePC$egOsFn>HvzR4u)?TrT8p2ufI*oOI4 zVXbdC@LtMTFNf2L&ihjt?I#w&Ld7c>=sRzoSa9W1s+omd-044U{%FXF&6hQTHYocs zUejZPdwJaQ2I2bj)?y(&G_gb7DQM$V`t2%U1;?RPGfWNIi3W(~m=j}ZFuOccGm=uW zZJX0qt*zT=OW7iWJdR)t}`t^Quhx@fUg63ahyu4M-CaMy^N0|_G z8|9lcUO8Df@UJ-VE5y3ozp;~muaGF5!ZH$EsX;GaFwmhVY=RoiAzUoB<^58T9KyR| zTlkfUXdRIO~LD4D>=a;P7x^ z!*HmhMzhAz7=bRn;)G7AeY5a7{bRLV#657zcTq=vZ6NfTFJ)aY zE6cPP6iC$A{4xsYH2BeGM=_~W_j^(|(1tUx){wu2#; zSBbDMN2EAmQ=t*S{M2=y#xr_*^fQ<94^!b5+z1JS*ccSAy!Ahp9;^=VMjOlrgEplV zU8XG)DGLIbQ3G@cMIEG4CL9*RrE?E$IrUPZshgrff(tm(Vp^v|d-awYj83QL-Ew#f z$#yXSvkQs9zqt8&8pdlI*a1%u%W&lTvOzfv({Mpl1Z&y22=0?IGeqr=4gG**FEO`P z;T9@Yfe>CMW$|NFEY;r32oUqTGj| zWz=in=z87aGB;s|24R6H{}oHwgK5!3tV!`NmRgaaIv5pGD|I7n?GBwf+32&JM5lqU zCo7M##x++rZ&Bbwin5-=VY_AhNm$He-UED=Hb^Jj6EeyvfH5oe9Nr*-0mV}a%g@DRo`H1IRAboFs1>F z^#pt4PN6NL_ZkVAw*cb;Mp6F@L@ho4W9QkMS9^u_)NZv3i&y@OL_k)dKPdX88FKaV zeu>!f>${?##ySio5vI~%%~>724-gPSFy&ClqHckX9i6sD!00RjSqG@+9JCZ3Fed~Ex)vfr9~UK({Uf&=kqT)F)5zg(#E9d$;hD1 zK@>YA2<3M?(SGZC?aa}3&?m76KG3aKs8Mq1EF~$L>q;*aJ<>7d$l~i29BO*A)Hj;-+u` z*uWQsRis+-S-|%sO~R%W)qyOQfBq=dUa_&g_0`&J0DJ1fTrlT~<4u5*c~Hy()Ksq8 zbZFG*uny~A#A1=__C}FJA6Mjv36kqg_{S zfrdSaYF$Px;}dX2&uUK===Ck7@n|pu!)_#%Av5UbqYceCn5RFS5;Qhf|qv^@P>KXBJo9mLn}&XCy9qX zblawA%ORx8l_|{pH*DK{`jJhVzfhNpwydb*@F4H0UK)?I+=5`gJMSaafKrcQU z_Hit#E}I>7C0)uP)S4b=27o7fA`O~lzo9~gkvL99*=TAsb?v~7$pJ_FETT~^NVE;Y z0~|toYBz^)fJ0ck!ij;?e=(=SXCbD=U|;BvUC%8bKJ4Eb6jflz@8m zM@}}!z~*Puud>~1m>&UOY)moCR5EL8T_(r;r1W-jZhkG&Gn=y}SCHtRz_ByzHcs|tBbu~{CiUUs-PElADI(xb1=N|;{WKy(o9^dv-Hcsh$>(m zz?hbPm9bIGZvM=8F=Hdw;!{FY!Cz~rXq-IC=&ni|lm=`r8=ypo2A&V)R^4}*gutAw zQ|U691#y5LQ}437BgWL(oKp=kVlH-dQy^%mJD0S%gS=tYi=C~Q;H$d5v8i-r<8`dc zVT)~R_C_o;Eu(z*SkbA!dRW*91N8JXRGa281$yBW6U#56e8*ySvfmM89p#8pBD>LH zQf9_3U~Lhw9Y&vx#>G~$Q{u){!Z&SQS$6j~J3n+4a16Zq8hROj6sOl5+@=DKN5gul z9}4q@;ux-?wwagX5=F^X$wu54%Se%;3MieT?q}Cj`^AZJBsp9_=$#qM{GUKz-)QpP zIm4MJb>a@U2fy(j!C&Pc>ZM}-vM?5%Q+qYCzur%Z&Z)h6l~U`$4z*VcQ0h|Hq4sK0 zd_ZS+TZ%%NW@90iVz1o`%=OlxuJyS2P~Oh;pG&JSIwy;pZz;XdF|WsF9Z`ozVtfCiky?2?xj@v{X~wSF zD+R!1*~8$t_G%rKXxI#~_DWfbLe~AOfxx{QjnzuMDMU5a1X2Df;_#Hn4*m*7Z-_b? zvM}J?7CqJ-3&(@m^C31rCMmA?42L>@2*oiqV>kEOqQiZpO{S>QsBR z%U(( z0fwVj_2mv^klFYTZTXH}>_Y?NgZ|>L716~`hle?OueMRtD@&?O0^-LULThVBXy=ek z|J%9#eE;l>j=KU3|0VjW`q>=w@o+$`)+=}t685;1#k?&AGmH-S;2RuUL~Ket{>fT+ z0We4#fK}OzpN*Yxq`&!>z%Vza!xbU{?CZA84sn*vIqIYzjlm2*ilUbD=!f1qe{(sv zV3dqK%QjT?wz$BIG=iZ~RK_uGfHBL#4|VRTv8aIInE#PicseRsnf!`rpco*(2AfI- zB_y$7f^eR{D*A;VKuWQKsO_+A*lB{n6d|-yW56MKIJbKH!Oq1U!bd5fqrbZ%ZS3X{ zewza80|r?;6b0A$fWIQ&X_$keWZwb8*&VV6;IC&WO7gJ7?j6|N*MUDsD9i@J6Td#_ zl}?88La%TU6>mHX`f*nCIs$(ob-k*aB@umKnuj|Nap0}Zfq>!YjgE!qkZku-)aS4# zdRwzaHfIV$RB+4#vmkX@t)z)V)?ehM9-yv;c+ZrRGiV}&R^Wc#gI);WXKfJLdx!9c zjtzTiH_yf&_hc2XSkhVTZ_jo9#ld(9%ug;hZ)gT!j`4ZCLS@KD7Yd9Mr(decsi=SS zQbmO%5obq1JMi`%>IJ<&sRQr4e0IG;{3tNerv6GkD`lS+qQa4@E^Q%vvpIz0ByFIOnb@9`4^A<&Vm|6w^DteL-vHo`FIkdS6pd@Sgkcgu=4!U<@5 zj>Ki7fmU;>7p_@V*oeDgV>wK4aIsr%J`4ts99oi}tk5@p7&c;x>FelfL6}Sm0sx773G9WvOb^0Y7QALG#ZY@`p zzuFdTd>eU4FgcL~N-LjVe-n>|+b*GRcBD=S&GQY?~Nverf&{vLBW!9OYpAi+()|N@}HSIsIo?_R3}fLj^1(oHNqj>59%}sYNhNcX@Puf*_K>F?~xRBVvGCQtd!kwmM|lo z+R3JP#X9U&D=yev(&b_C3fa5E)88ogt9UiyoEJ>?{#L_K@Rz+G52whEGF!C2KD2Y_ zUW~R43G?uaiW_o!ejiD{Y&c!^3f1@AbUaeO8pqRRW{9k|>(h*}4>Lu~vcieodxdl5 z)(qAD+#FGHvCXi$(OA)jBT7px`B0q6*e`~0d)QrDH05||{?!dL>#f}uo$bC(6GT2d zBOvUb(-y0oqn~}FFc0h#3;aVy9d_3vohLdDwe+kF1hnj3j%ngX1Dp=!$O5r8L{_tQ zW8Q-)0Pb9LsrT!Yx(m+F3m}K2iLWtnCdJU4aur@a6{*k}8ga(oC42A_1FC3bq_ki`IwIP5r~$`ek5nq%hH+ zs<3*YiICdu{j#szN+CqH!YK~MLUk}$Qs>{cPKQN{1Wl09c!o9zPXhBttoR&3*Ud5h z>NrRca5FZrBhc4(;CF|xhjL@19Lu!b0FMp8peSo=&gQStjKSiA(qDsO)7$A8MSDeC z@H2zLu>_@y@j}sSFGEgj7sd+R;M~c9-y~MZUQTTK$go!9gHXXj%gtcRI)8S;wpYx# zGj=@V-&jLJrlOZwk!BWfhPPFK$lfJ(#79K!1%!uzNSwz^rz4_{0>b^lA#o|Uuk=VK ziNtM`kAeh;P|6XdCUWZC3lcn)QbfXIIue>_5FSN=UAQ@Iob3?qMS)*)^Hi}4i>h89 z^t-L|cQ@V9si@%U#X+Cg*_wA(FXGHOxjFW$_yDfVo`1CS+XB7^>Wyb27o~iHpnR~^ zi@_&Hkl7{eFVTAB{ET4Chjy3(Y`{cQU;fX|?>l<`iJX5AY`9}Vv{v$OERI!4x-23j zaW$A@;VP`JD}|f5Ky#l%xH{I?H?eR59>KRj8xAi47VS509z5S%%|E$Q?7d}BTtU+? znuI`r;1V2yy9c)fcXwOd-F-s>!6CT2J1nk?y99R+5?I_}VZVLe=hm(J^ZRbq{deos z?9TLb_4MhQbGm2dboY{A-P^j~c5xem4nCaq0l3neI1{V^mKLto*Z3S)XBJNRX?Mz} zHOCGr{XPgGO7j!#@cmo)&y zYntJpZ*2r-3GM|hIL5+CmC&!@w(hD0?$UzkA+@wypin}k(#>D~jKyeW*>N!<`P^P7 z+R07~mOownoG59h1_P09mi<|w7P&5<2a-q;oj<5T_Zk8Oij$LZ^Ou{5e zy6?)|5)ld$EsMygNEfO2=srMrV`j`QmA-D9_OSdlA|HOU=HL0GQRS*lAG5|2^?BqD ziR9IendlU0CyMylg=X1>qj7@{JXJuj#ML&CBBNFfab#37w5fV`@{RWzdcv0t7WctW z?d{-rXN~<1gJ_39c! zY(ltlyno}{sf$A!=n*v$a>@ORzr>B)Z#w1k?S#JCjVP24w~1$E>HK^Xj24qrM2uid z?s7w(1^TDB)i{#=)xLd(Mp&s07D?tHB0<4hRA-MrpRsV*dfr7X z3|4lk^X_Xz3ePSs(x?UaR=ReUXc#;$Kl{Youz}ea;~mHI=Gz?>vhq1wz971>d!3!^ zo_r~a;3~2MS9wiC0@ti2e|CzKsT1{$wGW~;S&D!U3KU*E$2lYx+w5|t)Qt1hS-pAA zkgiDuSX1EPF0(Ml#PM|BPk3YIFT@n75n9myRA-r4Wws(M0}6Edw3K@%Mq4kbP{yjUBE@*_cH{D?HsIyNlmJ(!@JQ#Y z|MtApHQ7HLFCM%XQ!MIkV?*<$R$kyc(6ZbD(}YhMt-ru*aP9}C>)%ITGTb-bsQmtT zA}JWuirU#vjJ=N;$q{6AGc-QPe0~*(0d4je-Fz0d#P&9*3foOXt&i@1k83Yf%CLe$ zxsIHefVO;3x$0UocVcVqDy#WEPmw3=Q>P+M8AO7KhBp~P?`_-Zpz2iAV==-(Pm=y5 za65%e&oUSjL+NOn3n-Zv4ZW$cF59dD#ZF3)ay-o$h}k7%Ur;jCb!bEYV!x* z3F{OQCz%DwrU7gXf6Fr2;`WU9`A zBlcm9a)c_Rg!7*>%x3(j2p$C8GXQ&4G&S#^g<)-v3^KG$$ov_(B!v^@pe#vSljy=8 zgd38o!Xhfd6ti}*m~4@s7`?y0ZcQ>)B(@(Q&?>*7U+kiCDt0k54=q5Jr;^>fC$=ms zd+FKXl0{~3s{8vZ*^dmFhD_qK9g^xUJQK^E$7_CB$S z9pZaD#3xRMpi|~H2k=tSyin3{%j0!+h8G5nX=>LKZgEKg-gQzokk|Rz7rFSwj5FM5 z+BJ1xu21)YnBVFw6k-GdR*qDBexiB(tVUBW>1ua}?1+vud^V^Lcrp96?CkyBe9Gv2 z$@R^>b>tJz?DIb6k9YZ7pYfN!k~OO%k~hSs-!(YCWT2Al8iWvU^-6y} z&f&oTWoWXk3y}7aJvR|7r+wUZ4df^Uj6Zwgu{Cp6Z zLTp=|a@k*`Y6pH$vh=A77;G*}_99&O2%{gcBV0>1khdL7XUs+9FdJiI=ulDI|8nHl z#HZXwvI_HD+K;a4R|o#O7|vNK+2 z>*iguh&xWk5W)f`Gru-)Y!T+sdj<8{ifbMePhF^M{3#X~$1B!6eDsWEatIjkdBUGO zXXS+F5D|Wftc8BNgtcS*63LK|APWw2K=bkU%y|<%cy_J+@$Hbg<@WiN`aQysm*sY$ zMbzy8#nu%n4AqnE-Jk%k)jeuzQBB9VTR(b%i*WIo^sh&Jtr%0q4%sMX;#2N_dV7Z9 zGqt*uYU{5YFfbVA8{T6BY^kGaB^(R-8T@SP#FOtW=+Y3kUWw8X%He+B72a zeu*ilL5^vsl9VLNJWT{e&+N`5mZc(yh&MJ*0lteK9^6|AuAH~AbWG|2q7kNz)Tw<} z>mNd{bIq;8wpEwdU&BLF{5LXa^^ecjdelEy>I#^$5*c)ZF)7$mhytTq?H*^*>zw5q9$ z;{GhF+H4cj1)umc+QfO$kk6jG$VjASh*wCzft%-96DAieABkNu&9TAiclIbAd`l-O?BN41BK zja^|zO_?NB^4OEHz0#ce!>{KPm6tk3OmTO0Ez_(k+&>*Pcrrh4@EbYq&S@KV6h%2GP$7@woPeQ*csKW=MF zLXIWjBAy&(bP_P_j`{tbhm-EL)d-#B{J$)odGn?`0zg*G_rqQvhw3ZpIYDT@pxSN1 zq=h;wI~N2|w$s(u^Iyr{Wdi8M-#nY$ZO>b(UEM8a&H_KcQ^INX$tP)cE#phl-4JpZ z2K02kb@lZ((gcmiNsxNs_7gjjzI9RHB(YRW&|9P4Toird9j0`$KS!P9fz<1Ov+aR( zY461UgU!bqeDF>@DPpYmvl)RCPX?G&u;aUW(97m&jHjl1Gh?x#X3m+R?baDQRLeVj zj${&i_kz@p&uWd2VsXM1qsN&<_$r0`bULBwsgW{DzI$9QMG=4F>|SSR4K5?Af0K5F z`C4=*ePf74ctb9UZ2&(lyj66-K=f%&v?D5S%S)WZ$0IBkJYLq#d^aqP7-aN!S@*m8 z8V6Twj8S$SMbUhEG}>W*0)iTm^OZeAG22^O$Q6AY&3Fl~F}PqJDKCUfcJ=yCrK;(LHPG^P&kxa5g+z!5DDD_z{2*SVmGuEJBR+j)4@;WsQqUIJ*Yz#@+VYzbpl7-WX+k09RrwT$2@G7wZ>QT`LLMmikNLGZPa!QVvQY*Nyxf&YU;Xm zcT^e3^a0_MbgK2S%@kd4yQ{yyaB?aPvaus#BpY-w_u*m}PmBtd$}|$Z^)EtKyG}td zaCV~tqaPbqLkMEBKwsv4dHhyt&EexxD)YWNUc!6`?>s*gKCA+7?MJA2AeT0pW1p1|&rma)UUrA#P*% zHg4Qr+-2QQv}0*V< ziU%&G=jRQ)4U{r-_*9-PddGhbxZm}6>gtp!?9fbv5f}XWUdA(KVza|KJXHSqcpC2( z<>A^hLEn4~|I{WKfQ*)D>HO6w(?#f_&ospgq84mwjD1wT4{=rE^XJ#0 z14~^zp6q^4qk!X|pzNP&JxYGIcblXP9871TUJoyp{|ezBp`K+i=7W%iCcn1w@PTvO zjzu!xipralmER-eFNg}IUp}Q+sT0{oF4tWKYn<~iESdi<2>H5e3!W(V)=D~IC^}MV z@zhJHYbE>}6ZYiei0UHWK8Fw1Tf_EzyXVTwCo>Nq>w9q3^=Z+XIQ%n7Ox5cH;Xkzk zqB3G5U$jpKi+#HPqcM@XwRMa2(YjBUYjN)FdVYPBljpXzDFdw5;XcUR;bRzI55`)) zJLyC9`XmSkaVXCn3*&uzba|AT+wpF&ujG4GJtMOVl%GC>l$wMIIGD30F>j1DE*-6AaI~47?n@$^k}S)75(`V1;fQhr`X4+ zEHH0D53&=@Bz5zZBmJ9NI^8l722pWcp3<}ac~9n$^J3nMZD#B(u})KT z>?z4iOfbgfenr>2z@U5VpwGVItN%1jEiO$Z>Yi2F7U)4=Fzi*L((&W3oy!%{g9M;Xf zO`tJ}P9_nL$c|5zAeb(luf~C0|0X2FXhYf|?v3zbIp~|L**F?KbCvR9`IyfR z-j?5tm3u?8x^@!7yY;k>Ey;cf&Dt-wyPqPy4Hf+`JuG2O5nKK9%w5On-GbKf;V2L^ zQMbC9Sh(7ZpJgJ|M49oqzn`>A;@bd6q+02>$LVJ8~n}+K@xeUB1@k z4L?XHO?d6atMovh>brcX@v**jSmDu7!jTgq0qm!RRU4 zk|9eZUQXe5&1^>n#46a&^B52q0T$Fmy+uHf&c7Y(OSI7Zq`lw&qOCiNVXf2G0i~Ml zFlA(l(ZEw2V$?j~KA%^$8h$Gr!K>H1Aw6If>spL-gi5ba`ej7wqOvK)zGlxT2b-vo zTk79g0GPjM#p9a?vHZ~|e8)P`X-4+*jz4SIAU$O%Zh0)x$zUS<34id^T{Ef!hq0u# z%#GcNlTNGZU)59+q2LuINmqeQ&Cz4FdY$6=+x2C#DzeM$%ZWUD2A=TD*UkP5Y$i0EK5qHX`l0{z!CPlGAF@S#(Y8?XlYgnG0@^y~S)M+OL{yih ze5L%mV>1k$#JZ#WQ!epPW6&f>sh|EOZw|LKs3eiQK_IRWt4^EwDe8Jimd8e-+%h$7)tD2L9c1|C$E1Do*BdS%FQQj0lt-VvgY0Ie`Eb%) z4ql_#nGB`n!!P_a9eRtTUa9b%annVe7+?5wT_YR9UA}pn!;EK3#4IMP`DuxyH6Cg>I=YfLhFN#>7!82Pu0@Dq&eV686xs<>>r)J5ij0V=Uf)imoChOw!}E$oA>qeJHB zb9-Upt#<}IuNj8nBd?Pq4+~23T_jn|0+Y`z@~Q>pH;AU2R(uBXMC|YVWXODmKH8su zQp4L@I7ei#l5yfewzeu$-aA;DH@9~2`Ok_#6gN;IVY%hTbd&;X4zNg?N3{xh^I>#BEs4?y)*^2?FBF- zEG!B<#B48vfh|@v&)2g%%?D8sxLhNDyyIb?{`Fc-1+ah^F#%N|Yl8a}IuQA=2(9WLcQ zIGY}DV#WovCk7UNKoOryb~hij1v@%TWj{`zqJ{Bx1#0y0EJ}eE7$O;JAzU<>08)Y@ zumLQW|0P#Y<#jX$!JM~xw+rWuZccGOS@bTx6|&WIFg%I_r$AwvXH?le(V#Su8a7(7 z%@OK1sh?pXgMZ3TS)0GBj{`cJ$()sT?<4oWbI1Jj!1UrM$*Xx?hc9JSOhRC8*C#VM zjK^8+16F+N<+b1?-&_)WW2L$yYBO*XjlNVZMjwZ1P&TkS+@{SVPlIl|NW*s-#RSkU zj3hY7Iuv~)>)E#3D)AflH@Ko*QBw;{baWcmJ_xP6xhvv2Q1Keh{;Bcc_-j!LRie+m|0584?o` zLqj_D<#%0tT|7&eEJ6es71Is1Df~kDzgh_{424wx1#qF$IKZ!Q%Gs}GVd1DRvdi$R z@4u}`IGM8l0`e6R{xi_1TlkmX%ajG;VmQ1wya_J1&4oByA^{|}^hiNX?A9@=%^z>@hJ__jlJLhuB zKGo;W7lYcRr#sFqzb@Q&63;F3JqrkET}PSyYbGpqI{sbQn@-@suQwN8Mb~{r5;c2p z()1RM3q`XU@3bDuUbXn*+RN=D{fssOZcV$MUb`5V33Zs4k4oZV^o=&~tC|@)160e6 z;YgKt;cB+S6|WV$3UTdkZ^6l*wA7mX@T-DXu?&{) zm@mYty=ViK2ITSmp-7D4wiBe*N^rTtFG`HlLg$XsThyyIzx{Sn)o|cv?-5Ph&irYs zhT7r)AC>O?vFlpZnE%FACc(BjS_eq2#o8MUb@*!LxN`t&!zWH8%o%+0Csu38sLQ;T zlKP&I$=oBpzjs46)&q0qA60cUtslGIj=hM97M!r89IhVuo zU4K~gvODH^!NkI;HyM?N2PU@?-)k@33A(V?=6=xJ%$pe07Tw}&-#+5S0R zqTKn&MT^U4;9^GtDeidL{5-!9>AX*Z+p7yx2o=&Rf#d}>8TlQHexH7*!I5LMwf-#v`gDe?3=UZPj)1d=nW@y@+1Nlhxz`<=42fh zWWsI(eTeFhb?b#vj062wx1bDPIhgT0nBXSPn|F%jILvNKOG2bhbO1qUkyO-YIY$U% zrOHAh-({`b#@7YT2X`NbWz%vohGc~g`r7;W}` zUUtvGSK(3EX8um7O!hwe2tx`bwJ*mAB^5^`f(9^sR+|UP&G8j(#5xO&ujz zmkqjYlnyTclg%M}BI2J^U3R9L1Wh#wXMSW)gmFExpD?dpNN2>AKJt6~KG?Gr-IRWB zE1Gk#7ymgSF#SJ(95bYPyop$vuU2!5&i+R&ZtI7!6!&jk8+aHEYE19ro=yG^2iEC$ zQ`9wmY?!A0*>Ov!DR%57S{-@yZ$Im){@{r$(}}}oVNtZ{a?o0R!c69|R|_8Gf4=jv z^pUKw_!|RF2XzuV$OZFo!0{0qpD6{kG6 zgd_BRxLr=m5GhS8F%INrNAcX%y4@{|8d*l_v@|W5+@s)Bi*KB$ekCv zu>9MvPzEpjxYa=mR!5f?l{2{#^OCOze=ytLGqsL*i#5o#qvI!47$2BrDye8GV2UEH z*_`gDE$-k#ybMbh6taHhT=#po#GM0~_5w@Cc&+9qjY33dg&t^P{@^#% zQT2=FIe<1xPP`oIj*!SI6Z{k~ceRRzmk}UIxNII#(smqoCfpqh;3q+Qr@D*dlPd7H zVIlqOT zVeR|f$Urx?@<=MU8vI`~qdZ37&cRC>U-oE!U zva=$2(I<&(ekiE|bhvC1vwKvbPV^XZas4#7G=*~k(78lrlvI?|tG7Qx$WIT7hQ&mP z<^D@@MN(W66p{B!MRZBtuX9ifB~>m?h%w;TYi-dm*=Vkz7p)d|l->V#<7*YLk_HhiD`i^9nRPk-vq&bO9V zS^J&pooZ96k@XBz8uHjnUNV6{*GhJdvFkcV={yb|&eW2xeMy?6<`=cjw<0;nX0PEJpa~$^*G{rMO{5_r)LH zsM#$4cXsX5@mO!`-J@2ah>}ueO{Ff*u3?ufL#ZYn&l&_x;t2Mw5=&9_OQOY<@VV0; zxG$sedk>Z=zVK~ap-vL%K2nPdirhgjN2jqgpsOJ7x5KHysVRs_@~M+Xix9J8kOLL{ zNAkx%olj95N_r97WzG~xfS@~UGY7dOtAyY>+vcjCpl4)E&m zI%KEsr0EyhA$zT|=?Sm)%p`PF^qCer!3m@o?M_wv^5zV}G`tb8D53Ooqj}~&9^yYr z9!zu|713LWTNvXMX4)wNt5UcLqC$v0uHWu0G%{R z1QMZA4a)Gq0AX&#%uvWZw1I8L+v&`IR1#r(s>T9W8HSJb`+sT_AvdaJGN zoR*$cUS2W!G9Av=^1}_f&VS%CpmW;i#o7o?Q8)`IB)Q!?c73Zy&$4%27F$m|oI}=T zjhv=?b9{zMx+MQ|8xR99-Oxio`Wi!KYOa=8g(F9TS2EznZ%sbVK)#)Jr+aQKwbH`3 zK`-q0#~VkT$DeJtR-F_c0qY+&7QZIr3PqJ>Kv}L$y%aPWQECU6XIv$vUJK8YRO#k0 zw0MoVPE%0De9;5Ly3c8Q*Q{yYmHTyikh&U{?6K=XzQvI#vJ=9ZmA<3UqR_h50;qmX zhZ2f18>|V=wZE{VId1VBr&iKP@Ny2>oBi1surW8=K5lT@8<)xt47&qHG>h41r0KRk z0ggV*;lI8N&kCKg%y(4)pyRpgw|q4%Iu5Wii)Uitu-`!Mu~9>c#=s@ypI_oxQb|+0 zSU)j{*@m10lO(oPzx1(IG(nY^lI!B<%nM?;X#cas;0Mq!>L1ms6lnahQN`D<#x7av zQZ(NK#j{QxZrywwUTj<#fF4Y>1-E{01L*EXFilYG=MDtjeoUNyTF7+vo6~mgCi+QK zRoS!SwO7Fb^GG@7dw%KYa2_k1V`qVi-)3$ulUP^JIXRc@Qv^(Acq88qXPFmvd{`X; zm1;kg#qbiFO6=RS^yBuqHw8@^)dQbG37EGmR(zA`DXY}#3qg?3l!f}~I5g>{Fd;rGXjKN1bgTBrB8p$=3XssJSB+no}{C;!}Rau1*JuVuw&oEw-)wsH-QsM~Ya$U_T?53Oo2>K~3RSvnEjs3G z?gu1HxBZoUV*WQLE>9DfabruqmvJLCOF;fD<3K1hWCB#gj_N=Y7=JSeOX#)E7ybI6pM z<(2G0|6n~9*zBL#v3I}MWVK{$_aH%`a~w5%zGmZD-bEl3^x)csY`QR7QCt&mIGNja zxMlAtm-%E|(%yaCTFW&FOn!c~UEz&ee0bTS7;mG57{dvPHqVz1pXV;NItv+S*>zn{ z49itxy;kwKrdqb~vH2RIOuf zuMPGJ)v(>Uh!1JdZQE5As^0am)*r4bD=IPeQ35DC0i4Q2B$PLmlec$ef!B(sqcCX^ zl1anCAqqQ@>;h1O=zEk*RPC)w$Xi(FCDiuTo<9BHbpGmb_b_UqR(e_8P4T@s|8X^d zYJ4jB%xTzegV{|F{rWb2{##ReQos0V4{l!xk6+DH^HV5bsjP*aJdS0}9TH-W5Pm&w z>J;kSl;d^2Cdbb*r;?IQM*@Nt)m|OW?bfO&kOv7~{0&%*%@qs(Fy6Kffa7)7um!{ZJVJqA)fYzo{mb+^S)2#P0n{5qHN=7+=C?h%^=8( ze)+uiibAT(|JfscX*(-DZuw{Ruu&^*$$3^dOBaU0{j$$y z+De;dcj0dzFTo!yu!=+VKzrt7rfGL@)iT5MBz+CVwqV=Ycr{<&31-WjT}ri|?G#XX zhmyr3E+tG~X9|&I9Rd^zRpBzL@)d^D{d>kBaO|d>{!-X^u$yVeq6Mz=KOu1z8Glv3 zHmVElSdYRwR^Ls@(6MNjXY5sv_&bX+rEjoR8IWjs-Dr1b9CGm$@jx1$su#^PCD02^7+8R@ym zd;1CK5m-VsS$~JrgB;}UbUPg6J~^a&A9JT#T&G?pvMj$Nu{B;{)0tE3R3sVoR=Z22 z`PiHnV-eRh9`jd?#a$jWJT%QXO3u7TzhaKan;^2trjsb5T>{5QZc+pdqpr%riLS$c z(lru9c!QMTV-ShK@$2Ft^P-mbP*h$hBR|8{lq1g%jBdMrcVwXjyP9ct=b8nm31XkLEXQG*B@0f@ zS~=ScT+bOw)3&LpiYH84GGdDtz;#_)lI$H4Z_P7qf|1Vuj+Xnsb>$`a8;QY)IUpy3 zukYOMP%1$8*~(;}^e4+&>#m$r$TM@$9*aR4!4|YR#mGMnRhZJS$dsX#8V0HxnzbN^bj`{)iXR7;sEfLM?mb|(NuS%wOM^Hoya+N z0|XnXcBzekFWt+pAGD0>o*W-_-+FJ(A!wHcafeFE`Ga|(I=7=Lc2B!rJ2hSNNIa(; zkd9dI;cM~8;7e-(Vb8$&4e{5|R4SZ_-2LK&ZU!HYkj;8g_<-n(A(w_U9-o z8FcDL{gbiVLB@0Kdi^B=v5MqlojEQ*K;Wg+UeqMggKX;=`OU*{+@R=Ic?uQRVs4-w zq^$Nzcf#`G)~wyM1`5|F~q;3w2xfv+B(NLVqS~ft@n>;2}R`4 zYA%P8Ef8c=o%5~9uQ|y&uS@esX`QmfL&46W4vE(Ai5=~PBSo*s2Q9GP)6+2@SyQ4X zploKyohOo5=khv?qkzglT=qtzB$s{F$4K`&j`m4zFWJ89?2$}lBpJ9@%0{39u{=ou z_EVhV*w!;vsysDEww(N0@OwJgcnLhcIe;IUHQ%3f>#O*m&^px~Hbs8Qc`%M&eADbO zW6FbB3OHs^!U8kz$4*G;(HHhzzADF?nj*ru{} z%?Nq>$1@au!skn##>3p%cx(|oEAb{bu7CN*NbjyR-iQtj)v33#ikWj*6b!iX;WBfWUNA6XQ=yXW$2|a zsV-J~r9?fk7HP{D4BD5ct1<>uNfU4T8In5rComwp8mwA9!*9b6!h{~WB5Yy0z`YOhB zX0ld=^s=hG!M-yHGBlPbohDICN~J#yn!OwP6m#q4v3erVt?rJzb%S{W+?-pvtwb|L z_SP~>l1MApircHhE~&ZsGX825l_k{nD_6llE$2=Ay@yQD@m0ois5?`&^~#Ur=!KX% z2gEQvFZX>p>UC9v=aY#{kpJdAVBvFBtyE6AbEgT$br#&t_h6S>trsl$Q7=hy`XJY+ z<|Evl)p)ri{~Cp5W$PO0?wvqlDVOjU32wJj(v2o4GZq0rd}HcVGtwQ|za3_>1QS>@ zATgjj#p@&xl3ZiF4R!7wJxn^CJpowwCx>$0mU=XXhR-hnZu{dn-bj*+TIbjl(yz+8 z!50JtF^!Ab&BFc-7@2>i6G-HoXxcdQ-3s}dk5G~W4D_0-K|?M6s~S-075A%!h%NZa z;h+_7O=Gc-@r-#!+9|QfbNL6(EOB7RK0N4qkp`-roGW0vApBYWKWzLHY@+ zB$cTANFzx5$VaE?B+MPC?0RN^VJeZWwbIS&>PkEH&Ht47Q18ye&(L2)CV;wW-$$8W zv2mw#hW?dFfi(yi)L5@ye9LZa9KX4&Ca059Uh|w*4E;F$+*o{t-6VDieh_OhXkKge zNf9G*-x*FVP!yWjr*9~^Q$_F2iB)5< z{t47Yu(Zf!>9|3RTH6MA2!sQzni_PxZDK&F$7~4GuRK0Cd0ao9m$n6(kfw8Ze9mfn z6E8G;$QmFNP23RYs+gsLqTE2c3zxnccTMGKxG8-^f0z=IO9Moef8ZK9qydiYX)OUz z#P)_&X3yb21-T))0h>vX!@e{0ow*DE&8s~0R_=j$2HvA0F4k2KB(FSeBs10vMm05i z0#4QRUQZkz!{iN~iuASP5e-zJLPkcB)d^~*dY%osHP+aZ+e2`_?7x68q zIc_4@uOTQNlFKEswDf_VF367?_QW)&(lCq6ANzO*uLqUO4~(;i&sI@;rCs1_-*AK@d(~ zA&<|iendCM&W$#iu?q6@VveEOKYwJt@mj4jDP)aBeK8HkrvAd7MxT8`{|equ!1AUV zj%#_a^q@ZJwa(IUThpDz%qj!@liW?>oM}OBRxzDoY;v2>CQCQJYo4?8c8!XW)igC=-A$L$0%xJj1kR(c z|EekSSQZ*s{?&55(7b33NjpOhCB-bGX`dL)3;AvmZ*+*vZC{Py@FV)sT&|%6C?k9+ zufjP8d4YC0Q2eS>hE-7HkpuWRmp=G61PQ|bp1T0IqhEth`Jr3~*n$BuS&6NO?v>Pck_Dt$=X|Ud|Qfae|u&RpiOnZy1(&tW|JRV)xdJt zh3upluiT`Vmr?a?xt+=xd`3MiGsSG^F)wJIoW96EFe-pUDB`1QvT|@=lImwjUfW9! z4#n!=?ltMwKS9j$sr=-A0ALBF zd1Zo&>FI?8M7w$#GR-%2{phT-^BAzl##=dPqzGx9yPH{2Kv;jJ84Skzl55Er+ukGX z?K&R;9(yn~D#^}-Q@=v=Z^G_=c*etH&>`xDKPPL`qoOR={u`o-*HK(SvrxFgIy*ho zp>=&oRTz1(hV~P~R?i{$<0=i18nA2E#>SlIqH#IY5qS%?6jWi}G&bjbxOLo`$*TuG zwH*)k9({FGkvPKmwafSvWBk@R#VAk2!ZJq34Yj#@lvDN9sxRllb5q-x4ScvPST|3q zBK}*Uao0dZS!LCLy-mm<=_L;BH{&qs!-u`QOLFet9{gxrXfO@q}+x^EQY()sy zWIXaJHkXf!fx%uiB5y)>j86OOXH<9&_z;sGJO*smFL~nOlHW|!5hXeCk$wj*OR2rb zRa3w%il*r;=5WA=?^GaRMVSH+EpYV?ieZN*K^uWj-F){O-sge$oA>@&FJ~eE_R{Gk znDf8d=bIRFeXR?DeYj3)d&{ft^gSkt#Dx0)eRHF42wM;|0n?j*`a#z33C~&+M6cn& z+;F>Vl^z9Hi>|CxB$onS@iODC5>bflKKoYJADUq~^|S=L)C|6~_=%F&2m(<`$5%p@#D^V!sN z5dFo}^n=pU&XSac;Q9Q?W4IQyJxOeWPTh$kQ??D;f`0j%H%9OGNz=;{{g_mn2~&Rp zfw!J{QwMDWCuV3x(0%k>PdfU_SV1$>+Y$n`UBX~nS|N99$9Es2LI>fy{hzv`W{kq5 zmhw-YYEQnBl_7ZQ>atYHy{&|~o(Z(*z+l549K{u_s{E>!0p1>a0XBC_z9scwxZA4^ zeVM`w=c1{G(~T2ZO13g%?l+?`bk$Tp$topDC$z)W_n&x^oji=jVmAM^pF(&?{c&YJ z`A4KH4b&4-96Dx~*;Zm$<3f(3!*|IzYkxr3JH6X6=7R;JN|axN_Hn14^wOP9Y0pvs z!;!j?n|ZX7b3QR~*PD`K6mSWU{6_-sSH3OPSIz}Ieh+CQ6X@-0p2aCJH63s9yf{&B zG=Vc_Vrm{8eotp%R9|<+>T?fHPQgO|XrRCBGWc<&#rbAY3xWzg>Kbj_8WEdpxvNi|)I-Lzb8avgHkdeL&#zVI5<>(${2dYs87 z+6|b2w(+v%csNdHj^v`hXxL0Rbc+5X+fcQtYH#tG)%o(oE&BRYFinDAN(Jojnf=$v zjQ6CW^#NaHEUhHXT5-<%QZRAOjjr2(QmBx!^qfuk=Edx?sDZh3zXLzBm0aFjDw~72 z{hm!E>G|U{k2aaQFk}0YkHuoe%}ZS=BajZ39Q>UVQqGUv795wfTbfm9szMFaf9(3{ ztU@$rV_I23<}c_ygy)~J`eWtRKVIXnrm<5cH)mRIY))2zzl^hDyvEQx6@EWqf38pv zyIW)yRp}0atofHJ1A9lYx;#0Ym7qFZE#V-VMV0Y|$Sneub_;lwXwD-@kl0+mz#Eqj zmUJPV-J@?1{YGbD``q0`QRAi=_nZvMDRp0BE=b(&o!KWN*!ZWbaEJQTSoq&z6g^oC z=czVY?(+80B%D$&(VWxDTyGq8@}`OPHN1jurXn*fBd%O3RPvL(G@8*|u=APpAXY?5 zIeu>RugAq9*G9sB(7c!rI3C>7ow_QQl_*BTnxSh+_IUImqy(s~Dh95vOFt5@0@BZE zXevFuU4M<5x^8#K6t%OwYSkH-_zRX;SB3goX z&pt+S!E{wIm>INYre=pC?X-HW%`;=Je*6f7zhN^Q=4Rz6br<`{=8lr`K>P|O{ zwc{!Z4_VhIZm8Cr#T~@I_E_j7R4yKYh7;fD#In_SCZjTiN+Cg=;lHY1#(H{tVs!X6 zEz2tGa~!5doaAEhc*#W#CK9yqF8jwfh!S?3v`;Q}Ax(!8!4WfURjo^5V0dzXkZG|r(c+a7Jb1g)**HYkCbJeVDYre5qyMyO z-&cz0CeC%_;peMdo7yqOU*>%kMgXQ0*^9 z6gmW(H$S^bPR|;Kr2xw}$My{%Ed|l&xRwLuyb={*Z6h5>6Z+%Rml`zD{n|t}{oEF2 z$fEgRcYQI2LVm$Q0oh)dE5d8h`WrDuzTdS;Bu8-l|Nkrvm3(Yl<4*-JtIMU0DmmY) z=90L^-77lUMU>MC_RiYGL~*a$ExgxdWvOb%4Lkd81cgJ1a}bi@>FVk zJc@V|5rO&bfTNtjVL-B{$N)5Be`3J-lhV-yGDjC^VArRyf$S#JH^ zrFj3ruY<$>Qy|atco4TS3V1<>ml&rN%S8?K8W0?{FxvdyDUgV?BzRN-1itnu?-I5lU;Sswd`ITSHWeqA`RRs;Idws)}f-DKXDtR#Q=- z<{?t^5Ml};5q_TU`o6zE-u13`eb!m`-m~}GXP>jq`kcG=J@@REmBG^lB@&ASOXbMa z{XFJ5T$bNGNsG)@hfMWAC(wDoM76JSl7Aa+Z<;cdYqc$_bO?y!Py$FE5;V*+Tk7>d zs7IszaRo>FIa$}bs{EyqwdcG8zMKd&Ro78Noz-z~1vl1DK)b58P1GrF@sxXAz6m7) zJkDQ3&3dS|T~%oXpAt9fMYp4T9tME-PNTF9H!a*YmsUSDBhK7F`J?-3*IW)|s>z!h z$DSn%sjySR!jzgClmnP8awE{VVK}{MaUxHB^A)$Ln!%F_)T z>yEfb_*u_7la7!h&9E%0zN#ah+(`_oTY&ylAw&ok=wL8LK*yH*rxeA_c?55s}50aAH>hvHt$VR}8^}vLI#q#a-dJI41 z-1S8bx4k(v%3!@Bq1NVcu!fGd=BhWGZ({UP?Q*B7B%#r?C*`SaRmzpG*=!uMuZDB0czRdrieeTru_g6xQqMrAD) zjIr_3JO`6kTIwj+p5R=N-*H~_cGJq9i;_|bKkBey&&W`~-YZ(?CG+BWno?Y;_D-+2;zX%y++g{wfCPffmcXFMIukdQ{6 zZhDqXm)LwxfR~eL0T9hG^@pxW3t03>9Q3mwmrJ5V-%z6Wv@_HHpH<(Ay85*FYj0)g>pxk2hwegURoS(cdxRX8KILqMWm8fjqlV7@xb9LKt<~<>jSjSNJs=nYf zg0DkGA$W8YsXAegLG??L@*xUZb+iRuT|IG5gV-bUV^TTVtjxe%kz7j|>mf=~h zIW@gsv2udWLgu)dw+la~zZrI6L{EtJX1vDLANxc;1#+-(RW_v&<)+zcKVu}VXfg9_ z?AwzaIdYdEcKfojY`aC*`KJ!taf;yj!RSDzMCnRP&qs^?$AZQEQ7ACv?uG0v9)Gdz zClgZMaTMjUma7LIrLKy~b4IHYU-b%}HVu;Wr^ineYU)1sU-rKzXzV}TlWOiL=jS47 z9ujBcla8>_%*-t;?y}PX+2BIa01`K0=RM^Grc7arC@~t`f%SzcK^YN|-Ueb;U)XYd zMkC~VKH0c`G*na{l&egm{gYaiuE#A278j!L?U9sLzsk;ci(;< zDo$YXVyF)5x&eN6$(n~B_@lz?j|sJ~m>m+WpE4InXhi%oS`YY61qz2hOk3~oe2mkx zgH#G7jh9@^Do4mwe9X16UapTrZ5_&FYoo_?ikrjtM6zqKsgnA6fNc0hfWws2{^v2Xggv=88-=A~s^(IEyWY?uV! z3vg{>GxjO{skr#$fuov!BzT7fZZXaL>Or-NnEwx@$5QGMU?VRb3mdm~&8jT+##rGR zfMjX);j$SW!l;`+z;(^-cl7!{de^{}4;kx!Otp6{K6jAV_)AbGN8rJkGLOlr8U&Ns z@Xye^W6>)o}`NlMU3#jbZJml-%YD;Va*W!#sDf)ov zYkDoqpEMd@wvIZi1GN(T*a_D+{{9r&*Mfmvy|sUxXp@Yyf95rQ5E1puEs$Wwi-&b&`)S6yP$Fo|BS!y~jnGZZ%#oDN|j$+0nYY-ITT1f-26E zm*Fub09)pMX^?LCdn~7*a2r`>6D7;rz|z0`ci#prG&Bi?i`J zDTUJGP@K6gFt$Jl{d9sYsAvINZA7gdZ{j7q^LcVeNOs&*LDaFqPTz+;cv5yq zx6hsz3VfCS~DjiIk<>YRW#9b zuC!mV3Qno^DK6z_owR6RHiy@|l-(6}`4e-I@S<9=7O9wWVB$zR=DTGVEl~<)KKFrC8Rt(ZEcW=2(ZVP5}63%!WVNL4HjfQuB`(a5UPZ)5o)|9j>%=+U!_1H`L}3#BHS zI18pP0#uSW!au~4ctV^VX6Dy4&Y?4&g(n;x8p2c7tTjrghnjK%( z;NqZVIXz7u4?R#!f+F%nA9P?ldib003}+uj;n4YYtq9k3Rm>j><`i#Jpg@B0M(trL4)6(;d3dZx|0mmfc1l4$pH z@Yf8blSalk~FkE%k_4bh(7iX0yA!${0E zs6iu{+nzu09zRtrt8&f#jS`yI-rk%V7sUG3u|y+tEB8Ay)_iJf21pOm9rJhp+x)u~k&OwKl4p7maQ0 zZJnfFmaKKodsFiqo;UvH;O%yjJtLB}CSHmDz{NQAT1^3HQ2ON3T8v1l_|>!PqTX6e zqdI(%H<;Mh(1ppvbM4*Fl5X-@O|BXIWtg8ZxHZJ$b}{%I2DDX7t{mO83oM@)7g!eP zzP5|NpUdiGYQ1j*w}Brhe&c!Z==pVc)H9sJXOprC*GCntACxayRstW}gqyVLg0t{! zt%FeQ+CgZ1=-`N=yOpAyV{zf}cRAzw3J&9^sQxIB+Js9Ndx`X@Qgvy4LSuo3P7O|P zBGqD*PHE%tC%;nd{zE0lq_CFa?{P8Gw)|!pk0D}s|6S!twKR4-in4W^q(d5q2E09D zu1EdRiw)D*Jj(MaGoy#9<;3Pxx8>=>>nO4tm>U3*11n={fG&K_zQ~fVfwSK|)qJ$x z0oAy*Y-snf=5=g=Y-thfab8{HU0zW(gd0T5LwhDnQkd=u6aPq>`yDajw}N-{ah8`J zqBmwu!X)pcZb#FZeu`1p6V3c<9HTsv#27AlM=7A{<}1Nkz>K)$P!rDe=9(li<<6b4 z#N%{Z-wJV+KU9>L{m0;|2Gkt!WSsn1v9ftfiPRcMNd_4Tz#`l6D(>2MtuCFjg zKJPaRYuH-OXP2LZOOCr<#8MvoS4`xD^cD*n0?MOq$My2u=y)qqc<5-UigYpmtbP1A z6W?L2g|+MA&i_pD{ZZ?U6P5DXD;#YQ{|HMc@XP{*t{16|jfRcsRVu#UDCRxxYyBw( z-$3~JrIywg)YEuf;agz1u5B@(%GxS$6_HvCl@z(r%GUaNW!0@l)&B0L+0hsJtfu^E zcWM5@G9ONOXm0Mba>7q40u9oI_nMvsyhVN`kpr!eQV@T-L0s;@FX%lOWSon4N z>*Z%ZV^9|;k3m-F^!JLS_j6~#*G(YIMJmyVJTo(5G%t6e^xL@r`<8KsMu5EPA>!4` zw-?paHKi3oRwvU^!$KZteiL+EozNU=U2`PLk-4dHryi>lIpy4w%$p2{bV1?GZ4Q$L zP?;elGqq7Q5)pI?!i|W9m z3F^?&x}faE%SRp=C0q4j6+M7!MiHI|KCQ_-OJIP5I!?1OH8&^7Tj^Txm2CvP36l*e(e#3WYf zPv!mtFY{FV8f<3K#Xk|{=lU99k{2W}ufNLH zo%KOcE&89MU?k&KEXfgSknIaU(=S^QtDdoKr9bV!eS4YLb3RryfKTt#TjK15xO*9V zhTTu&uW`Fa@Dq>uL zB%2-N(?1&&OkmO=(fNCTcMCBj$}9)GlzhYYAfjah53aNWnUR39{w`T%s65%J8OpP;!Vxt$^z%nE`+6@h(9-ukBj(@II9(T*jeKk#dkH)zU~Gow3Eq)WRHz<`j@6 z$*g&iyI)|fXw_xZIB)}_upy5??#9cU^w}2)@-=c60RlGo6gHd?Xf0j%w5ceD$T@$! z2UZ)yElOC5Cw5vSs@_=SVN*$6o|xEV}iT;eZ@0@Qr>s>ZgYz-%!;;l06GC? zqe%fm>@Vy1niF>}Vjn+3H%P1N_E&UNO43SNJ+Bfej_JjH0LGl^);ZsLmSBE=@eayI zvd^cC>q4xu)(b0cF7cH7RyEZg^N_K#2Gi@HH$tlaeCE3FSY#^wf%+XcUHD~=PWq{= zt|#xP;aFIl3h87|y7-QTl^>&Y{5994Hw_E=ce^DSbL0~lO}A<}JX0p~1gqD=6ZtBO zi~E#FcZj;@ojcT5^UeqmuRaR_Ub+x2^cn^ZV}ShndI=)(C!0DkEp#WTwn|ZWY(B8K zTp1yKt|GZI6#nQ(9>;rq#@~g;&qXFUawK*U@72YEl)S(6O=Ug3fJJ(^ODD)G^>u~fu6{QgZ?WEg0ZmRheB)4luhKODWO#u9*Ro8d= zF8Cxn_uq%_$^jpWC7b^a(%<`?EB!R+nPd9v4|?~5>Qu$$4o76y5xa0D5P1KUC)RPD zNtvIw3GwnSt1ocmy7$Gpgp@70i7zo~B(;Q#{zxgDH;w<^+QnJ8=jJQ3tj2l)ad1S*ekAk%o<J6xYvm0Q>T@%xsc=T7Q)jqr-p>B(^#u2{2r zb6b^*KTbnKK&gFWt}3v73lnd4!vBzIR$il*WX?++(c4Mg{SR_woX--cXvT*V01^Hc zjQ?x+{Wcp;UfSrw&!Y$bEkcfiAw(3G&&C6b8OJBU5W;0Qim`_Wq z-^7FB7?(=wPIQb}#Y1_NyJZqIzlle40ODtM5;AfYQH?5T*)GAe5nyBit# zMmaY8Fb?@xpxoN)8<(VoIj{;Y3w|`suaO}J|5-2Zxt>X@e!kAJ<rd zMl^zdA0pY?|0Vaz54rWqDN0NyI5TuQfJfj1^AcUdGl$-$f4l&f8Gix+L#n62Y2*9& z$e;$jKc-psj?uFu@~liS;XYw{?!Ae9v%J}aH|`)%>vQAmDq`6eLA2`fZxc z;=p7(wGvgL)Di+)Nyus;tjpk|X7gn5bIW+dpGwbO;P2Iy+4`OdV37+p2u}DVvrTEj z0Ff@|S%Z*~2F?DM2plf6O@pe`hO8OC!QYKe%&A!=1>>yrwMU%4WI0^u}(3>xE&7_i$urjEm zNj7mb6L3!9)7DdMhH2?XrmBLFa$QrZnGJ9s*T$owII9N~o{G2pR2ER2a zA~aSNLeh3P1~GAu&ng4xj?ovHU?Ude+9FvplF1u z9i=<>;&1!`%{cvAT^5HKx&r=Oxt{y7C~bY^64RZuNn^-(3@PhY27K_}E_W*3dT611 zkUo8N-1A@+2`_G89W|WA+w@%??I7+9huBG zZ!O^B0ZyYmkJ;5a-E|LI-|);vULHIjxnyVlzkiFev4IIAWD^CZqzNmShrvGNOfS#tin%13%I*XpprbOSzX11D1Uh4Zm zL6DKixfNfG--K(jnZI&&W1xxhitK&^H~N$N{jwkw2H3NT$ga8JBj;xA-%u7*ivh}^ z_bc7Zsqwkk# zd%7LlS*mJmHz!b5Ws*!v%L5#H)jIxZ&rg#;>$2`2xJs@vLu)xA}bbDd+)J z(ufTHF*gzuO*TeJ$Ns@%TT`JP_C>|R&^#yW#cP2JOu@7qQFKeRfe-Wsl_+fL%`(k` z^?4k0zru?*n#iLoqa!mSzY;WbcgElLq*9!ONx@zoK7gA65JR{pA&CLE!kL{xVQLS$ zU*ytH3X&69T7gD*aRejPEg^~v9SfgHS6YmAg7?sS3TCA8&ro~UEK2%q(isB{4b9nE z0PUNUR%1>OThHoui5~CQBE7%7WN-1x+>Cn4oHpaXvvjEU*?#90;#y23EnmVdU8g6T z>aVKHBlwkd)do*faz0O>U9*GkaIUp_hBl@= zwJ>@4&Ty0vrqto>%1uKlgT^#JpzTIq@RDrUJ-*jNKd3fePZPGTzc66XM~*5kunoht z8f3PG?fTCcW|F`4f%bv6+hngceu~Rx*C2w-mE)^)9{pnGAB*3s$r~h}+-M6_veGh_9cN9g&xw?R)*C+T=Yo>CXriFK~aU-*&H_Kxk>V$Y^ixg z3$~+_e+zwjax?LON?Rs+yLUBv|KJs;#LGIaCxU!2 zr8_v;ThGq^2dx%#p8x;= diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg deleted file mode 100755 index fb6216a..0000000 --- a/cpld/db/GR8RAM.fit.qmsg +++ /dev/null @@ -1,38 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1631597728526 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1631597728536 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1631597728586 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1631597728586 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1631597728726 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1631597728736 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1631597728876 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1631597729026 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1631597729036 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1631597729036 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1631597729046 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1631597729046 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1631597729046 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1631597729066 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1631597729066 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 418 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 94 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1631597729066 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1631597729086 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1631597729116 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1631597729116 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1631597729116 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1631597729116 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597729186 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1631597729306 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597729566 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1631597729576 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1631597730096 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597730096 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1631597730126 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "34 " "Router estimated average interconnect usage is 34% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "34 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1631597730346 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1631597730346 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597730656 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1631597730666 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597730666 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1631597730716 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1631597730776 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "544 " "Peak virtual memory: 544 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1631597730806 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 14 01:35:30 2021 " "Processing ended: Tue Sep 14 01:35:30 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1631597730806 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1631597730806 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1631597730806 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1631597730806 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info deleted file mode 100755 index 554e4da..0000000 --- a/cpld/db/GR8RAM.hier_info +++ /dev/null @@ -1,220 +0,0 @@ -|GR8RAM -C25M => SA[0]~reg0.CLK -C25M => SA[1]~reg0.CLK -C25M => SA[2]~reg0.CLK -C25M => SA[3]~reg0.CLK -C25M => SA[4]~reg0.CLK -C25M => SA[5]~reg0.CLK -C25M => SA[6]~reg0.CLK -C25M => SA[7]~reg0.CLK -C25M => SA[8]~reg0.CLK -C25M => SA[9]~reg0.CLK -C25M => SA[10]~reg0.CLK -C25M => SA[11]~reg0.CLK -C25M => SA[12]~reg0.CLK -C25M => SBA[0]~reg0.CLK -C25M => SBA[1]~reg0.CLK -C25M => DQMH~reg0.CLK -C25M => DQML~reg0.CLK -C25M => SDOE.CLK -C25M => nSWE~reg0.CLK -C25M => nCAS~reg0.CLK -C25M => nRAS~reg0.CLK -C25M => nRCS~reg0.CLK -C25M => RCKE~reg0.CLK -C25M => WRD[0].CLK -C25M => WRD[1].CLK -C25M => WRD[2].CLK -C25M => WRD[3].CLK -C25M => WRD[4].CLK -C25M => WRD[5].CLK -C25M => WRD[6].CLK -C25M => WRD[7].CLK -C25M => MOSIout.CLK -C25M => FCKOE.CLK -C25M => MOSIOE.CLK -C25M => FCS.CLK -C25M => FCKout.CLK -C25M => Bank.CLK -C25M => AddrIncH.CLK -C25M => AddrIncM.CLK -C25M => AddrIncL.CLK -C25M => Addr[0].CLK -C25M => Addr[1].CLK -C25M => Addr[2].CLK -C25M => Addr[3].CLK -C25M => Addr[4].CLK -C25M => Addr[5].CLK -C25M => Addr[6].CLK -C25M => Addr[7].CLK -C25M => Addr[8].CLK -C25M => Addr[9].CLK -C25M => Addr[10].CLK -C25M => Addr[11].CLK -C25M => Addr[12].CLK -C25M => Addr[13].CLK -C25M => Addr[14].CLK -C25M => Addr[15].CLK -C25M => Addr[16].CLK -C25M => Addr[17].CLK -C25M => Addr[18].CLK -C25M => Addr[19].CLK -C25M => Addr[20].CLK -C25M => Addr[21].CLK -C25M => Addr[22].CLK -C25M => Addr[23].CLK -C25M => IOROMEN.CLK -C25M => nIOSTRBr.CLK -C25M => REGEN.CLK -C25M => nRESout~reg0.CLK -C25M => LS[0].CLK -C25M => LS[1].CLK -C25M => LS[2].CLK -C25M => LS[3].CLK -C25M => LS[4].CLK -C25M => LS[5].CLK -C25M => LS[6].CLK -C25M => LS[7].CLK -C25M => LS[8].CLK -C25M => LS[9].CLK -C25M => LS[10].CLK -C25M => LS[11].CLK -C25M => LS[12].CLK -C25M => LS[13].CLK -C25M => PS[0].CLK -C25M => PS[1].CLK -C25M => PS[2].CLK -C25M => PS[3].CLK -C25M => SetFWr[0].CLK -C25M => SetFWr[1].CLK -C25M => SetFWLoaded.CLK -C25M => nRESr.CLK -C25M => nRESf[0].CLK -C25M => nRESf[1].CLK -C25M => nRESf[2].CLK -C25M => nRESf[3].CLK -C25M => PHI0r2.CLK -C25M => PHI0r1.CLK -C25M => IS~7.DATAIN -C25M => RDD[0].CLK -C25M => RDD[1].CLK -C25M => RDD[2].CLK -C25M => RDD[3].CLK -C25M => RDD[4].CLK -C25M => RDD[5].CLK -C25M => RDD[6].CLK -C25M => RDD[7].CLK -PHI0 => comb.IN1 -PHI0 => nWEr.CLK -PHI0 => RAr[0].CLK -PHI0 => RAr[1].CLK -PHI0 => RAr[2].CLK -PHI0 => RAr[3].CLK -PHI0 => RAr[4].CLK -PHI0 => RAr[5].CLK -PHI0 => RAr[6].CLK -PHI0 => RAr[7].CLK -PHI0 => RAr[8].CLK -PHI0 => RAr[9].CLK -PHI0 => RAr[10].CLK -PHI0 => RAr[11].CLK -PHI0 => CXXXr.CLK -PHI0 => PHI0r1.DATAIN -nRES => nRESf[0].DATAIN -nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SetFW[0] => SetFWr[0].DATAIN -SetFW[1] => SetFWr[1].DATAIN -INTin => INTout.DATAIN -INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE -DMAin => DMAout.DATAIN -DMAout <= DMAin.DB_MAX_OUTPUT_PORT_TYPE -nNMIout <= -nIRQout <= -nRDYout <= -nINHout <= -RWout <= -nDMAout <= -RA[0] => RAr[0].DATAIN -RA[0] => Equal16.IN10 -RA[1] => RAr[1].DATAIN -RA[1] => Equal16.IN9 -RA[2] => RAr[2].DATAIN -RA[2] => Equal16.IN8 -RA[3] => RAr[3].DATAIN -RA[3] => Equal16.IN7 -RA[4] => RAr[4].DATAIN -RA[4] => Equal16.IN6 -RA[5] => RAr[5].DATAIN -RA[5] => Equal16.IN5 -RA[6] => RAr[6].DATAIN -RA[6] => Equal16.IN4 -RA[7] => RAr[7].DATAIN -RA[7] => Equal16.IN3 -RA[8] => RAr[8].DATAIN -RA[8] => Equal16.IN2 -RA[9] => RAr[9].DATAIN -RA[9] => Equal16.IN1 -RA[10] => RAr[10].DATAIN -RA[10] => Equal16.IN0 -RA[11] => RAr[11].DATAIN -RA[12] => Equal8.IN1 -RA[13] => Equal8.IN0 -RA[14] => Equal8.IN3 -RA[15] => Equal8.IN2 -nWE => comb.IN1 -nWE => nWEr.DATAIN -RD[0] <> RD[0] -RD[1] <> RD[1] -RD[2] <> RD[2] -RD[3] <> RD[3] -RD[4] <> RD[4] -RD[5] <> RD[5] -RD[6] <> RD[6] -RD[7] <> RD[7] -RAdir <= -RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE -nIOSEL => comb.IN0 -nIOSEL => always7.IN1 -nDEVSEL => comb.IN1 -nDEVSEL => RAMSEL.IN1 -nDEVSEL => comb.IN1 -nDEVSEL => RAMRegSEL.IN1 -nIOSTRB => nIOSTRBr.DATAIN -nIOSTRB => comb.IN1 -nIOSTRB => comb.IN1 -SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[1] <= SA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[2] <= SA[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[3] <= SA[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[4] <= SA[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[5] <= SA[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[6] <= SA[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[7] <= SA[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[8] <= SA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[9] <= SA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[10] <= SA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[11] <= SA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[12] <= SA[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nCAS <= nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nSWE <= nSWE~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQML <= DQML~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQMH <= DQMH~reg0.DB_MAX_OUTPUT_PORT_TYPE -RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE -SD[0] <> SD[0] -SD[1] <> SD[1] -SD[2] <> SD[2] -SD[3] <> SD[3] -SD[4] <> SD[4] -SD[5] <> SD[5] -SD[6] <> SD[6] -SD[7] <> SD[7] -nFCS <= nFCS.DB_MAX_OUTPUT_PORT_TYPE -FCK <= FCK.DB_MAX_OUTPUT_PORT_TYPE -MISO => WRD.DATAB -MOSI <> MOSI - - diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif deleted file mode 100755 index 6af5f23ab6032b8a57cba665218728f39b59e0e8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 438 zcmV;n0ZIO>4*>uG0001ZoNZCTZlf?1yj$9Tu++=$0cs#1a-=CCUJ={{LXQ?PFNw7T zx`srm+Wq%6kZmg^0%VwZkC`{`*Qr$fsl$|FZ)QBm9u=TE**4g6Ok!I2;Uwz{+m4Ni zcW>GEHnAL>fjUb8_^A}Xv4yjr_!+i1tYoh{kvl`a=h=uYm!D5E-ui7>@ZpHz47H8O zewnofcY182Q9hhw=_rFzw3{h`B#F)(3M-NdJkV)YnbXbE3iu8BJgO)vXb z6s3L|to3)-x2oI&sOwsIaAa}TK_fqioWf&a4prUD9pqjG&6xM@rI_L#4Uj_-=R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE diff --git a/cpld/db/GR8RAM.lpc.html b/cpld/db/GR8RAM.lpc.html deleted file mode 100755 index fbc5ab5..0000000 --- a/cpld/db/GR8RAM.lpc.html +++ /dev/null @@ -1,18 +0,0 @@ - - - - - - - - - - - - - - - - - -
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb deleted file mode 100755 index adf85893697a16a10def32b9c3988ffe5b83d41d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 413 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRZULDF1nN+FA%x2?7s{_-oYQ}n>yUv! z%k1u^2k$Qi&pX*v5C_(z-&?;e{JRCBOZ~qyC(4bOf$Z@WJ*wL(5hQbe(Ma^ z?wfI9Uadl`^rm}KWwCj`bXgaO-I(X`GyP%J$vKXE_gsbZzb{3l295mHHVzKcchv{|xP3o44np$iBiiJ2W02bG#Um6nAmm9)8y+v|~z5lFA6 zdGp3o)Gen|5=QXP-Z{xt4>Lvv_q z%5m~hEAjgLQU(h%!8T2ev&X5;4v>JBiy{@s&<9goC zg{6_VWB{!62P)?nLP_*pYf275ZJJ$k^;B8lHYwO8s)11%Znab3}#FsRU9s7r) zU4;cA3nsGnlr3BL-A9!}@$@_W`R?9lblcV$t0^P+19Jjz9l8Iybw0`nwn^>TzgKmw zi(9!>{FT*Sc{8*it8^q?q4BG@X|_p~lD~=0;u&d{pQ(%0 z7TX#dI_I!*e*I}qE16)ZS~%0EA!PlAX_ct
<{)*o!M)t5tBaDREyU!9~FXJ}WxZ z=yEfN`U7%PGB9Tg6~DV`@M(%#{4u05nf100yV7Ep8H7W2_i@VO*MWehWu38!RDUwB z>koj>P9s1Bu^DA$oHh%z#C_9?~adG7n6-WmnB-ST+lTE`kV*J zR%>8w!mS|Dkb+ySy$JM@CH~ z&y@cQqfuQ@;l49GYUNk(XN=r80pqPQQF|$ODIW=GD+L5)(^hnl=uX)lHL2g3^e;@V zYiRsfR8G0uLc(d3=Xu7QaDG|~I1dZI+YhNI<1313a`nr-3`?YWw;E#Uy#EG|p%Ikt zV9bhFR#C^q|CUXxO3oLF_#7pf3L#S|2zjXI9Y(hKKW#Q6v2VW@EI$z9cp<(E2Jq9d z4^Dhx&gR5`e=Wu5>Q^ZtBltLRnn}G#jln>%&Rv}Q$tW!jLzKcP4A^9r=X$CJ!K%}G z#&T{e+L)Ypw-iExSiH?CASox=;l!!G*)f>s^{F_PkIbt}m?j1pGXV-pI#TiU}0hW?uiiWRWYp)c1!7_4 ze528Lp%I&)MVKg`%STFo(CB=UZpP?N??2lT6(Z&b*5-+6k2rmmh$XY3Xk{sq zj|IOVY*JN{G~??I5z7ai!aUsjR%1Ty+=EvmvnwBeI~&D&KiCW877{nVIWX(bj>c2q zBvO|N8bF;(9aWQx`PX0_gOZvyaLt2EcZE08sk0N=GK^Q}{RxlQ*gPiY8zqY%o+Xvi zAuZxmKEx?Ef|gNzTX(0{l7!=Oxx}_--4+%k5i-n)ACuCp#W@Cxh;M$!M8$izizy1;6W;Pql081eiUt=Q6vd1#$#Li8#hhvsy2E)UnaalMeI zzY#e9n8wC4F(2m}`eh;YuN6uXb~Fa7<^j3>Z>E8l&cS<`xQ>cHBXT-Ra#Yng!M-$~ zugzn=9~BDIfc6n+el|sZ?U+Cp;V5t{R?b_v!?eP#QV)ul@XG&I&PW19GDkpYy+B}m zH}g{cUbCY|aqi*`-qP?kY!6XlF>uBg3AH4`dgs)y9TJQjSxw+Bn#tFI4G6e7xZM#3 zv}De&zNRinr9~(_&8${e#I7`{RPMWmbVu>m2i*zcPr-imQX%gCe~f>k|K1lOrNFZ! zQN()n@Mb7Fy4o*ZyhHQPx3TrvDMX*e?19XQNECIgAM0&{0$nVn%}@hf!cg9NGbu5A z7o`{bZdirNx?zk|{L)j40C;2D`L{YOR6ya(9zhhKt#4J3iu>+DUtp@$QF}Q0pi~(z zMPIDJ**awSpJJ(@6!~z*_kzjNYe#MUg2i=iB%1+G+O!(|o(YcckV?V9co^*R|}LW@PF zMQH^x#z%_56vWMqD7F+oW+`5#6Dh#{0m>=z(VysDqcW6>S1sc8q9IvO^Q$?)N1@W~68or=e#bdh`IfvO(S~xT@fV!`knzvI-oby% zzz}4czf;b$Lv_`5A9YQ>xsqRh6HhCD;K%lKtU+)Y<2Q2ng%a?10S>*2DXml=5!LI| zhOnzjMOPaWsYXZKHTJG40w?`#cZ&>>C3p5HZLYS_72Z|9*X7I;m#8Xb%tE7QpFi;K zOTO$diM-vGX16Y6h{XJyWTmy+YLU%GY73}Mr9IeK)*VMn2SYY1>T&Q+!h>_TO%n-$ zDF$*#knVP0qG6wD;#dyB_HC}ndXK0rELV6tpR8A(3EPtL$T2e@`5g?aZ}9Rx1}*cA z5DvHwVe^XzE6JJ<1-`vP;&iUkJG2{>v$#2^&l!Ip-wsPDGs7P^o!`syAeOMnQ0X=r z-&YmwETiXINIZ&cC9E~{*K_&h50V}ZtY1v@z%flH^UoQx%eV2V97Xd-?oNLDTu}QC zao6;jIx*d<7WTJa8xG%lP!|Ukg0W4_8lP2I`J09we)Xg5LYv9qh0mSA|?3}WRi>pK*uaqqrbYDB>hz9q?=Vf8&myfe7P8LH^P~0tKxs= z@bbQAPH_PLp?K^EuRh7V%vU~T3EG>;?0a~RQOH%d;mi&#zohZhXuaFF!3S-Tnf=`J zIORyu870ME3aj3$($u#$Qh02pYBrFSq_Hew2-+WFPbrP~UH#3gcjq+tk`QnHYZ(3S z{jbQ9h;;6D?J@gn9dOHyqP6HReFyvHCUxw*ev7fugm}>CiiN0BqV{DfTyi@S;O$l{ zBj2Hgn~wM>#-ba_QFwQYv&rrYnZ})6SS4~-DrUmYAd2bO{a?#la74PtPy3Wi%M;E|S5`f#RUyC98*iVtL~b9)EKt)Z~6Z&g&TfR4NJ>9F8E?)76J z$zBoYC}~66<{vrD=bJhD?|$Lf=@jYcks01E4=a4-fVQi!do!VCHn^168fd*y+GMl> zfhFD=7xOo71eL@YNVAV-xNQ1v;l+GIxM;=Z#WI7Nz7Y7ZJ!%W?03hph?B${dkWSj$ zRBfB&=giO)h;aXO;asI7{BB43`A=)BHw4jk@AV#HtbP04f9XH(BNls=i}ZdBF@Z|y zkXmK9P^v1XprpuY2M~T2I$--ObTBe-p^$1D5nn_WVO#)HZ6+;ZnvXJ+fzw@ zh=_;{LK5%i?+Y3EQagGqG08|LXUx)FH~NKl z6wDZgBzd>?3A^o6{sA@XXD-O){`eMflt9KR?prT^?i-9D|1O)ibYy;cV7!9dP3(h= zzyATHO%d;dx_<4X{dRA>c~s8AWysA$nhLsS?4-;anHW-{gt{go4M85EVvq^s3|!!Q zFoa^+5Nn`sEEkdD!$186%Z_0b%-=`mW9=HkmlB#RM+`@E7$n6XlU$l*M3M{&P;@IM z#qUQ^CbgPK5TXMm5)v?%Vr!m{eP$>&l~fzc75BG|;P-RH6Hheadb9Vw>03YQ?s+@g z!>7H@ceXOC-jd#i_RFGTyuzwd_Q$4u{9Gup8&A@)7<0cEg-zTnllcW{%}UUn(q7U} zvPA*t(|64KJoyM8+?!IuBC^@isJ)y)TY)5ycjGh(>$BcSTyHcut4#a;7g+x}j6uMb zVwQPDt2gym(hQ`A%FHYvB!;p$tRG#eIi$KAleW%e0o{O-1jI|Dn2!1_k!tnWX2EIK z*tP2$!O0UZH3ycDD#GlkHTp8A8;ezgoHbkSZF!4=J1-);DLz*yHb&$L&ZTvAkCY7IOP=4=(U(>n1- z>gO7O51R5iy-sq{ssq`G=R)at?45+}T5t-3n0$iMN~|4D0bRycnrobAfRS>JN;!3) zEMH{dTz$#deRe#ie}3HUPdqFPaxK9s0t-%y+89_*pwTMPpj~KYdkS9+aj7)!2r<4b zpCDz;B48^Fd5%hon1uMX32k%^YrO=-qHSXD^~%##tzuSANG#2Y{Lv{8F{mcoojUd) zi=fEucN2hOGVur{)gGF=hiWuN{BFr*?PEqrQHzOKcC#s94zJ~ngQb4)@GwbjPiZnf zM51%KSAAVo5hmVw9|{&4`wj~V>cZpYugW??15U=DjRG8dfV{7(YV_$YC>M*u2b}M?P+ki5$Xa`gK!N$G?LT|k1ZVEycf~U%Sp<`a@FzLC{j$^o`Hs%W4+pL15 zuiTaE1evVU#X-_3iQ@pZF~p^y0z~1}VG(ODY4*^O2^eUr>?$R)Ktp*odSUsYjXFP`2LbhB z_qLulPOw&%V&ykg{<#&N191av|MVG{%a@H`C9(Kbf79+4gmnJ)7xD)!dq#Y)KxTo=4k8`Um9@B{DClFnSmRV5lLzj147C_5GFRuEbbpH#9~E^d^>q^y3NR<&Ygy7n8#cX)@X6`1kuEvV#YX-d6`O_b=e{U=&CRf zvYQWcjR(cwyj2UVi{(0vdhJF7ISz_z8mUcZz7czR@IyOj@jZ-6#o~_I0meCBld+XW zSutFRV&$~!b$p_(D2)4hTO@$O=%U3ekM`i*=4)MK3--aEUQbt*H2tqK5|z|Y@1L`U_~PSgoG@X#q{N+I z4|^HjVpwR#HZSW7(T@Vbn_985VU1jLWP{2h1l@Is#(Tgs0C8Taut`V{-wLxuKT7Zp zM%w(15AiK$CCnnMFwTYR-2+FQ`GJljF&D(8l5!L#=Tu02aNn~@%;D%H%=h?@oQ^rb zXQBr?J|ud*!ufBEM&Y#}#CS;3JC`9NfYt#!JQ&Pr7n_hV+TNL}wmA4h*86OXY}0}G zzeZTs4S$dv$IkWXwTr6#I`LRb2phLO_wm4Oe z{Mf=7W38%9>sy*ppFA=UaNp~*IN}IfRu=v$!F#RJ^vQ0`I!qhcE$D`tamWC2#RFnt zqA~ycmxVxXcoG<^nG8YZ?a+S>Gx=-7OoC|5UT~&Fi-@0)bb_55vEWB98);JKh7n97 z${h341CqVoHATDKt_*vF_f-VX)~~}f1ik4!UT*Er;yoV!v;A1ScXhj*&=j!cz_w&H z9~Bdu=s$ooGxj^@Ccno>@Xv?*x)Q17J1!=ETabz6KpUMn;dm(mem4myfr@jH9s`z> z=A6JsR%M6R5|(Ss^` z=hT~zb=^;T2(aQxGD##%Pq;a|1fmkB2d{t-<1l2IUt@X@tCku)H+=BP8+}lYz1;B8 zF%OCW@#xYb0hj~9ySxFC?4)Zg&_@k=6#Q6`UyX%^_d`xDp;jC_oYn|@E8MqLyL2#x zL;4;=A)X?!_TGZ;JHGOomsD@yR;m0efeJs%DgxYl*Qzhoosi|Of2U&?TOinv;qY=~C|Weide zURh|O4ME^Hh*zAe+?!-i180SH%rY+2h}#y+i1Zd6iSS0*--^f!bCg4(;@YILB73qf zzomW(E0X?itph5G?Ed)92z`B(*vrDN@4(F@tCQ*?13#F<@{90|=RpqlA!BI9be@hYd8} z0(Rsf=y4bcyjbAYp*Y!oK+gWFfcP<{%-6M#rq{FQHL)=9E_)=Th$CLr3-O9?c*pADAdtgb~ehTF>Nr; zmo{>uLH$qo|EHBG*K_Dmpx88V3>rNa#!J@Ki95&BYhxv*LM&r2HSi80fodgbTADuy z@8Qv6X^ymb6Om9MT2s?FHErSN2j-sOedW`q`R7T>Y+;T3=`G$8fX4o&c8zT95~Q;e zjH)HNiTBlvL&AbN36)4a?7w*>Zt>wA3oSL9X2;1?G>&g%)Nx*>Y*F+%M@8HveAnCK zdDWP?iGQ%=UO6u^DY2A=lnYN1BtFPs9l-y506pGtNEI1jBL2BP8yBm^d|jz?8?aXi z?{wVU!j2o>Q`E7+wRy03y%PWmoi{+HA@ea>qi3jyCh)bN2vU5+A$ox;7 z4V>8*rn5{i{`W26yRdjR5&l~YlNBJASuIA40uBXEjPX)?#_zF+dm3Bom9;?=EDn0% zj!MA9`I1v@oF!VcTZ8>*;6*ai53pCSe>=8skT-(2>;6AcfJdzWt~UuCu^;|lN$UU3 zyO@AXmTE3paK{azq`^(WHQ30q3lx{$G3DNOYWMCp2H}j z*_>HB-1WD1cbG2T0ooRiOg?-`DwYaoaX3tqoH+AZUprk-pH#o+cjfX>LT!`~FseLm ztJPaqpH67EKB%B;zW-_7`FU8X8GohqP(R_z%gBhIt=7IP9W0}I{AE1N+evG`ogOw| zkU3;AwtG@EiERH;Wq!7i>TTaNF4@L8l{gM<=ZqgoyKAT(x1&=t0+#u9U(bZsTZncr z!B~^-+N;NLEyw(1wD#8r%0D|c!;n@u0pQf~3!-Fi_oi_>9`O8U1;Lux7>y&Y)p1o1 zC667awUpW#nedq7`{ZBz%|DuRFfx}cnq6(Q_M?A2@VhhV3!Qjv>ZRE;Bn~6g8EnYN zQmn=#x6+ZRtrd;p4Cg?fmn<~qXkjudoV36cYb$?BgLNo8WIW5V8J5ACM`Wb7VOME9 za^d|skEm!gz{T(0l)R523ugs1uFOS>{8_b2v7=Wn2SR?XSUhpmRCD?hWMo-PjGs6% z4`SDNHtQM>|F-Yh-o&-wOO0S6{lw`x%YW~$RbuT}JQ{G8?rk(8?AyzEPMax~T|4gk zt)vrGQ;(1=!k&4xIeA=zAgxuOGl0t^Q%_+Zz`zWfO!Dptv4H&G3=lKP^eGd17{VBP z6dD0FFeMjX_p0B;KkXb=EV%yFV>!AlMTCOmJz3hUt^s<-nwzKc=4N+~nM;!s{^d-` z3X!@!kJZ1#X2rXp0SStM$6WeCt=tz9h`mww7GG{@;vEJ@8rpxq=PR#Ay7bSCyt8H& zCE#r3zQop}T_xZgvMu#*1E~ft3+L&7QRS9jICP4b>eu~2vt(Fe$Ww&k&XmThM7^Wx zVN&rp^z0v?vF5=VOH?u%aGHLUc$T5y0Ozh0G@|N!+V4iQ| z)o+(-^V3eru<4X>Fp6?z2Fx7^CSBzGF(fD}zniZ}7(*5KY`&Ao{XqPJ-Od32QVmN?0OFBa{NuUmwa{ z?W>*#DVewA($XfTX~V&>g0yEkkek+D#adtdJKLh)(~I^@zoiDu#pa2f4o>L|w87ej zt=tnm=dbrI40fYfFS%y@9~^}uQ&?vv!{zpi4Kndp;znwc$1xFS2k!WoxhXQWquR&J8y`pM*o2ZGMdF9ZG& z`2;u;HDzk3u+FfR74-(wZsp&EaLl{ML4UjV-bFd*9)5ypx>J+2p92;b1G+}^xjsK? zP#*hS&aC?fYAe_SL$z#Hw#gDwsMWN z28#xoQ63$n-*e`ng%RVx`qdFK26B5*X>*9)ubQLkSwLMaAAQmG9i9MUjkUzXhvU34 zqPGJD8XpJHP>OtECe*c-M+Oql%*zxNBf0Yn&*oO`bN;;&`S70nVS{?|(Tb>I=%$cN;i)MYB3wlhL{_iV1~z)X z35!PXwKejv9m8+@bm zOR!$n(?M+Z;s|uM+X7AcL0!FZB=OggVwj}4@=5cGoI~)BIDHIB2sMs zG?D<~1kOYrzOV)_0HkR(DR*%#UzeSe@2a@r zcJ*ZT5){(-B$L!^l&;bdb7~=P;;xxJCF^csyPXMd`*z{Y)i?+Fg*l$!u(s#A!Jn6S zVp;&l4Ti=|skJwq6j(rLmSp1(!Owm6t4AYfo|!LFS`R4B(D-xPC8r#~K~Y9mGT7T? zDfv20c~!D0`nB>l=Xj>CGqsPucrM-O2}YB_;!j3FjVEyb)erZT4(;QVOAG!#)(Qg7 zY`cOJ@^F~C{h>>?_7Kj0BXT&5{EZLVHM7^P_4NsC>V~q?H1M1@!j2ldnU?_;pBst% z{&!t(kDINvY&PmEpA1&Oc^eCp$={QY2KQInIP=Ki?|>qG49tS^4h_(IN=yp)L${6Yu=q&UwMl)_e2+lwfOf9)+G{oE;k2%jpp;m zP+6E2vk_ZT*&JLl)4@;Nka#35JEfZ)cN;B^Ed=v6lRw;_tAk0E+)UU4UC z602OTKDe)jOmbQ+tT=?Xn?(-8As64b3n(*VM5l@NGec2Xj0jcB^SGk?RmX^sD!<}) zf7biJ`#UaS$bsnAZO0%QC+{o84*2NUx>A8^MZN#^WFvD~vX<1XFp$MpRB>}7eSX2| zs+T1GcF(VZ(G-h~hvwAj7B&G*2?ZUO`-l7{KfKfygK6c!jBf^k&11ebqwhWjI; zhu7FvlY@CkpeY}}XAZ;u%3LVSPnM#Yvj?BD>4P>D4LvJ#&zO}n<*YQNSvqNu7w{nV3B zrl^-{jwr;)iFYr)%VlLA-!bPOn-tw=vfrgyAHcGwgjSydCJD%PCvj}>e|>?M%LHkX zWT`YP(0})wYqGMoD*FU`DO02rU##44q&zyQ5)BmYH4qodeBLlX$rNm!rOr}$ei1Jx z%fu);w1){u7ro?hN3Ts9^m4tIox3^Xw-%%I2kySG$$YK9UvFfZd)bNj`50z?wjwQ) zA*M7OZbdD|wrkcVr?d-&JW^8Q9@5Z)Rq!tpb%xbK{Ok!Y)g+?AF#?7G ztvYFlsC;z_Ep$HGtxhz`8a}m?y%sUxQd&)5)sy?PGJ3Je^BG6P$Yn zNsKBlh}4z9bj#6pixSYwSc+$~qIbH*=qk4wWH0m5kzzNKw)h?%MFm)8=PP-XRyL$Q zS+n%W{x-t3hJ$p;{cSRrMMv_PL8KA#fIZxo-jz%pFMujU!A}*>B&R7Z3H^J&j=Jlt zysT=Q{U&wAB9)&kd z^HVpA$6`NKYewzpQURG;SQ%2@8zN$|_&7#@LhO1833B4ETh_(~x{JA7x%v(BOdkhc z@pq=uQ)$hjQ$~h4jyC?hetPf;=}a{=_-u-IT)iEg0P?RotLV=4`9*&LWuh9a%a1dK z-Rj0p0WNP!m$0*XyCixc->BkQ-$+S+dfNh?N{;=f@V;>}1HusEvCb)cp}4}41R*nE ziT%|s_xKb&64=RR+skVUOCLx>zgHi zVElR;N|nI)1V+5LA8`3dgAgjhIb)Kr)H>SWrvh|rgaet^=5pAygU8_WPCO^LfPLEd9FIX&*f`j3vrHAscTeSxX-?-JRe4_P5P0? zg<;5=tmuoxHZb-OBx-l?j*ac(W+-3{q?w%o`Sx!cnnB1$X&`9`5$MJ|kDz2Wyp4OK zuM(7w_AS>R3`*m}FpQA=#J0!A@Q0dhSzdksn-m}kYyG45(Z%yNm241&%yD|GX&E8$$OZ6&(D)9Xz9 zZG}r8kA*Tp%U)bhi~(El#KR5hE?vlMR+7~{v&!AVNijhHZ$M6n(O%3LWz#9Kr+&TT zrALuhtE>XxtrbWocl$gd4f5>*emFgu@{%)GZ>In3PbDN;3DrV^9Y5*%4DFq76u}&S zX*FZJF!WFY2R)sJ)gE)$fSwDD#Xuw4fxL-iqs4aE@Z7kgw7!P^4oCQ* zFXD&W_W@mi5i;AnBjpiah0UFDgbqKW^F*)*d3UDhnA(lMRDDFbB>dW+0T^SXH&k+} z;XTl-dMZT5=(|Cs(-3Q9Ky9TwoJpkWK}PT01`ue^xuRt&CKB?ea0^yg>AAOU#uB^)pz0y~s;VD0b+=D!pSyi6mNfW7;HPpA>ty5!F0Tp~; z;hcTk952840o+o8kUnb%Xj-E=R9azruA~~e)cs_^s-depo?GaUg(n*I_u;`-Yv8W@ zMquUIB(I}^W602Le>s|Y@e>f*2VtQ*&q3lpeInX|@cz^z@NL!NGG}{MTx)zdDt{ip z+3zI}IsXgq%ZGq7kDD33Es}tr{lDgp)PZUOTpu$xOoXH^_UP7;q%21b{RZ5UN>97B z%EBoxsk29vwi33~Mj;Ejr0-O`tq}a=A+fz}1Lh^C126W<=gC99EXIJnPMz@Auy`4p zv@nUwXIc1L)QIriclhP2K*%|te!_LSnDg3Bz6R`q75tt< znt-UgB8Jz#!I&N=qPAB82Jks58%#tY`D3jg)*lp^KqDLu9D z-w};4&?@p0WCJfiilroTj3h88MDEDuQwk? zqv>ny3LAB(x2)p)J9XIPw?KOu{AIrKQ+P2)-&Nr&1Zy`_Aw(v2rcfbK6b+H;E|K$<39pxCJ*ImSMvv4 zrzucV;S_X;5Pskc!KL^bbrTi*m(9@#t$yb$g4ZkNR!dRynY%gl*1Dh zA*uN}9NtMkNE{E_^q%!Hl`k`d&3nTAr2scLfnDkkijZ(ru$A+G+t5`-wNK&Iy|-Xk zA+R5_n*5yfs$@HLLJJK?zl%D#iBWXXTd}6%zRUg;s=d*I1cQ*dgoeLi|ZdgOJnjhKDOKJ3HLeAT0rg&|S0J*&5YD{x+(H8k*k4 zndp~1?hW+*i&^k@78!_MKj0Q&6q-*PW#47=P9F5mAuBxvMuD5N9=p$Iiyuc9C(dzi zicY(UZeNf}x^U5C#4t1yhn$9cans}=Il+`g+l<=&3a7(?H!BYiJ*?Z*frHKm(!<@3 zAhRN^DOWooRet~+yEZlDGQGs3A>N>?ya_A;(qTsFP{$e#C!_;=R=2dvJM8*I$q)E9 zwW54os1v=m*l9ZF%{RI;q;Yuw%L=jNmVn`aeBdivP=)#`mUU5WZb{kAcvOg1+*b}v zAR)#1M|b48x|DVC@9N3p>oIBknVNw+hLm>_fUUf)&+!L(QJxSe*Ua9)in3;oiwEgl7f%|KMGGajnl#o%!4cvt?|@Ebm3TXjspDoygU0>!GQyIOh!e73uJxFBtOAag` zifOnW!#nZ{Z{b0?H-U3re;~9%Zc{b02W8}&)*C9Jt6j1bH<#nbvfzJcyTzxWL@oPm z@AStFKWL3L*gQ_v7^(7~nOx$mCcHQsT@iuL@UBYsL$yME+Fz2QUU#ArLYv_l{c7CR zK;6q0!sXSY*a03r+${2)!A7?5n;99^FV#j*!h?mZ$eL_4R=` zd9?y~Z?YqQnS5cq`WqLEaiQ}s;#pkMO88&jOQ+o4A4AuZL=AsFT2r2^!`81AD}ZZo z@r@IotBSU`_D$x2-5JqbarU?~;@#ryg_-_KbGT%7ngASUY5@8vUj~A|9HO0UOs;sf0BGqJy}a>&+^j z2RS7;^&@4Wsa-_bQS66$hQHRI;Jcl2a2#sI8=4!y-PJ>QG!wFM@Z9JC4@;^G%kf zNDe25KBzKwA&xaZhokMgnl4E8!$k9e9M84EE$KUGKuFL?`m>hqMsm`J6K(q!Df?px6tAo>v=M5_3eqUL#*F7ImWdekRGK) zi3PR#6FmOjG3)ozwpY~amZ)r+s`GeawtnAg4IK1WmKbV-Rx_)NpZo ze)Vbl{aFyz0S`jnx3-W9N>(EeCkFbMx=FpXRF(6uPO5sz7x`xA>7z{Z?x57WeR6eb&l{k>sbyk zidl&k-hTJeYH#hoYM{NbpCP=k^wMLcFv9if^~bPhQL^hQ*=0i>_O8geFOO(ry5_Ij z{fgm;4nQ9bEtWY;;@7$bhMSLob?>GhJ^T{*%I{)N25aQu(``rClbWY;cd36|dlFRr zLnr#Nd^ZHJ!;#04NE_t`XHcEp!^RJBx9U9^XzAb2~EDmP;z5eJw`bx2UbFk%3OCn%$vwZ|be-LAM=+)MrroIV@f@u=MPa$YxVU;LKLq6^!uDZ@}>$r+u@ z0o{9oXDF>~Ro3AlzbXGfA`jCg?D0-BkRU5y0a7Lwpns{2yCNvGHIT=^4#Gnamf->1W!>^^@bGI{*eZ?3I}>)uLh&xY z0Se6H-W@5?W4=6?beBG+Tw`wJT};KBo)B%b?hK!jFR5(M>980Uz!iAY3?GmEsXL1v z)o(P!zWc3|480W9_=j(2MX}&cbKF0?GS+%rtBZlDbjBAwlB)|pq}PaA*S>C^_poY) z#7?ABrV8f{;a-zopojq-r^Ot*Iwb3@yNcEQ@;8W2JPgu~o7vD^L+&Te;&)146LJXQ zlt;g6;}CcM2q36$D@tRS^QEJNfj-`y;9OnLFEZ9>q^z?9TPHb+Ej|HcBq*c`>gMoa z833cP;o_ZXflqH&tO&7ok@4i(NbS zHs&3qv267<+vFGzU6+hvslX2#zzuV%PJKF^t{;9JLZ<%o701^KabnHqu+qm&QC>mBFoOl6ZXF1({)|W z02ciXU))0xnGCJhC-O#Bm)5IRF76E6aauaB7p6iRZ_|%A&QS%DqXKNzuhotkou&Lt z?23A-BVicR;9p2{7G~6^}pxH|@1%Ac7pKQ7#J0&X0C z#$P@ySzNzaTuse`;=kHOxUcm1pX{rBbO+gN{g&bd-9vs4CO5wPLRk16iZA@`%yzQF ze!m32imi)3EqQ#8Z)jey_+s{s(;sR|eNBcPmgLXT`0_E?Kzw;nu)8n5aJ^-?RDTeM z>g$=F{-r$f` z!xyy;-5**N^bPsxIr2TO-`*JdBju;egKrTwCvMQc_Pdwk`svA%)#4@Ur#Dgi_&%7$ zzm%WKzG^?oJh8|Bq_3XK_mDi(o$_aVm8}m)J@G~3zw-QDsfSs1UdXHSgRC=x@2BR4 zU#V@+lnr+a*P*F?<2mtLGy2Wq%ML;Rxc$a+KW|$qzPw5})}6-(y}HhwVQZxdKYo(K z#rgxDmuq95*(=CPT_+QVz9gLKiOEx4#r4>p;_uS~-@7|hvYxE_*=P0m741VN&oH0f z9BUq_=aP;efPJ@cdjwpneeiOcwnGMR$a}4DkMF^O;XXR|;)eXxt`mmh3*UWvsN~&h zz)w$-?ssJRDc2U(zH$9DvaXB9mw%N`=JVeN{!;Pft*Sp?IQ#vDRKLAIm^;YcKL>d! z+;Y|PUS!|zJJN4QN!~uf5o6?I$@j-i-`57>%g-h2gYxCefHR|_ zt`T3jCuZ#%x8Jx|f}NI9@#XfyU9Ro+ftOS~{cET{HG`Lv#mTAxUbx?Rta!0Flv-!Q zi;qL&^1@ozI3Z8*a+%up9Bqb8@uGgO&db1=9LrPd7sf5y!6BaN`9SpdJT4IiSepwdqsd#!<)t@aK?;j#JCQrrHp`jiODTRBcWU(%``vNf>?K@GJzi7K% zkej-1cB$%*7teNIPwUU<@9S}$z%}9LgS?ntomy#IkW%lTK3uY1r|lNu9Ic~f*jlMx za)w_I_L#zEf%Ih?pNpc4hZhO;5`IkZBn5m|hjv?^;m*jDSz!Y`*%N+6X>{ zqt2%ke|t#I|I=oEkm@(=_^ohuotM)4!O(uqFBSS@IApy`vX~dX6yi|I@1Gs8_v?`- zHFf`fPO^vXXf^j#*I2W`8$xRz?){}b*5;&{6rdFW+*fA=tV^tF%sgGYuwOW|nW5!&n?E4Wnq z{zH0+FRW8j@>E_O9_qo6V!WuhdWB?d<9LbTXy1PXdEXrHKi)C=qc&Tw#pI!vH8Ja^ zt%F?o*$kUc=;b=^&%)g*;8MK2UG>DF4+LCFFXQZa!kL~J4!utkM)xl3?=^u%|JucCAZD?Z*NBf?n`g62BFvv~uLVWqGaE}PMm^}0zA`EK@ zlb6ELAIyVxKO%;seZ2p|{JUS!o0IpYw*I_Wp8ae2ED2KqC7jt?`@t=*{d;A z@jd!g&R(#sR9z!kAJF#Hpf@Iu_AS@O{cJlwyalJ)iP~|sC{1>Xy30S zkNb66A6Dp2wU0J3-dNv(OMMR#+*^hFw+wl|6VB{N*~>bDcO&}dX*H)}-nvA%BYO0L zdxy4H3b$_$4jb?TuFr29aH;maPxW6D4o*lh{#0DI?>0eJAC5TAHB?``qJ6}1zR!s) zQmTEcgu_>Bkami#(ckU)sxX&^wE@_ayn`ig3t{d0GG#Bb8|TB&M%PX7MiiIR1-wxKw5p!C7B&F3jQ;O~Eh+bgs$ zWe4jB;?NMTZAWb0N9U%Jb(*%V2K4@u>VGPnU2moAU_LlYID77rvg1=h-W)l#TqZrv|-!*WC}0?oE2eIkMo8-v_bpN2S`wy!9L5w(gOKEY>4$ z67D?#ml~H`&mNL--E(K*xE3{jQtiW!mkr=(-{)k<&9#}lRQtG2u(1Xow*|dRbrM@E&Hul3E04*;4(`u9T(+DL{7-&Se}~Y{3weDVe~NH>_xQ80 z74zv>P057=Pf1ljyeZWyNr`?-*ggS$k6chhFf8i^)Up0Rht&$B|?6wEC--nYs?; zSr7Ny?K(N7_aMn(EDgo+t%cL`%K7{EF?qC)YX$Dn=ssB8z7#LSgDZr~xt@VLWQV*S z!yLO*Emb}D;jApxK75pG0GmHj?SmJt1I&(?Jn5>hk9fYYOvmVs;b{B0!f+qN?gOX# z4PMZ@caJ=&sj&Bp132`u-k^VJ8!4sN{Ha03?ND9q%W(Ks9fOV83vM@Ixc;#9Mhr*$ zKBw(`;qDUTrTW9x;jE$i^zN>D)QDYWmaK_9Y6srEfxvc9g(=IK=X-Ya>p5U!CH zm#4aleq#Zz^7`R6YM6V)xL$skm`Okb^8zOs|UT-{Kj1-UE)`vgE$N zZUg@EQDL7c-1~$6)b%g-V*Ps=srG$ea=swln+@1|XwZkPq?Ei>(#v~{4JwWMurP0z z%r^(QDS6n-_xEjGm$LUb;XWgr=}pC#rNT*9Ww?}H>|iX}eF2>}!{!rmkawwU*+n^Z zsd2fT>bG+7Ii=UuRi-C}yFl&xZ}r*G`j2%9&m!!4E7iU&)js@XNbkdiIaAv|276QO zgPYTZRS z%KzY*Ys+5;Y|8&WqJ7oUcSo^^7{^>z_4)KnGdD_e3IL06H9Yh;N^9~{Z_odHRq@7u zwd;k>-f?;Ph2;Hj1~30E+?g4?T$;(tV}*OXczM@^c=<22?`0F@<$TFI$vsy~jiZ+e z_nYAJ^joTb@lon6rEom=d$o9BjSn`3GdY&0{N)(6?^P4zFGsocOA2T6n#oMztlshzFI)?HuKUK3bX9hLJa%6|y6R_~n>(9R>$+va zTHDA|c05tM{IC3PmyH@cI9;2zQ}s~ke4aLc{h>L2t_7@GpOf?SuO+L}_SMi%tr^$) z|6sw}36gc8HoG2B%a+8}IjoCM5zg9~;_n^89qMo?{!EVLvFBT~?^cp^mU3%fO5T@* z<9gcUrP_C(WEqA$C2t$`?@k&=yAJd(>#*Nx^Y2lN+rRXO$(uy~vUaljqpAM=iZClO z_+19Zc{Jl)v?UTvh8RGAN z0seO1K=|XH=rI}mu^xJ4CVyWSf9B7`wb=TAxqL5ie0`69-;y0X+c0}m@$X;79pA+; zTx$Lx{(U8bzulyBpWvg&oW%TrZtIU}%^&tVEyhcVKiXy(@;?4@a5oWuH`aFh;L|C2 zUKYoxb-UJ%_3!)Gd)K#D3-wNDJ5?%>>yO_5(>w7q+U7h^ZK>C%zY@;MK?t@0hpg?@ z{+l^mia(QMdFs8TPe|4yw0$@5lDf~jFS=dai1CLV&y}q0wVfKSrBZgV&V6ad{VT5D zd4Bndf%`eg<2~ue_uy#X8EV_c!u=-TQuptlDlFfl|NMZz94wr1OdjJ!#TB}#w-m#H z;a!1)wHYqez8!?!$Kg`){#}?eobFVAaIc-;k9blKe`-_xUfN3W_mBY`_r>{tPuut4 zu$OrHNM}dNUi`qGt-?*rUfTCDZPZ&z;m~au@)!<`y+6x)65vwpTcP^bI+-zfI=y;T z!0_G_@=`ed=L7YYVmOsmZ_&oJ^5cTMRQtg4Y^YD3>S{mTL^%7tP)skl>xB7++SrFf z=W1>LAguLWs(nWZlf&O(lE*!eq3h(AX7cxXjc>-=Q2Xv74EOMd`1`3aJbN2@E_7&S z`;Jokc*kj|eGd}mB^mAerZCI24e@taX8YbGS@)FgYl7}n|KbbG!T19lru<54$7;^$ zPT@4~)z4RN+7qAAY41;*E8XZ#wU0S#U+Mj7khfG=wpOanlT5xlHuU}m_e*{dbRr|A zm-m6}9a?ZHdruH-k-RuwjsZ# zecU7O!_mHf5#~D??PFdrTl?C_yAgMrVEcGhcIS-t5v#POs%RT2#otlF5Ibs64-Wp| zrw>Ox_kdSv+b&>J^FDF>=h}wk?I<}sliD+kkCZ>-^Z1`#SETHHu;lTZmiBv=DIDXL z_icyT$F&ykIQ=Hrn`+;|p?*l-+a-(dr5Y~9-|@nIKX3vzWe4{BNKK~u3JB3>sH!7}`(k$-fM&+resaGJAT@x|8;C-}%SVyS;LKPTKKDVb0EIAANE6APae^{-Awq zU`RTyxBA0qSGO+*_b0V&h`;|200960cmae|O=wd=5T4gIwbrKp_7_A@P-=v#6to8M zCE2`g-S=Ml-llC%i_qTm(1Vyu6a+ykh~QDgOF^gy!IKAj>QRc~K~y|=RYZs&l%mC% zXW=M#MFh3(ZvC)Ok5vM43xUy62@?vfrRNywWqtJR zeFvZe7n>zR3aDj`hcqjg&|GUf6ZkKW{31(pf@ZZ6nh7kpOsk&qELnO`D1%D3r3lH& zz*gwR)!n6^kH`}BV@8U=4$?D)D5OAM4rqZ9T!n9zPLYMW*iaie7<-7P=I{FZHR@fI zMIekPw34A_ApP`l7M`~m6W@D*X-+mBwLkipuJ zCg^S`V$8Q2+qW##1GVUa6Bv~iN?C*4^_8`&yXc406_6u5ladb3Y2GziWjo1gZYill zwkM{h_Q$;w-eG9Dd$vqf_f=@PnA*By=;-GYpRLa&DXs2WC=Ha=#@D#<=VO|v>e8-W zk#!heq4Ul}sfenc#Pc)4>SdCJ+Y_ay$P(QG=i}pZaVZL@r9BLMxLSPi2_I}7P*#B# z+X05)9)`qUmmgDT1k7Y}2LBH7YX4q``SCmnuHPqM9EEy^T`-*42%JRI=FkRTYg9hD zIWcRL=f{sFwkW*T&-qwmJT_l`7k9|tg+lZyuU$L5LMHyk;XLXypPyf&ZPdhS|4hmb Kh4l{r0RR70;2vE7 diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb deleted file mode 100755 index e1ab595154ec907e43fbe4985187c58ba5189fe7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 19896 zcmZ6y1ymeO)GZ1j1cwmZEm&}OhTsyMK!D)E-66=}?jcBUhv4o`aCZiG9h`vyhIxGV zzw6%j-s;u0tE;=JPMxY#x*Hb(0ihBD@zr&Gy(C{z+uX&~+R=fUi-(<)or_x1-P-O4 zH8&48H77p@7dHntCl?R3rn!rUwV64!nu(b$H5av}BDIdWDYeWGYq!^!KGJVqlR-eh zk^5hOfbsr+utoWQ&Zv5OR*!WrA_W01X(&2q`Am`MO;4#4E=ml|_+HzpBf75vUnfYmZ8GERI ztNQ~~s_eEfi;SZgHXVmD+2lda8xxEY2t+_xN_k2g3$(FCk2Ae%!>Ke!|MSa0U)4&P zUQh|aehqEk?H^qtDes%p{7?y7$&dP0aw#8XMVtrb>%5UE+n`r7pXqC3*i0H0OjC;5g)zu#g=ty!8p3)obf`w{!!cOptMa( zznBSYN7lu`m5y67YqN>w!i#~yk!faUIf^z`_mLtt1|muwl|LU7>F;U}Xor4Be`!)k zZ#52O0FRT1D7z*yU;DN$(wXCBfm`Pd^%kb!M8wAR|g;K#Dd9;m07tVpr? z^0gwQT8DAP%T|5cvg{kiLUCWN_QYHHJF6&X9dFPL|BrHh6%TzG^V^P%!2%N+}!R)cPBfH7f5U;4NW$1dRl`C5#Z;T!bJLxw!KiKl=VM9~=_djpsA&zd zBzHOXZ9d$6*!O@6jlKw`YM$~@=SQ>NGfj2W^O{^G;nLT3v4xZqOK*KgRWM)9V6%*G zA;7hGb!C&csEARKZ=$l6SsKPykD!iT3UilH9#{-Zg=SL$uLYYeb*STo;awXYV5(O`2^e0f+laPgDr zvq<``(n@nWG{Yv(9weUb^N*Ev=&)(o;mPPC{usD!_|VSOxax|-D-OThP@asv%e%Bu zw`o44T;6%9l{M+RTU}y=JW|{09t5H-skZ)vnF6DIu#Z)bR>5U#p_V5gZ$GW2^Vv;l z|BP;7e|-?JO#H@rXU(i*m zdNr(hQa_P#B|W^}O{T|oIhSUrOmVemE3DC)AMPvtqUr8Ob3OXh!k`27+T2fz;h8A1h+xRp zgZgFFP3EVJF4&SX|MPELfeP{svDH_xW$`$Ozl<>-ciUT17-eM**}K4y<{ z=8R!=4M|1k3X?!j@0s#H{B zsSx<%wJ_DCUsoM^PHEw2(?&Bnw=D*NyTk4)HgYx`unqyTnZe01c zeOu=*iOMmD(c;#+c6CR5%sxe`mHsZ@Drn4F8ikWyZf?E-A6NBU0BbBye>vj=KKLgD z4=vhR!U12*$agd1Y|DQSR?lM!&X6C&o38ISodoxk;EeTIr)w8B&#LRkH{Y9Kc7OmX z>?iZVLO|h1J|q?1p#U1_KA@~P>-+OPJv$6<8?b?4?lN*1QLiKS$K(Ft*F6d4(?~Cb zPPsjYiU=m}09S*5AHHmKg*{4Q0cjeU5VI3P2@~5u!=9^hYd+BJns{ADgVu*Ue7$H5JCVDa^WJ01 zC#J-ki}hiH$B`+^NO5>=mR#FQU2;hXy~Z+}z9Q`p^CjIj%H55@Z-H8F1=t}7D60&I zUZ8It&!|2@`Mw*$tpQ7i{WcF}@OHk(R*$vq?Gj%~>raZMjD?APSI0cp<=}=Ku-ujt zGzYu}1m+Ej$El)lfUlgI{5z=a0bs37MstoAYd8jd}r7w_9N2WSZm zzKedXdDdFFc{rUmIm8ug=F78kuNzu$si-cg-{)bor!;qp3H%CR?f^WJsEW{Emluma zEgM>~DN-{E#`vGNoIX$8rw{GI#c1H)<6*Z{a2SQ*dzt^$uFl|rf+uHBP(ko2+4a=@ zvK*{~v~_S!C0?U@3cd#*mdknG|dI>K9<@}Cmp2GvX8C|hv8-cPgY3i2k>SBf5hf^Jfy zLN$~>SvRTn%?04{Va>w-rRE9tpI?(DRGiZIM^%=9o+8fW(7ca%NvNUxYo=$eH?FYl zZV2S6KK|JwthzHnFS#daE8>Le9>@I=qfAC&?`BQvDSh?+Mj;P8w4G*sX8E7xBzuv8 zy8cBC0qqp-;a_2emk-H_M)oBaGYvu%REyWiH6N32{q)O7FhO$uTCpF9nZ_j(K`V^)`)7KE?*8k+|IY^G+PVqc7PChz&-|woDm;N&uYr*pnXF%e^pd}bJPvg-9xnYZ23G7dn?#bo8vPrgUaoTw67{OQ z-#0!700>-4tC;mOJ+7k3S9Nai5tSbfWTKfAdi+UJyfB1H6B-zze>Ba(C#CqH5E(lE zpJmW3t3=*%n7Qrjt8$%Exfd=`?I`QXKFxYt?+m4>{K)>Gr^fugplaF z40Dy(f@;;F`ZqIpF=S@Y&G+w1$=Uxlvj&3seEg;Cm$63_E{`$h@mhGH3{di^{fp0n zxCXk-tGqO0(haU~-DYuT`{$y_Zi`1FEb$r|_R+GB$gpn)4*Y!AMH@}iR?5T)e=Je7 z9#tqar!MKu%H5+J`1c2je|*7+O7pa;OK(9K2aA>A;t*i5lap8t3<&Y9)jy8kkU6U_ zI9({fV$)D5&us@xD^6`~D89zr@@hx}<1?pYhDxm$sUGbO9H->zRx#(+Hn=#k;$`NX zq?9}U8VRfcTqZ+LGdyj7Sl1KhbNp<#z~o=#738d|;hM{bBF&L<;fk)YMiKAO*)C2Q zfaDXMe;UD)5??G%(NmY*kuWmqNZgIdD8%y;(;3`w$zsz4iI!vr|5eu!{E7OhT`k`I zP0v~J0G6wLiXM06G{;mf?e>B|MQR}k4xrmWubY|0ep%s8|HSYFBTW^d&(&l4!I zN1dq5i_q51LYnp9LBXoIt#qZ7G_`}z;;G*a8zYaO%DJskwoq|Sf4oME$b~AoFZWPbFLxlZwP_}Qx-;|A zB{(Bj%`Hh4ma}g;fVNpz} z%a4Vrhn*M75fT_&V>J+fp@hYYRC3R+5k*qlWnNFcf>q2+Qok%#kLD$dcX`XObs{3}<8O51qF7Knza))E z#2RbCaT-1-`!qDTk2gtrzr~r-c(&c8X1sdj1=MWX+8!$f=`UbYLgdvAQ}I0{zu;`Wswdky)nO%BUVE8r$z!{G>2+Vd$Wd|d?Y{!s z33g>+4K?#P_kBV(5KWMW$F%|2%Z3IsM|$H=ne=jGPgh{FIzK(;=xaLpEu2vt!*=ACX-ASIK%cN1miZ-UGUkfI65GsTiEQ_%90+xd$XWQ-0c>@P$XR(s zZ}*6tnO977iOAV_#jmar7Y?lA4*8ZQYhO9Cb=W_o)j2)(r(qQ4)^o<`<8zw{pT;_e z)NT@nvmmhq8E({5@Kt&mVTttI`tYW4y~nZ;l?@gW$nVW8nK7;+ap0s9812d6?A`y~ zd12Q8uyR+6w1Z>1`>tvIBR+GJk~y?-;S% zm7)qeU?NipLgL;R8Sn5 z?B}N;T#l#T+ANcgH-1Dgw{TW_{0RZ{$%`nN`y9{hsKy7`LLVVq9iGFRi?4^7opp&n zmU}bKijw7(_3h7&s_F}ZvMJ5bD#cCywbh%}o?a4u!A!Z&y@Y6CCNo?Xl@YU|yf?kd zS7HSTI>=%Q^9lX+(ZK&6y`UpAI$wqo6S_*E=oY`X{IzYO(K&wwY?yk z_2OESCfewXcBT!jMrz8t%zoGBLyA766g!iGv^wt6K~Ky^zAW2!CC>4Nh5YDNs8%_Y zZnPkD%JHM`WnZ+@I~NhvVpq#eCLyJJ+zh7rfs#?XnXFci`V%#xS;>1!6~v{g1BmgYjj8KAv(k8kp#@3R zMz|TKsVDTSHfrpEZz3`>T$*6@8EQD(jA-Jb<6n`IPN6M_XZ_D{Z{ zqO#nz$>h=ImS#0EpuoHto~3^Q6YsHNWgWJd+Z<~c4S9PK^CymQum%kki643{N0bY3 z?z2E|#W{HaA5@w#Ibz?EjqSqv@JQG(O$TSwdaGBZgQ4J5V$On(e)WKNS&(U8AT?<= zyCavA3Yq_QJ~l>#!T@%RU5}9EMNE>Vg5fASNfRWQPSheQ*6yextDV2a0}+=KD2X<9 zg?y@0VN~zCpMIJuS61p2tE{6Fqe_C&LDqnn;wL?xBAOVqw}xs?6!GISC;mI8dgnCh zA9rd+-wlImyLHB}E^m(DEccviawpLu2xuo@7tIIH?6PCCozYo-Xcc9{AQCCglcwW7 zm)xl%eS2MBc*{$5puK&d+3cDT;a0>@W$4DsF=1tPqW$d3AEhI56VY+UvnS($;*#Ni z9;oY*L{xUh=pV*4|R4K!1*`Qk$m#yJI7V(h~0} z!7yfETL`Rqr58kmdGNC`>Tm2q=t)2!plAIil{;**_ajlA? zXe#8VIQ4asExY^i)5G{n)t}l~Np#zejhRgi=0X9IPsF&ZmUKjScw^WtI!ar(kBz0p< z41368?VEviccYTW#QK?joqh`(WcY(#L)uDHLxk70_nuE0J~{XAXN?i$V6k5w!xYwx zN@!u=6?_hZqwDIA%+p~4F2K}H*yFjig{TM5%jC&s9aGHxqfzu!aQbW8rbO_$E*{JE zWE|m2(uyJC^Xkv(Hlk&n4V|=jxwySxaF1uEfb^4IENbV(@W9P{jy&UuCq+G(ggSWM zb+Wk&@RdRiDwvqh?hRSmck)|S16r^F3Rd;8PbefH_wQPE(}KlT}hqnJ!wIVS}HJ~}ApJM`x3|L%eS zUT?=lFW3d!I_k%|w0Dc`;{enRL4E!gKkLH#h_0l9JFcd3=J45PINQ~q-6kPJIP{96 z44)#L67bB>pl1rN@|t&jW#H8;-oleq72Yg0#c-T+C*&2ItsMtT|fP4MpuBN_aL{q&Oi_xr61e z*r6k~l`Kg(iPlaw#uJn8Mm+m>4dwgE(DvUclEI$tzIB>NO|x@cKOG8gq3xXLtKG+_ z009hO&NqB#G5%^}>Gh8zGaHl<-w()JZVj04d#o$^c1aA$#hVAoy!C=x04^mN7~zMF z@v+0w7#2cuat0y$R+#GFJWRZjmoU7N^@(}tZ0k}Ma)Kf1DaY6f(inX)Md3_scPqKPaX%ja zebfHiopG_LAVC$~7=?O~3+{IQY=|QBG1FHJzGq~pU9ehYy|yXW{@?_A%O}Hf7iYX) z_G4Q_6f)I*kQ0O%))|kL`lo*M`Fqcq)8jR-~m#}rDTo1KV2h=r%tgHtuA{n1@#ZHrUo@Nj(fX8{YL1SEU!`;TU6k7VNgsXDGl;=&xvQbtt`*js3rSi(o`2EKQzpZY@;{aW| zcG#jug&w5GSJur3O*^;U*QNgrSc;uW1Kh5|_v@3L*y`LL&$4g~4SUU*xA%Wdh-^F_ zvbB2;p%djlFc2n}mw3iv=3p55-vgA;F6QEwra6Q$|H&|JFp`Dm`EBi|GboMxW{O#w z=7;Z^{kD#G^~tRL{;^B2Wm2!^N1`|7BKf&=eez{FqBsej@_m-IN`P_$ozlphTAJ1R zYFo!Cmtjkk{8`q^)n)9@ky&rr4&088J~*=YV!!9`_^*IH4Bfyuet4?A1Y-S^znaff1J9Np8si1O0uW7 zer(7huVmXY?<(B;#7rX~@Kz>bWoU$$M9dqbJ2A2OGS6e9??Ppt?bdxGNqNwXe-_1i zOi{}vTi*Jq#74uqV}XfT{hmiJQY}4|WitT5TKPp+ks!^c=B*tp1?LDnO3?;*ggp;9 zmI`K};-x?n)c!OuJg#!>Gi!b@h#DwSW&?e|wY`n_rm4=vk_@|P_?Y{4jDKF%wJsbo zdGQ+!O`yTl;MzW7j77sZJNc8PLaZyJ9}|#uN@0YE8Y+o@-cw7|CM6^K5|G}5YyyR|c(Nj{8=2y1yV0RWG-f)( z%eZ$aY9%i|2~;u9jKlFV{$wu2hRNL_*VNax2^=ynRKq&dK)^Lm0vzwUKCzns>OH&} z@vL|oO0iG$rsddj(?J$`!!#c5Ai@6&V!h{?>x4R*A>m8=04?24W#S=@*zQGK#Tio0 zr9yjDO{7X|gp=+gsdZsMqY=8WuW@A9J3MnYLPyt!sbp1*E`^4hbII%~F`V8%InP6n zZrzeOkhi(Fx}%?o_e5xc0@pb-aNcW{gxzX)uF=$j9wv*dA(`AxJmeIeTvoROoxDl^ z%*b86_k_7G1bb6bFKl~ri9jmoTq2y@8|8YFc9RI2lK?=21`m@UX_|;qKuzXT^Ioj? zf`_Z+{NG%c=k1!_$}J8O1-!6K-%mV;{jI$+9Bv^cc$BnCzA@AaxyPKpmxHP7`RFgV zOSEJ1#?8D-=8zx(4l=HN$tpW>l<{l*+f8(*{*`ev{IiJqjCkauYrU}Qo{@AXPdT1y zXLFE8n#>cT6-ayM59Hq=(QwjL8wzAb%pR&u1Q`DC6Kc=x>d(D-zQx^% ztxjZaY+3PHiOCfb52|Iwb&kH?oWyo9vVO}RZ3O}I2JHV5JQLt{eVyKp`vQ%DZ+c65 zn{cQtJ6bc2vcZCzZ|~oqdgN8!a-x`YUq3xn$0!{9jFsxk$>8K=IDdkp85g1t-td z^V93$>QuF^e|FV+aV3n)1Lq6U>3oa<5PZ+RZ{&ydm$ER!aj~8EG{gQGND;JMzLDf# zaFm~8FX(sZbx!p0>Y2kl%S>fYAr*1zYK=pFC;Q7NS8J2CCRO$}8!I1s*@gy#s<_AIJ*?TNCIE4D}n%3CL{z>>>4Hxd(Uyh*BT2&5QrlIdVl#|3twA zM{(;SL~oqbuk){%a#>$2^kv)glpI78RI5;~pLw)Hg(IJBWBlGkoRV|8OhIW5n18B6 z6$(hdb@vs29X-v;e>ev2xEjxKH`D;G+t5>8y&>{&q@?@A8x2Rkl-w8fhRw)Kn3o9l z^^G$5B0Oo|cQev`qt1NNTYg1=R*wJNO*F(Gxo(K%$UNcZ>oByfzp7h~%>J;TD|M^o z?NGT|H_6a_o&VKr1o1|StTRvZ*;-gP&JcR?aX4bKB`tsg1=ho7@3}{`E8(m$Yn?D! zN#x$?`r%H(SENyllO3nx-`e&r(OT}$aa!&m^)e9-r`SqcAodQtNbsR$Um@LY zG}R0lWEgH{^nT;_@iRxm%?$hDOFe$dO{#70=iK%1e$i{mNj{)Z98P|$%OUMhx2QGN z&XHU?$Hhg|yQZs|mEK_>`s4nvHQ-oO9mssW zL^s6tZxOIVEJLDu+AkO(L~s|KK(+|I5}sb!o>7cXWsrfP%v1OaCkW=)r1R;y_T)L$suB6Zp@W=kbAZ&sL(usI@ye z)vmZ;TnxL8aA{Xx?O@kn^hM~Qg=1%T=T$Cj-dXRnNa36M1UepT8dTBd+CIK+9CvY> z?VmmrPK*UFX!DyPkC^21N+hCHpP@>Mgz{!8ur2)7aX{(_g+%%(z*@D}nds<@YAA`< z+6-!ds6UAiAt>}pfq0Uh#vVO_m;UH@&ntdDBi!P+-=*=x2^je|U8+4-H0rv0dzoY( zCE+6E`Sa_j1;=x_P6VRNQ^|ITT`LbaOTD08mklSTH|~Bc*! zgVI3Ty{f8qO`vhM_I~Vul%D1#H%91n!hB%g?fC*v$;5FckJ&=zT)X9D+8mz`=yt_c z+kN6l*l*&DkEb<<+j_rpshdh~9L-BdjeCTs!q6SHqu!dwapS5~>5Ls1^y8Ha!wvb$ z!XXUFuV0dUD=_QKoJV|~?(7+SKgIuve?eA@#k-*iHhg;_qzUtDiCitTZv(5Pf%q@)|7@Ml$>r;FjfcPqji`%&%U9|zWVi&LY)Mo33^7Ms-Kl*J?^(;Z3&W{ zSP(CSi7c*!3;S8ayN;8OcUj^WMx!QOd=@s+AZiu!jp2jElkr_w#S`wrQec`4-=9PM zhh*K72O^of*`T^6?y5bd-LsrezWZE$3ZczwDhjV#l)Bu98k;R4UX1Noi;GZ~3j4C0 z>RgQ1(~Oaaop1{ZKbe2=|CYb{$pZ$4jy5$7`r=v3VB<1g-Z9Cf>5XF(+LEXYYWtEe~4LKc76_1Py-WP;q@V2z; zyBye4pd}9FiBHz}>-WILtA$3t&D(A3_{&rgA>!B4ls3fDtvlF0(fi5NP~d@~2@}Zm z>`s-oL((D)ATATpX>rFtuz{2eoMZ9XO2-QOWB;<&aW|@!Oveh3CMc+@X=&Y_nc?I> z-9X=f>f~V%lwzpUYF1WdU-8J=^;YRXHl{$xv(T?Qy!xvC+-f(jd|mr)rRk8!$Dw%F zpM|QK@w}-42h6{V$U~)((+KNJo8iiN+)p4pK5v@01UH%5FVxOMlvX)1X~1b#)p+2> z+)hHf$i|+|>eZeLJ%;lpHwOnY`;yDl1yPUdMlB=#8}WIuLy)k&fbdf)C`m9!(}6-{ zy=x=IZst&5m`}-~+Q`z9V)I9Xau}OC_p1GbnJ4$4ANP-9v(*VT)jBT$bBN%AWtZ}n zwd<2P_Zj9`rp?)bT*^G(PlNxGo(R9COxL$C&~E`cq`UjNKQrg3X#NVa?8|s_#gt&~C$H)pR%w zBao(>W7;rwwa(X5H<6_C8#*WYxY`2K6$-!(F3(BD)48EsFFzmGn0dj*|Uo6l&Cu#1nE zMcnYIW1`2(1>rjG!`H52P-7wIwTsZPE+C4o6)bk4iU#M^+BL^teDC|Fx(m~vykZ8G zn~hyDLp0WZw=gw_Kdgh}@2}XNPa#uYtFH@-gTtp!SD&MYr;I?dLNwG$Xsfe)G@U5k zqA#%Vj9DPxim+1PhZNsfeLGoYX zIzNa_*-SB6P4ad>Yo!=N7uKVSgnG|T@^~2KGsDn!Yk3Wo9EPN0UWn%%Y+3!QsfHN} z*USj!;uR5*`#M~i2UrEtjb!&@#^FDDlk0~oc%@%6-;0PcX}Hx!?0?CuVmHXKg0!aj^&6OT zw*{t@#Czbr3dP@eeN~y#ZDuGD?SISoP+6%qTfs3vtE`=tQbV4sAl_+*daI#8h(WXm zt5vgpgPFurwu}#h72Iql8xaK8YbXhB0@Xn~YoNT*5RP0}^S@Q(jVav*Xs+L2eikaI zgbnm&y32Z|IM}0JCIcBHzzQOtcBr$OdIv5i1h?j{Tg_ynfbIw@Q5sHJ^*F4IYOGv8 zw-4rH1$s2f=)VOK5Q0so*&D5@-+=iD!34RTpnQWjpmJ7FQLcf*41WMbF}ex2VT=`I zp1W>2kKxt%@vFfywly@rb*$d_n{*tFq~8F5sQMl=L>TBXS<2cdE-A0}YM!UlT!+%H56 z0A6keaP7Z!4J)HR`~BG^W%Q-*OoEVQ;y@PZW!KbOw;x#W3D4gY?~;jm$#lG9RQ47W zfn+y8W&iet=esW3i@SchQ}sj;Q2eXxC4P~rW+mr#JwL|FjjXP0k?X^zp7~pkU~|bL z4uTxk`UE~_R8WDxbo_)sU6NMM9 zAVf1eV*Jy$#`q<6$uqbj7QB|R2k=~^&q=DrMebmMd;fpdAcCf-TJkXUpw)v zq)~|(_-wyu-6|NbEV#5=hlH7JfM%-k3h5lbd#l!54}gdkf7Ws{lAXNpF|v{qe&RnB znEs@9Vu?V{b14Cw?KKzG!mTj<=Y$@pbDEd_=(ytN?c}1Hc(y-n%ay4%>3))&uzzR2 z{8@!CR{pHBf3-euOhjj<4jBzJi`9~5;yD^-J%D2`{m<-ojc+G77iK59Pvf<}k`7&x z1;vFnVeWFpwusdy_k5JfV7}miWYbR(shJV%~tu zut(}8c2)~%`O=}MfrR3`T!Rz+HOh0n3 zb3v4>Ty_8aolL9w@WyQXGB+J#^QUKzVLWAkDJ?736P=y)avU3;nM-U(qZlDXju;p6 z?SY&i8_OgH`q z>{rPpe=41RFf)ZD>VHyU5E~&?PN8Ekt!Lhq9Erru@!Qku6aU@IV3|~#Q4ttZ7UfvM z-Io%^X!31P`Wxv6Bn0fmO5>o`YZxQ`Gfi%KsID~!FI$pu7YYA`(Wh>9a*m)59Nt9L zk}ICF6X0h}Wlz;$mP>&ICbk-q5P1jUy7Y=eJih>yfdiXR^eX?Yt_wCcid~pj8hWoq zVHkEeC@O6xC$(!}2+Zgz@x=S#5aEN(cKjsZ*MpdHxy`nNxof4{6q{YW**r`3LAz*M zUV%=pbSm{>-TzozIqOh9S~VkTFg{=N{l_wmou28V^ixWW51(s3Vq?d!?okME**I+v zBV6n#_{}^AT6@jSE=wo&i;Wd;w;zTkQoE`6(CA@eOfVGHOCJAKYhy`1CK!#$Ok(DE zYZ)TxAj>ph5h7_LTZ)=xZJTb6XR#V0L}+rCes~&4axPY89yznK+=1nxXE44 z;x|@Nb<0-YHrqV@{|aE1iltD(eGjU^#jZ)4+%p{o%S7*AW-dd@)L@5 zE0FpXB>y*fmr5{&ji&vSEDFy3*5uMKfHL^d^{MY9R!ol$Vijn7H%Q4w9kp@U{2;qn zk<+e~t}%`7L*jn=(?|B>CxD-4Q*-umWD9R5jF(r?n2q-|41+8dvb~X*l)T-g`5S^i3(%MqTLZj_ zFw9|`Z^(2$pCzYD`?Qq7CPk`j$bA9F#(_-f5D5iBilwNBL#W$xHV)w9%ZOf4$E z*2Rs-NiC4(j78P?dnDhv<}*xXf&vNK59Z_C;-c!xc}DTp8%^j)EoGFKbe-$+pZRzk zLh?I=MMlf(Z4YhFBU_kaZlvc?C!($gDg;jpM7elAby>(j{blE^RO7D)Vwg6kBBB;Z zoEPPwwy$AR5u|xS>VBDc3q$FzK*=X*&{(%Oj%%*1GjHrEhrE4Tig*&0v_P=`n66H0 zDSKDTVq>EkkDhs6YLbatGD9}~j3#WD9+NHVnRq5pHR%5NG;9al-Wj;<9t6@x*ShvY z*e;T%aLavT2yr`EI~W6=}|3T5t-KX%rCXHqb{y@~J*f30Vl3%vtj;2Ln|VMJ!rw9|a>h zpux-V+ju!*Z%*C6lF5cgUe}ATV7Q;@p{hV_99vyL*GK~}43=en4 z28<>xF+3CCl&Cib3w|7(EY&%zMjS-Qd_*V6;eJ$(nU z(ImJBUGg8tcHGg$)qpM149B6+C&(G*y#WH`jkDGErSViy+(u|{^gF`a7;Jou>}~mw z>V-BU@<2pbJxV@iJI?#?3=F=(^MGvTBRvEc<9E6>PGu>TW2#6W8$_wzVmdk$I?S%M zt>HEus!3cfjaEzX0>fGfu@K z#ZWL+nTZLpI5Gv+cmxGGd8?6{f3Aq={A6z#rz@Yy&g;tF7AFd8*rjuxLSlbUAQo`h zZW{elR`y-Wt!KMyx7qQL)6DLQy8Q*z)wzlrXqt@8zn?J3b%@KXTJO{l}pjCrP=4|rzd@<&f<7iC-tnX!ca^MBnIM>1I!+Dhc64s&jmXU#}&PHUgm_(3zW!-irYZn_=2 zi(Eo)-Mhk5)0K<*F8C6inii5b+NH$Z$R_~cuxE9X`gSzPxyLQh*Zyy;Kj?+>eZ5!$ z*O6|`mezi-#?@p^$7TpkHR8y#MfF9E!dd%_pHRkWx6QXsAIyMnBIEC zE^5THxMAevVdNje$VtP<3B$-K!^mGlNW#bo!pN~uUu+zBc5;4(7LRps{y?LalfY@J zfV}%_cHDFH99IMrV{~Wj6U#FrJXD=I^Y+9J*{U8~zWY27`gwpX0JQ?g5fj~8^ZnI9 z&7bBF8b>Ut4cxE}047v|_xUggLEWn}EX`t=>8&C$sXVond`qVLoo7YWSu=lY)p;!J2nyhDes&8ywsPF(U(w-q~Qn_=#zAK7eXWf#S$06F7#LWw%FXC zK!wnELH@nQKm~)RLV0vdplL+hf@jiCw?Sv4& zl-o-6>wYMJJtFO$bPoqlu;d0g;dKfo_XJS&!XSVgbq+dDsjB!h{0ZeLT-Jw>HQp=i z`Md`C;!0wkXOF|1TH$!eY)FW()Z!iX@QR%+XuHN9|d^Q>AMp{^}&hsPq%g>0fJDQ4oojJ?P#aNPV)o~o*@3Mc64zqhxxbYPK5ISuCzKS;x`zoWyL5%iC6lb#4wlOvP8%+7m7#>DL4&Lm*sMD3^fyn0uM01p65k*V$sCm2{)I@?zLoJNj*h z-%x*lvG{U>^UaZ=cnoN;PSjAe8LK9ieJWe}GL959xF}{$=A@*6&c)b0rkF4SHZ-Y}cXyc|q%%pSMFDohb(nRz z5B~8d70g`-?Xxrs3;q_G=L`G)}s3o_DzPZO@`4Wyr>Y#ap1LQclU0=9&@?Mgp5 zt25+3puMLvjP&wmF#Va={Jl*O&tyG9ThVY^mWeC11$Q(?>D7|VgURw5I%pyu!l`#+ zKM!OCpt3zWqA7Zly|3cQav51&mrPdL*v8HGy^g1hn7x_F%`Weg6yFYHvIT$xnKY~J zB(%cC@xpxhgXet>9?ApebAH3e3gq062yvv{kF@`DL?|OP+(f9?I5>`sX1jAmmwxA7 zApH}k@X4f2@t6l(mufsvA$^EC&#_1wJ>X}43mXq-rmoDfJeZG}tLBc_O_F}QjwTON zdl{sYj-7KvM00=gq~!X$)E)o+2E~{h^2zK4HKa8Xv?)-t+|KnFt(sbQh}g{TOyTm9 zq+?70!nGt0?^PV0lxPWI8qVk3+vD8(Wirf1DX&3%Ln1*=p+R@48=oL4d+KU3eAu7B z@&5#<3|I34!UrZx2l__mFE8%|l(H{{C0z$dD1xt#z}yg4bz_8>uK?rlvFg)TgNUyM z5jO!52)wU{A>Rl?{woZ*ISjca47nAMdcW<9=6b|_LB#$+#05YEJbQUi$g9FaUKfVE zEe!c!81gYdJolVbP<}iL^@%9d)lsNVMxm~WLVYR<^=UsUDO_=_AEg$`qYxv3Fxh~1 z$f|iqXk!p@SrG9$AoxgeZ~$MUFx=RJv`etg}u-~a8kUjSD>48IH_eicOA0R$|rdl%u?VaT09 zJ--Peej7yG6-3+}MBEcZ{4R+2eGu`7AmZL2;*UYZpMr=#0}&YAzXXN+HHi3I5OH7F zko$u|9t#vUik`nA2$fov2QIV1?-!i3z!1YIz9|J2@uB+C~Myg1osd1 zuTfm>mZOZ>$&E+~$a8_9lg*1Mc+`Hvz^L~z`cSU8Q*DIlF+sn#g~Z)kh@U6!C{?BD$g7;}s^9OVGq zYkSWr<~=5BRK4)*wI>UHkM(O_0b@94bPB-v&_SmFyrJz4jj^Tk=0bT5xYlw;6%m#Z zM%bZV)nZ3?72(oioptOWt_rc^wu-PrwTf^VQg&(>VaH%qi#^g+gdJ&BMA(k77F&kn zzR@*S3^^dS9tR{sQ3Pa*DVnP%Im+x2l^4&D>>pmmwC!o~VxQ*fZ4uaVaWWYfn^%5PPONAofgkKwPF+eV!PIJypkMiyiz9 zDG`u}9=QUlxnCy6mI!lg$Of!v`~t z<<4In{fRY`OADf-@*CpmkH}>3kyyeWD|qu*(tNjLJSC3q-!^aFxDRrc5mw3mX>Q7_ zKUuYLGbcFS=WlrF*GRfDq^oQ6f z`5@@mg8qU`CVuHE;oR7gi5s8Gp&$QzFv0JdC-7nGV_5T#K>2gXHT^$5^s5@ssqac9 z7wb*y`KU9;d5r6pId{e6JeLu7XO{14@$($&l7n+tBRxEqy5u~Z(eKN0V2s?@9Ov{B z{+pQ`oRdrRSa~+?PYvwi9s;kR@(sT zYqB;SPn3B9+LEm8OBUannzk3BZC=Lrd5iB{)bW)W(}(Mld2V9=P$xIJjq=K{}mi9g6T^#?NCFS7gq(5V;uz2smV zVherDe);^r5N$64{s!!0UO&M<}-J_NQ&#_{wem{{R30|NnRaq*r@v6h#=H1&S@@Ro>5f zpjHY+3L>Qs3UhmNds}WF?Cv4QAFfJ)f=Pj3V@W_H1_{PQP>2$v!KkGPniv%&QGy8y zB*chHjD|l*)Zhb^nD~bQjDFv@JA1b+&=NM8+1r`l{N{VloXhTGgBW9*e%w~moJ)f>9qpUft!-c3npoHVcrz}eXHJZ10gUx#!jY6% zuB)P<0jY1sR~zGNydj@!>X4>)%E!Y947qEw+-`DcuKKvR74Y3#;5O}sQQ^Hhr;o>Acb;Nzr zSd_!hkPTgP6c&EX=DlTz~Nc#28>4v_ufcpVm1KM|K*-XAle@{2<6Y}NryXm-{yKDwiXfU zp_N8Q}m-?zz@MbAH3v(NGZu}Hpk!6bN6b`czXk`qI_e$5iUy3yeWIzlh zO*09)Xv64hI3f+55?Dw4oT+=tD01@De_lI&4iGZj+&o5Rm{90HWdz}@HTlM!fRn*s zT8_~JSiv#?aMG8LZG-njXlNTl7)jyufQ}^2zq?8fq6#-jX_8!d}vO(F-G3fUvD)x z3SjWT0mp)xoPDZ~tsQd{2Ug zdTNf93q+bu8^ zjdeXEldRYcW+MSx(N*y*nob@hJ(no6D1ORzU8%!Ga{t1a3Id1DJ^?%`$#J1${a{2( zBlJM~8Jl|;?!i++8m_(Y;rl4Ntbc_yghKM!WZ_53-)q64(a_MsRO97&92_%PyQ-;J z{?JiB35$-D8!88Mr7;i(QDgJ3_PpLdG5(H@d0Gy=FuhltyAwRxSyLYRFR+VemkbR@3215(4gu02hHp zs+6=K&q73s5SNbDP#VZ|vAF}4%M*?wC{5b0e1*D1RX5S4uwXQBDfhTX*vr|JpES*Ot6ah ztQ!&GRB9-_6`&cu}X&YZLN5;6EV{N z6nw7Wj+*h8ibC-kN{srd>MzlQQWd%^rjkfN&oK5byw_BAKV1rM=|k=~JWm%(0-+6c zs-ef*HvEf2ID(czI;Dl!hnH{Mgi}MpDTkX{P#1A@DVcp&bsZiBECp?u2T`E8`-!%)zE`=5MfN)V+x}I!ULG&k+e5J_J3IH*PDg00rmB|daor448K;-^?S~!* z)2;i#>7O5Nd!H$!_zR!xeDhn-3~gk%n(v7fo*x|&!QqOU)1NMv)IT%#KvV*7Ne{GA aNrhru>z`y%Olm~w@xnL&hW`fu0RR8C$(&^X diff --git a/cpld/db/GR8RAM.map.logdb b/cpld/db/GR8RAM.map.logdb deleted file mode 100755 index 626799f..0000000 --- a/cpld/db/GR8RAM.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg deleted file mode 100755 index bb3a4cf..0000000 --- a/cpld/db/GR8RAM.map.qmsg +++ /dev/null @@ -1,19 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1631597725836 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 14 01:35:25 2021 " "Processing started: Tue Sep 14 01:35:25 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1631597726126 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(110) " "Verilog HDL warning at GR8RAM.v(110): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 110 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1631597726216 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(286) " "Verilog HDL warning at GR8RAM.v(286): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 286 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1631597726216 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1631597726226 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1631597726226 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1631597726256 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(42) " "Verilog HDL assignment warning at GR8RAM.v(42): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 42 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(47) " "Verilog HDL assignment warning at GR8RAM.v(47): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 47 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(134) " "Verilog HDL assignment warning at GR8RAM.v(134): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 134 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(142) " "Verilog HDL assignment warning at GR8RAM.v(142): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 142 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(149) " "Verilog HDL assignment warning at GR8RAM.v(149): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 149 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1631597726806 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 563 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 566 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 565 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 564 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 567 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 562 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 561 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1631597726986 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1631597727226 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "337 " "Implemented 337 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1631597727256 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1631597727256 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1631597727256 ""} { "Info" "ICUT_CUT_TM_LCELLS" "257 " "Implemented 257 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1631597727256 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1631597727256 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1631597727336 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "422 " "Peak virtual memory: 422 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1631597727356 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 14 01:35:27 2021 " "Processing ended: Tue Sep 14 01:35:27 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb deleted file mode 100755 index 30bc868dc28ca5ffa54f24a893839a0691d4c2d2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1245 zcmV<31S0zp000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G{d&U6gLo_2`CW_;*bOm5r?_}yVC6L^epca!-_>j z44Ld~UMJ+~arc_I?Uo-4%>{lEzl|FLvV3m$%ybgSF_)wz%VoQsUsc(Ca&mI=2S%^` z`u$!4vSig0!z*pv@}N8SzrgAPq~1sAmyo)It+8UVw!+dCn$^l3@j%afSu#_1<(Kps z5RI;P&=bbLwSd_#8z2QWqkl+^Z}|TS}N(kVeNDvO0IqnBf$*TJ}H+XK6f{PN`zX zDKJJI$x6wfkzlGO?Oo*^uC$~H2Or3;GhD+$;{7fW<(X3XUaht#gIYfRbmz9RXUfl_JJEKn%=Ly-Yr?O9b8oW;GO69u*Rc zBI!aKQx`F}R5ImrmN{>L<-A_T5t0rq%~sN45wJxaFb_|RcoDD{))_JPP8+*TG?kpe zpy4?xq{TStgt!W=aWwa)sksGrOh~((^n2f;8xFNYb3`Y(Y|vd_s%W5I^TtH3N~rbO z54d1^U#~PA3*$UA{fXyw;0bTkPx}*^OP&25<%8PJ_xbk-(EHZp6$s3HmZ7$CFKLSo zJ*OG3D7{9*^v>?}JENO48jjMa_&ZELdbE$X^zb3wxVCjqIQp3zi%N~o_^tTx*zK`3WjIs08JEB(PLJ&x5`)8esFN>U_6Oz z7cm{`YD@BCF6q8n)qDP;TphV^9pE4+{DE;Q;sm#xz{9bLZ}SR+!;eVlJ3u^w7x#kHT(hiW>pdnqR&5r;80RR7Z0pez0WDsCrV2Cob zOa@X6+&~=U;uIg`8sHxk0uo_h0%B$c3q}S8yO=;tAjKdF#2`h%p}xM3L6Pwxj!r(V z@%~O;uFeoGtUv{93<5y4y}1d$L0W{MTHGB&-CcvBY8lxX9Dw3x8+YvoQVbG63^Ep~ z#>FwjG2Ye3)z{SzVJa(w0#H@#j)$*6Cc+#7aX@fLkf)zJiWZQO9AJZMPL`AdIdF$S zv_Oq#8q8wr%UIZQHhY&)T+a+qP}nwr%U%`+5JWzoxEq($k&kBt5A~(u45l z&z~wNfZx>N_Y(M}D#rE><~G*&^o+EBY3cC=oXjna@EI5x@c**V(KFC7{H15aS2VVF zHa9fJm(w@2z^BJol)zUtHozA)GI#vdTtox>t>(`kU5@{eKh<#mN6-2GkGcX}dO>93 z2sgb*@9u^Bf&)UrYN|2mFH>9B*qB^PRZk*bXAuX65{yB^LqHhmClT-8hrPeqdeP2o z?R<34pxElJA}aysK!sl@K9>37`-hIE-~7~6pI293SC@A)CImTt72BxhyyqyMWO68L zYj;gHTWvIR`&IcjqBZ*sZ;CjX*&O16HJyr3fEjK8Z5ZzMK!1n|p7FP#>Ck@34Fh>- zVt|1h>>}*q4G-5H<*Zh~gd~}-?$2EiB$85)!)EKai5PSAF#t3kQH+KV;f7W6i|T1H z!IQ&;8bLh8Qs8H0qSLk5_Ou4Xqrv2`an&28=9;QxkK@b(l@=a-?Gy%A9$==2I`W#m z=pS^CKCAAM)t@=F9U}Lcj1l(aU$_Y~zHo@te2it6;9W0y8ov*5k+N7Y+HoG#)i1cn zVtLk)P9HK)MBv)U`cQ5a=TDP)`1~85SJwZZzTU@h?==Tul5@)c zr^t8a_y5;ad%$&@F>XVd#QkQ8s{+1U&F0~mes_wWO<0=QWBsMR8YUd@nkUc|7_&pa z6?OznOLMD6O&=RtxbRx4C&uhug5VUA7^^4y=V)LJAk6dgt|^A(NWR8AiC3H*QMy*5 zk1;j@6Rff1G+a9i=gZ9G%b{HqVb#I*kYrudQK>pq0U-+&D6J?*LGvoo)&MU zw|aK@%pp`v!^c3BD-oUSvE*Qc-I^zF{cV=sUWfPr6(Zdpr~6v_r#2Ru)P@t*WPPr} zTDs|nlYtd}<^L3&-sS>ig;oq5m_>{NoS-O^Sn+|JTDo)+gL)8AZpo=2Kjq+ zj|7|fJsTYo8@l?RO`~m!NfpY!kcu$w=;!Q*Q%H%FeNGR+<0xY!`la^ly^Ns3tmb&61MMf0sXdtLH2_f&bk%7bR*e9PzPfWLSUzmj!$HMXbGY<715^_UozdtvM} zKQoKS@9m6P>uU4D!k15D30soXWU0Mu^h~BgHO)GfpwsuLd_5cq*tYwGd_t1&os;$9 z5lVPZjSzZ=zss_tdGyuj?)?3Jv|oC*LpCegrJG3NHIOBTe5Uxh{jRCfqB3L|(oH7g z`g`_GuVFWTl(AyU|JxQy>G#%97$@S;vhT8C_c4aEclm0b?peG$ccs@fjs~tplIP5- z%$1nt`^xu-QM$K&j;U`%-58P+gF2JEY|@-=9V#VQpTL>}=43U<@T{xc3-fX47(wmu zL=c+h0Tr#!!={~OpFGgEcFU&3rg77RwyufnOP1BkjkIwM3zrG6mrNuA}$MlLCkh)98m3?m<(Xg{zUKT-9Ju6>Fpq zRnlYk&hF@y^HIDa{|h#f8jUGA3)DC=I~fw1)@-Xmt$Ecu1cy5W_5Ff+=x;gmeDAgN zB~{YX3$3(hdSI5LW~*wczXV73Nyh!G{ouEkFHrX z(X_Ey@4y0s(dIjm4wA>%87HVs&2=)T714u!wLOSiBefeg%NRAh$Q|1V%oE=Fv~GQn4Q(05o`Y;tSwT1IQ`H%L}P1>_);BpwP3 zs4h?T&+TVyV}V`t=2!mAs6%rNIANCUIqoY z9EH7H8$nckD^OISmokWgmrd9n~#eJbhOQ z@Ld5V$$P-^L~SEk1f>qL&6hMtL9GnHg)q6(*eEuId-d)l#(a1Vhe21XISSveTV$7uzP<>g|Th6C*|7?nGL}vZ9OgPoP6tkFeFlXAUECVs4Z+e^>PlNZizcr zqcmx5Q#Vzj$qa3|I@7)M#-@0?ho(2oOf|44)=TN=}su zr?4nN+`-fECw{Pz5PHMAz-n!I4Hfmxe938oP`tfXCGtYdyl^&MWB;ECd`$1v;KS*6z zxTT2uFi;z0%iGwpBv7+Q8Y}4m4XCQEQfAHa%C^GQA)px<3mmQ%3kTHRAt?vTg*8)M zwX)1fLv9eOcZB;#7kh3>Y+*;W->1{1g*%O@EElNB?=9idn^vP{nKzV+w>B4eJR+Zh zO+sBk>Vg%TJ{E8G5*tZbN{NMwDkY@qu&JN6^bfS_QF2T|7GJStTb9tuG%Th&omzcy z;VAgqqW#2|6*Vo0tAR!}fjjt#!#9XKI2-sYXjNsOw>+pbMfUrjK&;v zH-5TABc&qhqC#mi*o>j6mKBpKlC=7_FKy5MnNrYTHgoJSj_0 zyY^G9Jf>G73Tf&Hta6zrFxHOGzBzYS+aToANByBU{C(nih?(1bcFfdP>6DR0AeGWe zfTC)G^Vx_y5A_2*0NU#!m6R<^krJhicvun`Y{S?oq?HHIY)U-QZj6-QI65IFkzhNjkgyzg&8pc` z{G^!&tAwMj9EKBD!ptYTS3RoY#e$PnaUIL1GN`n8I_bAx3w{VMz)5eVB*~sOt+Wy) zof1IdW5VOW=qwiWK zrTEjyp+qqysH-!Att^7A3xcgGf~_g7nl5|n_U+3*-1b8&B-8o&W*^{89k5gkCf*~=cdW{@C;4p%Y|6nH;%V7*1-c7tLD$=bz&6V zYIiG23flA9JybKDHTC=G3AFlPiSC1lZO?Mlu6Gnx-`6^e{|B=b@QcM7`1MLH!e)&& zQLAo)$nB@f5w?632iKM@-$R2^-WRm8880Ua$BtBK`YaY2rNMl6@z_dEmUvzf!`py*FoVO@UaY>w_iYLR?t)BV^ky^4d)Q!s%G!}rKlQ9J&3llY zQ$PJ&3yMCL@{J%!jwq6H^|rW&uvmJ==C6fKfm=3~tks?P#lSB*e$nuYieD7`BI6ec z4H0$n_cO-s737V&Sd@*rM3j!+RQH10?tk^~Hf0gqf%SN~iP>~vyfpG@-cKs>WpUWd z_NM_&fSwiU^yUgPBUtuHLyZw@S`;E@Rw%G;PV&^eyAvK`CJ<_}sV6%|^LQwSUOm6g z6oX77!q5sm10`*{jkJ*L;{8ddm7K(%YM7EFu` zf^S^~6yrXqR&r0EO@JkM)oMWxW%TgKmG^>Cd(|?*8r*GG&}pz$kAUHnUmD{q<&G0E zMEJx{FwQ^ciZZ-v3Lm;b6uQm%gx9@#N49I24!wU*aZe}xM0!0=k zD2d5aH`QjfYUW)|-dV!{$$MJni4Ct)z%aBT`d35GzAHnfA*sN_daZOj=BRMNGU#V-9a0q;#2&)upv)a6pK`+TN;T%ae3pz*s9O|4 z-LcM9L0NsSFQty5bY-}zhXhsEFuL@WKN-FSP}y_g>)+8Y88sy@vjq?xGS*>&Vl{6? zEQ-~OOcClG>pOE1dS5_`E=?e}u(bE};GNCH{xBNy&VM*QO02L!s!)(4oMGM8dl9rl zOe0Xb+x>nt#Mn1hZXj%10+#Z7I$1i9y1O))UnMr4OYL=cPdZ)#!EGyl$m(>6Vh7z} zC=f54<`dN}+W0{#2i>EF5ihv43?}QMO(nph*?Zq%Oy+90&X%0%H!Tts%8>y*KtNH{ z>)kCq2kDLtT2mTkrrsW$Z|aE(Na^Q4bFxvn3PVP=~6^b3F|kY#;k2#;-?6LEEcjY%sPit zY;VK?uv9jgFtu6?EIlVn@=o#Uz^{*_Ve>pEmPHxr5-%f)>Uh+GlV98O;nbLVUQ6@g z(({K{sl9rUalG5-!DuviKR*Llxjx4Oe-p?5a*M%mnbzjF59&O6`lUU(twvExPQc=wzWskIpGioDF_ z6wLbT$)*)Rk)~jmLL42QA@pp2zx%psji4^MG#bqH(CWvG9n`zMX6V7Qc9~B(?bwFc zd5mU1gkJ4Hx5s42x>~*{uwg(#(X5+-1hclT3UIEjx>6qp#qWU&|F*yBWAFgyO&F{d zh2DSFsWKu=D~5}Ji83wgk9ULDvm=foXGTcLuaS6vi2OUd*&5inta;@SJeTr@c)#o} zA2C?m=eRK%8oY2A4ug^-<258!?5!KEWR%^B=$nADO9P~h%jiVn_Aba{6}8ktP^CP{ zy9Y{xP$U?l1GafRqwT}C_0r1^@1_ux*NRr9#3IW*ecL@s6Di(hFd{8C8|(3iWH9DT zxr*E)9E}KI#R5vkG+H*C+>=r&_HALlHwuXG)t0_&Z> zaX_(amUW_vnIIfs_^IdMIEN`|F?_`XXgx0l)7Uv>b7IccR{$u9ol79Jd7T=sT!|Y- z35s`EBL(on8JDj_rofc9kr4ziAE@H_g##NamWX*PTEu7EYIDXHh6314abc#8iJ=Us z6#S74d%fPaIMyQib0UW-i8p(7rjRFjvzZI``u0RDfk-nV%Un9Y+eR7U)w|I^2|_vG zwO{QAlFa63E}`nLoX%!vToWB|Mmgf%DpRU(kNobeeZdSF;H8j-c1B{zAuEt2M8zd~ z(k6N`mkb)|&^62eK?1Q=Ea#Y?tpeMaRyQZRYd4o(i$i?nxYe3+3g)v2cfACsF^Bm{csq(EH6n{_P#GegxJk#j z&nG_w;G-k~I~XFLDdmSaad22$ofmnB|DzN;iw}^1R+qo7$NMGZR#Pm{8zEMvLGtQn zotbZsM+`fyAgYxNi&v61^rkKsa)_~N27zl?E=O<-=fAm8dtyw2*QyV948qfsJitAI zoE^-fpD{ittmvJV^AtVCJ;KLvNIKhk;@i*dR8oDDG_si1TpRgbE+5KdT#{De(@HHv zO}_~{B!Z#JM|*?fjpuQ175g}|pBiw2vnm4y(;@T@9!(pkHa@oA9W26)Zd~Co-r$OS z1$nC#$dTwpW#3ewoq%@7Op)U<6U`L-4zjIA=D+Jz2e`DM(M%vj8<@IaH-CE z($kp2z|Oe)=(5_YjE%?~EZfZ(ZhD|qHWTZ;V6F?Iv*jJ7d!IK zDNi!g*YFKegvmQ7&N(=!1LZUmYT0TTl)Ts09>eqj>czdQs;;!0K3nf*u$~@l*^+8) zRKNSydhTcb+Veo~>C7i*9fb%*^Z&P{?RxL(yP|-St;o6ykh4R&0!K&{#!kzjfT8g8 zksgkFtv>FQO0102CfNk0fReD8kV3@^y4Io8^Oc^+KL$riT(QF3YJk+WiDA1noLONJ z4_HkfegNkLMx_KG>-&%YBXk_sLmF*@54lnSi(yOKuwmoGY4Spy`R1{Djr)P)q}gQ# zM|Wv$!R`HZ^KPvH#rbGz#T&dSl!S{*$L zF4d`T%_6##tIwFRhnVoRCuj&RfoOKMkwK;TBKb>W^+mxs(KmRsaLwi5AQzUP&+JN88W)CfABc{&pou?lJc9QjVnn$~K9V|Sn`lU07<8n{y1!ET)ho_vdM&Z@&%Dmq;lNxsF!Hn5!W_FDCJ0EgC6iWT; ztFO%z9lKY%b~RCFd)`W1W9_fE)RWMz0?o*A=W6EPS$Q}ADm z)CH(+p2iqiM2csO2$IH9rM|u0kzov^=&%4jMwEv6kr2TG_y_!l2M%`Z-l%tyY;e=h z>J`R&o;9tLwzyiEHOkzQ-SaCZx@&0${mU_y#w=Kto>j?5RbPWEd24pd|GYTxWP&tX z^Kgbb@f*Lg%}X;z_}e2jl8ih2btk(qnm?vIweUx2p*EVSk#OO^2p-kX(1s&%AM$jg zOJlROQQs@6BSuuXlO=i*z4hTJ4D+$c#_Mvip_)h3V!3NJI$>Ob{V(flZLYD6$$0y` zT=BBEiD3g=S83l5|iuQUZkzI@BV5 zXcr4CDxM%|@x-)lPKVWxnjcESvFwJ|s*0DD$8g!EJWCyD5*1qhWh?z_#PRiNLU+0Dsmh0APyU@`xIuB?F4(o*bhKV(shj-dH?V~#H zwRM^+jBeviu*sU-(__+A8$7Q9&<{4w4PIr{&`MV^ZNW4^_ZeRnEoay zvW?%%uVk3I)pvF-biYC_PToJS-HUeLYxR=ZKA#&gKdIgSn+Vu_+zt{~Z_abR-xW18 zzSj;EseQ5nesEwv8g{DehjJ#q!;(jyn~w2PzUw|Me`J_{gpN8M=_Zz*l$}4?KM(%p zj8W-kuK5_g<&0nU*ts=(Rokb0A<^v2J>8tYcYLzW{hsKK4B=gj#CVX**hH?{Y9FmG zu8V0UC6Dqg5jyEGZA^VB&&7;;Cx+?Y1YYGqq%Hp_CQoJ$M||8L&u?r5>O+_C(T zYTP{9Y4!2`i-NZp`uWO>Ue-NPZ`%pJg8xQmO$YU(DI)CUpA{g%SJuyT2?akG-kKy_ z*>n+#>~}YYtehbbYSVfenpk`S36T!{`2iS5m`8#7q&@>4S3gvx26+dmPUGU2922GJ zE(J_4DG{x7!mD8r4n7{_Pab|K8<+~so%n`SMsl|k$H6aEp1620b%<Owx6QCYmK;PQMle zYFQ8ol_w8m34lNu5XRqqcy&Lbevfqgsod;;WYZx8W7?H}a1q_q7v20iW5#PB+I|jM zA95a4Oxbp>!nqsM^?E60+8Yk`J3uoSuW2j+;RU%82E8K7<$iTVe2F|u z+64$`W;IjAXw;vLW<30YzK>=#Yw=^5$@KzjoWZ&e#=k)adV$*Aw%VNrydD6x0x`p* zH!JabmJevgZj8ZbRP}qd3vi}1%lT_o1AN5|dbO1M)xoa`v+e=2o|fA+lbZ#w{sFUo zmh07<8x`=32Wkb~2#49Ioa;53TLoOTaeGE{d!`L~-PSwx*Q^KFYBKi=%&Y{f@g=~y znBRlWtcJzx2EZzc-viCe0%o0)k8>nf8&)Gme`Kn*U?BJFPa_KGO-P?dKK}=ynNz^C z@hm9xx+=e>^(+tMIu*=186W3R?iZOE8CK&D)Ve{yv-qqC%z6pG1$yI*fAegvHo$r; z{|A>DbHKCHtUJv5Qtp+_>^I>00`$6IF84<67Sy^o=v7VbmBs8ekQH8lGq2eUgP9MI zl}*6&AF~@KGt+=)+JI)z#vaVZFTM=J!C~ppD4Bp~-CS+++i2gGt==#A)-}*8kK8F{ zvmFqtWd09&Ge(&8s@$)kQWu{^j~0H;KaEJx+jxF2Y5~sT8f%? zEKF)p5Y{SPp;9~PH}sxXcQ33s>_@8KJZ2w3kqC$+uoc)LoeV-sV7!SXHRzZSTTgPN z_{n-ySR9kOT)b}FJ=UKqzg$d``=Cf_75w~`*1xKcvwe@$QURlH_T$Ba<6oMZUw|5JSls0;J@ZLTN?Tv^b|TLB}-U>fo=W9nEZi>(c}>Q!f_+bF}w;bZOyVx3G$K^WOoMqDH?Ry z@&9g^Iz|#7Q&T}FP~Sti{Gv{`mar01{ngXSD_{~0u49_|DM3A*`QJ|Oj}JX5;#h;IuHO-l%+<$ z28D^aQKKZ=z(z#{;aVapus$lj01Wk{%|D^j8-s(Lg=!WR1A`*cILFyZC@KKS6Z;Sf zwTMiKg#JUx2#&6zLFlK6lim8ZZHdqn2_rvuY8e@KPV>xZ@6sW34qRCRj&cGM0C+=+ zGBmb<#|`_e^B6j7XiNof{H%7}-ccufV^7D^d@9q}$}P3T_-CCKv&s`!nM`^ABU z&AlyV^1FmB>jjhd#5S*9pa2sNMc(^E5^J9-OP~PE40;5m{2dDa4?G(D-(ocQ{!UQA zd#Ei+kQ_zPDkhhXFi0BU9hDDZ;C$jEA8PoPOo-gFLI+~QQoR>=vb;{ zxpUqjUuX)iJdJfu`7o&|{@PHrZ{!)KZUw_;dOK&H=CG03dG>SblPd#3^@2aQwU-*Y z@T{rPP7L@ANyIGx18cN6>Z%wPQ=7CUv3L8iAJL>Jz##4BMY}wN@>a|r-Bd= z{U{?xpjOIAj7BbE6h4!XZ&ysr4ke_D0g)7-Rz)eov6JA)rt zrBlNyRq!&Hejira1ZS;CLjVy>;WYuR_@0bW{7Aznb*5>OI^Qz+x5y?Tw{j4d7T^2R>MSm;EuoA0cI(WN6uua!XrDTa@rYBX~dE) zG39v+heaJ)saa06BgY^tSjoR_Wo!K?Oo0Y3Ym$=&!|&rhSEil@_duC%_=m&fEl9oxPEK^WBx0A%t{gV>Ty(yS7cW3heE zre-dPwQp&h%}qw!3&T3Hv*;95>YYiF(3=g)APu99EfhOoJI5mTJPi9d z4Es7v8bIh)uIj*FUj;HY8q4W%Kd}_smSGt74VbmvU`yaZ>sb(Mf=}H#XM&XWAgyEM z{u?`^HdLb|T;XAWH8`B%>dOYdQAEU24a%g0Kl(qXx=g8=nObPFX&m;0g9?3ihfcn$H0|>=z&S3?W&SbCCQC?z>-!$F>Y?azeQ3iC@aY@gz`&f6OgO5Wyve3) zp78DUyrBa`QF-Tjnm41f{qK5Cjks{G;euB;K%y!E<@T3&wt~2TgV!eKSEZ)uSoWoX z(9zU$$WlgdK!eO&J%7+?tplwkYAwL5ti7UvfqjxrIfM++)-bFw9JXTJd{?(V3|eAS z{@h!VH|PvMu+$)vgO#GHK{;U0$waK3_UqEm-2gGwVLny~1${@PGd9b=zh(?fd&3v2&qVKk|Ds_6nLHVH}qUT ztUTeu*IGz>ASrHHFC`gfy4nTQdo2^vX@b=kN#%sq0mpB;PEF!0YXgV>K)0udA3Dyf ziCfY@<)x#bc5Ki*MP)Uj!oObIFS6#h0T7acQ^HaL155d5l{mV+m+Vr4WuJm|Z_0XT z&3cH-3j6~wx`g))8_HeZ+!iF~U$Das1pi$A+}xxEJ}NZ>JiK-3At~OxlRq=uBha-nYe-2Ux8H#7Ig4-c zPtWN1{R+*CJ(Qb7HGGrSyAr-u!bORjUiP)0TQQi44cue{*WV9Uz2~RiORz{;XzmT9 zmL9Te_Uu+5-+>&OcvvC!0T$l)*Dd6b*nrL0fUQ`;&Daxwen0Y;`HQzdvzea`r%=9Z zsG*PR@=mQ*bG8opRV~}PHBU{<7eL8=4O3N@dkQI@E4T-!_L*4romlp9N+jO*u()D{ zD`G;QqjLG^U@2?}DQzfk?O66rSoTp^_ElK+Sy=Ym_|MyS(k-zzZ3L{X1gz}@JD`Kr zS1y|Z)_{R%d~<*~7+~kG9@gr-wu0WU;5+QAwI-HtX&EY%d&l2szIKJ$b>;^gSl2u* zQD6s=&1gbSj??Gt>y@H7c>6%^xO~n&ym;UmtX%WUx_FfoXUE@iy}7McnLO+2E6^>m zhMTcyfC5pXDYTU@$1_-_97|~=2wBGmDsgvYYzS9;Y@ZAp6o>XLu`QBf9^dKPAAi`9 z%O9$_uM;0x{s}hi&7JSwcY2KS8<`$`S;O$e0?k=l`w)TUD?2jdKl^r)V&y4209q?Q zgzJ^M+iP~=V)<=O92ER9)gRHO{R~hFx`|@|!*s9;bk@_w{hCv9h<(p47(h#fgmdz^79M|b!`>8(Qw)_ zCm5Yh9OqT_Q2o7E-#`7?%h33+Cx9ZcUU%%w!5YO<~>|37+SP%7C4-r@o?f5RYeF$|!H9+^o4*rJP0Gh58 z1WG9i+H@Zj1NGO@EpbT<+!itxS!p&Hyx~Z z{vo!C;}m23k67`G-A_mo9wB+o>*2{C`yi+rM{jKF=GJr&8=_luyiU( zRAoa5kQs!Bhn<1#ARw|H6<|FiW-SB=ov@SEyWC?suQsd0svlIJ8Iu`QAlpZ7A)FvK z`s>{z8o?arMS_31=6YIZBTuITS#{t0u=l8{v`Zj0_5O5zsW$)Muo-viOD}*J!>Bk^VRs`Mz>p z{*48%>iDB#V41M7!Tq-<;aqL~3=YviC*I6t2S7|j@n4j!X*B$lv3J$*YYa^)9tS$HI;T^il%g#`j?QrDBvNnLDr+<)}u+* zqrW4N@}~~#(YPhHrj?Mj;Et?bRHCS_g$+wm8RReC)>AJl71^(f8 zta-kZk?aDH?1- z`JSUCmbH!G#!-;xIM@?3Hj|mms`8@}?c719l&Vus)jhBJUchS`>M6)m3BF@L;*I=j z(Vg005P>v99#%csG_aWsK{G3q6`)TuT+pdgWK7jQB?kJ0_uHo}F~!H@?Q0s7cUMS@ z0^yD7SXEr;+ksIR1W-`m8)X{?{jrUdUTff@xaqTH#TzcO3*&nv>@@)CP82`4?n*t7 zw~fGs?V@cVtaT#nwE@Yl1<5W3=?;x-Ux#eJMrP1UX7Ed9a3?bq%-6Q@dM8T&*OBmh zPl)SK>`A|stEk)CS;b1E&1|^c z12NA#QayHCe1gW+rX3ihx%20m7B=zx**W4!eWPo{2jKCM^=O#yYR0ZTKATT1uZUfc z;=)cl%zba~(MhMGiEyc*9;a7}G)oYYgi^jPo;%$x|7k z`hWD(947E3L8*2bBB^=lB1RPPj^$YT!+Pzg4Mhv!jPvv0Ex6YjQWzK)BB~hli2(E$ zW4^9RhX8BVC{Gtz*lrqw;|CsM$0Hb;4Qr8Sj@%|vri+H4PN0JWE$Xp_W9r}qG3h%B zk4hx!aGqIW7y?}Qxa%@9Y{qBtOrwHHsQ4IAt*V$_%s>X~nE>=o1cTOcm~qNcn!yOt z>fz|{4Fx8thR=)8Vk*MoR#VaG1cR4l81M}xCVcfu^p@G!p=-*T3q#P~&=k1va1r%@ z<*mA;qG)hV(xWDkW}bcq+n3XA+728X?I)Ah=}Yt-6$Pf-ne48<)rK;k6fWLQkF51% z59{DRZ6({9n%lZK<#4oF5M%q>8t)(=d~c~lIbyi#Y#Mm|M~nC0al`g^iO_FmT^OVO zM``4}C;FI@DKE68%OM9G!DvOg{`xpdP(OO5E$gZe#(ROq?Cp-VB4=ufrH`r^b46IN z!V2#z!4(f+1n#$kN3VSPR;{Y5R*fywh4#tX67W?)_lc4&QZF8_7Vmrnp<&Y{Z)pTrqHqC zqA#oUEt7`Y)=;9L^J%6fs%{!p_geAtZm>L)yr5h)@2NeHbyk|$1P>{f@(|JSZs!h6 z_bK|EVCZ@QW1HlGZk^TlT-{t8M`y;>hBy}qIi=kU zIzX2|!v*WS{-05yd9uRzitghcsu1zx*Ik;7{L4Cx+tAWht;w{okbEFqTk1X zkk1@n3+#`wD7g*Y6HIOBFH|MFsUE|qFPVa`m+ZkvE+LzEMz;>M2_#?+VFFKwX9Mhz zN4&%@qfl+#9+OpwubPF}lTnC{x^AS`HXx7wH*cZBas$K7KbWq{8x5Cc*t+BswyOB@ zENCMqWA$_x#!lEV6AawhqXy<`2s#wM$`)o78 zw32Jb*lC^6T)@T=@;Ub?=5!!c`m?luu56=%o6E6bF<;8J*ox0bzIq?jhVYCc4njz- zU+jN8XVvMBxBB*06KNmmuf`vKz{hn!KA|n$H-2|$5^;*g2(OrCLzVBvIf;N+fta?w zzkCbein1CB)=N9QEhiq@fmeXHT{Eb=BE9=Lf70yV>|3L09@f0q*S`8gI6WN)waEML z{MSQ5(6eG@7&~4axoUKapvNg%=E2hFd!0~*L#Uc3?+2VMJ%u@be13oS{P}SOC{(qu zL=i^Lrh(HOujr(Zqbn856XP#>W16a-h>!)R$g$m9bJ~ zATw=OdaL%~FV-h&ebVi|O}0#qVeW`BDeE6o6Ple_e;nKP=q<1>zhqO`-4$T7(4k%5 z-iDwwgyM22G_tdn&{kQ@2&h+fOw4^J)hUPovQ)4J!M`7uZ9Ag90pb*`6=~uWax?DD z$nQJBSp|wDMWJ=oC$Bmj%eJ%`z^@T0y1$_p8Q@Wuh;~Fg1KiOKmMD#g$^PG?nSgXlL4<{Ce2y(xKs*aJd#9Afbc(b_b7n#uUi3xYOhhg zI zXis%dwQe0`r2#SE1pzpdx*%+9vUck6_!+tj8h63$@~KI^P;JmZ;Aj)&6A@e&zx>NQ z#?iw=&3$?t^HJd$2sa5qWziqdXUEC=)h)uKb^v5V{LR@#==4A)0D8xCIKDx-$OHwE zF#E-zO3)mc?7o-S58)~8q7+3DnHq$BF&#a9u(!g+taI;&p_oI!9{$M&4U;Xtz z%CmJyJ^%q@N*IQyKZ5S}e&1irf{%-XIy2j+C&hL?x}-nd>6)F@0Gy#TD^)-3Uwzzl z;=XemS#AqH~l&Cq8oZh=D1n2BokJL?>mzSMxhyoZ#fe;M9 zlHJLDGpE=jjUT-T6NSJ@9B6;fve6QDh>kWdVjozle;8Sww!^i!pr*>e01w=gXUU{T z#X*(Lw=mW)>k+0Pc&FH0f^~tC@G)Wx2Mn7PRYodppSjcSO`czb;GXxDImHeAvdbFC zq~-D5t_PNg-C~(g?+W(T$v*j;nn3oqs0W%Sgp#*rCD&3NE)3U6(eoJx`3_L(l z2HJ*V;*adcnU_KyGbxI381~{=Dh{BKhD7jplLRlAb4WF`okqavX*aJ!HMEskpb1Ph#sRNHn<(_elqf}8e`#-V=Hr@uO?wHh+Z6J5e=IuL4GDb0*~V=RHva^ERy@&j z+z}S*-r`>~wj*0bsC&ZbbE9YOB_}mVHPCf?xO~2+Ev~{DQZ0CrF-)v(tvnDkIRG@) z>o8Jm=*+w~^Xu?qrBB(spi1qo21A(o6Jn2c5bTo*>}nm>_JR7qPuKU4(Vc;|)Yxj> z2u}eh#p^lE2&`=~;k9b-=Wc~aX!S0bQVSIpneRhbWiTQ5JVmpm?U0S}cikgO(6x)l z8ZgxZcXgfW#t?(~v&&UoPN2N7%yNz55hq5jaW<=`n-HC#v-dAOxJfwH&KV|!&oele z9t8+eVXXf*$#u`Fla@YrcU96@x56@Z-}K49(x2JOFJ*|i#J%>8PiKR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb deleted file mode 100755 index 0278be23eab64382c755cde33ef5029382053bc5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 337 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRt^yhY0tdh(1H%m{9SE`o2r3wV{r~^J z-i?i|tv=wF$b`@0C(@X^9x^Fdepl#LaF3thC-FicErB6%0%wiG!8(O=EJ+ijQfe4G z_X}s_$_mwsP3Yxwc-9Cq>i_==#-zlAG>wFW1OrXqnfyy6QW|dDJgRATOcX~lbwm^W~ zqW)IKCHej*Hoa63j8t%Y@tL3Fc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G7&000000089#00000 z000#M00000005>100000004La?3zn!6hRb)GaJE$3q@TB;^+^M?yjoto}G|v1lkwibh%+Hh2)OY_yH&^Pbeh!pFn2NmA0!o+Oy5*>-E&XfS9fK(TrPjc&&K)BXtZ%Z zUT)7%7Sq|m`2F;FfBbg$=<_h1evj@&=|{YI-Osn>@@rJ|INttlzSuqN)$A;$htn^+ zi|KrpY8kHY#Ea40`0IPrxaxDGCPurX$=w#cimW}2-#u%a^TU%*vrL}p4Uw($pN_mI z@wz8(dw!akTZ6f0k-43t@m5R-dtHd;s9lkU2Dj^@_IVVy=BKkv;FVLe68IvD+tY)O zi_G9P8SGr}&Hjf>;PRRTKI&Z1kNf)ZdbZd<-kZ;6F&$ob>c!P~!U-6nhE7SE(XbD~ySZhR~*ygH8r@9xGRJFJZtU)$3IZ$_8OLg1{xC#*_Y z3g$y}x|9n-EV%Ne@XQF+dtD`yp%)@xKoMbhO<#%%_rfCRg;+Vs47k@h$vM~*>cwQ` z8G0dNWr%+*Qh@4TiDi)OcA9d1kjxNj6&nc+U+WHPiLq-+*~(II{@^8WYMtCT3CUVB zFz$tcpuH-@KpRG*lOfh#A~cj1&wznVsU~8+uOyCqE9PStyc1%5Au#Nk0#sR)`m1eT zsYSEYl4_3@x|Gc`3J^sUKC@~d16Qs1h7AL06C$&y8i)=V4F;N%wP2u@VJY-Ejh6Dd zk@STwXe}5k*wAJhsGt|**f3x|V1Nzm0=CRv%7#Hj+CY+LjTGDq6Cm0!Fc~X@=N0B7 zj#RW^AVO_o?U1ZAy0NkiqtIPIu$SumcKTYONZmV6ma1Wr!@93ee5l=Q#+>#WD&k&l ziuQG`lrAXfQjMaTXoJyu=|&oTlYr)gFq9nhgy>{yZCqRJHYeKW05hl~47C@V!k$nk zqxppSz>JJU7|O;iAEi$!IX3NKB0h;&;Dd>7C};-^_$2%adJ#u}0l$Lt0|xGeUbtDK zRRb`f7bT`p>Hq_e4`2hqj!`g)D1%sNf&z>zrX>c{;ej_l(WhMDRhxSPGp??!Ho=wA%ob#oT?R)X^ukzoJj-s7*V%AjCrM zzS3RD-B-$n?UNX_->V?l|J=JT>>!Tb@JfoJ9%JQ`$J7~k0=U*IxP0<>M&)n+xdn0b z&qO^Vuun|v(R`kg1`bl6y}!svNUB&0Cl~oVC8d4Efr9=EnY}5X9Y;d>JSC+a4xGE|Br>f`F`>Iczs7^sMqK?10y=444ZkYW%5VvsEmEl{ diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb deleted file mode 100755 index 3d010368a26171ff7d60ce739ffb72d6924d828a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16542 zcmYLw18^o?&~9wo+Ksib&5do_wr$(oSR326`Np>M#>w69|L?6^Q{6q?(@#%NovE5R zefpq+fPmD%gZ|^r{|@1QQq9!K+0x#Qgo%aW7XuTCu&bqw2?;X`Gs!P@MkZ!P=3h)K zB+8~vZkEQTBnpPc)+9_M%2FiirbZ-UCYCP$kY3ne|H6QPP;mc`00~F_UzsQPztRKr z(ib*|P%QUb+Tc!95CRmEl3;Hxgv;5(pm(b4cit50cJ|MZ2-0x`6hzp=T@*L2KUG~_-zne~pj9BSCa4L!B{bGE_I!7Hj1S3bK2`~7qy@TVw9lUl7$3F}?8Mlm z^HvxG4QydXf*a}I3G|1+A5H5utYaroD>aOks0&xj`cuH^;dO+YdIm8GG1pQ?LLBGu zvjK`Q&~aX{fR!YPd`YA7D1-CjXFnhW(JSRy{o= zJ8W!Pc9X~cVkDb0Zk>kBx1IQ<(jhCJD-Zlyit>Hrc#XQ&uCQkINZDpz=f}bCt%+%{ z#rJ%!+l=1Xjl2SQKw(f0@X@Av3%-lA%Vr_Fk$)?#I??(nj0oa_%OB(HzShZyQ82MX4pY{pg%{13h_E;ew> zRg~t6;r{^_!2f?FgA>nP;KWtxM4k^jGA+b~dM+FLw1*3dJgUkJ;O`yVTBKO;OQCRg zNc;}dR_GA~1O2TA9aBQYpT(DQ14%Z&GE~>Hlmr8LkfXtM&`6(;yM@uD`|{PMiJKCF zND7UTUEHzXvEUoZk3u$oA*wZDV|;}x&QTpzD=(x0f7_>WwrW zTYih7Y`w`?%3*qPxp{3jju!YWQF>UDrVQh)fb>X|@jLh&Nq;6jcM8ikDiPJ`1wY+E^Q=QG$s+oT|KVys~ z+fMpX#hXmeEoY~|W(3E*uqR!7Qo<|Roskav4l=#ZsP>E9!b{YU^h=yx3xogsNaPrp z4la5Pz#t7W2xWqGvbJ>$p?kAf z)dty7DW$goEKe&qQ(3FXc8J z{q>-Tfsg8y82fJj5GE9wvcroza`gj@f|57P#w22*Dyk(Z?I!tTg>!7K;z#?#C{ATg z{UJKv+tM_=yN)p->}CF)hcnx;m$)}ZKB`Dnh&Ln!zZ&5EK@LFw^NlS z@~!vT2jCZs0|MJ`?hw6d5+pY7UY7zby*0isicq=vUyAv9FwfpUUno0#n>sS-H+wq4 zd(Dh1eQ|eMfNbK5dpnVK`nm#0D3vq#Vm9P;xf;*fy<-{h&2uhgI8422pAQhEoLjvj zexYb2PszK;sN}uJ#;5{fpJh4G-Mg!G_Bj4t?N;xca7{|L=|>a9^^_Qp?Yi1 zsZ81XjFad@84lk#HJp3*(-(~- z1eXt3p7M&7Z*pH*oom)7c@L5F%&?Js2r$#<&CB*w;EvXZu&#bcN#WcET324#%tL2$ zHPC==#vPWyZ$RTWz+qfK!NM_9I5mmUMdXFnsOWJLDTaK~!>!vQ!bUd;>(#~T-tvhs1bo>M}w^FI@1S5Ss>A+gU zmTIxFK*>fonhK4C)KM+wK{q#==={lnYfBsD`x@xHBv08@bdL7Y#t2kl9XA^Ez*p2s zhWQF^od8DXHY%HRZzi*?sdv=C+zth?z+&CT0A1tV`gyjLX|iFy?6K$?(F96wKV;j^ zla9%{*A1%ea4{9+6uCEAd=bX4R@(H&RoPy@_eomJ7M@cji)=bGU!!h;aWs(c5I{KFkebU z2CGoZ0u=Pz?Hz9;QlgF`e%6H`+P>u|TBwWZJz=~B|KP!CFT>K|=<}=43>Z5V*EGxb zAdDW(VGv7Kbm1lWz8E4qn;>ylvG)olrWw>JjN5Qx1*OP&m|7%ccHJza3y0OT;gxkf zZFOs#t(y9$w)K}AycEikZ+I_JCrp=!Lz+|Man(7(q{%^iFKOvoE<0i~hiThLYdvY5 zhb8_2ofVEl%`;+8}cE7fbc`ea%+0mGMJUCC!z@X2s`!Lw&a4kYU;4!VH=o4vXvBydb2- zohdk|#w{sLiN3Tf1#Nj|vOoaX?4@I>ff;PsvCwXD_2@5X1!G(Y4Jl%Y$e1tQdYU^r zwDh%auBeh(e#AJ1o^md2>ZxmK(xi2}!@oyVi_YT;P5SJnr_v zNgN5<@2TdHqp2*tJ(@G!G9kL4wpff$DC;=f z>bo<6c6H1uS*aGWzc@KdKnv-7NtdCo$(8G4wx|}%fR_o%kj-jDM^D+edQ#~Po-H!O zz~VK3K{%KY>P;QwqOFXJvx_MPCGO{uEZ~pPPogv*OkMzpvhB$i+)FVbp@C0_Xnml_ zmE2?5Sl44J!=Hl4&_L1@tG9A$5^iZ$bb$iYYz$rbjqp^B1|iJDCSTtJu%awXZz|I& z*(=Az5%iDzkfpNLKF-~i2gNNP71c5fh=?n!NYz9Y+?9*@yzmu@DL-u=duD~XGa zetYw?yBD)3*Pzxa_!8!HWHm_(tUMTI#>2MtmFXDj13F?pY)Nv`UkLr(pbz@)j@LA*k z7NXTp{_2}3&qv+dt~N$}86_uxJ>nriIr8GeaV{5s_xfhO&vSgjuFUSIC)A}c5%qX; zYrTP!ztgtWE-xXf}XBJz~Nh{4sgw@jCSr~X$ehJ)_dAacWdd1wUnecMRcAElf z^2()hP~5SYNyK)C<7JFnzC#(e$+)|*8st@(7Ht7h$B85K%0j0yCQMT^n6DrTR>1IV z;eZ&JZd(u-gD@l#_8C@0JDt7K30uZBsb8<$=6uWfEMwyteIK=S&)2049DV9I@eFfH zra5vrg7!mrFT!0$*jrfR62E`j6iR%iqtM;!pmmss%nE$eEb$-ap@X-=f|n0PdJ$d* zOSpd~D3+lEnn{X0bsw5v%YB)|BX5|Q2oSwOLcj{7PG(%goI2^SnmAOUlU=s*(|V%S zf}0SpT7*+L7!TB zL7#a4XhS#XGwUB|{Uf}8w4w7)&(V#EP4Z`0)D|oJ+)ya|#8WJM&`u=2UkQedKJkm1 z`vLNPM%w>sb-IXVJ1?M*>3iNMgzk>@3;%Dv7icuakxmmThY($|M|Y}`PmkQXhXC^M z{X^~_f1NmwClkYMF{CF&>XDNR`Ft8)ZJ92TqaQj!59AkFl7F}8!|-1D#}jObLlMS- zien%E2~zO?l)t_h%zZ#b$-wpJ!SrRHtba-U-^4z(6bnDI{v`;w^D_=HuG`5tLF1N3 z49A#;_GEW^E3!VZ(QIaLPaI33pCe>nKQ!1Ep|NJqY2FA^)pG8sZ(HB&vNFCVREtt^ zc>R!OD(NO5BiBY$(VANxAk^ij5I?meW_{B5YxQaEQ-5(cl!KfWUFh+!3N3~C5>SGi zHX=lq=lvuGKl=1iAd=c$U5uyV*>?ORLrB6!vF7f#qc2D}##Ls7io?{C(8G$+7C>~5 z=VK8?fc|`Xosduubeanx@7W@cucdVUgjSD=We0ltC{^5+boij%jTosVAz^24dAYrO zQf^TRQIBYU&?ffW!1yu-%oV1yYM>_c3W(RlnR?h=DF&GmrF+5VRY9ZGIAA@dtcrlq zB;3@d&l?GXoIM*K2oARaXj~uoy}#QKCU4_xhtHmsPXl*T6*GYBK|>53vRW>4_hcA@ zm65SF1u640O27HKOBLJZ9uLLyzJC%CKRObKhh7mm*Trsei2*&>X1Z zt>lAq=toIc$=`P5Q(K=_>al&A#=8wHQY*uz9;Cuxm)h*LTp~PHJxm z3q!9tPM{J$e4q+4^dMJMzx7ru-6-oIl+k{#4+|~sxupv%$Bwk6+@Vg6F_iHhYvyZ_ zqs46SbWOaDpLB53Iv`o24pq{qI}9W8iQ7`D`f0NOOwFiU+&J=yh=J)?W0a)?e3Zc8 z6ROc-?fTKG6X%*uidq#eup2BmicXt{oBa~onQ2ox73aDHp;N}$hA@wHXSWO~yJ!8x zT`j`(?i{j%L9gW;L2so}{7Fe7u-mJIJ;|e@HpJW27!r6s3U|4jh`ClpN$ZeUd%4O{ zayUa)dqKCbpDU)-wOBRmc{jV9Q`D+F(0au2mJ@lFww)}`zYaeoEm8lt&xS|i(wRQ_ z!v%HyD%7O4)qDInVW8P^mW@^KK)UVuPXHpV9Uh{M1{*i~`J%#OyavScJw>=ekLksa zObsdE@PY<0ortuL?mRSImcEb5JhbfmK7Ja%K@`+lBbV4kc)tHFD#PxcIz`vsUK##> zjNNC~MLIZt_8t^|`tx&u+`3xtMH0*EHX35vz>lO4da4vbfOJ0?i5^2=`7SJ6qzpM{ zE9~YE;BP>Ls7-hK^2YE_l}%%?m5pgc8*@;?YIACl+NYnU1~rWc;MTb&I2soOB8IO? z0I4I0CkDbn2Sa0`6>iK+5)&9PIEI0EpG8Wl=Y7xM1>`+v>=NRH zmMpwGX|@h~`VkM1Ab1XzL3l@TZ%Dd!W50jq8kiUd1nSAC@Be&P6NEcJLv}SDll%yl zz*1!p@wtxg&JuA5Q*6>@43w)Lyqv`rW`F-H)6X@Ak*d4zZWELGwaxc2{S|dX^Jk`C zd*1w6l@IFxQQd-)hC(l$MNTJx`xTTd6D&^5EZ6f*4?<5*coM_G5pYO&b9aH*{VIrE zYf78?pzs4`OKA+_O zjAJ_C#sI(_6idK{PR9pN!!uzwoZ6F7DGlKGd2b@a%6B8<%-~W)#0(iu$};aN@9JqU z?7c@r_RIVYe=plN#atoJ73v%N_8#tg^`+mc=LHL2GpH`0zysV5j+DC~S{3ebv^@9# z1NYrzJR!{3x4volt^da_p>avL-AIiRl7RrU&ANx2g4GAUZc+H8D9##*1*An!jMVpn z)L`N>pa&eM)X8h;h{^n}g}|DQZqvv@mSbmpKsKu~IKBM?pdC-{w!9Aw+5!f(m8Z-E zu}Y#Ss{j3!R=MvJLn-B4G>XE-yZD!2)?8Fw?=&b{q!Rd7IYv3cGd&?yy>K6g2_e1o zk7B9735T79{w-zcTp#a8{|l4)N1?4e2F#Af8N|1Me0}+%pYu*1V?i{Cc~wqp&|USF zN(IcDlq>J_e}`aYlsnL>=Z7L`y9x3(GtU%Ea#eklA zOXyCaI6x9Fb?88t_LotVyvh;f?lw$YC5h2ibcyWZHLV(@I&~NGBb8bK_nPvBgvoSK zEps5Vz0%_&)lZw_I#`MUcz|643;4Ytw;Jmm>37TXxy%1qfLYVe2o~Sf@A4r^I^vZf zIu6eK0|`Tw;8vpKP|ycwcpQVOo>va(0&fBb`Cc9p77^{2Cn{|vB5+YY<=K)3qAMb$ zQZ?x2VuYIJS!f@GQ7b%ha$zs{S0k4I{CNT|IzD~>kKg;f)lB{UF>5jzvRiD;&N5@->c8lw-2DV_+cyj}> zi!OyPH91ato6?!NSau(Sd;>po>ihY}(z{?bP3yPTPZ$Q> z0{_qpf2kd+7708)LZ>9B;~(wQ2TlM$4S1Pba0NJa+lal5u#65e+GFwWtK&7~jyD;>koewbB-|djbP-&0Vxmj`MG&<-|@E?-v!OaX!!X3FCxr=_l=)-?%9s3c}3lF&S;#=-olM z4%5>)Rqz@w=ni~}CIWMW)k=`Opq0ZHxT$==EQS!Jzf!hiF7B~2miaqOz2?&&i=D@h zQ#U!Q+kEymvgYz9XT}dB$5V=H%N+X8pi5+F;cZPn$?frUS-`hFectKj+SbvgkMNC( ztK!oyt<~}c-ai^wrptNz$(eh9lAXF4-u*a)VP&t0NxkYY{ieP2rsk0n6fs%2?7VZF z15@qerAPW?X0!P&`Ae#uKGy@N@arSaWW|p&5QVM`@RWjk{fa*f5MZ|S2`_so1sClN z>3K!e1p6Ap_&JH}d}|I^jM_(*4HpFwgqY()979+N7{RFXSh z@rUuFZ01u~OHQ_?eU;nB%e_q|T|U5NU3XIF)1229wT02T{9k6{IE)%14VzdewGDp> zJ^gv9lePZR(VZwZ@TT#kX=26sa+m^R|Iz`FwAWvZC9?!iDpuEM&Cr|aKc5HTjq_e~Z2Lr(N%7V7>b#WwJ zeT4mk+GaOwR!e@+u@5SdS~9K7m`n4^p|U&aXuo|+5O#Ds;Ts!TAiwwbxrD&C=;_z+ z=wrM#3U<0;G;dEs%uHg%V`fANGlhzvf&PdnE;>T^zY$4gQXGL0Ax*d=xj%YMb1vUM ziE|*znO?QhlQOIJt+p&^RfapK5xyRynG4mcGDvNop#Sav;ium15i zbh}5tq+IWac$RXShyoaN%C|aC^vE?T14BC^kTH)_OaVR4HW_#wrzhInsuWDCt*^r) z9Jr>*h0(HRnrgiKl*L<=B4%qXx(H8#Bb{T5`+xcG*hS?uTl6VJ=_d=PN+8I*hZ-2q>himzXL=jhJ(&&l1@@B5`^%JFNxMLN&_0}%hs--C85QA)z)?>YEq?dClH z>rGiZ`)mC`na)2r_}dogy>X|;X*hrK>n?2+*nCW!{#E~B^DW2z?Qzuk$T+$Dr0VwG z@o`|4A4#j9weD~Hnm=~g>*(3yTkDkmc|gCj{&aKx*7?D)eSh~hI!t^q8t+X$Yag@b zpnH_Dv>~aJM)Z8Z4A4?}^Oij)dFdBi?BbsV5qFe`W?yGRU{m11R&PT}$@KG_Ut(8Fsb+ z96eNmQjQ=@5sGi>TD1a|nbi)R$_YWa1n~^jo!gh~)ad8eOPIXmwhr z;N<8CHQz{Z20gh%mWJ*BWr2+_pH-BQwv{8(mIRF!;*ABC`HHZucR zM4fX02idK@+JDaRI0MtMLj{uBsGCJUgF9W83hidNPu z)15bNCeFzzhrLnOp$co_qnc&Vodfn!$y9CO|D~IvutFq>IaCWW;LC0+w1^>X)#4hZ z!ck%=I@ZiC{KqPD4}(Sn1wAoF|K=f-f#9I(mo3RR9{F)yw@M$FrX&U;7?G zc$c=b!qD_1uj8ZT;xZ!sd!L7+1eG`k3*h8<6Yu}*Owfy>>{r4^|H5R!$ZR11c2zi< z+-5FQ2Z4;&)GgGxQGgieR!w?Cnc$uy)QQ;i3Uvi2@LfsZfbo>TZ_5XLmIHsr+vT^I zQws!=2D{-|5VKp*3cW`Nd1G1-vssh{0hxkJyxT-Xh7gbmz+ z?$v@^q>Xok4IGPayE$4RU1?49qW}XR{!NwjC<)MQaKZdS|tWM%+j( zK>P;{Qe&~uhpbsG&;{T4g1U+?;F~VsL)iFtFW-VI^SLjGjUK2gzd0b21#$q8E*NM& z2V}QE4g$IbyJ1<}{Ia+Zd`}hvAUCy(+iZrcow8bdBW|cd-Td+ZAZ{WDWE;=*z;Eyd z0}1EM@SDCtZPSE0F`ESNp04A)ZkQ~f1Khg$-$ibTjOYB|Huj*d+~$aW9bSQZ>Ox(6 z_w7BR7ib%=kFCFwmD;uP|ri?VC@!_h7-7}NF z*F~_RTZ#6scyk2$M-NGO2 zrkq{|N&^mI*RJY)WgoU$L$_wHBTS)m>#;MMVTN5q4Q2@cZqq55nLt5WKy8(hg+=(ObxC%RQu+s~ zNb*ZG!Y(!|7G;@+9g$F5n=C||EWhJ(&knma22FA4!Y)4biusP;)w^5t5}~dN7ViQn z1niy?Z**dtgct4A_&I9P+>!~^;#K#qOF)(El`D%_`>8@}tDxKl*UBz4evK)yI*sQ3 zNx_}<0TsQl0zhmhyXAG6fup=S#se_6^KV17i*KJ*yx!ggX7IpmW$n)hrvy=j%LF!# zTH@&!97T^gjCOSDQh@CN*F=|;CQuBONJiWU;}Na+3YNEbTin_kv7RC@18dIrh)R~g z6#eM!__hVjGQmjW3|ew8YiwcnE ze!3X4Q{{#miI9^du@{g`;z=IbBYpx>X@L~K-GDcfDDVv>yAtFH94h02m_TNz|4t0t z<3ML_GERekarAU0DPBt>Us@62Y##s?X}z83tzDk(s@QYu{j|jNQ`+e0iy)(ohm;nkQ%5tZPePGS4M~~fGNX5v9pFv= zwL=n9yH(C1Q~W%Xbst&YjBKY&PYN4G?MsWG{HBIe`dITz=1ki#W1)56SBZV7p;Ufp z`J6E>n6P^FIFwptBbU%Gyo?kL&(Pmi@-jceFar~We_nx;SrWtieixM7fEHfhM@f=} z6y8)3UWTLw7h-ZTwWcP9IeK4!8N_RB&N8zOG|(_^!9uBL`=~W=wsHPumQBsTx|l52 z-qE(LJoToDf47p(ZU$Yp7fHgAQJKZm`Rx8(`!+{Lk8@i6^kg`uco3WbJ|SrgIw9(h z{5$qvyM-wH!kobtj>QUx5uw;s?6#S(RIz=#nm0@Z8@elpm8{_7o~5s|rtI%MkTyR} zSxwm)aZHhP9Qk3ze4``9#!41;Y|-$dzw($-$L2f=3{`7BE9<>Rh2-7HrY<}CZ*vn| z^CHItN)-W8+?Cqh0_0tlD4Q4MNQ^4TdOgVK)=S9@)QgyE@X>kgAUalUVMU!~!l_TwXTduYFbd3Jfch;ngbu$n5=e*YbvAlcOp?-O{ zR)y_)c^MaF-RYFa9>c3Tj62UB&2FvflwMsvI|rCme103=uT`T}&E8#F7Cq^)58XC7 z2d2iY>hP{wrWGvV=~}sZvHvm;+0sK?IwP-IoP2Wbvii@ZOnvnl2$#c9XLfm4#l%tY z3d^mR8I!Zrt1-<{JLDQT;rvZt;E`J(OZ#MWj0_T@4yM?Z5n!w!g**89X_m;H2M?3K zd6TupW^D=RTS!E$NBa}?#Mgs45#O$6CMHy!&KzIIbqvc=ri?{(F_#RfC1?eD%8BVg zloHO9-ooaMjkqEqz9EkH6bf%0+wwNIPyS<~0qiX!*4%MyS{y^O021RD_!L=cRriGG zHT|3>_Y4w!fi;%Pfc9Y?0xe}1noFr@5$z|9WiHF4H`m}G(iypC+Tp3P;1gYWzPap7 zouCl6k%uH(Dp%Y?aY!7~i;bWMcR2&DA~Bu9LeVFe9n&`q%onRJnO&x5xAxfH+;ZVH zs@+(k-I$`?n2g{hR5xB2XbN|~rQt&sL4*se`^9EL<7R@a-I&?Gi@7&g1K*g|*iM<+ zV@GnNA4C#q)3g7aLnA;jeGGZw3)`>41^+gRE;S;c=G5|Cb04s;B3HCNj3g1z`y@R;>MwDFTuCC znIJ-LV&IWkYCz_VLsGl<3fbr2_`^jxNSDXL`NA233<6=LHuw%&N;VZ{%4GyHzBUEDt9!jvq~;dQET!>Tz5!Hi%>mkJ*Wwl^%SAFG4#? zgwexXv`KT?@N6_Z{MI>E$24L)1j@6`9dl~|2Pj!7bR}XXIQV2UyOha|%Vg&yVmkow zH5lJ19^a`BKj<6e@EqA6bcje3uq8~@KYx=43gfNn4e(-sFex<$JFo+Gm;3}+&GFlX z0$oLb<i}kvwRa(LnJUZUuX-k-(EqQ^NBwg5$8RK154r5wkYZ@J>>RHd2WWQi*m_ zmaT;1tpwxE1Y^+teACSO9eR^O{05AK%yMXDHD|EpmTLZna$C(BFC&0aHSDw&+Ljp0 z`xX>Tf4ID<^HrF=SX-fXXr;2x?^Z(R%-{Ty|c*nS(r~B;_F}hdt$pW5xX({ zf6+@4iQV8|@kw+{``C1Am{R=fzhN8*hIlprP}mjzuu%slZ#)W|bt+Ewsr+ytUv%68 zVMY=PeAgKF5GcI#Pd~`nV&YrCMJ6K+qoiX9n(q2#ABJS1kyO=>k5}5l%l_~M4(gw? z2b6vbj7{9H(my-FdrH-!H0!*nkmw{|lzAHDT?cxWLYvtmPc`!XdiO8@KJ{Hf$H*h_ z0nl4}DX+Qm+Q0(_^XZe2M7ajp1(II2Fv1gpHxq)l5=1r=PCy3&sh$@uUP0z^KAcbC z12~}~9yb(S%WcOMoE>XgxAp6un%OSk>A}sjwbyZ#(tTEmuCSb{@ttz;@3ktVJ`M?a z6C|;Ji++qL6yZQ)^Pr}8V!l@5JH_HV)!;kj;yZQY-@6K4b|2u|k?y#N*g1&Uxrp>Y zM(6_UJ3}`iU>F06Ao*F4mhRlQ8hUQRJ}^*voc~&GoldZ{R;vw6f6js&NOoFH9wV}E zeqQ4tkKo!eMx3AKY&oZB#&h!zK|k^aTz>iSqcquBwAJ+TD=05ae-;J^IRZF+QyObC z?MNj$Nf{wR@Z#z8b#A8fIcA(HS+vQxr$=kZ4&vR(HUb@9OyiWtFzra~Qxkw6Y~9Zw zPE;xAtByT3 zD~~bz4W15Ly!hC`+R^umLCg(Dbs0W_RYGqPnX%cA_mC=zqI^+^yJ0%^W>7&~)`&ToNYy7O;?ermtkw`D1bXWj~jJ zbb5Np9KCdxoyxDjr!gCsq$ zIlA#^%(DNMO5?JTU`BgX9;k4=+d#Z0q?oVKXmI5x!wakufir;+4FsLRpnKG3B_jAM z@s3+^P+{1$qH{mfQNS@KTrmC;T%|Fb<7=!l`TN~Nl)(CO1>n{q zr4&THK-oZ%L8(+G>{-l11~|N2SkBu2)uS$D`R?do)Yg_w*J2lLY!kpQ9M^z>NNwIS zt>P)^8i>SkAcG2Y@NgSL#B~$F>LjIXCq)$0iL(YXMlM5j7n9yfFrD#|b0bURK`m>; z)FdSZzkBD?s*b#*1vQ)<5sCMe_PSG2s9~}&TDq&SbF6a`QR^TrYbUJ&+2aPI5L_Q3^WIG|p>d2{<5wN~3*< zwi?2pQ*S_9(fjw$5%%`(LGTCKinx+oKU&gb@<=maZh#4E$qJ175zPFi3kL~9!DLdB3His>S+D@3A}JtSKt8dNbRk1paYENWmwK( zn9fm{&Q+KXC`zZ0z6<t5%+~0R3z?k*nH|Ics>hC#$Ssq4ddf{3DMvf$j;n|r)X;Tnu!4;Q!KEm{zNih@ zz~1^~j~kr`B~`uhs&0k+Ct+U(;(xWj z7ax8po@Sz89LJDxwG@%GBiuq;xgm17Ap!7y?WkbaF7a`7Cv_y`BY`jf(3Et4@7L}b zyqyzK9dguH+GBNT(JyBfeJC&y;V;Z>M4ZQV3MQ4oi_+$g)>S{moNipd1JRF_$QMGC zxiui&z|B?yPwvy^k?7`?=tqBK+h}ClYUE2Xx??{29VO+yALTwM<^HVPP_Q7U)-$6V zDKZzTzbhG$8|^(uSwb%lYCJb;JQr#__}=Y0tVVRByR2VOPHtDRwVSuLv*SH`gd0mX zHoQ-BbMeLS79r!coxL@FHM5+Fqz7|aau zWxS%!S;_+j<-V!l-1vS!#-^3N0`YkFv>!e1RQfhViyxd_v9`{WUcj@e0q@;H=b!mL zW66SuAu7ay>KE6(LQ^tXx}1sAanjs6m5Xsu#`4Zrx@eJ(*DXe9pR`)ednAwA>*eHO zXBqLT3$Q!+?j<;5>rcpbl)6k(8O?&_kSC&p}+v5bZF0!!WN7 zES8SNh-$5v#yH#|OH#AVQdG0@QdG^TpSkDa8B7_q!!~EE12Ijk12y5DSjyrf9rMfL z2&RHlUd$v!n4W@e8e*SbloEMZ_4hACNw1D0D>m%L+`93&i?~l2Lb;%Kx3*Y6EuF9i zPK%FQG5Az}uYl`QDutmSM})VaqswV_{6xH0D1nq50lQWe*Pjl^U^f?((S@nUPZ2j! zJxDVYRZb&=8oDgUJ~pt(+uoF5j^MQ_0T@vlmhAyDS5x4Ib@ciryXVdg${c7B>E;Lbo)aFK!UKas0X z*sTjR(Zk;pcwX|hrhTspehNHlB+=Z|mhLS8p(1uZL?0iFmN*Y?%h6gF*wmoTOClw% z;Q-hC%Zm>A!YgC^I}iHgt~F7?Zb zrNd>gP*Uo9L&3ElL=-uv4UL$p8u1CPGZwg#sj}VN8|_y@F#Gd1Ph-Fh+h;)TBbzck z8qEXS1N+u^6LK{90KNCWhC;`OG+FD_xc30#8U0rK9XAl*RB>&p4w5uzrVqP+vs#mauD-PZjE|5yzUK);YL zv^6LDCckujqjWyarxG;xO}BPpx>lF#XL^pIzvc?RU3W&Hyq0Sh7~4J3p^!#8MhiV3 zUp!%={x)ov&=sz|A#$#Q_`;%wb}ok4QqPNgcLwd=_2xH1UHV&p)eEM#45Z_M8^s=D z-o%tlnI61rZ@7dP*CZS@ZjgzmoY)L$5KQx(-ozdnQc0Sj+p3Tzsk8H#=0?ifCFRvYwblw;%@|t3jUM#KP>r zBD8q5gUtD+u<;weD7NEbw1u}$+Cg?Vwsm}A@|3dcA)h*(G5lhUIsdAx;u@QObFcWv zu`p^J_7Xqu(5a74-uiIaf#)ZUse)X4D*spvXl>Omew}*LG~yQL1KNexZ*UNh?{5## zKmWFrV2WYn(HGDkscvJGW2^j8_*0ogQGnNHx_SEH8rhN}r z9hZ6Sw4J#Ku5q^C`WtSS249m7#g+BIW2ok@51Tw|`bxw1+R^SH>0noFZjL%_z^j3` zmF~%4O3-9;g%Qa zoegS~ZR!Ws-dJYbP~v1^$h#zF%~h^Ve1fxe(2-{9nJo@9u)7$1yKf~lhD(J8w>WK& z0eN-VDCQI%${d%xQ&6+IHsl|-9J2+p^>YU_$@l>*jcN84{YaeM5nIroUoGa(2dknM z5yQIyeT|`+sHK(g*pz3MB-iL8Nnn0)fngq7s?Gzuu*Zd$BvbtEz~{tUBPFEgy zC1)mWs89RB*@cQEr6G+~XCFpFYmHF~@UMXqj*h)y3ZWmJqMH%34)F(c+K@FdB?SV! zb-3WMv0-8GbokxJbtp7(N!EQcA~-zlew6|GHZ-gd`;pi7t~!HeLi`y6aRYVgZmYQ? zVwiIligK-~XC|TR0=}+rWi*2oyE3$~bdVdwc>v2kk7{v&XByclC_!NFz#@$3mLqtC z!4~bCC*PGr*(pFL{&Pd7+*{q&8&N$5PQBbyTKBq2&1T%m4eFTva^nTHi_9N5j>H`lw?eS6ZA1;I=b2G$Y^q1#}%DZoiK_~XYd!aJn$b4vZ;`I&#OPZtr&!m=G| zF&TncjEX7iUNzhB@eK&2u8mflB3QK%7YOHi^yqfTGlqo)z6g%xUW(u-%8CBgAW{*W zI+xtfel!^Ah%n=K?p@6AAk)gY+gqw9Om_@g=(*EH!f+J2;;m`TIP9D*89d4{Nfwy* zGwDS)!E&*lLYDB8WQR4?hS5U*XCGyrC~a^=Hh5q35-pdq{1#@h4CK5!I!J+1RwAyz zi$7v*vSiQ;Z3F$4!Y|CHl!=Sdrs%b`)bp;JTaz8Snz^6XaO;hk4-sga^&GV3H5robLvy~gKO+k-m(v9pL- zBPDgg^~}E75_O6}w*0{*yyV~{K3#23bZ%WeiHi#pbOOZ=^;dOERlexV1dUO*XsYC; zVr?rrG-CYozzYg2d}f4T;CGy}_R3Cxg=Gj@Q+{K6_#P4OpyXil$+kDNX}Tu8o(R>? zdw6B9}@Js);&858nM46CJ-}&m0J*!D5fCV?Xe2eY2q0K zaStP(U8vYWl`_#ue{GTzhw%)nM|6-2KRxahN~=b+aS6MC2#*l`@!DEC)N2*PNf9?< zRwG4(q(5wD8!%u>P+Q#NMgcPu4|wP!Q~?wtJnvzSqi(Ym(ZwzAiUWBc z5ii4Espuy}Gs)Ugsc4pi;@!U@Ept@K&9@?8D61;E(uuwH$^6DI2_#Z(gt}mmDJ!T< zBHqS`o)F?By`iJRG{h2mu2hj-NX6gsgJv-|6`jAFQ2(v550%%|eiHCesTM|SWe6vl z{`7`_V`JmvncSoI9U~0KK2r+Ep&8PgC>-Ts|DctaW0owl7~-#VI-tnGLqaSgu(J}< z8=5D`^mVrdPXkK}bZdoHEO@gcS}=!ah)6QYMbxjI3(77Dz{ho;FCI-d+z0KAw3pf)|>y~n-dLtw$ZGSH#d;tonqy<^}Z>( zUh6os?G%Hc*^O9kt;R0*b%>-2Es9d8Y`L5#!A%3DcSPgauv(#yTs`vC(4}LCJY2B2 z-dy*u@d2GnwOJ6ww!CL(pKsFF$lW|BS2beP70AcD)P!dLF`W9hm<_U2s6$X@qgc){{v#fX7B(2 diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb deleted file mode 100755 index aca72e98dd22ee8d08167076a115af9148b83784..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 30369 zcmX7P2T)VZ`?asAh$x^4C|way=~AUdK|n-An$&=ZfOP3SQE4J2(vcSFogkeMh;-?_ z3!w)H5JG^2)X(4lo1M95p55m;d*;lY-JLskub(@2u8R4;e@~x(P2(TFaq#wW_Vf^x zk(HE|lo8bMb9Q?#_(=AVptORN%p<8s(lWAw#tz>8&h`$1ukGwz1!V+{UkI8xyc5)V z@9g`pvMKHSKbmvr;(Y%P&ebvef6Vj${}}k+UJet2Pb-z~dH4RoV%)mNT*t-a8qBs_ zlHm2b-%Gw|ch?l$dQWEfg1G;1_iO9AWL*B;gGUSp&2cPug&uypfBzdpc=OM-FZo+^ z@|)?M5I7vO+}_W8FNuTznTG9(BbEJJb~cw=y*CF^>lkII`kN-aPskM&;WVzC(afSw zi5M@&oWD=evBjm0zB(LAH1X={E+Q?ZelAG1@74213hoYrGU7X_iWs83iLqhy*nosa z@6OwT;df`O35+C%ci9}}?-mm^Sz9{b$pcun&!OW}3O?wOYwp4VSIaHxTT=?l{O_p> zpBzr_qJ>ZJ_g+Unde`!BYO|jIUwph#ygVg%kUSngqZjrJ;OW6beOU_S3IiCl=s+tj z;V?IQqOuVYG;w*^Gte?3!ltDRYZMQ+E$ieF1byX=<-ZvE_07g@1D=Pra(=tnpVo7g zao-+@MU?bQ?3wUpj{qie>}M$856Y?C^{ljK9`*d0Cnc38d=y=`j4F4^@y%`08ra$8 z8@%{M&-Wt#)xGZr!KOpF0s^R<<mPHMoD-;R+l`3@RU)iFgsJjw5eGc*XY}TYRF-xON*IKny=2x?RyYiK zZw?Hn-2oRiotf!_c?@*Hg(Z(CW>%jbKzY_eEwm0get%qJUN}sz*RFA8V-1~_GC2)i z*nq2=B>zn|LhZ~qBVP_cUjhm5MlU`;Mj_)x}7Gn4!CU7p|% zR$P3*rb4B&hOW_tW;;&NEofEJT}tJ<-`Lp+j4(3{XRB}w76rIwHHJ+FJcqj#j_DNJ z$`m|W3-18jTPmg-jek$Gh4j>%7>Y0K+(CSs5k3S`q%4IC;dSfahZG z-Xv9@aZjKo?s4wHzN+QV-9p%UeG2P(8?p#CT4H_%^K^FOl$fDk*WPY3I(+M=DAFb! z*Ko)xap~v7JE8lR2M?z@@kN=f$!xlAuGfx2vXc-@kejG?d;#M!et#09d42yH_6=Qg zy8G;^rA$jEOLT2&y2}|t^A_W0;T1}N(_PPvprkS{j~gGgpVHQMS)bXZeOpjTX=&)W zR<^0<-$2$fV_eKHihy);I}Re8k4F^S_Vu(5)hFH*oF<;RSkvt69OmCSPffj!j_f~E zSXtEB{)vl)0K5*Q|9p0r6rSCA+kW)73g*L!aLhafqUsAwTH*S2BEFkaH`!P}6-$gi z%_wumH}Wkt&IUxpO>wmD3$?prIh~qcSlzLIadnn=U3bLr4H|dDF&fj{ny{(I`HIhv z;#I=$^T+PlEw^~zFTZI&B)w#R(|t&7Hp*)f{BG6px$2+@=`>8oFUwA}?xZaSC!jOq zRZD@)Bf_r(1FO4>TBjK>{rR7!Z#Xn=14jzS-{#)_8{$~iTWg`FeYy11XUpDqq_XBM zw5JuS>~sj-mB^W9@`|~siK%FBQebmBkaZKtnZKy1z+-pl$yb*cgOczGaaGVLF_`gi zL$zw&T2ACw91M!K{~`D0mS=3s`5%Np%|N@T#kW}})`6nBB?k-12T1{~s<{L?mu5L{ zJV09g;f)sI-Uf5A&1-XC!TN}ye@?&|yTVxF!kaMXYK2*$dJy3gdP>l33p-#wZsa%D$H%g5LG zI>m!{e>EB4@*jrmbg2~b6x%9y9^3JC>Q74DvLlVL>_qKM>SU-FYBoEQx~~_r9(?!6 z07d?=x!w?%&Np@EuN;*=RKI9$k-;~2XGxk0b5wqSD^B;jtf(*GRIE}6UAp7WQ&=V{ zjRk8rwrLl%i!46z!GAUR;5kV5^rG24O#BcT`a*)<`fXP?vFXu5DX%K;+Uk9gYa4K6 zbE}|s!n@krd`qX>&42bDlGauwS(s?*xKVeWb^6Oi=+ksMdc6e#5*Rhu%R{-HxMMT7 z&Vx90BJK3=?r3lDLR*#f z7W~sHM09P= zdz>duRwc=b-l9#QJT%Kjr{5fV4gwwGEHVLlB=QIp7GocE>RX%yk{7EN<9ehk(JV*N!@~l6o=ZX7R!lDah5dIpalX6}2tz}K zyEyiNDYj`gi{h&(16SJk%08o}XO;Nk--R!iw7s1Iy|)a2my5m8rVouA68-Ho@oREa zH{6(qS$ui9-f*X-24tV3e)mxW)7J&P=~ zssaI4*RHXdO0r?UBgReiRJ{-Dcz}GacbC_VpZpjnv$q?NpQ>)4V%Mqwa|{J zUs0894bvM21p#M$T^)4Q5@}0Y>Y)x;TNk5Kx5zje@SdR8@1G8oLkIt?`UcFh_#IU3 z3Y&#^=;fj)FLTgM%|M_k=7bS8i?`{A3ez>QyHdv+)QeSx$XI|NW{g$sXqNNghcpje zOtFiY4O}6ZAFT~*h`WUhej_6kyxhPYJXBDRdAD=cgSuO6aiBc7@GO@QJ3a50HX>G# zSP|0sfRweKfBKr^)&w#ewM*Ky^#dgj;dJ1uiq(DskcRh;3*-<#UKsa`rSX3xH!k+m z>IC3*r z{_%CH58>GBr5d~27(I=WMxIEcHh9sJaLi3MMMC~T;O47 zb~gw@c?a_XjqfxCvZcqy)L_kpD75v?xl8Mck$u&ZJGQNm3u^hSqb2vv9>01we7wy7 zV#k5)HE8tP9awTs#!n!>K`Y9Ba9ipCZ!5KwG(OAIt+bl^t%2*+BEafzK7IEpt33z! zHM;LQMx@@g$8-G;<}?4e9QubfD*@uI7Hl*B+d25FK86b>kQvzdS#n);#CS>YNC!Y9 zMuRs~4L4rQH;`}9F^B9bHb^y;gL(_NlkS6NBn=R+F}L)wBBxUiZSSZ>34pNR?YHQK z-|aJZJ=2HL^~|8a#GQ$CoUBbm)r;s3w;|7{*cYhfB-&Tma?;aId-v_m^)s;5?{zd? z7e{$WtOD?lVweA`$d4k@8$0n84MPB=`QRb(WRQ?r|So_o%vl~BxaM6hY%l1L^iT!$%EGNog!djD)*4szRh7_w; zrQiytv!>kd^wk8YU-!bwI$mW>h7`NO3h0ooUr!1$~pJ;Ah>c{p2yCqvEVhP82q%rtu8a6edVf7$t`NoVCY) zOy^%@3|1S0_GT?>vOLKvTcJGocEd|=>2CjcRH|8TS@`KfdxBHoXAAmB%%&w|xMB#} z2n$Co^t4YMzU?~R2t+v2C_U!UV8 zL2V({c)~dLtjMR5)+REAJ#**djf9RuGU((L0%e!*i&*l%UOxfvN?OUQQWRd6K87uz z)WHJ9Sz@eW6}Pt(TUljaj85_aFpt*9dkc3&9mnb7(_#wm#(D>y00axbMriC5ZZ9r@ zpT$>@-LxAcByCyA!)KF_Eg+tJBqSR{^GigH(h*KkDB{asY8DRa-Ow#YD=8Vk8|C%hE?ccw?`r-DY!XHiWJA_?4Hid#U2Gh& z5&Xg0dRqQC-ft$?{!z7iP7&*#t9p>abL7uy?*UNGlIDpGBz{LTCpY#=@Ug}Ii`{Ix zgI52{e3!6JR_i}7;mSC{w*Iol7+PuM0~<#=J@-l924?sNum8ajPZGSYj9yP_2!gaQ z2JQadp`PPg@W}?YO1b;lAXitcc)h8;s3HN7E0@f97%pH3;H^PD%mTGaslA}%MW$Gh zJ7(7LJ)?@DFV_T!`T#;u?-{z3>7>h()Kbk`LO)ykl{yS78PjJaSoQ8awp86>oU!fA zIbrt7G}3b8F9?1&{&hfQr(2eh5)#vg7ZT!_MDIu|yB1VI!iXJ@9snNISHS+yQhZ~s zcaDmOnwqBS(4y3vT!NIkl_|WcBufNUz@yrjYg18MRdU@a?y}nr(IDO%irTFbXKhe- zwUfXXmDDGC4j@OqZhE=EYn@yp2j8`&ud~7cwt`>C4Gv)|tWEB5Kvh7A3RO58 z%)yG`Qy$lP<+2!mT-U?U4mLel*Fo{Ilh`D8?-E`^PKw4+qWlZbRD;#}9;;2=My~ds zWG5dZL?(UWCN-Co)X*You)$+h)J~p9b}p;1n&+Qmaf9Fw7);3a+4Bi}?@Lx(IP34H zIjb$&4%;nY{)d@8lgN{m^mevr&;C7)5@hc`%&qSM#GWTM_;>DD4>c zb6~94v}h4gZ!r<>aX5!Kwom<$o?9MFlKeD|+*nTo2=i0ohN49`N(@BD>EqWa%cBZX zVPm)^s$1GR;+a(&6j|uAB_^#MyeF0AbTwpF)!xti{(Rf(U;#m@fU%8`;VQGTs5-v6 z>Y328dLk~IJ$Qsp?4}Fcrh_?%XX0GJBcnv5<;pDK&IOu*BZZ5DC~%i{Q#0J)@ef8} z`1B8{W{+K$S(g3-r<{a)><5v8_R4W1N&_bq)sLu;ktO8jTAZG`hiymZS0JfxMy88_ zvsVx9uYmtgh#*x*SU;bG;a_h1g==jY*uJCC!dZt4NgdcOzNVh*EJZms;G()-RHmLo z62jx9-d_!UF!F0q>5*D5-LapWFLM^GS$lTEPcpbM8eT*PC&lfQQ1{SC!=JX6Kf!fR z=x;uQEb>Dr#p@yEVmdEJTePD)fa+lCHNF zJ6QuVfiRufxBoHwtsnD>@slvoy1m3Iq=y8&&y&i58(e>}%$jWlZqsY7LjHE4p75p8 z^9!bI0Y*SGaC|{X_KfY^MfU#nXLNCiy$6wAPNX(|3`{*ZSJdh_eMe?<#5!>H@u$ab$x5x zP3|-B)!z0fKfi6_dUYG^&Df~Cc{+dfytmql9d7_m zQ;{ZN((7Rh>Iw?kZ1Q{Y%X$<_oa~DsIE@&1va~99rbRF8GUlDkskmgn1Ic&7wm+fx3u8R5ISZ=iZ?=g+k_4vlJ zqd&s3CwO-C`p(18qMfURA87{rt-v3zvSe8DIVdBS#Zt|$x2GKc6zXd$8k+Q=+;s$51 zEKSx5C>b#=Tde9BU+~#HnH)Ftg|sXk&EAu?K@0ViwC%hYCY*SN4@=P%GMX>Jmmd7Q z9~1M5YEVY|TznIjc{IINWbk)1MB<9kb>SY#LfAvW93c?Iclkphsc~O>E!Jh|cJhXk zh*HTz`eJ3EtZr4sgrR|m5}sfALlQ{FmF8anmcan|5=_`$0))z|r`P^?D)K!Tcp~t_ zG6pMQSZq3}5%X2wYa!{HFSG1113=Vgm-qTw6MRBGoP!eU!*MM$^CKI3YQ`A$4wFRHHZX6zzsS{^6VnqAeFORaRi=+5q z>y^*Cta#Ton4soPY>}8W&jEmE2E9rK24olXumIoh=<+)O z#e-(gzQdJxRG*DZLartFehav4Sdk@Yr^WGAdDUH&B-i0B6ZAx)@?fvx;&70;6K!<)Ji zXg&!igh@Qsd%53x`ROAVQ-eB4h~}W&7sTwRs?pcwo0479s;uDF_tTh5VWM0ao7f*j z|Ea12Rbl0Ewty-Ydv3<1;g|0^A)ft@AmkN9Z+ zXj3IBM3EHge#>{YNd@2N<^1S{`LtcE88gP?jhcJqH~UKDAMo97)GhWmgq!bthBCr# zxMRGB5Eq!-t=(WO`wjxQ5lDpg9$7Mc&Uvl~^@a zlFr4OiDcNcN}<#I)2^naiJRB>R*NM0Pvkf(>9H>@ag(a+*5r-Ub^5fGPu12|G*52? zen@43-r7QWDXVsRZT?-pG$CK#eR_r5niqObto8pr)J&o2(ZdrzG@sa8$^m4Yt`c79C z*-w_bg@veZTZGKpMnR=u=a)^v4H0mDYeqFaPIa$5&R=6;rB#!&J+GmqZ^O=RJ8k<^ zyYY99@l3J2mpPt$|6#OD<0n>9Btl~BWE|h&XEap60X{4pV3B<@5azm*8|%kb$qZ2k z%_lBc+X>w(el4KM24HiEqJJF;`2arj;B#bS@O)X-{o7I5g#nL_SNQEa&2e79v;taW zh#6-;k4y|Ox_n5U3>LKkY(C!OTR0rw;LQ#B)CDQ5t~Kx6&UZiF`=ZIByt|^A@Iz(g z&9mpnIYE~?wMXuvt@GC7J&UX1uhPUmn}tSs`nGF5j`q7_aEt5@4SGDXTtlPSWBx$ykZ1ePa!zEgM>6VJqEK>`zM zLV?lS37GRoudmYG=E2A~I-KDw6cWmsb5+ahsT}nw6GKOV z=*(r#F;}>n_V$V7i;7jijQ`icH&QRoxcMSj^JCKl>XqbaW_RtTlkO0|v#AQ&7|b-W zKCNkaIgHKuCu0cAoQ^YEOCDp>aIkNv6;qP>c$0dh7Mr3cS;<5P-D=l_hCk*cVj z*A69kH4+}oIU4l6_MgkAtd@+Zut!d8 zlu-e6TnU$QzSAM#)!LcJwed;$f(%c%H&$xqE8b695L)AQ<`ZUB85(inNM~a^tksPV zx`_M*3+ z^h|wed!4srGN|L2gYXS(Aa3WZX}LE$X2CS$>wCWui<^y3x66^@i4h=3m7@+>4kuXd1YU;ZRT*g#3-{vd5hQAN>8;A3OxyxY3z zaQ>aOm;{DRKkfW#b&YgG)N}H~tJfPVSQlq&~%PGH>zl0$pd!^LFIM$-J}(^AM?d6BS2&-F2+MW(ye1@H4O zEiM;hQf2MDvShm%IqcH@G$|1DjXpo64`H43RA^IihA|GAT@;p_@WJ30~{rOA?%xf8g-QZ z(OHj8%2bbcjIb6_8+wXR_L{==<;fWMTqtn;@wkOSMwWUI-?&RN{mMG&g6V#6(fOp@ zwvQ?MuW9|Y^>&7~iV2QC2mPi_e|%?Om}=DgnqnpI_9)BlZLqI_^V0Y4B&_D)(kpYl zJQU94SVAU=gKtKOy34f#$+y90ySD*$4RJ{ClQ;pSWv^{Ra4$sSmQ?(VBNXFo=v1Fx zST_!jiMn$IZ?O}{%2ND@0=6)r;Uht2JaV`J*gd*|^s5;;9J5}0y05<`Rk#t4<1-Eqq+dLsmTW5)~ucJu! z#cd4(-ao^0DP$odyYZ$cWA_g13~4be)i>5Iy+<3POI2?nY_2ekgcgRd&Af(&>|c1; zs>VMbo-#b_I#4v95sRqZ|ptgB* zUrcmAo8=33%ck+w9?_bS_v@1pGdoSzq31Cd;tkj>(Pdys_0N8ivSFEHB>f7f9G+fv z{SQ=in>P;Kq94fdd@DOcxiX{Fi_jX6{L@v`4i z)gC%>zOiyFZH~TNAt)Yy{OM}BMAPVxu{4xs#L^2PZb?76|R%GcYhIBrM1nrvY1BZUzY~w z>tqDKbAgyPQ`2t*+@Yv3iWv`EeWS%F>YILzwyIPAdcWfHYM0P(4+KM~?!j8{y^=>K zh3uO*=rhHlSaM=fnC{Kwi`(t&mZaJg^SSNSzX!_hk;9^qY$=Vxdy84^k?j;YyZ7Wj z54$xKc7Mk2eLkm!dVpv$O`9lP{`>0gnHA}0U~dFJDdYX&>ugE5=ixFlw)uUVjC`I1 z0O&S5kG<;SbpM#IDwq_J7HB(13frL^=+?*q#l0F&y&N$1q+Qys?MKH+tq&=E0t2=z zlab%xq8I+lYfE~Ua$Rujfz*z!P3 z3)PiVRRx?s&!82#%^ZJ3)I3X$++IXzw%p$N)*c{C_=VkGuIA64S0Jd>M+>)qWbj(E zhTgy2gy{5dSPUte$KFwUdG-YPs2wKS>cA+Fq^OY^{n}GUfXBBr1oJ1(38!?anJH1D|t)L64 z?)SlU-c&K~K(2bMfJpt&~w*3BhYh}wBgc+_T3ndqFfZ!1>lqF(xG&4!cf zwQ)9`jHPIGj(HG$H4Um$0-BDg({&rGPoU#M4kc?7omL1bi+zn`10Sb zIq_LD1kOfk?dYwh^IolyIifMT%r*M~7v~a7Xim+>=!0QHsdO3mGlHDhTio3G1)Pt) zY-s0({sQ0(J)ZjTC2?i|%JuZkdF}+OL63eGmO5PLCWY)L-Oyv;6%SIU+2j0{IF?&= zU|ITGd^Xfuua=gYOQQy;9HyFLcfE{rw%3e>`PxGAn+q$dDYHni@5d`iPMh(wgV<%Vb7V2l^2)oZcj* z{k^Gw!rxy9Ok{D|rkF5>v86ZV=sEyeb{s%8~<9!ro1s{eee$XfgP zvfuY%sEYERcI(Tv)DT|;>e;a81q;H#S%rG1NuyxMLPVovy4%;wVMF4^w_njM%vG26 z_NKc=_<+&?qu|}Q2kmE%9%exA`y3B10i|v4rus=EUyHgq9EI3kPPih1TD|hDTIq|_ z`hMvFaJ+ZUt3T98{{x13q9dsYTRvCUTxBg1HKAN2z5?Bj$Ya=?&|pGpvLh`%~kIRCLbh z<;#%n1);0Se20`y(Ea(61Ro5`G8MBTm#=FcjMyiR%QxP7o*CC~$Qx}XJA9g+O?fGC zZ-g;i%&*4Vyw-GMR9A~@Y=xPLxYW6$S)-EDalTWu&i~)R=fivN_}s-*M?ObS=+J`H zo2AU$qtwdJ{r^Vy%dIA5JCfw1q6{4Obt*jEyD3z2&le7Lr)^AC<3eR9?V;ZhrT@mV z*e!hwAH8GQJdd8!Oz0L|easw(OcB25OT1|C@bI)_Fu_XucGYL5OYQBTmUcMmVSZRMINk>M^Iw2K$}H!P?x`FOfvleLR! zID5CjGXgL>T(F)7Di_$0U)aKO1bauBm$e3(UeoRet;Zhq-X0iswc4SiDnl!*71fHH z%-UbKNFKlGa3vj@Bh9v1=9)4oAI;v6=7=D=-_;nVWIZq6l`Uv*=8t4#67pFfIhNL zPTJjUpmXxJ4^c!f!j_Evkhz91sup+1)5T|1PC6Z2${yvR)gu6yf7_cg;}r1gGochr zzFRQkrs(}y&i3FhM-Bs`goW9A^UMp=N6)FkTYSs&Puvkj;U0R!8)I|Lr(gWP4bcp- zmry4xUXA0%jQT{}ido8=QbgXa9HPsukc$lyOcaUk^_^l~fL@4~k_LYo5_(%BPH)&f zD1%#>^bI=!KPv``M4#rgkDXqxhyI~xCeL0qGGkF~oNlkas-LcFhx8x2K4^DEm#3(s zKnoq=RrNtX-NAZ{0{OT>i@-c-zCZK@<8|Apq7r>M?&``^qyvK(JNYR|UHDchj9rw* zorsr|&JpX%?JO{;jY=TrcmvOwg)&^68MaU3$?+`v4cPek(@P$7dDYTX`k_md&Dv^j z(}wV7Djz(+@{GZ`kfpv;yilPZu|@t~E7N57sV?SA9dpE*MP}AZj}P$+s4~a;8N~sS zY@MH`*up>w%RL zla>1TT$z`U)c5*JBSV!mv%504S1P&I*W<-5=hLr(MTtKL`vw5tSXggmN@Px)D{*>) zG;nEc=srSdsobiK^9r?@7nGuWWvyuewDY4as) z>Zo|&=O-nK2gvcZ=oXL2A1z4-TkKiBoL}Vf{pBLY3~g_4$q!%eYLdz{JYK1%{Ip4G zsN-Z}upIaQ$uaZ2Zu{PzoT2TVso+8Rp_e}~%J)o#vYbmNUe+#cW~z_W9?M zNn=*m<@wnYWd(5_grQe3a|FKG%`z_<1ckwjq_oCqF+8=SzR2MsoRj{2Q_tn!%pJ0$f)8N;|c4! zRG_vMs=X%k-Zu~U34L88=j1t z1f)?yv;s>W4_!{hC53=;q84vj_k${a!>DaV16MYX3%*4;Z{GYlisQ${iWbZowQcj* zaGY5bxax8o`FpuW`ctW-J0#?O^nw^s^zXZOGPICATg%}2VhXEO?!%i4^m6P2PG7q> z&i3e_P8(8BCR#S}TWjI%_{oo2o#w}WXDt;KGz-MHu2jIR>*d^6P``1h2Xn${iIB!M zr2+C1CcweAk`g0^dbKT9)ju5u6j+uY7A2l~DIa9L+bH&XuU@ZR1!2TL^9h|XnMEfm z#6`n=<5VclqY3*emPyqwg62*f?CA<6vL)TFpHAV>n&i)QVy3`n;~t{NcBGRG4m_4 z__M7E_CKqRO`CEEb`iqtjB}Vy26)-HPq;u0OSzr8k{2Jh-GpMOkFO@a-n}h~p|-ey zDvBNfSgHN^+8q$Ob46{3WilDm@Qd+KN6UsxV~Y3EHbE4eMA;|9f9+- z;%{qNi|H1SZN$@MFW|hv9IBVKtnaL5zX_+5Bk_OczzUAH1sa4@(Nh837oa5nRrGv6 zf#>EytH=HU03eF)^q6-I5HMD-9fkBQGRN0KCIQWIupG_^HRMH z1}2Y36K&HDktU-at{(G6?%7rz4!vn7T=glPf!KT@FuACYcBC#B{R36&qf%A*ee<^3 zwL*>T<0h4wBRJ5 zQ%^gvXZy6I52ndB(33(|bdzPR#dcWa99$L+($?8t?Bmwtik@oulkf4FKG2+NI}x!ELMLaUyl$2WCFF zv@+O-8*+>z82LDhbostSLLHeFZZG{5bbt##O737^7 z&@pvck$+iG4U(e2jJD-d3pG3^(*O@9PA&ia!oM)p1Pq<<%{^WzFlu#M?($6kM!&86 zu4#te1v)X~^X(mK;qzTqr9EThqY-Xydt!giUe1gx53+<-aU83A4WbJP<5mp?q#n!7 z_1l>cusTC)1&Ip|Mt(J@bgega!YpN~ldpv37%rnrD~XCPHEtL?M2cgz2sGRgSQlGo z5hBq`rH$dq zxh#$M4y_PIJ8U!8b`yhBH6I|?4)=%8+cc^GG6D7~{+IjEFfh*9rB23& z=+tgI@|_OO+`86Pf$(;)wKjk(Z*MXJkYRs%!l6wuc)T(2tlf3#V%y%#--;!O<>Pnd zm27xB-UYIymq$FMyoy+}B*8ta$aqzgR-Bte%dGg{FS%7OrB-229Rg?ET0^bR2nC_m zIU-2#OE14vmJq3Q(61nl4F!}2YOV)8G;AhJf4MvAp$43Vd<+JBM46&FMor+SZI9^| z{j92rIMAX7`p{conT#S&E^a0#LniaQ#s?2tyA`eA*vbOdSL%sO095H%G@UQTR^SPy zNT4lw8@w1nIy0f&BcUGUfz%HNv%9gFW85fGzZ42$1jwwO;HiRR&(-;fm*v7{X6Z%( zhhXI{Pjaa>VAP^RGmF}uZm`ztq+HoK))0*GV$ z->T23QPzrZyD6WVHlpQQC0iBVRPV)N?k#~w zFps!ct*%+U@uIKAD`&V;;Qf6+4JLVuVKZ+QX9;?~^M#=8E!5Z&fbO4XOH^QQZ+i{+ zKH(}r#Usx35IB@hhiwGfGdA#Lbe zF@RY*{tJzf^BCNR3!#QazvrUy@FM%@g1AY@-mg$P$!qnb;%^x=thFb67HPNU1j3W3 zq1z|2Tg3RozksHj$N21XORULpvvi3*?1|_4A(6_Djj0+Wt5K(3?9-=zfLT(&uf9t` z_m0bK#W0H*0izWOqU$hMZeOHrSYsU;hkVuuC|mbY!*}_zShr}y3z}D1fwaZv5+s5|WWUTazfbv#;DL%@hL9N@uAOnIIO*6Wu zj|nbOiI66Uj6dyDf&jA?pdwD>I6UyN?ugFeHsnf!cL)Mh3(pe>%6GyLS z{F6v^8anH@1<$skXlpM{0J9fF7myJD+jY@EfWlHIRqaKg(U5)Er!N!0TkC(p$l;;Y zN~@M$sx^0s(?j)UGY!hC1N0(4_#aclZTib$*-;aK%qU_pK19Cl2xQJq&R)+^6QGCM z_H{6gF6@EHlL=kdfm$#{y$ds@gGjP#rEC+R4`GG{EPeZ6$gsESr6H)3w!JSl2pzaF(}L~ z`{?Xl?(iYa@iv$VT?6!caMO{Wu6Kplw$zUsRUN@rU(favtN5Rf5Gdi{aFjqvR?LV} z*tdj!svCDST*$%k5Vh1MdiZ*^)hKKQiyFA%Ud~x0ApJ;A8*L+vHLRB83-YB&T;m#$ zmG}I(6ip+lBINiTWQqr_SS-gLV7c1*&wBd0R$X{P5*osmm8w3W$PPz=xj_IjffoTa zV|9|qIUx_Nn|+*7Pz5cE*;t{k37{(!U+JymX7KdWNF{&?%?XKPnl|7^H}TWBxDID8 zn`H3Phx#77#@ZcudB`30d(HfRKl{MmcGa3paa2x zYh9$Mdx%1yKOjE2bv(s>UfH>&=G&d4Qj4{@?JxQ^Knn4iONo#0G+VAd=UH@Bb3$pG z5Y+Z(^Owe7%V+IHL^W?=0IXz||7+w!m8Qv)<+B|9Ymio7Sxh7DYj})iVdo;QIlGo+p_0?S0 zTF(5hQ8ej?L5!zBE{u5=j;^-XI%m5{uEtNaWA0!Gz>~KhBL`B$ywuc!?b`%q$ajL@ zwh3%}4z{aC7+VK5Y=Z#5@g9@^5?fvPsGm-O5ZgflaPt4pPGIN?i=15_NEIW$3qPQS z0vVhnCRU)lPEEP;zp!gzcj~s*BSt^hVwDa07NSrnso+*Tc&W7$p}`pPgRu(LWKJL< zjzp^wx%@f5mRT`WHno~%)}trRD5KHl)POWGLOz+S<`dIlm*ekV07kJ<0=8Af+oGfnp`9E?Nyernj>?Axhz`DvU%!`PAKEIG1Pyo;|v(8 zAnR4M6*lF_&sGtMR6jxGU}q=6_GCHV!Kp;yDJO}Tbk(z#1k}) z%*?@a&VIVPFz^t`m8LwuJSV<3%>Dd;P%&e(eJV139~9|LoxdnB8cTmg#dq9fCH6H1 zApL6Fy!hM*QdP`l!M1sAdh}O|7^n`JM(t82hS`SA6rAi|Ywg}m$GoL4w5Q`R67^*JomJXE0HK&`o$Ujjx??-H8|oqL2?nJH^COZ9XD?+{Q~Hg| z_x>I&GEh+);b>+0c9Zd&_GfaGv&Uz6Lo+QYiVb=z{hEm<3eSq1kVE}Shk>V78}3@p$j&^`-r zL%}liw}g&<3C7-x6RyfH4v3n$bT69c%f%iNU zliKmDRxP4HG$9YT{Hl1NDiNzTjf|9+c2*M2x>_sm{1_uO;uZo=3uo|&BU zv~6$Uh2KR78ze~Y9r}&u@D)mHi~f(*mrVoS-9!XVO?mTz^&PndOG7dmd+g^oq6ao^ zW_E#Tw#Ai&@)B5*;js=~YwsRdE7R2>TDb!w|HdZQA+Hp5Vhrz`+lQRrrWv3_)gzIG zxm``@DRSm8DE^RQ!-&UpMRKnW?{JF&7D>g)KX6m3oNmXH42Ais@rCjwgxTrc;f=hk3fm)jG>eX@kKT{~sEx10Hr z0W8^h>*U7!S~LR{cm16BF$GHaA2&wim6PSR@Xf@!CHwu<9G&Bg3wC(N71-ZXdoQrh}<9?yQ7Qbx8hv9^-=VDNL{p*y=!0SsvR> z_DSNagis%1q>t8!Hxup#=YI(L3)XbYD`Rs>;u2YOAF_$PqhvuUK(X}}$Mfh!z5Sk9 zQeo=bVS3-4`hDU~e543`h)u|@K=s29jMuM-P4I;4hO)XM`WLh6tB&YE^;V#i(fLtw zpLvI$pj&usAlGeNuc7%rOgVKu*uirDdJx`wWAIOiK6UN3rEFS7`j4syE)Pw}QpN8jU?1ZY@)x7N(ul}y!PKNb{peOy$g zPH^K~ymkG?|EOf`#)l7QJD?7Y`)=K4{eRZXyByZ1#gnfsU-)wD5B|Lm`OGF% z+T!j^@H>L5*jIdBd?>ww)ic=i_><9jwv!wL^JnDp=iCd=Z!%8VG6l$)2^Kl?ou?GJ z+Qz1=U{Bko0GX&=2LZ`c5lrH$g60nAoPOq#=DdxhtEXI5+p`+qnzm=JTHGdef-4`7 z8aqz1N=VHPTx6GDa^J4FS$#QWsT&lX*GqinA=Um3l*dOSb;kGV37K1fHi~KIq}=ci zuS@jP^g2Box#zxBL5aOvjvJ25j~D)?7awoC9xv!|kE_JXSzWw^wi|z8rkxF6&JO%< zNzUV-_f3NQ1nMO^<&$_6MYy_rI2)HUJ(};%?J*7yOsCyrkCD_rY*puVK2I24%E!W2 z(>X;|%%UN$Qu*NzQroQ?%)WV+Sd&h%~E#6mqs@=-DaGk05{QCqpL9v~1Z{&_v zME7zzQ^^mhPh+zz_t}@L@8Ky0?t9+=k4(lhS%4Sa%op>gCeN>?B3YN@Ev~e{oKC(^ zo%a8=%W`Cm79M#jY+UJ}w@^tnO(#=YJC<(LjQ$+6RPYs#6hW=_8V-?`bRAsNW@;Nz zYN=ce?5W%O4z5cxwbPw~1Toq6N*I@HzJMpSg_@t3eDRn0j;P{4lO&t#Ti4{$sngKy zwZ}@1agA@Y65r;5j065D_mnV9A5GGnIcoIE$GQ{dG!2-mxq7<41`Ef^cVzd-W^vZh zjla)h`4nkw!lykIM$4q2B@}hXJDaG%KHhnY^+v-_pU`4eK05)!$p5ORo>C6XH1pD! zEDirjX7O0wPxD-p8)v>IvdHpm(e-=SkG$K$VyUz#9B!qlv*)bMO>PMD?$%q^?7niz z8CkYy)!RVIIO#ZfXpx|@=VnX8D}4*iJ)R7xfb`I*VUE5D#7*$xB@#WnGH4lW;w8Mo zW$){Rx{vn!vlL>bOr@l>`{i%hP0eQkS6Ku{1L|MNJyXTxeyXC-4P`Z4ZLoHRhS#B_rzkrqU zO%^^_JVnSw5}W4L@DqIfGiJ#UWI|hvwp7%YwVf)eLbYe>KfpfeaZ0QjzX|v`6v<8t>a5TR@fjh3V!zIwiC^J9;0SBdyn#xN}= zPWdxTYR+BL)J11T%@xbQp6MN>cYW+1kXL%0ws-)ta6Uc*6Hn}fO?0(z9qU0)$!!k` z2fek3uajmOj_RuqHeJGA_mjyci#xj_2RL(N2}0*}6%KlW1_N{6P7(i0$Mbfu_8}kr zo#ArXW**jsoo7Q-N{{@WXL_|5K>CMej`9u`0_G83<)M|0U8>N^fhUl8BfoZ~PK%vG zXgYkNMqXeY}2(M!EO<-xttMjZzCe9D< zuj7k&h*l@0>3jY4BecZo0qiT)?k;n#1@^jLo<%fHsBn3I5ZUd0XPTd2P$ z=Iy^U+A*&2umG%PWf>27knXoRw>c*+hWNALAP|qb=DRVCiB<5}%+!}&kcmJJ_!p_u zz%P?O+1_SubR%stmQT#06(ilQ0E4ulC5Ib$;plYdBnV_oLaos0-)c zNrcJK$0Xspn-+)F^kqh(`8^RK*!tjfMUmfp3Ez;A` z(j;z@z z!%FMTB_#$Ckj@5Df1{CstLN*pm&7)H$X<~5DnE5MD+}H3!!Yhw{fCF0D(60&fwRio zXwQ#5G$A}yU+&&?X}vAMarDr@_url8TMZi;#VrgC7WI;bwrW+{Qe$1h$|cej`r1j6 zwVu9__WRWBMQr{(`ACsBB7F%n>c{KKmQ3F`iZjnP*a|I&-ceXCe|F$B)JUo22FZTA z;-#_Vd|l+eV9Iv*T&1T~r|e5`-C_>aEt`h1r_*nKszsHMyO~l&q1F9=IQnC2L^6=$ zZ*5xWzEAyYEtoSpo|1rPJi$%R@Z|Txf86_fgYUQa3#fL8bHdwmcuK9}eHC+uIi&oT zjg*X%6%nud7pW4X!5(tqE4!YLZuZohZVniT5+T>PD7BpyLP%Ic6~&)TL+s#pnOO61ZKs161#>dXX)1CD{PK^M|EbFy~mnrks0EELIo~KO(f8yqf_3^pFTp^y6@O zGu>JoBRi!x4CYn00v!C>XUDMkvo0^mfuxeG{~pevmxO_~vjKLzy>=$Bbdpb|!}Z8+ ziJimTg&W+kAFM%i=87i>8Azco%Gb02IylqrUQml_&W$27Vy&%?UuKW%C*9t@3i3+l zGKrGyUow#$DG`7KohoVaJzDL({z{2D_CwfI;-d7pyw1wlYj7=(;?n+==7f2Kf5u-cH8g?BZXDc1Y4PaxHrC^ z-;jbZ;IO#|(@>IPm#wN!p2>!oe6-EI754pjsr0jAn6I4Lo^%i)q4&e# zE_+<9Zez@Lg?moK(KiY55(wqv=*oKw6*eign!4K3L@~XfRpCxwUaxD`|8j`Z{B2LK z({$WRO_q|s&0Tv7JWmOjcfd90#OR_$L^&ooTo&J%;!LxkIru2{ECB?R8;g{QL=NOg zMb3ov1C`=LnAWzQ z!+GvMqjuB3jtxL3KFb4kzZ4g|lpb00*ei{v1P;Fx-~22N%rXP63_EM$Zs@eFkPEP{ z)V@~LnN2QUa#Ig#gGU&^x_>*Wnt`FbpKQm<{D$9>CjTXz1H5}Ej6Mw_CHXq5nw>_4 zY>aDg53~_KW)=b@V|>MDzE`Lb6BjRBhdPA!cAl0AD=IS7+(A+mhd^R>Ch>~X7!6Qt zLl^fGywR4a)hp8HA{h1$MKTjpZZZH%!(043pC4l!Qq~f-`vZt+2LO3Q`nN5zvP~@! znh@$nbq%yw5!91iOaM@Lw!UB-q?a^uojT#Mv1Qv^>qI2nJ*n!)2CLKNory~9bbg%3Qv@tUNBe{~fag{tVa(XL#cg z`uGfJQN!Z9TaY8$L<}gTzWrdW<1?UXEEFcT2mQ@PY^I?A4g@4VzcwI;yQGfjkwK?x+65oGKHJD@+BEK z|8zb19DvvpYnFzE*QtOk@ESlnqIHP;!p$NZb0IK_QwAMev`74fP(xkGNDfCW5HmLc zEpZ)4xJQ=O-oC2|AGzNCY6$~eE7u6wqsTE$)FO8%tpkvrO|E3VS@u*zj>FuCWW{Vq zA>Y=N_EdDWLJ8syYZ#sNwMU~-RZ`j6C&x{t0HeJu#vP#ZqCxyIC@CWSI)Q3!AK9P4 z@9*t&9Y0n)fpN_+fu;bSjP|b+P{TLY1=0H2RBFsd%fP=^7rElNL;e-7|73 znd!kaG<{RQKXFK;&5A&$bu5UVYO;@bP9vjMtZHdb3ELDxTPk$e4qd2E`Ipr-tlS4kdu2jSxn@JMFpKwg1Rzy5}s zGlqMWB0;kU=%ik1$9^WD*N;HyD~7bW92+(@Lf9ZVB8}iQboDbqKf?eRV%i7BKNE22 z27u(H`C>WiewHb=4i|;9`D0a!=t&XDy zr@E0n4Hg9-)B`svJEsuV{65;i7;OR!skKSUo_=~_J84f`45Pybvap7XXJZ{tnsoHw<&RF{`I@t<`WwD2;wWiU}eSQdPqu z*gR(VxM+AvdoDb_v>Ux!ydgKLukZxo5hk`$b9_7$?#XplGawK)vu_JpB@qpLHXyGM zAMhCmCuw*RBm~EG@JCXU0hQx(F_cUmW#Yo?dk*?)k021Gji(85J1y9o4WHvn@D7Xc*P@e}sC`vu`^aAhr^8?r+lfGkl@S#5O zbT0&aI7kdqC>#trA=p04CnxBX0Sip~a6c6i@HC%%AUF+wK}Uj+gQq!F5Ai`RqaY|Ni&oqS`g(!nZP?+a3^mOL?|S zgBlKgc3cBeuQOuNgW8Mw+lS%qM#Kg*;1~i;Z@k@q(%nlRwF@=xGraAtjs#Ka_b}Vg z2KxA8w~SSQyPi<f-Lc0DbbP=6OfvkO`ADxSVCG@nwx3-+%radZ1XA~bZNxBV(%Fmyg zxsuP#q`Bm`TTDYb$;C$yV@*K+b;#+vP6%x3>}z@3m{62Ds9P#5{EX6g7+%DhTgeGj zKjzXpwlZeInGMPTY@Oex;2jASgo?h+Jq*%Wg(Q9XgKVPkC-m%&n8OKsC2=0Stztg; zFVjBs=rdtTpI{p4ZA?oRyqtEpd_wB5##yXBL17oAc-A|{(76Frmk(n90&b9bk?N%8Tsi+bnLQ##;tptp4h*kS zF>;0a*gTU+7wz(8_L3e{%&^uMXpGj(U|8F^I0NNwhD zdpIxN7C-x`ZAIcjY3ErN(_AUcFa)~z&dY7!$whK+L%u`x7he~=%4eRr+Gi-dA|&i# zGZP#M!gT{X4qB*cPt(wn5W-p4D7}Wz9*Gc5Y<-p73sZLwTb4TYdIX{M3vzOW`nUAi zF6!s*5qE@SU2w^*x!}`fO~{otRzD4~c|-rD6W# zfVg!+_P5!z>1xvvkBt+vo}vT5Rf8RoGelH91T+S-`;bE-8_q`8j>B=#z2h4+MhZ{3 z;5@_{9fD(xL|Anm+z+&0^@tqJz3Sy0m*2Dfb@?|H(-i=)+&qZ&PDpQ<*&*l{Xat97 zUsPHfg4awYAmy(8>_3dEp*7RwEedRA#ZRuVzCGXveiT%UUl%slSPTBS4f7gs?6*&)Wx(UR}H*B{YJ{Z?GoODbMFAAiM_b! zv}(QR6oBUD5@88xjPP{}v-45F{U%_Ryb0lhbCtIGdM}FYDQ=9Nv~2-3?neu}V~Q+s zzlE7boa98XzE!Q?@#jv0GLsO#I03P@2;q#mqbm3EEXG_vSVJ)~SI`Z_h*1!?h8CZe z6(cX#ij(X&ZT%Kr1Z;c;;6*U;+9!MZ#~#}7{+*Tniv=!%Z6Z6cR0N$9C!mfKI(A53 zxdal$KZTY#C6}bhMW`2M{v<&RF?Cjy4J?ThdI^{aN}x_QVITpb013RqKd%Om zz`M3dCkO7MLMOnxI5LYSXl@pV&Ijx@0lmpE_5SVauLjQSF9UO5H6C$pVxdD6#gD%Ll>mvG9;C!br!Ky5%zRwRR>|8S zbgQ(wSLo~^uQzkbo^w~`N;vWW-3zyYf`FyaviORaoZ_3upyRjP095o5UoJ9#9>m}8 z+otFBHI36KG`A`UgH5SsvciVGm_&r8cQq7i6^)IY(P@8%+Ppi^fEe{C{M4s|w9|rZ z5m?6yOz~u=DjjM2gKx-w3xZDqc=BL|3%QyKAhgMIVL$gomxxZQ%TH@bzXtn}`S9(A zPe-y;S!=q5i#o+>mze9{iDcf+b#GtN9Bo?FN&(5I@J<bZBs_pB8YhE zTHd++-`}qnbWJ@!@(@;04#c5I(GF3fI==!2+Iw1)IkoWuYg}SmbQ7#qKC4GX5z^%q zWNdWXeF?h_N|?ebz1IdzE~~+ub2d{TD;cGo4bi5SVq`5V#>zh@L;?1mz@+-8G&beM zNy82+x4O7>Dj@<7BpuZ7kN0@Z8SSQ3mh_vke6Q@;V1<1BSVy$=4?1G0>^9g3khwhEDSWWK)$-9mkLkX7ejRuF!mMS7I3 z3NPN;6%`+^&22}mbdvK#IkLyu~&MD8Uj#@n^^d>vH6I$;6%A^A)JST(KfM_zZi%Yhk!*!*S&i^wI!XL^ zXLY3UM+3Yw=V}^MkMRu5Nz7oglB(7rbo1rz_ftdTm&S1V#5TRm?Tc=;nlh%lE20YM5<_az@|1!CHVva7 zyaz=3!DdtexcaFwhzxoYNB%5<@kMALjw^}^B#w#POwcx`qpgVDbI>EQpl%*;yn*z; zO0M|=zy#NJf;Y~XFzz)nouiaQ5IwXlQiQN$T|TgZ8ccrlv~mL|4wA7A9kJl`PKQ}x z&-9Ij9+7)bUs+1T;3VJ$FK8iWy^yfF3%2R=M)msNq4RYh+>d+(>2>F-pO2cGd~`&K zrlmJ8{<$N(W~%N%MlJC5(!i-A{yc(`kuc?KRPp=^@Cm&vi!@?&Ihko$BB(b99)DRm zz*VRQ2Tvl1p~3%i!n>aV@?{Q|CGr$nuRifeT^x0Qp=Yw&diWrrGy&2M`$a@=O5AFS|n+WxqRj6vmnDLF@L0xo0@*xD$QqI z-r!&Na*vj1-yP)-pRkQW(k+n(R->M4aWUht0oD6N$khDI2+wk5{A=Qx3FT*4MsHr$ z?cwq73(HdY2{z(CUldi%;I>U+uwnq>W=N_J5Cr}gk)%QkKS!E9ZwXKaNF{ZtWYk8~ z(dMjQ)uT4j6A|pfC9?o++e@+1Qc1Tm*sz0k6C=#AT!Q@W6cBE&?;L^KfZ=PbLNDg{ zup5uc)LUV4k)rE8AiX(GKa=>rC(gO`V`YGQFy-gBcXV$Es8of63@p{a3EF-#WuRFw z>a}DBAW1?0 zS$qiLSNv_YA^QxJ+uJEz13G`O3pDP>!OqX>CVOA6os$%ZvV(}+?44!&^zp^%C&~U< zny^&|g7ZdB8rD6RQnf!n{Ri}UGES8XyGd8^q&3%h;;i1&dZw@$Nf7{?}G6y_hG)O(%nNj=tcjqojJO6wEdp+Pe|Kp zAJtpt(ASX$cFMgG-C1htLj~nVJCv0|bJW5&e27n}r-Yx(o4gQvo9ZHRkp4Oy_u14U zUGwet2!v+OqxvO}?aGFC7vV;=4%UBF|AxvMv=pAG`dm^bfa&lAneD{zc#h{~DQu>* zfk|)yc#@$=btn)TKC8Ttw0g*cNO*x&fQAtZcc>NJW z;s$FJNN29Gl)di$d=(#gbDNfBcXU3l2e-MjrFQBU@zhG~A&57ZF7=Q_q1NH!WkV-m zz|v$*OW*m4>V^WE&bsx@`qbea!SW^1`{u2NMnNt&6`I+<`y6^?_<;-^S0nECuyTbF z>-hrNNm;eKbYINWLK^(ff}6~7be8#rGfxthb^G;vMP8L;JpViMHc!*>g<|YZq5tpb z@E0LN9yGAf{p@bC-J_}pQt$Ym)Xq4#bx-yfV=AVD->0|?<097YaO$pmhkyOFLV6ff z_<>@P(J@x}yKvz#@yfMNM(Ou);Ta`^tBHqpFh9iRL^1UVX`rX|Ep;m#s&iqf8|bA+1yWs7GRpvQ(b+fo4%$g+GcQYG zUK6f}V~dq-=c9P{Qs#<$9R0^rN_tk)&+rq4*r#isEa(<`|C91?ku#&>sK%rWAK@0K zZaiR1v<8m zyn=P;DNg>jqlP(YC)0YNB<;r%-amF|K{n6MBHG^1nY!yG65rxM#3*9j(BD?#rb*gX zlXNE2XB%D}%%y7h{I<*kcSY;wn)tRq5Aj#3 zznLnGeEugvxG}qJ+6VP(dsjq{JwK6r`fbiZNl@cQL747(r9h2lVAGc5%**qsnV8yc z+x$uke5plP#@Gf1-BY^iRnM^W;OvEq+RU1rhXYZZS@`6c_u`2!jWt)JQX z6t@}O;!e`F%fu)D?_rHfV=I?c{~K)*%Fe_6#gz#sT<@-#lWrKftqJTx;o9J89yZ&1#Cx@pq>+RrvJEglIagyz%}!ch6A0d&x;u!hQvm zK8lU|e6;O0E^%pm)E!8%PD$T;S)wcUTt{hd&W32<#j0#J+q1Q;PCFKikR6%zwi(Uv z7Gk`2kJEQ;;o(I+<5<74-)|qay!Cr+b9$a9aL14zugXJ8u{e~n)MuH~*}}NrtuWrI zeasc@+`Oq?A}r39R|xuE`B#i7|p8i|GV{}!*-dL6WKZuB%)R0fc{V~Vh z)Z`PHeD?l70@SBr*oRIkZJij{95b)sPm^2wu$LhGF%g!N2)miZk8D%5fFx4UIO9R zEGhlGg%T7sCOhyT2vsdL@~*j^G2|5^vBo>-9gN<630R-|t6Iz+Uq=lI`&sCTmbr2n zI(2UQo2+jp~kt3PKIJsCbo zRx(aHAv`2@yDxSdf75K>&9LhSY1cEyFjh4C`73KWRK%q%+g*V)joIdp+*E6m@U|*<3!l z`+yYi9ul8=2p17*I=2tggF8;;b7e`db+pGi+b~6)p}raEVd?F@nVcrPx(w>|!^Jj| zG$OJE&L1;R6n^h|3cjqD{2oPs>#8?gJuOGw6@@4jy1sJl=uBA9c`ZKUeF?`c)pEAn z&Pg!W{X_-BOkC^rkVR>A(Oe?KOh-Mp&ih!59IyEx;fHU2R8PZmVP-T@L{s+t4;wfR zE@w^nXzv~>xnef+=6LJHnWuABMK%&TGPooe#x5L(86FdjT5Sr5-w@lg;A{if*ul&L zw|~sqbXQ$`kYckA7VPW;QRmE$f_Pm5qQIZ!*1KV648x76+cMEDG&C~4$PDsQjFS90 z;B@7;t=vS4#=zRIfrdp6E|2%9yg=&{(1Se}eg_x2*0b7tc;eq|4s6Gh0ujb%^QMt0 zCkUF5-ep>qbhDRNg$+A0V}i(-2s-Zk-vtrsxN~j3$+;&w!t>r)KVqhMy;@i z5!{+O{YPjWdm%I&IY_=dI&GxQUo2oUdoRaSCYNKbM27!P?B|3j!1mRKCO;_^jY8Ka z?$tOxzg3rNb(UZ3a}Cz-n0$2pyy5=J@kb}+wjByxG2M;_TZ-H+VArGR2d=p1DS}~2 zngv{=Hkr~-ll>4>^ET{Nnv06R$aQ|H?=FVkXh-vPI($@WVxgpO5ELu9!ZFW}n@rIC z-MiCuRpK7+S^d}VE+OTrT{kByF}o`ZM|aD+R`lAOi&%?7Ub-n?8sI&#+hIq%GiNH9 zq>S>)@$X06VPeYr?5-Y#KL?#d=0~y zZoyGS5eGrSzP%@^e^N*;8M%?@-OIz$@(5I!`(%EZmNnk)pFaP^nzN(oLZ%yff%=iy zTFCe;I``$mZo^>X)Rs;1%}|+1mqMRe)qPhl0(+HEPD)eqs31oBo0PHW1NEPs*d?OG z7zo_PMD(m?g#NtBqi>r+e^xr8e>jZKGq?N+lo-*_u&DmqNRRwIO#zE;|GwlTFs~ut zjn@esNsagzotf<4;>=*i=_}NdT>e{_FeTYmYj7#FE_0aO{QmtIR+Q7q-6et&ob1BW zFF^u;tsLbqrY6jTRBr2w&(*#e@(N7VCh@1QeZ95O^1iJ5M(M91LxbsCemtUG&kYS2 zm>V_z{fWE!bva|Y;6;SzbOdAZgCv9T`tec9(+kC;q=UbT9L}td!I+)pkR#ch)sUlB zTqkY?w^|y}^2LAW8xHqyx(=QB82nB70p+%8p#9`vds7Y*=A}{Fs2<(j*RSD{oy9Lw zb7#(oMJESEg%oE$VF+Wjkfky)qm~&lTSXAlF~xgsXNb1^kz@GjO#89c&q8^@;z7;L zoi4EqO(&Bpry%~J42I4664(YkeF(U5__Z|iMWElzjjo`PNDyab4?;> z^ZC;8Z(Lrss_@SE>n9on_V+~SHR+E}7iF)X{dXZiG(Nvu)=cOw{cxvB7#f6N7|&r37qJJ=RcsrYl^>5ci2390Qpf~6<@Vq$L0j&qB19rbB(T7B*pRz(RPqLLmBD%!1^3|u@A ze+SR58Tk1=2Rq?YUMn)(bXg@mX#Bd|Irl`&Q@{;*^&i#5Qsra+#}TyMzSaA5a~ucc zd!f|1kzV&)LL|;RIJMX69L*PM&Wk$ZNxXlpDNe%mITjGR?t9_h!>kW7>P7zTr06P1 zPW;u}knft^8RLj7_IbBj3L@}D`M%!ee!JKu(zk<9fnFJgU9+F}=9Is}nvx*?T`tYH zJtiM6qJMzr3CGA) zrmvU$D^!T$yX7E=`0Qzx`^QZJ3)`FeaVOrpPj_FGc@X2fl%hJ#G;FWMY6^Q<#eXPit`M}n8&)ZHQl%t0`!0~$Luz3- zIQ!25xGC$nuo|O3eeuu(H39J$q>bO)yn-I_ENMF))znykGiu#8=GtEzSA#UpJcgOnc^zOX#=f1% z^NHzcLLFR2FU?+I!AkV}*A4{>)M7{k&LZJRZn1+qN#ZG+JZAi&K~5$Y+7mqqw_!bRMNOSd^xb!76{%rVB4xM=l4|nchy3V*CchPvp%cOH$LesIMmL~=ik+ESNf+q zQ}c*yp_BTO6kBThW2lk@B}*M_xicuob~Eu=c4}^nUEx8lrh~%?OXN70Fjd`-(ki4o zvo{gi(DU0YuD0m0%< zU-K8|(!s1BvR5?~LnbZr?h-q*xR{Wa7ku13#_hU)b?SXT#O-Zb`otYOAID2S`m mv1K*W-R8uwGW!v-wh;{W;|UI-V+nKGkgH>cUtSjr0RIm+t17Ah diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb deleted file mode 100755 index bf4c983dbce6d8f9b2f2cbeb84db2bc3a5c01dfc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 195 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRiU17(fi?)mU=5`YL+J{}U;qFAuV+(8 zU=j=fiT(dy!I+eikg_2mAz_7C@f^M-5>Fa$+&rpjcjK<%5mUPpS8v|ew@}fzVyR+x Wz+OY;-gQHUC0&i29Uy1_{|^8lH8}JD diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb deleted file mode 100755 index 45977510e271f8c00065bf075f0b31402c0fa9b5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 23228 zcmX7O2T)VZ_clcYrHhEvP*enzNbiIyRuB=8t|GlRX$b)lkQxvHkrH`95kVro6MFA0 z^w2|3=ppsv_y6vlxo37~_UxW>clLSaIm<~yL-UuJ_TuYz@#Ju5EzIx zC|3h!rlyYj`rb+H9T4QZcqX0YH4o2Ydie91GCz;e_&x>%u|O%Bocyd6*D1K16b^ce=qIOn|&jaLKjl;Q+sUu_|Qg%XPk*+jBnr%+WC;9A>-A3JrXycGJ zxK&J0NS#O3ROQ6pMoJ^rPu)E~_-h)&FTd?05R{{II4ezoH9hKKg(6>!N0)iey~<8Q zbxe>pUf})6dqC4@lPSCH&A&#)p z7*4GESCvI3VE>iXZ*LPanp2vu3#r52mgE~b*DfhUDiynBq^H9i7HqfWtx<0LuJh%Z z9M-)Gn*C!ByB?WpoHLiMeYE;uc$4UG`BJ4ZUOe_E%Eo3@VNF@VWNCQjV+&Xq)iL=> z!P-RWy~^rjV_t5q<-o*)ourRG8y~t@pvCp80;ob-#D~C<)^fAElY2=nzJF((nWA%i zb}`W=52yZYcOQv0b^%1)Ocmx0lt@hCWm2XJ2?u=4Zcpx-D`ac7xv86&Ozep^&Pp3R z_LaE&Dwc2cc2X(x?(HA&L^;19!9S3b0w#nXW6)jSMahiSPQ`BjXZt0V6I-H^jDIKRdUEe@#I9x`y4xe)oNE)XGX2yTX3muxa6?J0PRJO&2XlK z@8E1+WW1Bg-|aY6uTpNqZN=Sh_UcOpx%S=vg$eM53DmF78|E~wGU0rjdl(SeZ&yRn ze`-Sv=KpyP#2)Y!hJR_EeMx61V6?7c>py0eBye8 zJCI_FU6W~%GBE}{KMg(2tp{m)1`1&mNx0fG7PIC0>>CPG=ae^g!sRau%@XOQ`MVN> zPo}ahlIj@g?g=FEJ_OzmtN%?QEEpzPxKGWl1REus>}TfR`*-KM)*q>Alb4j{kDaO# zasZdI3W;%xBoC)|MzU^ddHjhKj)n)IE%q{94r{-owOeAEw<4>C>%qz?@4vA23Z|we zfalo^WgBUf#Wpms#lepYk6Lx!aFr#G$4BW(u>klQY+7d~80fx!HgoA$&Z)t&qRI<*dB;wCkWnHBA!gp? zg+ZOcx?s;<$i18dOO49U0_b#_iCRm(b)lzWtZpmy3WoGnZ_$rS^&QWJ;kW~=9v8BbOFq{i#AarsGRsnV0k?9#8gg_2LB@-p3;gq%OvN4#a{)ZP*i z-hY@bdt@m1cZR`1Vm@V?AzIQPKfvrp@MnL{>g^YI9}n`C{`C0U?>=9W$5C_MohOo* z$CdG_@3Mf0h>URc)8&uGb8QFQe$iL=9j>D$u}*yj-w44tK4rL*Gi0)nF!S$ zn$1d9+ZU?8Q>{11h2deBV1VT@<@$}o+MYYcFNOjZU4y}owKaD%{|uQLQPu%g?YNKS z&3gEC9~bAN)KR5{TGllEkOztRZ|zBip>E%e;#u`fYNk-7F7GtH-)CYjTt2>AFa-N@ zOYxrQjmco85Eb-FTw4m#v0KM}ie>jE(SBGs0neF>#|&eesE9#v^Bg zvg3jnn^zf+N*5%*Rb6B{Htg=7R5kXT?+PqI?v-MT8EiDyU6sJsLj~l`(_KtAe9=a2 zZxL>uKHHk}!mfp0RYnn0q~^QN)}WeCS0r65m`Zhx6};rDPfXx6*0kI2LzOK}3ND@I zK=K|a?PpZJzL$~d%x8Sh>A&J-=DqKC10z3(T)vU|*~I(Ha@Hoxz}m_5-!YttsIFmq zj_L^R_TLxm^6E{$qAN07YyBAcny2kqjf#szGW^~9PL>r%UevuF$EP&dZbxXBSJ4{@ z9J(nDBu3Q>NX%*n#bn#-zs&K89OipZ?`7x7KN0@=T=jZX!=RJn=gI3z9Y=ktVw@&` z4Bw`p`<~--i3)WLNhrr_^_tk`X#R-_4z{hAhV475BOE4qCG4R;mU-M~6nJve^ceMWg`u1)1y`#k(zg4-JecFF9 zqr<6UKAWwR32Kogt#7#ymu4*oMQy%~T$A8&_E{{+vtOrn!OXwMO4?j!s^t2nTPw`{ zTV*0Cg09Us1l8>?- z;|Sh}`RzP)x3L**D0Zzv2yZQP=v*y8NmgkgR-|JB?_ayfLK zcaNd@xT}<|>HF87U1?IakjXn3!YNAhuZ)aIz`iAIR52JH)0vsZeCetgP08DnuPqN~ zr)gk_>ty`%^i7)DtNf87+FWLTU!~FXx0nIdL*_P5gnL65Xnuu9k%D z)@cW8gxRl|pJ9zm1_d(>m4TAG4%{n}cH(?DavuH{KtH&WMq9nr=l`zzv*DZAHiTNO zo}+AJ%k^5HsY^T7_I}l?N31#9ni`_{$wM)53%G8eqRb>&rA!UJAb7n%`o>15s6(;G zb9e43b+E<9m(NnV-amk`hqLZ=nwNQGL6A|`zJ&UdQ=Vz5WWR8{Q{-+n9$5B$YRC%N zdP&~p{ZyY7WMy5G-rw_hI__nh$me_JGU=#r&mQY~^Q)R(Q#d)xMs5XedCw`FMVUxu zLOcD=hpFIST&30xqdV%iL{(*BC$}n+J)L#uM?POSXL2fi;q(IYeE_cJ=6RPXGazyE z{$7^FYwr@q(VMj4I)9_x{{fTC5D+dSxe<*P)f`LKI(_|-iX!DNM=Kv<=3}N;5HZ$r zkoFDzzTn5#y|WZpLdvZ6+u@GU*WP>FZR>db5*RF{dtdbPmq5qg>krs=__mebc7pjD zpH2*YV?`xp{kI@db^n>y$h8UAp37<&NYz0H}7z2;mVV9&7qFO-KKG$sXM~NB#=Pb7`f5~QbNO1Ya z;jS=rs@w#N$4yu5ltiJS{2ZS<9{f`I6QflrLmGDR&UezkW?RSDuj4K= zUy%uLTqj$v;*=KW?s8kYx9Ry8Wi+Pwxl3FGap&~VL%F-GE$%!4|GP4Gt41(};#=ys ztyM`(@qh~%RNMS8ei0KjL@3DV`4G3E^k=;1bfX~7T@>OJJ$t`AP<`Wl$zwxL*+uzh z1d(W9odzt4`GC%6UcDPKH3<>%`w zZd9CTE6>(+FW(gs5PAtvA9yH4+>IXl@RBADg|uyDhyMs{-^6*;-}uD2d6Z zeYJBV@;X4LJ*lKofiF=0Y5ufYfanE#87{IKc`)AN5uU9?1`utYl$ela@?I(_6eNWGwEz-Dx9o~CTzrV z7a64mtL~2{@vnG9TXSrLewY2-kZGbNDEx7(+4J{jb*6$#Rt zWedFRJJe{=KB)gpG#7QnKyml3wMmJGD)&j1bW>jPT!)tFtGF0wA+xHCVCR3{<0A<5 zjZaxS*rm9G=Y(RjiLF!dZgJpOMLqRU=kPz8D{smrFlK(ssy`S0%-nu{ zmpQLXS?l{>(5UI>dv#xfOW*1wX9y*I!qZH9HnR@Di>~3qJ?oHYqDE#EAxMY<35(Y$nL4`~MNlHry-A z$4Vz@HU?`W1j%Qj)i>)ZDC9YB!6stpOpTTPtHbl`RM$-wFCdX+GjDO|`tbh2$c!%7 zJ1BG}RKvfNvn+;(56inhqLSOtsCR=vn7^1MhKKMgnyo3|m^oy3vo7bwZqd-ky}bGg zZH9%MtbsoD=f1x0EZA~)CS2J9t8o0b!VOnR4Qjl6azz4=pa|C=n4~ZZm>>jraMP!P z;(aXDAa=TL6L^ex=V1Yzt(m!p4|rD9xf``57gPU50$~QRO5>ZUH z?rRe^U=jbjGPvRsIn?O%j{i*HttqVUNMql2n>e$-$?qlOwQ&pPYy?xg*v7(={0kgI z)_E4~yb2lZ3Za!A0d^&GmhdE=x$i!hKdbnyrF`g^I4YZ43&m>S!0(-wV&@H$tah`|mb#LEp*wY09_k@Q3n=?bf>%AD zG{}^E*O*wWeNIc|`>NmGjxGf}*#8o}8=3d_>7+y`|tb z@RobbZscG7+fLYw_ua>?3MI-41BnGb#gTMhIR4RUWd9gZ?4KwAcgz2> zkg9@TYfECHLDVyv?%_q=!e%j>yQ1c3b4{gvc2WbD%_`sy;3y*;Yimsa!t` zq$pyfMY6*~Z)|84r_nqAss<}kzVsvjSXq+@Z=5*_qq!&EJ9DNVK3+uVHARG6u2Pre z@^*iMU%&H(=hn_FBNx-$a=?LukSPzmS?e)K8jm4*78N7h!^J4a0LRszje$1bxI)WW z`_v^<-F?OORZZjvoV)WyR_}V&=4>OBF7s`WW6gfGz0#hID1BZ465m8F9sJZBQlNla zsOJ3mcv@UCSS`WV z)RM`e#8<2p1mX~&0FZTpoxs7(eFTM*a>I8N%Wa<$Dqx=9B+!2NYfkdg{o}zj2Ot`X zGYmFdJgv;NYV?AV5L4lpW$f9Q0OKT|!>&_`|FO5I^NE{{@Tk2I64F{X&V0yc7iO1I zK5r|Q>r8wz>nyAc>nm6{0PqSQ{(ja? zBYncC-tjN?7*ghCcKN%7!qVAl35)F=w1w4n*@6!C# zYoa(Xj1D-0hjVb79b>*?B1PienCN)BWH>W~vrf-~?;*Q^)?(}jhd(lTPhz8k`}^CY z!d(DI9j{JPOWe!<3!OeP>m@f|dk}+|+xBh1TLqmL0XO2-T6J0SdpN(}Ua?PdrCA=8 z<)pqkMm2gS=8GJ~)h_epiX8;xKxb;+Pz5=?HEcaKAImzNVl*GOX3+VaVhjEuKXdIA zJB+U*s)~R${parsTEH*2q(Ize=5{AZ}LFUE+%NB)4FD_$|CGDNGbNI$F^E1e5W`J{L`%Qd&BSv zq<)ZM^W(ynJcsaP4ID#8`q|5_Qi>26FE7{U7|y&&;rtJHMnC>2qemHxE^({&rf9^^`bTZA8*QoJ59EgJnnvx zZsT|FZjbOwNu9F?RI(P+{MwCjJbVN{RF8Dxsr9PFFH+nszkdd${s-J4{p_K+24{>@ zxBLYYqg3LcH=_+4R3DyTpW~{Umi_dio4#G8od0Np8)-m`4rA5@1n2AmatnKS9?jTg zOa*C{H~t5caq#ZTcMv5bCT}8%5|F2Bz-fc#Tmu5V;f?WUH@%eH;JvhfP@BJosqrL? z7RQ}Fdu9r3`g!|n%FplAkz6l425GtzN(?4AUogOHt7`?X?PU8Xa;>0)6m{LqKT~MW z1G?_hVAS}*q{y7v4CC-aMzqUYc>EnX;%DWuX6@GBIPRa=%72)c`^QbzH=o_12(L^` zp7lRL7yZCq4+A|*qf*Uo9v3z9--R5wl{kLCL9j((2&Kix5O%`Qv<^CpV2xHtYv%fa zSVWtiHZDzFg=jl|>G0!Ztc%q#+1iqPf{q;tR7E3e)6+Bob-Fk8j>XfOzlqJfGDkOj zZT8Z&lizrYfjf{JUPd)F2A3Z5RR82|E~9b zLMoadyVJJPACs3R6i$Ixatzm6pRWqf^5+?+R{*YvLrAI!?GyutG2)JG@2vb~>-aX3 z^}f_&s#mfTuaOS_^a!oM|oII4z9fKTXh6f2?s*c{m+9iF2ged8jWRi1ME^sBWDO`XCnufg8(P=3BH{ugdWB) zFO;11=K(J(1)RM)4Sl9{!#8ii^GWWWFflVZ_Q#*|bHDAd3R*OJ7U8tgTp%yFl=qc- ztpm>5r0%;A%O4xwfxL07WWMuLMtWF*lJ*1I2(`6vfO}|gj&&O)f0NRHfQ&eNBPLxX zn{${#Juz@2(-V}QK2_`_%#*Y#*Y<{Ap9seh)z|7n3cE}E@4Repy?{$F z1KU`MGLT@if$oF!gs> zND<@{+g`gpnE8CYIidD-sM1a_=?@;Ke;af_-92ckjoKA<0PJc!z+X=i`P~OHGCqcw zWcg?;r^2|&+RM{bH-d!>2qQ&H?0j}<{FRtFU44R8#Al<2SHG-=(lHQtLymlI%cs90 zY@Z_w^Ly%U_z|Oj|07y?o>Ia?J$`*uce_id<+*w6=WhX*7@9=fmXnXG&1#N+W6 z<=WZ&Z6y3VnT$7vZuTqaU&n(YY1thA+xE9788{A5MS0l&o(Mhv*}Ekv6qsv;F@$sQa=!z{&JxD&NNvZ-vEb;Gs6rnOW!y1~) zB3obp!J1QGe(WC@&Wl&6n30VS2(L7apMqQYFqWaXmVVmNEim>Y$-rUOV#Yv>c76;%xjKtEKTr_li*z!9){YK7XE_(X zkw&1O;<&{t@t{zB0mnG7Ja@>L5^ zq(=%NhS@?hzjP%8i`bwzg!xl!w zbQn?os01k{!V$&z-jh$|J4FK^?V*lC|8{iJD?+s!wPqsZbNcg)7=94eRV<8B(1l;P zcl4XH3==FF=BoB@-j%dsvAvYy(NP?gPd;~`$bI;9(kVyaKq%@rY7E(!@Y9zaQb@*8 z2xDcciwj^N=gdQ_nLM4ytE*{xz#97Mf60tdwu!}n7aYehtWYOv88XFSX{6Q7V%Dk=cz2V<3H@VZ~KL5!3(3*nMqq!_P!~ z_4~vF%H%V#{E4maAZ+QbO6l{^?Gw@a7vj|^>de4FneQQZA5D{B(62`_YB>!8WND7x zbRPYPr`XPOR1J}5?9J}2TKk*9>_dmHel zgmc(#{?VGwX#pRW_A?!_AGJ*&3U7d>5%%3`*zQsBpZ3$^3Ys+*lO!hvj)UGu+J~O= zb~pp=M=(mH(Tgm^@=_fVJzUH6**~fO9))3qd*?;;54!)lQxlH6RjhSi=a7Tk>0b$@ zJq96(zzzCocBehNDcsP<#MZ9Kdq!5^%7t+^t<5V$JeW!Fl7m*^fBHhJXiVnk9U zcp^wgA)9I$tE0ayfj$oOoxc0AM}CkF4fgh$@Mw^YR9gMQg}Q0QPPn^?q( zQW48`u>T<7QGD#7I@=66TbDFrnohb+X;j|#_v^FV>6L0f{b;8n%P2~Q@lzWAu}@jB zu!@AG$;GMWLGYT&r-6JQcRFG)Bgl`4M`<@6Bhd%uuXbvD7hq{oxr)YU^lAtyiMpBr zVC6gd?EpQbk^CR{0+hhWj6r$1p()8+P%PzeI6-RlJpC$EaGgEi6rM4Uysp>KraNsu zkBu=AN^|kMJ)DuIZptbTA&BFGDxZCIYFYm7*khSH=++ad5S;ahicQ8?K%3fCOx{v9 zmQM*fp+np6eW!0j6*Tu5E|Y~0u03NM!{6oC3Z6cp-SDAbm29ND>Sr2w5~#P9T&U;>9RMy*k~0n%sTn&dhs?yF_$nv>0Mw7d4$ZdK-m^ zJ)r!YB#&nPV?AYLDHkO2blsaa7?rp4t(N&@y2susJmz90(u(L(1n?EiUbe9i7%Lw+St|#JD2i_p>vu59r^t+c`fOP#p%G zkQ%osUy)H;sVQ`RzbCng@W1;;3XpUw4OkFw^|4z=70Kg>k5awLv=dq}b|!&gj;;@> z<_)AX)zRN4*Gf`T?sRnDR8q#gMNu=&Z?E`4POihStERMMn2-*MykPrEHyLK$tk}Qt zk^I!3h7=6?iOuTM%=PH9ku%6VU5gNf^&Jc9 z+ld)d%udx=PI=J}!LPM?O}GIYz4EeU>I<)ts``mM&*AH;2g|~&Vc_}rc9 zxk(^?^nVr_^TX;-*VmJ8$T+lC1 z8+B(2lQbf9XM$h-(zp&uyyV?~PzxZjqR~q3=1!W8;a>{3Luxje=8itUP3Yc}xzQjv zd{#P=p}5x-G(jXc$KtP)M^_e;oRnAHZYLlD$tR^lc*{rU^t+ZJO+=R{$R>Q@->{+Z z>fYN@-iU*rXZ*>qTXASt&2xSZ|VDK@4Vt7q(!gN(XNyIEk0BO0QRq0?JiXX z5lv-r0(;DF;M;0=SV)Nm>nA%SoYljx9pHATf9wtpA758(q=sl>E$fkQ=6u0>bkuWm z{#7^LDoyJEvZd#zz2KGyU8wO|G#rt5aFSG!n5SR7k;-`Ceom6AV!Wqx(QY zA|`YOwVoB0kQnq}K;CWEGwhY-mQ?|_5JgTjk4M*zd2!GXero8%7>dq1?%#kLIK{26 z(T13p!gi!WbFJ-3VN?9KtJynU9N@?c{PUGr&_vQF{yOrb?#^!8T&y?o9|RZn;Tvel zLaYBgO$nChML!hdOTKgRWq5PFP@}9%$6Dw<*MZSXvgza-(_`kH&q$uG_+2HOJM&dk^ja)9aKQ?)+1aC(foBpg_g6pKf<`}|i3 ztA5UP5DeyFMox$Jm7Xe{v2rqF*l*KoCO;*$etI# zk-E{^=V6?vnv|=@CzM{JaEitvNMo6f-0+puXqUTmu(OM@FokDN0SE-KN${Pn7VD0~@0^F8pX?ivY*&Ql zj=pt5*`=b9+Zb9Iut+86O~1VMMixp)C8&s-azQR7Dlbu=avvJmwVIPOe~n9nH>Ag* zZrXu~Nqaxnzm^YiHCH?=Z||9XJ1cHI|04%;AVcG)DAxR_7QAZnX5b2NCrkF*($4A2 zeGN@z(G=`u<#d)KbDr5NfR?u@6M#0E82sy2^2uY1ykO+`LzVa09H&$%k`p)K-IaGQ zc5#l1_76;G!qiF!&6k^9oSDpg{zuOjXHqXzHqs;meV%~zvL4QtFp1B*W8gF^UhX54 zm4%jXzS>k}3)C%i@E%AYqQgd?dgg3pdHt~a2h%K6DtSvbYc}95SzSJ8)WH#1HIHk@ z6F$5FThWn&?yxNa&)?(N8qZ;8TT73d=em#4*?H9HOjCtPZC8=6d(sensL z!)GyI)U^_Kmptrsg_HHOJlj@<#N(K8RUC}MZE`b_8u3PI z?w{?jk~~FA7^cFx`=GU-s>6^|zGGa1l?;YN+57|@HFSXy3j64jG`98w4C4HU+8?zv3OtJ>XqxA?8Z-Xofn!%1 zfRT;jy9P~Re@HKO6s9pD2Z34B=FcnrtLtk?|f2M6=5m9r&PR(AkJxDnZF*UiMynv(UQiG8{y6!35B&G7jHBin>D>2>$>uTD>Mk;j!}Z2HALieQ|cuf&mN zio+cZTTMoM)$3srJ;A(Nsd2~o^HbGYgS7w2_P#-E0oqZv{q#d#vJkez?vRDm<1&8S3vr0h9;yy#uR-Tg7gxQHwU3QbJXuvZ1GAZV$ z{-yO%sKBZgG_V+OS1g4>t9)lg`Em?HJ?J#&BPe31o-^Cy=BiNd(SuL+&>9Ha9Wukq z57ZTlfysNnBdOJ13O{l2<;F#iw)1DV*w0$wtdRAP&AIOY3H7Gjc8I19bucf1@~#C_F{10!qk8}R zs`Qa61$T26-}Jk_XjEKLR7L9&`|GMDOW>f%P8G-TN<*Y)&Y$cswGjPKT`?88(94GB zI-dZNe%^n1?Ek)TX~NBkN0#iEHytl>{6#fFN;9Cqp%0l;Zb_P`_+d~V$-7z;^>lIc zhi5&~ao?}$xY_hCip3T|-@t)!k!!T~{6>6VuynZJ(Vo}65WqVz@Lw{OE67YvytI{2 zHwJqVb2hqHCFjlH4qC6_3ls77Y86;!wN_Igu`B_TcJPo|M{^AWVr9sFZ&i9zIP^NM zI5%_~qh}P#>jKaKWU=8Gd~lg@xiFW|sX9X!u&Lsogm)v#9~nz5y%&JaE|loVutK5( z9m$>t1iWe;A*V(%s&&El{%&AlJo~Q`2W?d>u&c5A2kcuhKZzgkYw2#h6ii_RcX{2X z@+VWLubl%gH&ZYBIXOqfvV@>l4G9?JDeS*sVe=(lSo zLVcDD?RrGfy^}(^S@5u#`N!S>In(M@5A|`+aM|C3$4*T4?+VCpZ0Objk&o1k?9WY& z*%6mA?*Tc)l8CyLq7m_|e4B-XQ0^eV#aDr((#x}zS?N#%0_e1RKVmv>FZkL}Y=6PB zv)jD~ACGv44qwCG*`$#GERXvWE{pgv{v$eWJikzlX&Hv&qR78b8>rdXot>p9+o7@% zT{}ip6l--PnYg8TcjwI}0}3@8cqbG-_!>w9pCi@;KUp$rWrIf}JMl;KfrHn; zwmcbkBd9n3sez#qmX2&KWka6V6ZEBq8)?~MQ|>B-Njc+W6L`)V;#!Rdt_o!wOpAt+ zm?!F5QZi=3Cmm&gVv5Q9bl-Y-&@EN|UMFDd=k4IjDETR(U+(}m9ICpKKm+z%YS%V1 zb%LfkbY4>prTyR#yAXu@_vnfywq34)f$akc$bpj!wcYQ=)_Ab=?bbzgYiN{5T7g*o zf4*>}pW+P;J3zF|&2XW=^3JPIclYX&kilxu@vi1)xpi+N6T_kY&a0bmq+QpDoHtf( zUEMJ;Mt`FP8n9&e^$KQ|cqwE%Xu^oM-xDIzlu}c7-j#sHPI;f$3LP#m*RtgbT!SCh7yucv2-nDjM zh-SR%sRjtxR#4~3GsTTCC!?&)pY3!m+b8d-4GW3%99cL`#Pg12x!bt{%b4$_m(c=R zP~629VIgT+`gsgBbdjQ8&FyAD8pRIu&?4y2(= zPYGb#w)3@dvoI~|^22*HHg@H>JOS{BmIdt6+5z_R{=KDq)WIT-ltjz!q13G8uZ*)l zhv^ed@(|$EXKOR`zMhPHAq#W?jZQM6*=rN=!=uX3q%DCfs^XDJwlQLY=f5IGaCJ?k zWOf3D@j#mx*-@4+*0lf8R$LqLjdIo!iBuzcT}VY_?je<$cLJu3e%L&w?2zTT6i4c{ zrrO0Y?^xSLVDhPC4Vna}Y5K)@|1ZkNR&&BdHJQa7&!Ts#f(m%xFzU?^6}n*P zuO`9ybI>ralWc8qr=6zUVeKas)ehpdBth>brH{tgO`295B-tbqA`9Nvc65K&)CK4D z7?I9+1VA=9O9CmmMqtHgK6s%{<` z9GtwMYkgxea!w8H^l*HVQvDB8bA#}VIM**EefFS@Cl6H;CnkhKY3I89Fi}qmLU)oe ze1;Y{R$1NezA*7)q*WddT*-quM_#b<3ZcAdD&F^6^~(ZOI41N)u1ndXxLS_J_!fl<=(n2-uJIDcpk5 zYGN|WY`b-HyIV8dxcJ~9K1r0uj}=J8)(Gs&Iigr1G_`Hqd(ptgctQpIG`ZFi*!Or^ zGR2lLI8>8&>x6m4vC)D5@z*1R7P~8Ff33wilzsh}ap%uYY}NL|x4z`SSI<5SIDHi= zh`ev}!Km9m*`PaOTnl4i-HrT?@lZ|*!#Jejb(_!6smbe42r)rnR#yBDhyR9RyO3-E z1~~v*5;uhX;e3^MHhWH(`_{k2=jm90Fgy8ac!v|TuIbe$x|J_YHMP9*I1djo@O?-& zHrfq~$PelyhmE}+f1Aa!zb2k=_TBl-osHRpg_!8zo5uiC?-c`-i--%dvqs5`Q?yqTfw_X&KS0?_Hc}6((wvm?g^wMql9S9zt!0^=XO$NYi+cQua_NjliWP%)SQYR zb}T|grPzXjZsQlNozsb(l1B)MA1K8UH`X<>ug%oXfAjDbCmpGG%@eL;dz9m{XzXg9 zfq$dDWZP~kYaGnfI*ys@afU{`Nr5(!i6#x&L z;?D>Q&AVB%lIX6n<;CN__XYmil>19x@upQH(4i=}#xF)hdW=1I12q%!wCl_isDyy4 zmR@GD>RB6ZZ_m8)6D-1r3W3z?00}B+KkMK50g)~9FA#;gaQ4UghC+}W?oW4NI9(66 z3jrYC2$0DT;=zDiIb(Sc^YwjtjC!Exul+cIAkh~|Y)kK){luIHnJv{sZXnfeEk#@K z`UCX^w|33~_b=_bDfj$yg`X1JxP!Vwtyf@OZ9H5QK7w#R)ixrMLY+ z2d`h9(=INU3C(3uvA~+FYu>HqjoiU^-T>trL6;hy$G85{`BXda)qU0gF!7-|F*DRe zr3YS{j>g9?y$SyFC~RtvW)@@BoJsn`1$v@5)R=`lz1FE7b&6V227O80k(f{ICX?dQ z7mSLBembA&_GrY?Nc7A7fG`}oT!&0pK_rGNZ?HYPwK5#F3Oj$@DcOLI$Hw=niC+?p zf&fAPj^v#f+v;pPnzH8GMfM*P=HVS!^Jgx5najY>^%xNt(FXNQs0&jR$tnx(dW-A5 zJ%&*;LM>0Ljs+S<3wMdU_@mp8(pQ{r!&?acH#<5Q5ncYxP$%AFlllXLF&- zdeQ$kt*lEaho)-f9?kX~s-7!;fHET^nnOD>R#QT=l1}!fZ%EX5Jt1B}iq&g4<7SJx zIWbCEc}Y7Tl6AjWFngEk%Nn*A(OF*|sDcmBH!^F-V5?h=vhzl5S64&cUop1ZzpqN) zJ#@oh?P0XW8uYuyg+g z_nhg&M3YBJG~Ljw-LRq12fwHhuS-^Y+~G%!Q?b{iV4GGBQZe}ZbU2#5y?(8QL6NCq1um9ZckHRRgRw8 z3GE>xBaf@dyu&I^B8^Gp8k*8n%}{ir>H^LAR!u{G$vS}j9B}OMXAQySr9`t}r2!H2 zGBQ4NhAwHIu4***#Lv6OMyeWBQkGorf-tf>%b!=L9e0b$c0- zc0pG={$g>%^fo{%Pg}CUlBm%}wI^_|GTEutXIEeZL)80fFc;yIpvgJ+7PPd;Iwwal zouTz6)%21${Hc+Ojh@>+9l@j0n^I$_)z3c9@Kd}7jgD~(1<029Z2I$IeL*ymM;SL@ z%P>L zBmNzD=vR(HxE;{kj3($%xfoRD-gY}&f?@wV3X*88f|Z}j(Vm1`JQD3@xz z+J>xj7){-_0MR~Wh>u)w>>xzYJF5S`bKbT2s}MYkvqJ_@9Mc%?^>$fzY(U_XBD7lZ zM)LU6DTM6r`)LraByLBVn9ddenH1Fw^cJKBH!We87cT|-lX$E*Qmsg+of-oPNR=%* z#KqK(|eB+uae}XaNVX$a=EFLd!OrOb3Mub zjn*=+>deJ_C|I~*aBm#nQij7k_k#+1lLuBkTwuS~XW1BJStMBu^f5iJd-{0rV+&|}`7mfHi-1odciDQs3h+I=`syitBV7B#K zzzVV^!g+@whnK))se2TJ(n8Z8ZAxE@aBqkpJ~UA<%{4cr33GfY8L9vC6Jf9Laaeo` zUC2=?AMG+7i(0K1s516cU%kEd`A#Gi26(gNW;d zOZTLa>YTFW#Uf!NC^0@{BHz>3ND7`XCG*Cn6Dv+T&uzFFnV+BkNgH5^+RhV3(Y-TG zSX>1|lcHszGyCXn(q~0w)wS*U;-{I0Fb z_^2ORTYtlaw-nlstMQg;X~SJqHO+R+PAq9f;>@oN%6<(b(FS%^5{t3RT|Dg|Otg=GWO zkQ{QIKY_9WdP{mzukH!h4N>f1R$HZiR7i2PWe}NeSAyykIQf|w$r?&Jm?Lz`z zispu%+o5yoKLh}{Ya2yLjY{BtW}|!hhe2o08s_ebO~pNqQk1V@rz(&oWM`bV`K1-V z-KGs0zR|xLDqA3kMB5LLq~I@z8|U+;4pZF(oCS9yItvrFbmR~MGiNgbJ(nJ32p!4a5b#ieN2qG*-Ycn$^4VlPgwU4d}N)A{t7NrvKUJpL( zYVCuPu9L%HG?jFNOK?}=Kee{mM;ZJKL{$Bmm8(XoFF}gT$3l6!WJkTV@9@^IrE?G&%2Y)<`NvocRf}Js+rs zn((t*?ea52{q4dpu4|-4mSJQ@l0!Rxlk;nUG}}eN9wTN(^!-4}TGgYh)PvilX2AqW zP$wBsO(i#GL(}q8DN)AQ`%h&vsAjrNd6e5mHk+T{qdXEeb2FKA*Q;i|6%B*&QFDt3 zYlkNNtVXEF6(UVkx0cxjE!6|pH_e?hrrU*EA-4yR&secJFoYeiaI^Q??KUrYr&eBn zcVMLrpf`~El3gsP72!h)Au+FY=29RUZ8?!wBH|wXxj@}&QKq}rdoO163NcKk3}V?_ zq;PmW<)(D%SXCsDB1zQ1bR*JM<>px|%?be_#MFlK2pVVZNpB_#BBrvK*=;(IzrUps zWG}cERf-uM=DK~*+R zp!*$zo<7J7X@gXRdz^UD%MajQq$c;W1u5vBQv!0dR8||*xT6EvbFxxh8esL~q=0(| zVynw5eXGk0|2OS41taxI@^23B^0L9eK~cU5=+2Gxa+R+#BW1qh2{Pt&5q89!Y3B=C zkEga0x<{7m?0zkS^|00~L#n6$a!owBSfDQhU-6oRTWVRZB!rVIB0Jtzt(HWso`h_}?C&O9>y6h(@d5Uqa}v*#K?=-5YZ{$w8;lKD`}8CtyOvoh^@eD_3)^267rY&HT(=U`vEM{h_G4!f}SAuB6XW=kBR9O^pwD`q|h#U}ThZ|@tO5Frb zZ=A9RQZ#!iNM&OvT7A+cR@j_wWe;%Xtf>#sm9CAlNj3UwDiI`=`zcU2Wj2ykN?CH6 z!6Qcq>XQ#mYKKm|G2kF{gO-gBQ5F=SCUSapTLua3xm)ltWLh(ZNA(4k(jeqq7iBOWrm_Ye)uqbLFG@OyDOx z?c`P;lJQB5{i7rXA1x^SitxF0Ru-xtEoct7$%h=*KS)M>%k&Df0SwDH~4f-hI)Cv2e{^<5g z`+nCq^?uX<7!rGM0nBIrQM(zo*%e^Qbs8!TQKJ>*L#0)6J^;j({a|Wdc~_OOqE8yx zLye7<%H3E8{!Oezc@5P;~WDc=;3u?PswC zWRpVUEcfH}@<;w&K+Ywx!Ipa{@cu3+GwO`8Xv=H-1Ax1!K=UD?WAu0Gv!Ov;KAaWf z0sml4K=IXFTCap(bU%TmLJvP&V=aOd;qC8sB){ejK9S2+jc&RwObxGG6H;DUG3(WK z|Dp6Atbl%9h_V^_I13tODwB~I9)F}}5sJ4=^LCG(Khm}>H{b41;09WQi*-+?q~ZY2 z9D<`P{pWt-EvuPCtr+69E==Q)8FG^DD(j~A9l$zrFW`ujY)e=p80fVx28W4JdpeMw zbV$7|YY_h`#k{0~xzDc&2+x2OGV0oqSgVwLAuUIonHHd{%4Y)jwEGbpnTd|yH_fSj z7N^PEoE9%TZ~p!tiYX)=M0bs zJ}&|**{D_ui{|Cqk1ZGZj*r*+oriuZG_{64*k&GG*s2~1kzX7R8!&tI=9mRb*J`pe_q2TET3SP?9x)+n1nVFmFMaXmrO-Bgl}oTN^Q0x z&q!fB{I5`tK!kgvO0uz|st^JDCB;Ge8la<9_kvf964RDJZ0}y0J`tHOd`qYtzY1Fh z=c>jXUn9Vle=fC1wi9-i>SC;E*J-AwQ1U8ck*!OCM`UYSAeuX%NpJUYKWKd`aN9fi67$V`^*$t8XbC@U?k86oMfiC!$zoMAP=?>c(p>4_bfJN}R7WwGT%WiRV z`$m^HDY$=XMp<_KIPq(gnvZnOU}h~K57QEhH|>_C;cmlxI*hT{9`G#CS?-}O9^a&5 zA_KZ-_1Ll%_NM|P1)o&6#{PDI&0B^xuN)9r(lnn8J!#FwK9G-|B`dQIuL|!$XHfui zWYEyt*RiV4q!G4UZYSP2-ep^P-mMvBK}3Dnb7S&$Y&kCJb|*?j6T1t%bp5(Fy6ge> zu*(mCydd;5ri^rW1I=fN9r~6&J{Eau)j^I{F_BBj)>~3wI%6-HBT0s=Z&;n*G#Ec@ zvX|?AUdg@L$h>Y#AO##%evgC+LSNFd=LV(%q{H~JvO!EYYK5F#<6k^|Q9#ofl@TCm zVB@<54s_c2AS)C%d$*S%-_h_KrzE5Ir9XcIUGM(7zJ6O{vFiOt6toX?=xzPr*nZTi z4kp4Dw=Kot`}vU&59>q+@N9=q1e_HxiM_?Tv~bJmUbCWs*a{vd3Bz`CeFr?o$UNA0|G+FIZx@PR(2Hue@4=8W-l2nA{w@{O zeUgfog(Z`_pv<=ZO0=Q=&&OqCU+?)yHRChV<%tl{UCcBL%`g`!nq5ZgzyPR4;LHC~BLVEoTEqPFXeA@|i3oRzJ>WbIReX6iE(9i&WE-J* zI$3in>RGigxjr(kD&EgKr?IEhxQ7>!XYvAWK;7DZW*M%n$>&c3uwftZVd4RSfTeJykjh{0sH9;Xr9h{N#xSL&BjABYnAyMM#Jez@rUipGYrsw_-03F{+raJW?f85`cs zZ253qQvmP#+4);Nxna7k} z*!wwFECtdf2C*QRXL-T38ezYM@UTwl0Tj5SRqHotLLSIN%_`eh9a}-*$?Fxj$^;Bl z+D*q=VbM*(AgME7YuRJ1Sh3oLSqq<+a|I}iA;Chd)8FS6ZR8U3Oonlc*nql@9F6(9|@LG@wYATM9lf0X_)Sf?( zmq#6&+B?n%=Lqg|XY1EBjQPoUp(gcW*t$GKJus2Sl9@;95~=sge&QY`o_9j zqa;4Y_tEyx$x6VQTWswl(0E5%$QUEjPPv#slCA6(FwNyv?+Hmit?+#OdUue! zo_s7hI&k|K;pR8ns&f6!n`hOhPj|gMrg8JzD)XmJ)hCLsebxD{hGT@6OXvSP@W0CW zujTxI^o}5R%mubE2M3!C;*7t2Q9h^aP+S@txSdZVl8z(;m+!|&Nm+IU59p?ed}y} zQ?r_&Iz%YZdmd~wSCUHQR~*|*H1$~KANll!hwIv4NsjC>`k~}}{mt+?Z;>;1#mOA< zguIKSRh}c(7REAO!9w}cwRwLodfgh!xK!S2IQ9#YGJ4uO+Rak@CfE4crcX|r*NaMi zNv4_;yi-qf zo)q5Zu)TV2J;0vvGhM7y&e7_|l%Vxk+EwWv?FI2Qt;5lJqv>Mb9=6RnC{~_(_@owD zX3{-v;PU46$?=IdUIGfRy;tU|{Sc=U!6lMD;}amY2l2%h+f$w6d9_}C=+`~bLH>jx zZhNVG;~L@XQ-IhX9na;|sO)iI*IEmt`)u`>tzJ+W;a^S|_{|l&=nC!?Z0*iHjwq-MLE?}|cSgxnq{#B1$v%iF}D*Jw$1)PdLrFU>dY?=Z*N>Twqpeyi@1OME&FtrS^dt>vBJh{NFM1_YJ-!A1 z$BHes`rfziwZt`vSL%JnY6s!xkwP}(gos(;$1t1OtuFkkrP=ycY^c)jOxprbGbz|p z_DEti@sCs-@2Zb=^g`Pg3Dih)=2)QO_I;ZiOZONuK}38h%8hV(Jxej}fT4(G}L6 z$6Kv^50|_0Q1&?cIsD+At?@%|k?eOqa<9&*|4!aX{}D!6+_~swZdYV@dkC6jezl=% ZspglVQM_vDQ6sXNBti4wCuuhOe*kEOIh6na diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb deleted file mode 100755 index e983e2fe38999f153fe45024100bdb02d6198747..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18290 zcmZU4V{|4@&~I$pw#|)g+qP|OY?~X~)@GADv2ELSZvO9k@27iaep5BoRW*I;%ydsz z%^(8-0oA|){~TR@HsK$tZszP_D= z(B1fAxmQw#NSI)v37fcJXc9XNT4D_fSA0@&8{|1I$w;JOwvuccf*4}6WP5j}58Njw z6I}PW+jQ5HJ_-v;8~Cees;a|oy5azQEipV*cb07py+u7ey-hW@sh$q#)2~gJt~Z12 zfSLd`4GoX{CZFf4&G>zlf9^>9(K`1!kBK6R-f#;uh$VO-)4(skV7_P@0?5(`)O?T+ zX%N)->)X`4kTM_#E1VO{|$scSqZ)ug>ODXX3i4FxWT-e0blZT|LpB0T^84 zr?7YUrSAWBbsECBG?>|K?ssyV7gN?~N8`$m{I1f*&%Bj_+HOf`RLjE}{Xc6O5H+w$DAoUQ zXZRi0zuD4tQw)?(I|TE0Fe{pKf{DOqNCm8A*?#NJ`eLw1=uNO%pEMt*W;5szjDh|} zlaASNTCVJtc{#)SBVTCYQdu~R4>W}lLzL`pc2k95bnK5Ft94(RGg+2li+8{ftFx1qeQN1CGdO{HmcEr_tW>lm2 z??{*Xsm-oBM(c1-c}*rt6qd@)y#~|iHaoLuNokWQtt|xefO0}klk_u0b3I?YV_&V@ zRRqp`yE&3fi^z1I%g+-Xa$k$Io6Vxz%+?eB47c0&5_cVc##*n7-gEvFC*(4GAwz1u zfuN??-p6o2vQ6!4mg1egzH(I$I(SC&JADzu7o*W0&P&)i_PwTsxQo0;&2YNkq-JqL z-J<8G{Su%PE8cT`{X8{yC3>ao6}1nhW?4cBo{YJH<)JZ z*eeCXKh>C!_V=xBRIIw35s(u$GeC)<>6N0I6L$1>9MW75er4CksQEDemOTbKqsx$t zJfg2%%wt46j{3Z3{mecd*Wpjj6F{A6Cwf`rX5X5oJLCjFo+0jDtAFS1EW3&hwBgRb zG<=S)g&W`$-LvwaRSE5V0%QA+kFhUu0Mp2)VXY?d#+xrKH@ZZr@&44Nap2%3KfEZ^5YRdSFOF>HYEz zRa?<=T#elAId$Q3P2z0KH-O|t@o0NXby=&rr2%NFT<&2KSNctQf!LtBe@mkX#m{Wt zz9QF*C6w7+XKXl6nODX%sxsKA$i(mPn6&(2O5py(@etIvmYp%#Mu;&UzuHgX!XPj6 z{PvAU50)gL5xjO^wcDCqq(+M@agaN;`9!=GS!PwUM1rnF!c;20l4nrFZgbcyy{66; z`&>9Pjv0&}z16NzmdS|rpz2K2Ry5(IZr!(GxCvv?J(o^RmvY$WC#=Xm-knov=9nY$ zK1JG>^{3Vb;~j@>96Fx%N;bIJq2p(gcu?Oqqkb{Y-*UO9Zz3y?aWi!9q0~*)SAt5| z&y>mW0;Gi>oabXNV-J^dZYsM5nG>Tod}+jA5_LMd>yLD3tKQd`c0+$mqcx*+)Mpzz z+Uu5^mL~_LD6V3)wmqBopEd>9Nr4(&;EZ+i%6*Y3hJ0%&W7UtS^Qo@AqD1k}5Zw6MBz%_`^p)ZyC==SnKGN@w<0x9b z#!M%Q2^z#c`pO;v`>x{a1dD{*_nG{k^Opf729wwskH*n20?Ej$f23-*xA(t4832S| z9~raSLYMPrNdo>ytjY93Z_M}!F6u^5-{8034KiO&g{>~{U60?L442nS--q)T-^XRE z-wh0(-y3Y}0ru%n`?mnA%YaLU%kKrY^}cGEcLC!n3Zk zfceR3hvyUXFDLo*4}&une_=n&`}J$trZ4;TKArC}oo`nI0ok_i_pYy(&$y}M>&>HY z*W>Lc2EerZM*wWbUUziI;H;{wKwL4l$KdHx}dA9$`8jzkSg+u1X^;pu}OKD20IlN4u?F`iNKzgk0XnV${-IA_|5?2Ap4% z5#fcFad93b#jCi3qK;LyO%wgGGPWNW7!;CagkzGe z5#)TED_}Kmm0R~J#@ZwqI)ecp!agm`xPKRq|CY+A*!tPqakPF-tw8XYWN%`x<8k5! zylIIk4+}7nB+)Vv{ea*HcuC`=INmZcwH;Sj+U-YfgY12zwcz%(o`p$85nFgIp}dO%oCMdU@bhG1{{dR_N~$-!9Aqci5D4y1Ay$GnMj< zK9*{ft9;Fs$rl9Li&E~0HD_d9;p$$d&ml+cENEFyBnle8^RzN;FE1)+^`8QQWQ&SY znr1USM==^qDO9`u%%ivSaO)+~Gx>R?k!DMLef_m$ZtRf2kP-als@2YOLR()S(hE|H z@CNhW$%0eSbFfeaeHN9Rkyh%TV?f%y#bHs zP|Lxwr?uf4VfHZ_Sfn4LMnmv2HF9^7J+MtO8y0adB{~@5I?%(#n>D%FmuHfs{UM!H z4%8VMN!bJSVE63&OUplD;ROiHG(D%6)ZJ<$= z3o!AE_I@Bu!M?umR{a%qQZFfBsKpZMHY&gLr<}^OMPefJypYwdGV8YuZ zgvZX|6jghM_*^KqtZncAyRO``;`?@rL_b1YJ@~>upq`Ik94p3;kMB}iUtNmOD7ObI zv#0o+i+^<1J>CalxUtgcCT2@fuF{L1mgPadm9$T!IeA@f72BB1;b~D6vt(^6^^q> zEv*J9yBD)5b3x4@HOO#^Tf3o&ca)dfhDB+lHQMUk=P|E#-mB#eoNGU87ib{W? zphaGE_-UzezwQvwj-(>0US1(Z=PxliSEsl;BSiezd21=ggHa)g`SH6Hj@cpZNqL*1 zcNia_CVh#vFyTG9=78=4?*8o$^2-Ge1mz4uP1cQ+Rd-MD^mbuE8|r~YVPXY7sL;T^fq#w zBX&?`a8KdbwwOkLqQKyI6ZqP(=v!{NDr(_1NAdffm z@k`B8KmvVjS|OCd9ktZ_Vi+CLJ_h};HtuNAFlMX4>>sU9wC2N=w9AMxo_Kcf*1Zh+ z3
d#d(amkSJ7r>f8tXg%0($$}7cu-{NHc*JvjNG@7ib_~``e8L~INKQ7L3;w-0 zQ?v@uS`a zFMC2`Bm~QdL3_~>?9raOb6`=71Q7IlPN`}Xc^bYn%!~b6Im2)0nX+JgLPAd!z0M|d zR=T#)LmGgH0+$;c)d$UZ8^t@PKY*Rvdrg`^z~MOVX^~7(f1O_mNL`hsk^fncfxk4k ze)>ijNZ%JZx~E<~F3iU;WJUkE@s{EaXRj2vzQ)&2+pQLjBLnIUfRkktD}8;)tJ1?{ z_B4n=o(H#+E5!C>&$YfVdVML3!Jg_zaK_~b8j9IQL71v; zH%$z4?l@$q*9!LD(hc^`{)3jTANqrq&L8@NmX06F-Gzl)@}gVX7A5@NQYie+UL=g! zM$*?Jr9eTK_l`Xf0d!#z4u+Xss36lU_HC^4Pyq@lx1rT}tI0xrTol_5t;`a+ ztV2D7xo!%07o$owz$5#_c`<&+RtdF;j^xbs{Vn6zV7iF{&43tf zB<>_jmozRxEaLo1Wg7Uyfa>zYVDH>1jR2*`3&TwG6859GrI0|EZeb{32v?py%k(Lu>fQ$o{T1Ynwm2e4ILy^RIbWd@bEpa~bCjoiRphC*4X#5mHBTXOxMA@>{K#DtEV(+p`_3ADP!a`xPZ=Yb49hy^#5AaJ+&^Y> zi-HU|Ee$J(IS>sGWW*%F0al9L5Q>Y%laX$u{H3fBlFW6?WGx$2erzgfU>SStOU0$0 zEoBdLHZijk2)}?+ITEZDqT-V`u78WoOSBpsxjCB<7)Kp)wj>7kOj!-yv7_gkqk&S@ zmw4C^F|w-UTfR=pQRl1(?mo`lO1SN7dh#i9=`%dQ!)|LrH{m(hd%;3-NOI}--$^@$ zg{~;>>gVdi80^vKd|Fuk>zq92w7oq;Wtr@O;EH_22_jpvkxbOAOD-Ku%fnS2kgi^~ zq-)svM?Rc0cPqQv&kU*A_m>X4^}T0}A=D>Uc`SvfUc-1M0UdYQ0qcIe1MSL88s?cL zOs}-Z2sMvw`@#YtyO;04LtWDD_6)p}UcdJYPJgRX{EsK>j6Bgr$f_P39l3HZ|) z0On#b5q+hKlGagD)G)Mh@<#zw(i!UTypcaCvc%MYF8A>-OUvLg*;}C4eYAtbgOEz|3yU-%lNexI=rjbQ zhA<#Lg!9)|CzONd2R_`^%?mH9?+%u z4m$ud0+HuQ(U(4o^A`b+-_8YxZ$~#AY{W{0U8_fmJ2N!;b4DQjxq0BKB%y{~S`g(A^Ojoiz6K0o&!)C9$@xRu^blV)w%S953WR3X@ zS$#WG7BBX+(St?nAHjX5tp26tkDz>1mB-8UpEGM_b@GTFNY+=f-n>lEp!Q)Y%6<~p zdm*lmSq6v>>-fa3NFh*Dea-rk?RvfU<*=Q44&i2WdXAoF}gk{~6v6hDkZ=8}~4lc}KVI zcvC1BB_S%J2ZIruv;01cle`J6lRSR{;g#+H)9IwnkGh_;6A#atXx{G z5B0bc`dOzz*Op}a%YbP+!;o6J%2%Z)~|8TA6n zc>K4EKZQ25h4*!Trfg_ddvRTBbF8rHVLOZ)HQz>+XSgMcC(NVf8Wbw@^~xwm5?!da zt3#4w_z80+4EyRjm8ja9II(`k|LXZQHY<$~k*!NMqFFbzDn;ZK$LeNX*r65C*pa3) z$XqaO!c01hqF{6(@kw3$v^2mfr4CP(jHB>Q90{9HY7H1;7Ewm#$|Uo-6Iafybhv66 zhmRUtUXaOXUI;&sHMm$c0vJTqaCra6876#et|@f1UPK<5JA>TQN@%&X$?=?7Bz|dU zVM8*VQ3LF3^59g-C_xvJn$?AFCtZUM5&`f3e|Z^$8`<_Z-cEld-B9G_bC^v0G7RZj zK|SK8Zq;-fey?5{(%8u znKQiSR%m~N#$#Ga@ECyx<1R^&cYPTvns_`G;!MTXS0Qm=RWHKQC4vWYDn3T6LD|@n zAbDvRZW<2dMAeMQyp}{sbvQV|w?fRljp#&mFd*ELaNanuBy7xsf02;$JDdxRWnBZI zav`vB{g7L}mbA;QD;Q;ng!7#=_!LY_j!581ME#zyh z^N4EjXj0>A$1ry4)c#@mXbM+J&W;N1PqO@$g&fA17w7x%xlzJFP|H8nQT0*`P_u`G zXsCvlN96QE{=s#axXByfYDm8a+9-z0Oj(wSJPqwLo^eB1|7p5XCeCg~p}Rh6k#~TB zA33mP+%%35^EZ)SOHqDiT4e#4Df*c>UzcCw(dP*-WFgW&u=megqzqnc3F&8(58&O~ z2l7_Xu5+{(J#nCWuuc5x;Q3F6-<9eC<*}bN!e4B0%dRgh4yADF_tk_+e!8|3Wi(+k zjTgRb6SeN=c+aN3y>K1p-jh?*T?`#DMAf*81UQ+|z)XsOi*0ys)ijm$v;9>Td4NA^I2N4PqT^G@%a}{~I1u zQUk_mymAB*CHq@X=*er8EO2I)(q+V(@~q8cNcc{0QX={dnO?si&xLKzk$R8#cytz5 zGG&p%f)`rqdwFum^%;XF442sPYvQn~@n4ru!+Y0R92twLO92r3S>4!XXRQtWKf7S@ z(sWLNVMwRH_}I_O32^0NzT&A4Q2Y}YID{uQ^HRm* zyzGsdbzZZMM^^Ip^C#g+uE)k?Y5kNv=9Y6ZA+);xr=4;N8^Uw(vmh@@WpF|UKD~t+ zXGmVS!HnogRCCQN4(rSQE4RQjnvBD(3t+#1m73JXBm312NLxUY!Nt@r&SR7cHLMY1 zJPha%tL*f)z3R+`2hWEG&m2yssA-;+j(`NVkQ{U)QL4*w88 z`>fncCn*5xA@8&D`f`W(0BCt(t^4;FH}n0=|LTVtKde&H$L=H)^{L0dArE0qUqPw* zl+(Up4xzPrVGb`FeaXih5)Nq<{hR0v;_hV3UQwyq%wDZi3>e10Bkmxy`~}9cO<(z_ z98wQG3O>BG{9(r&k`6hGKHRnZ;l~0P#;5&myrNy+|$F_FafASIk=b0JA>qbWTyzt;d~h@)o%Gpljm3Uts9f zK48sdqj%Rz{K9DgA$PNlzK~;-BX^8S{8DKF!FMiZui;dEf@yux&iQl!poifl_>i;u zQpcA|A!e^aDbq=ZcKzK1gy{DjNpZRL&uj~R_=LlW$NplBYfbo?fT!I&Uop+xDHo)P zwNDD)wEcTzJQry5@ZkXJxV}h}C$+5(C7(PYH?6|MDx&i$MSj?K4*uQ`Oqq*BD0Z>s00Ye7Rz|Ahhp2!c7peu2=# zFJP&FMxpQAVnXYg>$C?__&2*G{~V$3isEUOlL@l~YnnE#qvQ3Y+F^K?>5_h-$WI@U zqj9op0o;c_f&x&@Wr5GmH~I`FgEl0sh?LbhAnfp(5pkQDXKI-(&SZ9sToY?TR_q#lzA9Y)s z-fz%ZQ2YL`^cZn0sfPCy3w4uaVAsu|cxY|#zlF{JN|P~AH$1f*sQG_x@iwL#Z7`uz zGUk&=)ri#kS}K34t}VJ{W6Fz(UFrhq)U}=p`n54;6=x%QRRWC#?R$J)-wBBmY8>{u z^wL}UaqYF%`n*t@AB=lh4OISy?#*G~!5!sg_8^m$lU$aiNYa0;&xMSh`rkTwVBat% zwAywCf;wC<9;UBz`2zlResO5df|6<~P+EUQ&KT{4Oz7I-o zb5r)Sp^@&%r!oza$oB*~#8%%>@ju)Hj8~1^w0gX@M$5mEKaME%d8ghdIcTiQ>>ua< zG`0`Ae`ZV~{kUvy{l&hFk)mbl!0Be@KFs}WmxcMC*mt50pSmHyLer0G9`pU#tupdO z!CqVU?yb?Tg`KzJciS|vIzZ;TkL&s zcGL6myA3tWHqw0Rk+EX*>gaQ?lp2LY`}(CJlWqE?UgYJ~PpYbOhT|7s(Jja}S$>7Y zV_KYmQ#CEU%-+$fZCmy;+PTw8h4qy!^@jXz#|Z%ClGiqY&A0shQTX15(X&J2`aksP zB6ku4CH(ap$eW;iZM#W0JPD!-`mv>B7jB(z!P=h2$Gz8QNoc@U!nY5kK)%-4n#6W5 zqwV*@pSR}$r1YiPD3adFxW9=y=&Xq{YVzg3`+LVCX(%%gkiSQ3{)dA}oPboSoodSw z3C-u-^QzCSoA31O#bjX3gABiSv$h!07+S*4kqhC&hk*BH$em@l!~v?4&V2AcC~=~!<=0>Wy0`ovRJ@$y(-wR zv3qOjwIiB+66=#Tjnh@Ryj!Ge4QrG-I8@T!8_}FSQEcZ!waSX&%7soeYNkJ)Hg;Cj zH}Pifg*HgS2d~)d8@^!8<{&-Z$riy!?3wFIKh zr&@;TYMTVAuG6fhOZ=kiv``do#Vv*Ma?N8ca=M;6VtMOu@kn4ERsji`6n(t((;7<% zPWtO+!@dqMQLuca^bLF-$HxD>&te|)QoA6g?gFTf71F+uX#;2iMwIfW*QdqEl%pC;2UskqR zWnV9^u}dE}&#q(o)<2wMlt!zepmYS6s49GN$#?mna4r6wW~ zY7g@R5~_|!Z;WVh$4?|>66heec7eLZXW${RI4uzlrT`VlC2AG5j1%*#u?QY{-Q%DO z_pDGI*DFp{IZnafB$`S{LY;rz*WW-1hAAY9qIqQ$k`2Qj`?PPq@mO2Lr~rA6EZI9# zSrT<-bcYu9%0^0Yffn-Rh0H)1xK&mZ9%+z;7J5x8=L4)GfRILFbcu#FrYuORjt0#C z9;t9cwR9w_!RW$+tw=0S`gV@6hhmj0+)LC!0{vY(R~_B#tPepuj?CR+pH?Au5N7yT z^Osu&H8^8&UgegEmSVE$Y?z zC3LXhE~t1r0$uVXnMLFd4E4O)3@YP7*Ri@ZUfl813E{#vXp%CsG?j8gA$c+uf2WhO zt-l6`(fwlz-F#u`Bfznq3tz8NZRw;}Uo)ivbQ0a?8nNT!$dGj(TaSkkh+ikPZ3oDN z8HsOq!+!(%?6!bgPPPf;YRVu#3;g~SJO({2LtK)~e9aZ~z%-jdTw2fc+RvDWxK|0` z0$lpVUG)Fq>Z?E@=zs*({fXI4oys!R z_`|LtLtJteeD)XcAvRy*G-C$37YO;FHT%GC=K*hDFZzjZPJ`R2&4RMFD+-9+~2!4_aS%O_tgSbSQ z*)p4H4Scc-afh%pV6}7+>{>0z1z+=lU!$1mwV266#Od^LX6TN|CDai{vKUte2{6dk z8A!^eja*%z&oXbY4BpP3hU5NlI-}e5P7chkl52*_eYxDG8|Og1^{e(f1=WEU=*vyL zl~NgQk{!THK2%qsVmEDWhxW*%laUpk>S)V~$fO`XmSHG;al5E4LCoGdS~Dvg9Vk}X zI$}V5b-CI=8I-zpk_M_;K^a7*APz^eDG(&54MaCrtEnwC9vkI7^a4Rjs!C@ujLN1=C*bQx1tCRHN;CXZOfS&T!nM$Zo8 z5Y{A4%IG9Lvd3(Tfgx6AAUeB>P`hM#WpgF*TvOHox4Wz4&PKWRK@s(BU{zOLMc z??VAtV83&fn7~Km9KdIO<{sO`-9UD+C|p}9mX+d~f{_#myfEO9l+w*RToB!km6h+{Y zK0M<(waFN=~j0I4Z@I1K`xV!ou8ph2YqdI$|jF9eGZMCWD zI}OOYHrO!2%iY9UknXs4-_r!UjsZ9GpHfEY3bl#`z{v{C>88i5Q|0slAn^8Z08YoS zJ^*1$H$J{&kC#n(n=8lxbX5*$9rpL+dRt*aJ>1VXIij^6Fvwg4>W^qGmN$5Al97|M zx3Q@4mMB6@WN~ckCb~{4;;}I;*}6k20GV_)pWEV+`bZL;N41{gbMfGrWgmWPFxW|o z5=M#BX(b5flvVDroZlp=Wx?dcskG1)no^P_(!%m5x+>$pl9{&2=$N3+Iqhe0OW8f; zMTSHz@r74ZWh8@l$7X2$r537%(TY^TX@sd`*TU7X=wfS|wX+ms(_Cl<{hoohX$nd` zwUtMTfuJB~*EechLQRe+BtdeHs16V`{RAaGCxp`a794ql6mG2$l+2dS=wv!3q6(|A zrAG%f`cNb=@-%5xU$>=4f^(Qy0wH+}+ooOFs<)eknM_GZTN|&={`rnY?FoNkzi{}@ z-9+cjlUUF0F_#)|8U4yd; z#)nE>wXCg}6yZhAl4?;$7QBiKYPMZ3NhVx8IO`0TFAhrPFKHYS8q;zFER^%;NJW7> z)~((F(xPg_?aNAj`sLi7ul!rGtZrKOw`Wbbi)Fn9)eE(&u)d~`&u;~9N8W|q)%lwm z6w#4m7-#2?taS4U(oE0Znvfrg`bUVKp~n4H9gyA17D0rSs-(Pd|Fm(Sz-jD=z-cCh zz;P7mjb*&SY2eF2yu6Ol%lYg4ncEg<*yARiMN)C{NA4Q^d($6byLqOA=fc$8>8b2a z!4Azd@-@gHr02!0a*h}Ej$cRbqLy|0Fz%wxeCx`m7%%Ij$UYip7*X`;*`{ex?mw!Z zqXu>BKC+I{-?{Z`_||DrT)K?~0JIv%FIv~=b^pl#RiPN3ukHQgfDV2BsVD2gFJ-N> ziCr`GJ`EE@Px4*cI*7C9q{VCWw+?-rWAE3M>%|reAM&X{4c4jKdCiQO4;UW(%$WQx z%Ze-qU)Ain4pvm|0H&-XyP$?u>Ra5=r* z7M`y!?V8XmM!&Y8s2Hu~6_Qp&{kkaev`LExGCW$RHYbT8EyoDD$u^Z=hIod`688h1i2Tlh+=o<5)?mjLp(-2|NxK0@?7i&WC>v_jO!F}4!_(cuTdlmNB_nQgefhpn7q4vZUz;|$1E!CSR z6>UD+u|wlw=NU&Bd4u|3VHPEDGCC&FKR+oX9-ZtF&^>15RqkVk$ZRy?)Wst#>WD@5KAb{Wf@U%I<^{VChDWItbRkeKg9vg|!IAjIF~Ndf*m1F)F~%WCnqvESQ`J$BQm z7^YST#|Zh4bw48d+`kqiXN#aNo)aeIGs-b-vCv!(X{?@Nqr9b%XDNvIquUX=9k1t6 z&3>c`m|9_5PJVF6o*h-5SZ7oCWg__B{-r!$9q<5qu2;<<+>%c0L`EsTE(Ba3P2zkJ z@&ptt;O{c9WYzl=Rn9^;LBgGQJ04WLSGoB%Zt+^u&@?9m?B*^;=REu>c!W=@XQ<8$ zFA(xP=pw}9?ry3!9Lv!9=G~Q}$d#+em9xl|yU3N3i2r`r$B(0lwMz_P=s5ppRl$`i zZy$O*W(?HumXz*!8@3Lbdf%wQecC(sa=F_l5OJ%T1Mzi8*m%( z5>yg3G8(f`%k$7DZ^`*+aUNZ~(~RX#9?VAd*qXNO+;O4}l3m)HHX}VLk>YN5_2h{I zu0(YY)$i>&5BTgy-Zv5u+ljw-!akaCgAI;dhMwXBcj`=caRuIwQSOL{bj|wN_5QGH z`!>WIpreI&8aPakpgblQn6E7oK)@WQYVX#}NdWa5L{7d?3#L!TbBFFcfA5vdiw(5} zdVq>d10(B9VT%byz3<$-2kWK=+X0L*acnw*jLFK?SRtSL;|u zglq`koQH$QmZr%dLJY8X3}2J;Fe}{l|C$61+17PE^X`N^QbdIFAD^u_cQo{MhU)V= z4dop`4c{JrNb(?ae4!mD`G6?ptH;fv1t2d@&%!w<}a zrOzRszqjC4sWalTnIHiE8za6>cMXp&k2CLz?HDfdgp;sMS$=uSmBc&9anP(*Wkk)M z_&P0NYmdF>8Ppj>_E^tngBshGT^#4n{^RZtX$XSVAs|s&~{&BOpz6#UMwMvV%AP0xG+hzq_cn(N4QC?wgU$;w6m@ zlTnGy<(r7k9O&&0a)QR~L*8s!&N$gyG zAADM7FMX@!t=N-V8bK=^bl}~?x83OWW<~hd$DDmk{C=915q}S=BggK5cUMrZ+dEOY z#Lj$ed_*{fcpALPsOzEOZN-&!0X=-7)OP~vtr6uOsr+Cc&~C&ky#2_}WQGVt?eP+B zPb}af;(ryk0SzU0O55$*IUd=rQ+cYejR;QO^ocAHH^aXZ_UmV?xCr|NA?fu%$cRyt zCd4(zg}0a8@6IPZ0>}P<0clS`g!k?|`NtG*2Lz8QRr3mk23vt4Ot57IfjUsMk$|`N zVp3%;TAWkCcIF72$SP#RC2()lm79PIhd`$rM=DuQI7X4WO(pQqvdwpN=H{#y9JY~? zO727ksQEXKaHCE{;CC2*J62*aQ^{C7szeyYafFn)rH-JQ#k12B==yDc=N6cvBPvil}pJ2!T{fY6zlAh)?J5X;njMpG#@qLunsEd6nAlgbX^KIwY@qKy6FvScJM$ z+GhQ8>(C%hRPiyr6w&KgL@E2FuOP3TI97-jZzCo-14`&om=kZX4b<*%o_l_lI8?#ylYJ;CQGbfSWvoK%ygu8b9*fZxrX8FMe`9XCeyu_XX>Vrvp zVh0bAuL1a13C@FmfoRp-R#LCXj0=69h5TosZyA_pIE)K`9>shraWN|@eyx}}h_Pd0 z2|TMt(8mO|JG4Q0O5=3YTX!N)8K>gNWvW-{tFwmLJ-~Ne(p{7T<9Dpe{x%OXVRFPC z`n?TV(Mw0>G)NF};S0<)1>Bo%GM3$r+r0Sgx=kNYZ8x_6sp!X8WIH^RrtTBnK;34- zdan1CBgvI3$(1w7l{?9m6N&%6=*GF|$5LebbmU7e>aBtN;HMy$_Ve@4ob5>Rbt3v} zhvPt~EFnBMLLw(ZA~ymM=s0*`TRoKOA?FXy&Fi(@kKMy}S+crLv^Ht0Pj|Dp)Ek63 zb>*{rv6YvX=xyUDrLdiY`S9>uy4?;TX}*8H5qigd;GWXqh`X(%@3%WFhudns*zT1? z@QEW9r@Jau<9^kSpX$o{xu%O}+6uc!9@o)~RZ?GvsoP&1v9@YI!X2oYCD`;tL&Oz8 z6%e!c$DKQiS)q}%2<^_82+Q==5XQcR(KZfq%e)T(`AEhAWZf6r{!ez{obC&5Afk%l zuUnS(>cs&QNom$5Hf6{a-ko}uCOL+j;gf6;9)pS{PRL&~?)O?4;jZ^SeRwzXZvT|r z`(f?s@+tF7ShQP^7zlk>cAl}ej}Do_{KU8M9JgF&{elKZpm29l~`%UvcPAJyceG6o+O=6og}plGYvTt&*08jHg0t#Ff!LB*lqn+Gn8Va z#o0MLLJT{&U=rj>br*QSEEfGBAIHL1boW4z^m-VR`)YgSsvn;@kHKfItV2Z5&?3~x zu#O~7$lfP2JD*ZbFv!!S_1jbMS9NZVYvXE?+sMBZ1fn$Xjd*OoMG$7cRzX=EC~6O? z`1%T`ai+#m^9W#O-pV2TrZR0J4lym8$vA6d<0n?Xq$71V>p3_*k>EBn2Qow|7aD$O`RO*zk@*vd?ykW$l~eo9pZMJtsjdTmaK16 z;9gI9GDm_#4T3}K!mVm_`Pz%O@Z{WL7{>d+MDW>Q1(?`039Vlm9fju!47fK6T&t-U zFc#NGOK=f}N-rE@mM{@S9&Wg+ZoxxiXN7j#X192fX}X>~m}*n@JD|HM)9OFL^KVfF z?hjy;Ag)2KL7il~3^~d9Z+%Xm*mYX%wmY3nmLc(6zS@1Br$`$tus8X5IJTl$;^XJe zR!Gs~+6XkopI5vh=uGtNDJ3B0w9Lyi%)D#Hbd!|K5cugx$=&ZN;}2B`S9|U&8e=%I z|0J7bKIH`8rM~Fiom}PiizmMp`aUW-FE-fKF%kQujHR|$~J0xSPV!nNRR%k3B=q@juci|lwQ`j$~}I43-o4hBc~m#eyQqzYo7693{dbv zz_P!329jx$#S35-*l>7$!F#qaTIX|TF@*jl6MOm1ekS!ScY2cO&D=A2($C7i_o6QM zOjPd)B0d;&tH`p@8|VFN4TV0Hg-|fl??@A`s<-Irg0;M|)$bQbH(e)EM{qtx>`fIU zGUXDX_`xvX!H@YFF@w5b85d^>O`*e^-3*Wi? z-`jIj{3{sKv!neN_Qop}aXnN3u4!(bLP`g^TVnNl_usa{;w#?;`ZV8s_7{e~%=+c1 z{JJ&^xqna?r0+iW;+e#ItYF=>cu@!&1phiaLrmc@OEn1;5g5fn_Q)5fat*E zhB1K=3;?3k2IIk(=S&6W{govK{}{$_hCljH0GwML(3h(3Lu+BRTsf~+ve+7U0dmzr z@{=^|mFkS^HzH&lbBh05_<_j!<9;Jcos6U0PAp?`$uhIN=kYd8I%D|xN~LZ5{1yQw z|HiHf>Cw+(4ReA2*Wih-Z{F%q*}m8R37Q6F`TZ(oP)_x!VqnbyuB$LVT)Ab|pE$kw zmDv2>00030|9AnUS8Hq)MHHSb4_nHsyvw6rP?18Bf=cOw!rZ-c_inlSaCa|Kez+99~yp;s6hplnE1mKjh=IMXSaI` zw1iD&_U_C#-<;Q+GuLMKu)&P6jlXXB5?-tpS}v3JHDUU~EEs+^&$TAiuzlC#Ucsfo zn#~=X)~@MztSz;+u711V zCs@U%Lc`rSZRXpaubU8OCU{df>^#f{&;4u#{O~tTr_V9a<0_LIfHSj}Z)m{|IK7ZP z4E=$Y7Y^Xp1ZarG;DErhg_#kistXq<>8T^`lg8o#evXEQc->WV!r%$!!R!#szIguk z5%6MC3hruVQVP^_5nBrVZ^O>hsghE}Sl4rWFCA-G!zKa#^3}Jy;Ke3FBfCW0h|h43 z<1AYVt%RkZKQgxw1C5P>h6^kkz2+|$DvpwCz|MmhJGE|#fM0A1Gz8*_?rU{i!y1P& zR>_XRuh)0ioWVt9&}dOkx0PvRg;_s;a~h}0!>Qm*EA=b|+8>$IVZeB-Mj!Vo4a^2W z_P@e&2t?g+1BhVVYxVPb+Tl*CZ*x8NYa^i^TDkR2Tro0Sq2?`hnXg*0B}>^^m|MSV z!>90y{Mjo<;4m8kt&DX;|4P?_UwUg2$bc9M*d`Km@%pj<;D`*5jKDMO7fjt##*nM0 z{r%dBbAXVpc3Uwj!eGWoRYnocTHV@s32@TIrsWt#zzUWFfRnv){2h2#LPOga!bl6J z2s)ZL|LPiY2vxYjaUuy8Ls&vI9N*s#uQ(JeQ+0%gN2#~CBUvecMxALtj9?k*idhyH_$4Q(iH6*SZ=^i7m%(Vc$U%Cy3K z@Qh`}>)^#6 z9Fl4#O|gWMW?A!IqL-daV5m+KDRvVm2VMPm+vnTiYce#{Q**3BATnjRAj2r*$=mau zK2>`e#F4t8F=B^=(52*Uwr?ZJF)pNyElR5m=;3X$#I-h?qr)%g1VZh69B> zE;e@{b9us1(sWEZdcHZ_{1 zLJvw+=%N;;P-Gdw-i3a3Rrk(PcuOC0$KkDXsY47E;wJ33b*drq>aTflL?%Hd9Rt2?wL3hMxuuL5G=-997i}3p=Xn xIkk_8PCTr-R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci deleted file mode 100755 index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# diff --git a/cpld/db/GR8RAM.smart_action.txt b/cpld/db/GR8RAM.smart_action.txt deleted file mode 100755 index c8e8a13..0000000 --- a/cpld/db/GR8RAM.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -DONE diff --git a/cpld/db/GR8RAM.smp_dump.txt b/cpld/db/GR8RAM.smp_dump.txt deleted file mode 100755 index 8062576..0000000 --- a/cpld/db/GR8RAM.smp_dump.txt +++ /dev/null @@ -1,9 +0,0 @@ - -State Machine - |GR8RAM|IS -Name IS.state_bit_2 IS.state_bit_1 IS.state_bit_0 -IS.000 0 0 0 -IS.001 0 0 1 -IS.100 1 0 0 -IS.101 1 0 1 -IS.110 0 1 0 -IS.111 0 1 1 diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg deleted file mode 100755 index 9811367..0000000 --- a/cpld/db/GR8RAM.sta.qmsg +++ /dev/null @@ -1,20 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1631597733226 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 14 01:35:32 2021 " "Processing started: Tue Sep 14 01:35:32 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1631597733306 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1631597733426 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1631597733476 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1631597733476 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1631597733536 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1631597733876 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1631597733926 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1631597733926 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 12.419 " "Worst-case setup slack is 12.419" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 12.419 0.000 C25M " " 12.419 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.393 " "Worst-case hold slack is 1.393" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.393 0.000 C25M " " 1.393 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.300 " "Worst-case recovery slack is 33.300" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.300 0.000 C25M " " 33.300 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.146 " "Worst-case removal slack is 6.146" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.146 0.000 C25M " " 6.146 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1631597733996 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1631597734016 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1631597734016 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "374 " "Peak virtual memory: 374 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1631597734056 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 14 01:35:34 2021 " "Processing ended: Tue Sep 14 01:35:34 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb deleted file mode 100755 index a3f53ba949eae29697121a2931c6836e45ca017b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15877 zcmZv@19T)&*Df3<6K7&$V%s(*w(WFm8xz~s#F^Nf*tX4yoyqOY``!C}_rL$DwYs0P zyK0}kpN&)1RfPfq0#XGB3jA~eZo_Wm892OJh?a1w&(NA|@hbX(Dw~BO);qOK0Gb-L_yrH6S24y#KyH6#p{> zf#mNZEQmLuk|W_4!V(e+0q%-F6Ek^u4+`?mHaf3OAxt2lAOr-~M-TGfUL;=wMpp>V zTAMFYdLEn)7lob$a`$}vvvMWWw?8wY_L zGoknR=avkjc|U<3pVXvV81#sRXI4z&$g#a=J&znJZ&96boj^7zrdze1tX%uv2{N;E z)Gf|_U`qi{M{<W@oQy3^^}fN!hkxg_aTdfH@DUPP-8)av z7MIn%l1mU|O}r+hzv>BUb1avrt@7++&jC`HN%0MYHyc!hlxlLVI$4#%hhIvrt$)R^ znIk*8H+9J_9$(|cp9C>my-RQl45^KUa-`*h>(0lI8UL|ns-*C`D2Y@Z+7c5jW=_N) z{N~zYOcanodAwso%jp&;*Z$sHNtzP^|f_DfYATLYY*+I zRv-lGFD(1jd&I~i^v)ZXg);635)R4V5Ofe7G>EakdXl;qG3`M@-2UR8j;pD7gYW`2 zxo7E4BNOxuGk+mG?Cps`{fw%}hc<96!2kxU0t2Ubv7Um)SI)DYposnB#rro3anKpX z^A?4|2!h3vd8WS#xAVTHg!>9QJXj;#xlKr_*blV18LDt|3{~=2AFRv)Hr}pn3zZ^qV(yX={~W2E!qVsl zu5c4F9WYT*GPU6(i0j6_2|pM=cI?L)T;0!a_FD8>zNXUDJFyf)EC-Vc$~7IEXySFW zTPhm)-L7a)JK~B)R1rnWWXb{bhQMVA8hMriZ8X0d+fGhyPVb`@DPK^}B_rmw5IhPJ z3{53E6xluAj|F}aD^IR{ZcoY)wJlQxvSI~`^9KragY2sacAL<*X==!}J+^{8tO=yS zcia=?Z^JshYT=9U05#-*ddoTPKDrtCYj?q(bJY>dnTqezqd=A?0tE9Dq4fi z7Z3$ksU#>>AU{q=B>#%EC*@{e?`2H_1zXpYw-odgjX9>L5Df~ou{oB3r(w+LzKn+- zXog2C`;w@Hk7dTu|1Oe;E2gtZs$U=Bj!T~?1iEs@KIEhct!fc+&V61qw^AkpfcT5` zmaYfFYYWSoPGE4<%7wDDO1#&|hdYTjjiBUY2%lssN@wFKZ`hqaBje-j@Emikq{fSD zb1~bADqRaVj(ove7`zrK6aM>aYQ0iho^|RSenAmMAuglBB;_$#Zs2G|wj=X!W8`zT zLQM8wWbtx}k%biyC;^5Cq&zNjwCD5zF@CPj5t?ogEi~ObN4WG1G2Qcms>z3UqAnPb z$df~inCNeC5AmPekjY^P0=V~%}M=vLPV?A6*VIKuQpoD=u|6JDok`aiHtL zk0}%M{MIw?OQ*B<_MzK_kA>!W;YhfhHyO+NzhSKn9HaMFsP1lbmMX^n)g&X3*WIY^}Ck=1-E#%UBU^mALsi%u^z7s2rb!ZvNM3FZ0UM_#$QK^S7D}Z>Et^{F> zqo(ztsSgxuyNqytEL(yoTYz{qKoJ`r607vYs_5^K+gdxOn{=XO^WEsk{;~EBva@m} z&%6CiZF7V=Fm`=eHvm0E0vzC@Ov=te zCPFqaOc=v1Ot6(qW5J7%GAdZ4`^_75qj3s#f7(8Fe|-^3hL~ zpt?3668IbX`zhQqhl zSiv1gaiE)mLv_s4s zm-SlQ&dhvFj`Tl!v2OORcoC=a|PyHwmFe;!tZ(0`^xY&Q?7;ts$ z)=j}~ACN*4RqRUq>Z-Z<`+f7vW!?C4NW?GQ^M>DiwH}4CyuQ_x;19e^LFWO5gaw2@ zi3ePXOKWG4W{~E|uReyuKDXzC&SiR|_V9~c1Zzx2$Wixs9zQF$`!X8S2g(g>*^A&D zvJ%&{j|Umf##fj(bUDd5KnS*x{|6QuL;YE!}x{V<=6BPra_l?F>);d^Id2 z0hpZAXSn-kHdm&=(DR?#yRv#rjOLfRk+OTlRye=vj7_^8_((!bxlDWs!*4omubS46_A4qx z29Bu>B&sEgOSQQ38jK7D=g)UtEb! zXX|x*ImEeNzSoOe0m`Xia}K~$ub|6&=Qsk=SUlIAcEk}&H_taoW*kIYvJE{uR$RHn z{u#~_1o6&Pj?zNec**aZQnUC3r2R80I;|d^JfO5^&Qz^1nh6fv=?Ep5AWqz8h@zXK zdGZN~msR_o8Svs9a}LaWmx#o-Cl~mI^nR&H|<%=&IFjVQ53loGixg}{OF;VR_=DlfrQsUAr5s9$jl-LNH5ecvY1Fx$7ay>F{Qv5?Bibb!Pz~p3EB>}2dE$N=aVB};1DZu2>8+%D(W82(gCg0C=E7&{Q zr!hX%fWc7Sw(ES@J12iKEf8G5bTsnGaCm|aZ%8LZkL?2xt$IJtBx_R?J8|YD30qsZZfI0eDlSv0Pp5ItXjeX%yCY zci5CoKhHe2@P^|jU#d@nVxou`US%C)ab|z?e&v=N-6kFqTb1aG-zW7z>u|>1gNVXR z0Q~X|`7KFMh=Uh{I6JZz>Ew(izNFPA-IgfzVd0+8_Z4d=f2!%1V?6AVchYbs-u8-`Ryup8vw` z&ile>AaXI)1j4QIW$pPF=f}8i#O%{1HHCC!KIuZO7K*~+mlNVIvqL{n#5Nl$ z*H6^QkHmvGKgwQ&vFWUl2HLLb23Vyl(Jay%akr+uAeq|A)v#!bIxu(z{K0vc#45AT zRobZ{r{3OxL0fpk_=CV{UIoSFb|gqEDtwzvi|Q6p$s=4-HXOt~e=%}e*n&fk!DJoF z@4KdWKZp+D24!T!(QJ`d->+-f0B>iT{pOIYinr6e_gjUI3oE;a{MQ#-gq-dSsQg)D z$8U(-(^0MVT&pK$(*X)OkJ{mrfPkR|u|s>I5&o3e`WE*Q@=b%v-Dn=g(Z7r+`u6@e z`KTjC@Vd}XreOjl%zXi(c+<`0Y0XxeOZ4>7^x}^T=SI%@E*!v7?XRHiefy?)Zyh0nOmXmNZQ_?bNNLh6>nx z;X##i{kgS~t7-!MQR0N#Li;sr4EMXg={H`WssnI~Ff%?ebP*0=` zHLac(%tJrp>)J=2h3Lc)8gncqUc#uYc&D_9#SmJpFE`OGM!tb^W@UiQtq85CiwF zEQLq;;`_usaAaO9<<2futs}+%1xfo+;zuJlg_%WXJPF2Nc~kD?(P^gt3cP< zW@pPc({a}?b)d_xk3|A4WJr5XSv;5mkdR5t{6CB+=9-X*)p5L6SH2@A@2bbp53@i}&OuYuS9F<3WGpV3>7dkybVJL^x3@ z&-V#$8a+sPCTV!u->TQ}g-H$)9}k>9rYVSC7?|4 zC#H`W!b?1Z1* zP#41AcIIGc!)+;c4~PKQ--&VJo2W>F(%A3bvg?Cs2C}v`c~aU7gOePMcce6`ojTOY zaAGbC;$>Oqy!Tx3KHw9z%y|#xaa&RM^!!DEA2qq2?_(a68b6o5vJ$rfzPG*1Cp)G{ zS@@MM9I~rQA6Qk(x{Gyg^wL~5DO!j+;v%HpH~7r@s7gC#!xKDQM22BaMDWfE@MLJg z1K6drLw{kd9O98^NxB^E`&}`pwOFX!!2H-{BBU}Z)(;(6s(5miaJ*RI^GFLKmZDxz z>YR-?$47*m_D4=us#4~mfwT~=QY%;N=fp+LD3^3`DFdy5KcSExWuKm$ zhz1~cM?nNgLh{|gRB}wok%9E_LCVWWe<57xWX39zxWYjdB47Ao(45bzCFN~}iBWm7 z;_7MEe3c=<>o$?Rb7BMg8&7^A1dHZ$)SV}KD%#%VP-!Nh>}(7Exm-oydyj3>x1X5i zt*Z+?f)?s0MaQdL_sJM0VYv$Of%bg-y7W^catJxi9vD#gH*v=h;)|eowY+m71MkL~ zgv_|EI#c0Cgq0Yvnr=HyUl4z8GkL3alb@e=W^E>=?){S4uvCwc-DhgP(4UJxKz&le zy55@!lqm^{_h7-%t5B(GPH%Em<$A|B%|>M&gct#iFQY4V|d~+^r*fd01%dl|AX!i zi7D=~%ot&FsEl4yBPD$~#VaTbMkLsMR3S=ZZ`mW1Tms1>5{YQ|{O*4*l} zaXznR(>L`_m6Dk~25Ufj(f6sbdS|Z%?Zic)U_eTfUr}Qj)E8<_hL(neCM(&2iP8qK zUM;sml~T>fx+>`#7Ok}@YnoOzotwHUxu!VwAG6(6E)X^wE~kb`OkC6%-4E7Rr4-TFUTt_fQi-}-x&X)5y}PU7rO9Usu{Cty;HskRS+ z0_NRvrDB!Md^ju$|4uYq8r*_tV7k6=R9(PwIey!gBvxOW(^}h_Ki_8?AnW!VtEXF7 z1rx8EbDePR3$&Tp^g^sT%^vv6uUe#NoikTu40ML1D>M}H1 z7=Cm-6e$t@ZX#b=BnQY_06ti=02f?0sip3533hd+vvR*9^t38%- zSD6)8s6rwGu_3))kPEs2MV1%8@2^SqaWZ;YHjNkY!i6gN%SMNGqm9IzD+c#wmak+J zqHOs*$^bL+H~bymbnCT`*(RTDdJC5Lvv>2-szo)S6JWGr4|c4!*?+3!8xK3@v}xKw4Zc~|v4fVV9; z%2d3@`=8$VkQnOL&;Kl6c2TZ)bpl;bJyp8`JL7h4zZS3{qweze+#GHR9?;z%w<;5R zln!yJQVLN+iDua1l(s<>{qr zRT|B9LT=lS&hMIl^i1FG^5mZ>piqvGIyWljTZW)6(LV|%)rW&{x2n;dK7~sPzttyg z*w9eAD;GLh(%N8=w=%Q?Tr$c^E`5o9a$7IMpRS^*cI8)jNeH^owY^qmtq_-FxIUJO zloz^^&-V@rD?PdZvOj{&6;;Z5JoDN1%9TL>QTFw=8(L?pycg zkg1Es=WJmtvT?ZH0DwfxD;>~O?*&Z}=!WWYoIyM5%+2Een5C{fp`}B+o{|i zM5W1jmR!-L{Q zMhia3BjZJWPaRi`Kf~Ra59dZJl^b^-5?;bQ1#xPVDl8(win3z&uS)9K7{C2gE1p=i zXlm5xP5rg&b-q+T4p8bg471MRG|b*#6zJv@$wcRvX@zWl;0arGc*>U|jk#gLEYqj8u*!e#91icV88C#c^6Dv8!AQE3VsH6N zcR?wAnCRkkTIphI_WQ?>7LCMFLTt5kP~!ojY!`pA%ln3Xn}uIeU1Saov-Z&@ra~%7 z>+FKUuch_z+49AG@kSL(CR=BV?YGy}upMVr%dh^fpsJ(gHLN&&*R|3#6VmF+C)}v* z7BEyUs>h3CTLR#Oi|3uz3O+?+>xWF6`*c5KG*n8RoPS$U$z!IWyTixToSnG|VFJW? zQFR-@bsU16I|oz}<*spd6V!cL+f>}l*qN+Vt%stubgS0lb=8(E8-~%EgJpbOx!RJR z0xMulVkXH1bg7pUbfuTJc;Ex%>52%y(M2!hv`-I zpMtCZ#Y68BLQo#@<*Z5h zk+uGsX_BKeeN{nZsJ&UenV>FRqkrYO)lVjWY}4R*(}{`vE{hh6CQ69;g{HyI6nd6H z_Ch>85uKZI2K7{>X!(XQeM#MpuJDv^N{xnQM(bbcpH~K6(N^8>g0z-O$>5!!{C%S)+X*Gy3_tNbo#Ok_Ob;MMEcwx969KWztogFo}0M%5P zr0#aCgcqt-;d`Aq9|d~MMrlTTj*p-raKzuE;0BHH0k-o(fjA1jkz%Ni^)S`v$6$~`dCx?dVuWjB93vz)tt z^F0t4LK#dKl2Ll*Ig2NBd?8Nm)DGwC`)HgALqF7Giu-r)s+52G$bK)gC~3_( zuf@fk>h0X)dgkK|r%qk7VEd+qRetHMfxOBLwUc99=SJFZaN@A}Mp8 z(Hb>xfKn*e!l@yq-#M7<%lM|YqswDMS1h)%(mf4$_Oqx0mVTs5uUF^^Iq(8kmY$9O z?GI2hk?iT|^wZVes#CtVM$oIL7093C*+HAEPWtsdQa|&WNLD~rzHWoat=nW#mqzzG zvF>4)o+KeRuu2+Penn11aNn)>r_W>Z2^Vn6*Yq*+nFta#RpII(*GVolv5!|W-~Rkf zMq7>wf{(IVR~XrZW~FL|^e1Bv!JVLL+{iABppg?-cRj4ZYh#?I|G8FL`(S1V`Qb#f z+^>x5gA70d^yhhAJ-I23T9>uy`R5`!@V?f)X)K_Je%93J5B5o$`eWTLNy7W?VX8|b zlm{AE1zOIR#;q0uYo6Iv6&A2`)NmP%)j$ej42##;3@VU@ZaDj-wy>(P^rmi(H!Gax-W z5ieKf%<>g@7Rg}Q`44AW0R&ty8`3$SjopOSzOOwjT?RGJU5Kt> z?DxJyI@|zH$JPG?0z|4Nc;S9)W2G)y+tpYB%nH8_9nE^Kj+a0=X{YZwS@Hpz8vuUK z^z&T*xOB{Re|SA?ZID@ChOQLBCJ9Z~nQj&nNu-4|;vVqJPli69)f-ZlBQP zA9VSIqW_@NCnWs`9X=t%KWO&}_su@_0zTnyGfUmYj>8MaobKh!$?b|5;63FMtClB3 z&NjHM`HR?{vFJsItgdwV>jJ$qnxGbLTG1!hTSZu~H~UZu0bLqV;x+x+-?z8=R^_v< zm2SN!r7sdgz`N5132kJ(e}<$rXj7keYdoqb`WW&rv*X^epNwvmR!zQKZILxrnc?}e zEB#GTaTCBY&sp*TiEghhJweKI(D#Xp249;OZ-K5oEN~#n8kJLdQ8YW4<|m3xe}F9E7JZZW{%(YP_&)hLc6En^3L$FS@fcl1&3^yVWf7+S-$HEPRXYJThKDgK9v@7Ii9H4^kd#m%R5LPP!l)me!sO zrSH>nd8Y%KE0V=zZ8Z$N_W1c$GChd^=}js zIE$cMnbV1p3s7tN5HY)Z4xIs>;5}Ixt>7`6$zGB7^VMeb{B{vg_u>>w5-j(DAzW}r zh{4PrJ_{A;?`$nA^*QZ-DzpaGUXjxyH^xOw=;gWyNSp}kR-+GhsS6e+ay88x^l-JR zzWr##wNCxTGe1n7;1LmrvUbS?pS~UDrgozScUde%>J2x%F4l*bKWCK*z8qS4`!o|& zQFzU|av`TI*4Gw;sU7@2hb?idV+yJi@dlrx z{lt)4E{v~2g-87%C$SuP_#8Wqy)l!`V;1Eo(xCdc6VKFz?ubZLFU4&ogRO=U3N?no z<7}zGof3gfy$Yc5Kx*7NLaeq$l^k^4k)B?q@|_q#=B~GDe|J$D@B+q?vh>x*z5=r4 zg(l#udL(~KBbd&EP-Vt5e+rUqCrGniO`2y#a>H@Z8fDMLGGSHFO#%>)4>X21f=y|) zwg^@tTH9#RQZx9bSu$kXc56&CpBBUV?7_*{M2HPzMScCEJI}coOm9V4m9daxZLh5# zAyz!N%-iAooamLB8-Vu4SRWw^{8On&!1^FutOtuSR6U2Lrz$mr?H<vj9bo16}N0(3kpXg3*J;Q_F7^pG7Wo6h>KM$-rw zYi?>iB9u_j=5Wi2lm%=5da1kKiBfD*D?u1af_w1d zhmC5n7k5V-%Vf9EokWA#YCuItbf_dKFg5EL&B+ux3tV})3XrNdXm!?8-plHkr-fYP z_*-1-?H$JM=#(_|fX1v&^bJ(4O|Yd0`Cdw8kFB9=%m6G0wZx{ia zGWC8t2cBhWY9d_fInDi1zpiyQ-}JXt4EHTLTxIC{#MBR~L14C}%PtyR=rBR|8lSB} z%7>HdaD4u`u^3&5rqpiC(+Ud?Ne1*;c0;H-33xT}3nPPe1$xEy1kn+lU&rd3_NUL& zNAV}9O`|-f2|jTbu9rkLBM9x?E^)n{899pSQV&%18(ZAN3TC^3j2PEeq6=1NHLP1XI4-gC%Of)Ipy`%n=6ywx9R*nawVh4jA&(`3uQf^|FYR9xK>WlGtf5CtsW z3vnZI(Y|8;`Pw1ol=C1Pj;}$qk;^}|BmEdDC}yCSY)R;CTl;zQ#RzrU1MGFOKr||t zftha2ffOV|;96pb{z>7XVcbkVI`I0Qt?yIi;Lh%1x!Q!Pv=*>v^q6{Xw4!@aeC+F& z;Lwm=N@6sED=6+)Dj>^Gt(?L8lRNY3*YGjwbgY!>okSV73(S^^*r*`C7hu{ewp%yR zH3fi@dV(HtbU2pHHK`5J&A@TCA4sj?z7Z?YVSr**qEaGWnKJ0usED+ZEOQtYZ_nQH z$b&9zhmq}}CQDbSSZkvSYXcKXC-Mk{<5mv;1=>CX!Ft|wOswVj;i9T^NB_wbbBVyw z&_RkmaKK3n4%c59V9#OUfpU4dIF~BsP(P-f?`1Vgrv=Qx%}YJ*1BF0ttJZERv4@rS&$XPLPSS!troVypBlh)!xzKf#~9zcVE)WKeDR~W zGg&!fVBFRm=7pNxPG2^lR}hO39V_N-9qlZDxf)Y+JIv~-Y-5`Uj7O~DVH}UDwJi8* zAuy~LisN{Wk=L!Nvp`jRG3g?ixe<#a^PbbQKoqabv%Sl(}33! zEKaR4z3G9f+QLw%Q9{#%&OEpbVpa?_tIwP?I_GPpTwgecLL4T=B$iPJcNXdZUz&|J z-i02~n})1zlBUX|YlXpSPws;x$P-zKz}KP&8x$sO%@jyWJG&ldSzuzsIEj$QmX@@)r zVV`MuHyDX@fE&{;yUvjYMih(R0a9B);z#rReOm>XiVEQ(&M z25>J&$;pQ=Ts>Efpo{#p*a2{uLvW8w%`z8FjfSJZ{H^~+kpUjdH(y27O!l&EHY6LN zebn-q$}t&Vn?=BBB|c%gie97GC@`zxNXRP-W-%Cq*nk~#GA6AqTsGs16WHa2IvO}D zq${~}gQG(14=c==Py)*B;o8lr8rGP>j_4w45XQB$sZ?~ryNYdn0^UB*e(S2=qCx&V}c1e+eB`3EgF?XXR(b0fosMgtsACHWbSke6< zJPRD22W4%P!H}l${DK7gwB=Gw1^d1)61W0jI@2;X7KLC6ldnK?wHqr+dhQH-hOQ06 zdPj_SC6E1m=~Z~Vt%3~l+E({C(BGV{14c>PQPBxyM&OSo9;k`znl3QCZwEut z24gJLjn)J_3yEH|;TZa+QqK2|0*|}R5HLjjfC`f}8OEQITL1kB`-zD#|H+BZLefc0 zcrh`J_7!|ua50Vi5gnz|>XCyes_s!FMA@&uGDik5WoW}rEE%%t;L;J;Ze2go8?iRo~QNAeTUho>M-FvEA8xLhf?N%5bZJT2w3QJ{ctIV9}cxys( zN++3y%Z}ZseQMU)%S6QyD@+OoJ7dVnFW3a~%jjT4oD{N;gr=D){ojPx{Xs;`q0mAm z@JNBfSOgI9OnAta^_^u%i3J(?yen+|SOj1*rtazN8EFaWNk%P`g`<+rCDJ}YV~j@c)RIAuOMTkQLAhU+!@1`Nr3(L zQKT6|cMws>z>2M;@lWk)Jn%>g;$b_W$oQdW?Ye_%iAri`|HMn5hIkOS!Q0L7^8nR^ z!@w_js9={-2XhWxdHM)tmTj+1sQ@_(+u{CXA%0;X9I+pqh#MZsU((ivj=3oV$nIJ! zP?iLoG}`qr9q2iA;bfvNa6ay4Ag2t!xkBf!j*kA2Vk;;^HOV2s>kM+E7*l z#loTn|EM-IW9g7Wio(H5R5G0hrV7y6PkP^gUPB8yV-bM-qvex^veS_>m&TRx`LNHp zo~;_XI{`#fckn$0CxMLBM)lZ#@(Y_ha8>SM8VGd4urV2!Lrm+V|L6tA1BS%d9a;3h z19nY(D#jv9Bk!tZb@F7uazomThwAf;p(TU_3X^c4TzrPjukF~ z{s=yf>aThf{}1WDsVeNiWXt|cdBXpT%>QDSz4O;J&}GF5g`D#?SFA365_8Twox4C- zU^VnH3j;&1(4^m)E_RL65h%y!V&wl_It_3z5@3SYT!%}PcoS?wiUdBQK>(F7!wXNk z=Yb_Den;I=VbE)WXnS+Q%f&XCW^2lEZ=+N&SjD>%mvve@FJ~9WB1j&B%t*B4@%x+2 z!`W$l3nIf_S()207HQ(wfrguXL*_c|XM_Z)XCvk&=spu?q~MV!0+>V;eq?fE-VXNi zLUyS13??d732u44PL|QI-u`iZL|L?HG z@ux`=tWvLg1e!WEDW?tEy+r9rlqJa&NQvvP>9ot4K$V5HZ-gLjU}B(7 zv;6``?bKq;rHK%`ziPdN|GPATH^zVUJ!sKYP8(@6B^B|}L;#zyI}EUDJojpWoq;O! z3|QOqLgp;IJs4`RwxbfjGE)o{A*Bcw0oGoR)qmPgO*eYlkbN5b^q2=coUHV-*3rm* z;h24=!}4|-8c83T=#gT$3i=8>QfXA}F+QP6^<%}RW;u9nJ#pN+j58z>$Y?vnlE^aV1mLl6vQQau9w_>f4w(XNDAD-ehyI_+FQ;4}03&LH*Y~2i!s}lWcgC&; zGN70FuTx{m0o%u?>Hp7RpMtVe)l5x4n%c3edlcQ!v*`ZNCGujd>RZatxqYI@9igV$ z|9f5d-~GYfdargTN-9Fl;9$fIDSl+)vwut^Mhs)y0xbqE2w1iUis24UzuE~1O!nyi zyQdI0f!zXElX#YOAmQ!+rNA9Ef&t*t=bp0z%6|FTe*dec@0-Ee;q?jQS@1loTUs^f z^rtq`>K=BCl&6+eC&a1MTv5M$m%gi2%fXWrccN(rWu}ss9K2mw{8|hw zL9ELtwL3i%a1$lpe^pDsfw_j~wr&aNn^=P%JGMRGkR&H7A0AB%8+rOSIEWm9A%sa} z;vW|`;AlCU7#=iARBAfo<@&py)y$5FOPVM=-qMPdF)E`jxdgf~Ap8wxs0>+AroXjXEnIe+G zCdu}IjgtHzVCdNf$Uh}H*^|vd>l$I4upgQaHZK3E$e#lCkijK|BcGiwQ|P{^?TY1- zTM7)qXfg^R`i=hT598gzr7&MRb3W8uRfp(a>e6y|f~97_?r$G^`p4GQeiwzKd`&D6 zm;C+%kC=(dGx&EAOhQB}Nh;-!;2zOYOh3hDs4371zPG6I+tuPc^Xq2k9lr59zGE^< zq_mJEeU$pR8=6%;$!ByXII8Y~3!vy%)_=)mj7Z$V#I|Y&?8eIN`d)sq6mBsRTA?v@ zDOt^OXh#w~tJ-$=PN{)7{oQGK4jrix{@#vqU0-3m8cm5-vJoz9_&Kb>Q0-vX+6#LWkq_YD{qyK!T)*k_D|8S1@Z-tj0^vn``T z1X;@r5!|Pg{3DX@UO!p&Cv8R<`u@43p8rNNu1eVrvGTifR9x$LX&gSy-SX8ep%`!py{ znW96nfsY`Ybk{ci_y@=5Q*G>yvFDfM>cBVkB1Y=@+)UiO=#hB(ygcZA(0_CI@f75K z3}*Ax_+cyO1`2qbA6-r}b&Sq)8g@Kyq!CteogL$#eA64!OXcEVFIqfCz1rYA zOZ*c$nvnIxwhgh6_5RVR78xn?_5pk)`QyVKbl2R#7{rbp)f#vBE;r20u6ZXPItw+GJqzN_n_gd6q81b zYkVwaE4T3!^IPXH_^nxM?=|bS_iMlQ-mk~&ae3}d zt5&V5)L0E{qk-RXV1Fs-c62B_a+jIKk)ubV1A=z_?H>@f%WRj&*g;AHT4jinnj8ko?r58EHBwnWanOe`EZZdfh}<($cLle z1lWs_rRF+jLjMNQ$PMxadU!o3=-tF4+1(4vLtpGC8t0R@Z-XsJubX+H`>#h&H=i@z zHcE_n%o#p~{#{ROLhZqSLGAPk7h(A$whEo(f#pT!j8Uh_5|~gL4J%dHy3@X&atLi! zPTiiy=7!CaV+Sgg{Y!Oq+@_b3iZY_TLl3fGxGcN0sn&-cH!xMs4uwX0<0^e=o`ga= z$^#i2S3s>KHpP_{G@8(UMn=S1E%M?JzB!OeJkB?^rG8dfb|fPA`KT$ZbkXWrYXRP) zbz%Bv8L{L8{mayN6f<_po_3@V^F0X;C5+JDai?eA+MAY2YG;~a3Z@maw}+Wf6Iv&J zWHC#WTOU8itwlw4MV2-#LhHOdnx`evejd{4X%D}g*2V!PVa79rmgvTv?mX|?+&EAg zR~`n_cc&-Y4OG0MgB5NDn2b3Jsjer>Xvr&IG9n%&)gs+SxczlAKYk;g)Jx&HVGhKL zxrdZSQ8CFxTSuj&as8+k$b=uR3>6uR6V=g0bqna^*(IcJf&ArkYe9_C6pj}4 z(;&E**~RGQLT?8gmX7jKy3;F0mA~R7?y^jd3OL>^j7O!_4ba{KudhULv)p}_S_z5Z z1rc&^tqLq|)Nhz*UpRg9V6#4q_Esbrp7zbfjrO3!X614ZDT6_)tFs^^5xBG8>uAzp zZmZAea4Zpbj?+?aPb&?B^SHGT6WS#ayv}7-Mh^mG@L?Zd-o9>eipnGrg{CYUMR%QZ zL%o&D=)i;efw~H#-;S7-c{dFYmsKj2^>ffgeEn=#g|w7iQ7H%hlOmv5?h#UH>8zp* z$@@=RbTd&=I@=;wE=jNwm`C%=X(gf4w*aP$P%sVPu?ku}voQiY9X&CEymihiU)o#i zYmcjic=asj44>&LE2w334NQGf;+WIJjiWJ6gr%DwV7~H^r5wrB1o|eEE^V@R@Pmy? zu=N!^3?mdYz~TS;_qMVObj0z0f^M#AV(3OH9W z)$)QK_nr1TS`yRj>2+yD<`mOSe))BdH-ZcM;9Z7op8cDiaE605>YU}uCR8=jn0=2V z+tfWxp5+ps;6J@KS34M8^#5=EKcB&vved3>1QD$;4|`qy_ZYQ)8;T9D`x%5(O|vgA zKe(+!2465(45+?5ezBW8j;4D?{GQZEbI*FX0n1FRZde}o%sMLX2jO>t|F?|dTi-sye#s}k#qelFDx`)J-Ru4zLnETjCN3(mg>z&ixHC*ip% z9itX(53j}gBObzT*4Nldrb?JUXD@D5@A|maJ!{JKxt(CmBVy3%tyf~1FKtQlcfnP;Qh z!KZ)&v64UpXIw}N#kVAVtl4TryqYpg+Nl51+d}$==)w<)lG8*0>-44>9o;VvWxH=%eLd&RGa`T%l&-Mpc;|yW;1bNbfi3pTewEXg7%qph|legG#PUTDbr)!*b(;?XZop{OWtJ44%qu`?9pva7at> zLLjNDs&SI^l_;GChc-dJid+0!^vB4V+`+$cF5K)=8fH-gXIu0U_o7Oo&nV-ieMfE> zn9I3sSYMX*4(2X#jh^i8C&<6O_a`FRv+c`4@nU4BbNWJ8A^$bVA(@;JD2DKxH!I@V z)0v8M{otz*;#T#HkMahuLgg!pU9B$MM$T@dzFrgMzJz|+egB>LTltkptJdp00co53 zHAsH)s?{s->o?@Fw?PpFW6UT>s7uCBCr#79`F$`gsC_Z%@h*eFg@{%9(gd|Pyif^yu(wC8E&1(I zg?gIz7zDg+UOb3GHcX+l1XuEikk_lb&mx=TVaDK_NoE|;BW9QQ+Zbn&AK9rJ4+T!C z>^)lWU}utgM#gfSZGh8fAuWA5&Z0>rE0?o1?(|2bPb|41xM=pe#J;UZe^TT&`*H62 zkP(@5$27!;mH=Vw{w1=;ag8}ASjoXNJ5$E&^RY0hw6s9l%Zrq(B`HEf_6kDt?<-X% z<1JbxhZns&tW=XQWXA47=IVGWdGE%Ij2q1^(?)THcbW@8ZQ0q%2sct-RsX%P{QEwX z-Wk({z%3K{Zm=aC>z6@NSCZa!iZnt;(z<1^Qy6f96j0r7AHdnyK+oGT(_1^(E;j)dx&&Rcs(}NDNZLU!hjV$9sW}q3UhnaGxUS@uu`=89i z#pq4MGC>3ETdImd2IlzAn5>q^Q?zwa;(t(H-9qV(C7aJe=~_fF|9 z24S}6ejCiwf;o~&8K&1AB}x^<>r91_IK>!$aLbYL7vE|Re(l`nZ(u+k$!7|U?j;W9 z6lvBjjC%MxC{9Zg-I!kqhD+3A?hD@&e^I%E*98}|>lR(nPEqr-id_o`+BZj9dS!rQ zJ0YWw_o|O}?5&!&rL-#wZ-A&5%rA9N)5eHU#@6wVe?KI>M3FXT)V&DcnPV?VHLh_u zuB1g4G*}X`UD9eLtayQhOK`85#gn23uB3;pS z7`9gNOmN$A{nrnCQ;&16yfTtssTr{gu1gHb3Dh=hzq!J)z2{dZRQnCnsu;FjUJ616 zh~NHjmqEb}MjioUR&=Pqo%R>CY9Oa#DW&nJ9G z36!U1!e3U`7)Wf) z>?jD~9yE^?#wOF_7>_YmpI*%Yg-Jf={;Bjm&0O2};v&rRKumhG(rAs6L{>Vxp+os- zBOxYGHUd)IN{4p{7C;WD!czuUjAxJk-retYo`>)<*AAYUS&QC9j?ZA`vXa{~N?r-9 zHR$m-2Z?Tc|9_@(wdn-CxPK28kS=KmcJJ+Ic7^t_Q{{$z$&T9UlDtW{4YGyF1j{Qy zP}4CPYBQ%&@t&p?$7mzuyD4#t-ld+#Zzq%pzpa#Q4}GiAIa5)ucXCo$HgDzESx3cI zokKnovjh4N+W}zpb4W`#w_f0rRkXnzS-g>&Q^gicZSPEbn~*jI7AAaG{vAGdX5Y{g zQ%lo#0iS(>ffa}Hq|tIQN1_;pisWnc?fAs?w<`3Dm`zX~{e8l?T^f>O*BR!i|JRZ;r`*r`GHk*OKjS1jFjcUL=w zk7_*ncOd?qz@Cb$#!YQ{irhVI$jk z4nGcp?i8J+wMwHhi$1S0-Uhv^e?#IRZ_>edcwsxeU<+O^xJw@&u+K@Ws#cDboH(fVwvC*8qL)6f1;C(nd z9S--2_Dgza7C5{ej?wO+JP9orywdU@Ic1Dgd$mMlvW@g6!lmD#wESpxyY+p2fq?(p z7=Inw9tvf;oiy@(>6O2UY~n`Rv254!cY}T_#e>Gc(Kw6w`3l3IIG($suj%ikj$n&^ z>)GHF>?Tf(!ai>iP)e_x`1=u~nrJ;@Tso9e-_H@= zJVd|xl(Dbo`BWD$q;={L2Cp6U;Qsh@3C#W*VQLWO7@#zqdH-^_l!;+g1j=Na92PC& zAje3zJJ0C~33;ga`OjykEX)tRRIHvZaNk|iBG}F;n#4o+x=$gfH5`=6DZp zKhzm^yVMpl)Tfx0KtKNg1nW`jkUl@0iH@vHgCU<_{|k@uiGy!1F5 zj9smW7snp9hwPw*s7KFO{M@Jvz0ty4d!sa;xI2tM+WQiom1E^ZH9OFtB&a&fE5rK1 z8)q#4v@oelZJl6wWKy_Gr}wm+bU+@0?sFQt+>cqOAhh+o_yLIU({cgCd)9u zBE-GDMVbn|%E(Us$a9m(9UPM1fPux`2JzWvaO$2P#iLPAKBY;DJ~A`&P?4QkaD-3a z>@dyUD30w6Nne=1%g@g;-PF^i)&~DJIJK4pA#10>@>v;Vd=KAn%qvLzn19GZc0)YT znKwTnuhm8Tc^d5~8_!gn6sLjY<)3~THb*8FY9Y{2kbJp>X}(iQe+~9e3iU;+OBP(g ziOE8;g`~e1o6~2*Ht$C##qQolomMjxd+jJ)SVmH__MR1X2=YR`piZTTub z;opo^g&I~DOVds?5Ej{~7#ER-8($Cuz*XAbIA~{8ZHO|HC9u0L#GxhRWIg2ree$v@ zKgT@oNDB55EB7O&i@%!hw_e#SmzxVIFAUXK#T6o!bLho>2T_@IzwVluiA@)z(WR@nnM8x%w6u z^w{fXQONz{(k>7FwoV(0DaV~mI5%^Hg!Ar3$u%Pg=18H^ZYKQkU|VJTngk1WX+Cz` zwB4qAl6eOofZD5Cadx6Y#H?@2EU`SIOaI(^*1 zgVOWRO-P;ou5|j9&1j+(nlT@B9=aYG(2ob^D+qCl?X}hcOZGZ?u09-nFfF~4x*jY2W>W^^E|xNQEqrDs*J%q!HKA<= zu`|I}3@$0H_)w5IMk;N25OR=zrIu##+oE0I5g_XjPOyz6CwlL=h`Nka*lwzPGp+?sm9=S@^iD4Uu9eIvF`As_ZWh%jE`}(*8=?iF2Az0_3y2>Z6u+M;@bJ8m zT_6BhE@F(Gi9IzW4_%KoAMg?kX^i0AC5_5g@xsyKYCxa2&DI`+cG^BMXBt54>)e$N z?amqA2WgyoW*hwQBB6sWNM(?zuu?%u@c@8&qnQn*vBy< zl9nd~Q<# z7rz^P!{)o1f=8*T)zK%eXH2*6=5sT_TZI{I_%n;1h@a|ex!Hu~9Md5qNYiQka0&8C zGRdh|)7(HlwH|z<<-r5HxCB_*i#sk$xeM|wQs>*6EhEAt+|*LCf5@MmM50CA*6QBv zm<<#vyBBsn3AvveS9pSyHnrG@ThQr+1xnIRAkL-09!(K!T1Zg_;ODci)S^@?l3HCXQ)8_t#Uoqyq9lpIYd9`dWTR;@= z5w8b1jI zR}H#1fU{QI20~fL{$vNb(5ufKN)&|5+in3QDPfoWM`4UNgGgv=225^((K@Xov${>` zrrl~w8YSV?HB&a~l&t2ycyj{|%ZcB5LE4b;KUb|j@$N~40b~`^q!_)CSRv3OHyEVw zp7cRAnRaCGUEWg`rak^BF_6zO6UTK<)$gQISoGi-$JOv4AL?zSk9(VUpb%JndiWt@ z-a+DMzDXu{r|i`#`19$ChTkdD#Kf#B+W=Uo5X%E6I#aI6`BM=(IY=LgOd=&7Io%v?Qqgc^c*#5W}#npE&7ia;szN5k@ zAFzMOz$dLa-TiHPdRWZbcc^OR)}21XFog_(?hz?2nakCFG_P>X*Fo$bFbKJ{T`yQ{ znUJcf+(C@_Dp*jnZTMA+oA@n;hLHTe3-MKP(9+^7BMBq;kak_GIr@1)o+`|ijJ`*+ z@tf0?yYp2oBrw(jh_;BAE@&W7Y@fN@WjH_4B5v9|L~%{8i*V}7NKd$M(G}0V&Ph67 zeXjGI{>6yl!XBn%JPnT*JN%g+P?+)4^^E{ zwUBIOVL|A=+z` zp)4$p!2^YQA7NemtTB9`ct1Zklb{tv*Hj!oO=dPUJGOf=pG;X{|=j&sw}11y%z;;|~QqJcV3a9$@LF;rt|C z>hK82({}yriBEw(8SE2~Jgq2b{R#u?*6@Y`->QYbuRB9(Gqi1Ryq;!1>@nzzKHggN zM=^gRc9bOw5(1tsSj^z>1~EXW(kLyJAoNlyvk;hLKhvdAC#VNq6!a+ewtkxplq}pN z+}r@8r$%0Ma%wvKiJeaDkM|umeMugpZO2fu=J;)(*80U~or?uX%))v8p$o{Z*v2-b zkIENHly9)4=yA`xHZ8HOcbOS#%i^68P@p1QwfNpC0*t{39beUMZ3fkMybAOIg`AcL2$*WtS zv!wgtVn*n#FWH521NQlT)+0TmgHuljUpL*-MwqfCZd++g4VuNS(Ca(rGU6?9Ok|Y& zXhKFN%C-fWj=4kV;y=C>K_UsgJK!tg;FkYw1ieqlNoUh<2G`EL(?%`|w`cD^(#RL4vP955dTkH~Ab=w8g%z*9BWWou#TMM-!IC zf1e8fH0AEf8}FPIKb(qnnp7PAM`6SkG&F6Lsj&qMUr&uSo$HXnvRxjwg>1)2`!@4= z=92#<6A)L#xt;NE;3Bl0Sa&6~*~>P)C&sT*jwB#ZANI&jL^;<{HI?bog5IZn8}V6w8umP7Gw{UaqP!9|?YxtJe1 zhYk}DW)e3bG6nO=W3_aE^NX3AAP33x_Qk~AZpsg^+EmP6iCdXYDao?MeAGTDQ1cDj zmXS|9)u1(V2lYabU@)_e1g*np1MUM*D`TPtWQ+k8P%RgnPzLJp(8X`P@*faX?o??8 z`wMZB_t$K%yRJ9_gjywguE|p;8I!fsnU7c*AMss$0JMP#@!~N}-fHkumJ>_mKfc4* zD6+K8DKg2ZpIs8hSzSVtmK!Kn_JnSmcfjW0b_Y~MQM+0~=SsEfNZKrng`&l6& zVi3Ou@Oj^X=;Sndn@p1}XcC5tr$F*XzJ~=6D95M6RzZy?J}n42wxSPz_P?ZNU2sV`Y>ib;hcVP&`q% zFk1DfE86N0LbRbIH8Yo^9T%xZSvDanDtJG4-biZI9gB3NE@Droje=FbGX!cYEN z5R@Mfge4-G9fjVh0?+BgqyemK#4@o!>_q4R)1A%gwvdFdymw#(2m@l`Y2_b?8cp>uSzF7a{T1j3sD13HX7_ZPv$JgK7V&&1I}WQ3f6?cjYV-M)d};VQ z2%VrrR?KP%D*>WoZP8@%-gX3TKH#=9n3<`D!hZo#&4AfG|=2YADGJ zaKF=iaLDgIsT~LcmeDj}s}wbYeJKSJKG;H%#sc2xa{U2B@M)4QA}QT;z0!KZ6XCUW zZsF$ZUkUSdQ(a7z4(8g)QjnLXqt*tNhXo>0yoD`jykRH~1Rl$wHfT4$lYa(8w3n%{ z!@qxkhP5-hCiB}D^}v5AZzG$vWR;pQZAH2uLD{fk@S_UD|F^vd$GOCbk;|2f5kK}VLWGhJ|yP=7!Rw_A2bXg;kC!te39${L;H>D*=u&(1}9&m1+BADzk6@1z4Ioq$qP z**8t74#S2lZIcFySE@ELN3k&o1(E&I1h3)+IU0bE+{4~*oP(`Ya0VNF zPW^XX z?|?ap0UTMd!D+M7&4`@L0AaNLfgSl*jI0>Y%Mntd$E&L;h%1qSemTEylfowG* z7J!g+9r0#o4~6e(F4GZz1wpnldJ{&N&{X^l$0ey9A}zDPbgU~#yyAvshs5R}#Rf!~ zrc#S|GM#$I^f`-3*8Q^Ljf2F1F7@#1I602saKezz_!v|*=ih~VKPG2kp$9&gXH%D& zH^ff@`Q4+Pv6CO!E*Xf|3?QKgm*Z=Cd5+)pFf@yWHgq>%bqrei3YDuRpLQr`m>$`N zKH5smonrg0k&9NU2ip;+(@j?^kB}+ke7)QV0%?mZUlX=k(I^lr5>>>OYyt94I=`$l z0P#EnFg+_l8X!ImfqQS+Eb{<%BikXy0j28^*VFN9l}E{obcn>);JAma(Wj6N4Jh=< z7I$0X6yYqRd+>^$BJOL2ZAm+_kPGh@$I&h`ERl$w8i<7E;ZRH8sJNL9*F@WqZPG|D zSlwef=KsmmC=J;6Kw%*aaqXWI(PD9C0pM!QTlUGmXfjvovkA!WJ0L&B|MtT5#4j=n zW?97+2xsxXns5zp9&2{Y(7eS7k&wGgLXSnvFYH|q=RJ4Jy;&gxefoBA2601B(CPWSp2u(Y}bT>P^fh5A5c@Wdnz`E zg&dQcxBS1rL|TVR0p?cRdRapzYDG~}$B~t3c9(A!ep;YSOk%8&zf@RG7IAC;<1iCM znxwU;%jqz{RgxENqJ*Mz7ohMz(N$B$Sev%y;F(D{S^p6$zTH6&Lv4!$K!ppt|9x52 zXzmU#zdBkMfz<^6!E_*Jw2Qv+rDM$@Vio?Vx$Kx22U2WA7;56PN z7N!l*kSn%Pti!(qQfz5Cl@8s&9JU>rUmUR5Qg7Z9oLA#pp0Ko@V~)Q_>|XQ{-E6k9 zkgvvNwBAGMu%ndN$bPvFe%&!UY;#LgI$9lOOg16| zkOlZ^1%yY*rT;4|_ZB|^LG+0U>C}6sFIoSIoB*(8QEcJoUSX-9mUV>-`H;?K8*vLr zTEkj2_kr&9F(PwsInpw+T(d|%8Q+RUx3ip~oK)q@K6r)_6C{JJl2h{*P9en@kb?#} zBuM;*p9dnYkvXvYs7`{A8=cs9y=b7s=q_K|jMBauHTb+kgbx~nfza(sxk;4?YgZ}T z8>kC_wdz>Q5AA%ME9wVOcc1uohkV@#&-rIj{{_-cv~ZMeTtZ`}y&yL(X-d3D-G!85 zY`8?_1nJOgrR8jH+)5UO@Dw|D1~@ivq|(g=KKgRCl3dI_=oS2Wv}phd@Q9jBRjGC! zQa-CfsL_%TT$OJrtEGpElp{h3T@6QrBT4>Aa`_rTQl)s#Sl0NKxiY9l7GBRNd}6k4 zw`|}frmP)Es4Ng3;)fs{^DiKT1-zt{Uv~6X=7tn0q9IdpmVI4Xmk$eO7TM8)er6 zBvCp}zcm0DU-0X2Is$kty#fm7k8mxU*7>;*H6i(eLv25Ee*=^(y2s`HyW99FK_gM# zUAOzbAA3|6<=h^EG1=zO#4lg1|4c+uIG_f*}~u zqpk%z82nR^?`B%bU9>qI=_-9k)RRe`vZ-g@*L7O@!5{hL&GzDU4GmIuYM;?-$3MG1JkRxw9Jlsgm{8FfdQKmoa z3+P_5X$qHjZ};*;tR(+BB}lv~0squXaPClxJrn~Z$_r<}y@kLjBu z{4k^`699gaKcJ2s+M$H(L_;#TygMb*MW9fYMd4s!A9F1XuxQkJ zm~{Z>|H;5qzG^0gc}%{ZSR@cPmytelsDs8;`WgTkqE0~Zg6-H90(Ll+P@suVmA~oE zNr05A(U|aagj~hX1vm%-mE~%}HYz;Qx$r&8NBpG9f1D7ffk`<5cla0r3c31>S_9Oz z_9rd3{I|j-=cc0zSR2NG&tP^VZl;@RW1p~AqGrTCaVdxaP%Y~L^(J3i2Pc02hjK6> zlUmNlY;GN|v6rQ40zr^pIzXN|Ebd3Q0LM`cST+N8dj+uhJ@|a1+q2xP}_*o zf`zn*ldQ;7zyoGcxU~vC<390R#f5*qDwmhfi;$dR=#Vf9P1=aMe%*QPk-OmBsd#{4 z-zb|=rYF#uQ*!yzMZDCc!x`n5t+>&@@YOxEnHJIUDg_+W;t)2a{1dv7(cfS>9_wvp z^93C0GwTCg#~?RY;z$oq)27E@W%+BK@7KKKttbvo2{$_SJd1RSa8f`VyQd~&7> zo89zW_Il;WmE`A078h^C>~0utQ~+^s=esC*)-P>yTpVr%gDbt}$?mQkOZcdL6gU>X zk3@>9iC!$??`eqw^DZ*h=F%gwaalmSz2{iUKYm6s`eR!R#lst_(MTKMUNr z#OxP+J1_*O7V{@?YhV{*-AW^%B3AAt=a=`6l}1&#|H_$$q^y<3etu;ZaHil_zTQfs z3iB&)Cc04edu0gJHo{TG`>EKLyg!L~QBd$eLYTr|iXW_W!a<|8m$t6^E)j;Iw(hh3gX=>HLlA z?4YKwPJ;S5?VPy*``h=ADlwkqpL@NxAHwmT>fe9R;SBw(dPh-G7fa*ceSW_9;Pv#mje8sQhkh=8KXu=aHzba; zvzn~iP=|E)zmE=cBWV;hEo^pp8Bp$!amsk9g4%n|MQBvq7xLo!b7sUIQ+ku%4COnv zh5k6g*L11cy2r{ZR+D(=)R)H2iU9r@K%)uR)0>Xr`&^>d*<@hPTA-eMy=>vxEk>02WRY_H0r&> z;&~pWI&5<*RY_jia8vwfxR*+mD_ZL%B~PuC$sZn>NXw8XMXsr9&dg!Pi`agclW2n- zv!;bU_^>?__&RkC0IiJuakZ@%bY1!{s zP7(9Pho%07D|1>&L;a}oAI6g%@+97+`D&ObM0t{@7|EZUz;99h3>BKd zV`16)dBK-vKZ<)d=g48qn$~F~nh9JiRu2AFlUx4mS|>g1V{Iz-ZqM$ifRIdB@pOmmd`c z1UI|=XHmqRmLDn`oVq`lCBnI!{>sX%1&VRSoYuB|oQTg{M3ZS3_pbZf_wv6KICsWk z#f3|wki&sparWmg6;RL9wC|SnaQ=<1^yC=Nw{|ZzUpx)@@hR*)c)73y4eeuwNUS!? z=Sq}E`?&gN-VJP)N0xXMh$;deFIDQQp6RI@t%FD0jCh4P86A1%OZ>JJ#p)RD`8nzb z>qHvYV0OdT)+-Q=q|vbV?pLb4%_g*AGaws==USZI+ zk4!KwBbe2&wYY%C*5E`qQUogr1 zlglZ-;XKJbW5#rs-?ZOYm0j1wVj+xF;dY+{cZLGK0 z$dR+|DGJ1k@ujVq;X~+xuq}k3phtlfw?~MsLRisqY1ARK*9JYqDX%E+`&vwG)SRZr z2qdlYRDra(4ohclNQ#0I@CB1jk#M6V487?X+7fv%((M|2t$gdzj)*-#r(k{jR>Huq zRbMVmG)zh3A5)9??(F(Ad=I%8k!&nBo?9?kYPLk(Bt`^k4VV8a{Y^h~9}9iG*l@}r zwYPj$J7q5+dT(O+1C8o%)filIqEm9gw|Q6o!tJkH9%fyx*Gj5eh;q^wjze(U?lUk@ z_kBrFMQ`m4wOv@srfxI;>w~AUmc7C;BkQwgFM|IoX*9=}6nZ`6{EKNn*O{2RWs#{T z(GITE8-6E9hOw7?uW~_I7i1e?1JplF8P=0l@Z39#K_Jk0QDQ}tMP(M9CQq5JHye|! z9X$Udi+oyo4Q)F3=QJ?{WH7^R`lUC$%|BFEm{eG28Qbk|n4-A&VyReAHJe%(zTEg} zvPhQ}mG9|~If<99dzY-!I%oL%hYaK{XS|uiFCyLdc|QveOU`-?nLoAhMsniq*55_* z$$GbN0Z*pW_r_i1Q4S5=ZvBaBnLgguxU`kYi_~DZ$1FvwPi=L-^zK(&Oksc28Infj zFQ4ikx4p(odvfJt(rSejFo~zT-Z1GiJH#hzhfU-DM61O{qCH>861T)1=xzKt?>seJ zqzhEc>}lMq$LXSLD!}rz)OuI)FRd}bf3*vaHoQi<+ut7Sw93$XDP%{GK0fL5E?@?*2Z~OT z)Kf+TXGI5YN>6|Jij9jwpY)7!dY-eXL0)+vEK*;^7AjsooO%5`8a(^7h^bZ5FsuF$ zUE@YI-9k|7!)_Bc9q}5;&M45CA+7Ql5jt!Q>{7Uvyl1zdXve=?dS^Rni}rs5)49uY zK2I{aS~puT2+}An9|SvuoDUgz7qa648Fa_u-)Mz;=5@hgg@ePS_s1<6{r;tDa@!Z< zx2$JIif9%(9juF&WqRvB;B8+$EClATFZzI%=j5|+&+HSPZ(!QTj%eNFL=@|rHJL0X znyJ#>tMoVYtlsGk?pf44#u4AJRHInu;y=+W858Mz|yQ zyZ?O#-W}&6oZ|rb49zI|uzU)GlgIezuYhNZn*O2Wzwy>Rv9nK`6;C5VaaOOnv4_u( zzP?}J>t9ZH>eJ4c5~INqdRerC+qL-`hc46)JLQWH(`#!UnQ&u~MNM$kGdNn^_`w^w zfsOes(Y{i*<031U(rcuBe-xO;$df~QOJ{-Qb_1F_`EaLA`%Fm4GO8E}xbccM0 zGF1IM^P9SNfa(1Dr$OwzlRVKL?|n%m-9+HhinE~)0aRAlS)nDx^1r3ua(;GRddDXB z|ER0A?rDD1*V^#?=+7SLo+F3IIJKCftc8JV<=p3keQ{o+f9f>((d8$EPG#tIJYdm7&2-nOhgIDjB(SFKItB>gT~=$Nb0{^CHp%5i%)kmBdVaTDCX z&!F<$Hn;Yqem~SG*Xx^X5aL#I9;9Z|0n%S|-qS13fANG%N}WB!GF0hVoQXUTTk!#L zjJJMXho9)#R63Np+n}>OQed+M_%rpIYbGLwXe7DibY3Ny}riKI|Y^04h6T=dG-t zMC4+7;x7ig^(2oTAJ^-pi)H=xjp&vB!Cyqx$@Ftg=Y_gNEKqOGcpHQa_s>8>7)()f;fiG>wqIJf-U|BBh9^!!hG^obe7HX+hq zcF5Ijd|^|NU`m1stZ2Xa%adTcw8u;HBJJX%Uy^_?lQQwS>oAd*J=FT6^FG=k1-QzU zO!*vCx_-?2XT`m!O4S_szG_j__S|gka_kCGl3ZZVnf8b!87)narmxlAEs(|GPOtS?(blFxUJrB@$l zRH{8*ULy}U{5am3xxLqst=wD7)=|b%8y;w0zAgQ$tJ?Efbe?Cy;8GhpB7VT?H>s}; z?aRC1`D)D}rQ69EqgAot!#P10fwfq(WBhL}S?aX0wO$Hd`|6OQDe}FG(?Ae32*$xj z-S?xMc^$F26=7rC2wiw_B&%YLO0FO3L446zM8`zw_o|~fQVp9{-E0(xP>guFIM|=A zV76{{K*^)sO=iV)&%&1*miGF+qv~3I{8$IO0ZN_y?A+hWqZrac93m}l7ub{?9J1Xd zR+@clIy|yj22U}_JuAT-Q#!gX-1HxGJBE+9Kd>!Cz-&8)UwBYY)2h--LD>x=emJQc zdS{dW8iYSZ*B=vKj!W-$8U4dH_HvbSn=21}z*lDm?~-UR{i#{4HG4yi>Y3D3lx2%S zm#h8ECgE(~hJY(iQEIBK*(&88(6e)#fxz?tj@$Fk@ux|LiZtpCY4ylQ6ZLzwlJ@uZ zg`Y(F4uV&8{PjiZ8B*?%nXRFyApTm%bxBc<$9_|+zfa5twI1l>w!aKc%h`-{>W4j= z49O?PzroUkazMzm1uB0O8F}|Qz4+dE48xsBtgz2{I+u0PsN~lOUevk$7A}Mr;~h>$ zBTtaZX^vj@7Q#cgYe6?!81XrH?? zo|x?}bXjG^c-V*4l`+@spW6KcyIF=_iYF_cOIKdoFR#TqUwp8-&dMpxyP%zojV{ifMXy%{g5#{nD2j>3+v|t5DP~ z@rYOJ0{nM~L2DU3cVZe~ykl731NsI+dn2E$`ipJo{l=p@F{QWGTR(lpExdMA!mf%! zR^bH(uk9}ruEE$&g~Vp^n*zTomsRZUs>-v>M%(PB-@kLBox+;7$hY2y4j-X>*!gS_ z`zHO?V%f!dm41swjm-|=*Hfp!M*f`aqd1Iz?rWYl-6peQy(`VN)jwXfwZ0OUx3+C* zeNu$Cli|#2z0JV4>htQ;LgE+3F{VbGd2Eu+9tl%7Zgs59HN*LuYewhek6O=ZEG>et zkkqF2^~qIeEmzTBzW&;4TEl%#jyhG1rubRMxYg^zf-61u`H>MCnO9*L5h-vQN5@r@y%sMswOGoIgc1 zdRDKIl;si&@cNS%(`Qu`(KxQzfaeuMj_65WLwS{aZ){K9(!!~(UVOq_5`0tUq-3`K zlj87>$suRm@V|ZQ@gX%2&qHkbNDusw;RnplB<_Q`C=h&)0M7!(;*g_wipZ4+(u%yW z-~R_k*B;O0`~E9Mg{dUvv`VEDlH@Qf9jJu*B9&}PrE=KEVb0q`wGb*56{b{9A4bZ; z7%e2($YG9~Ic*FZn;q=*dp^H^wtMXLJg?o)b-%Co^}eqAx(^8#5$`2|&&gXb0ts$~ zov8Ta??N@+V|Y@&?>%Gir4F@0!hKbMKXuhn^AozTfTmh_rB@hh%3hXymGM5fTAi+oy@6j3 zt;5K66jjuls5K;HyGiK#*;b+!hWkpN{m0Vp$A=CyQ($Z1|C5h4O(h$4>Z9Oy{kHer zR{D~iJ<*f>C(ra;Fb``>(&(cV|MGW#JvQ@=K9~5Hv_S& zp1c|VG9bwSc>fi%ne__aBs-SfYftZBwRf8Zkk#Kp9+S7JuUuju*fz{(h@433aUtaF z8)<9hWOg5|^~mMhm}6=!4zVy%m)EPW&~?&0|E=l{5=650ZLqE3tdoa)%~n!9Z6WMF%J2(QR?893+2}@pmzo9!JlSyYa$fM^ zO@g?`MRh4+enb~%NB->FuCpN{*lik@kv&=8XKFL^xuzLtrav54HY3Te;NDp$MO^H! zuAM4@A7c|f5Ra~atDu(bZV6=nd|lE8- z{JK(mM`@}lFQTUlKYQTy-!y=lzn(5SIGU0FiF2j$sZ}^VNg>b>9|}G#uV*g@b83I$ zRA2VhRR7#VmEZ$-nqgFbwQLIbw)w>uL{hBAH)6hTQ$=IO5?qQ5=fm{H(1A zTPRpS2G4Ds!-Ix1>%QH{Tq_0t2rXI&8K9&zsv|i+jNAo;_ZaOO*Dg*3UEYmYAHScy zY>S_TJ$h~`#TS%>f}LJS;n+nEs=WS6l)6x>kf>2-T!Ps-K-wnMLHcaLjV8l{0(ACY zl#_V{HTkDVW5whyp8788hoY+h;dYg_Kl38#R9A8bl?m32`#2T*!7<_b-!k_L?UD;~ zrJ35&cKscXUkrB#YNzsFr^p+s@HWu2^kN@_t*q%3 zw4dP13~f1-LDM@$7&H0oDxEpV<0Rw$9+)w}-0ceTZGSzj_~yio>UI8a@~X%HNVqL8 znkoGZ=kA7TSioO-o_H|@CW=0dw9Ete_cV zT7vi0Mx2femtj6WnuT6Ycvw2_|JD0Fyg_&Oa9UGoQr@FPTy-H@tFUg4y7h{&T^DQB zbNq?eqsVtvrz@l%bV?vri!NbOjrrOXdDaY#L3kSVc;LI@S@j-HV^<1sc6J{h&aEg8 zP5H>!dkVjF(rvpIl_*+IzQD4Scs7;Hr}&-{BrZ&q+paiMpH&J#!lXP+Td062040k`+GV^TBM2bwgz7 zZ@%GdsEc$Z^{`5DCJ|cKzIQ5Dc|G&%R%?8?S#_y4$@r;jgbUJzEYzI%J925n3_GeL z2qf>{7RP5eIx*B9r?n^m{ZdI?H5)f)Z?(G?Is|^c&Er40A;W6vQu}f~t)ZCptv|P~ zUdK=y@-}(n&%BhpzY&&#yl$;R$~?zrTFcSyBI|ma#k!MaOeg4ruo=Cey;r0Kw1|yv z1s?y&V6Hjzd)JKm&DhICS7A|8FIIF&{|i3)>C4=X$Fosn#--*)I@c@jZ$`7i?4|OA zxuab-2X+3_ymkY7=tbKqyu%~S1?PnGbJVHN%7L6|qVx@7GJM~1hrVcM&a419^1y}s;c=ii$C+Srb< zqR8`hA}Cm(81c3kuxF1_axY_qhajcem|X)q)cB6a)uXNz829d#yQbvdJP`7Nz2VF` z<@qkIW)GTR99&pHQgg1dNmarCyd0-})L-lH_X}>;zV#CF=6MQs>}fF4A}}v{&Hd|y z8`60I9Nn&6fdITWU~Sp|!XYbj-ZVcC6Ct9hv7)}F^|9XfM(enc;lM2@u;8ya$B!G7 ze&go2Npa+pXRXLD<;0uB^E~DGgw5P%f?;iE@38Gd9!aPcXVk%RASYW(#Zr@CT+Pyj zOLjmkS^vNOgnLLgs16ITUGi}P}(w#!DqWqpOTaz`z^n|P}CPNwD$Qd zFiCrp6rh~(YM=2;N0E2J=aS9sZBwig)f5d+v+nYX&*=MDbc^}9xw*%v zk;6t1!s7UDqRuayqf7_p#9 zXt?00LymG*6{Y)qJYjXZpnxtPdGvJL{`q+~dlvYEx8lqWV_SG0u%g_$`_-ca3Fp!7 z&QSd#KYXCpQuXGQSRe{exl_mU?c&6kL9gmeYprZMB8fFjM{igux&dtMovS`5O(D*m znp^^&occPc%MY(;YawR6P_20qV=`yHML=yDQF4V(C`@P?ZOdq$3k3%8#w zl;6G2YYQltuTALc5b5&HHF|7_lk_}w-v)3z6uyFa=Y7c5Ikv+QKeEFGm#k#NhKVBT z+o(I&53Q459N5=U%Efj&66QR;Do9-R#1&eP+}S z*whwq17~!HeAo%2hi3b6Az86s4g?1YG%MdvPW07p^6<3Q)PGKRG~=+0uL9R*Q16$q z&Ycf!qj~8b2cgIB+-sakP=xb5?e_Yqh3X03b_8jww~VzE&`?8=$R*ctYSs4psc3ZT z@L`~y6`%xa1-MOf#ALLoprH$~S->g4XaRPJa~0?fcb~IIUDr!~aqPTa_UucB-2e?m z$dt_Z!$qUtJ^vEcuc#i;Tt+J553)%~%==*|**zV>7q_aH`Rf5!i}rrQw_GyXo?RZ@ zYumo;vwAAfb7j;~P!^Z9%^9#sd8|L6&(BlXqx2>HB~v}2o7PbCLdz&IC<}a+TOU-Pmuv10?+P65end-&k^*$m4GWb z2*}<+iYyB--m!=#i32qZ z<5_ctnySMWJwiu!GX={YE$T=%^t1kLa`_P~g^tn#a{l!Ox`LItyqh-+74>`A%L|D$ z9ln*?Nidx8-Fo6V6Ww(UjxGgPu3NvsEr--c`j`Oq3mzKhX_u1kZI%3HfAfnvCs2g? zYNwn73@NpHrkVQdmFP?GA$*lkT>*9`jmMkFVQDu;4uhPAtpPKrTOmHN$=xx>cJv#b zT08#F9bj>Pm_M5O3DJd1&MzI$I4n;>dlX#1`bN33wt=KC+wgRD>AP%nETV7!KMmX` zGvg&}D!}KCD5*(#Tjm(|6}Z(9D0`Vgl0o&O!n-&Q!Ki&;<`UPJa=?}bX(}CV&P6JA zUkr=cI!t&7XyjowI{{x~+g$o`ghJ4`Osg!~Bh3Es#F1~KrGI~HB~Dxzl+m-LAw&81 zu(?J1@{-@VrCrS)60`J*Kp_ZS$Bi?OyW7>#wo6bxz}*3~6JeydtL|0&S{P-=7c`g; zbc=Qiok%9ZBLBdhb7r%Te;BP_@$kV{==#bj@6&85FaaV{b6O&LweAWMac0H{3$ztlafEj zUkAeK@b{C^U7E*2N_GJHFep++i(tbEP5H>jjDjffqBU-w7MsA>?zHB!#OV57Nz zU9LK&kTwa@6PIRA58QFWGlILLYBvf0*QJHMboeWif-c-@3cms8l$ zrvSq{WIDfL#;y0spb?+~oO+7{XIKOEP`HBb{atgNmC z;yY38Y?j6}HJ= znB)Efg0D9}&=M}Tu$+|Z^X@y2tOC-*!$+^Awj@iqX$3S<%`vdRwmsYl&kdQW`wDh1 z(PEfsv%P2;_;;QZ>%facto}CVUXD#c?b4kOqUh^1H-SeNE*LP=IJ^eGMaU+pD>&6V zTQFOKQ^rWsW#f$P$Bo%YaH&`;*>szr@W=-=r0L45w)#?uhh<)3I!AAOXI!N9zkSc5|q{Wv@_sVA#K8)rDheiHHnR1ni%h2S9 zdj}32KYxu1Lbt|E1q?1f#~eOex0SRToN110#=XSdAo?0#+YI;JhtBvUzx5Fg)Y8Si z-`w#RsK2>@&Y)*WQ@*y!BORmG(x4Av7BSD!nA4+?M^)fcy+K`T1l z)%RS7OVJ+)yL8YO1RAv;;Wq5$tJW<)I*z^75v=^H?~|&}XMAwNQ`ddP(I2AkbXfy- z66H32{Du2B9Hr3xtRt+Ux>PQR);m)Xo%0Qc4odz<9>;z~W0{_(pkU|dJH;he&KJrN z*LXbvB@-y}|I1aXp2>>U)%+Xd9xh+KbbJDc9cqvv^T{4r<$>l5`l~DtQj;FwxgD}S z0I>xCs?mrL#)F>$p9*O8*TQe_R-*I-aXzlBZ~M;4ir&*=JG0tCt|n4~#@&)oqb9S& z&&MnP`1}C;p==#WKZg?JW+A)L#j*WJuZqDs;WrE7geB33U(&VW9Hfy9FIMd26lzcS zn~Qk^L(PptZzMm4n;XB6o6CV@|JsX-_UX<*vfp2?c)>uwT3Wpsh`>X3+tNgK^`1qN z#v7F+n+qh1ZjijJS)nn&>`gyG3j08MTqk71-38e9;0$z60Y>*T_aAhs0w4&&i~stZ zB%!-7l$gkJyLEDo6XVGuXMkWI*YafgQ>6CokawQ|5+d6<6a-#T1^B&v-JNUux}^}| z+vxM5YTBQK8ifP9fy9z&oZ3psSP{pYc94zq7|g12ND-zKkhVna4S1J7M{>B~@;`!& zw4(+P7NRvjgl=1DOV0DSv7+IB15gwiDlC_GG}%?Q0m+{?*g}lDbB#R=#h3x+6?1$E zzx`Q$tRMA@XQk3|vDXq0USvJ@Cpj+qXv~^O>&J}&eQ=f%Kya;uZo+ucRN}P&KG}V7 z_|_&lS5L4KqVn-kU8Pzwj1-c{X@S02ggM1O0(j+KkxN8+>A3hbK01HztIYEiBI0xf z>zj~;743;S;um0G*Nq*fu^y+AlPc@U-vzbr$9dZSMs$(XJq=ziXaL1oI%igEl#AisJ7eN;@oxW z9eOvkPjtO=njt2br|%}MZlF9L-V=w4_SZ@<%w~T>EeA*9PU8U_PNzRo@Wx1vMg7&? z!pgFe2#mn?Tcf(8LDcCk(JAGs6&-({b@CnT=u<$Gm0tziWc2nGZ+{wjH@V zWwX;Nx z5LF=-Y?lv3R|az2%{1arjztpw(e%lzwyRuBkiy`D^Bz`UPyTcZM{FM6P*f&~xblG( zFS-A2p(xbDVpd!BGx7kX&Yhzx6I_`!=&@$^6`{*cSlC#yKgBs6;y{^(RnI>gI2tlF*K=WfEIGXP>F>4e2m`wc*MyniF1P zyG9-hn0L-}pzCYTB>CR`hoU_G2cC}^Io~QVZ}mZr>#9oIj)ISPvsXbZ2EZpyby9Bt zKTHv@z2f!XMJLAEq(gh9+56(4jfU_3i!d=)yExLNt?GsnZVrIXQ&vzewj=^f>)zfi zv-eIvOF`y3U9-3D*7_0Ksq>$k1BhFK;f1`2mJ_j^!wdhBwu}_o+J=PZutxAUz{}Z( zUe}%b^;jBtw$j)PcSR?3{fa~466&DR&_E#SJ`omrmKVA)&Vm2=%D@X{ooYjV>>&#E zM=y58^KZ2Fu^OlQr^72mf1hoO-?QS`eTY(IvSQg?Rz98fVz~Kq2{e7)Y{-UoEiFSu zx)$n(uiNE>pZ~fyfb%elTL68gO0}rTPk|B&Xb^3cZjfe_p!O9SF|~Ujzd6H^Fk~R# zP$>mS;=KzeFb=^Ype8HOQZVYTwH9R}FfV}W0c3)a3=60;0K|8-Nh=BtnpoCe2a6kFoAgs9z<#+r@p7f0KE@Zg3ZSnO-^V ztFG66HQnzV*YJMhXa!(3r-B>jf!d(>p@e!%Ky$eKtq%yCAdQ!w=5IugpO+AOc8h1K zdL@%H1vCSi?2-mha5Qra!8A#DvTxz7m+KLMq2QQB9 ztpu8_u7s@WBux0@Rpo6*>dZcACO2+aD>~mu%x7CMUiNzkG|E3>EZNJx>$j?_ND!k1 zG{mmEjmr<)UuTw?Iv-=SmTqpZ#kpKFi9=z}(E3xIdJn0dUs_g~9m%*^U}uQCtc1=r ztjjfQSyD8_{1Y9m4r;dgUaz)(VZr^M=xH6ST+|F$o3 zsL??&)%TY4=qpnwEY7g)7H1uAA5zS!@{@nDAZi)H80P{AAqd600p@bvvE`0SyvgL2 zkQ5>u=4sqU(lUNf;{hiJ(YtbF9-5fVF%F{rB<~B2ms+^4Lj#T<^yQx>C<7FC4m_QU zH-ya!7CziO@|ny*^Xrer)!fN!oG1<27?CLNZ@<(y^Y+K8m_mrH9rUkdpl6em!qi=( zbQA}Tr32jql5tyQdOY+$V%XTS{PVl9^f{hK5^lBZJa6Fe_z?#ptx5D-C15euFn>0` zi3QJda$^8bpLO{W5xP+p4Z>`SX~7_Pg%IN8A%%xF4q=G);e83I zxMpt((wxYXKiNM`sLD(5>+s6^o$cN@eYLK!+h?MmR~-718Wi&fG1e9>#{1t#_nflE z*~td>w|t9oJE;xqoZ0VvaPCZDrXhNBZl5eF(v%@cIMO-%xDT_HgZHu6(mhWyCiB0! z|Bs;sCo?o*w10f3hF? zHa}}Xj|>->4U5OX`#?RmvaMrK6)03pGlX$Jr>iM0@hokK;rs|*_F{Y=^VK^&8fTIG z^@n-7UpmJs!le_Q?$_t%Mp}+sVmv6Sa}YO7PI|N`UiKPxATDE-iSpIGZfm*gu(+-C zt-YwVh$yUb9m>wk9!sLyCTMB&gxwHc%fH~B5P3aEV+Y;bk3kD#I^2qBqqyzAgi0>e@Y_Cd z<*O-oZqHo0`^2r(a?UD3Dn_;d93$|raKq#T0S>aH1B zJLS{8;A|4OM(AB6Qt`S#Df%_Kp4jh_4+V+SR>}9Z?SD*@_c)GW%hJ=}&n=_O$P=4; z&|lWIw_j4sowk4EH#`X4ttrT0Kjl?K3YAY~hRvik2tO=zhpc1_>@qe5B3Z^bsN0xJ zDjUhJjrr?xjp(01<`T;ZS`hD6mZVIYth~+A*)b=sgBFWWcTOvu-5dLB;uwKzC;ixy zoIImGAhb7k5f+E;03Jg>E{?&(Y&^9EyZ~2EdBQ+%L=6!O0NN&R7X2$+NJIQ#DsirK-D#2*HrY9)o zf8`Gt?;q0^eWrT#@<&075|v$c&Y_PrbgJQD{aky!SjF9+PC=+ckCi)Yhv;AS1)k)gl8F6Iw!oV%ltobI<9RzBvQ6UE>}v)Q0C|FEj`F ztK~#|7St?eut%4^*=??(McQBLozw$N)MggT8#nU^1mMspER79RGw7+ zudl{{QcuzKZ^REb}p;h8*PZuNp|0WO8*IHMs z{p~cV4>=L4MxS*@d+;!cj5YLS5LAnJqZzJ>@Wx^`(z(6mYFuY5jn5}vBIpud8KTd< zxXQQ%Un{4(kENrQr^?+kWS%4~vJ)cKq>Wcf8fB8!$RH8fdSxWP zx%GYJy}gyucDCu<$cxsh#7}v-*35e+&??+e;OZr(E&+S<_8OuiBTGr3N6~n)`9_%B zN(&9Zi&i2{(vh|2DZ!KRx?9rW2Y4afXYcvhpPnryX5kT~Y}u4iOqz{ErHLc^WKF~x zz-XG0hSQEQ>bArReYWg&SWKpkEQuGXSOUkW+sF!eTZhjuV+gLbgC2s( zuPY0R13$2aAnW^iZ!x!eHdqnqqxs1=oh+!P>V4_kw+5(a)l2h1J6Xs;QU(8)H3}DO z_upv0A}(~w4ND{3F1{bXg?>JImNq%`E4}gZ2@U>X`G7%@5oo~Sh`L9_-i|W zmI-dc1Wm*-6F4jLOW=hV286ieB1`}wwqgkg?R0f2ry+kNJH$FsBCNl>$=BYqXvC)368bB&)GA_f>t6Y#23&MSxCSfA$j#-+8ip}=D1vQbiA zTdd5x7EbD&WXo^-{F=Gb_sX#=q=D%57!^G9VMu}$#QbLryC@#PLz|S$#acMIckZRb zQdz^DOo^43Ch43u>7~|}`TDm8Q^_(t9b02tNQhjyOAOA-t5M*#%l3otX~VO4?#v|D z5Jp?w3oqm`?t;vHC$oQ_$P9$b`-;b)X{?r?PM<|5fXUQRE1yR@KV~5w@eHmt69TY9 z?)lM;?K|}9H}@#aezYQ^hT_wPlawQa678ce34w`V26I`|uoZi*TUkPeKi=KKM9Ffx znB2!DHgnhrFof9nX4fzXzl?8mF;}$dT>y}hGosi~g6nO^t8uAq51uT(4}C&;^Oxz9Xd+eHtu(}vH1mV?H6_n}xJRW~j7y#b|AZ6o z&)cZzW~5Bor?eHiTpvC1GtfHA1e7p zcAjjr|L=T@%D`>dZ228(8pvF#Qf9zxLosVaRT>k^`2Q$ACqJ@MH07h-(|H|b_ z*A%%qnT1u)-`@Vgj#*K~~3R z+CrA219S*}RY9wP_GDqeW7Qcah5`@`sax1|{!rg`CCMOIYS6SNih@?9u zG*8u_GWHXc&|g>nv@wwbqc15Qhz67%+3wtkFXP zkz80I_n-9&1^(&r=Xl>>6lRVlJPNo+#pi*{Vj<*N)t4;1RPh`8X>1f&8jaA3ZvajA z#?qvlw^2C&n{?R^-WgH`k)e4nwT88+L57O6F<<7t4t*EzC17lC73atu!lj5)twR7c zzA;GJNIaC6&`JKHRE^=-5eG?Ih#iJ7VMqVFvDk*d-OBabIS)j#kmn?GaYqvZxM6*g z%bM#d^cMFv=b)xMSE(631OJf{sn4s$eXW7=x2BrAYKkyor@P}{j`Fcum$X2_lduSMVD={7_D zFoC!O+>BU-z+iE!Z~G>b*5=cOb*0ob40R%lV^hKX1-(S(NY@7y45v=@r4H0;K*0Al zJ6R}9{ki@H?mv^}uLQC-@LsPwwkbB=2C{5=tf3657iGxhy(o%a_Ku2(sS<>yh)wK> z3rPHlsm}w|X=GBe10hiv9pX^CdPNY@UlazdYgTD4e9#4O zHTat0exB9~#5m$Q*?diAsZhNMemZZa&%W@Oy#Wk7`xGCm8ZjhFj!q#nHfCNVEI%SV zAmdkgu#Du)s;j?>>W2_I#^(FrqXc{8O*nv5((86UwyWrN?utC#>%xVLF+R4$g`QFO zQ8ceVJZ7}WcbkmKs|XWwpA6td1KY!;e&5+7o~_aSPdp9b|4R50_Ni5yfiLOM{2U3lKYI3|mZqrI|Xc z7>nOU=EL`eLT`0#ge@&E;B@B8p3M64htQpau~u(bEUiY!o1*+lm2W9R(TMWpNn0`oy>XrjE%t9z`lnFu;>f>Gz$nc%V) zmn+DehS0pMGMq_t42p0jNHAZ5VKApM``JMkp(Vg-vK=1dTJ@5qc)*z|%H57~Hqb8% z?PoBkCwm}}wt>v^0v}*@&>sSW(sw(I-kmW3H7T9_LL3UXyJp|yJYN(=n7|qDd$!Ag z-c9a`#xdmlz0*9noy>7;xijMuGku;HXLOCxDhJVNKmb{H+3G0K!VtD(4J`GsOMU}T zLosH8dkBCSRQ&<*jv%qtv%-M;kIkm`n+s&+Ivv`V>Tyej)%?vXXGF$EpWx2nQD$dV zMl*A8XvrWGi7u6HMvys7o*lGL{-k6~KFjkN%U*C9IS13=@;En@17q3yJOCIT#rHO_ zsAHNO-h?scFmIwc3o%Wb{H4^BiGX{D>1)gOTgh1L(PPP%c`KCm4ZkGi`&<~d-O;X( zPf?E8Zw-n&Ghe?KQ}Bl$z1o~fww3Y3C9Ex&y%ZAQ_ObU(t?a{$nwiTEo}DH=H@W5@ z5=i>igI<6>jm3ba%hzv0gvv=?9QO<>_*CC`f#@WXa(wGiuRrLwHJth#ac4XE$o$G+ zl{fOhnC@540$TWplNsUw8;CdQe1@`h@PIyaYe}a@p z?Pw~{jexkyk9UYT`GN7dt8eX>(`lOu8p0^O_(7BK7>avwE%Ab z@kExpP4($1@r+Z!jwJpyt=wt~1$XwOU)HrR$I+O8#=FgjR^~6L4GOoe&1t` zvgUF(=H^$&slX7}wx2c7aEZvq{-)x8FwI|!)ihb&7RlS}{8Pd&?s%^kC)300dk!@& zq?Fz~fThXd4)vs+a%47{qrfXDLu3Ih6@*c@TaNDE_BgtljDK>yAz8H3e&&}SBEi*- zJ7&6(&VTStsj7fl$+ilzE6i`R|CcdMeiatn{??!qYklv%G_2D8kf!V&{Nftx*^b!qE_YYiot5;TXJ)Hg&UNHGn4E$(I;t+s}=KHo+Y_= z@vd$R)>ek8@{}WY6IBfJXIx$B&ND3D2|Y&n@3PeI4jG&J=HDy#Zv(%rDZ^ymCiAnK zLYMJ6WRC}VL-cw8GHJyHe*x&d zp_owCs^j(;+lRiSm_|x_Z`9olg*my1^`H+!%8#c!!lGvF&xAqN&@(6$k44w8VO{z? z5JJS!dH^UnLFFI68?NPQU~$JXhr@U^$e29%q0oA=G@C5_9x~7C9RJKcQL?ZHaQCER zAwNR`fg9G!WbE&^Oc-vi zZ(<_hzEob`DntO5c1?P4HtJFIb8=>;>{|&$9R|kIMAH>(NjgMV16W%LvdLpDLIq0; zJC6i62UI`FY{Y)5<2RLwzx#pE)p}^t@z0JmH)HY&53l3rzBR}z962+(?N{y4V5~tH z>}_4`6aHI@dxKVjiMS|*{|y8^8g@lh_K0^GaCW#w5Kq6kp3Yo0W#4XwZ~QNa5bZ~( zksOKohKl1c9S?9|`fm`)-oDRaV`k@W#})V2vlVLr5Lne;!8sE|faAXx44(j_&8AvDHJ}_R8pI7hv%s2f9Z=tgnjA4ao)i@&`M#w z#MFl@+8Y{WAQHc?jBwf>-9O1rklmO(oSy5Fn@9WMyIy9>+g3mk-2WGPdUPYtC?duW z>z7l9v8<)ActsJ{yBvsawoe>@h!SyG#9P49I+z%fP_0C`2Hg{cZ}cVs3_BDH*T|nW zd(d`gGcN7PyICUu{Ddk&J|uH5GUANE9Q{dK+33j4$(G3{j*kqg;E_?O4A%?44^!M1 zalaPLAu_^%Ef@*kM0jI})|iXcklFm4*TH7zN+czJ*t~J*+YU@86X{ri#^})nAZP-e z8_^t3W@6Ui(HL#|R}gef%(CH}%kU9k@Vnn{o8imw8oQ7BHk#4OOh6ci6rQGgTlbvjGudQ#(98LH4Vi z23dIlJuFViO=u{@Pe{3A&@i!SQ;^@+gW?Sait9YDZyd=Y)j+YXHSL1!JLd^oS@0ZH z6AiQq$-AcFXzC1`OiS%gjJQ-ZkI$8j-cvr!`K8~{4$YU_K58pQ7{C}~m~CRw&j<}H zN&QSjBKT1ZC^BY{pF8R491+v$aOd4@uyg~k{iNKMEaq=nd-|JsrUINNt8YG}pdK}I z281=ygWf2RM&i~b6PdwuFgEez3!7_CIy4jB!EIcDG2ppoDC!@U7pR;P7e zZnIAQMOS=o{T#p@)y6VcLv`h{+AQb+u|qS|4fYg>+yF^=^)F>Fb1z1V=eZMe5{t`^ z9kbzqA1DWyaFe_0iMaK$0T5{u(WO}{jRX)+GVgW4g6J)2woEF%TBTUClD&fJF#yuk z#W}f(;4+5V%?>XLMZxRk2Hs>1Q{0!OKYBxw^Rgf^!TQ)Ib=)Qrhlejb>zKI_ZHHwT zOgj_d^dw(X&ro(9 zgs*j>ssaZN-UFoaLRst%x;==r!+whTl$ReV#*jTnV8DW9(ilLuj_0OVs|$Tv#-j{} zQ->qie!m6>!TIA+nqe`&21^n=NBVby_z;;ScBFBwvY0IDoH%}5++u(}b!&epzqy{l zG=EU^-9Z(QcW|ot?!!O&oFvTa*gN+C zo=>P-GqRSq5V@qgiUbmSjG6k9;TseV({F}@#NV1dTJ5WeFdyh`xr3B6~-0 zQ(;}DCr0b+qU2>vX^wm){zNKO{4?UV2}Uy}mHKoH5xInaM6f~P6XAW#?CBU3Y4QgE z+T7jeA`R|g33X&w1*S>#IJz7dobMe~$?W_=ad?igl#=@9pxY#elE9$&{-`CNxjHHQ;gz z&u175X?ohr43hTJtNK&BFvceE;|HUqP4!*v+3EThqc;=X4A5?q@bjZ>1DHlKJUO8= zOnW!R6PpbTIL%T5kRzL3=m0EX052Ll7L9X5mtIjEek7X*PJ%&)&P;M@J1v`*A4S(> z;aSHCpDLilaziwD5_fKN!!Knv&}LQ^(!@=bg#dw(avfSdCeVgB$+7FR1)Rei@#SI( z#|z#!M?-G$E7DV!Kmc(FbcI&ZxEhn1g%@c|Nw<&fdL&cZ0Urln%Ob6xP+y!^OJwx5 zbJdM#L;F@^lCm(4K$r|pWQ;P+n~X|_qLQL>$%rI}hZXW$fbc`iFS}KG?_GX^O)GQx z`qZZ}xG}icY>u%u{bb#q|>dknB4hao{ZVZ#YId1#| z+Qrm02`%l3zelG?Q-(!j%djD)d&bH?Uc7@+TiYigb)asmw13a+0Hfd+XopqzCvHvC zAMI6WB0YOoI6!-!$MjStZFlH!^N5zd_fw2j7{u@@Heh0rf{q#{PzyrpZKX(vBaaD)1~)rL1E+;Fi2|`d#@6I`Gl%P)qiKZa*l%ViHMeGpFe)uTD#`psb(l8r zo$(l3j#V>b;IyLDji(Gr;tsA=UelI6b>qcB?U$l|?-P5x8#4(`q79@^av(1@E3x}x zI(KY_(e#ZQE5MHvmaN7(@oB)NJI^yn4B&2d9(!Dk|$Cy*R*uLzcp2j6KUS zqgv^_6-ex6IEHIE&S{MJNn=br6|YDOHe(L#t9*hdHMD#6=eQan`STyfLd^@yAA$|DOc)4m~z2&@6 z8-LZ}4>aX>Hs(dc^YYGwVo}zR9u=WaWsk9+49UQF1M6gc_2u@ln)!;T*DlWW9T}J7 zl<+q1h`Et%o7lOs{2TU^)u?TDJ}}WuN9Q+H`T^cO$KR!gxJeG$<@xF5&%gVZ&f~UM z2?xF!`M|a)MlW7gPyc(N#yw?i*OxrY$E{K5v-@99elhtGw&(Di>`>fXi#7kf-K{&nuIX>nQkR_?nY z{$%vS{56k`rG6vCBwWZF+?6;3dp$o~;=4LdJ<^sPQfojytmUyT>IUB@m=pEQ-3Oms z0czNGF!!5p=6pvueI=N4j7~$eQet_>lVI!TRT|cp_6X8~2FjW8Lv59V!UMw|OZ89Y z9lKl$^iP;S4qflCDsF$IQL)ZwhBa~V@^5R{r-juux(^PL4hQDnjE@krP7)IHRlK$N z-EE^W^_MXA-}By^I7KJr=Mn;{@767HGOGZ*HlDZ;qf-|h^FK-|Fkgr24g5EnCaC^`Y;=$^UVr( zOzF_sD0_wAPhMf_t-JC2Oh_5d_5l67!@oL4FfhG+(QBzJFr==xxFc2j)bhr+ zuSatSt%Cile+|-u8581CPmAH|hH%AOi$LNFLRIWJ{^QhXP|KM!Rc{PdLNm%cf)mA5 zaewq|S=Q3;yupgL%igo`LD{GD_l#?1sRX;9@jsXjdf(edv;#};(4bQb&g9B$^8 z7^N!xbAQ?holX{9I+*w^#)x1%yk^ zSgk=`Hx&+65_$ITAnAc^f%6=(4Os9GyW8q&IAt0d#6jf@<=FOJ`D!6S`)%9K=zpun zy%sPTiKNkw7=4&{l{)>U*s*NBxLT*?s{TZoR&c%3=BR>+Z!a8*k;v;Pc$_h;rD7G| zXZW-jm5bLzCC-UMS5Od=VW(ApYjK-C-re{@UiOgas1<7kwNCU?kJ?ckQuwMy;$CJ) zrdceb$g$Vjjt%4a4Nq619+vZEjfTlqK9UcT4sOse0r)C1*bv<3l5=^9sF4YLH1c5x zb6x?dDxPPEz1fhi`N77#8adjKQR+SrheDCeJfzDLXG+}~8XMuX=cRb6I+DmBgcQa- z6yI102ruprs0qzYl@kW7a|gW`x+F>&*CpqP43-gkjHp%&j37VYS})z9|eKCx@8A z^-n1H$Z>g}{7%T+wT#*LrT7%Z-JpVpCvZ5T-zIcX)oee=X403P#Hq0JA zo>f`S|6^2ZbVD&_{d7`A*1m{>w0pm=yMd+LMuzx+bGnUDhzYY$@W$m4nUXi-LiprAqH_|DD z^jR+i4Luze=h%)S9Iq2@Z~q^v3|@U)xwesTX}D|_`n&A<*SBlzpwP}!b+7;3X|EHgZzQeb%NNnCp6hcMmoJ&m`KL3JO-emjX=t({L}qk4Q)q=gy*zWiTf`T} zomsFIW}YSpW~twc(HqepGB4rh<;7V<&-+VWCb`omy4%BJS9&NfXos>*FkVWKFpcu( zj9PcCq#fa~!rL$Q9nis~=Atz`q}97bmm){{*4&K}N;h!GCN)Jeg zVd&AbX*5{$kyY5cocx$8GLe@%(D^K~dOImj`;SnJczz6B1bL|oa*hTX&bLL*Sv|Tl z%EF$(KdBqKW-hYYzrfR{6CMT0 zCr+;$J*bB7mEyhcV^y|t+*VHvOxw3k@*Z9$t-SnLrP$Orf2u)UJeTLZakaz+!%SXA zUTO-c`R{DqC__xOtp|DC-wuPFM53!$x!2FSm*}*Xu+!W2`aCUT^@+S}U5Mj6+E5_L zqs=fK?Hip>CKOZAti{cY8TYhR$1vc=r#j(mlxi6i%P zF&zW*4){!`_saNK3%bGNy}9T@BKMMG%%QGBA1C#LAND>1J>#^`liFJj;RrKcsIx_- zqZ#`4B@G@y7`@f{{6Wh6+ZEYFtJ;$BXYMg;EWPeBH?*}cuJ@Sbl$*E@D>`U=`BH*? zv1X-*GSW7UGYdWX@0a}1vQf_aKb<)jTq*nRa6dL%7E@|3;c_Ys`<7CT#P_{of9?<%t(_`J^I`yD#Dd|+Qu4T^P^S*uFt#$@c z6o*FJ0>_4m{{1PrHex`TC7Q;kh<&KEapgWk)#Q8G=&YsM{o zc8`g&2Tf#Z%?y8Vmm&jnHX5f!7l%LkU|cgld}o%5&3uougg&e=-2c#4sCl#OL+#9V zhG8+m)fRav@xsl=#R>t&kNaA6ML3oXMZRhWEo)ESsVJ#Si4a%o`#Pa~rN~XCT)bT3 zuvDE*i}wWynG;1u(c=Pq(nxQ?&88yRj?FUrE1se+uM6%lwUZ?r2aCEg#~+&`Rde0U zmwGa5%?Y|GN|QazjPXgnOQ_rC(o39goYzwsyG{#rHfs&id$Ug(gQ6C~3T$8V)tRya*&c@yQ`qpd}KoT%Q#H;K{tn#QFj!&W}R zmZ{6ejU!46p~~~*bE9phb@T4sKUpL2SHIQEOlnXB7bu#>8`FB~Ta`jxZ`vT1E9(sf zAFttpY{1M8vrTJePu<2LD?)xs=(O!-=78zRA)$fAqPWC=224lFNWTmu=+$B~H={;A z8U_#j$)Kd%B-*W}l;$ivJg%XxU0foX#r(N>JH*Ux+nz4Ry5_0TKdCP#dLt+fvVBEA zQ=elx8m;FhWjAbKBdZ^T2W58r@qtCmQRc?K7}RN%ki& zuSOit7d%RlFXKK@$SyOydwtxXXzXLj^U>~j?uDYMst>s4T1-9B+uEi;Yw2#8w|}a~ z#^-3?aYMHUeUwWZC%&)n&*yr9WqaIi;!k9RonzjWa@@3*M8xThv%KHyDb3}Yvl8|5 z%M|P6Q#C$!m!T_FtSkM-<(v`&$L}?b0CshQ+}1MZCv!)=()MV}&HDOjDWASA-QpshTb7Fpg|k(qec!g1cQ zfu|M9rLETBN0q1%-WE;0&EEZG)Ld_;2AG4r_7ZYT-cgl!IAp@6wbDVHbC#f2d7Toi z@g;lagN4&cP8BQYNtf<_YqYh!aZ%p~61-dRqqRR-&(}Iv%O25bJria9_|ahFiRv@k zS5(Al8=t3y-kvR@ELAtk5iP}4T~}QTD7`g3=BVm(G4VO@pAXziR^7zROr|1k8urSj zD7_I!mWDXS=`G(4uIIHVoIV2^bAK|8!;ZAdEX%sV1W4t(nr{{nIHyLvuCea}&EFq; zq#ickwYRdXzqX7vE_`SFfjG`-xN7QAM$jbA()(nIw|#@tctpQBJ?P2w;pX?=_m!v$ zeU9R=eC9)s%Bqml9d1wP6?Lgaa~hXY1FQV^>bH|b>jo*O>(iH=rZ!3|l?mPkw%Wa} z?)DBao1$ayK9>Z|cada9pLq@OlQ+E|1S4C@nrx6Zjt(6aVjQL5<1@8RqE6Hl?-pC_ z)PeI8Yt_9U+*>>DGfOFt$5WLqhfIH&s>&wxvJMp9bQ^M|tiJocep4)SGQZiV*glox zAzU%Vv2)A(U{q|Y5mKko;_cJDf%}5p+rBs1ax-yu7mjH42A*a8t zcm;V|>_}N33r#{d35^i@mBs_j5e+0Q?(AJ%_m~dN9FbclrmAn=QtxsrY`6@cVocf8 z(kYdUfv`{eY$b=amE8JBkI7(9{r%eP>cR8&Qg(IU5*DuBuqUqE-VxXQZ18ApcG`ue zOnV#TT`g)2e#+c7c+4(jx~7Wii{pOWw>*7lSX<{jGv~c~qbG`Ao|s^UoXgABJ(gt_ z?K>PS4lU0AhDu#{vEPHFRCKDDeT9-IkaCshv)cRl(B$eoLIwEqRK@dZa@SkAx_(>c z-N3b;s^v?;HoeD9m64kM%~A4-b|-Hcgi8LKR#iGEF{~XJ?6Ps^bJ)R&9+NgsyD4e! z)ha{pmBVk79awI|R~+kF;b<>^gs}DdGbiR1c3WBw=0R{EJ!e|jHg?Cve!Huvzs%s2 zamy@PK2cN0HE^V)NwZfoM^_~|KNw!8X&-c=yZ;1ImYayeU5+b+_jj zg((@6GC5`H3(Cg*d_UH$VhPZC@<`hsO9z4bWV|L*UrPV31OBJgheB=QHuP20mtzTC z$BmXpo6=1&jD_&pocgpNzZ)(6aB4w+fpdbAW8TfirBFY6t;ziAQCG3|CFZ1)(S=6E zDEpSY1kRQ23h{xdv+}OJG&jz|*_tBmv+8<>#{Qd5QdYCFamj+AYnv`F3lS;_g!>J-S*J<0gp2b&)-ym16WFIv@cGCFn+M?x+)VjFq z+`>=#mW_HQH~}Nyd}{KkZHxBQa<$2N?rJ!>nvJo+(v$PQbpxiB)CqNy#4HH@m_ zWtuTHYWKA_C^K0Z^C2-)p|2afH(YhiZ61Aza=}U&A!F55pya-A$_k9aj`vguqJwJL zPyp&!la;l_bG`ntM8pybJ>AA*podDo(a$OL6eLLwvqH}6uZEm7TIg#`X%@%@QmrZR zvXuA5P8vSlaHL};!y>@x`K}}(%t<9T^7n$iB|{`IBPS_eEju9N94*{xQExd>1`)ZO}>OPCKUsTz4k_YvpD*YaE}R>ve?VroM5 zz8JQU4;JQIH;(4cP7EkK!eB0B2pP#3X7fh_IBpf8ZwFgoQVB`6{Eq~-HN>cy6J zCU;@oZz`OG8lT7ZxsFtKi9+{(LobWG^3L790{z9zHx&{&EscrruS zlA~nu`oktH^ZZSjy~-Khb=U9}=?Y>V?704mc^T-Wmujo-Jrp)R`?ecd<%x+_pg^Y9 zs@uyr_7Lt1`LL_Im~~0Y4f`fb=MswFWeUSaHLlF4J@VW|#pL|6avl*rxFeXZEG1^31i&A30qx=uE9J zze_Q7axeLMS4Kf@E?-qwRCz#;__m*6%<~T4V~@1BTY`e!VWbykACi{4wWil%+1p_~ zf3@$boB4;yvLN~$Ea%*6*0uGUXH$@cOmf^4CFDvy@OhxP(fbA5}|sT`S`(@ zm+yg$g&2O;F4svR*vIqJRx>BU_f&=sx>bMpvfFawLEc*P4jFJzhBD^&C0|_YHpb9f z8fl+MD{A}wFjeDx-}BaUvDa6hFP@0oTUpwd65(>~Z1+e3EM}=p$hxp6=t#?g5+&3}Sk;~VLZ~f{&DdAh? zuS!>q`DLuH)iWks-5*u?^R02ByI|u|9<9Q~LNbt=50O@nlYuLrgD3+E2Ma?S0xXW5 zj2p1Jkt!HknF@DT%V~85dJIx3>{R3^tK>U7SD!aXczevIPBrBEOX(XfmJSZWYBf2) zL9S8;rAF8@tz$GSVZp6JxzM36*Vp2zm6u!Ktl`Jrv(QZtp_!6|h~)Dr#7wUMi}ULZ&g%yfhb~hc;|5e?G#5-|MiVj&ZCnjk z-CDeJ3e9YO$~ex-FmQe*l-hk|=5}Zkj6+X$Z0|@dD<;E8@Z_pe-91xx?5$#klb+Ku z&p_X4oJGm)>1Wuu*(O$Q77FubQ`9F-mFBgc?oxSI%d&xdTC5M=R`Ug#)i^ zk^-)YXYJ>?%)aY!!o>A$|_)uo3`5?^@>cEWdhIu`BtoYJrMs!?m(weUyV9+z8R zkU!YIZORt6?ZjK>t>bMDH&5S~mOcJ$)hu(*P|)62Z9jdtJr3R$yQ6FCW2K++)@?P31CY{qA zxVQdO;q{d8(n8pK`3v{L9m-T>eGL^By`*Gm$(9kZi3iJu8bz(Esw7YTi8ZU#Vk6%TNDVkX(O9`V%pH$$Yt|xr5m^XYIs*LU+rap={ zEEOF#>G+)Tuyh2}JXLar=+gPDuT;z}=8UvWRB453LcXVQ=^pVtMTHh?VlO_iWy1${ zlzX&lxQ9lZJ$VmPnyy#ieXh;qynT?5tw=X$77`&!z^FUDXfT-g*dA%cx}CQ3a3L}! zTC{e$FiPy6b)am@9-Y}890Tz`3N4kdUprn)n3?$YO1!Z5vhg*?-75bZ!Nj{`w9E0Z z){lEPm>-&_eUGmWg<4j>O~t9mK8bjn@y)WRms|aIe_&VG+avL!Kg*l;oc~F#5wmYU z(r%J;{JBjm!6L`y*C*xTz-@~5VJRja743!2BmJ{6)bf29AA3d$MQwI|TCXTDkUbpe zeZJG3Uty|hX13t%TYju@|9uPXoe@?=gVDSGHZJ#=z7gM}D{o73PiTI@~Ly22zH*Cb*jfx=JR{A=8ptS$W%|Ezfo8=oq zn*V<5tEqk*^C352sP$z^exljwlZjH}OSMxUtzR_<$pLtF#@O7j`W+*a-C<`BCA(Xn zJg2#hI-EFQwH|6^WTNjaF5lySB-(m=%BXC(-f7jc`cF{~*$eagt>d>JHz@Lyw|YL3 zcL1b5k&y5j2(cCmORaSr|3!co>MvG?w>KR$#-%@U_^Q$DRFJf*tj1*fF%|> zWh1rpZx9;N8*T_|Bw@GhFn!gi_=k9Yb4QGqWn7gV)^yBxVl}B4dyArF%oLKmdCOW> zYBlahv($bY+_pfAyGQ#`kE#3aZZBJS`$cv;Bl)@i;M^5eQJi_vkVL}ZN9*50(o<=+ z<{bw@|gN5 zuiAYqCYm@>U?UXz@o|>D_P)dyvf(faCWLmtHS_pHBD=c1r)67ogBNb}6RdDxr(5O= z=WT^kYD0}C&MgkDx+)i*dIQQm-Y?YnZa+RAJA7&dD67C-wy1`t|5x`obAGyb`_prrJH&^rvfHaAC#r7Y9_xo7 zXTvJ|@RJ@va*OZ23RI)=kBzwg+gg9(4uR*m^Yx^{>)OZ5|2ggyO=+~j9ei}zU0ZD8 zj&(8afgs=Vs)F~WT$Ak|xFMRJh@x#hcel5CKgQY%<*byNLK^YgpzlvkJFCd38k-^w zS_-Q4AA|QK^)PIkFEizR^c@xTBNfxLvbP@0 zMSZaf_o{7~EpYbdzCM4xFF8I$QLiYWc;9XF%mW`ahlBrXOnrNL-AYERXA{GDsYRVu zs#7ylPMNWMWfo&Q^IIdC)n311B~-%y^e$Pbv2u^n!iDs=*F~NuT#ia|p=cfQom|>p zym{XtQ|3nRzDw^?Z%C=;|66*jCaw6=_iLqwdJ4A#FW4{6M!uAaow1COtt3~hHwPYO zmKtT5IQw|Bjazr;>FQc3D!9KX%{VbtxpYsLXQH9t1|0+714&-2h*tuJ(G9md^!q`9bR}FP7r%B_30dJnlN@+&hqXffx_$&hh3XV zg2sAJ*TdaTr7Ed2^u?QZ3U*#8*^%Jt^wPHITT=0=E*p1j@Bo{1KYZKf=)dMg+loVM z9dduE#b3Lm-|jA)(c)J((rmBiia+8Bn=h`l_rBBXFqJ6?^xomOE6Xu`|4_UvG9;$y z)X~ATV{_|f+LNexW!Jd){+tArgP(?9ABsEDI9{~B z!pBiIT%>n+edD!$hE+mi7k zipsgybhoU-3RfBjxjk^(ktF1f@z+PCn0d+;i%8!*;nu3PSl|1Xmi`UgJ8d4G@8_|X z=P>Z2R+-k}eZ8O{_l2zfuf4{tKMpSG7OYBA>ndIadhELX4%>^cl1m9}*lQqL)aW-o zJYpzgec;&k64=<6lJ?a#_oE$lGS+=j&E5)$2ND85XTLB8??z8d3HwWtB=)Mxeh`-x zcQC3piHJUuoPFo$7h|W0^fc#|OK(ay{36l{%jomD3 zJoaeiY~iXX2WM?f?U~cOV;3!VL;rEtHv=E_n@FSb*D$Na532X9|Hy>P96%#QRdRfE}JC3s!8=HIl@P9A#jyM!#Nx~dd_YvbO#Yz#@ zdnOByl!t0uJWR2n+7~QFXj&EJ>8Hq+ea+X&xBhaly~`o@0nIy(P|A9Ce>w8i@Ug21 z?P5!>ownXsa*5@;!ckdrS-HxzS>@&eq%Qa6ezj9ZGinc11q(6ZPBQ z*HQZ*7}IY^FCHmf^TQpxG3hdR@4bqwwo%@fRIKq;2Yb^Tr9 zVOeSyPAfAldSkh*9=-q3$e37bfsXm^l^FG%r&`TrFCC9+`hMlr@XOPm&b&B#f3m1E z)28d2W8i0VRs9B8kvJ5yIVM0OX#wpprPFD#XXVKFa~f5!sXseuowsJ9B*I4LI2Gf-wCd2Z(Rl&!dm7UH#j-vDw_%aO@OE5ZvV==h~N> zmQb12&)EL@?azpMm|;icrE!~ZofT8?;@@~@-7XtW>wf$!|NVQs6yNcee-E&{Li^UX zv^k{wk?(UlWfeC0^PjTNos@ueJ6A*vJ|!pdCvcGrns-(0NBk`RrI0!ITn$j8jKTL> zmIxL8CsZxX1j7e+jvpVX{uUeaD>%>UX2~1)HlTk<%S(Cp^`O)Ev~T^XGz(+F>KMxv z+|Q=#8b(NK`Gu3@x@HV#k~o{E#;EsN)p~e>3tHf7_+Y^LW?@kY_qu=Cx{Thqa z`S!cd(62;Vi8im6H~0HN(NfQaxN+%hLaFQ@50T=PlO)t4W;JH;&69=naY64l+Usr8 zC&x#1L+jR+Y_oq@n3^Bg#^;Ss{23eP9Dc>~za%pqfvwW<{DjNfm37tEUEkviiOzeE zy-p-h-k%A1GWEe`-XTT_<~$wo1ZMnMQZtTQW0MEme()jp{S$+F8>?|9G2Q!a>V?0_ zE+pPklxIwFT$%FGO?VV2SYB;AGb$P4`)^xKLbZ&km9-uwzbYlpuJ$h}(TaXL(~8)fa^B zUA7)moIt>x9pz3}88Z;xVFR}xh<__Dh;T8~vzntKH^7s=W4smNoNI|#-YDwP6ygRi zvbd9UwStHEo&PhoVnQ;r7pqhG#&o8M?=GoB5Wz-DWd^@QFIQ2)Y&T>yMAv>nE9+gm-q~pXnCK4n~d4 zwyDr8^i(dQn}56xzPdPIkN5LOZ-+}{CSjvNzi#{v4)q)yx(JRT`#<9f(+lAvRTFEv`*M6MO4~fjTSvH)_9pKkrq+97((OJNK zn&(A?&vT@j9ywEZ+$*?zLmi;Ki%-swmduRCoc*K|zNs~$laFIpn1$t@*9ka^jl4Ek z=b(N?3_y3CQJ010w*VIOQ(HdiXeBc3^{fQR4%ngz>6U>1oYDh;y`o*hw<{Gd|6(_6 z6M*{!u;DST%Ng$Diw}f8M^UYX9}9C0#$Wy5zVKOT$x+>?t!RgALmHNb>wNJr#BMkbHKj_$jrPR=~)Jb=Chh%pZVWjnn6nzGQ}fwd8(eUVQZd(C|d3c z;P)L9Sg`12)$O^sZm1{U5W8DLD`Y9+QX#&_`SIFe|YdtAhLL4 zPI?OYjh*`r74KPw#+0B-Zh~3eQJ!Uj{S4|@ET*Y@6#CGkZ331SH$oq>G=MeZEqI~j zR<{Dk-V?62ip@vrwP?Y0q~NHB{8+PW$*J*8rj*XWGdup>d&J5PE?0JfD7@;&V?6#G zn1NRG0OC9;>`mpa8Buid~olgfnN*5>v8G)@c-M-x3L#fWELHsaeP(T%2FH^n(@p=QL#Hum^*1@&bS1=^#QP z(*XeZ>WBhOiDh)c=ckAGJuCxKP2r6BxyYKBOY{Wkik;U;a%rH2YKti08&d2d} zu$u?-L1%^`1Fa3WT3=mR=XebY8O-tB&e1)g;OUBqrHXV{JM~mY1~sDFI;tj5p$W=> zgk~WD=5h2@R3y!iEj(-uQkY|Tq99rckjxZ=?>wc3U%#64J3T@olY&5^3*cN{K0F`C z0kN~_h#_>{D4H;g7QW3SZJa?^I1BKSRA}Mxu!5(cv&e)$xegTrne681rhN^#dj;cZ zf`)I<4h{}?Z8>}pKKlsuGL>`&a+@qlZPahi2F+$e5n2nV)_k%P+`A5q#3#MlY##;e zrVQ)L$=ET$=*#6ap`Pj}Xc@&5dl4d}8g)E3dd?TJ&D+(bw2~gomrs)UI6(Wbpl<}< zBng=YKqhZ;UkL70C_;-MJ&46*tb=ZRjHexoroZGD7(4dJRQnr076~nb;B_C4Pv@X@ z7q|QePkTSj%L8vP4C_-?%S;C>xQ-G5SKD&k!}L`aNJ(s_HcRn&?&;wVNF3kc#P*UT ztX2Y)(;*b1 z>gbgoP33&uW-vz^X#LKPT$7s=6k7w7{l8H2wAb_gdRCm!eRHU7U1>5QKOq02PfCJ8 z=TXu}@sP3o{YTMgB{T&>O~?-m4AT82w3uM)b?Z;GFx?Q=xs~TE3k04J3mX1|M@AvG zjxVmZNr7D#K*>xB{&XbI?7A@r5#PS`wVxGmyG8a3NeC>)(KsG@MjKuH2d=V?&f(|8 zs~e~s$0w@$RkUNzeSSkPjcnB1EUjvpOV-4X1b&&cM_ljXNeh!^1n8(xb?%c9Uq)%e>ebNw@tnGOgGC=&T`Y0QkY>@B9PbAEc+^jN0I=9`C_C?ZFVD0`#mlTC_kbI>914c&3N`+LV6g zt{&-}qC?8lD8i{9M{~16qmzGrdD$kDk0Zx)y8AZNo@esIJg|6F zTgo#EW2zTZp=c-(4>=t?P_GrmrkU|2Gw;BEz+jl~ua}qGiRmR0nVBU2i}(^rJVF`| z!8Gmh8B+00d>dS3cx-+BRwn`3?;oR#*MDw=g*|XVA!C^Iu?ncJM1xB8@SM%*;VnFu z(=kmX1t*e(Z+9Tj4U0z^{;z<@Bg^afckH+~AZZT5hIKS{6Q6*VOn~yS13XuS@aty& zj!6_5w&v4Z_zz5YOvvWvwSiCc0gzc7HE1GK)nTkg8zrzmviJ}BBpp1q1cPQ${D*X~Rapc~ zi$d!?t#%U~;YkM~RRV$MJ6>wP;&>fcEP>J;%XlzlUja6`Ky~Eu01TLN0d2*}BQOz?_Kn)SSwxvZ``&nz(3M>x;If{eMmkk8zfd z){~-mSm^-lm3$e{l7l|DgCY8r*xepkJYUk;#oEVC=Q!V5gdiC@B;fz~s}B92%+0Mh zYX)It_GIrK!Rxm@8f|TT>QSEFK-l}I%8=zo=Zzs)Ca<0eK2j|BUXSsV1$4Pg!Wi2` z9B(z}5s*9mGi#1@_s3OeeNVLoaAg6g1X<3SZzu7k(>We|Gj~s~MH6pDvm<=}d_SEd zxVfPM1eB zxfzIKXCIadsp`lLHtwjf_Zf;w3Nv9$lUTQUPY@)Iq)X$Vm$8!QD3yce8n2GH~XLzQvR zNgHJ;0Hp-z1MAa?Y<-pESZh!YU%bFB$J9aR@zW1)Fz$cSR?Mzd97hKz(Pnye-}lkQ zS3vewD-nEw%nfZo9pj+Y$knFL05PcW%?_^f9x`CDP&CJb zEQY5~%WHa*jrtLNXn18h@^z>>z?2e_n3@rQPyVo*M7yx<8o)}|x^%wNW3)FiQ?sp* zYe=6HyN(Y%%$kV8En(1Fifc5q|YRi!zCLmctp4`5SrTAeanyNiEpxM~v}I*4@m zqOOD*c!O5FmIuY2-{JU!l_s)a(|Pz23HXuoaOgVg%PVw(X{}DoghFGkaSmw>A_tP| z@Nl-FN+2p!i5Q4|1f=J1pyXi(1_?5oQAAla>$GOz(>Y+Zhr4oW!bAAB6QTk0L#=M^ z!G@pGJU)UCnVj^UTbkj!&XT~Z83JFrq2dhOuY6~vCQn53o(LEc@pHnrd$2OjXb)l& zZmut*0`}lHA8jxQRSXC4%s2jj*!9u0bqK_`9yXQmA^ZXE)`=ydACC!Ko=WFas3K#@ zU)G+TC3an# z;tLd2Df;UIG!roA2B`mBoLge^<&BR=B?Th|cT8zL+U3zo94=eR!Pqi!JVXfjq4pr{ zvLpr?pTuw%gzWFa9##kRR8+yjHFQqTI@9Asp7yB{;oCDH#ez3|40)1V~UznChqpo$Jc^PTGJKXZ=W5iHL^I z>c8qSp0e;`*ritcQ0%o7m{u}OT7;C706{z0-_2Li>`M2CN**efz`hr;{&e@8Bm`4T zB*j7;WdeMHFtTgo3CPSe1*mB-60TU}djy zg}CFmKh_h=1vm=;;Q z81cI%)O-b9y#Mh+3&oNcp>q-3bdME>_&~GHW|M5%Gryl@Z7t-qF`l8&sAAS90FGs% z^;$y0Rx>^W{^*`6`&c`ur3z;}u50`Qp>JP*i$@~fQ5Y&X8^N-R4eRM79iLlJ@08Oo zRQIR-AX{{}Y7INa_1Qg9Vl_u{WS<-!<0~Cq7mvBhI6fy2x!=u)2|XNB8=pe|v@X#gTz8OXLxA7>u-`}bYNLp%`lpMSz|lHxtE>ILH>Lm3agwEE|6 zpp_^5CFy*IP=&5!<_3ZW0tWmDNkI7aB&a#(A~|6gVgZds4Zz`PNe+m$o1lLW`}%1u zi7D^3yG|4~<0^fwtsB0BBYb;+R(CWFtB%5SLk$NGaN2j8tcR|n*_CjEbvns=zv7km zzdQ=QLN{d?Y`{LD+$yVdZ}YX{d~CbA)J z2j?lRU7ly-g}WfK%QYk(_9p|qwf`ZYCJJra2@ulZT?JT)OffXbptp?ij^oz9DAQTk zeyr{&1`2Udq#lvO`R%Y(_qd?<;55+%z(;c+?gkK>QI7G@voxT>LoJYe*;b@TXkw6c zOrTWZ2VHm;sy~5IQx-C)AOC6RWJj>j<#JYgqBx3y{ICd4(>Q%#28l{-20t_d$(gVq z*ZU1u+oscDQ2xQp-5#iCQUjVTpw5*4k@ZW*1(mrE;<^JuBxSgK5C4hU|2o|6`>d&0 z&hP76Q{#I~4qZF{i2W$uVk;9AHZk7?S>FY1hO#=WqiM)Q^e*ZW6o-V<8h)%loEYgB zfJ8pTc-L<8Nm3y6vmXhQz`l_gI{Bc<#}h^6)&9?e0DdYbz+-|S{o7DaD-`Lwd!n*3 z8UU!5m*B#J|J63+Jw&7I$%_+YX{jk%k|)|TU$8xDa|Rh^l7k3hG26#DdrKY{j)pOrngg*!a6`qOcnJF|wy_-(uAsCTLq#lJnh1mOa_xC*vL z_RqH}x z$_goWlb8(S#@~l}aZEd(aq*EJNh8X$7ntk;&sJaNTGXKm}mQl)vxd!ecVH`B&zC-AD_8~g#I>*%dwP*#qG-_|DOXyUo?bHw<0 z3|AvR2dQ%S7p@0a`+>USYnn)_#icWwg;?Dh2{8wGgiLGMBms&M&1 zW-ISYThpn%y<2Vcq1f`P``#>0MgpLK`+&l3-S!lpO0OH&fX8^+ky#*@ndhI5&!->I zA}y^kgFUK+iZ-YhH+;@)P!Dd%K`odSg)={>1ADG1pu-mN`WYaUXRDRXYiD8fE3^h# z!o@)gOERooDjtSoMVNp4jI+nLc5oH)akR94y_VA&_@`*{0YoH?FAlpf43#UjB-Hv%+GB_tm4bg!0aoI7KAv9 zE@9|izLJjM81xmU$y9YamwsUJH$<ew%`=16%JiANsh#&`ykgT0ZtA#IWkI;3=7nqh#zQ?UxBU*AGhn?a)GR8fttv$XF} zo$Z8JF9+|7X>8Ow1@F6Z1i~WF*%K}l!$KOe32RiqO|e&=Q&6cu6kyVc4b%q{TDR>U4^>c29tut2 zq9}a+y+N$)0y|W@ixe3Ygj!ykV7ii`sqy`WMCxVendP}Mf2t?6xU$z?=M3jylausXcKyf%6h|r;%Ba_ zY02sR98vrXUII!T@p$;bQQ+p3p^6)bsfR%_%eVNggnZvqG|q&?4PH(Z37d^QGQjbI z=o0;&`}Ds4r}!s1Qd{*r7Z92!bVDtf78PCo#V!!XK4T%V}hM);GG)W`-e&;SZ&iaex1VSnhXLN>+4#whX-#`1?FlK5>Uc$XDcfQ;z z%GniEtQ#t4r^XhVDqgMjGv@_B_Wc0(WWb0I`G|Hl#1O&MewyMFl#(1uuij?GIxQ2s zM+vSE-ONsl14qdv=aEQkGk$!Wh}CLoL&xwnV)+vR*}|*7Kw-RMPHS89E~1^H8?sG@ zN{5I!J;0-yw8Z%({6&W=LWh(=YLl=%EABQ=am%m#7YA4E3IziYQ};%FjbVb@>xrbR zTl~<>3H+He*8&)f+0O^-X=RaSkOE27HqB6Eu5qx`= z%#%v~p`xk-1q&h=3l^=B2D{kQ4rZRTxPStit9v()Zy;2m8Q(+Hb9uQq zPVkA9v!Xn-=PfHD(LfJ8ai63WK_7x@76zX>_7y?3}C|ba8@NS*J zovs;-^>pF-npP4TJMlC3u;Q3p=(M11}bg{Z@~vH>UkL$oDWWG>^t1S z-BQWmIbSuBnC&_hP<5q%Q6YbW)rR5cAtFx!VfRR^MGiJ}t0^b%1YBnrqSTo6dk8&9 zr+`bFRlsIVFZ|St+B`InN)-_}{SsfsTP;C)6c<6vc~B^jhx}FsVlL9EesgEUD&9(F zj(}nz9_P>qeRHVeM_;6!a1MjtI3tm{5B&_7%wQX$nbZ&49|I%)PHDA?9-zV>YOra8McRq^*z15 z)t-yZZMbx@-fy+Covf4DP5p!P{RD^}SaF41P*J(O2J&miIPLMGP7ioIg8G!-96M*H zr`3X5T3Z)D!rXHfJrKuVUg6OEV=)REK=uICRpR*OIbhHx@V&pnV$B&jlEpOEYY7F+ zo#0do9bbM_Tt$f9$FHb?6+vQACVbi+Yu3vwVP))vjzEu(Gd>m7#U5v&>;cAk=yqp3 zqz>$7CDUn*Kgd`<0CjbSB2U3Z(W>hH9%f2p5Q{A~LNvs&Vl3x;t}V_e+QZ@oxRwEe?FA6$(PKkGm0q#2>}e zW+s^g?`(W-4~Kd!8*jh>7s(TIhD5{aU;L-ygRm{1FlT0S`>PeN;GWx?5Cx!1A6g1(!gtN4(x_8iFpf9&m}8wNnGV)CGM3(pUB~z;3Slg~ivA zjk($*1dEbf3LOrnshFBI*|-QIy~9Jt{OgJI!}6=4X%9W5NfhPz4|(WA}x-elZZaL z0c9n2PzRsQ)!~}mhubGnvB3cX<*g#Kb_RZ#%&xiI^?FNu&l&=D#3N1z{IA1%s=0dk z78(Wt2NT(&uK{XcsD!MVBe9+opu6ga|LH;W;5>gzBwt-&rdfkkCV<6zB+VJ3paNxW zY7FsZo1f;NW5YlGdYd9)^{^f8l3=bhxJ3{#QJ@f8O$mVX5p-7p+Ud8ya*-gn9{zq4YL@WZXu;P__>0nz2s~gh{qVj=8~TaLQ!s(!<+7zIaxF-= z)Axb!e<5e{iR{oA04oL+Fb?<+u_K7g>7RR{0~rVacM4h_;(>U5sH(zm@QEeh`+40Jq1mieT3RA?r>;EOsu+Orqrq?7VlM^}*HA3$Cbc+v$l_z9Il5O%dq${of3 zXKM)jcB0MfAMVoFJj8i6t4;Z^KkT+UnDpWmc-Bh~xhbsv7T3n7d|i$J_x^zjkboEi zWMSsoA3=&9RL;Zt{xC>L24rXea|;lBV^$_EL+#26&5)0O2#D^5#+bAi-CX_)210uk zy+Dg+lgc_i87f}m=-}jFo-%l*lP>~IikQdJ+3z2HipraRXI8GDAqK(4RH$=GnmLD4Q+qI17b%N0k$Lg1ngD!yZM2 z&Q@#3QB@#8554;jY$mvgw~pgGo&`(3gKE&Wj0_0x0KWVMy|$(X#(0tTf{Oq10b(ym zx(H?pkhRdnl36SzRO*c_OCtukkAoL6bEmqtOeaNOQ(Z#rgc>&vTxYe!6_^PA43W2L z4sF|nVuX^p^qX19zi7n&=Jqt?uaiqBiO>&1gOQTIhGxtW`eWN8gBn0yLGZbC zSR7>01$f%*6xmg$^SSh9<5!7N2Fw;({0;nf2LT^tT;Te)zD;+l>k|CffiEVlFc95+Dqsp zMa=Pqk2K@dYzq3SJ=>`@w-4+7phWOB69;T9fEg8Ufr|v zJmkF4-(d1jxX?4`eYh$J(Qpw#+8>b1hB93Md9~dO4}&&~Ytd>`@L2HR|57+uRKU8# zB^yHN3|QReA%@^PAhJ}8))+k4Rt$C(ZZ*j09gONYKyG;JGHJ@_)j@vn83DAX4c`8j zPd`s5{OFh5iu(iH&|2UHZa?<Z_dnzPBB;*HEJMI= z&RX6=8Gs51MCL6Np;X5KG-E!ZSkV8!W&8JJxqSkU{LgXYUhw?{#jtPJ|FPUCv(bp; z(!0R{h{VZ&B|p}-FJX85FY6M%I%Te-rRTQPn(5OmitfPFCqpxQwPO5%ohXXbYqgEpzR!@ z5ckO1I-6)eCxJ4qgvZN25Wr`j*%H`{Ddp zXal6>8It2O3lmFbML{;FnClkd_hzX^^Pc&)*cKPeYH( zflkqspCR34HVh%*J#z!R#V4f!@Hf!RdqGF9rXAE6$NyQtuyf7+6WAdI0phcHT0Mhw zngMYE02V*1tkL$k{iy_GB@aoI;zzXY|Cl!U$K#7!P>Ks#iK9zGv%#NQLh86^-#9fR z73W<$&sA*y=j4UY%|740Nk&NwSG;;%D3QM}=HZQ#KlVkw>V6ejDqng@Hd1(9)F|I& z&whhD*zFgTdDXb)wl<=2)0&xu@~>QAIPAE28O?gUvQ6Cm9FL{&<9wdKfb|Lw5t!Eb zySGSO(zmwhI5nKXI_Js$m{0+4BUp)#?-bAs?i*fUEYRLR!;QuCgPlJU6IL}gfA`Un z?yXu=#4OOoSi)uw%of`9S_2Ac+`xaRen)s@qfbg-4C|`{G@m)K(*+GuymDwL`)H)#78`x) z?1ld4C@ven6DcVE)fg7c_J9V+=xO?csGT9@qCf`+WfFO116< zMkT>c1AeBTvmm#KgRaIoN)0&*D1O6ly@nnuF?c;7Qup6Kg9Ky+P4-7qH2VU>iPZKd ztSwhiaGe+Nu8p5gIzIi4EZw)RX?hERvaRvk`wZCsn$*xd8A-bng$ujn0R>{S$VlC(_=n zPx0N?gx8P4D}T)j@j~quqoB6{68Z`jony{lq#ZL^%0g>#fuUSZ@OHZYQM$z~68{l( zz^Q{@{x3gyC>SZe4L{d|klv8aqj58rSt2^;*J4CL-B<(^2N?uv)q(%;ybOYeY5FqP z{;QN)lMw$~5qrP*i2Q`z1noyS|{CBd$ zR?e-p8>O!@=UUnrKoW6r9(|aTc4d^Knicqe?Opjllwb5OvXiB35oL+86+)JoEQPWZ zl{I54JB2V9leJ_kWH*z9ME3QA8Dq~jr0k4+-_0;)#?1HW`yYIN|Nb<0Ua#kzd+vF^ z&pFR?@AKTNm3cS-&GC_#o>;XgJhr&;YzbCW4yCQ%6^siBlU=L_7v2P`-vmqGfeBiY zYhw6(DYnEC$wL~%uE1!L9TwR{iRocL6p1bSMfxvyAA%)H;`edcr^Pbl5!WLr7TDqL z`}PHwR$k=tN}4lmx{G?ScYdgkL+PT2fl3;_ za46mCMHbrBp?TI}_mdU4Mo`*NI#L4)Q-JMC6G6!e;1G)ivUzh%*oM7ebuLo10q!Tg ziY>?iOUmvLoTys26us}rnp_6!I?YFVe9>G^xEndQrB%-QNJS($p%{nYvfS6KJedIf$sM5 zDAcj(kB?)vgFcdKXv4J-n)98p-3=m*4#+88XlB~)U2jI5&tU>^$JxYkc*p=q3&G!X zwFpulwgYKnAFVr^w0s&_54|j#=R?8Wq}lkvpvllHz#l9RO%?a+BANjj!g;E`_HZqZX1DTO0l%va(GjI_2*R(=MolMklDfd> zTRKZ=|MkuVYQiK z7CfXKg%>0$diOMaVwwzTC5v;6O9RAlxeP7V4jaG;rUMA__V2pyc^t^*B|+qojTR{o zB`jbrI(4i(AqaMOX;qm8wx8rM{d@v6FYdg_#EQ?GNNLJbcF#&*o&TNi_{+nTXrv8%oE??O7+BH0Ed;0_nwl#YJ*uHE>Th zPXTN^oB|rV6gvAi9ckBJ@^i_B|3KWK@MIrG#ni+9EY-T`6LZg?f!d*zOi;}rj3p7I z04cT}Q{4k4Q3NoWRjs3hzG3(o7{KjcS9by@a7i!W>Hl&t>n;*y9F`~v2BiI%H(g{% zOCfk%2wHHf*a|CE~Zo<^#nvyQcaLRchAw&5Se3XCQJxGFe>Av!2Ix>N_t1PKQ6TI9Yp4V1@o2@xe?3L_BX@{s(SKSvrD$5iqA{o_5G`{D zzC!(FPdNtS0z@5H4Hj`YMPNyvhxlm;L}VwSlX@@v&(O>c*wv*!e>{PYw1w2Qhj(js&OrA3Eoaup7!qMZOc zKZPIuD(cq-_zu)ku`Wo`{=>Bq90zc&8JrXFeGxjE@(}02hT2^j@d>A$Cn6&Nq(~$C z3a2|y_d+Z|r#{2TSlY{fmx7Zh579OkDTq&|073=m5BefEyRk>Fzw7@x;fFDv4hg zeHUg?CYnD{1hmIqoVGk}wg>(aYX-so)W(px1%(AFo-qQP4@e8{&{r~^`-(8@gOy6B=SkSNZ zW`y?6D#9^VQIh?eStDPB^{?jpjeN;Q6IqcJpAGVB1(jp8qP$`xbzu&iuWKa|OV=wt z-&I&T!j1`u_%~cjRFO5?vp)D1Q*^&!r7C&aQaYjX@w>~>9#>_o^16fHv)v4z`pV4` zVPN9RZpEO=x1j#$cqGN*}vRSTKo>ffC%Wn|wBy=`#L@2zX zV=eut$q5IIIQo9@&$#Chp&xOzZR);VtR)DT)~!|pr-P18i7y6jGv3YT z9`my>%zqb`u-RC$ST0lO@a7YLD54jnH*d}LCBa(6%T>>T&|r;8cmdPr))(@Q7ka#Z z6urnk<|r=1W1WkBpWji)#^v4bpAz|s)ynd8emJI^>rd5=iZ++SC&w~L#D(Yta|A=| z3}Y;t7qn_1qga3iRC)Z~&XLpij}A2rN?Js+u#39Ud&`u+)rcDtKb8C1 zA9t_wK88#?zu$bA)6mu>_)^SPrgY$|RtE28&Gj=3Q+E>PpG12wyjR!6RDoh%7k$1mZ#NG z@Ss0qJR_8E$uO;=T3%Jh;Bm$Z*_V1+`gXo+qJQC00NsdomriweNWOd=eV^1*?VM;^ z;8`Uo1Frn+2|XJnTFV(5GEa$aq_&THHB_w+wK{X-T-#@9kNSo}r0+tT47RkN)r%~l zWV7nLU-VvJSC@CcEoT>8)$=Ck$Wv9{pu%BE#T{a@w6#Ol=y-+}{_)G?{6x$EHBx3cJo7Y8Ip zuiniNn2m^XC9JG&T!m_>Vp!C-yu*=G(} zwZ7F}vOc^kR{G&KQ)5#|U+TM-7RWL2Dn-{i;-ZjDX*4ZZW zLM3~sZH<}xqvIqY7fFw)EOX*Gqr+dbvezGep08r{@Eo|cpF#*r1$4jpgJC548(lH} zAI4GxI;8#!)}Vt&_{yWoU$BIRZ=Kt?jH#Pb9y&L1A(xEV8GY)m4zn3{iwwKn+xKbn zA&rZ4oCi7&`MEwd_8I%NS#_DErv9rZA5O2=hX-r#e?z@dX>AI55Ja*zn@u{K%|4o%7E!+c7n&Z_GgEwa6YAyQ-EPF_NZztKu?f$oqww ziZABAK9+C3>UWE@h%vFZBB?5lD$ZToCk9QT@gkJlUbwc7Y51?4a&l<_tf~3IL%P0 z2XXdJdr;?Wjfte|W8Wxzuj( z$Vz*!_}fG9fAf59d+|P>YO1+xJXKz^tv!`A2b$xYLzyss`lEv&d?F_tJ$J*=rTLz2 zUQZ8PKIyrwSEhW+=e%QK&R5*@=8gHK*}`?ptlp7&k;Y$tu=&($#6Yf|kS#+R@T|jF zMvwbKAl6W!Uf-=>chBiHa&1-&vh znA6AN7~C@PHjK%f+WWa_82lk@1VLTXCL83PKA6-W3KLKP1**`sAn+Xd<=0@MBNl8F zW=$RnS5CCOx#LFkv{uA+tBJZ@)RvyJhLbpx5a)1Xd|mcs3*@8ndE(9Brr*ax2TkFd zty--RZNFz$Y?m_e-H*pl&oreEgVU&_Km2B@iX74kUu;<@gS7>l=cQaMxVw{-cXZil zOgkLZGbZ#3fv*$p4sOxF!`>_Uf&B08Jhs&)t4{{#9;v>vcqPZYw1Y`;beHf2UVVx_ zK$LB}^zzzH^n3%~zl!5H!K;{y7>8KoX??UY&1BaRO1#JZ4HJNcI%;6X<1c2mkN{^6I#Poo5+xcv0P z598M1@2Lup6jyVyb8^$aBUeH}(Wj80oxwLP#zw;HVaAQOj}ZRCWnaCIdcy~)o*T49 z&wvUZ{3-%^Tld}X(iFOUD10e)F_2zo{>9ROK%Y`q9e2omiVR|fUA?uFOh>q8GAJAp z(i*2xD6~K1#E-8l+<&RQ`b8;yHFjLdbmZlR)VKY8M#AFA#{HKUu!=gNg}cT}1A?vw zXMw}c4v>eM3dSxs>L%}nhU!kKb-j*^bD<+7n!G$qTJiLE%i{PC4)-j4qVA}$7RuSu z9VEiJTeK?f#*HBfq1R=!&DQvCc(ty=)%?|ss@|W zWQ1*TsOR99@)sZ&#D3Qcv8v~3qFtm5QuklhdX{G)>6HU9E6;hxxrE4j71KRhzm|0} z#(er9BB3=6(+x#zZ{;#sq=+A?Js(X)cbDA>IZlWl#(OPVDe_F2_RJ1cUp)aU{dVzR z5J?(_n#2#PZ)N#ui(cico_nyA-^87Z(cI)Vmyz;Qae`|q9t{ATIpXCeCpnU*Lp`(i zJ$1X|PbS~n&7Q;R?_S3b_7-8sWqa~v$1W!|i0v`Gom_PV8Drh>TQQ&$^a zw~_}_y)|{Z-^&e3il$_Z8qXii##II?19RM@&EY!f-+Zf0BAW6a`d0^Qmh~q4w+#aG z_XGX3_HOe)j4@W+$N|@EK{pSRsY5rff{I4T1*w~}JQIR$RfVVQlZem4y-B)U6};9bQ^=JRmZJ`8Md;RX;ci(Cs_%P}af!=9J*ZjUzxfEW^T_4cuGgZ; z7t*V1+bFt>iN?=}&P+M_GYx?a)>H%2e7>Dt+q<@RUdjIG!)C+znFkbAE}B5re|kq( z{YHZCX0-?vAU1-jC*M^sg*8v9$z3+{KJ+Ri&kx>O!1a3qyA$ICQ+E0y~3{2#YF#+=B*LBQHV}oOfO;jy*z6 ziTij?5BP~w2p3k~E-gO0WIRh=5r^}i+EfI>K&OGf(Fs)03H~8S$*YN8zO3(ET+`a7 z9Dds{9($|E#30)|#kIs_igi%!Z);NP!;JK#p)e3pI!K-<(1QkR=E;?B%R1W?geo5H zzSw)R=eKur&wP)0PrQP!;an*PiWAtrfqj&LKb+9YU{C)_B#52J7bHwX&w*PT=UC>1 z_aydw=|axXo@0jX1NXxASoc7CH(sd)-40R+x=y^}I(zrzOo!1%c`7atSkpdphDHlI z8=q?IdO`bQH)OKV%iWsnRr|A;SGz@!bJV(Hdq>%-X(Be;(8EMLn7f(f9wst^nUO&O z5qCvIkLw15c3u$ouo;)O-uEv$TG0Ik!=YnjsHda(T5I;NK?>F9=7uLzNFcXHfO_|)vP#H?f#JaN(!kz*Ecms0te<{owD}uU)8Ei=L0?gG$xo`~{^%2~H`ITFr^=lWG;og1L3R z>&C;b1s46h>SL(AyNjL$uHUAG#SpKz@4D*;J=1n6!24h0y{7h{NbP>f>aIw59nUYh zIlJoN4YPTzxiA&aC-ma)EfwXw%aWU^hpZr(7pA8KGpMJRe7LDlRl_?D)LIoyHA+>vdsBTZQf8?A? z%~X((TT7;9FQnzgMZvRth5TD*I-yz!FqLiNL4uePViW6LcJ0^jp#5--cKcKxdf)xh zf%j!}-;*v1CZVWSQy#nes>5pDwc9LhKf$|a4-Re#eb$#QQ+yWJmXx`6@@|2Zc)rg4 z;HLI1`L3Cc&kqN4m(*Y0`0K)>dB>`=y&+ZFHH~jR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb deleted file mode 100755 index c3f8e942e9f108aaa38b21d111891ed27a527569..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1004 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F`Q00000006!N00000 z001li00000008U*00000004LayjX2+)Iba!vK2!7;taqkK&TOy;1?*V#65ZtM9bGf zKoy(Ko3A(4&-2m#dOYQW{A)c^K|XcAjz=t;_OGw0C&$Np&>#7K5owXyxZPy#QP%p zsh(*6L=Fs{!czW(@tS2=9OMUmLHP51xmG^Mm{I zSo%xzq5e1zk^a5-|Mv5Y^B3u-c`p0uzTi#$!+FDgBHyj{lt{U>9v|d<{c7fi_81@a z-vzHc6?wlr5c!Yqi>O|R7xBY>qx?;>-KD-{eT7e?N4~ayTX<-X^Md?Pj{J?UEj+C6 zT0FEzIpT9Hb)|mm^9tv6EnXCFEgssV9L03J9Ve-xPM{jqr- zwEl>P`v;$=Zm$o}wfwN(m_O=~&xzE7^3!^qNxp>@?~jMDKO%h3NB3J~k9^F(7amvR zn?39I-k+3zE8j!yYd#z=dVU~3?03Dt4&jgez<9{F)nBUz+M~SHAMrYwr}F?aS?C9$o a?-=6m8}IAy67S*!5@uimVgLXD0RR8eQV1LX diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info b/cpld/incremental_db/compiled_partitions/GR8RAM.db_info old mode 100755 new mode 100644 index c41e730..e7990f0 --- a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info +++ b/cpld/incremental_db/compiled_partitions/GR8RAM.db_info @@ -1,3 +1,3 @@ -Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -Version_Index = 302049280 -Creation_Time = Thu Mar 18 03:51:58 2021 +Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Version_Index = 553882368 +Creation_Time = Tue Feb 28 11:10:33 2023 diff --git a/cpld/output_files/GR8RAM.cdf b/cpld/output_files/GR8RAM.cdf index fb7cb58..c83d408 100644 --- a/cpld/output_files/GR8RAM.cdf +++ b/cpld/output_files/GR8RAM.cdf @@ -1,10 +1,10 @@ -/* Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ +/* Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition */ JedecChain; FileRevision(JESD32A); DefaultMfr(6E); - P ActionCode(Vfy) - Device PartName(EPM240T100) Path("C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(2) SEC_Device(EPM240T100) Child_OpMask(2 2 2)); + P ActionCode(Cfg) + Device PartName(EPM240T100) Path("//Mac/iCloud/Repos2/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(1) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); ChainEnd; diff --git a/cpld/output_files/GR8RAM.svf b/cpld/output_files/GR8RAM.svf new file mode 100644 index 0000000..74a77b5 --- /dev/null +++ b/cpld/output_files/GR8RAM.svf @@ -0,0 +1,11651 @@ +!Copyright (C) 2022 Intel Corporation. All rights reserved. +!Your use of Intel Corporation's design tools, logic functions +!and other software and tools, and any partner logic +!functions, and any output files from any of the foregoing +!(including device programming or simulation files), and any +!associated documentation or information are expressly subject +!to the terms and conditions of the Intel Program License +!Subscription Agreement, the Intel Quartus Prime License Agreement, +!the Intel FPGA IP License Agreement, or other applicable license +!agreement, including, without limitation, that your use is for +!the sole purpose of programming logic devices manufactured by +!Intel and sold by Intel or its authorized distributors. Please +!refer to the applicable agreement for further details, at +!https://fpgasoftware.intel.com/eula. +! +!Quartus Prime SVF converter 22.1 +! +!Device #1: EPM240 - //Mac/iCloud/Repos2/GR8RAM/cpld/output_files/GR8RAM.pof Sat Feb 25 09:32:41 2023 +! +!NOTE "USERCODE" "00161CF0"; +! +!NOTE "CHECKSUM" "001620E8"; +! +! +! +FREQUENCY 1.00E+06 HZ; +! +! +! +TRST ABSENT; +ENDDR IDLE; +ENDIR IRPAUSE; +STATE IDLE; +SIR 10 TDI (005); +RUNTEST IDLE 8 TCK ENDSTATE IDLE; +SDR 240 TDI (FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF); +SIR 10 TDI (3FF); +RUNTEST 1003 TCK; +SIR 10 TDI (2CC); +RUNTEST 1003 TCK; +! +! +! +!CHECKING SILICON ID +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0089); +SIR 10 TDI (205); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF) TDO (8232) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (2AA2); +SDR 16 TDI (FFFF) TDO (4A82); +SDR 16 TDI (FFFF) TDO (0C2C); +SDR 16 TDI (FFFF) TDO (0000); +! +! +! +!BULK ERASE +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0011); +SIR 10 TDI (2F2); +RUNTEST 500003 TCK; +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (2F2); +RUNTEST 500003 TCK; +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F2); +RUNTEST 500003 TCK; +! +! +! +!PROGRAM +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F4); +RUNTEST 8 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (79FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFE7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F3E); +RUNTEST 100 TCK; +SDR 16 TDI (79F3); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (67FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (C666); +RUNTEST 100 TCK; +SDR 16 TDI (6FF9); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BC66); +RUNTEST 100 TCK; +SDR 16 TDI (67FE); +RUNTEST 100 TCK; +SDR 16 TDI (733F); +RUNTEST 100 TCK; +SDR 16 TDI (FF19); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (ECCF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFCC); +RUNTEST 100 TCK; +SDR 16 TDI (CFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (77BF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (CFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77F7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (76FF); +RUNTEST 100 TCK; +SDR 16 TDI (ABFD); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDA); +RUNTEST 100 TCK; +SDR 16 TDI (7DFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FF5); +RUNTEST 100 TCK; +SDR 16 TDI (EF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7B7B); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EF7E); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FCCD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (76FB); +RUNTEST 100 TCK; +SDR 16 TDI (D7AF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6DBB); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (BAFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BBF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BEEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (B7BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (6CDF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (3FD7); +RUNTEST 100 TCK; +SDR 16 TDI (BDEB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F77F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (FEBF); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (B6FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (ADDF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7C); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (3775); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FBCC); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (773F); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FE7); +RUNTEST 100 TCK; +SDR 16 TDI (DFAF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DD); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF6); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF75); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAAF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFB9); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FBBA); +RUNTEST 100 TCK; +SDR 16 TDI (7DBB); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D3F7); +RUNTEST 100 TCK; +SDR 16 TDI (B97F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FAF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (EDEF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFC); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77F9); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7C); +RUNTEST 100 TCK; +SDR 16 TDI (6DF7); +RUNTEST 100 TCK; +SDR 16 TDI (3E9F); +RUNTEST 100 TCK; +SDR 16 TDI (BC7F); +RUNTEST 100 TCK; +SDR 16 TDI (33FD); +RUNTEST 100 TCK; +SDR 16 TDI (6FCA); +RUNTEST 100 TCK; +SDR 16 TDI (FFB6); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF21); +RUNTEST 100 TCK; +SDR 16 TDI (7DE7); +RUNTEST 100 TCK; +SDR 16 TDI (8FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBE3); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7F37); +RUNTEST 100 TCK; +SDR 16 TDI (FF4B); +RUNTEST 100 TCK; +SDR 16 TDI (B99F); +RUNTEST 100 TCK; +SDR 16 TDI (F9DE); +RUNTEST 100 TCK; +SDR 16 TDI (779B); +RUNTEST 100 TCK; +SDR 16 TDI (786F); +RUNTEST 100 TCK; +SDR 16 TDI (BDDC); +RUNTEST 100 TCK; +SDR 16 TDI (727F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (77FC); +RUNTEST 100 TCK; +SDR 16 TDI (E57C); +RUNTEST 100 TCK; +SDR 16 TDI (A3F7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6F7D); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BAAF); +RUNTEST 100 TCK; +SDR 16 TDI (8FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B66F); +RUNTEST 100 TCK; +SDR 16 TDI (FCFC); +RUNTEST 100 TCK; +SDR 16 TDI (67DE); +RUNTEST 100 TCK; +SDR 16 TDI (1FFA); +RUNTEST 100 TCK; +SDR 16 TDI (A000); +RUNTEST 100 TCK; +SDR 16 TDI (FFE0); +RUNTEST 100 TCK; +SDR 16 TDI (7882); +RUNTEST 100 TCK; +SDR 16 TDI (1F0F); +RUNTEST 100 TCK; +SDR 16 TDI (A61F); +RUNTEST 100 TCK; +SDR 16 TDI (7FE7); +RUNTEST 100 TCK; +SDR 16 TDI (7245); +RUNTEST 100 TCK; +SDR 16 TDI (A8EF); +RUNTEST 100 TCK; +SDR 16 TDI (B781); +RUNTEST 100 TCK; +SDR 16 TDI (80F5); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (FC3C); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (1BA2); +RUNTEST 100 TCK; +SDR 16 TDI (A000); +RUNTEST 100 TCK; +SDR 16 TDI (FFD0); +RUNTEST 100 TCK; +SDR 16 TDI (7800); +RUNTEST 100 TCK; +SDR 16 TDI (1F0F); +RUNTEST 100 TCK; +SDR 16 TDI (A01E); +RUNTEST 100 TCK; +SDR 16 TDI (F9F6); +RUNTEST 100 TCK; +SDR 16 TDI (6280); +RUNTEST 100 TCK; +SDR 16 TDI (A8CF); +RUNTEST 100 TCK; +SDR 16 TDI (AF81); +RUNTEST 100 TCK; +SDR 16 TDI (907F); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFC); +RUNTEST 100 TCK; +SDR 16 TDI (67E7); +RUNTEST 100 TCK; +SDR 16 TDI (1FFB); +RUNTEST 100 TCK; +SDR 16 TDI (A230); +RUNTEST 100 TCK; +SDR 16 TDI (33F0); +RUNTEST 100 TCK; +SDR 16 TDI (6001); +RUNTEST 100 TCK; +SDR 16 TDI (9F0F); +RUNTEST 100 TCK; +SDR 16 TDI (BF9F); +RUNTEST 100 TCK; +SDR 16 TDI (FFE7); +RUNTEST 100 TCK; +SDR 16 TDI (7253); +RUNTEST 100 TCK; +SDR 16 TDI (00DF); +RUNTEST 100 TCK; +SDR 16 TDI (BF09); +RUNTEST 100 TCK; +SDR 16 TDI (80F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDBE); +RUNTEST 100 TCK; +SDR 16 TDI (67E1); +RUNTEST 100 TCK; +SDR 16 TDI (01C2); +RUNTEST 100 TCK; +SDR 16 TDI (A030); +RUNTEST 100 TCK; +SDR 16 TDI (33F4); +RUNTEST 100 TCK; +SDR 16 TDI (6001); +RUNTEST 100 TCK; +SDR 16 TDI (9F7E); +RUNTEST 100 TCK; +SDR 16 TDI (BF9F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6290); +RUNTEST 100 TCK; +SDR 16 TDI (80DF); +RUNTEST 100 TCK; +SDR 16 TDI (BF09); +RUNTEST 100 TCK; +SDR 16 TDI (007F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAA7); +RUNTEST 100 TCK; +SDR 16 TDI (3332); +RUNTEST 100 TCK; +SDR 16 TDI (61E6); +RUNTEST 100 TCK; +SDR 16 TDI (EE6F); +RUNTEST 100 TCK; +SDR 16 TDI (A318); +RUNTEST 100 TCK; +SDR 16 TDI (11F2); +RUNTEST 100 TCK; +SDR 16 TDI (6144); +RUNTEST 100 TCK; +SDR 16 TDI (3CEC); +RUNTEST 100 TCK; +SDR 16 TDI (BDCF); +RUNTEST 100 TCK; +SDR 16 TDI (9BB8); +RUNTEST 100 TCK; +SDR 16 TDI (73C9); +RUNTEST 100 TCK; +SDR 16 TDI (CCE7); +RUNTEST 100 TCK; +SDR 16 TDI (B89C); +RUNTEST 100 TCK; +SDR 16 TDI (98FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7777); +RUNTEST 100 TCK; +SDR 16 TDI (6952); +RUNTEST 100 TCK; +SDR 16 TDI (6767); +RUNTEST 100 TCK; +SDR 16 TDI (B773); +RUNTEST 100 TCK; +SDR 16 TDI (22A1); +RUNTEST 100 TCK; +SDR 16 TDI (6627); +RUNTEST 100 TCK; +SDR 16 TDI (7DCD); +RUNTEST 100 TCK; +SDR 16 TDI (B985); +RUNTEST 100 TCK; +SDR 16 TDI (599D); +RUNTEST 100 TCK; +SDR 16 TDI (79DD); +RUNTEST 100 TCK; +SDR 16 TDI (DDC2); +RUNTEST 100 TCK; +SDR 16 TDI (B5DD); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (77CF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFD); +RUNTEST 100 TCK; +SDR 16 TDI (BF99); +RUNTEST 100 TCK; +SDR 16 TDI (DFEE); +RUNTEST 100 TCK; +SDR 16 TDI (7DDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3BB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD7); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7D7D); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (6DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7D7F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FE7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (737F); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF6); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DC); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFAF); +RUNTEST 100 TCK; +SDR 16 TDI (BCFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7B7E); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF5D); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DEFF); +RUNTEST 100 TCK; +SDR 16 TDI (6BF9); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EBF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (6B77); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (BB5F); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7D7F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFC); +RUNTEST 100 TCK; +SDR 16 TDI (BEFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFB7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7CBD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFE); +RUNTEST 100 TCK; +SDR 16 TDI (B96F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7D75); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (F37F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFF9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (F7BF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DF4); +RUNTEST 100 TCK; +SDR 16 TDI (79FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BF3A); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (BEFB); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (77D9); +RUNTEST 100 TCK; +SDR 16 TDI (7BBF); +RUNTEST 100 TCK; +SDR 16 TDI (BEF3); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55E); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFE); +RUNTEST 100 TCK; +SDR 16 TDI (BD9B); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BD5F); +RUNTEST 100 TCK; +SDR 16 TDI (DF75); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AAAF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DEB); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFB7); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FF6); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7CFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (775B); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B6FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6CFB); +RUNTEST 100 TCK; +SDR 16 TDI (7DBF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6DED); +RUNTEST 100 TCK; +SDR 16 TDI (F37F); +RUNTEST 100 TCK; +SDR 16 TDI (A77F); +RUNTEST 100 TCK; +SDR 16 TDI (CFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7F76); +RUNTEST 100 TCK; +SDR 16 TDI (BFF3); +RUNTEST 100 TCK; +SDR 16 TDI (B7FD); +RUNTEST 100 TCK; +SDR 16 TDI (3AFB); +RUNTEST 100 TCK; +SDR 16 TDI (7717); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEEC); +RUNTEST 100 TCK; +SDR 16 TDI (FE5F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFC); +RUNTEST 100 TCK; +SDR 16 TDI (B8BF); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (62EF); +RUNTEST 100 TCK; +SDR 16 TDI (FFCF); +RUNTEST 100 TCK; +SDR 16 TDI (ADBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEB); +RUNTEST 100 TCK; +SDR 16 TDI (D45F); +RUNTEST 100 TCK; +SDR 16 TDI (BE5F); +RUNTEST 100 TCK; +SDR 16 TDI (566A); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (67F7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (9FD7); +RUNTEST 100 TCK; +SDR 16 TDI (BFE3); +RUNTEST 100 TCK; +SDR 16 TDI (BBF5); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (5CFD); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (D71D); +RUNTEST 100 TCK; +SDR 16 TDI (78FD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDAE); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B99D); +RUNTEST 100 TCK; +SDR 16 TDI (69FC); +RUNTEST 100 TCK; +SDR 16 TDI (67C0); +RUNTEST 100 TCK; +SDR 16 TDI (0B9E); +RUNTEST 100 TCK; +SDR 16 TDI (B4A0); +RUNTEST 100 TCK; +SDR 16 TDI (03EE); +RUNTEST 100 TCK; +SDR 16 TDI (7580); +RUNTEST 100 TCK; +SDR 16 TDI (1302); +RUNTEST 100 TCK; +SDR 16 TDI (A01E); +RUNTEST 100 TCK; +SDR 16 TDI (1819); +RUNTEST 100 TCK; +SDR 16 TDI (70AF); +RUNTEST 100 TCK; +SDR 16 TDI (FC0F); +RUNTEST 100 TCK; +SDR 16 TDI (B079); +RUNTEST 100 TCK; +SDR 16 TDI (87F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABFE); +RUNTEST 100 TCK; +SDR 16 TDI (E9C3); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (0BE0); +RUNTEST 100 TCK; +SDR 16 TDI (A0A0); +RUNTEST 100 TCK; +SDR 16 TDI (03D0); +RUNTEST 100 TCK; +SDR 16 TDI (7580); +RUNTEST 100 TCK; +SDR 16 TDI (1302); +RUNTEST 100 TCK; +SDR 16 TDI (B41B); +RUNTEST 100 TCK; +SDR 16 TDI (9F99); +RUNTEST 100 TCK; +SDR 16 TDI (70AB); +RUNTEST 100 TCK; +SDR 16 TDI (0C0F); +RUNTEST 100 TCK; +SDR 16 TDI (AAA9); +RUNTEST 100 TCK; +SDR 16 TDI (D07F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD5D); +RUNTEST 100 TCK; +SDR 16 TDI (9283); +RUNTEST 100 TCK; +SDR 16 TDI (7FF0); +RUNTEST 100 TCK; +SDR 16 TDI (2C60); +RUNTEST 100 TCK; +SDR 16 TDI (AA40); +RUNTEST 100 TCK; +SDR 16 TDI (E3FF); +RUNTEST 100 TCK; +SDR 16 TDI (6C06); +RUNTEST 100 TCK; +SDR 16 TDI (30C7); +RUNTEST 100 TCK; +SDR 16 TDI (A47F); +RUNTEST 100 TCK; +SDR 16 TDI (E066); +RUNTEST 100 TCK; +SDR 16 TDI (610F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B86C); +RUNTEST 100 TCK; +SDR 16 TDI (84F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (D2B0); +RUNTEST 100 TCK; +SDR 16 TDI (67F6); +RUNTEST 100 TCK; +SDR 16 TDI (0D86); +RUNTEST 100 TCK; +SDR 16 TDI (A040); +RUNTEST 100 TCK; +SDR 16 TDI (C3F0); +RUNTEST 100 TCK; +SDR 16 TDI (7C06); +RUNTEST 100 TCK; +SDR 16 TDI (10C1); +RUNTEST 100 TCK; +SDR 16 TDI (A07F); +RUNTEST 100 TCK; +SDR 16 TDI (9DE6); +RUNTEST 100 TCK; +SDR 16 TDI (6083); +RUNTEST 100 TCK; +SDR 16 TDI (3C0F); +RUNTEST 100 TCK; +SDR 16 TDI (BCC8); +RUNTEST 100 TCK; +SDR 16 TDI (07FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAA7); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (71EE); +RUNTEST 100 TCK; +SDR 16 TDI (2EEF); +RUNTEST 100 TCK; +SDR 16 TDI (B219); +RUNTEST 100 TCK; +SDR 16 TDI (31F1); +RUNTEST 100 TCK; +SDR 16 TDI (6286); +RUNTEST 100 TCK; +SDR 16 TDI (38CF); +RUNTEST 100 TCK; +SDR 16 TDI (B9AF); +RUNTEST 100 TCK; +SDR 16 TDI (9999); +RUNTEST 100 TCK; +SDR 16 TDI (71CF); +RUNTEST 100 TCK; +SDR 16 TDI (CEC7); +RUNTEST 100 TCK; +SDR 16 TDI (BA9C); +RUNTEST 100 TCK; +SDR 16 TDI (9BFE); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (1111); +RUNTEST 100 TCK; +SDR 16 TDI (6167); +RUNTEST 100 TCK; +SDR 16 TDI (6445); +RUNTEST 100 TCK; +SDR 16 TDI (B763); +RUNTEST 100 TCK; +SDR 16 TDI (36A9); +RUNTEST 100 TCK; +SDR 16 TDI (7677); +RUNTEST 100 TCK; +SDR 16 TDI (79DC); +RUNTEST 100 TCK; +SDR 16 TDI (BBA5); +RUNTEST 100 TCK; +SDR 16 TDI (19D9); +RUNTEST 100 TCK; +SDR 16 TDI (79DC); +RUNTEST 100 TCK; +SDR 16 TDI (DC9A); +RUNTEST 100 TCK; +SDR 16 TDI (B1CD); +RUNTEST 100 TCK; +SDR 16 TDI (D9FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (DDDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FCF); +RUNTEST 100 TCK; +SDR 16 TDI (FEF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFC7); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (EBFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (DF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BEBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (6DFB); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF3F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BBFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFDF); +RUNTEST 100 TCK; +SDR 16 TDI (6BF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77F7); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77EF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (DBED); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (FEF7); +RUNTEST 100 TCK; +SDR 16 TDI (66FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (F7FE); +RUNTEST 100 TCK; +SDR 16 TDI (BBDE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (EFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDF7); +RUNTEST 100 TCK; +SDR 16 TDI (7EEB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (B77D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EFE); +RUNTEST 100 TCK; +SDR 16 TDI (DF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEB); +RUNTEST 100 TCK; +SDR 16 TDI (D7FB); +RUNTEST 100 TCK; +SDR 16 TDI (AFDF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FF76); +RUNTEST 100 TCK; +SDR 16 TDI (7D7B); +RUNTEST 100 TCK; +SDR 16 TDI (EF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BDD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF6F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBE); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBEF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7B); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (737F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEF); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (DEEB); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6BBB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFAF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (EFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (7DD5); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7EF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DF3B); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6B7F); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7BE); +RUNTEST 100 TCK; +SDR 16 TDI (FF7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FDB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (CBDE); +RUNTEST 100 TCK; +SDR 16 TDI (7DEB); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BCFB); +RUNTEST 100 TCK; +SDR 16 TDI (BF7D); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFB); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDE); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (FFEB); +RUNTEST 100 TCK; +SDR 16 TDI (BBDB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6B7F); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD7D); +RUNTEST 100 TCK; +SDR 16 TDI (7B7F); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFBE); +RUNTEST 100 TCK; +SDR 16 TDI (EFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (7DE0); +RUNTEST 100 TCK; +SDR 16 TDI (13F6); +RUNTEST 100 TCK; +SDR 16 TDI (AEFD); +RUNTEST 100 TCK; +SDR 16 TDI (4FFF); +RUNTEST 100 TCK; +SDR 16 TDI (6C97); +RUNTEST 100 TCK; +SDR 16 TDI (B3EF); +RUNTEST 100 TCK; +SDR 16 TDI (A77F); +RUNTEST 100 TCK; +SDR 16 TDI (F9F9); +RUNTEST 100 TCK; +SDR 16 TDI (7676); +RUNTEST 100 TCK; +SDR 16 TDI (FE6F); +RUNTEST 100 TCK; +SDR 16 TDI (BBE9); +RUNTEST 100 TCK; +SDR 16 TDI (33FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B5F2); +RUNTEST 100 TCK; +SDR 16 TDI (FFFC); +RUNTEST 100 TCK; +SDR 16 TDI (7F7B); +RUNTEST 100 TCK; +SDR 16 TDI (DEDA); +RUNTEST 100 TCK; +SDR 16 TDI (BCFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FCF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (CD6A); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (677F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BF2F); +RUNTEST 100 TCK; +SDR 16 TDI (F7F3); +RUNTEST 100 TCK; +SDR 16 TDI (73FD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BF9E); +RUNTEST 100 TCK; +SDR 16 TDI (CE1E); +RUNTEST 100 TCK; +SDR 16 TDI (69BB); +RUNTEST 100 TCK; +SDR 16 TDI (2F9F); +RUNTEST 100 TCK; +SDR 16 TDI (BC9F); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBAD); +RUNTEST 100 TCK; +SDR 16 TDI (69FC); +RUNTEST 100 TCK; +SDR 16 TDI (67C2); +RUNTEST 100 TCK; +SDR 16 TDI (0B9E); +RUNTEST 100 TCK; +SDR 16 TDI (A130); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7404); +RUNTEST 100 TCK; +SDR 16 TDI (BC00); +RUNTEST 100 TCK; +SDR 16 TDI (B17F); +RUNTEST 100 TCK; +SDR 16 TDI (0100); +RUNTEST 100 TCK; +SDR 16 TDI (6888); +RUNTEST 100 TCK; +SDR 16 TDI (5C1F); +RUNTEST 100 TCK; +SDR 16 TDI (B768); +RUNTEST 100 TCK; +SDR 16 TDI (0075); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF5E); +RUNTEST 100 TCK; +SDR 16 TDI (E9C3); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (0BE0); +RUNTEST 100 TCK; +SDR 16 TDI (A3F0); +RUNTEST 100 TCK; +SDR 16 TDI (8FDE); +RUNTEST 100 TCK; +SDR 16 TDI (78A7); +RUNTEST 100 TCK; +SDR 16 TDI (BA00); +RUNTEST 100 TCK; +SDR 16 TDI (A11E); +RUNTEST 100 TCK; +SDR 16 TDI (8002); +RUNTEST 100 TCK; +SDR 16 TDI (6000); +RUNTEST 100 TCK; +SDR 16 TDI (5C2F); +RUNTEST 100 TCK; +SDR 16 TDI (AF08); +RUNTEST 100 TCK; +SDR 16 TDI (10FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B5FD); +RUNTEST 100 TCK; +SDR 16 TDI (9283); +RUNTEST 100 TCK; +SDR 16 TDI (7FE0); +RUNTEST 100 TCK; +SDR 16 TDI (4C61); +RUNTEST 100 TCK; +SDR 16 TDI (A538); +RUNTEST 100 TCK; +SDR 16 TDI (EFF3); +RUNTEST 100 TCK; +SDR 16 TDI (6066); +RUNTEST 100 TCK; +SDR 16 TDI (1CC8); +RUNTEST 100 TCK; +SDR 16 TDI (B19F); +RUNTEST 100 TCK; +SDR 16 TDI (C208); +RUNTEST 100 TCK; +SDR 16 TDI (6078); +RUNTEST 100 TCK; +SDR 16 TDI (BC6F); +RUNTEST 100 TCK; +SDR 16 TDI (BF99); +RUNTEST 100 TCK; +SDR 16 TDI (F875); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (D2B0); +RUNTEST 100 TCK; +SDR 16 TDI (67E0); +RUNTEST 100 TCK; +SDR 16 TDI (0D86); +RUNTEST 100 TCK; +SDR 16 TDI (A338); +RUNTEST 100 TCK; +SDR 16 TDI (2FFC); +RUNTEST 100 TCK; +SDR 16 TDI (6126); +RUNTEST 100 TCK; +SDR 16 TDI (19C8); +RUNTEST 100 TCK; +SDR 16 TDI (A19F); +RUNTEST 100 TCK; +SDR 16 TDI (E00A); +RUNTEST 100 TCK; +SDR 16 TDI (70F0); +RUNTEST 100 TCK; +SDR 16 TDI (9C1F); +RUNTEST 100 TCK; +SDR 16 TDI (BF98); +RUNTEST 100 TCK; +SDR 16 TDI (787F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BA3F); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (71E6); +RUNTEST 100 TCK; +SDR 16 TDI (6EEF); +RUNTEST 100 TCK; +SDR 16 TDI (B333); +RUNTEST 100 TCK; +SDR 16 TDI (75F3); +RUNTEST 100 TCK; +SDR 16 TDI (6E7F); +RUNTEST 100 TCK; +SDR 16 TDI (7EE8); +RUNTEST 100 TCK; +SDR 16 TDI (B9CF); +RUNTEST 100 TCK; +SDR 16 TDI (D98B); +RUNTEST 100 TCK; +SDR 16 TDI (71E8); +RUNTEST 100 TCK; +SDR 16 TDI (8E83); +RUNTEST 100 TCK; +SDR 16 TDI (B998); +RUNTEST 100 TCK; +SDR 16 TDI (89FA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (1111); +RUNTEST 100 TCK; +SDR 16 TDI (6177); +RUNTEST 100 TCK; +SDR 16 TDI (2445); +RUNTEST 100 TCK; +SDR 16 TDI (B777); +RUNTEST 100 TCK; +SDR 16 TDI (74AB); +RUNTEST 100 TCK; +SDR 16 TDI (6767); +RUNTEST 100 TCK; +SDR 16 TDI (7CCD); +RUNTEST 100 TCK; +SDR 16 TDI (BB85); +RUNTEST 100 TCK; +SDR 16 TDI (5DD9); +RUNTEST 100 TCK; +SDR 16 TDI (7BCD); +RUNTEST 100 TCK; +SDR 16 TDI (DCD2); +RUNTEST 100 TCK; +SDR 16 TDI (B5DD); +RUNTEST 100 TCK; +SDR 16 TDI (D9FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (DDDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FCF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (FFE7); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BCEF); +RUNTEST 100 TCK; +SDR 16 TDI (3FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (E7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B3BF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7D); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6DFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (CDDB); +RUNTEST 100 TCK; +SDR 16 TDI (7F67); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (F77B); +RUNTEST 100 TCK; +SDR 16 TDI (B5DF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FDE); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF6F); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B5DD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (73BF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7E); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7E); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F57F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDE7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFDB); +RUNTEST 100 TCK; +SDR 16 TDI (7BE7); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (DD37); +RUNTEST 100 TCK; +SDR 16 TDI (7DF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7DEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7F3F); +RUNTEST 100 TCK; +SDR 16 TDI (AFF7); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (77D7); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (EFDF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6BEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF3); +RUNTEST 100 TCK; +SDR 16 TDI (67FF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7B7); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7EDB); +RUNTEST 100 TCK; +SDR 16 TDI (DF77); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (CB5F); +RUNTEST 100 TCK; +SDR 16 TDI (7EF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BA7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6DEC); +RUNTEST 100 TCK; +SDR 16 TDI (EDE7); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7B9F); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF6); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (BEFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (6EF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (B7EF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFB7); +RUNTEST 100 TCK; +SDR 16 TDI (7BF6); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FEBB); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (DBFB); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EFB); +RUNTEST 100 TCK; +SDR 16 TDI (55AB); +RUNTEST 100 TCK; +SDR 16 TDI (B3FF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7A); +RUNTEST 100 TCK; +SDR 16 TDI (7D6F); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFB6); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDAD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD9); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFC); +RUNTEST 100 TCK; +SDR 16 TDI (FFB9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (6E77); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BEF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF33); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7EDF); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7EDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B65E); +RUNTEST 100 TCK; +SDR 16 TDI (FF7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (E7FA); +RUNTEST 100 TCK; +SDR 16 TDI (73AF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (3FFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BEB); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (B76B); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FEFE); +RUNTEST 100 TCK; +SDR 16 TDI (757F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF3); +RUNTEST 100 TCK; +SDR 16 TDI (7B6A); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (B99D); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7775); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FD6F); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7DED); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFB); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (AABF); +RUNTEST 100 TCK; +SDR 16 TDI (FCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7BF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF75); +RUNTEST 100 TCK; +SDR 16 TDI (6DEC); +RUNTEST 100 TCK; +SDR 16 TDI (B3F6); +RUNTEST 100 TCK; +SDR 16 TDI (A7D3); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB1); +RUNTEST 100 TCK; +SDR 16 TDI (93C1); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF3E); +RUNTEST 100 TCK; +SDR 16 TDI (73C3); +RUNTEST 100 TCK; +SDR 16 TDI (3FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3FC); +RUNTEST 100 TCK; +SDR 16 TDI (7EDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FC); +RUNTEST 100 TCK; +SDR 16 TDI (73FF); +RUNTEST 100 TCK; +SDR 16 TDI (FC3F); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (E6FD); +RUNTEST 100 TCK; +SDR 16 TDI (7EFD); +RUNTEST 100 TCK; +SDR 16 TDI (E6EF); +RUNTEST 100 TCK; +SDR 16 TDI (BCE9); +RUNTEST 100 TCK; +SDR 16 TDI (BCEA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (E7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (5F5F); +RUNTEST 100 TCK; +SDR 16 TDI (B8BC); +RUNTEST 100 TCK; +SDR 16 TDI (FBEB); +RUNTEST 100 TCK; +SDR 16 TDI (6C4E); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (A11B); +RUNTEST 100 TCK; +SDR 16 TDI (D9DF); +RUNTEST 100 TCK; +SDR 16 TDI (6D3F); +RUNTEST 100 TCK; +SDR 16 TDI (D95F); +RUNTEST 100 TCK; +SDR 16 TDI (B75F); +RUNTEST 100 TCK; +SDR 16 TDI (E77F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BE5D); +RUNTEST 100 TCK; +SDR 16 TDI (69FC); +RUNTEST 100 TCK; +SDR 16 TDI (67C0); +RUNTEST 100 TCK; +SDR 16 TDI (0B9E); +RUNTEST 100 TCK; +SDR 16 TDI (A320); +RUNTEST 100 TCK; +SDR 16 TDI (03C0); +RUNTEST 100 TCK; +SDR 16 TDI (60A2); +RUNTEST 100 TCK; +SDR 16 TDI (5E39); +RUNTEST 100 TCK; +SDR 16 TDI (B997); +RUNTEST 100 TCK; +SDR 16 TDI (6084); +RUNTEST 100 TCK; +SDR 16 TDI (7CB5); +RUNTEST 100 TCK; +SDR 16 TDI (F48F); +RUNTEST 100 TCK; +SDR 16 TDI (A49F); +RUNTEST 100 TCK; +SDR 16 TDI (E3F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAFE); +RUNTEST 100 TCK; +SDR 16 TDI (E9C3); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (0BE0); +RUNTEST 100 TCK; +SDR 16 TDI (A382); +RUNTEST 100 TCK; +SDR 16 TDI (03D0); +RUNTEST 100 TCK; +SDR 16 TDI (62E0); +RUNTEST 100 TCK; +SDR 16 TDI (1201); +RUNTEST 100 TCK; +SDR 16 TDI (A01F); +RUNTEST 100 TCK; +SDR 16 TDI (8884); +RUNTEST 100 TCK; +SDR 16 TDI (6080); +RUNTEST 100 TCK; +SDR 16 TDI (F43F); +RUNTEST 100 TCK; +SDR 16 TDI (AC1E); +RUNTEST 100 TCK; +SDR 16 TDI (897F); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF6D); +RUNTEST 100 TCK; +SDR 16 TDI (9283); +RUNTEST 100 TCK; +SDR 16 TDI (7FF0); +RUNTEST 100 TCK; +SDR 16 TDI (0C61); +RUNTEST 100 TCK; +SDR 16 TDI (A32C); +RUNTEST 100 TCK; +SDR 16 TDI (23F9); +RUNTEST 100 TCK; +SDR 16 TDI (6000); +RUNTEST 100 TCK; +SDR 16 TDI (7CDB); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (E7E6); +RUNTEST 100 TCK; +SDR 16 TDI (7BCF); +RUNTEST 100 TCK; +SDR 16 TDI (0F8F); +RUNTEST 100 TCK; +SDR 16 TDI (BEBF); +RUNTEST 100 TCK; +SDR 16 TDI (F975); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDE); +RUNTEST 100 TCK; +SDR 16 TDI (D2B0); +RUNTEST 100 TCK; +SDR 16 TDI (67F6); +RUNTEST 100 TCK; +SDR 16 TDI (4D86); +RUNTEST 100 TCK; +SDR 16 TDI (A37E); +RUNTEST 100 TCK; +SDR 16 TDI (33F0); +RUNTEST 100 TCK; +SDR 16 TDI (6000); +RUNTEST 100 TCK; +SDR 16 TDI (7CD3); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (EE66); +RUNTEST 100 TCK; +SDR 16 TDI (6BC9); +RUNTEST 100 TCK; +SDR 16 TDI (49FF); +RUNTEST 100 TCK; +SDR 16 TDI (BE3C); +RUNTEST 100 TCK; +SDR 16 TDI (897F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BE27); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (71EE); +RUNTEST 100 TCK; +SDR 16 TDI (6EEF); +RUNTEST 100 TCK; +SDR 16 TDI (A3BB); +RUNTEST 100 TCK; +SDR 16 TDI (B1F3); +RUNTEST 100 TCK; +SDR 16 TDI (66E6); +RUNTEST 100 TCK; +SDR 16 TDI (7CCF); +RUNTEST 100 TCK; +SDR 16 TDI (B98F); +RUNTEST 100 TCK; +SDR 16 TDI (D99F); +RUNTEST 100 TCK; +SDR 16 TDI (73EE); +RUNTEST 100 TCK; +SDR 16 TDI (8EC7); +RUNTEST 100 TCK; +SDR 16 TDI (BDD9); +RUNTEST 100 TCK; +SDR 16 TDI (99EB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (1111); +RUNTEST 100 TCK; +SDR 16 TDI (6167); +RUNTEST 100 TCK; +SDR 16 TDI (6445); +RUNTEST 100 TCK; +SDR 16 TDI (A777); +RUNTEST 100 TCK; +SDR 16 TDI (74A9); +RUNTEST 100 TCK; +SDR 16 TDI (7277); +RUNTEST 100 TCK; +SDR 16 TDI (3CDC); +RUNTEST 100 TCK; +SDR 16 TDI (BB25); +RUNTEST 100 TCK; +SDR 16 TDI (1DD9); +RUNTEST 100 TCK; +SDR 16 TDI (7BCC); +RUNTEST 100 TCK; +SDR 16 TDI (DC92); +RUNTEST 100 TCK; +SDR 16 TDI (B5CC); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (DDDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FDE); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (F8FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FCE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BDEF); +RUNTEST 100 TCK; +SDR 16 TDI (77BF); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (E7F7); +RUNTEST 100 TCK; +SDR 16 TDI (B3BB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAEE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (B7EF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (DF6D); +RUNTEST 100 TCK; +SDR 16 TDI (6B5F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (BE7D); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (76EB); +RUNTEST 100 TCK; +SDR 16 TDI (F7DE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (76E5); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B7DE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFAB); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D6BF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DF5E); +RUNTEST 100 TCK; +SDR 16 TDI (7B7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDE); +RUNTEST 100 TCK; +SDR 16 TDI (F5FB); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FEF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (FECD); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EF7); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (CF77); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (75BF); +RUNTEST 100 TCK; +SDR 16 TDI (AB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (677E); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF37); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBF7); +RUNTEST 100 TCK; +SDR 16 TDI (6ACF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (ADF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (75BF); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FDE); +RUNTEST 100 TCK; +SDR 16 TDI (DE6F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (79BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (EDD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEBE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF3); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (D757); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F4EF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (77DF); +RUNTEST 100 TCK; +SDR 16 TDI (D77B); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FE); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FBE); +RUNTEST 100 TCK; +SDR 16 TDI (B6DE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF5); +RUNTEST 100 TCK; +SDR 16 TDI (7DEF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDAD); +RUNTEST 100 TCK; +SDR 16 TDI (77BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AE5F); +RUNTEST 100 TCK; +SDR 16 TDI (EBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (DFDB); +RUNTEST 100 TCK; +SDR 16 TDI (BAB7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (FAEF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (FFF9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB77); +RUNTEST 100 TCK; +SDR 16 TDI (7ADB); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AF5F); +RUNTEST 100 TCK; +SDR 16 TDI (9FAE); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (77BB); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7D); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EEFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A5FE); +RUNTEST 100 TCK; +SDR 16 TDI (FE7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (AE7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7B6F); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (BF7D); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEA); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FD57); +RUNTEST 100 TCK; +SDR 16 TDI (9FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FA77); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFAA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B7E7); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFB); +RUNTEST 100 TCK; +SDR 16 TDI (DB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7F5E); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD25); +RUNTEST 100 TCK; +SDR 16 TDI (4DEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BA5B); +RUNTEST 100 TCK; +SDR 16 TDI (E7F5); +RUNTEST 100 TCK; +SDR 16 TDI (7D5B); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (9E9D); +RUNTEST 100 TCK; +SDR 16 TDI (9FD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (DE7D); +RUNTEST 100 TCK; +SDR 16 TDI (BEFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B5FC); +RUNTEST 100 TCK; +SDR 16 TDI (67FA); +RUNTEST 100 TCK; +SDR 16 TDI (77F9); +RUNTEST 100 TCK; +SDR 16 TDI (4AE3); +RUNTEST 100 TCK; +SDR 16 TDI (ADF7); +RUNTEST 100 TCK; +SDR 16 TDI (DF7F); +RUNTEST 100 TCK; +SDR 16 TDI (56EF); +RUNTEST 100 TCK; +SDR 16 TDI (7E82); +RUNTEST 100 TCK; +SDR 16 TDI (9BFF); +RUNTEST 100 TCK; +SDR 16 TDI (F0EB); +RUNTEST 100 TCK; +SDR 16 TDI (5B97); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7B); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DEDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F51E); +RUNTEST 100 TCK; +SDR 16 TDI (B7AF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFA); +RUNTEST 100 TCK; +SDR 16 TDI (6BB5); +RUNTEST 100 TCK; +SDR 16 TDI (9B7D); +RUNTEST 100 TCK; +SDR 16 TDI (A77F); +RUNTEST 100 TCK; +SDR 16 TDI (EF3C); +RUNTEST 100 TCK; +SDR 16 TDI (4E6D); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8F5F); +RUNTEST 100 TCK; +SDR 16 TDI (002E); +RUNTEST 100 TCK; +SDR 16 TDI (5FCF); +RUNTEST 100 TCK; +SDR 16 TDI (EFBA); +RUNTEST 100 TCK; +SDR 16 TDI (A36B); +RUNTEST 100 TCK; +SDR 16 TDI (F7EC); +RUNTEST 100 TCK; +SDR 16 TDI (602B); +RUNTEST 100 TCK; +SDR 16 TDI (9970); +RUNTEST 100 TCK; +SDR 16 TDI (8A77); +RUNTEST 100 TCK; +SDR 16 TDI (084C); +RUNTEST 100 TCK; +SDR 16 TDI (7041); +RUNTEST 100 TCK; +SDR 16 TDI (A06F); +RUNTEST 100 TCK; +SDR 16 TDI (A4E2); +RUNTEST 100 TCK; +SDR 16 TDI (E7EC); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A7FE); +RUNTEST 100 TCK; +SDR 16 TDI (8022); +RUNTEST 100 TCK; +SDR 16 TDI (47A5); +RUNTEST 100 TCK; +SDR 16 TDI (E422); +RUNTEST 100 TCK; +SDR 16 TDI (AB2B); +RUNTEST 100 TCK; +SDR 16 TDI (F2F0); +RUNTEST 100 TCK; +SDR 16 TDI (6A0B); +RUNTEST 100 TCK; +SDR 16 TDI (91F4); +RUNTEST 100 TCK; +SDR 16 TDI (881B); +RUNTEST 100 TCK; +SDR 16 TDI (8944); +RUNTEST 100 TCK; +SDR 16 TDI (4040); +RUNTEST 100 TCK; +SDR 16 TDI (A08F); +RUNTEST 100 TCK; +SDR 16 TDI (BCFA); +RUNTEST 100 TCK; +SDR 16 TDI (F86F); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9D5F); +RUNTEST 100 TCK; +SDR 16 TDI (022E); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F7BA); +RUNTEST 100 TCK; +SDR 16 TDI (A28F); +RUNTEST 100 TCK; +SDR 16 TDI (EBFB); +RUNTEST 100 TCK; +SDR 16 TDI (6410); +RUNTEST 100 TCK; +SDR 16 TDI (1EB0); +RUNTEST 100 TCK; +SDR 16 TDI (AFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F86B); +RUNTEST 100 TCK; +SDR 16 TDI (7040); +RUNTEST 100 TCK; +SDR 16 TDI (6C5F); +RUNTEST 100 TCK; +SDR 16 TDI (9F19); +RUNTEST 100 TCK; +SDR 16 TDI (187D); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFE); +RUNTEST 100 TCK; +SDR 16 TDI (6422); +RUNTEST 100 TCK; +SDR 16 TDI (67E4); +RUNTEST 100 TCK; +SDR 16 TDI (0422); +RUNTEST 100 TCK; +SDR 16 TDI (A203); +RUNTEST 100 TCK; +SDR 16 TDI (E3FB); +RUNTEST 100 TCK; +SDR 16 TDI (7213); +RUNTEST 100 TCK; +SDR 16 TDI (36F0); +RUNTEST 100 TCK; +SDR 16 TDI (8BBF); +RUNTEST 100 TCK; +SDR 16 TDI (E063); +RUNTEST 100 TCK; +SDR 16 TDI (6FD0); +RUNTEST 100 TCK; +SDR 16 TDI (608F); +RUNTEST 100 TCK; +SDR 16 TDI (BF61); +RUNTEST 100 TCK; +SDR 16 TDI (61BF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BA3B); +RUNTEST 100 TCK; +SDR 16 TDI (71EE); +RUNTEST 100 TCK; +SDR 16 TDI (EEEF); +RUNTEST 100 TCK; +SDR 16 TDI (A123); +RUNTEST 100 TCK; +SDR 16 TDI (11F3); +RUNTEST 100 TCK; +SDR 16 TDI (484D); +RUNTEST 100 TCK; +SDR 16 TDI (3888); +RUNTEST 100 TCK; +SDR 16 TDI (938F); +RUNTEST 100 TCK; +SDR 16 TDI (B888); +RUNTEST 100 TCK; +SDR 16 TDI (50CC); +RUNTEST 100 TCK; +SDR 16 TDI (CCE7); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (BBEA); +RUNTEST 100 TCK; +SDR 16 TDI (67FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (97FF); +RUNTEST 100 TCK; +SDR 16 TDI (3373); +RUNTEST 100 TCK; +SDR 16 TDI (6146); +RUNTEST 100 TCK; +SDR 16 TDI (6667); +RUNTEST 100 TCK; +SDR 16 TDI (B737); +RUNTEST 100 TCK; +SDR 16 TDI (72A9); +RUNTEST 100 TCK; +SDR 16 TDI (6623); +RUNTEST 100 TCK; +SDR 16 TDI (7DD9); +RUNTEST 100 TCK; +SDR 16 TDI (BBB5); +RUNTEST 100 TCK; +SDR 16 TDI (1CDD); +RUNTEST 100 TCK; +SDR 16 TDI (73C9); +RUNTEST 100 TCK; +SDR 16 TDI (C9C2); +RUNTEST 100 TCK; +SDR 16 TDI (9111); +RUNTEST 100 TCK; +SDR 16 TDI (117F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (DFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7CDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (9FDF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7E7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A7F7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EEEF); +RUNTEST 100 TCK; +SDR 16 TDI (95E7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFB5); +RUNTEST 100 TCK; +SDR 16 TDI (6FF5); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BF7C); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFBE); +RUNTEST 100 TCK; +SDR 16 TDI (7BF6); +RUNTEST 100 TCK; +SDR 16 TDI (74D5); +RUNTEST 100 TCK; +SDR 16 TDI (FF9F); +RUNTEST 100 TCK; +SDR 16 TDI (B2BF); +RUNTEST 100 TCK; +SDR 16 TDI (D2FF); +RUNTEST 100 TCK; +SDR 16 TDI (5F7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (9FBF); +RUNTEST 100 TCK; +SDR 16 TDI (ADDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F5); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (77DD); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (9F7F); +RUNTEST 100 TCK; +SDR 16 TDI (DFDD); +RUNTEST 100 TCK; +SDR 16 TDI (6FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (99D7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DEE); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (EFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7F7D); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFC); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD6F); +RUNTEST 100 TCK; +SDR 16 TDI (6EFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9BEE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (5F77); +RUNTEST 100 TCK; +SDR 16 TDI (AF7D); +RUNTEST 100 TCK; +SDR 16 TDI (9F7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BF9); +RUNTEST 100 TCK; +SDR 16 TDI (FB5F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (57EC); +RUNTEST 100 TCK; +SDR 16 TDI (EF7F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (4FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BDF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (9BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5ED3); +RUNTEST 100 TCK; +SDR 16 TDI (D77D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (59F6); +RUNTEST 100 TCK; +SDR 16 TDI (FF9F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (3BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FF7); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7D7); +RUNTEST 100 TCK; +SDR 16 TDI (BAFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FAD); +RUNTEST 100 TCK; +SDR 16 TDI (FB7F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFE); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBAF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FBE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (93FF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (5EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (8FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (5EDD); +RUNTEST 100 TCK; +SDR 16 TDI (BE66); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBB); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7EEF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABBF); +RUNTEST 100 TCK; +SDR 16 TDI (B5BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FF6F); +RUNTEST 100 TCK; +SDR 16 TDI (79FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFB7); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AB5F); +RUNTEST 100 TCK; +SDR 16 TDI (B7FD); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (AFF6); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB9F); +RUNTEST 100 TCK; +SDR 16 TDI (97FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDD); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB6); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (979F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF5); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8A5F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (DBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7DFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFA); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6EFF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FB); +RUNTEST 100 TCK; +SDR 16 TDI (9DFF); +RUNTEST 100 TCK; +SDR 16 TDI (F6FD); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (9DF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAEF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D5DF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF35); +RUNTEST 100 TCK; +SDR 16 TDI (96BD); +RUNTEST 100 TCK; +SDR 16 TDI (EBFD); +RUNTEST 100 TCK; +SDR 16 TDI (5DDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEBD); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFE); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9BFF); +RUNTEST 100 TCK; +SDR 16 TDI (B72F); +RUNTEST 100 TCK; +SDR 16 TDI (4FEF); +RUNTEST 100 TCK; +SDR 16 TDI (9256); +RUNTEST 100 TCK; +SDR 16 TDI (B3DE); +RUNTEST 100 TCK; +SDR 16 TDI (DBFA); +RUNTEST 100 TCK; +SDR 16 TDI (6AFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEB2); +RUNTEST 100 TCK; +SDR 16 TDI (AFFD); +RUNTEST 100 TCK; +SDR 16 TDI (F0D3); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (9DED); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (FDBF); +RUNTEST 100 TCK; +SDR 16 TDI (BE6D); +RUNTEST 100 TCK; +SDR 16 TDI (A7FD); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (DB5D); +RUNTEST 100 TCK; +SDR 16 TDI (97DF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (57BF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BB5E); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (CAFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FE9); +RUNTEST 100 TCK; +SDR 16 TDI (9DB3); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5D5F); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (B83F); +RUNTEST 100 TCK; +SDR 16 TDI (CFEC); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BEFB); +RUNTEST 100 TCK; +SDR 16 TDI (3FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (875C); +RUNTEST 100 TCK; +SDR 16 TDI (B834); +RUNTEST 100 TCK; +SDR 16 TDI (47D8); +RUNTEST 100 TCK; +SDR 16 TDI (807B); +RUNTEST 100 TCK; +SDR 16 TDI (B23E); +RUNTEST 100 TCK; +SDR 16 TDI (23ED); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7B3A); +RUNTEST 100 TCK; +SDR 16 TDI (B997); +RUNTEST 100 TCK; +SDR 16 TDI (192C); +RUNTEST 100 TCK; +SDR 16 TDI (617A); +RUNTEST 100 TCK; +SDR 16 TDI (7F0F); +RUNTEST 100 TCK; +SDR 16 TDI (9000); +RUNTEST 100 TCK; +SDR 16 TDI (67EA); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEFC); +RUNTEST 100 TCK; +SDR 16 TDI (9420); +RUNTEST 100 TCK; +SDR 16 TDI (67B8); +RUNTEST 100 TCK; +SDR 16 TDI (821E); +RUNTEST 100 TCK; +SDR 16 TDI (A0F8); +RUNTEST 100 TCK; +SDR 16 TDI (0FD3); +RUNTEST 100 TCK; +SDR 16 TDI (667E); +RUNTEST 100 TCK; +SDR 16 TDI (1814); +RUNTEST 100 TCK; +SDR 16 TDI (999B); +RUNTEST 100 TCK; +SDR 16 TDI (980C); +RUNTEST 100 TCK; +SDR 16 TDI (417A); +RUNTEST 100 TCK; +SDR 16 TDI (70CD); +RUNTEST 100 TCK; +SDR 16 TDI (B800); +RUNTEST 100 TCK; +SDR 16 TDI (607F); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B75F); +RUNTEST 100 TCK; +SDR 16 TDI (763B); +RUNTEST 100 TCK; +SDR 16 TDI (7FE0); +RUNTEST 100 TCK; +SDR 16 TDI (109B); +RUNTEST 100 TCK; +SDR 16 TDI (923C); +RUNTEST 100 TCK; +SDR 16 TDI (2FF1); +RUNTEST 100 TCK; +SDR 16 TDI (47FE); +RUNTEST 100 TCK; +SDR 16 TDI (7CB0); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (D86E); +RUNTEST 100 TCK; +SDR 16 TDI (61A4); +RUNTEST 100 TCK; +SDR 16 TDI (A0FF); +RUNTEST 100 TCK; +SDR 16 TDI (B8C0); +RUNTEST 100 TCK; +SDR 16 TDI (986B); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7C20); +RUNTEST 100 TCK; +SDR 16 TDI (67E1); +RUNTEST 100 TCK; +SDR 16 TDI (328C); +RUNTEST 100 TCK; +SDR 16 TDI (A3FC); +RUNTEST 100 TCK; +SDR 16 TDI (0FF3); +RUNTEST 100 TCK; +SDR 16 TDI (467E); +RUNTEST 100 TCK; +SDR 16 TDI (1CA0); +RUNTEST 100 TCK; +SDR 16 TDI (A23F); +RUNTEST 100 TCK; +SDR 16 TDI (C02E); +RUNTEST 100 TCK; +SDR 16 TDI (61A4); +RUNTEST 100 TCK; +SDR 16 TDI (AC0F); +RUNTEST 100 TCK; +SDR 16 TDI (9840); +RUNTEST 100 TCK; +SDR 16 TDI (19FE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD7); +RUNTEST 100 TCK; +SDR 16 TDI (B23B); +RUNTEST 100 TCK; +SDR 16 TDI (63E6); +RUNTEST 100 TCK; +SDR 16 TDI (FE67); +RUNTEST 100 TCK; +SDR 16 TDI (B330); +RUNTEST 100 TCK; +SDR 16 TDI (B1FE); +RUNTEST 100 TCK; +SDR 16 TDI (6666); +RUNTEST 100 TCK; +SDR 16 TDI (7CFC); +RUNTEST 100 TCK; +SDR 16 TDI (9DCF); +RUNTEST 100 TCK; +SDR 16 TDI (B9F9); +RUNTEST 100 TCK; +SDR 16 TDI (51EE); +RUNTEST 100 TCK; +SDR 16 TDI (EEE7); +RUNTEST 100 TCK; +SDR 16 TDI (B9C9); +RUNTEST 100 TCK; +SDR 16 TDI (BBFA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (3773); +RUNTEST 100 TCK; +SDR 16 TDI (6956); +RUNTEST 100 TCK; +SDR 16 TDI (6777); +RUNTEST 100 TCK; +SDR 16 TDI (9273); +RUNTEST 100 TCK; +SDR 16 TDI (26A1); +RUNTEST 100 TCK; +SDR 16 TDI (5626); +RUNTEST 100 TCK; +SDR 16 TDI (7DCC); +RUNTEST 100 TCK; +SDR 16 TDI (B985); +RUNTEST 100 TCK; +SDR 16 TDI (1C9D); +RUNTEST 100 TCK; +SDR 16 TDI (7B44); +RUNTEST 100 TCK; +SDR 16 TDI (4442); +RUNTEST 100 TCK; +SDR 16 TDI (B5CD); +RUNTEST 100 TCK; +SDR 16 TDI (917F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FF9); +RUNTEST 100 TCK; +SDR 16 TDI (FBDF); +RUNTEST 100 TCK; +SDR 16 TDI (53CF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEE); +RUNTEST 100 TCK; +SDR 16 TDI (BBFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (6FDE); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BF7); +RUNTEST 100 TCK; +SDR 16 TDI (7E6D); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFB); +RUNTEST 100 TCK; +SDR 16 TDI (7B7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7577); +RUNTEST 100 TCK; +SDR 16 TDI (6FFD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B7DD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (777D); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (D5D7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFB); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FD); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (FFAF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (AD77); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F57F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7D76); +RUNTEST 100 TCK; +SDR 16 TDI (FDFD); +RUNTEST 100 TCK; +SDR 16 TDI (BB7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFDA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FF5E); +RUNTEST 100 TCK; +SDR 16 TDI (B5DF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (F9BE); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (FEF3); +RUNTEST 100 TCK; +SDR 16 TDI (7EF7); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (5DFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (DFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (AADF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EA7E); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEDB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB5F); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (5DFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (77EF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (5FDF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF9); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (4FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF9); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EAEF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF8); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFED); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B6FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D95F); +RUNTEST 100 TCK; +SDR 16 TDI (BDCF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (53FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (977F); +RUNTEST 100 TCK; +SDR 16 TDI (E7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFC); +RUNTEST 100 TCK; +SDR 16 TDI (F9E7); +RUNTEST 100 TCK; +SDR 16 TDI (59F3); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (AF9E); +RUNTEST 100 TCK; +SDR 16 TDI (7CFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F3E7); +RUNTEST 100 TCK; +SDR 16 TDI (B3E7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (2F4); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +! +! +! +!VERIFY +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (205); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF) TDO (7FFF) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F3E); +SDR 16 TDI (FFFF) TDO (79F3); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (C666); +SDR 16 TDI (FFFF) TDO (6FF9); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BC66); +SDR 16 TDI (FFFF) TDO (67FE); +SDR 16 TDI (FFFF) TDO (733F); +SDR 16 TDI (FFFF) TDO (FF19); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (ECCF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFCC); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (76FF); +SDR 16 TDI (FFFF) TDO (ABFD); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFDA); +SDR 16 TDI (FFFF) TDO (7DFE); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (EF5F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7B7B); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EF7E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FCCD); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (76FB); +SDR 16 TDI (FFFF) TDO (D7AF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6DBB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (BAFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (6CDF); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F9EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (3FD7); +SDR 16 TDI (FFFF) TDO (BDEB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (FEBF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (ADDF); +SDR 16 TDI (FFFF) TDO (FB7C); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (3775); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FBCC); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (773F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (DFAF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7DD); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF6); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAAF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFB9); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FBBA); +SDR 16 TDI (FFFF) TDO (7DBB); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (D3F7); +SDR 16 TDI (FFFF) TDO (B97F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FAF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (EDEF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7BFC); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (77F9); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7C); +SDR 16 TDI (FFFF) TDO (6DF7); +SDR 16 TDI (FFFF) TDO (3E9F); +SDR 16 TDI (FFFF) TDO (BC7F); +SDR 16 TDI (FFFF) TDO (33FD); +SDR 16 TDI (FFFF) TDO (6FCA); +SDR 16 TDI (FFFF) TDO (FFB6); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FF21); +SDR 16 TDI (FFFF) TDO (7DE7); +SDR 16 TDI (FFFF) TDO (8FBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FBE3); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7F37); +SDR 16 TDI (FFFF) TDO (FF4B); +SDR 16 TDI (FFFF) TDO (B99F); +SDR 16 TDI (FFFF) TDO (F9DE); +SDR 16 TDI (FFFF) TDO (779B); +SDR 16 TDI (FFFF) TDO (786F); +SDR 16 TDI (FFFF) TDO (BDDC); +SDR 16 TDI (FFFF) TDO (727F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (77FC); +SDR 16 TDI (FFFF) TDO (E57C); +SDR 16 TDI (FFFF) TDO (A3F7); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6F7D); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BAAF); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B66F); +SDR 16 TDI (FFFF) TDO (FCFC); +SDR 16 TDI (FFFF) TDO (67DE); +SDR 16 TDI (FFFF) TDO (1FFA); +SDR 16 TDI (FFFF) TDO (A000); +SDR 16 TDI (FFFF) TDO (FFE0); +SDR 16 TDI (FFFF) TDO (7882); +SDR 16 TDI (FFFF) TDO (1F0F); +SDR 16 TDI (FFFF) TDO (A61F); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (7245); +SDR 16 TDI (FFFF) TDO (A8EF); +SDR 16 TDI (FFFF) TDO (B781); +SDR 16 TDI (FFFF) TDO (80F5); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (FC3C); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (1BA2); +SDR 16 TDI (FFFF) TDO (A000); +SDR 16 TDI (FFFF) TDO (FFD0); +SDR 16 TDI (FFFF) TDO (7800); +SDR 16 TDI (FFFF) TDO (1F0F); +SDR 16 TDI (FFFF) TDO (A01E); +SDR 16 TDI (FFFF) TDO (F9F6); +SDR 16 TDI (FFFF) TDO (6280); +SDR 16 TDI (FFFF) TDO (A8CF); +SDR 16 TDI (FFFF) TDO (AF81); +SDR 16 TDI (FFFF) TDO (907F); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FDFC); +SDR 16 TDI (FFFF) TDO (67E7); +SDR 16 TDI (FFFF) TDO (1FFB); +SDR 16 TDI (FFFF) TDO (A230); +SDR 16 TDI (FFFF) TDO (33F0); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (9F0F); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7253); +SDR 16 TDI (FFFF) TDO (00DF); +SDR 16 TDI (FFFF) TDO (BF09); +SDR 16 TDI (FFFF) TDO (80F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FDBE); +SDR 16 TDI (FFFF) TDO (67E1); +SDR 16 TDI (FFFF) TDO (01C2); +SDR 16 TDI (FFFF) TDO (A030); +SDR 16 TDI (FFFF) TDO (33F4); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (9F7E); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6290); +SDR 16 TDI (FFFF) TDO (80DF); +SDR 16 TDI (FFFF) TDO (BF09); +SDR 16 TDI (FFFF) TDO (007F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAA7); +SDR 16 TDI (FFFF) TDO (3332); +SDR 16 TDI (FFFF) TDO (61E6); +SDR 16 TDI (FFFF) TDO (EE6F); +SDR 16 TDI (FFFF) TDO (A318); +SDR 16 TDI (FFFF) TDO (11F2); +SDR 16 TDI (FFFF) TDO (6144); +SDR 16 TDI (FFFF) TDO (3CEC); +SDR 16 TDI (FFFF) TDO (BDCF); +SDR 16 TDI (FFFF) TDO (9BB8); +SDR 16 TDI (FFFF) TDO (73C9); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (B89C); +SDR 16 TDI (FFFF) TDO (98FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (6952); +SDR 16 TDI (FFFF) TDO (6767); +SDR 16 TDI (FFFF) TDO (B773); +SDR 16 TDI (FFFF) TDO (22A1); +SDR 16 TDI (FFFF) TDO (6627); +SDR 16 TDI (FFFF) TDO (7DCD); +SDR 16 TDI (FFFF) TDO (B985); +SDR 16 TDI (FFFF) TDO (599D); +SDR 16 TDI (FFFF) TDO (79DD); +SDR 16 TDI (FFFF) TDO (DDC2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEF7); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (77CF); +SDR 16 TDI (FFFF) TDO (FEFD); +SDR 16 TDI (FFFF) TDO (BF99); +SDR 16 TDI (FFFF) TDO (DFEE); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (B3BB); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EBFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7D7D); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D7FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (FDFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (737F); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDF6); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7DC); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFAF); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7B7E); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF5D); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (6BF9); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBDB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6EBF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (6B77); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (BB5F); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFB7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7CBD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFE); +SDR 16 TDI (FFFF) TDO (B96F); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7D75); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (F37F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFF9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (5FFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DF4); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BF3A); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (77D9); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (BEF3); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55E); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (BD9B); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (BD5F); +SDR 16 TDI (FFFF) TDO (DF75); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AAAF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DEB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6FF6); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (775B); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (6CFB); +SDR 16 TDI (FFFF) TDO (7DBF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6DED); +SDR 16 TDI (FFFF) TDO (F37F); +SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (CFFE); +SDR 16 TDI (FFFF) TDO (7F76); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (3AFB); +SDR 16 TDI (FFFF) TDO (7717); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEEC); +SDR 16 TDI (FFFF) TDO (FE5F); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (7FFC); +SDR 16 TDI (FFFF) TDO (B8BF); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (62EF); +SDR 16 TDI (FFFF) TDO (FFCF); +SDR 16 TDI (FFFF) TDO (ADBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (D45F); +SDR 16 TDI (FFFF) TDO (BE5F); +SDR 16 TDI (FFFF) TDO (566A); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (67F7); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (9FD7); +SDR 16 TDI (FFFF) TDO (BFE3); +SDR 16 TDI (FFFF) TDO (BBF5); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (5CFD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (D71D); +SDR 16 TDI (FFFF) TDO (78FD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BDAE); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B99D); +SDR 16 TDI (FFFF) TDO (69FC); +SDR 16 TDI (FFFF) TDO (67C0); +SDR 16 TDI (FFFF) TDO (0B9E); +SDR 16 TDI (FFFF) TDO (B4A0); +SDR 16 TDI (FFFF) TDO (03EE); +SDR 16 TDI (FFFF) TDO (7580); +SDR 16 TDI (FFFF) TDO (1302); +SDR 16 TDI (FFFF) TDO (A01E); +SDR 16 TDI (FFFF) TDO (1819); +SDR 16 TDI (FFFF) TDO (70AF); +SDR 16 TDI (FFFF) TDO (FC0F); +SDR 16 TDI (FFFF) TDO (B079); +SDR 16 TDI (FFFF) TDO (87F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ABFE); +SDR 16 TDI (FFFF) TDO (E9C3); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (0BE0); +SDR 16 TDI (FFFF) TDO (A0A0); +SDR 16 TDI (FFFF) TDO (03D0); +SDR 16 TDI (FFFF) TDO (7580); +SDR 16 TDI (FFFF) TDO (1302); +SDR 16 TDI (FFFF) TDO (B41B); +SDR 16 TDI (FFFF) TDO (9F99); +SDR 16 TDI (FFFF) TDO (70AB); +SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (AAA9); +SDR 16 TDI (FFFF) TDO (D07F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD5D); +SDR 16 TDI (FFFF) TDO (9283); +SDR 16 TDI (FFFF) TDO (7FF0); +SDR 16 TDI (FFFF) TDO (2C60); +SDR 16 TDI (FFFF) TDO (AA40); +SDR 16 TDI (FFFF) TDO (E3FF); +SDR 16 TDI (FFFF) TDO (6C06); +SDR 16 TDI (FFFF) TDO (30C7); +SDR 16 TDI (FFFF) TDO (A47F); +SDR 16 TDI (FFFF) TDO (E066); +SDR 16 TDI (FFFF) TDO (610F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B86C); +SDR 16 TDI (FFFF) TDO (84F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (D2B0); +SDR 16 TDI (FFFF) TDO (67F6); +SDR 16 TDI (FFFF) TDO (0D86); +SDR 16 TDI (FFFF) TDO (A040); +SDR 16 TDI (FFFF) TDO (C3F0); +SDR 16 TDI (FFFF) TDO (7C06); +SDR 16 TDI (FFFF) TDO (10C1); +SDR 16 TDI (FFFF) TDO (A07F); +SDR 16 TDI (FFFF) TDO (9DE6); +SDR 16 TDI (FFFF) TDO (6083); +SDR 16 TDI (FFFF) TDO (3C0F); +SDR 16 TDI (FFFF) TDO (BCC8); +SDR 16 TDI (FFFF) TDO (07FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAA7); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (71EE); +SDR 16 TDI (FFFF) TDO (2EEF); +SDR 16 TDI (FFFF) TDO (B219); +SDR 16 TDI (FFFF) TDO (31F1); +SDR 16 TDI (FFFF) TDO (6286); +SDR 16 TDI (FFFF) TDO (38CF); +SDR 16 TDI (FFFF) TDO (B9AF); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (71CF); +SDR 16 TDI (FFFF) TDO (CEC7); +SDR 16 TDI (FFFF) TDO (BA9C); +SDR 16 TDI (FFFF) TDO (9BFE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (1111); +SDR 16 TDI (FFFF) TDO (6167); +SDR 16 TDI (FFFF) TDO (6445); +SDR 16 TDI (FFFF) TDO (B763); +SDR 16 TDI (FFFF) TDO (36A9); +SDR 16 TDI (FFFF) TDO (7677); +SDR 16 TDI (FFFF) TDO (79DC); +SDR 16 TDI (FFFF) TDO (BBA5); +SDR 16 TDI (FFFF) TDO (19D9); +SDR 16 TDI (FFFF) TDO (79DC); +SDR 16 TDI (FFFF) TDO (DC9A); +SDR 16 TDI (FFFF) TDO (B1CD); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DDDD); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BBDD); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (BFC7); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (EBFA); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (6DFB); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DD7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (6BF7); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (DBED); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (66FF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (BBDE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (7EEB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (B77D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6EFE); +SDR 16 TDI (FFFF) TDO (DF5F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (D7FB); +SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FF76); +SDR 16 TDI (FFFF) TDO (7D7B); +SDR 16 TDI (FFFF) TDO (EF5F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFBE); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB7B); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (737F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (DEEB); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6BBB); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7DD5); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DF3B); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6B7F); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7BE); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FDB); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (CBDE); +SDR 16 TDI (FFFF) TDO (7DEB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BCFB); +SDR 16 TDI (FFFF) TDO (BF7D); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDDE); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (BBDB); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7DFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BDDD); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6B7F); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7D); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (AFBE); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7DE0); +SDR 16 TDI (FFFF) TDO (13F6); +SDR 16 TDI (FFFF) TDO (AEFD); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (6C97); +SDR 16 TDI (FFFF) TDO (B3EF); +SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (F9F9); +SDR 16 TDI (FFFF) TDO (7676); +SDR 16 TDI (FFFF) TDO (FE6F); +SDR 16 TDI (FFFF) TDO (BBE9); +SDR 16 TDI (FFFF) TDO (33FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B5F2); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (7F7B); +SDR 16 TDI (FFFF) TDO (DEDA); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (CD6A); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (677F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BF2F); +SDR 16 TDI (FFFF) TDO (F7F3); +SDR 16 TDI (FFFF) TDO (73FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BF9E); +SDR 16 TDI (FFFF) TDO (CE1E); +SDR 16 TDI (FFFF) TDO (69BB); +SDR 16 TDI (FFFF) TDO (2F9F); +SDR 16 TDI (FFFF) TDO (BC9F); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBAD); +SDR 16 TDI (FFFF) TDO (69FC); +SDR 16 TDI (FFFF) TDO (67C2); +SDR 16 TDI (FFFF) TDO (0B9E); +SDR 16 TDI (FFFF) TDO (A130); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (7404); +SDR 16 TDI (FFFF) TDO (BC00); +SDR 16 TDI (FFFF) TDO (B17F); +SDR 16 TDI (FFFF) TDO (0100); +SDR 16 TDI (FFFF) TDO (6888); +SDR 16 TDI (FFFF) TDO (5C1F); +SDR 16 TDI (FFFF) TDO (B768); +SDR 16 TDI (FFFF) TDO (0075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF5E); +SDR 16 TDI (FFFF) TDO (E9C3); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (0BE0); +SDR 16 TDI (FFFF) TDO (A3F0); +SDR 16 TDI (FFFF) TDO (8FDE); +SDR 16 TDI (FFFF) TDO (78A7); +SDR 16 TDI (FFFF) TDO (BA00); +SDR 16 TDI (FFFF) TDO (A11E); +SDR 16 TDI (FFFF) TDO (8002); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (5C2F); +SDR 16 TDI (FFFF) TDO (AF08); +SDR 16 TDI (FFFF) TDO (10FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5FD); +SDR 16 TDI (FFFF) TDO (9283); +SDR 16 TDI (FFFF) TDO (7FE0); +SDR 16 TDI (FFFF) TDO (4C61); +SDR 16 TDI (FFFF) TDO (A538); +SDR 16 TDI (FFFF) TDO (EFF3); +SDR 16 TDI (FFFF) TDO (6066); +SDR 16 TDI (FFFF) TDO (1CC8); +SDR 16 TDI (FFFF) TDO (B19F); +SDR 16 TDI (FFFF) TDO (C208); +SDR 16 TDI (FFFF) TDO (6078); +SDR 16 TDI (FFFF) TDO (BC6F); +SDR 16 TDI (FFFF) TDO (BF99); +SDR 16 TDI (FFFF) TDO (F875); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (D2B0); +SDR 16 TDI (FFFF) TDO (67E0); +SDR 16 TDI (FFFF) TDO (0D86); +SDR 16 TDI (FFFF) TDO (A338); +SDR 16 TDI (FFFF) TDO (2FFC); +SDR 16 TDI (FFFF) TDO (6126); +SDR 16 TDI (FFFF) TDO (19C8); +SDR 16 TDI (FFFF) TDO (A19F); +SDR 16 TDI (FFFF) TDO (E00A); +SDR 16 TDI (FFFF) TDO (70F0); +SDR 16 TDI (FFFF) TDO (9C1F); +SDR 16 TDI (FFFF) TDO (BF98); +SDR 16 TDI (FFFF) TDO (787F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BA3F); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (71E6); +SDR 16 TDI (FFFF) TDO (6EEF); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (75F3); +SDR 16 TDI (FFFF) TDO (6E7F); +SDR 16 TDI (FFFF) TDO (7EE8); +SDR 16 TDI (FFFF) TDO (B9CF); +SDR 16 TDI (FFFF) TDO (D98B); +SDR 16 TDI (FFFF) TDO (71E8); +SDR 16 TDI (FFFF) TDO (8E83); +SDR 16 TDI (FFFF) TDO (B998); +SDR 16 TDI (FFFF) TDO (89FA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (1111); +SDR 16 TDI (FFFF) TDO (6177); +SDR 16 TDI (FFFF) TDO (2445); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (6767); +SDR 16 TDI (FFFF) TDO (7CCD); +SDR 16 TDI (FFFF) TDO (BB85); +SDR 16 TDI (FFFF) TDO (5DD9); +SDR 16 TDI (FFFF) TDO (7BCD); +SDR 16 TDI (FFFF) TDO (DCD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DDDD); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BCEF); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (B3BF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF7D); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (F7DB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CDDB); +SDR 16 TDI (FFFF) TDO (7F67); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (F77B); +SDR 16 TDI (FFFF) TDO (B5DF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (7FDE); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (73BF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF7E); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F57F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDE7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFDB); +SDR 16 TDI (FFFF) TDO (7BE7); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DD37); +SDR 16 TDI (FFFF) TDO (7DF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7DEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7F3F); +SDR 16 TDI (FFFF) TDO (AFF7); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (77D7); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6BEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (DBFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7B7); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7EDB); +SDR 16 TDI (FFFF) TDO (DF77); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (CB5F); +SDR 16 TDI (FFFF) TDO (7EF5); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F9FB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BA7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6DEC); +SDR 16 TDI (FFFF) TDO (EDE7); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7B9F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (6EF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DF7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (7BF6); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FEBB); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (DBFB); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6EFB); +SDR 16 TDI (FFFF) TDO (55AB); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FB7A); +SDR 16 TDI (FFFF) TDO (7D6F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFB6); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDAD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFD9); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFC); +SDR 16 TDI (FFFF) TDO (FFB9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (6E77); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BEF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF33); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B65E); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7FA); +SDR 16 TDI (FFFF) TDO (73AF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (3FFD); +SDR 16 TDI (FFFF) TDO (7BEB); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (F7F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (B76B); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (757F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (7B6A); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (B99D); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7775); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FD6F); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7DED); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (AFFB); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (AABF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (6DEC); +SDR 16 TDI (FFFF) TDO (B3F6); +SDR 16 TDI (FFFF) TDO (A7D3); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FB1); +SDR 16 TDI (FFFF) TDO (93C1); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FF3E); +SDR 16 TDI (FFFF) TDO (73C3); +SDR 16 TDI (FFFF) TDO (3FBF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B3FC); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (D7FC); +SDR 16 TDI (FFFF) TDO (73FF); +SDR 16 TDI (FFFF) TDO (FC3F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (E6FD); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (E6EF); +SDR 16 TDI (FFFF) TDO (BCE9); +SDR 16 TDI (FFFF) TDO (BCEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (5F5F); +SDR 16 TDI (FFFF) TDO (B8BC); +SDR 16 TDI (FFFF) TDO (FBEB); +SDR 16 TDI (FFFF) TDO (6C4E); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (A11B); +SDR 16 TDI (FFFF) TDO (D9DF); +SDR 16 TDI (FFFF) TDO (6D3F); +SDR 16 TDI (FFFF) TDO (D95F); +SDR 16 TDI (FFFF) TDO (B75F); +SDR 16 TDI (FFFF) TDO (E77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE5D); +SDR 16 TDI (FFFF) TDO (69FC); +SDR 16 TDI (FFFF) TDO (67C0); +SDR 16 TDI (FFFF) TDO (0B9E); +SDR 16 TDI (FFFF) TDO (A320); +SDR 16 TDI (FFFF) TDO (03C0); +SDR 16 TDI (FFFF) TDO (60A2); +SDR 16 TDI (FFFF) TDO (5E39); +SDR 16 TDI (FFFF) TDO (B997); +SDR 16 TDI (FFFF) TDO (6084); +SDR 16 TDI (FFFF) TDO (7CB5); +SDR 16 TDI (FFFF) TDO (F48F); +SDR 16 TDI (FFFF) TDO (A49F); +SDR 16 TDI (FFFF) TDO (E3F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFE); +SDR 16 TDI (FFFF) TDO (E9C3); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (0BE0); +SDR 16 TDI (FFFF) TDO (A382); +SDR 16 TDI (FFFF) TDO (03D0); +SDR 16 TDI (FFFF) TDO (62E0); +SDR 16 TDI (FFFF) TDO (1201); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (8884); +SDR 16 TDI (FFFF) TDO (6080); +SDR 16 TDI (FFFF) TDO (F43F); +SDR 16 TDI (FFFF) TDO (AC1E); +SDR 16 TDI (FFFF) TDO (897F); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF6D); +SDR 16 TDI (FFFF) TDO (9283); +SDR 16 TDI (FFFF) TDO (7FF0); +SDR 16 TDI (FFFF) TDO (0C61); +SDR 16 TDI (FFFF) TDO (A32C); +SDR 16 TDI (FFFF) TDO (23F9); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (7CDB); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (E7E6); +SDR 16 TDI (FFFF) TDO (7BCF); +SDR 16 TDI (FFFF) TDO (0F8F); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (F975); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDE); +SDR 16 TDI (FFFF) TDO (D2B0); +SDR 16 TDI (FFFF) TDO (67F6); +SDR 16 TDI (FFFF) TDO (4D86); +SDR 16 TDI (FFFF) TDO (A37E); +SDR 16 TDI (FFFF) TDO (33F0); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (7CD3); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (EE66); +SDR 16 TDI (FFFF) TDO (6BC9); +SDR 16 TDI (FFFF) TDO (49FF); +SDR 16 TDI (FFFF) TDO (BE3C); +SDR 16 TDI (FFFF) TDO (897F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE27); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (71EE); +SDR 16 TDI (FFFF) TDO (6EEF); +SDR 16 TDI (FFFF) TDO (A3BB); +SDR 16 TDI (FFFF) TDO (B1F3); +SDR 16 TDI (FFFF) TDO (66E6); +SDR 16 TDI (FFFF) TDO (7CCF); +SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (D99F); +SDR 16 TDI (FFFF) TDO (73EE); +SDR 16 TDI (FFFF) TDO (8EC7); +SDR 16 TDI (FFFF) TDO (BDD9); +SDR 16 TDI (FFFF) TDO (99EB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (1111); +SDR 16 TDI (FFFF) TDO (6167); +SDR 16 TDI (FFFF) TDO (6445); +SDR 16 TDI (FFFF) TDO (A777); +SDR 16 TDI (FFFF) TDO (74A9); +SDR 16 TDI (FFFF) TDO (7277); +SDR 16 TDI (FFFF) TDO (3CDC); +SDR 16 TDI (FFFF) TDO (BB25); +SDR 16 TDI (FFFF) TDO (1DD9); +SDR 16 TDI (FFFF) TDO (7BCC); +SDR 16 TDI (FFFF) TDO (DC92); +SDR 16 TDI (FFFF) TDO (B5CC); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (DDDD); +SDR 16 TDI (FFFF) TDO (7FDE); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (F8FF); +SDR 16 TDI (FFFF) TDO (6FCE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BDEF); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (E7F7); +SDR 16 TDI (FFFF) TDO (B3BB); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BAEE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DF6D); +SDR 16 TDI (FFFF) TDO (6B5F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBDE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (BE7D); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (76EB); +SDR 16 TDI (FFFF) TDO (F7DE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EBDF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (76E5); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B7DE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFAB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (D6BF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DF5E); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDDE); +SDR 16 TDI (FFFF) TDO (F5FB); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (FECD); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (CF77); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (75BF); +SDR 16 TDI (FFFF) TDO (AB7F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (677E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FF37); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (EBF7); +SDR 16 TDI (FFFF) TDO (6ACF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (ADF7); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (75BF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6FDE); +SDR 16 TDI (FFFF) TDO (DE6F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (79BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (EDD7); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AEBE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (D757); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F4EF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (77DF); +SDR 16 TDI (FFFF) TDO (D77B); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FE); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FBE); +SDR 16 TDI (FFFF) TDO (B6DE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (7DEF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FDAD); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AE5F); +SDR 16 TDI (FFFF) TDO (EBF7); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (DFDB); +SDR 16 TDI (FFFF) TDO (BAB7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (ABDF); +SDR 16 TDI (FFFF) TDO (FFDE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ABFD); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (FAEF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BB77); +SDR 16 TDI (FFFF) TDO (7ADB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AF5F); +SDR 16 TDI (FFFF) TDO (9FAE); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (77BB); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EEFE); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A5FE); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (AE7F); +SDR 16 TDI (FFFF) TDO (EFF6); +SDR 16 TDI (FFFF) TDO (7B6F); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (BF7D); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (6BFD); +SDR 16 TDI (FFFF) TDO (FD57); +SDR 16 TDI (FFFF) TDO (9FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FA77); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFAA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FEFD); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7E7); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (DB7F); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7F5E); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BD25); +SDR 16 TDI (FFFF) TDO (4DEF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BA5B); +SDR 16 TDI (FFFF) TDO (E7F5); +SDR 16 TDI (FFFF) TDO (7D5B); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (9E9D); +SDR 16 TDI (FFFF) TDO (9FD7); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (DE7D); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5FC); +SDR 16 TDI (FFFF) TDO (67FA); +SDR 16 TDI (FFFF) TDO (77F9); +SDR 16 TDI (FFFF) TDO (4AE3); +SDR 16 TDI (FFFF) TDO (ADF7); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (56EF); +SDR 16 TDI (FFFF) TDO (7E82); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (F0EB); +SDR 16 TDI (FFFF) TDO (5B97); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (DEDF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F51E); +SDR 16 TDI (FFFF) TDO (B7AF); +SDR 16 TDI (FFFF) TDO (FBFA); +SDR 16 TDI (FFFF) TDO (6BB5); +SDR 16 TDI (FFFF) TDO (9B7D); +SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (EF3C); +SDR 16 TDI (FFFF) TDO (4E6D); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8F5F); +SDR 16 TDI (FFFF) TDO (002E); +SDR 16 TDI (FFFF) TDO (5FCF); +SDR 16 TDI (FFFF) TDO (EFBA); +SDR 16 TDI (FFFF) TDO (A36B); +SDR 16 TDI (FFFF) TDO (F7EC); +SDR 16 TDI (FFFF) TDO (602B); +SDR 16 TDI (FFFF) TDO (9970); +SDR 16 TDI (FFFF) TDO (8A77); +SDR 16 TDI (FFFF) TDO (084C); +SDR 16 TDI (FFFF) TDO (7041); +SDR 16 TDI (FFFF) TDO (A06F); +SDR 16 TDI (FFFF) TDO (A4E2); +SDR 16 TDI (FFFF) TDO (E7EC); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A7FE); +SDR 16 TDI (FFFF) TDO (8022); +SDR 16 TDI (FFFF) TDO (47A5); +SDR 16 TDI (FFFF) TDO (E422); +SDR 16 TDI (FFFF) TDO (AB2B); +SDR 16 TDI (FFFF) TDO (F2F0); +SDR 16 TDI (FFFF) TDO (6A0B); +SDR 16 TDI (FFFF) TDO (91F4); +SDR 16 TDI (FFFF) TDO (881B); +SDR 16 TDI (FFFF) TDO (8944); +SDR 16 TDI (FFFF) TDO (4040); +SDR 16 TDI (FFFF) TDO (A08F); +SDR 16 TDI (FFFF) TDO (BCFA); +SDR 16 TDI (FFFF) TDO (F86F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9D5F); +SDR 16 TDI (FFFF) TDO (022E); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7BA); +SDR 16 TDI (FFFF) TDO (A28F); +SDR 16 TDI (FFFF) TDO (EBFB); +SDR 16 TDI (FFFF) TDO (6410); +SDR 16 TDI (FFFF) TDO (1EB0); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (F86B); +SDR 16 TDI (FFFF) TDO (7040); +SDR 16 TDI (FFFF) TDO (6C5F); +SDR 16 TDI (FFFF) TDO (9F19); +SDR 16 TDI (FFFF) TDO (187D); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (6422); +SDR 16 TDI (FFFF) TDO (67E4); +SDR 16 TDI (FFFF) TDO (0422); +SDR 16 TDI (FFFF) TDO (A203); +SDR 16 TDI (FFFF) TDO (E3FB); +SDR 16 TDI (FFFF) TDO (7213); +SDR 16 TDI (FFFF) TDO (36F0); +SDR 16 TDI (FFFF) TDO (8BBF); +SDR 16 TDI (FFFF) TDO (E063); +SDR 16 TDI (FFFF) TDO (6FD0); +SDR 16 TDI (FFFF) TDO (608F); +SDR 16 TDI (FFFF) TDO (BF61); +SDR 16 TDI (FFFF) TDO (61BF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BA3B); +SDR 16 TDI (FFFF) TDO (71EE); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (A123); +SDR 16 TDI (FFFF) TDO (11F3); +SDR 16 TDI (FFFF) TDO (484D); +SDR 16 TDI (FFFF) TDO (3888); +SDR 16 TDI (FFFF) TDO (938F); +SDR 16 TDI (FFFF) TDO (B888); +SDR 16 TDI (FFFF) TDO (50CC); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (BBEA); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (3373); +SDR 16 TDI (FFFF) TDO (6146); +SDR 16 TDI (FFFF) TDO (6667); +SDR 16 TDI (FFFF) TDO (B737); +SDR 16 TDI (FFFF) TDO (72A9); +SDR 16 TDI (FFFF) TDO (6623); +SDR 16 TDI (FFFF) TDO (7DD9); +SDR 16 TDI (FFFF) TDO (BBB5); +SDR 16 TDI (FFFF) TDO (1CDD); +SDR 16 TDI (FFFF) TDO (73C9); +SDR 16 TDI (FFFF) TDO (C9C2); +SDR 16 TDI (FFFF) TDO (9111); +SDR 16 TDI (FFFF) TDO (117F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (FFDD); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (DFEF); +SDR 16 TDI (FFFF) TDO (7CDD); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (9FDF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7E7F); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (A7F7); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (95E7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFB5); +SDR 16 TDI (FFFF) TDO (6FF5); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BF7C); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFBE); +SDR 16 TDI (FFFF) TDO (7BF6); +SDR 16 TDI (FFFF) TDO (74D5); +SDR 16 TDI (FFFF) TDO (FF9F); +SDR 16 TDI (FFFF) TDO (B2BF); +SDR 16 TDI (FFFF) TDO (D2FF); +SDR 16 TDI (FFFF) TDO (5F7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (9FBF); +SDR 16 TDI (FFFF) TDO (ADDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (F7F5); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (77DD); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (DFDD); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (99D7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DEE); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD6F); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (9BEE); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5F77); +SDR 16 TDI (FFFF) TDO (AF7D); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BF9); +SDR 16 TDI (FFFF) TDO (FB5F); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (57EC); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (9FFB); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (9EFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5ED3); +SDR 16 TDI (FFFF) TDO (D77D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (59F6); +SDR 16 TDI (FFFF) TDO (FF9F); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (3BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FF7); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (D7D7); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FAD); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FDFE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FBAF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (9FBE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (93FF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (5EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (8FFD); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5EDD); +SDR 16 TDI (FFFF) TDO (BE66); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BDBB); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFB); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (ABBF); +SDR 16 TDI (FFFF) TDO (B5BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFB7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AB5F); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (AFF6); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BB9F); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (FFDD); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FB6); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (979F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FDF5); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8A5F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (DBF7); +SDR 16 TDI (FFFF) TDO (7DFE); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFA); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (F5FB); +SDR 16 TDI (FFFF) TDO (9DFF); +SDR 16 TDI (FFFF) TDO (F6FD); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FD7); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (9DF6); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (6EFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BAEF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (D5DF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FF35); +SDR 16 TDI (FFFF) TDO (96BD); +SDR 16 TDI (FFFF) TDO (EBFD); +SDR 16 TDI (FFFF) TDO (5DDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEBD); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (B72F); +SDR 16 TDI (FFFF) TDO (4FEF); +SDR 16 TDI (FFFF) TDO (9256); +SDR 16 TDI (FFFF) TDO (B3DE); +SDR 16 TDI (FFFF) TDO (DBFA); +SDR 16 TDI (FFFF) TDO (6AFE); +SDR 16 TDI (FFFF) TDO (FEB2); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (F0D3); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (9DED); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (FDBF); +SDR 16 TDI (FFFF) TDO (BE6D); +SDR 16 TDI (FFFF) TDO (A7FD); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DB5D); +SDR 16 TDI (FFFF) TDO (97DF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (57BF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BB5E); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (CAFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FE9); +SDR 16 TDI (FFFF) TDO (9DB3); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5D5F); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (B83F); +SDR 16 TDI (FFFF) TDO (CFEC); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (875C); +SDR 16 TDI (FFFF) TDO (B834); +SDR 16 TDI (FFFF) TDO (47D8); +SDR 16 TDI (FFFF) TDO (807B); +SDR 16 TDI (FFFF) TDO (B23E); +SDR 16 TDI (FFFF) TDO (23ED); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (7B3A); +SDR 16 TDI (FFFF) TDO (B997); +SDR 16 TDI (FFFF) TDO (192C); +SDR 16 TDI (FFFF) TDO (617A); +SDR 16 TDI (FFFF) TDO (7F0F); +SDR 16 TDI (FFFF) TDO (9000); +SDR 16 TDI (FFFF) TDO (67EA); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEFC); +SDR 16 TDI (FFFF) TDO (9420); +SDR 16 TDI (FFFF) TDO (67B8); +SDR 16 TDI (FFFF) TDO (821E); +SDR 16 TDI (FFFF) TDO (A0F8); +SDR 16 TDI (FFFF) TDO (0FD3); +SDR 16 TDI (FFFF) TDO (667E); +SDR 16 TDI (FFFF) TDO (1814); +SDR 16 TDI (FFFF) TDO (999B); +SDR 16 TDI (FFFF) TDO (980C); +SDR 16 TDI (FFFF) TDO (417A); +SDR 16 TDI (FFFF) TDO (70CD); +SDR 16 TDI (FFFF) TDO (B800); +SDR 16 TDI (FFFF) TDO (607F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B75F); +SDR 16 TDI (FFFF) TDO (763B); +SDR 16 TDI (FFFF) TDO (7FE0); +SDR 16 TDI (FFFF) TDO (109B); +SDR 16 TDI (FFFF) TDO (923C); +SDR 16 TDI (FFFF) TDO (2FF1); +SDR 16 TDI (FFFF) TDO (47FE); +SDR 16 TDI (FFFF) TDO (7CB0); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (D86E); +SDR 16 TDI (FFFF) TDO (61A4); +SDR 16 TDI (FFFF) TDO (A0FF); +SDR 16 TDI (FFFF) TDO (B8C0); +SDR 16 TDI (FFFF) TDO (986B); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7C20); +SDR 16 TDI (FFFF) TDO (67E1); +SDR 16 TDI (FFFF) TDO (328C); +SDR 16 TDI (FFFF) TDO (A3FC); +SDR 16 TDI (FFFF) TDO (0FF3); +SDR 16 TDI (FFFF) TDO (467E); +SDR 16 TDI (FFFF) TDO (1CA0); +SDR 16 TDI (FFFF) TDO (A23F); +SDR 16 TDI (FFFF) TDO (C02E); +SDR 16 TDI (FFFF) TDO (61A4); +SDR 16 TDI (FFFF) TDO (AC0F); +SDR 16 TDI (FFFF) TDO (9840); +SDR 16 TDI (FFFF) TDO (19FE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (B23B); +SDR 16 TDI (FFFF) TDO (63E6); +SDR 16 TDI (FFFF) TDO (FE67); +SDR 16 TDI (FFFF) TDO (B330); +SDR 16 TDI (FFFF) TDO (B1FE); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (7CFC); +SDR 16 TDI (FFFF) TDO (9DCF); +SDR 16 TDI (FFFF) TDO (B9F9); +SDR 16 TDI (FFFF) TDO (51EE); +SDR 16 TDI (FFFF) TDO (EEE7); +SDR 16 TDI (FFFF) TDO (B9C9); +SDR 16 TDI (FFFF) TDO (BBFA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (3773); +SDR 16 TDI (FFFF) TDO (6956); +SDR 16 TDI (FFFF) TDO (6777); +SDR 16 TDI (FFFF) TDO (9273); +SDR 16 TDI (FFFF) TDO (26A1); +SDR 16 TDI (FFFF) TDO (5626); +SDR 16 TDI (FFFF) TDO (7DCC); +SDR 16 TDI (FFFF) TDO (B985); +SDR 16 TDI (FFFF) TDO (1C9D); +SDR 16 TDI (FFFF) TDO (7B44); +SDR 16 TDI (FFFF) TDO (4442); +SDR 16 TDI (FFFF) TDO (B5CD); +SDR 16 TDI (FFFF) TDO (917F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FF9); +SDR 16 TDI (FFFF) TDO (FBDF); +SDR 16 TDI (FFFF) TDO (53CF); +SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (6FDE); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BF7); +SDR 16 TDI (FFFF) TDO (7E6D); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (FEFB); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7577); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7DD); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (777D); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (D5D7); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (AD77); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F57F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7D76); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FFDA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FF5E); +SDR 16 TDI (FFFF) TDO (B5DF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (F9BE); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (FEF3); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (5DFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (AADF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EA7E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB7B); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEDB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB5F); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (5DFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (4FBF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (EAEF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF8); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFED); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (D95F); +SDR 16 TDI (FFFF) TDO (BDCF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (53FF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (977F); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (F9E7); +SDR 16 TDI (FFFF) TDO (59F3); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (AF9E); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F3E7); +SDR 16 TDI (FFFF) TDO (B3E7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (205); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF) TDO (FFFF) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F4); +RUNTEST 8 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SIR 10 TDI (201); +RUNTEST 1003 TCK; +SIR 10 TDI (3FF); +RUNTEST 1000 TCK; +STATE IDLE; diff --git a/cpld2/GR8RAM.qpf b/cpld2/GR8RAM.qpf new file mode 100644 index 0000000..c72b9e0 --- /dev/null +++ b/cpld2/GR8RAM.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +# Date created = 11:15:44 February 28, 2023 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "22.1" +DATE = "11:15:44 February 28, 2023" + +# Revisions + +PROJECT_REVISION = "GR8RAM" diff --git a/cpld2/GR8RAM.qsf b/cpld2/GR8RAM.qsf new file mode 100644 index 0000000..4d54b77 --- /dev/null +++ b/cpld2/GR8RAM.qsf @@ -0,0 +1,61 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +# Date created = 11:15:44 February 28, 2023 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# GR8RAM_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Intel recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX II" +set_global_assignment -name DEVICE EPM240T100C5 +set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 22.1STD.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:15:44 FEBRUARY 28, 2023" +set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" +set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V +set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (Verilog)" +set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR simulation/questa -section_id eda_simulation +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan \ No newline at end of file diff --git a/cpld2/GR8RAM.qws b/cpld2/GR8RAM.qws new file mode 100644 index 0000000000000000000000000000000000000000..63563b76eda4b19c3f4f321afd3f1b7df67b8d5e GIT binary patch literal 48 ocmZ?JV1NM`h8%`OhGK>ihIoc@hJ1!1hHN0O04SEskP1@-0GYrBX8-^I literal 0 HcmV?d00001 diff --git a/cpld2/GR8RAM.sdc b/cpld2/GR8RAM.sdc new file mode 100644 index 0000000..a7c9d8f --- /dev/null +++ b/cpld2/GR8RAM.sdc @@ -0,0 +1,3 @@ +create_clock -period 40 [get_ports C25M] +create_clock -period 978 [get_ports PHI0] +set_clock_groups -asynchronous -group C25M -group PHI0 \ No newline at end of file diff --git a/cpld2/GR8RAM.v b/cpld2/GR8RAM.v new file mode 100644 index 0000000..41dd3b5 --- /dev/null +++ b/cpld2/GR8RAM.v @@ -0,0 +1,568 @@ +module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, + INTin, INTout, DMAin, DMAout, + nNMIout, nIRQout, nRDYout, nINHout, RWout, nDMAout, + RA, nWE, RD, RAdir, RDdir, nIOSEL, nDEVSEL, nIOSTRB, + SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, + nFCS, FCK, MISO, MOSI); + + /* Clock signals */ + input C25M, PHI0; + reg PHI0r1, PHI0r2; + always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end + + /* Reset filter */ + input nRES; + reg [3:0] nRESf = 0; + reg nRESr = 0; + always @(posedge C25M) begin + nRESf[3:0] <= { nRESf[2:0], nRES }; + nRESr <= nRESf[3] || nRESf[2] || nRESf[1] || nRESf[0]; + end + + /* Firmware select */ + input [1:0] SetFW; + reg [1:0] SetFWr; + reg SetFWLoaded = 0; + always @(posedge C25M) begin + if (~SetFWLoaded) begin + SetFWLoaded <= 1; + SetFWr[1:0] <= SetFW[1:0]; + end + end + wire [1:0] SetROM = ~SetFWr[1:0]; + wire SetEN16MB = SetROM[1:0]==2'b11; + wire SetEN24bit = SetROM[1]; + + /* State counter from PHI0 rising edge */ + reg [3:0] PS = 0; + wire PSStart = PS==0 && PHI0r1 && ~PHI0r2; + always @(posedge C25M) begin + if (PSStart) PS <= 1; + else if (PS==0) PS <= 0; + else PS <= PS+1; + end + + /* Long state counter: counts from 0 to $3FFF */ + reg [13:0] LS = 0; + always @(posedge C25M) begin if (PS==15) LS <= LS+1; end + + /* Init state */ + output reg nRESout = 0; + reg [2:0] IS = 0; + always @(posedge C25M) begin + if (IS==7) nRESout <= 1; + else if (PS==15) begin + if (LS==14'h1FCE) IS <= 1; // PC all + load mode + else if (LS==14'h1FCF) IS <= 4; // AREF pause, SPI select + else if (LS==14'h1FFA) IS <= 5; // SPI flash command + else if (LS==14'h1FFF) IS <= 6; // Flash load driver + else if (LS==14'h3FFF) IS <= 7; // Operating mode + end + end + + /* Apple IO area select signals */ + input nIOSEL, nDEVSEL, nIOSTRB; + + /* Apple address bus */ + input [15:0] RA; input nWE; + reg [11:0] RAr; reg nWEr; + reg CXXXr; + always @(posedge PHI0) begin + CXXXr <= RA[15:12]==4'hC; + RAr[11:0] <= RA[11:0]; + nWEr <= nWE; + end + + /* Apple select signals */ + wire ROMSpecRD = CXXXr && RAr[11:8]!=4'h0 && nWEr && ((RAr[11] && IOROMEN) || (~RAr[11])); + wire REGSpecSEL = CXXXr && RAr[11:8]==4'h0 && RAr[7] && REGEN; + wire BankSpecSEL = REGSpecSEL && RAr[3:0]==4'hF; + wire RAMRegSpecSEL = REGSpecSEL && RAr[3:0]==4'h3; + wire RAMSpecSEL = RAMRegSpecSEL && (~SetEN24bit || SetEN16MB || ~Addr[23]); + wire AddrHSpecSEL = REGSpecSEL && RAr[3:0]==4'h2; + wire AddrMSpecSEL = REGSpecSEL && RAr[3:0]==4'h1; + wire AddrLSpecSEL = REGSpecSEL && RAr[3:0]==4'h0; + wire BankSEL = REGEN && ~nDEVSEL && BankSpecSEL; + wire RAMRegSEL = ~nDEVSEL && RAMRegSpecSEL; + wire RAMSEL = ~nDEVSEL && RAMSpecSEL; + wire RAMWR = RAMSEL && ~nWEr; + wire AddrHSEL = REGEN && ~nDEVSEL && AddrHSpecSEL; + wire AddrMSEL = REGEN && ~nDEVSEL && AddrMSpecSEL; + wire AddrLSEL = REGEN && ~nDEVSEL && AddrLSpecSEL; + + /* IOROMEN and REGEN control */ + reg IOROMEN = 0; + reg REGEN = 0; + reg nIOSTRBr; + wire IOROMRES = RAr[10:0]==11'h7FF && ~nIOSTRB && ~nIOSTRBr; + always @(posedge C25M, negedge nRESr) begin + if (~nRESr) REGEN <= 0; + else if (PS==8 && ~nIOSEL) REGEN <= 1; + end + always @(posedge C25M) begin + nIOSTRBr <= nIOSTRB; + if (~nRESr) IOROMEN <= 0; + else if (PS==8 && IOROMRES) IOROMEN <= 0; + else if (PS==8 && ~nIOSEL) IOROMEN <= 1; + end + + /* Apple data bus */ + inout [7:0] RD = RDdir ? 8'bZ : RDD[7:0]; + reg [7:0] RDD; + output RDdir = ~(PHI0r2 && nWE && PHI0 && + (~nDEVSEL || ~nIOSEL || (~nIOSTRB && IOROMEN && RA[10:0]!=11'h7FF))); + + /* Slinky address registers */ + reg [23:0] Addr = 0; + reg AddrIncL = 0; + reg AddrIncM = 0; + reg AddrIncH = 0; + always @(posedge C25M, negedge nRESr) begin + if (~nRESr) begin + Addr[23:0] <= 24'h000000; + AddrIncL <= 0; + AddrIncM <= 0; + AddrIncH <= 0; + end else begin + if (PS==8 && RAMRegSEL) AddrIncL <= 1; + else AddrIncL <= 0; + + if (PS==8 && AddrLSEL && ~nWEr) begin + Addr[7:0] <= RD[7:0]; + AddrIncM <= Addr[7] && ~RD[7]; + end else if (AddrIncL) begin + Addr[7:0] <= Addr[7:0]+1; + AddrIncM <= Addr[7:0]==8'hFF; + end else AddrIncM <= 0; + + if (PS==8 && AddrMSEL && ~nWEr) begin + Addr[15:8] <= RD[7:0]; + AddrIncH <= Addr[15] && ~RD[7]; + end else if (AddrIncM) begin + Addr[15:8] <= Addr[15:8]+1; + AddrIncH <= Addr[15:8]==8'hFF; + end else AddrIncH <= 0; + + if (PS==8 && AddrHSEL && ~nWEr) begin + Addr[23:16] <= RD[7:0]; + end else if (AddrIncH) begin + Addr[23:16] <= Addr[23:16]+1; + end + end + end + + /* ROM bank register */ + reg Bank = 0; + always @(posedge C25M, negedge nRESr) begin + if (~nRESr) Bank <= 0; + else if (PS==8 && BankSEL && ~nWEr) begin + Bank <= RD[0]; + end + end + + /* SPI flash control signals */ + output nFCS = FCKOE ? ~FCS : 1'bZ; + reg FCS = 0; + output FCK = FCKOE ? FCKout : 1'bZ; + reg FCKOE = 0; + reg FCKout = 0; + inout MOSI = MOSIOE ? MOSIout : 1'bZ; + reg MOSIOE = 0; + input MISO; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + FCKout <= 1'b1; + end 1: begin // ACT + FCKout <= ~(IS==5 || IS==6); + end 2: begin // RD + FCKout <= 1'b1; + end 3: begin // NOP CKE + FCKout <= ~(IS==5 || IS==6); + end 4: begin // NOP CKE + FCKout <= 1'b1; + end 5: begin // NOP CKE + FCKout <= ~(IS==5 || IS==6); + end 6: begin // NOP CKE + FCKout <= 1'b1; + end 7: begin // NOP CKE + FCKout <= ~(IS==5 || IS==6); + end 8: begin // WR AP + FCKout <= 1'b1; + end 9: begin // NOP CKE + FCKout <= ~(IS==5); + end 10: begin // PC all + FCKout <= 1'b1; + end 11: begin // AREF + FCKout <= ~(IS==5); + end 12: begin // NOP CKE + FCKout <= 1'b1; + end 13: begin // NOP CKE + FCKout <= ~(IS==5); + end 14: begin // NOP CKE + FCKout <= 1'b1; + end 15: begin // NOP CKE + FCKout <= ~(IS==5); + end + endcase + FCS <= IS==4 || IS==5 || IS==6; + MOSIOE <= IS==5; + FCKOE <= IS==1 || IS==4 || IS==5 || IS==6 || IS==7; + end + + /* SPI flash MOSI control */ + reg MOSIout = 0; + always @(posedge C25M) begin + case (PS[3:0]) + 1: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 7 + 3'h4: MOSIout <= 1'b0; // Address bit 23 + 3'h5: MOSIout <= 1'b0; // Address bit 15 + 3'h6: MOSIout <= 1'b0; // Address bit 7 + default MOSIout <= 1'b0; + endcase + end 3: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 6 + 3'h4: MOSIout <= 1'b0; // Address bit 22 + 3'h5: MOSIout <= SetROM[1]; // Address bit 14 + 3'h6: MOSIout <= 1'b0; // Address bit 6 + default MOSIout <= 1'b0; + endcase + end 5: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 5 + 3'h4: MOSIout <= 1'b0; // Address bit 21 + 3'h5: MOSIout <= SetROM[0]; // Address bit 13 + 3'h6: MOSIout <= 1'b0; // Address bit 5 + default MOSIout <= 1'b0; + endcase + end 7: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 4 + 3'h4: MOSIout <= 1'b0; // Address bit 20 + 3'h5: MOSIout <= 1'b0; // Address bit 12 + 3'h6: MOSIout <= 1'b0; // Address bit 4 + default MOSIout <= 1'b0; + endcase + end 9: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 3 + 3'h4: MOSIout <= 1'b0; // Address bit 19 + 3'h5: MOSIout <= 1'b0; // Address bit 11 + 3'h6: MOSIout <= 1'b0; // Address bit 3 + default MOSIout <= 1'b0; + endcase + end 11: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 2 + 3'h4: MOSIout <= 1'b0; // Address bit 18 + 3'h5: MOSIout <= 1'b0; // Address bit 10 + 3'h6: MOSIout <= 1'b0; // Address bit 2 + default MOSIout <= 1'b0; + endcase + end 13: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 1 + 3'h4: MOSIout <= 1'b0; // Address bit 16 + 3'h5: MOSIout <= 1'b0; // Address bit 9 + 3'h6: MOSIout <= 1'b0; // Address bit 1 + default MOSIout <= 1'b0; + endcase + end 15: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 0 + 3'h4: MOSIout <= 1'b0; // Address bit 15 + 3'h5: MOSIout <= 1'b0; // Address bit 7 + 3'h6: MOSIout <= 1'b0; // Address bit 0 + default MOSIout <= 1'b0; + endcase + end + endcase + end + + /* SDRAM data bus */ + inout [7:0] SD = SDOE ? WRD[7:0] : 8'bZ; + reg [7:0] WRD; + reg SDOE = 0; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 1: begin // ACT + end 2: begin // RD + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 3: begin // NOP CKE + end 4: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 5: begin // NOP CKE + end 6: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 7: begin // NOP CKE + end 8: begin // WR AP + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 9: begin // NOP CKE + end 10: begin // PC all + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 11: begin // AREF + end 12: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 13: begin // NOP CKE + end 14: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 15: begin // NOP CKE + end + endcase + end + + /* Apple data bus from SDRAM */ + always @(negedge C25M) begin + if (PS==5) begin + if (AddrLSpecSEL) RDD[7:0] <= Addr[7:0]; + else if (AddrMSpecSEL) RDD[7:0] <= Addr[15:8]; + else if (AddrHSpecSEL) RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; + else RDD[7:0] <= SD[7:0]; + end + end + + /* SDRAM command */ + output reg RCKE = 1; + output reg nRCS = 1; + output reg nRAS = 1; + output reg nCAS = 1; + output reg nSWE = 1; + wire RefReqd = LS[1:0] == 2'b11; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE / NOP CKD + RCKE <= PSStart && (IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 1: begin // ACT CKE / NOP CKD (ACT) + RCKE <= IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL)); + nRCS <= ~(IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + nRAS <= 0; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 2: begin // RD CKE / NOP CKD (RD) + RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + nRCS <= ~(IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL)); + nRAS <= 1; + nCAS <= 0; + nSWE <= 1; + SDOE <= 0; + end 3: begin // NOP CKE / CKD + RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 4: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 5: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 6: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 7: begin // NOP CKE / CKD + RCKE <= IS==6 || (RAMWR && IS==7); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 8: begin // WR AP CKE / NOP CKD (WR AP) + RCKE <= IS==6 || (RAMWR && IS==7); + nRCS <= ~(IS==6 || (RAMWR && IS==7)); + nRAS <= 1; + nCAS <= 0; + nSWE <= 0; + SDOE <= IS==6 || (RAMWR && IS==7); + end 9: begin // NOP CKE / NOP CKD + RCKE <= 1; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 10: begin // PC all CKE / PC all CKD + RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); + nRCS <= 0; + nRAS <= 0; + nCAS <= 1; + nSWE <= 0; + SDOE <= 0; + end 11: begin // LDM CKE / AREF CKE / NOP CKD + RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); + nRCS <= ~(IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd)); + nRAS <= 0; + nCAS <= 0; + nSWE <= ~(IS==1); + SDOE <= 0; + end default: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end + endcase + end + + /* SDRAM address */ + output reg DQML = 1; + output reg DQMH = 1; + output reg [1:0] SBA; + output reg [12:0] SA; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 1: begin // ACT + DQML <= 1'b1; + DQMH <= 1'b1; + if (IS==6) begin + SBA[1:0] <= { 2'b10 }; + SA[12:0] <= { 10'b0011000100, LS[12:10] }; + end else if (RAMSpecSEL) begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:10] <= SetEN24bit ? Addr[22:20] : 3'b000; + SA[9:0] <= Addr[19:10]; + end else begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 10'b0011000100, Bank, RAr[11:10] }; + end + end 2: begin // RD + if (RAMSpecSEL) begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:0] <= { 4'b0011, Addr[9:1] }; + DQML <= Addr[0]; + DQMH <= ~Addr[0]; + end else begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 4'b0011, RAr[9:1]}; + DQML <= RAr[0]; + DQMH <= ~RAr[0]; + end + end 3: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 4: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 5: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 6: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 7: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 8: begin // WR AP + if (IS==6) begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 4'b0011, LS[9:1] }; + DQML <= LS[0]; + DQMH <= ~LS[0]; + end else begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:0] <= { 4'b0011, Addr[9:1] }; + DQML <= Addr[0]; + DQMH <= ~Addr[0]; + end + end 9: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 10: begin // PC all + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 11: begin // AREF / load mode + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0001000100000; + end 12: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 13: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 14: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 15: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end + endcase + end + + /* DMA/INT in/out */ + input INTin, DMAin; + output INTout = INTin; + output DMAout = DMAin; + + /* Unused Pins */ + output RAdir = 1; + output nDMAout = 1; + output nNMIout = 1; + output nINHout = 1; + output nRDYout = 1; + output nIRQout = 1; + output RWout = 1; +endmodule diff --git a/cpld2/db/GR8RAM.db_info b/cpld2/db/GR8RAM.db_info new file mode 100644 index 0000000..5865109 --- /dev/null +++ b/cpld2/db/GR8RAM.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Version_Index = 553882368 +Creation_Time = Tue Feb 28 11:15:44 2023 diff --git a/cpld2/db/GR8RAM.sld_design_entry.sci b/cpld2/db/GR8RAM.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..65c27fe2ea800b795657754ede47ccb86e5ec502 GIT binary patch literal 226 zcmWe(U|?9w%?KnJ81jJ797u3hMxuuL5G=-997i}3p=Xn xIkk_8PCTr-