From 4fd3d2ff3f189f40d9340d0eb75388df52481b88 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 18 Apr 2021 03:54:45 -0400 Subject: [PATCH] Make apple boot Apple boots but SDRAM not working. Register R/W/increment works --- cpld/GR8RAM.dpf | 20 - cpld/GR8RAM.qsf | 23 +- cpld/GR8RAM.v | 63 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 25261 -> 26162 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4107 -> 4351 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1368 -> 1384 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3234 -> 3280 bytes cpld/db/GR8RAM.cmp.cdb | Bin 60181 -> 62123 bytes cpld/db/GR8RAM.cmp.hdb | Bin 19145 -> 19791 bytes cpld/db/GR8RAM.cmp.idb | Bin 18218 -> 18633 bytes cpld/db/GR8RAM.cmp.kpt | Bin 221 -> 222 bytes cpld/db/GR8RAM.cmp.rdb | Bin 16149 -> 16407 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 108075 -> 111193 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.fit.qmsg | 83 +- cpld/db/GR8RAM.hier_info | 66 +- cpld/db/GR8RAM.hif | Bin 439 -> 439 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 177 bytes cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 413 bytes cpld/db/GR8RAM.map.cdb | Bin 21232 -> 21573 bytes cpld/db/GR8RAM.map.hdb | Bin 17975 -> 18584 bytes cpld/db/GR8RAM.map.qmsg | 37 +- cpld/db/GR8RAM.map.rdb | Bin 1238 -> 1246 bytes cpld/db/GR8RAM.pplq.rdb | Bin 245 -> 246 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 14628 -> 15057 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 338 -> 341 bytes cpld/db/GR8RAM.routing.rdb | Bin 1453 -> 1420 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 14521 -> 14982 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 28296 -> 29316 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 195 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 22227 -> 22468 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 15974 -> 16393 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.smp_dump.txt | 2 + cpld/db/GR8RAM.sta.qmsg | 46 +- cpld/db/GR8RAM.sta.rdb | Bin 14300 -> 14688 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 55017 -> 56017 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 805 -> 832 bytes cpld/db/logic_util_heursitic.dat | Bin 13024 -> 13596 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 199 +-- .../GR8RAM.root_partition.map.kpt | Bin 3006 -> 3078 bytes cpld/output_files/GR8RAM.asm.rpt | 54 +- cpld/output_files/GR8RAM.cdf | 13 + cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 499 +++--- cpld/output_files/GR8RAM.fit.summary | 8 +- cpld/output_files/GR8RAM.flow.rpt | 104 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 140 +- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 8 +- cpld/output_files/GR8RAM.pin | 26 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 1534 +++++++++-------- cpld/output_files/GR8RAM.sta.summary | 18 +- 59 files changed, 1507 insertions(+), 1458 deletions(-) delete mode 100755 cpld/GR8RAM.dpf create mode 100644 cpld/output_files/GR8RAM.cdf diff --git a/cpld/GR8RAM.dpf b/cpld/GR8RAM.dpf deleted file mode 100755 index 27594d0..0000000 --- a/cpld/GR8RAM.dpf +++ /dev/null @@ -1,20 +0,0 @@ - - - - - - - - - - - - - - - - - - - - diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 7c201ce..40fd6d4 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -84,7 +84,6 @@ set_location_assignment PIN_12 -to FCK set_location_assignment PIN_14 -to RA[10] set_location_assignment PIN_15 -to MOSI set_location_assignment PIN_16 -to MISO -set_location_assignment PIN_17 -to Ddir set_location_assignment PIN_30 -to nRESout set_location_assignment PIN_34 -to RA[11] set_location_assignment PIN_35 -to RA[12] @@ -142,4 +141,24 @@ set_location_assignment PIN_98 -to RA[1] set_location_assignment PIN_96 -to SetFW[0] set_location_assignment PIN_95 -to SetFW[1] set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1 -set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2 \ No newline at end of file +set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nFCS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nFCS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to FCK +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to FCK +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MOSI +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MOSI +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MISO +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to MISO +set_location_assignment PIN_21 -to nDMAout +set_location_assignment PIN_19 -to RAdir +set_location_assignment PIN_20 -to INTout +set_location_assignment PIN_26 -to nNMIout +set_location_assignment PIN_27 -to nINHout +set_location_assignment PIN_28 -to nRDYout +set_location_assignment PIN_29 -to nIRQout +set_location_assignment PIN_33 -to RWout +set_location_assignment PIN_48 -to DMAin +set_location_assignment PIN_49 -to INTin +set_location_assignment PIN_17 -to RDdir +set_location_assignment PIN_18 -to DMAout \ No newline at end of file diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index bc85a37..ca473b4 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -1,6 +1,7 @@ -module GR8RAM(C25M, PHI0, nRES, nRESout, +module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, + RAdir, INTin, INTout, DMAin, DMAout, nDMAout, + nNMIout, nIRQout, nRDYout, nINHout, RWout, nIOSEL, nDEVSEL, nIOSTRB, - SetFW, RA, nWE, RD, RDdir, SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, nFCS, FCK, MISO, MOSI); @@ -9,7 +10,20 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, input C25M, PHI0; reg PHI0r1, PHI0r2; always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end - + + /* Unused Pins */ + output RAdir = 1; + input INTin; + output INTout = INTin; + input DMAin; + output DMAout = DMAin; + output nDMAout = 1; + output nNMIout = 1; + output nINHout = 1; + output nRDYout = 1; + output nIRQout = 1; + output RWout = 1; + /* Reset/brown-out detect synchronized inputs */ input nRES; reg nRESr0, nRESr; @@ -130,51 +144,54 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, end /* SPI flash */ - output nFCS = ~FCS; + output nFCS = FCKOE ? ~FCS : 1'bZ; reg FCS = 0; - output reg FCK = 0; + output FCK = FCKOE ? FCKout : 1'bZ; + reg FCKOE = 0; + reg FCKout = 0; inout MOSI = MOSIOE ? MOSIout : 1'bZ; reg MOSIOE = 0; - reg MOSIout; + reg MOSIout = 0; input MISO; always @(posedge C25M) begin case (PS[3:0]) 0: begin // NOP CKE - FCK <= 1'b1; + FCKout <= 1'b1; end 1: begin // ACT - FCK <= ~(IS==5 || IS==6); + FCKout <= ~(IS==5 || IS==6); end 2: begin // RD - FCK <= 1'b1; + FCKout <= 1'b1; end 3: begin // NOP CKE - FCK <= ~(IS==5 || IS==6); + FCKout <= ~(IS==5 || IS==6); end 4: begin // NOP CKE - FCK <= 1'b1; + FCKout <= 1'b1; end 5: begin // NOP CKE - FCK <= ~(IS==5 || IS==6); + FCKout <= ~(IS==5 || IS==6); end 6: begin // NOP CKE - FCK <= 1'b1; + FCKout <= 1'b1; end 7: begin // NOP CKE - FCK <= ~(IS==5 || IS==6); + FCKout <= ~(IS==5 || IS==6); end 8: begin // WR AP - FCK <= 1'b1; + FCKout <= 1'b1; end 9: begin // NOP CKE - FCK <= ~(IS==5); + FCKout <= ~(IS==5); end 10: begin // PC all - FCK <= 1'b1; + FCKout <= 1'b1; end 11: begin // AREF - FCK <= ~(IS==5); + FCKout <= ~(IS==5); end 12: begin // NOP CKE - FCK <= 1'b1; + FCKout <= 1'b1; end 13: begin // NOP CKE - FCK <= ~(IS==5); + FCKout <= ~(IS==5); end 14: begin // NOP CKE - FCK <= 1'b1; + FCKout <= 1'b1; end 15: begin // NOP CKE - FCK <= ~(IS==5); + FCKout <= ~(IS==5); end endcase FCS <= IS==4 || IS==5 || IS==6; MOSIOE <= IS==5; + FCKOE <= IS==1 || IS==2 || IS==3 || IS==4 || IS==5 || IS==6 || IS==7; end always @(posedge C25M) begin @@ -461,7 +478,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, end end 2: begin // RD if (RAMSpecSELr) begin - SBA[1:0] <= { 1'b0, Addr[23] && SetRF }; + SBA[1:0] <= { 1'b0, Addr[23] && SetLim8M && SetRF }; SA[12:0] <= { 4'b0011, Addr[9:1] }; DQML <= Addr[0]; DQMH <= ~Addr[0]; diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 0a718ca66613178bf57dfacade9a6f590c716c3e..8a0175177b3127daa169ddd90b5cb3ecbc6dcbc9 100755 GIT binary patch literal 26162 zcmXVX1ymc&_jVNu6ez{L(4s|(JE3UtQlPj)ad!wwTiVj%MT!%O6WpEP4#6oJ+$9hs zKuA8`-~Zb^yLa!MnP=|Kp0j86nP3WuD8GOpzu+4Ip%=P#UVe@?b}!yr**L!tc%iHI!obe@g_5nK&s|H} z$Om^Y_wEVU{?FX=dH8=;@YDa*jntb2O8HmAh(ZI6CM4ksaJ;lI~=gxuUcU&HwfsVZ3(amP~jnckT~ z$3@2@!~~0t5O4cYA#I5LV2bW?(cPBjEA1#ux0DOKNM6MEvii*=?Jt~~1JitI8+{5J zf^CR#Osd;cC7dAdb>0n6 zN`h?n5-9!yxkPc&QM2{QGTK#G7KqI6?qzV~~N1gztYmVD9c*a&|ZC8 z{j@>eoAz6q#^Wx~?aE_OzU(f*MC$0lY+?KI8`Xy{m7hvC+W$UT`4|hAl39<}DF4s5 z)Ikv}y#AnV|IM%l=W`dUdrB_}AuD|Cx75@5-GTo39ez}RkwB$K3*X-EK&D5)uPP1( zGuCrqYkfcMmmig6q6{hjbEYPfM-*kE<}{`zQ$}7u-ptFZklkg~t8}!t*{tbTltO+i zNW8X`uh3%M`Ri#dwM?KxrhHF0o9IM~Yop&-; z2}*kep*(l_X{60yn(xx?tx=k!n`F-f6a1NO?kkhsg@0=%O$kZgOD|zGg>eV5+~$d>m`iy4Yx> zvN&x3dyJRa14I`a>&~{7&5aFISfUqE_sn_cLiQk#$VJ^VQVVFT3xy1z6n*NvaOxb- zGI+y^lXq$8MLu685EgkqkRJ@;%a9WmqmJ#+*e)%Kc?N&cbLs25x$r<%7q;LzLHqGW zHk*o8U4&kfD`m9r!;9i0{ybaFFWKt1r)mH;*0_mBk+)y0{aM(Ye(P!JaOrS=M{yRV zr{FzHj5tW>KYA7LOXovloz^eus>GK%jk!#6dS$t~tQj$RoJFQ`1&THl4-~V#mKMW) z7M8kUpZ=wzB4>A&=FC;hx6z|kRTg2QRDNui8tEj>^DQOspP!rFy63oZqRVmgDw_N)q#LO&^3O4$h&1D9V$G@jmjV(f{5tuOFlJh?n ztVsZs65PswURQD3pDf5E^eRBEYBFpT`dpjS$HUQpYN}Td-^&ZyW_?Zo7UI1Y+CT7tD0@cmQgr`Qi#En@ z<&A}3`IqERv6qK=0Yqr|LAjDieBh*tr|c68FZ|WlnNlYJeul}uH3a4t@v9Xoh(s(6ow0sG^h0$Hz3)VI#!T5)tA(3cNrhN3yeYbGPek=-!xmmlp`g&o>^Hi{o z&?y?*HxN~I8vYZ%gc!6fZb1%@A?Nc8v5>~`_X-`Jn`a;dW@x>gRgmQ$TEo(YWBbnO zLCs+SI|4t#0X-ZwFM*&{^p3gl7(LSzEf@tGt+tQ^{;j!Y##j({!<5m>fM#X0GD_)l zZKyp{eB;r|jX10T{Vbx6saq80HcnT9Ea<7cqF78O#J#td+oTN0z|zG_onIajVa>Ad zt!-Gm3Kji(*o0ZR`V4DBb!cEDy2~2apE3ysJ6bT;kBzFgkvcWPLDjIm*PN>M`lm zKK;4$o1{`|SHV*T60h;soA<tVf!bLH?WmS`4@y2jzgX>jrYF zgwYT8qRNtV{45bmFYD}@`q$#|Y3XCw=YmHY$Xz00B;7X2i2RtAMtJH@V^m2Q=C~Ija$8Ho|sBYpxnoZ9>zu8_0s$=1hX-fGs@OVMnwz7Yc-za z11-P<(|h`)ID5c5zgGQ(N`l>|>MP5N9ZQzGC*v?FD^-GeG@%6X9Q|$r=3T$>8ZJX0 ziYIqR4)`^&(KJZfNMd8jl};FwOml@_FHh`Zoa$L=k#sqpcA? z<)8n}7F$QTk3M>LbxcQwnL9Udz;aVY&2ntARvgo-dMtP?Pf0muwit*qPji(T+P9O> zKc37o6DOW`EqL3!B>~uP=AtaI+ZK zT5QYCXb4e~^1KQ6Tf3libwEUjL<)8pXKNsCBlf#?y?*+eT$r@I8?StCCTtcJGzejD zg>?L>EqPZyqxPet_qpA>0nM!GU3M)7;j@A73M7(#kFwrn63LDF+VZATSUdlUf8fUJ z`2k(ZrbXCW+pYSy@sBvy`+f0{e21Qvv7AGSI^T2?ke2mpkIJKO)n0o&6#7px6C<=ZAq-Pz{t(_Ff zKGJiG_&TD;{G+GILsB)ts*;wr3i`4_tkh`bLH)xoC!;pWwJb5sMuheGOC{h(BW&?o z)aUFS+wZEa(#ljiOEz!#OinNgBohS-PDwGBah;&@uw~VlO|O}M!83JEeJoee>U~uq zfjd(b{qe*^V(eXCt==f~+=|F0uf+exwWcvtAs+2+R(ze0dnTIjW;|`~6QA*8Z+}i| z+u^CYK)VIWrebqd7Po8$+udmA%JFgba-ZY6!v~kK@e{9a(>Bs1w`>eDo7HD0#72_D z2Vxm#q>}@~TO#avj7q$I*%D4|3LoHa67TSQw1JNy=ca_B6CP?lZ&bbUCUta#%k5W8 zH;sAMoW3r7<{f`_L-6XmY=gQpbBpwDsIY7{j~qU}V;?(b*#$x1tVE!ozhx|+3+cpc zQOEX=`{LBj8R*&PYCMMfuRN({Hs81WWf{#XkKhmONZYns`Q4quKASJztcJZ%U_EM7 zj?3+)E7j@LDp##oG!c+h}bSeV7s7hZvyO*-{owU{rgQ0?q5TD@8H`V=z7$(pu! zIn&ubkCG}Dz6AA&pdVjLf@z*AopnH(bd=R6 z*Ic|T#mVxh+a{8P1ZmT9e zVCi!T#_<-N)aJdIs-$`P8y+K~mYlF$ykt&lSu zui6};@ZO0kA_;@~66J~ch1YC8r(hatwyMBy+$;73$e$2i{-r)_79W>McT2qIIuV3^h}fRj=K?#1Dn${vl~58!Tpm8?^2SeQ(XchJWEDcDr_@bcJq%!9Oi9J;rvSmH`x)F zMb0$_$&txvg?Fj@I<56BmMx{yA9mw4gJu;Bov0aIuGVDsN`nGJ^=HqX>CJYaKX}-1 zf?7MEkc(%3jKAObOQ2VBE-efJ?P4Q0fK)juCg23&(nqy)O0BdRNRq~jLSZQ88j{qQ z0nnMVMy8SXhbvFVG*gS!OeL{D)1%Ypf4$@+k|QhhrNlw6^|Ecraw?Gg33n7UCj9Ka zvC!TDfvr-`ptjUC=UDUi+LtRg9MAM*L+b_1I2b$?y|0aCa_J>?*QL*HfK4l`(J02Yt{#JzUB%hheBU?s=2$b zll6m?iyu@owe&rTQ!AEdW~v@^yPxLC{yObH(B8c8#~$$Gmb7#))A_&$s(JcWEsWKB zX~ayX;$L`5CaQg}SUrZJhw7p71DMM~f>((jr;oK^c^%NO|I&p*$}<-%ryp{}c2DaY zmd_q*iD{xQQ{@t9^#Nugyw*pOUvfJOe`>Y8f)=51-bD0%}0S)KgE z%fVh;u1>bz)i*Mmv79<5f5#mOwJ>m5ww$UZ|0$TWw+(fskG$@A6i1k|mqaNh`m@RF zfzBtrTRq=qyvtk52ddw7&Wpp@bJ~RdhoA2xxaa(TAbKtd8fKy)K#;u7No{xTZC6od z2Xxq+eeWxQ(q{0RTB(<$svBvAG1@P}oh@%g-{1P3Q4+8E&pi4X{s)RENnRNHaGWAX zv8tjzO)oM%_P#*-e_2;&4;0pGy$H9jJ;2Y3yKt}CAtwJ>sWdS$jenT&lb}G8z18V~ zQBAxx9M@k(K4&|v&Jnv&V!WkD(W6P1eT>t55pg5cTxJ>)(6LCF>LTg(Kw>nXO-oVd zm1VTV#*@)XHK1d=z2x>GHqE)=^r9qns`fkzaL{rmB`eygey%KND~umxE`kGa{8FpbOsN(kW7Mtr6f=mLQ(Mc6<7ABp3 zUjDGsv;HbKB=KFvDfl`TQ7t_%xaM%SP)ayKxVZWX8H61{D`|FeBt`HB ziAtV*dgG4u97SMkr}opZVmR?xmyp>>OwyjC$7agwo3*DM&Fh-=BoSek8S=E1*4mCi z;&q808C>VJvu$B1Yk=&Q^2{r&@4&}KTOR@Oh)#yopwd>c zZGPfM=Mg4OxIQ>qu)D;Ag>YXVk`A^)s6|#RXila-QDV&Kk$}n1<#>gzeGzoXs}?0Q z(@N-dEM|oP3jU}9or?ubid!PgX4$+i zTIp~e#M`$Oe@oPlDZp@6*FIt;FakEnUYbxfXe6zAD8jH((09ScF1%CsXb&OkY0Tm>P_5KjzLh(RgX71SR7c)m>! z0*pLt2B%Y-x%1$8YdN}vSAPIwe-9Z(&JNX{Fk?%~3HJ=|wXs|fRp-DEd$;7$5TT(+ zj>edU@}+Rh)}iFTxmuQJj^f^Hx#bwq?tqKKs=Bi+d?HGmePereEmnDP^xX`LK}gF_V1!#g4u{B@ii(=*@X5%Jh{Y3W^po#@YW8fgIY8=sF=WT}| zcIbBEfeqvS@uuiLBG>+H24&uR&RgB@)b>U?P%3Vu>^hEU^RmzH8hksANQ1FlTUc~* z&&1PbW9gf4F_3eBX7ZJvY4Cp7(TRmcLs}HhWDIxxrpI*tcAr9qV$g}X*2N^WSek?1IO%1j{?9+OSG}zBiCV^G@wHE3MWx7fJ0&0 zuQ$M%HZb0(|BFJlLGJ3aE?DrhH?1A*Ep(k+@$?2IN}=TSdE1#-QwW?XA+EXh-|Ed>^{z93a6cV zj^o_}w|%ewYYMJ(Zx_he?(^`|9E4W*USbB8PXRFfWh@4MeS#rq>(j1h#~pJaP^I<6 z9XP6-JCFbOvDmkhZSGwoYMhDFv1NQi(wseR5LWMYSS0OjM>)HMu5Lb%znI%!ML{mi z*h3~g_uR%+j%UPv3>&oTkBXPL7LtntrT&~wGPJd%5>P}_0A|iRXJu-N8YLj(Lfwcq z()?hl@3L{auU6FFx^G})K&0fdz&^oty}IK^U)>Rvzu5gBqC-Z87+=fI5uUYIc16P@B+&`Lsw+L5~E`{H1K1 zz_kPGWrhwjXl`OCMDCjfcf)MFyLp#=rdLe4y9z$qz=njxi#gldXIr)|YN4&Qu-a2& z)7n(h+z#L-{b75h&8YFbxma(@4BVz2hkEOTwZk-iZRMZX7(;3fjm;vMSE&FySB9%# z&dyfTUAhfWtn@FjUgGE|ez*uL(PmZ%8f!OOe-QB+dYQe$;Ii4trY3RZQ6181zlat`=WsvY8&s;y> zMOh0E0QR3aQnlk2?Oi%Lj?x{R#Aen>dD`9HK3Kg0*@8loj#bVsiE^GYlg=PkZLk|= zChMUxnYbKE1LRgPZzk;A8OKO{HpSoY7z4-443x$Vo`fYaUow?ST2gb>gA`%;fHnNR zF|PbbY=8Zq58@46i`O=UVSrn*%qd#$&7Mm$u@8+T(HP&yD%x|jXC@|IC-LqU5!!9> zsl6P2U)?VRv4#v-IrN2@+Da#_Gyh8Ws-2_*e+2V&a}Nu!MV88aj7Ij*;RJ8-jKz(2 z*{h*O-P_U0W!d1l@++vZ{)DW{*EZ;PxM z%z||ruE@m&TgXF`8v396y-( zOb@INZLdS&h7Xwco-zj$TOb863E=P;tyeSmkYX5y)V{>+G)m(QBx<}Yd= zDZYG_W51b&CGiT=j$;anQC-&CM*RLm_cuS4WJmLwQP13`|E&rWuzwt-g1Kk%ZtbJP zy%onbs!vJp({RSr*yRs#@1LmZrFhjFTxGq4)bHg6%Bh&_$?2#ke5d=N{!gwq@A3J? z`XWsg@PsMil8p54ql>BdER`A&iL?B#X&A1$5riCVi`*t0hTYOOoVCfoe#c@ zwg_Akka{P(8^BmZ+}q)T4zdn4-=yQUsCmbr_2s*|hrB2eOl+sH`W^>g&}mhxl2)yD zEdTQ>6U9N)1n-8rqL!TF&kR8-myxvNA6u8P|FqR@)xrqvm2P+&D!_A|96bO06qCPy z+mbE2FKYsI-Eet?f8kFl2x03M=mY>|1)1>5{{tGt|9ZR>lev2Xsd-{t2*N#b1{ zd9vuQgVeka(R(*|Rt0}K4?5-HJsZseJN$3IOf*JLiK&VQn?+)FJ3xwiEoX}n!uEfv zJ4g^CxmR<<;i`|YNJGf^)P+8fWC zUe*6xd5~NqjN!)#$N~%%`t?=)g{VxcoX9*kJp01$x6AnC+QiO5_N>?wY*yA4GmKx9 zg&2^{AJNd?8}Z))r2W-sKtX2qY|JvJ$#XTECjG3q5#~Yp&{?w7?O1wy(L`l_b=!sR zaj~Zf{0h%6qH8Yis z5BN6?NDg&-U_|^Srt;=Tt!7ituGizYd%sjY{R|!pjL&Dn>Z^_&UDHVvUu6BQfF8=# z7-`5k+QIG_W`0I5y?CwbtdnjNtsGK&LG>Q{t>pbj26CqneK`$RW86pa-EKF!5NSt& z>SrJ;F*WL3n^p?zCj$gIleUCGG@dFPVFwJqQLi#$gV3f9F1GG~4}#){ytpaiz2(itjBiuGEz>e; zKVy7ql%*~fyC^0+GW?W}XaPS7+*S6b`Fm%7?^b=EsFYBu+cG_~>1m>i)(9AJ>b~!E zCA85NSHtWH%aSTpKQj_}YhOC0%pf`R;3|i2=Yzbr$#Wk-!a-A3DCVyjqyFXM>j8%7 zYJqzx?(Wh5FvcZ5&6;8TANMb#J9V?gQFE~jlqtd$UF`U#6!~4Q3okFYe>$;V*iH1I zL}ru7n%7lP4-Rk6VWT&O$YTQP{nH-9^SIQC--Vs7=8v@*I=5Kz^~Q4Oj;u|`5kGIf z-@ruPQwM=7l--@HsOz8Mw=%zM-S3oP6Gp{(0EGIPNG0MCiOi6FG4Id~zeAQw!MSPS z0ziF_zm*o)V(6K_;X_ZD=(fUKz+zCGlE(N}swthytbP5nFj3gM^!@q8Cl+bLPj{iW z*ea<*yNNmrmGSDZ$<&Xe{iSJ*Kj+$Htj*`23*6KN$SMv8o1~UTi3_J?J}7#X#<*j1 zQ}d3bn>217`{5T6{(MQ%lFLEBL@D^7k&D%iuYU&5obS zZY|&Q+3qVWHA?v`Ev7dg&jvYEFM*hxAiL07l+C}y@@8K;v5=S{BdG?0>z;+p1Sv;s zx!3lBqrbGKZ;Fwi05!1K*49dlO$toW|+!GIz3XyVtr|15p zZ@P1Wvo0TgpS+>8N`7S~tcs*BncK?BkDE%}KP#X0SIePNyFlfu2AI z8$xZ}^8fXFXa#Zd2(8x-5;lgONw!Gj@ZDkHyT%WBjwmACd6pvKeru%*)vFALPcyf= z^9Q(ULl;7f3qSB-7aO9STN}kEN_IWSnJbwFyhmB(cd~(K?x5#y-NXD|2>yKp{oq|# z^UAe`S~Chd7Ar?e%Cxhk_u6j#jgCE)o0!gPt{3dW82jhd7ituuP^i)JfUjK+ofr}R ztPV}dcuC`$5mZZW$Ji?B_hCI#FHNhf={_oB#!I_cXjt-2(>3=@Xi~_>PA5mCxhFsB z&+q+=nMde`@;M=)Qn(>$?aTznI2}DnxN%IqcvFBorg()%M_b5Z5^&y zja3tNFJj!OqIRg*$?n6xKm1Z{7iz^P(M?fxbzhOb>H);gv~m}F-t=u_f*wXe*9Je` z^bGK|sth<*QECM-f3p7wB9G|<=L+5$O3=#3!8^UfUV%7GGg~LG=C1e_?iM$@P#*K{ z9~#^dD6_Pyi(7*ZZ9R)seam3B-{_hUSDzDfUtx4&z7KQ-^{j07@FeCrUv=JEVSpVZ z;HJs;bT^z7>Ow}a*jKRK_4Hhxu_gL=9p}8}ooH!)wh;=L2HJR0=guD|5De}3xE5vn*=ObucsS{C#m04iml|4vVhtvdBPiR7 zyQv`piEH-xN@B#l8#h2Qzh8RNR(^-K07Lih-x`ZUoK;Way`@GV89zhhlP|uVgR;kl%Fg)Kl9tkj9r_}i+F@nW*~A|{P%ugb|Eb| z>zcWl&*O0Kw!#p!a$?xa3&4S(_rdt-(rZ_FrGr0A(Hyo~ro!Xp#PiLnc zb=`G0r$RBd#VklUZNGfK2Z;%5{YHwh9=ODc$rPb57St!d)fu^JXV0`(mq};EWm=g7 z_!z=-p= zz1@ZzogLQJtH(6H z%LlLS%j7bx*&Z0-%tXVx=U@@ zyoh*b1b~Ii^Cu^*G25~zcK%r-Tx}*Hr1K@W%i%@J_MYS>u88qm)?;g1^FlXL`){5H zk8C08b{utyHV4O$GBDY{^CNFNhJ_Krhq5BgOo&Eh;&v~}^FiT}DM$YCD1PZA{Sa@H zegE(0znFh?+??^t2-9sziQfdc&5H$8Av+EiEE>Qzx1*?f>?s1uZ*0~Qf;+vnOGL)1 z&%eSd-m7G|cLHI?zxd_nDRVTNvIv+L{!1RH3|76;d=RF>2stM{y4@Q5Z?S+!`ck=v zG~_zTytw?(f(i$;RjsrtdX8&@F|4g2*6CRqkNKI7?y6ENS$i8W;vx5KCsD&xGOdeZ zQZiA@81UvwCM+c2xvBfC;CRbBVP^fKE67y)Hh~rx9u_jXt`r|_fL$){vjcc#VGTjP@RJ0;V3(2Vq@7QWB@9C*JdNSbv8xgwL78#kDsK z@RXT}z2FW6gVOjeMlNfP!!$OTsxjk`3_o_K@Nwl2PjDYP#8mYG0k>hN+fCCA7vr#S z_k^4S=AJO^iVe8qhR1w_3YvK6224LKqvXql^`}Q}i>=%Qwy1)eNwM~iFPhh`f%u#G z)E)IV#+eHbR1e!LSs|(h-)T4lMQ&Xo|iDForFvmLQB^>?K2>^mC=8{DyTe& zj%n9kU1YwG#n53!*lvvR3(Oiao4j)e8pCj_vZt!h(AiuMG(zQ0l6Z+~eGIOgd`ycb z4=(Z+d}zAe!6%Eb1mQt$+)#G2{*NyYPsKZi{h1&)-v7?DHfQ*D1~AsLB6H{~%s&gP z$sP0Z8@*t7w?^<{uc$sgVjD3Q-=+@P$at}CbK%BAD>l_GMvj@)4+t>Zmi>y8^VmN| z{?>F3AVD1swle#PQIUxF+lbC{LGiF)F%I4~=)95(o`OW@Qq*sFV;KEe-2 zCNr0G10^}~eXH;Q^mlZ8`}mja!BC29-SRy!{qDoV1SIp@w*=_?&afESNTb#AJszeW zROJd-?Q8|W*@5$!x+5@;nJ;Mf&d8o&b4tG*FKoX2jU#8o;q>RqhCT;lOH3kRmuY5T zyUu#1U)YxFFQyLZ%roC0Z**lY=|V6IDmsw4PJGZSG<@W?_wsW%MB}f;8~*kE&osFE z_y~uRqlHcxIsEM%N91E}m7$tu#IgxXPLDGXzH z{ZD%Byo3M5_4~7&yFqnF^`z-t-1_?-_`6drUQ@&CQ({^Ho8gwPW$(LPk41~}w@jaO zz^Mn}$ZS$MYF!$fHsz7eN>X^{2?CugJ1iEdkG$A7Is;}Mh=dFSCb+6SZ(P?LZ#PxA zZ#);!?tGYF6u4Sr2WkRn^&UOySTzkS!>M6!&?e$NlGMaudDgV zia;F=xa`Z`^{(`4wVPEs`WMOiL+~{hFxCp#Uc6sGN=|ZnMmTMe*Hs2ywYhuEcl5l@ z1wb_bo82h;<;&Zta{Cg9yghI%43v6m#dmQ#g{t&@;8VwB$xsaMeA38rFx<4+`h0$%%odbk;YpU6eqALLADaHY86H@B0uDh~Gw&&ktQM zQFn)3ktNSsIQ&KE02sxC3C^U}ZLWn3CXdI$Bqvp>W-xE@n}Qo#B%*Mz{I0H)?ax9N z0pf@6S~_rP0tLX7!LxxzN$IxU(qUwv!6Vb>`{|OHFhYpeLEo(^*U#`EVg2(h_8aq@gFt?s2{HefyF z68B!*Rmn6DNF(+$@t6a{3;NK|qfL&%CtyB!3toG2Te@^E8T4tke)#ds(J4F?FhqLr z#k^Dy#3~Xc^zR{fy8PtEHs*P2fA9S4`ps1yX&YkOtrJ&w)~+(lduFt2$%THqK1jFq z{vkIhlKzoBae8Npmbp1lonIss?8%bfkpFtG-*A0pUSsP2K)&-7L(O`g zuDa?E752kN;HGp?MEYZr%Pwp?$jnDMwBLL%+?x3HC|@j&drRCplsVSqh%{6^UR`WN)t61^3 z*7$nz?U~{dCjil?MrwbPwvEF zn%0$QyYXb3U3>%Vz&?BVh8uxBBxkmu*zb!FIjKw}nURx~nG+OCXZI640VoN zK3wSgsQSM1o3s%^@nP0+gembuSOX;M?N4p4E2_hdVtJ20Plsz4ZEQPMVSUNDt>i_tn7LuEdVnYchYyT(dM4O ztxRiVnQ6~Ba5F2#A{eiiBiltpVQaw55}8xXpFhgxOyAEdz8qV@X>xH(%sb@1=aSLv zU!ziujjuT{vL1P=J~TnU`DakWr?I=J%hvB%>agCw0=|0dZ>yR{$0*c_Fwn@eISM#fyVSXADY_Q<=iMb9-Z2@HGr zz7`K&xj$;J`n8JHX(;(BbWtseP1+{m>CgA1e#HWx(az(X`)~4g5Vb$^hib$BjN1f3 zSXqs|_xaW=)XLAYiREuC)s(pDVkcfl>ow5DT1{QtBMcK6hp~F_67N)RDZujA^>zhh=gN->s3Ez%v32vo1x@|Qni~D9a zzS~Gr@e&D`kt;KaNVJU(N$Y1$@9W#arRGic%hE~^Lt_aC7vg`5460umZzA|k!<2UE z98+CpeHD2dLkwa-xr{%a5Pp3sk8^JU-#u5MYsEay{a5E&KJ{^$-7Wyb#4hr_Ymn zC9L6m@IMHHHM0dkO&V{$8J5?4safB<(zl)!HtiV&P%-p)_?lYjn^#=C?Ze%D)b;Rj z*HRfh3tM%njRm4gi2E?Xd^Lima|Y$CI|^?4Q0nx-~e3 zFV04!i|1svd@)t8QtPaIS6%V_P7iJu?K_dTVpyIdAQL#h&KPJ`P-`z@_2rDp3mPJS zb$`R3@^(HtB1x%!-OC&Hale)^e|-W2*!Y}Jf^w=X@zyFQK|$XQyHl^rI?rZzRnVMA zU*WSDMUV`PKj-+#E^XXCF&^XVYe#X)_--9i_J{XxHuGhP#%*hyhavrvsgr@Si(- z3ai6K|A3+?a>Ov>*{t!oWEqjYd@J>IVF8~>!E$FbJ*m*&OkBs{&f8ko)*!}Nxo>NW ztZz^^))h4@^L}SW@YtR0*Hni994*Jlx-e!>gR>j_4NJ5slVeamTBx>DFBViJqtu$0 zusnR{q_N-DP3BsNYhFKAE;l*W1wl>eLvYB{oh6<+1;HxbgN9-;^6_BHs377HuvOpr zibi35wz5n!RK0b!5*sX+OwCh+qM{KTaQoR{^6E(4HEW=TdjJ{U82^?N)3%MdfLsCv z6#4A93o!QAKpT66#*o?-ZZF^K%GDPgzrF4maTWho67BuA@3`NbAW=PKus6VL6A`N; zm~BW{^14ye%VXzot*g(5WZDHDZTZeL?jLI+!;4A1YiB=ZOmLgxjAfRH{qhGMzdq2& zQD&S|rlp+i5h^TIWHQId`QSG|25V5Ha(@ec!n_$6`DS0QS+&E(sTO)MR!A2kV#X`d zVw^A?8ew6izAx_nF=|_4Pp?@A8mQ$_cs~C!H>Re|WF4=CxHaWOvkM>e=??Hv9xDRdRr`3t>IqBQ@Zsi#M z!N9c~Ba!UtQD=AR#VJ;Iy+a0;Hn}JKx}XHFrLy7791QPZqwg7LQ(F)8`!sN{{KKfp zvoy|U2M@~H-a1xDPLEQAnL|Sie=bH!DP468YU{SaPK6Tq^|eCz^+1(gOmERqUS^)< z;~|a6)#*>=B1L{sal`cAVnK)M^3w009P7E68|R~9b}^oVutLn=L2xc6deHqns8+8T zrgszI94cCLVePq-H$N>8gerpMu9gQKSC$ei3V~lv-MPS@Pa&@%#pwpO{7Gsisv<|G zB$ugvBG*M1F27b>347Fk-aDv#XQ`3n5=tO0`k6+n+DTeeo`MOF(0h=9HKu-E7ou-X zIsB%_l+RHEFJ|mS=49;$re0rkV4)CW*5NjFU&F>mr%z&HBIQXvjf_NBTxN5 z7a>)W^>CwmU#XvH>ZyaT<8FFan`!hML7 zW+y4U?OBi{o#E2m@36@w9oc?3sD5sj`o5FfShdK~^e^D^N&@o`voEyO!UIl;F`hnK zXcL-hNQo@eJya0UG%TJc71_6R{VWwu3Y*z1pDT4 zXci5H@kzsvCnk?6H@*xy>l%n|WSjk__S5&%LKjShuAOCs$bcpy1Jetf_P?%%gy;va zMiwa-l;}n+A1-N4PWA2*ebNxgZqurryn$Y<(drVzr;08t?&@7h8brx17QfX_5tvT3 z9>GcSaEtcNOMTL)%{P=PE1#8pV*{;R{m2-%J@7V+Ej&c^Gz2y3lOif#?9~q`sP1$8 zn(R2>ipi57gC>8t_bo6oq|TH7C@$b6--y2#8&=-i+J7|PKz$q=;Ca)yFG}cOQ)6uR zT>_ zwJZYstZw{WJLo7tANgr@>r3ZS&oQ~N=CDv%De)&nVh0?JSou@naRYja41*F|{7o0V z0JfFsH04r%`cK%paH+V8D)`sOH-6F7HBh*|*!S$sFB4Qo0JsCWO*nepGm0`|!@$)H z^Mo}6R-p&K1pe@=pC#2~IV(XYreik$?$eHx}Dx$&+ibF)c0sUd(pg)C^_gVKooE-gVcX@N>s< zS-3Cs++1-GU$n8fr&&e=9k`@=R}qDb-p^&*J{}I~>&eD!taE!Di`hKDNcQ#hT}7~B zjs(nEHS>Y3=k}AwHvjY@Ta(0tTbUb%HbzU6WtLWN8g9RJ&6>C7t!xK(OWwGdra>&I zuDnBMlR4qy$!TfV=e&DZqUb|Pw2J6T zSMk>pa?8cdve1Pv??Tl2)BSIuHj(J7eF8o=Y3#OL(h*^JfdG(&;{T6NzT-bta+v>L0DL8b z+Fs-0*2YQv|8(cm=5aD-p0=02emv9h_v56^FIzY{;)b5<>Nv@x zR)saOKsz$V8(ilh0YBg2Z*2&IeL&+2FOC7S?^uKXR5uQL{0|D(#xak-q5uww?9FY~JYGDI~Pni|j?_IkaPvXSn$E z*W;!@l4r@eovtVF($A(?l84`S0%mL3Sf9;}{+tY+_iXxUV|gl#IBsV zvM@5xcul9@C`1e7D-;#4XP2gqxA6-wA*&59l#5|kzfAB=&&%#SR z;idm=|El1S7cw4|OJJN<{C{UXlulw^*dwlU_IBeLa8-x>Nk8u!d{fb|_Js$@;9R2& zfyW2evg>DjA0XFL|Ffc0Eo`9hG9LcXwVyLBdqak{256t>O9%ve$^Kn%OS?;E>|AXMO z<8$G^L4Fg}EPn2~SwGDBA@{(nAJ&>5Hn}_>|Mjft6yGr78zem6XwS*$nX)N9er_L8 zFu{6;d5Y(?c#gvF1FJqfzw!CvJ)?XcfzMOKM_;B5_ZqixaxWGicx6ar#ZYIn&9kfdwhEiAA8SI9XvlNUbYXfXY|%A5&vDI-0QajBmW)X z6XiGm3~^qQ`=9wX*Qe@#`+eFv|9f8O|7gjE2Q-m)u=jhBH_vq&oX>Ne9(|y1@E6a? znBPi$atia0zFA-Ko8$%mr10w#_@+@X_Z9eJ&u?@9nit{v=;j(eHG;1J+4F=Jho+DD zL1wP8IJ`FUg3kLPg_|EdoDa5{AAtLK{-(}N?k4d*i}`_fGwR}}3vSP!VAtow!`_uL zyLi_CyRZe>OSxMtN^!nM)F@Hg`D5qQx;m5a}H2%Cm3w(mNoVO;ebyJmUD3Cmyqd>?Ezy0cT5;q5he;(CwgHk+@3kkH7Qq zRF&#H^^HhrH~CCHo{B%C2T1X(&!U&fgrmUMBa2_eIJ0)*`z+ySPhO@%>EzsYG0isD z0rT&O0jxDCg~TACFB$J>U*gr5$b$Q0b@4k9UN{d$Q*Xjc%k>SjTIqa54Czb(P$trM zFzHvwHQ-sobi9Rh^PW9|XwvbXzM+1!nocuEP|TBKJmkDd4r_ZOpoZK_h6U1p8l{s{ zueQyU6}CI+b>v7zI^Qm%UZL1TewNVcq9zWB#peP{43b^{Iv~JH`)L#;H}x+*IVY6b z{aGUnfd%U5NjWlwv4@GD}=lM%k{a^{K9d{Jg1yoGYI+ z5q~X;ZVqsi@X18eFYhLWQCwaRp0x-o6*q?%2Tv`mKy8D=IQ8>u0z{x7ZeY_j$-}@ob=DUj?zXfSVp~hy_49_CoJ%5iJw)|VxG{R9x)Be7TYlzevJ6m z8IImIAlf^eUiUB;w6&N`B+V^$hD5ium{FSY)fUqWR#Sd1z(FXzE{}86z+6m7L%LRo zwRI^!m4&BxuwGd)>@xr6AF1x~P33gZeWQ!uv^m`LfLvx={~=6*>Y(VG?PL5B^p(#s zgT#1*^K4vFr>*%q(3fRaoro*eo(L2`_(~lkOqw%K|CnGER^gx%FVkV?>mrv5BeYs& zg*o$7T7N%4#D%Io@PDPssBP)*TdB(`(cGsdbp{UzNMboia=s9|43@UJK$(s7 z>okwB8=9|AG?GWSt`pX9-4y`wU!1GMeE0ECm-C0uMdGt0nHNNs!3XlWDxV$sSSDDw zETIm`_ED}}i|{eBxSzXV{z>x!)%(K#@*gZv3-uvm=YI)XQ-X=t%@9>bCLUGbj9!G zsdBFHJ)Ot5GMfu4s^b1r>h&b^(st`Ft@u$u376u1l`MXXP-Jm0G*gkIHbvWtpFk86 z*DgJ6D}H%#7+GD!J$@^)DrfP>JrtsG_uX6!>zRQm-)cIY zO6gd`?7rJxWq_naDM5P9N2$2W{gIwc%_1sa4~{Z;)hXp=V1UQ>bymjoCoNez|L6q< zf$F_~)lYco2L*xYd@SXie(?%DDG(D>kI|u1j$XRG{B}jxsFeaAOYr}^K7Q}l$yQgF zgMWe^^dhgTPhsGBU-j|rL4PY>G!}hpg8%I|8D)QnZ9TUYJMX4mpY+yyN}lSU)~Dy- zzb4luOY7>+!RH37>Nq+R_!|%8@9pgp0RQ;%YSx8IJ@~&LsLyW(;t%CN6#pK1kL+#l zH#ZvAURMpY_qX9=E&bzx2~su0e zg67cMkFrN<90I}w(3be{?4vZsYqZS36Mbu%E4)3`#`dx6K7D?k+W0=XUwe(YzmSZ- zN~cyuVkP!&r2T{M4Zz=8`1V7OT{7UmMEEBT;Qu1wZ$AM43G$8I^OApC6Z*Ww^)b6g z)Ia%xA9J5i_)?+?KQ`0>35SEsdo5 z5_W4!9q{v-7V->Id|y{r2LFkFYIlIA!*m}pzOJqe|HpUmXM$qmvl8}?l!LUH7Q$Yi zM{i9Cxx$Tzbxxuc_g=C8f^DB+tT1IW#o~VX*b;u+(CX@Pq`b}bw1 zH5()4R41jWOJd7{ij=zzl=9Y|Qs!5r+`U5z_DQ#$D@1&E)ZAR>+DNq1Bt$z-LbSsq zMB7h7wA~~`)gbNZy=u&TNvqzsD3)-yB7H0|HSkEkRSP_EPo7v}93ryU<{dq}H(_;_BWwBMJxU__ z_)!{3P!yy(S!{grZqmR0HQ-A`Cm!^ORYRXuXwe!@!M z3R_SPG|-)?0J%9Xmg?LX7Bh<$)ij#im*GOYlA~;?XtV|82!*tZwA6Y)oTKU@#H3Zd ze-GIv&Kca>rIkY)(k_;?zen5Jq*a^kVZEi5VXSG1lvefs&w6{Z+TQ2& zlva(c&-a#AjpbKsZ2e@1C-+;P_P1>{B-}R+l69?Jwp(>5`I}nc{3xxiy@?W}Rdu|B zB<&f8);ej-HDUa(4kdq-u&bl6y5=@vRrg;gL5D|Sb)B>jRvk$Yrd4ZL?Y0T4M%J4p zh#zZ~0mZvilr0s)s*SywmIjwaVRf4~W?8k<94bMdh{AM>bu1Oas&RaSEaM3g@Y^h_ z_OD_UK`swzH8U4}Pcp=!0P>W~*|;q#LoZmc^0PwFve^$}KW>i;KU zSG5Sk`^)xSu~ZL_U4AF>XIm{R345%91Tq+>2?cbA&A_P(^{(`k)md(X z)zZ}mRdz_OQH$L#HZ-QE3Gwn_OM~h1w@sSfamW)|vMN3dI2bZvJhM_M#U`V%M6)3`LBA|X+yoLmM`sfIPR%?M@Q3iTpV%DIzI+*F2>wk2`EN9k|L+I#zuQ3mza7Z`?gROs zGLSzv+K2f64FmZz$Nr}-l6eq`!Af&SYdT)~u+9+@$4C!|w2q%|8L0otf%=~?kU#U| zue36MKwQIWJ<^)~JF!>ft@f@OsL!PX?LBoMf8AFb)ZgDWkpE!=`Qy(a@qFk&{_h;f z|LFtqKPi1)ulPA8#*b`iO{_P3e{-a`)WQJqI<$ba!b{;c<|6b&CuVIJbe z!?yGU;l*zhW#Ij(WB%f!9*66Ad*t7>zPc*0SL|Eo$IBA@Hr=Uv=|KPNFMP%bk#cmV zcSs*UzjqNZzkgBt71}$^!0p;Y6-vMZm!$sL>K~zG;0;LG09;USaeTE8PkPimUg&Q_ z)ZI@&eM@s?$!XfB*Leo4?rs=xON>N6)9$yWG!(#ptlZRhzy|;A&P`0X4Xgv0ZgAefW;k?U z*gdqP8N7bzAAOj4cvBhuUnu{_p{rMzZtL{#3RC#=P{k1cAK?7i<$vt`ta}2c$xefV z&jymtIXV;g+qih>A^?Bxz+qy~T$ikiH}&vmQK}+GX98bsRP?Vd0`U2=r0}vXT(^R5K`6$Aabjf;mq{P)Xs$+~c45pv`XhGe=uGh6L;e}Ee)v~6KJ@9oFC7?f&(C$wI+YiK z*=y_CuJH%_OWkXKY(rSQ$yFKt>PqHCG;tZf{E$PJA9B>e zRy9djzffUq-Cp#q3H}$mX6v)8{DQ*b=;+oy%igC+@!A^h>rO*1?U zT{B?WF-bgpayb9N!;sn}^X<}9M*n{ssLz2p@80~k9Ed;Uxk~gOvb(%4@b!))zUl3s znb!ZMZak4*W67TOU*f)p!1Fcw7HkJ$!PE7js^E}&d`|j%v|n>VYDsrELi+# zegO#{F}J;-T+tBl-uwc>13)-o~^Qz{Y$3{hJFEr+3f$SO!g3Tz^sc4|e#_ zv?YB|Aoz*bWWnp26X<7`<~9WUt~uc->7%{{ZopUGnzE>mkW{Qs=BK^gyojIfKS0iL z!ewr|G0N$(o3Z_lk|B*UwCb`u9gm<%6Yr1dYe)NO?#R>2CBP4225-t)@I5$JC~Ly; zaFm)m0RII&^uclA<4ff?o1P>Q4;&zdb`u*+;OI>Br4I`?zTwn)%K@|ZbZyslZ3Tax zvyAWU^x}W`K>nwQf1ICt@qgt&{>O+vAE>~YYVy{EfBY73*IdQNf1dvua;;5V4#8*a8iGG} zAU^gE;Savv-Y&+{KK?vRG{WQPOz8hcwb$PHeoF1<3KAySClqf_jQCygkN!J5eY)_8 zpYIQh=gl1dMAxxX^oe6(Hda{!0Ds7J7x*t2ppQgm-yP6jz>h!5mer_R2g?3!0Dt1) zC3)NS;!pqj@WA%s-DD}+i$DGx^3h22f7}55!H*yK=%o*OqZ7G~K4~z%=G@->T?%B+ za%;>U3T4;~T@PGP7_SEDqdwjnU!TVcA24U_i!kZdnpjKjsrEfDkGWP{Dp}+Ey{WG8 zhqcv^_GMl2P#qG*(V6h2Y|Mnn(V5U^Nc?kqeF%TXLiQV^2uEi^mwUb-nCc4dce1@=T%pxz2aImtE*38tgjSuz2lkK`DY#C!_k@W zPuF_D_UV??>`^{Xx*Zs0iC1l+&)luX|5uAP#(ISgd|S^~DkLY)g%$9%4Sa-T`+OCA zecNMAe2zctYla(@!mxQZ`dg5xK*xA!dV2Ze__-MLXI#n8%Kz7ikEgd9@a_7-VC3MN zTo0!Y&~661lRhj!;tA_r9NgLi{SBY>O+ePaXs5-OfmwrPh7a)ODQThP#*T1q{y|5N zf5TRJHP@9d)WKPdu~0#KsbFyzVEn@_efKsqz&6n?^o;uj6+Zf=M}^NNQ7(P<9yNTp zb}~PEeSk}5p^xX|p6nkm2$hOG16>WMJ zKo!9Ee5?+56Mhrix4Y-#;r2tK;$Iv8_+if){k@-!H~COFapLtizx_n;N7cw>efSTm z`;m?Zxq7>9mAc^za25}YB|GZCW*-mg*F}6@o|l6e=+F3&;sX~#;BiHQ&pgnEf2G5h z4&gn$6rcBvjsH~+pZ$a%>R|8E>wlxekB>D}^v9sTa58U+k{2BMq zAACQ)**cK+BKCsv`e*)6@lF3aeAD0aZ^Gvh3R}l{eE6cj@&8v9Oeb=+*XT3C!Kcz~ zbW)r%DU+nb=%6E?x9X&MoQ)0^91=^EdBqyDA=yHrsMF9866CAPp9zwXG3ks_L=WUT zGU$w4Bcc1fpu;8QW=D^3;@yP5K2pPpl<592;ae5el+A4KGU`GSuuQty860E~g!A$x z>cdG#MxCjSa6T0m9rv6X-H9#JUF-t6DVXT4X{wTtj_xcSA+e#pf&78Ow<`EhHq*C^ zl7SbgV)~yonfrD__$P9~!E_tfO@h|f@wXxvP zzM#cueMUJLEyFV?$3(aJ+lYcl`&SX&=I<-IMDN;Sb+_nGw^+?y8BJ|m#;o3DTB1MG zBKn*z(fXLJ-c&Vj=ADacYvv<#rlV0ynMCJuznJ*H>YM={|H+UM%kIk~dA!W6kh|&V zPT;%5Dc$STNUqgHU~kfkehJ{dHL}GZQyoX~DNiGI;{u=`B>l@|zq>)UBNug0liU*I zEb5pPI;2CgrSMHL&M7mXcv9d+ss#E6Tblf1i2`HMh9N%rf#suJ#M*No8xW;)Prc7< z(YsT0o8D)4>8)m|1S&?OH_`TuE@EB$j}bF@LEI_1PHpC!Uc~9#=hfE4R*AH+hF(?E zRzFRMd?17e6be9UG?6KWJzF|e9P0jYvf)zK^-R1*Yiq=5Z!qDE#o5ndoPBQ+Vs^`r z{LM*-*-C==3thw-LRww z1XJCs-Dxw(K6&C!n>FxEZgZRDPMf)!^U15-X){gXlPB)9xo-6C-Og>2J8kwVQ)cmu zWEC^c(~%08PmFn(Cs^j;O=a*IFI3ObnZUpAKzy%%mjL)*GEjd84)M*pcvBDmq56D( zApfEM9D1*J$m_F{v~RpGFk}84>i-QLUwd$a;!}Nm5Wel<_Kp9c_&lE_-o4|&_Hg^^ z^N^f(Z~jC37psyX`VaNbBWGBD_Kk<=uZx~R_;E*Vt@z*WOMOl{T$$@3)yu?wj;)YN zXucs?htK#`hffT6xZS_eG@r56WxVGw;^!%|0{M+wO@-5xzwrUio`lgf@v+4b__)8_-S`v<%()`5F_jbx_a2hJVe=j3jS zbQsl*Yt_B8FF83#+xkJ~r+Anx-`^EuTbt z`J8B(pZk6eP6&|AJ8tlEZOq6TT0<-!ENTmZ#&q)5R7 z9G}RUWf3%%6;w2RNT?Fk$s%YhGpgY7P>T`7flfGTQ$a->goGS9EYk@`ZHiQRa0U$I z2%71Hqc#;(g)FH0$|~&|L^Vj3SDWdhOE-^igQ&qoUKz4pY85e;6(hDS$m6R`RgB8* zwHvkqFTAjsg+lx{*PZ+xZaJDYf}V*&iEJDF|lUncLCj?;b}asdO7eNFb=K&$$7%HuAUuRx)h_aKTHm=C+mQRRzE>EcG0-f zWtGm34z?OCbd-5ch59X3_Y~#ahf)tHVmfW#gN3(HPtw0oPqAGpJotP>=X>k?bk&`z z^S9{ya^?RHOyw(8=El4AF1-~w#S_855}YrBpxeWggSU(FKd4XLPEYsKmiTUeb|Y~xq|WbD!M;h z`7X*8FB!uQ?eyO|X9thfBz@zDqT?|z@ObL<0>K`r{1M8rfi@)u;Flig|7_KttNdxo ziIeygL7;*DEFH9sh}V2uIdX`j|5Cn_@|WqbcA!m(Q!nR0)xSqD-Xz~z2R3kk!_7&) z62aR82PnT*IZsyd1g!ByM|{sYF#+A)I#%l(UAY&IFF1(P_v)~=q>Vl!e;TjZy!5O2 zf*AAdm6rcO_2&1q&d&LzX~u?M%lGsdp3~C((hz@)`}AY(G7+bY0m!CpUahvFtt}>I zGG8ewHh;=P2JqvR@#6 z%#RoA{C+xqtGc@?|DE!?D8F9$?Ug@OI9CeypOl+_b{E`Ym+_yrM^_HkBWA}>RbHwC zKYvp=d+4AItsN~lzD@Z_qPd57>=k)!tMmBuSN8t_00960cmZ@$J!lj`6n>LL^JkLF zCD#POD}seuB#>Z!jNI>^KJdefid0)`<;IzN!gQJ$Yvo1rbhmR>dv0koYZ=#&1~U^^S4JYLTx z&o)?d4w7KKs9JM$;8vtjvWz07iWz0U0V*KLPIl)fm&Ej0N6idW9nTL!X>m+nFKyvm zxw~2)#NEVNwzLXB`8MdYWZ^#$SKRr#inN+UYTL4{of@)^PWw>_H^X&^A;v znO}2U6L*?a9zbAMF40Ad|u~ZUW);_v%bnzPOyg5k-Ov4qOREKi?>w9+; zcRB|Wl%x{Fwu`49{D(la#NbU8r|1?8&mSEe?Sk(#!x3g&Wk2FsbWj1Wdxhz}kG-wP gpo#28A33|=6q}nPhMn57DbxQ@6$FbG5fSZ@2Vcm_9IhFaP~hq#m=++4OrU6}SE5GB{nW zyn+&pC;#3%`mgui1up$GxUyBYnkyDa)5#ILLMqo(NOOyg<@O0tb%v75_>{eoKa1We z*L$#;8-foXnH$9yPE>gE#wcmhZ{RPXh{&Nq_xk!R1G9*Cu{M_t$}lXX^X#?tyQTEJ zq^*=^v5FFeKAd1T!Qj)4Cyy^LUP>7^PyZH4G+!CBQ%^Sd{C?O2@C(nt-Gq%}^dQwp z?frq<=JQoHFd(Q_;8~nON`8K@I4y>9GJ3~#h2QZ%1ufeX1yvpEYDEU57m^8CDJBO-ODI|f+g zMEN;Y=6ycBkCGeWru(2M%0i<^Xqg^sC&M3RP_XFbq`l=j^)7j(qL_Tv*ZNfeRf2nn z^^eUv`_^-&pm9FYN7z~Gn`f5`S^*#dz3jdT-@@->H^tZQs$TEqWa`;1?(XME4De5C z-gN$GNXrZexpAnMN6Z!F2hwi0Ui1GER-{T!Nii+}aAn=+#Id1D2L8 zxQL52d)oM3GRh9OfCWB&OT5HFC)2(B|}%3T016B2O%vtP|AofwXIkd}9kffGd`tgf)8(ni&e1 z9E6_T!7PwVaB&UnMfiP#=IL2}riL2paRA>VGe3&n1>>S%*35j+tVLB9wKJ|6H(`rp z;91r|z65KSP;2O!ju{mk$iXx-1Y1Bwk-b>Aawaat&@tkjpi2j8o;xlSfp61(RM}2h zD~CXZ2jA~}pb_&%2V&*hU#(VRKU_8Y6##Qs;S)R-uYTY)BWp8BGf0i4A}-rYxEv8t z)7WYe4kp=C%Hz6BzKj@5;jh(OeOWun*7rk}u>M;=ACsqdRG+I|uY9aGf-^RkTll9p z8+&t_>t80>oc`JRHaN(9OTS5w_0cD8LNbEIP!}mjfo8mk$OO!r1)pAF1Nw=)n);yP z=W6f`c29f?Fj!`0=z>U$F#u?~&UoAVTk8lR=;oHsA4STuo~HIdd+nPu-5!4b1#q9C z4WujsqS{KkH3wXZ!_(olegs$&6NF15{dv|XA5wLKlT^0A5os167ud50VrG? z`7?Ykn<|}~na#BTJLICp%X2XwHPF>C)fM836mzYC<9hyVxK9`6^pjPF^-SCwkQxPy zSftqt>yft-x;otIS?~7HzOr}uI=+ztNWD-$+M?mB{TF^`o-7~x_r`i0IPW}Z{db_x zSZ3wZW-vgoYUA~wR>omwnU6J?5kdN_1~*QurhIUAk+zq!r-A==>dR)OLqV-%fzduh zOjAhe{E}*c#RwZFY|7!^9uL$FbZJ#=`t);sH^(lR@d-@(U>;>Wr@>CwsY&j_UVE^& zPZbY@T@q%-nLcaTQ!@B1x6JSO)JAkJNkr?**xDZ|x;{x&RZ-PSB9`a9&`ve3Dq$1si?6?qK#x#jcX#*{G8n`^3qt=qY~ z7^HjNF5U6q`Ze#0YQK;7bghG&i0Fj?!Yg)RI(xOTp}J(oaH*_1`BhCFr84}CEDI@{ zYgOB%GH>}eWhOxN6DNo4_{jR{`4g-weWzvBt4bYhjpPf2>aFg)(5vLR3?JQ}z{J-N z+9-RsSuLBNR`0#M6F^io{2olsJ^a@!U8H5Hnmx?G@0{c0TNtFfamD@WV!@Oxc(^sH zN7h(B)hOV^M1lI}LmG5fGiuoC+bmX)>aCPM)_}K{%9K@C^c%W4fuOl^6QKy32%EM! z_;b;aC-h9&hHfIn3t=#MB22m@YyGx;f!_v^O?V8L)Xf*B$xWJ1kOiN6Hu%wA@hz1e z>NRLBRNE9+nN6vgK=QKMg5F7cqNBxTPX}O%^n&~vMaP)o#xLy}HMyZsC#EjDsJyK; zyS#-~F%=J=kyEb9obg|!sqZJ^n8Tj2O&PrT=!7@Qmp|pjo0RoENTTa{KM}uMF#bA) zcrJ>>Wm3b#MKf|o;_ZeOg&IiS7I!7k zFEttEZ*oU(oaulyg{l5?qU=5evFVQ`)r8 z{;g<9FLbdt8mqH)SgkgF=&R7-)2j$tS<1g6sW`fXm%`d-4%i*4l@;+W ztlYLP+J0NDIz&{9tE655wcC<9H4i9NNUhZ8DUwIC+!}*s^W$q+o{#pKv?HEdo_;8g zgK=7(`pFHxceEF3+HDN|3}ACMO$wEfH4wP{6Ix{q{+DmGj+qwgoWa^>N)-$)Ugox4 z$b8bYgEl}nUzm8MUVPr++ZK?q7&d&+{Ju09!$bj*U;8$0F52|SGsjV2>)-I}z5;Y@ zL_>q+Z%=)@Rc7XC4@10{A0XLsnl_<#-c3ED+k-8Z&mR_@gV;0(9vSif>Ad6iesB;b zDr0<1bsog$>!$hRpPngmLAy86L4Dyd>SZJMfFV1kG|W%_rv&MR8@K7&6YI(1c=+B~ zPK(i3Y2~1QWLCXwZ3IpcEZ*bOnu;{-m=rvkkZsb zbgQiaiuZT$*WP4-JGoCBZnG2^)(yJ)QrOyxY%6lgM7*>DK;GY?hokCBS__s1HUysl zgq&PSFW1Oy>6<$^SVg1%h&7`k^h_+>M;H9wE3e9(di;U0(?+n?=Bu%r@U*)H-N-eY z^=3)Nj@!UVFH40`lF|EDOs(?;kJ)Yq=JZN0=`D59;*fx{hz2o8m>yp2%}yCDB%ong z=u0F1Rrca0-F_$Q^sDF&$x}L2)n(MVS+ded$juH~wxIEbqvP|Jze3U74jmok_k?iD z)smhSZi+w{DJcHA^T?eg)C7=}IjLF73P75#D zl7TAgYsy(Gy+GFaNCFk9>Vc}_OUeavJ)iQ?R1-eKBdNlO-(u}BgUeKtQ^#6+6AD)W zuW-GU*nghyV@!swDKF~^mLIx4HI@Fy5OHc>dzc{G-?&J#cKFI_p+~?=w&Fjw)aDsl zz^f9*Ry9y{_A5iUon_H{p+}aWaE2ordFYF-t7{rmh*M;>skQq+@kKz_w(d3UQU269 zZTSgQ{*zRRQaQP8BP>)v_jpxaKSn1V_O)WVE(qT)bU3h>l3Ex4SA}uTn84SERPd218x&3WiD2qQ}T=(%#o-zh$_v$@faUh*FzT2eLXI#c;f#mw#U8 zAh7Bnk`E9LudvZx^M^VJ!%#c~bBe7K45@@8*zshZbd5b#Ha-R&NZaH^SHVDu`~9f& zjV(dw{FEyh&}OXP1$CXiq<-Uzu5}-Wr;?QeWeciorA@pbd|xnaxv6kCe?70lZK@8` zwiXu4^I}eS$C=gJsTS6%_2*T&Ts=cQ%wIrP){*2xqs~pnP?lkZlp~3Om5z;`g|5kz z`COFN`?AUrsFa{hEv)uGO7GZ8s*a=h!y%Rb&9dc_LR%i6Dfi2O1|@DaM_~kmvHeFO zaTWFgr(+^<0(8ao)YwKVNNNFA$wBayZ)pXV|9ipF=P$26frE}!-F41?^xMMkgf3Xy z7#$EWykMzp5*lxCwL@#*ufj%J-q2i?Nf2+)m?9~-y9@DG|8Hst09{5~?v-}WO=hiT znK7R;DgM#Fs*_s1r&p|QCk!LkihPxS-lwx1T5NP5l2^RI`W8@(#<}>#!ar>GA+VHD!gE%1XYnYRuWT0X{orQR3?_+CGkJ7 zV6*Lh)i0CsHmhO%o(=`mK|K%thHQrLyi2x!89?vifOItCz;sjRmGD-KR4ZLXLfa=} z{SeeY%gRQobx{MO8vhhJ8zZ)O%QMRx5R#sm71cO8`)=sW57L*#ROQNi zUbbjBleN~>2iin=C+UqxR#S-Cf5V1WsDSv2#CaB?$Rb&AU}beO(>p7PmTzY92JYt! zBMMhC=3|t9Jnb7y!v>C%u?mte^S!iS1C~tK8BHNI90sRVa{lzC1Ubt&Lf2z=BA@bqmO{1plU9crEbFy zBg<}gYntaxHS`QdEh7mjZhLE*>x}{`NtYFton-i#Sg|ATBJB}k4s?4Z%@d>$o$gFn zo}z8ilNNq%Ej)1-Ehj?N?IoEGWE9m~^S80vhPiJ!&ZKJPLw4+gy<#S9sp#oYIe&U{b92I{N5t#p7|oe=fbYH!w@H45Nk)A$K%LQp+& zo$HjyR4$`$uduNj`iub#@g~L4g{ubu0?IkDvPNf>ApcGqLDLcj?Ij<$vDi8Wr5sqG z8El%S{rZb2=+?Swqg}vqr2+mB>yT4RFM&3k*{$mm6Ek+rPF4n{&I~n^w#Q>KL{`8` zN41UDE#>r-SK>ZKz|TT}h2y3rWDBT4y>cT$z|zz&X4EQ#Yuax`^Ad49>b0YpqT9J> zx$|P8R}1)b>=Phw^qe&!rha;G6Vg}?9W_DSxt@uHKol$pfo2^te&m6dbv@6y_b|AK z<3QyoD%L%4d{B3VfCg4z3QH@8-s-UH4WHul#iO$>#Gvq{u+e4IB)+Zl*gkA|bPlH~ z4`R?ns1Uo8(t9`9EWKNZVX#ECa@>wBdsfujD<7%He}o-<*A!ypty-^7Y0`L6YxH{J z0)Fc91O@wuf3g+r+S(f(&M4>q@6%N;da9D9{jYsy4g=ut;)dL z@K(PxVegvV+92>@uBkp&n1es3Jf+R_^5@N!U%9I5yBYQHo3g*+0l=o*C4a36SVhUl z+?pF0R_P|ozl?7W1+Az%uQ+=Hg*ufWH~LikD$$wP&iuo3MFa!@5Zwa}m>r)hmf}L6 z!Y2g3w;~}J6t-LvOLZ0}K}4zbh44J?F&2_}0jv^0rhEvGaD0Tt28?4{S~jfrE*=C} zAkBas>4(^ACG5r9X;pE|uLRK`tkFAT_BF9)v=t*e=4PFL;sI#Zc+?*GrLU51)dgkt zE|#R;0J;kp2WG?tkWPTcv4!Hd{a6v23DU-e>$#;5{uVZnF^SUg|3aSOgx)(i$5QQp z=G~XjX0~+M?sIUn>QSAi8TufhW)rNKEFUb7H@vs#0()?Nhf9Tq+`vxJO&qsao^!~U zb&~?R*#ir-9mi^a**J9V8)F>_vIxK!Bb?PUFR)iIEZ52()C9`FnMQe2R-E6h96t*sB*{AjYVW%UrVfgObrzgBWf2NIVYzA*j)kO4JL_VA8;ZN2YYGQ7 zI0zN@7kk9In2EwJGNxhw0c#|0asrRUi!eE8{ES5GKZL);5PY z`E%^Y8_cRvBvwGt`8{RXm(2)bpq{SXhoa!0{pnjg=o&t85F_@d|8UM8=8p_q zF+|8Hw3Cahp_vrA*8Cmoi{0Saj59k%M|9s`XRTs`0$1-<1}wI>`e8d?BZNH6TY4et zsHF#}5jv0>3)h!NCUn?Rk5mCZ&W>dT?VI1Halq=ex~0*EshgED&>&E!IEUF)-mx&>nXm z%DvTPzj{3C^}gJ5+FeovGci-^CGKgg%c=UIHJ<7d=Si29?Ye2f&7s>wO><_h`J9(@ zuhCOVKEXuDHPe`7ghaqvrx-ETI09^vcsAjVOqW*a2UYi3R}a0wbcoL#?W}e>BKJR{ zyPz9pbHUD-n{R#Cw-f;|C8;olK>C~uLIfIoh0jX6f&c;+B>jREe3#au2%p|zdY0~# z!ktfNACwD%oJ1>}T1cP$j4kK(&8rwv2uis?@nFmw$=OiV01On?R@ z?p9ifq$2roHWhTD(?d#E;Le1Mb)7BDW~aAhbERhR6B==3Gc!{IZlQ z+K7~rqb=hSi>r45Pa;xazgYx=_F4Jw<=3lPpU}axD54ng^?6_)bd#*5F@IG1`gVJ> zvVW-8E#M%!**PW$ET2FsO>9fB-zC<=rO?h?C?1alJemZ#1hic%E$wS>HtsJAc=b zXnBC}N(1_;H^I4B&bYcR1Ng~7zs`ud#5Uao_E^_jB{!Bd)uFO z0J?LRAg@Nt@L2x^`wAiYRESxcx{aP_0*5V?q;t``<^jV*ba@9(!w`=EZ?_6 zH&4m#MfPx$Qp``P-Q~gE8L47ZO+fSGBNnN3+_;539jS=}d~gy4yaTfSm%Rf3oNa|; z6GyHASc+1V9rGY|37JK{Wr6B68I0%}y(GwKB4-t%12T54TOdtPJ>rl+IZGsC*P%FX zdp82DNE$Rr)V1Kbo?(2cCm9>QC`#nq1Qo+}Xm*X=2#h}-MA0uFJ(X!f3;QtU#F2r8 zC5tFr4~`qXHa7alU88e>+8WwHIx#J6X7tPKjioL+9&D>BSTJA%nXhI>spdTkQXMK& zpnqJm{w;PnfbwXxS6t6`ZkmWc@Q2Ssj+#9wVm}3cKdqj9tfIvI=9gjXa~FwE)(es> zc4zD**scMbk_9GjSo)0GlF|8x2m)q1*^}p~!_dW>`01a5(egQjPdnf3oxhb3_sL1kxf2M)3!T&o~1?VNL0WX$e5f`A$uEUF`wOH z)89NL_oVqFK8_#yH*6`Stin4W(EG#ly^$2{2MaKC5pT6&okHWaX18FjND?ApFS{Ba z_S){@3P(hxgu-^?;!mP&q8OCrbCX5YE|qN`EtYhis8MwlRYorFpNkY9zkUQq^Rqwe zlZip|srhbh%J!ykwmwOZsn-5H^szD1-dV_N*Dw%VkJFrEpF11qSZ?q72Zr9EM^omX zlN`D7KkwYHRw%!XS+kUn!sPViX<+0ucJS=-=9;N;B~j&}3XD61Gtpg@ROrUHd2#0` z+jpny3Ny}>L}=I&w*d>xL&AdALBJq&budaT1LVE;C8?s{GukQU%t&2ei5n{Xp}~s9 zpq#kYsEN|z3Wjf!Ufldi-uCU%N&Q~rlDBX36SERjZI*=aa%)ODUn}GnpFhxuZs>FU zCA_#o(g_Y={~D%nRg|@28?qzSTIrYOE;rnA>x>(gelgHfZdGd}>RtJ9n2zQ+GFO!# zmlP3}^kF#mfI5MF;^(lU;5nPLo@G^rgZ^2d(Of>Kmc=wH=VddOU51C^I?{H9<~f(_ zD^xZ13r;mY#*UOUBvR<+7ru3FeI~}FE3|K;{AnvaB0|b}wG3ZRX#>03=X>4c;cn_Z zD&7o{5MC=Ow_8v>NZpbTChbdKlZdTvpEI2e(2&So)l?5T+FY$|7y=7bv@EO%?M(wY+~BD zY=|E69k&PZ+uHp0Pxu~8OX5i?^;X}O|BbI_jQ!xLchzGrhUg}l2VAG06Hon~>FQ3` zzG1x}hYl7()hV1fHTR14ObaCISxB!>SV%92SA{{QH0aj6zMP$IAF8x`lF}#HzJ*%< z%74MXmkj+;^`Wp{OC9#4nttyS6yvo@VLo|Pf?$2kFQlYxPp$Vt;9p)9Lo}_GIl1{m z)oUY~-ajwR7rvz_@A&-~rD|0G46CxWpgB4}@J|a84Au$0+RE?Krde{ zLsIrX!xqp`;6v#-(@i<-(Lnn3@T3bkcGt#{#D|u1<>o~8d9|p};jwo@hbm&^%s+WTvRWtzGmY8lcOY^hyi^HrTF%54^JOeZMm8PFd9CJ{$41V)C2MpW zkyKL%`UL!OoW!QL@990lge- zsS1!*%y!TQ#!O&Vrv=2F-z?b~BN28dTj1^V<|FZ#jNS9K?JvjZuPjA|uj--vg;Th7 zBFpSvX@YwN#VjHRp8Y58w;|8mYr`HflaEPOM}6!vY;|%fjyG+vk6V;&W4~5--2Cnc zqF#1W9}4fG*vRo^#W$OjTM^pNhRT@`t`bRwocJ>Lv+aBRnrgLEC$3yd{N4U?W{9M* z4EU!4Fv!45hstu#5gfI(U0 zuy8---#aP1T_pJ?<;&%HVF2y^km_^HF27x7IAdZU`usj>oSI0;Og&zrLDH)D|V5_BGJ^DKmo{9US(LvO1j%{-vG`;33w} zXDyHVeUGG)!P)K+AF=-bQW(xZ^h+akbL+szmID2r83j~;+o>f#7OI}AJpsbv%MyET zoXjEl{JU~z4R-P&nqJKd1L)p2;+6P1x%F#%jSGh&3L>zDO6nwm`>@hJmu_-U(Oy9& z5z}AMc)$j=6Q0seO#vQpA?y8*inHKH=Ykts^!(8`rH^z8VfeV_hBjzNG|%JjKkk*G zVl0RCI4Iw~G>zNqZ+hawx5xrt8Qr1hsr7gJ5yX!l`BXL9KXCdRXHY2i?KhEn)nlsd z5B)YQgG?rJq^c3UtMS*FaXch*8d8dHl}j2f$ROFgQ=^Q9&u!{c$wE%PW$nw@Gp z^^~Mr9TXEBwo|_@bFFuAff0b#>~ys}^FkUy?6O})VucU%W9=)KF&_^t zsH`(YZL`5b0pDV&+b3@H32`5;3eHJYi7FcmBIq-6=i69EZO}}`SB!pkaml+`Qv2{L zW{$pqmoLPfbS!Wv11d|^^F*_;%_XAxnG1$4UTO_}Rq^gLx;;8i$gVm!^|Ij^Rdosz zmM_o!=eafzA19(;UmMK}1|-n_5TQAPkhSQl;hkuW6kp1BbIWA&-DgKwUtY@ZoNr## zk_$P^dwzkt>X5EfrI^cyJ^0770y~cr>{6god}l*{IBZe2PWq&EaL-===@`(qer*Ks zz(gh%mzETUAZH(YGVY*2v^cUkLeUcoL}xufzk+ttc0$J6fVTk+FPW|qB9beFh`Ba8NLs&R?`BDZd_v>R z_RqGKz%LM_=fT5~zHc4i?SGk!r911-5LWBNomsTH@6X5ZPL?fKASTPEn1XWDp%%?Y8`4^e#Q5X9(#oP^8wv*4i-@?__f} z3)~f7>s)tWKD1tG>`e}I6$xH&Jx{Wk@r+g~=Jxx3$7JsCu%n-BEXurA=3G61VK9)g zDEQ8+N8d4E+KV7_8$RLYxqs@-@~q{U{SqB8{N)HF{D22HgK%XzV@!*JbAWIjmo>YbPGx06+Pxz>AQqHa&aZ4Uz#s=mqMgN1hxTD1iDm zSnL(-#@o34D+2zIxHVAwH91pN$=52fXUQut4uNxYSrriNN4TPp-2C zWK*T9quoaMb^`_p#%8FF`y&lr<~)Uan1B*pj0%&7#pMHCXIF6GQVAJ_!^{ zGI4ZM#vog!pHVNJ@l_Cj+(V%?$3L6<413#WO)G?AL_hrndrFe6tTCqz_H}45M{F{F zg&kb@K_~9~b)gUg;CFx*hyXONrZU94M1Zv}2DOSe`L76LbO_3DKokgceZ(E+rm}AI z;`gH*KBkrB!odB%qE-?C5HzoK3Otm8ag@Fqtl{LcLwI%NH@r_8p+^pq?`ic8$L1&b z%N{hFWdzSS;{$nnlyXG)TE<7T^>hCow%GNS*XQwyl4d+zD*_d2=S>VYjt)5%29qTJ zIuA6q&y>^=w$>}50)x~Ss;cKio1|slM>rSfc)*Wts^dE%vAM^y2*%q4=Y681#wQw( zo@2y^O^c=*uiMuLY4*iktS_Zd7Z3jVTf?O!maK0l)qoN>X zIOKUxvB`pqM#_J|bzbrDs(aEpm#q4T6&4{AMN#fEMB5L>(OUcN$CsJ3Q-TOdg8E*N`~cd^BeNQ|W)O_!o; zsHPxo7GnA*i5eqQyOW!^Bl1^<41?otHODgSsak@yP?8cX`yys0&&-UZ&*50txu~9U z!^bCIYMokk;e6oob-3@nZYa~$SlzteHsov%9=p2!XsD5_eRk}s2Y2*8x$rl+@ZhCu z5IWGf3=hrdgRZPc$$F(PNfL=uB>DCM1D6tl#!MR7StC@N<_tn1Z=A;}>Ld5{-~di@gzWr#+uB1ik}L z6XuKQsynsS`?M4^FzCz$LOvV_@61yR3JPkRsgHwrem#SA4`aH;TC^#C&0mE zV&h_Did_VGl>BEy$gT&mrI&#{Zmqc{8qcIVWo7-nvW=tHx?a=NBO2TXX86XueP+?V z-)|;G3Hm_P1+o^u8=cw{?rq+CwU2hsI@F^cpdYEsvRlb&P5fMu`(_L72*d&6H3lix zie3Cbt^|9n@6;h^S-6OyyA$gZ;4`j=@e}X6;g69#nXO`H#gYLitU*>r>`!GRYj%z~ z)$5twjfvY(INYmN95bLs_jO(TXwx?>k$zo@;ufOydRP6-cda2NU`X1w14~D01vp2d z3>h;bl`epO=b3*3tn1)=H?<~6bY=Gr_4PH8Y^RAIqtfJs{H8Nn#Lu+UeTfC)D~z!^ z72xOt5(y)j2_PL&tU>X0pU$cuI-zlpb+)oyT`_K_5rKxN&xch&Ra7_9Jm}2pz#3<9O?3GLnaOr&lb$~o>%Rwl%lGSP=2i`Mr*|(lY;UOFzgjCrWe-NL2 zNj5linOk*WzkycVNd0u#k#(es&OZ#l%E593#N>?XT%(KO#bBu5?eQ2f7Bbi9k%RA6 zX=W}vf)vq9pA`yrzIvFmHz;8(`wv;8w1D6$;zEMxt^%xkhi-|oYtukgTK{f|)(3O^ z>7c-;AlOxk)Y(OQ_|{cJ5Kapm8$2>kfg_HIok_gKogiwjAct-tDV-O;0rq&sTf0lQ zUXv46Ej11iIcsfZ03qyw6(;_HHOZ-R)t#QHIa4`aaam@Xk_%N(K8b}7$gy38iYSC* zF)O80Rn~Pl=DcEzPtqKB~^k zGA%g7bP)h2)iyw^LuL+r?nX!vucuh&^84q0&MG~qV83?Fhy!BK5>LR7F9G}NZpR*6 z87D)yEU?GzSQ|+C=$JZSDd%2prEY;MsgG-6{=N;6 zzPg}gtOh9Asvq|wR*99mm(q}ELhe;aDSNJCA_uvOu$JfL2zEJ z6R_B>S~?Ty|Kfo5iOUi**KA5-`$Uxb0uq^KqYC#vYbEcT)xVVWxdieLXOXVUgw&XAR zi=kN?3oKmXDu{)oSS>Oqi2{uy+=CjuXqNb}LCfXna;rB%ia z;Qcx)X88vodx-*|Sg5>D;u*Tlf)&N8{QY3&yE^|y%qicH-gmHI5tpM#csW*oLO-py z`tb^Mp=ROdt$Dy%P|dA*3muAbHp4t5st={>`47oO%%-mxdkQur)%CvIIF+j&k z!*ac}Xn_&-*J}Bf(L1)* zks)ZTSF>%-d1+$bE^-gr)bD5}fr@%Jcx;TCpal#;DwN8rXLHtoG*$)=uQCRc;b{a5 z0ZDh7gC#$l%CWPj4)NHT7NA+Jd4$JP=5lv}l?>3w=)$wyy47|_XziT&Su&-YBaZ8 z-R$Kw`|98wE*tIJACBkEF=ZL34ANrMw^4H!#$M0p6Ltbd*;Njgd?l=BIfWT7{2PYJ z6F)qRMEVy%2w=3^VO74KUR$E0PyKmFiA!wQH`oJpOMiO)JBLAlK@Xq9|n2PiSKX z3M%I^|LAWciu{|Tj3%~fwGle1UGZK{TL8Rm7R~V0ZqVV?&3n+VKMy}v3tx}!B>Sn@nygJCFqtaJDF5X|G zzRS2WYR=pB*4L%N+!*}9+dKQy%v;v>&$u_z?2?vGrdNMsO|zcQ{j~jdITT6#$|(LN z8En!gCG06jYcb%pChMdV=C$XYE6<>mw5M{S`r)rTza#IV$h%jw$}q2-lQwk1E~D)# zz;GpSrywp~jkg0AW&6i{SVlfyvRzv^pq_#H!hWI~ssHGaj{L^3$>+1VK`z%Zju{*` z(s;TT{IK*ub>*>h(d6(#e55 zyY_oKFX&0SP7YAFo-0P$X~POA6pdW-0C82V0yJ zgetYI3Fk~m?EWcvyP1k^WA5Wl`7WdO7C$Dfo`dMcgn9`@jNVw$KC%?cjGa&Lpsy6L#ybb`R%YO^1ReNBpPN&altJHX|^{hb8w z$ClBPVc#5lHr*}177M7h*$PJW3i~6>IL_j1d7I$Fm{_>W41(a^Q&rr}W~EItUZR2C za1sh32~fokv9HN&NkRoLf*1r6PCs>{Lh#D!h*=eYCM#}1x$_=8$Z!r#i45EO{ft<* z@5;aaiu_d(jM*+b3pu2BlRa~M!M?YXDV<#V@>aFox>N$t23OYtwjeC78|LCSr z!yl8{AjP$kSay+;-WYGL*ZBH3D6;bJOPhidCu{<)X>$|VmsR&y^Q-*haNYHGTSi!Dw}fI+A<4Q0}sH@`Ku+!LY!qwq5Pd79+4e z=}R8h@`^^pNG`*%!Q)3!cRau^gnwQp2aO)egLsPnz#jE#)5~zSTR_j3bTupef6IS8 zx`=4tZs_55KoO{CEHW&7`HPgqeE8WJmZqg@-}kmKs%({`%!}@EfLUIrVbWYk43JlS z!)vXIp`F34Y)rkShwZSDxiDQUjbN(m=kN3#heC0hKmSfXjzgdGNUUIgVlq(vMk_g|i0J{g2&FTk#{)_^e>VatLpdjzfD4V(iaN zvGb8vRy(}+tnz$;8`N?x&Ii=Lxl5jz?<&c(j@IMbyJ|;;MOrua>G-Ef=w})8ZxAQS#9B(GC}EBz$0O3(rc+3LMcJI>OhYGQ_IAT`VlD2 zks)(8HT9h6xVvlBK=y<9{_VS2P_mIjLmFp!cEew=`!NOq0LOX9>y5|97&4Zq+zk4tpaco>7m8@1F3!CJEHlC zZE&XD9?41JdT@o^p_t(g?W-5X49=17^8w}QfTI=r2iwP&mJXaR$_$ApHB+`&6(CuY1_v%&fJ!HT`OwE5jI<;biC2=4#->N;(+R%4hm8MY;?SDNIeDtm^gvf z7>KrwEv-t~U}wu~_-4*-$J6!)0itWIHEm)i1IJH<1hXNw`Q3K+33l(UW(cJc*O<^I z*`gS_xcb}e2M;Lf0TrYO7qBM(T+w$;_~*^f#gW%nNB+`j zbVYQ;0A;4sA%^N7jGnk6^cE9tB!gh^nm7)idyd0v*=~0>sUurh-kWOJ;<8Cu4DWi* zss$Ra`PYMv%2ksDdVkFTC<`kK+(1)7Q6>DG(s@BIvtd}2aJY8V%g-N#zp(QglD$vY zmE)_NpA)P+yvHLD6w~psAIX{;fhbLx6F>#Z-ui6}<7`&s#N?6gWH@&E0I|OZOj)`W zj7osY0|(?-!_LjbFtG0-x3}`;vUqF7kzTMrgq~`>NtPuQu}8{|T^8M=44)J;0yPC% z%2#!FtmnjJLGfPpnsK+Uw9@ohFiXQ-{qHOA<|qmJCjJiN{4<(rL!qWiC`iLaGumXw zz1=)I*MCITQ2Pe1v)6-jz^;D&*p+uK+0w=Wj7I`rkb9C-m}6$!uqsKhZ8J zbhVnZvk)X9U*M$J%Y#S6nd68o9I2inPb>k6ZLrUt$nuOMWVmgPG4U5)c_H-EU3#_u zY+8_5$dvlHE{KDd`ox|a>p#O4{w8-#-4lajNmSh?KQRzV(M5)IE< zcU|Ks)N|ckn)aWrAShy`hx(twx5mE+I>})CXVn@MSeizBP;&yv(`j_*tYx+P@WV=bh;3Qnf| ztzPMt|C&f>H39i$FjE`#;fW;-(VEf-uKfP9)dxdz6 z$@DI#I`wzsm}NfU0Lo_Bc;WL79^wiJ%7aAfVW=$EHEp-!KpB}kQ z5w)0mMJfi{uk_B%shD_W02G6rxKBLoQbs-CE_l9cJ5P1*3N*4n#ly5_RFDV(hhznj zyfrso-+P#p(u#T1^5%=l6!`7|q}3+%PEP5S1cFY%F8wIM=R>bZezL_j`}>EvtkqY$SQmc~KS^DV|V zvKx(9_3;_%4gxOrd{?Xl9oB*cc7Tm`B8PYkWd2_O{~-Y0ALjf}df-Oohq@p3#=COi z%D&hWu9=C_-25cCfqz9+Y8K|;r9bBUFz1KT19N_m2ip4QvR@TKQMzgD{~-8W|6KWR zkl#c#hhMsG&JS~bC_OOehYjY3t>b=g?Gc?hN_`D4>S2GQ?UT`-vKgM6+&1ZlwD)-C zJgqCTkHW75OR=zjG`^D`_|y|Rqtg`KsF~8ONloaM4 zy;Hv7H|ZAqlfw0uv<6&1^bd*W3cUEl-e0#M!uhJ=TKNZG1G4?k<_FWq{2+7JTO9JW z*vKZ>Yko-K<_8bwg=*#p;69#-ACtRve9mHi;5QYy_?ZN^-*I5qC&j~l<79U68v*;> zAF@-sI8Wi&mBO*b_7#KQW*2lM;uGKFj}lV-FMxZQ@k%S4*t)4`(s&kKwO35P;nMHh z#5E?x#R&Ybi1x0fywM|-b6LM>4@qxl(u-(U@|i)swSMelOGXaUUqwh^*utKMFz*Y}K8uRU(<(I=m9;-V2}Az_39zj~iPz&}e< zTa@Np&J3UUGS**DG=-N;7Yd*Wg>lVlYW(4 zlS;>1-g~wM(M%`K^p5irPhTLXd*P+>Vi#J)&!Xq-7XcY^FBvVdolk%xot*23B(?6O z=g5%?`8Oju?OI%_dUNFO5?U^5z8p#4svJ@i1F36Y4+-$vekujYZS8B{$nM_oRPya> zad-Rr{)F~rn-NXZ@%D9+1m;5gA}K{z2IW49tLi8_0DWD`#prL_8psFb6Gz(!@GnHs z?E#JwKAC9RrQRnOf?Qq?6=e}tD{c-k4xU-fwM^&cl(!h zHRpDV6DWCmAzVy;)w6Utjv)l%cE`P3SJUXGF(4YsG`exx>I3#aeSva)076(f#R+cX zzz_zmS3G=d$^5}Zl6f7ajaaaPYrTnSl}5}2KTE9L=VMLU6Rr>w2u;Jf#`cMZAFr!9 z!x3%634Kc{K8yujHD&`zdySnXo?SI&l%{;M#@-S7h`Om2;)c?j@_3&d!_9;=q-lj% z+m!NCS$K+#^~$Pg4dZY566qfAJgy_99N1qj;}8Plv^w1Iz-2~U|H@>7>ged3y<+^1 zy|W7>`XiiY{gN7O=IuS-l36(s-`j8^Pypd;bc7Z&Z-EL7u<}4SXv8aY*!iZ&rNRiU zjuecz!18g?8k5Jq)d|g3rN!y>6U6j57Mr z-{jz2n*_H7C2*}d)4Yu?$0J@Vc60h0zVcT8>nnT3s}o(}Pa86N@6QVy+^&P~>#O|- zBc%!8pW^h?xuwDi!7~8+=@~K|3guo2Hf+duPva#rwgARP@SyWT8Y&MU;1c)rS|^<=TEPv-+ALiiB17&f}KXZQYK`|E(bMdeN2;Cp+@Z0bVK8J6f$may}+vU%PM*nmFou+LB(4toTT|b?lr+UV>DsqHIMDCN( zRo}DI#reYbbROTzVR64H?qyQ1Cz+RaV1H@VNBg9O6z{8K^{qgWsrB_Gt3FXEGVWb^ z+E#rTaTrk$z*}nEzWEk0gj;v3Vgy`D;h{e^{CM9kNosW|tbUsk}(9xpe zV=|RU?0T3~;U(4ntLvWCdTuc&;F3#$>cFX}Rv*sO(wO?nT5{NmLux8++WOcfs%14* zUstf$htU(Kkgw`Ru4LGwO!yVV!p~W z^32#Z%bCC&bl|~tbo6OqMoj)p)vqKL_GX|-^~|HYaxz%9s+>}uKL&Vwf6dCY!b6uW zUv%PPgFyA)f0|kU1AXleSWc_5#V=j0Z2~btwVMu|axe)Sa~N<-eRMNia_}Gd=lWN@ zIjvIszu9_J{5rNF)lFwg_0b~Z2G@Tt?M6cmiuBR{6M7TBzbWW40KQLl{JU_Y{NkbF zuQErk`r_%UP&pxKSd;c8X)Y3m57(G>oz4a#^VodaEFCJfVIur48%iq`fNYq$+=V9Ht!5yQo~)DJC)(0{r9G zA$sG-*zb+M?Ld2PdToe>R{r8QTD5Y;>c_1;diByns-`?&Vvd#RKZ&6v)5a2$oK^d; z5Sd-V0XvIo!GH#I&Q0nkmUJjx3I2W%)IP156d!{QShMc2(IUGH>9b0;3WIg!si-bo zu7STt>8xTBuHo@v zsRORc_}Y`E`2Je14E|a-z~pc|4AXtx`)j!}{Ewc%p8<+h&qmnqBnQQr$4Kuq?@S2! zh3gS>PNEhMS$)LfJ&rV1n6j0^{?M{-2_LGnYq=aL@6_C0*9xxZ`dyQ2?XSAMS!@ltSz4^7I_x0YRbljs z4ndKq8AZpd_k2|(5|gpSdiC;^8WER1$gVNPI9g;cDjRzE(S%*I99gTDKAp1N|;>;(dF&;PP5nU0u-K zT7v9Vi26y;*mxR+HC=zC6Lv=@#EP}BCfyGGgf%rhqPMW7M|kmO56f!bpo@M&r8Pnsld1+M|1TvZ><^PFi=AG=1{yp3<8B|8;LqHtYMXJ*72c>!RM$ znz8&$^{pSC;K{>RrS0ur>Jn}iJIP#a*Y(!yN^Yw$?ffV$SKmYl(waKXm!y3pkx0%- zW2_1Nf3qvuUc!DDh2^T-gf-p&J_*tf+=8%Nv#EqNdlH0c)*4z{m#}7Jy;y=y?<1^P z*>{()OQW#d0TS}OHd#lT`W?S1$!mjLNS(6N5{5}ii zx`Z`V+)hpAiBVXmWle|trzSpE_Hbj<`IoB2@U0J2>=f3l>eoov6&=Fx{)&~Wmuu$; zoJsVM(Fq0TxfWAZS~H4&t_LfZM`^hxLxdo$IeqLctl2()Dq-L25LW3}cLwui+D$0xv4p*>T-XKN_!_sQ!1fNiX1SB4pB+ky77v`P%g^j`K7 zFRxZCERXp25@A=;kJM*&a`B)IbDi<_b?GyIfh~|4E4UmVDP?}7ZEK9K+Vf&3YxhSaa7W`o+}F9!18VIco22l8Jq zkpJZa`R_E4|8ocOKW!lY=M3b}82fbHhQ2`eCv;Zo+dI?g(uWul5=VHi33*$3!$AH2 zWuX2~9mt>Y@e0j-caC#d%||-Zn-hCQ-Z`gOH&7q?^C9i=%z^xmAISfW1NpBV$p0+^ z`5!fq|5-!%55#|$^m(4*=gBdCRCk?;nV)Yj#9tS|BM0hp#z6m^Jdpq259H5$a!5RD z|Kp(eXU;x^|1$^jUq6ulTL$t!awGFUZXo|x4%GkRf&A}1kiYKX2GuW{9frgMcVR>D zd2BNTpY6y)@HaMp?zxBPqyA|S{=$LwYJM@uKdcuF(VvYOL-4sb9fJSaf&A|~kU#UW z%S3aHFH1h4wR6D=;JN1= zz%9`dzN{5uopVe~@PC_T^;!`xKxSopLMr_ANi!AUHt;=dOL>dN$Db?9M}xtRNiB!^ z8nP0ncp0?eAAN>wfS(3`$Oist_z%$^f8N)v?zY5O1qT1z@<~FkxC8%~f&8C5kpF(V zYv2wAB_*#jq0c$xT}A=oq@EZ2kGS~kWUhPjl2dN@mb}xHhTV1%JNuv2JmaKH$ICxrqTf z)xn>m|C3%!sR;nv5V`C|Eq!iywgB`{_{ZpV1rEQt^Yr{Zd!DmX}Q9F11O7t?_W!32^goNPL;U{<#lxv3%}DXlnTVe=U3*tsnCT)g{|xER zFCXat=MIeL_~OX|z5B#7n^J@t@S*8S*vmtC;S^nXcFp`V6n}_6zdg{OFCXa7H3Q?5 zhX_OM9fJRO-S0d+#&e(fgWnL8Ywz4PuUh-4RcjxAj7lL*Q*C;Y_i zL^CEe%T%8}tCc5h#A=|Mh#^{Z8X7O6|JDPB;ndzqGQj`&K>nn4xR|`E0RZ-az}JqUFj6X-<_P#COuKuR=9B)ujt}y*Yr-x zLHIm-oW$R3x1YzGEOftvrX@cueK*ne*UI+!18moiNe8{ELf_38w3nJ&itU{~W>;JO zkHQ`D+)Vxn`aHChG4W~BdBT5(4#_XjVbU~-x}!}Am1AN;|H~DtyTn+Xw9NZ^)vxDo z)1Nj$?2~$t_&*`?S6xp^#lJiJNyxh1uy@ilIK>~ze-3}l0}cO=T^$Du#{Y`qVb7lN zN&7fj_-}~#I-N;Xu>Rh^aphx*)7!XqGilz&{;i!Hekvn9e^`vudrCL1O&SwuXIGXs z1pG;3!uzC;+7`G0U-?)vyAN^W+=V^pJfp{nKg;O9igG5|q5h<}tz?Kt0iQ{Br2Pvt zY2x=zdSA}rtL(xHCBSz|25-xckTScJ3KeZQ9*$Czoa^zQ)gSK&&@ZVO}$4Dl1Cd~eztGh{kEBxOk{_I_aaUcGd4B*fAftHECSaVEF z&lLU{1D3oMSH+Je%=x@fLN31cvGDM=GWd#Fa5*L>Ziip${4KgA&;Fnx_lEdq z2tI9p2tKiYbNPQ+xvZ6aX+h$H;={uKhloEZKJm|fI>duxGzlO7e|um&FgF)#j)@6< zn41fcV`2h-NdEx-sRQ^+fnvzLHu&+IPsK##ON8Rt1LAqU_@C~UI48*`vz9g2U$49Bi)>(2Tg&^(DlFt zh5n?POZ1=_^vFjq;0dot=6)RB(ycQwmpMrF`_VGyI&rzk_5i_p_~-HE5dQRq+`mc@j)@6fc98%768%4EiwwHlRQPcl!bJYG z#jlm~^#bHc_uh=BzJ4bOpuXs{gvT*4@u*NC*E{}c>kk>gAOB1muWj9DS!T_PcJqi* z-blQ%i8iw^-kW&@c2LH?$%DiFLLBoNaGls#*nqQBGRFsed(`R)w2i}lan6U5i?CuV z1RH0gzXh2IWV$b`_J}f*RtCuc)yj{8a z#m_N@qK0LLAKKvFE+Ycp^Z{ny1(a^tc*8&Op2}E+-22&IpdLMc`(7h`rF>F&T*~x^ zf2(Vk>xgUI0fn(ah0veQu@o(8p+;~{~~wXdNLB9kQi&-7wd(JyQ>5_U&q!Ihj1CaE4reE z{Px1POYoqKXUV;lTnkCSI_X(%z%&TLxsMSw^C!3!T+{t%Na)VHk4|3@PEU}Me|6eJ zj!R5;ofja&ijr=Hq&>El7UyLVfxLar1w0+|J#{v zU5!c55Es8@wpXLs8gpaByLA|C>PBtLtYNg?NZV@kl~Hf$UO+k~y35~2 zRLYG18=|}X&2J5HnQ<8teLr2f&Uu`QW1;3ZkucsgRqcQsuTxarAC#fodeWrDGjqLN(v3?&vnFV>>(>1 z#sY>a@X0q&Hfej?$B4B{t>JTsMNr`P?@c1c!#;V*pPoeArS_*L5p(B}N;b8vaa*X4 z*(_&|5wp7+neorQJAc`zrEgHKQcm#SfJj_N2~5Xn)zWqyBUzzR?_~ zT{fw%h0|JH7f&Kq&)G;mMA=H^JwFyGAp7KrnJ{(|Yce~gHuiFSX%calWLD-nCEL`| zRQGC6v>DDmdE$vSYbG_l&0Ufw+U!-P%qZNr>Wl`4b(?{)FAzL8rZ(N+KVmueN{R{y&GAk_y)@EYG^^{oeul;ODk_@k^EFPkiH-lKc1vUCo9z ze0xOUaiOvK18`zx5+7eLo>#lvlB35p8RSFZx*aGyy_HiwUQgZnRWxmSdVJ5T55C5U ziSgtVzZ;@HYHD@Z23D?GL+h?Y4P`_!O(ws18&}B{wgzYCrtW5;hm#?-naz&oKu)h%HdJbkO=#dENLuiUb7Iqat$^V;y-z?N_vt!Gp|H5$LM$X zC{f%?|2XX2XgKH4-P~w<;}uzi@Yc233OIaTTW*9vODseXay#EtIoq|mWKnHgH!I0M zp#asIR3}{*&rNj=pPJs3Yw%9*2oNC&>TlVC4a zeyYyT)3u9~pDftB1w;O>$`4R(Ek?96AJ=(K|LY5OkEkp9=8jwIy05n!&f8@>csx*K zI}h8lZl|2}1Ge3cKj=cRNq8U6tQcIlPfU4CQo$|08t$W6BRx&d(u;Rs0SOy1!q?3Y|Y!`KOhy zP<{*LuT{Q}@~7&sdLmxmp~K5MT-V<%*n^ettOFZ3z~LVKy*gIv{3zu=P>!GOs@!;@ zwzbF$g@Z461@w=7k`8Tv9!SIPc=%cWmdLizR<#l+D=;(*wIqA4m zIINLgrrdO<-y=Tlob7n=5q&{Fbg>TfKHuRO?xxPM6PeV_MauC5$G_@$vyPFjFI4_X zIQp$ zp}72xa_qTK*Zxk&87lvya$=ct9@)YV8@{0&9{17#-=#WdoM!C7ju(mFHqwVW+eycd z1iORstCing`OlQ!Qu#9B@LkXQD!2GNRB-G!|IqHt|9{XmbfA72>mH~BJH8~G19VVl z#;0%2JmYTSaY*Dv-~XjphPNH&YxtfmebMWwy8cQXT$>aF&^=#xuTlPC9rT%Z)cI4C z@22Cm^25`_7kU3500960cmZ@$J!lj`6n>M$Bxg)=x#SuNc!gMKiVG+jP2~1x_IBZJ z_ne&&1z{8mi&&|U)*_vSl0b4`3VR!EMC34tU?GUwSXf!bXeZhVes7drH^GJXzMc2J zH}7w%B&b0|ThG@o1E>N?o3V+dZbhj!GS=!6JE#vbL0f{TTl1iajzKQymT?zjFO1*sIReSKUefM3I&xdmDA`1jQl-qY z-#9%6u2t54a!EWs8>o2#ZO03vSXv&BZ-iRV*Y7TOCx98(PD`r@gZy*vE0D+NAvoJR z-+l}NxwyQbly4U$x&dpo_xjBl;ADZ3bTAB^C^1TPINUGa2LN<8E9HI_PXUo49xJ#D2@+d)*ff%%u<@@I5HMolz z{2&m+)_?TQ?g=0M@xm3@hAW1MU+c=(4{i-u%z*@fRAS0@@#JH;3C5l~_@#;i6vFZB z!Ta6Yn0Il)33lA(IO1Il*k^mMF}J-svX>cU%o6&j(llabKRx2rWIM^8rg5EAir)YL G0RR6Mc0*wR diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index f0e82002f0953533b7745f47429bcf4089e42ed4..b6aa653924295e1c4d03b62fed494eca270dc3fb 100755 GIT binary patch delta 4308 zcmZ{nWmMD+x5Z@uN$DXONbTKc(~tL)Xw!;!pxZ4<#KEN+XSQ zm%@GSeeV0^u657a>-_fqy3eN*%YMg^@En*_zxO{QPW~?!K>zZN;$QmW>{@EaS(#Eg z^8|JZQ?Vyj6|`1SaZ;THEQn8Z{r*}KASUP&kbM#NjUs+%d0UEe*ZVt4vw)C-ir=it zfry0eRj3jnj(KQ;fkAgnl>STH6&@uhE+i22NpGsM$qQH-QoY5SN!`%g^ZD(0P-)rV zvM|bQi}6?=U}lc*1-7m47W_)bFUgO{g9#L*qC&$Ne3{pyO&>k9+WR=kJo=pMN-{(|{IK%e=`ooES!-yV<-ley8qW@9?fSSw4kPv! z*n{c~DyN7n>14jNnVorY%UX)_`XjuiwdK49O+R1LfRUIhmX&jdJC$42|I#heo^R#b z{}>R!OWp8gXnOCPqA1&bnWDhM#U$Hv#TFjG7g{{B8T@4mvo-#%(c*!+=ChtY?6&+> zQE<6j>Q+~~gYeN#PN|=Bm1QLwKDMk3Ji76^P%&n$CHA{K)K96Qpq}vP|B!nYF*6sA zsiUurd17&N+hunW`TENn*En+_)&?Ol>N{f?{Y>6^X3EyDD7Gd4*XMz;#_C ziV#_>9Wp??5f&xrR9(yj9Zsr=LLMLj{YNe2BtklvOg`H0d8uLYhYXxp@iklxl})i> zVSf0Y#4^OQ#2)w=Vbj;vSg9HoZqf<@OTm41&V6UI77ZAz zksrpnXw=jGaZg{pBYHoswlPg6hiv%4Il?-^;-Z!Bl{YQpZ*gWyP<3EWJIl@POq~GK6ZLhVK9}#s zGs+S=i3KEk-#^~(&zc54!TKZT2Ko!v*P?Z+s+J=!hy%3)KE8aAaQX$D`jZ$^59-%p zhxj2v)}%qmO+q|09L1@3SiT{>f`=}6#YR&X+Y@a3YpLej-8m)Lp`F~0vg32KykHTn zc0a(b=kYl4N?@C#>j+Q^igDasoA=JEJxd;Ode^M(13$HjN1?|_w7M!ay?4o0^ z)UwR<7WuN=svqWvnq<9f<>PKf zRi2TTN#Bp7#Wh?;4EVVMf7biedSDHHjDc5@&T3vnm`C_U>;kwJw_Q*&TTL+XIHy#a zKym`r(iW^-*!DYu(p`6iAoW3>jvUN^gK#c$k&G_f$(mH;@^S4WSV!7wPKlo+0m8N< zJgx-aJ^=lL;r;E}eDQGq5WG6#k)PafacMnDvLA0z^n?lTJ&chW7FRB0M1-HCd&XyYVD@xYzsALtrUeaE+=hjH0iAh|z|xaFow+uF+t9+{b-4($Jj(h$X?ILoyz$Ru zB>~x#Dhv*%i(}QzuZ6n3m8-^{Z5@5_{WWMwMrw}ReAzcWsdqAAqg`AS*O4b#s1o~p zE<_v%NA~>;MyEGj)!VyvVjg^uX_&uD5@IiT5AujjBNg(K-P3@qFzPL!ga3f_wd+rm zAUYA~r;L0c=~6z%(Uu)1yskhjpztk>t3lhUFx;b|+X|zHz$ zE<@TY59s|_!eLODUyTuWim_u)-z>;-;qz?WSedtg>3Oo`3Otdb^NJ9Z@GAmeY!a{) z;>Xgnu#4!riHkA7s@*uCndv&}%R+jzB_1&6qIqZLN792D{f_EdC|pKrc3!gqKSMR7 zkV&_>G0`n?oUZcC8n^_6r^}W5ZjaABd(@-LcrI3t9<=& zJZS;XzLYh9^yNEJ*#rd7EUHf)TMuX4S7)zLD@pZ|uU?M!(;xEdv`nbR-!l4HT?TgD zR#IimqUrDEb#0Erp}BgSk~QZL8>7{g?WkPvRBMMtu|~?Qg%HnqMRBRxRo96#rjdSii+3cFBp^c6{D!s-C&9w` zGLpAn6{)5tiY3J*_rEF9k>PY$3?n6QsEdu_b64AGPSH`xxN*e96J@+EjB)!|+3%j& z90gJQT<3z38{dzyaMlq>2d=vBPc1952`~uv_`c)-i<=dxfHyuorPHMu5Y1t~?}UgW zLX0NE!Q{#;_S}V@8YUljeqt*#3aK`uP_w*Uj+rbH>cyc@EJ0yU&lnz8B6L0QvF99v zh2Hh=V44`+@EFI?82)>_+umCiaATPY-@dRe4F4ruIa0j|o~b(m)IJAAx-7z3np}+Z z_JT>&ZzjM$s1e)VW%$J%wXuTVYO@s9k48kTd_(DX3$%s4c1rf!kn+6~&*KZ7h|hlc zR9l$X+S41@$h3^(`Ws5m7)tM)Kmq+rv z=|`Clc0Z&p_j!}2B$tqykA_6A(Ljf0&*OOpPl|ALZtM^0x?fxF@f)nA1C+Ej2+jbl zK|I8@SSzPslHzxyK3I^E>pK#{DY&Cuj)Hy7URT+~bo?`t#;ay~7SbP7+l&2C!TM)%e?JkUya6s2hU977 zi0_wA%CC=M6@y*zy4-sp_NP>88X&telD`s^UW(9}G!M#{XZ+yY7Gt*m|JOR(&-bcb|---sm#stqoR25!4U3nRnQX( zDyC2hW)KHA-cx}PTM?44z)yeP-jM|n*6UD*3czZn(cdk7^HF*CSNcn!aEavIRtf!G z&$IlHcT7D=p@ZGAD2>TK+Zd_UsZ(;z#;~FxZNO%46m@woqfr0IRQM{9hfYp8eRyeM zQ{y^Et@FW#&0X5T8_^dxv%$Z)4o;EnzC`y;w!vlB%>$o& z+`B6{CVoGcD~(!w--X6K;$M2RlJl_fLrimPCiP?D<{&PYBQ+jAg^j_$1?u(_yj%TT z1vM&y+!MGaOW-$c!e5j<9=}dSdC{%%K;s&=lL; z75$hkYpHqO#hnUn7Jd!z+Qk#Im z5zTTC35DXh^-o1L9ypLEwjsG&QMdEn1LG;Fv)g{AQGUx|L89;8elQx4ZF7Xo&Ajw< z;Xes6^=vf!GoEG`)Drtqru-6#2KH=BRgPfjjl)WjQ&ZRoPta!D0qe1!sY)obRZz@Y zH!>jdpAHZ(XQ>+nWAAOJ*>HUqN(K20XY0myZJ6&5B_xGx7iAP%r7~P3b7#|a8$NAj zG0*8vwY4uuUt>4U-@f*Du?wh~3G`U)94We*oIbZ39<&_Q$=qwf1eN&#?shj;FRlFR zGyyrk;F^UN#T}NQ-Et<`?+SrehfZHTAhIofzIPuGYY>HN7kKPYzfAOz?#dIJHuSiZ z*1^|__2Z1%!auv)%F=SOQl3b?eTDslXUe<1L zLo|mkMkYA1E#|kAEnt9o7Bcc*jem)bXkl;qYzt#+-~MU}nr~$k+E*vC%G4|v`jOw!z#v(zTUJ?Dx`-*B>{-z&*Qe`BmuzeGyEq$d81 zUVNSb3TC|qM9LOdY>W|fTbkspZwzxm6(1COE@=M#^X}f=`QiXpMxxrR$`q)HQei)) z)%=Yz4oG6ePu?edgxE8ygD00R9~Y7?tE32->S}eGiYVR+)IHbWDKerkBGk-hH+v(v zC0tcgLydJk58TLrI;I`mI6A)e4m{qHrTlwcE%h-xF#W;mTP*^x2@}1;bM8{IX(jy2 zq_lQwb(;>eEDbv#AL*VsGg&G%aZ84Bj@r}qb;Qvk6 zIy^z&++R{4O#hxw3!-vtIBftgihsnUB@HsWhQEXZFBZLY zt;KDYD#cIT9AACtkl?TTCA4Sjl4L@zBzuE}{Pofnx93D~&G5i}fJ0FcC3h5GMy%mc zkz?o$T88h{rvTs!8FR>C)WDM=1<`m-H~EdOl;K>Hh%42(Nhi+RO7ddVUyGQBn_tI3 zRXO3hX(_svE2Y1CtLC|cn+VW%){A_38b5LbQpDeUZnAz7WpCa{aT~OxyX@<>%CEBL k!*N&E>e%o;$1}~6 z;d>2WliNVOV=Rdy;g=@QTFTGBXf^Wc8L$xDRLGR_cJVa;ZWBz-ryezT8M(c^7XGvI z?@fBM5cFa#!l-=qk`=R80!q3y!gEKaNBA-k->OO;(oOEcXS!8(5}7^BLk zU(u#Jt_za=XZ>7cyHrFwy_mnVhjTjp#kp}iR5i=yk=k9zQ8cE#eamsTG^lNsAi&SH zy)C5^ZgpN8_lv+Irn9XD{oCo?24;O)%=AXyC19v-ZO{G#f5|8DTsOu@0BKF%`RSa^ zno_H=;e)!6GR)hk$N39kHDqaIyCUIxoEm1%PCPYJ*6|YqCBiO!B8A_wTSL$~xD`8b5DrfgWP7~wUo@D%Tvz)8JR->}`^^_1IgwWKb%k!f+$ zmNDlBKP6-8Yvrc}OvagqcVeFdQDA*y7?3n%11WL`NAQFc*FD zg@kmr1_HR~z}+s_og_`-RsW%=EsyydLP`Ve!UV)nklK=NQev4>g?9wqMMjvNDXquh zv}Bv^Wc_i=yMeVs7>7X&Fq>W6i(UK)M%1ZT-?H9#OHc!q`>v~~yC}`S>ZJmr;$&TS zJG$aTZ7vi%7fSU13GG62{#K>G@@am93dpj2;#k7O%>ty#sl#$U9qRemOjWH0xwkif zf&cl)vaR)fB^J{XBA6!x;^M~;>^tZGB9uK!m98_e#qEZNt;b}Ld+O? zL-n*CF7~qcs;)|9uX;Lcx8;B0Khlq?6Ox(I*eT1Qa}poo`ga)qCc#v(GKmi()wt;K z&~SPD>c+%|`QUQgfNjDs{2o^T?9)d2K)o#`q$N5=(h()e7D%aciFyX=KO!II1*A1H zK2z*h>KE-_8eg82<#g;n@uc5iC!rxi5GVSB`f+yKeGo&e?*?WjVOdRP+e*g@urRA9 z|K9K6W=-hA-ExhNqIAkHp@XJx%QV$0xd~BGB+gg#ncublyTJc8zU*8*YJMQa|5fQ{ zDTOCzELV*$nkao3GutV2R!E$gSZh7QgGN+(=SF^(lUlDKk1JjBJ*-1F+WimpWe`P+$-&}t0laeUSEnH5@USsc;pW(J;v6m|<0T1D`6Gnt9l znBb?4Q?dbMUMta4c~3srmMhAJ zwQ6Mup+?NUk|Hwh=vw7i{Bt)aG~ywXVX-cTOC1_l9%%{;s*BicH<)YPM;J zVvApM@bQY2Xtfr-ulq(H$y|dLc;JZ`ww98Yc{HA1<7gQ)5Xiphn*o1AAqJs%nE!?5 zzz`Oo`B)KPbGjw*CImDhAOT65k|SL$lX2hrR$wV7&|8=*YMY+AYo!yBCH9OZfZ!OI zH5B6E6xj$2e=+s;9T;W}v8h~_;zW5W&<<6clMeXY$H#HDZ&W0Q8w7yzA+7hjW-_YNYyVIEbQi`gQ56{SBhz)<$a6Dqm5u*}g}M zt5H9g)HoyIjr7G&^PUskXw3%0n-$|!9;Jn;p9s!gsX5g@)_XCgHty;#t}9>7pwAL% zT)8jw_PuiHz#lWnpGht2APIABrZ*o2f4O$-5KhL|@x#E}C&^N$F%&YM6-70?_F@=y zHJcq&7lc3rqbreaOYpKBL>^YY9vW8r*DVBGTgq^RC*nDQQrZfk(I!I8L;fUaTYV9< zn(oH_=}0t1q4=B8a0_4;maE;j=`!3cK?3cHq4v_-mQ|>oMQ2xbMOQ?8M$c}_hSh0h zeBLokt6$cx!n9%vB3mOuo!I<3$sHLl z4)_`M8#4_>jVEA@k;g&UR7r`jtbmXv_t_`cmMNckC@U01Rwn&PB|Y4`9?|CzRduCk zKpQ{b3lyC21W;l*62R@)vfg^XqJ=V7x7M!&ILn=LiP|)-`7)YCXe!9I(WXm@A8&X! z$DyNtZR}HTc~0LW)*5XkDdY6X^!HvYNcdX4m43HvOFpd2Rq`my3gF!oNr!`gR_$~Q zHXth~0bRgcW-DRe)4FWkPzx0E{qau@d%SOPfu6=cEbEI**8mnNX{H@h(FD#NbVTgC z;#E28;}&#F&g-Aevjmgl$F0eOLS^-#ViF2k#C7`y*7?j%Tq2d#*pqt;4mcq=9Jy8T ztY8rFeV?`I(`X^E*fUd*KU7C(6uhcE@lcQqeC!0|lP3d9{l!Bvu)iaakB1E0{0ul4 zrvPL^#?RRtU=C{RTwo|68+Do}=gc2-kUo00yWf#&}z0Ixp`30>qT z`S`m`f>+ksX+!D_IU`a5)OgM?hQWR1yI?t}C$-#jJyqi_fC^9SydNJac73xKcci(( z*eS5Y^>AQ|gtySw(`u1%vzYB<@SQ+6DZ9-}v3O$mN8w{?fPsFFWGSHBU(p$ zmcqw2Lde_*;b9*%`OPYPswvdjFq#G>5%gGY?blL z3-u)XOPpaB2aR>Ki2u6Qfj2wczA1yTXVdzj7+sl$m0Vwxty~hY^v>>(`1cI6LpZ;M z#>Qb(+m~_Ao&2-F7aC=bDzNxJ1{!852O65RY(p<7@^LGJl1aNQxYzs=D{WZEA;ugW z7!NjhM)e%lW}*D*-LKjTe6z(oH;F3LW%O%;aE25nEap00gc=0Zk?@I6=@ZB_Q|l{0*JE$@3p)ojy(|ew;XfV{qkmKV-#2 zCm5?D6njpx7BPbxTC*pfs=;sYPIkv8;-N!~MzA*3F#LVHA8y64k=n>r=oAd^(r_M^ z7ctF914{P#I01V7jxB5y)cuc*-La2R(U~U=w;VW2YBc$X_^aWYcF>WA{g$+0gHG5L zPs3I#$508tOlf@~>u?wzs$GI#Oy04TTNV7cCDO5>S&f^<;1Cv2mM8&tri^Q;9=|uO zyGB2RbX}9?{cU?mk2{;87g<#=dh4LE8`uT3$Ruj*X~5alS(-@UB3a?e}fc&EMbIo8+vu2qBx?2DO*?*nPe1FI|RD6|UG!deyFYMK_)4 zBco(PTpGV9p@IyR!%MM-tr_;JAMHg1nm6}`FP`sc8seXDSGJE7)gjrw@;%=~8_96! zutW34zE@dn9p^xA{Da@#p7MS1L2Y{%%_&_MO@AGmvVuNZ2|ltN9TH<6IA2M@Ys;K}kG#}8 z0_MyO>1Q0=zomZA_CyKnrDiG15r1<^%Fz1GDB4V;1dbO-{zFYbaC-|QG|lRP0@Rs? zzZT5r7pdYMQw!)42_@513*4Ed-h+Oo!4$_Q5HQQTI!ybIu~SUZGD59S8mTOWNJME` zjmax!6zFb=L_|a&BNvl?+t%HoEeF@Ai!IFSOrkI&%`SV3ybZy)WjVhS1qRgIl3-zz znonaeT-ZT5JT%k)AJWuiQw}P<2dEa5iSB-*tgP0~3$@di3QgfR;&lNPR%OCy=B9}z zX$LA9a@PotO~i7QL_Hnn4Ns8O!^uqy9e4O|pG&76V*6K7M#sK+t=jZ2{7RMd_5f&fL(#GHNbMe)wiN1a{Vb>z$!nztmw2(Y>#4S}hOP z;%_M+yzRjyYTK!HUwxsOzF&h|ij3RV^;Q17d9b25NxoOzZZ)I5mx$qvh$_nKaGo)+ za2Y%|YL7I3o@69ze|J+Q^wm| diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index 18ac215..86a292a 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618161759471 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618161759502 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 11 13:22:38 2021 " "Processing started: Sun Apr 11 13:22:38 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618161759502 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618161759502 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618161759502 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618161760940 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618161760971 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618161761456 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 11 13:22:41 2021 " "Processing ended: Sun Apr 11 13:22:41 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618161761456 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618161761456 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618161761456 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618161761456 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618731925004 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731925004 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:45:24 2021 " "Processing started: Sun Apr 18 03:45:24 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731925004 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618731925004 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618731925004 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618731925194 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618731925204 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731925334 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:45:25 2021 " "Processing ended: Sun Apr 18 03:45:25 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731925334 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731925334 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731925334 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618731925334 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index 2abb38b4dbaff030294e5916de726b2373387313..e0520d477a4a8d044ef2fde7eccf90705f321c50 100755 GIT binary patch delta 1293 zcmV+o1@ijX3g`-uQ-8S(000000058#000000027y00000003wO00000004La>{i`x zBQ+3DfD_*!kN|;rm7^ScD?D|?bq4f(%cLGH*l2S ztT)YWE-AY501sI5ddBwrX6*U!UR_;X{fSh;>27=OB2%V-ix#dFK8eg`wp zA@oP7{|*}cR{(r~_q15b~6^`T(f~a-m zH31%tF@M6iz<=z^i@=q1lA0ii^)B^xTMx8Uy46pn=x!Ft*yz?lU=FiM>)>qXtUGME zY3Q|*%%qw5*=JLVg=U)gYpmk1yInxf?XHINP--ova&0)j4>44jyYeN5QRccp_p^{1NZ6RVsiO7{Ip6GVZSQursej{i+IIVU7|w8m8ka{uh)CM$ z*D+Y-mj4*TI7u6!ypSOcViTCz4cl3KUqnGz)CuN?-A8nEWZ{^%ezW|$7zU@q&XzN7 zJ5FzVvCF#E@^4@m*&<*k*!aHmMHU)*D8j5To{dEakVc_0~|nK!2g6R45UKIO`(NMC{Qxh~)iDYQq$Y5p`n`&Ynp{UZ$9TK^sTYNujw+f4XerDH2iTAfM92&haai5S*GKHM+69t1~KbU67N`~?B zWU|Wn;W&zoR5;>`Gklg>?Q3)k=_~m(ld(Ia#D6DqE^h#4@3$Qq9{$J>wc0iR?0L?A zhHpQ(2t0t~<}R=&ML={fwlTd~N00ZV%Qoi>x&0#l^EPyFto3(RMlaJJL9(~@rte^t?Z$vs<-WkoDoGM64qCCc!fXL%UVUjSCRr#Hjpe1u zD}OO!2$X&(VG7HTRDmD96=RAD-0*fa49?FBLZ4(6b0avRWlWza);DLm;0kqBvn z!!c~Y%1D?^I?3zzT-Uk0L_y!ogZib;z-dv|Qij!rONj71&NJ*o<9Ks&s!VQRW*vx| zWU(PHP|{sgA&!m*sl_SN-(l+7Q6s4WoPYZv1mPKb?)iE31@4wJ_@xe8ffsZ<=nr}{ z8h20cEx|t)(JkOBt`kTUTcPmaAB(8avo|x6dPq*{G@bcr9#7o=in?;%mg%qHnWMNd znrR~=I#tP3i70;r=5J6h6j4eeyv9W>ehVUF6*Le=H%xK8{i`x z8$}R50~OyOkN|;rmbU0z;Z{)O&1&K-28(Qw|x(0|oh#*;`Y=B>8IEpT2y z=+8?319SA>0Pq2p7XUQM<5;NKDhShz(|84+-yzKXCIlcD%ee#1A7ZR%md1q1RKEu9 zgYIa+yQ=LI5RF&ynX4~M_@D7F+=q1wyYN#?mo7Y%iB!T!pAN!EYWB?3)H-r8 z0gc9(2N;)_`+xF0^dy~RCQK8(N00Y*hB8a_&f)O(ushiCvdG^_bCc!fmw>gF3#}{- zHWn^=$Bfz(<|<;KW;A7a+pme6tQS*)j=OSY|~pAjb4cI8V9quld=9^?@< zk(&v1Gezw-wZGZxboO@J)Nwm)yZt>3LtK`|J<(4hmVcK0ItHuU(jQ|Or&%+S=Q5&x zVnQ>!Vmpf;h&YVOlECz!`!gLKSvaPxi!A*vhW_cGv+IuAuG{-|xyyRc(r;iG*?hMQ zHhy0QB99C`5>Z|n&&EE~k~C_PAuo-yFcKUHR*D^Y5(I0AAHnM<0yU8`p+pqntjm}Z ziBID&mVXa&sSVFicc`0)X!cwx@^i)XOWHV^PD;(y`R7YrEF(Na3K0r}4I8k=x|-b_ z06oYl_?cZ7r~W_6aA@?R)O$)g%@xukNEHlDf^eE60U5?G^2RFXH{vKUQsIa%bNDQd z^EJAK43vD9%fy>e8jv}YR{*p3+b#|6J!S~US%1*aUljCb`0j`2p%0M4+$Hv;3@EM! zWdv8IoHE_qq?BuT#9LfR_1>#<4!e=`;5kI|Bs3t=YWG|txNdP^ZN9TAdUgI0Bzqfg z`W9B%ZVYI3>I-nzNs?G|(3-V1Viz#;LQ7*Y$s?g?EH6w^bZM()Ot4J{2#B#zQ)#H0 zo_{r@8qul34#lr@H%#y&Fb7qWn}FW0g5HxC!k1nci-@*39HSam#&)9R%&#TXIx17P(I)7{pUeIxWzu%+LxO;kk1^$VMZvbC&oj{`4 z3Wc}*Sj45CyE7xHhvcMA%blO*$;A7wsH^5}b^aARbCfhkGi_u{rz)K)5f_iZ{0-_w zBF<=p*SM_3Z$V_N!Y0D#3KrKp{`DyyR9b0RLu;a6=O3mJDhlhB4=BH=<*$;JSUvv` zb6q_icMprY%4L=8?zb_x7p~j>uJiqEE(K_OLK3}=AiVQiK(zRW;c5Je5dos3KjCxF7n nK5o$<_rZ*D^Y?La4T8CjgJB0yZqm)8ARFOf12q5u00960!5Lui diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb index bf60bb47c3be61e5c866f5a38d75e0bb8719b97b..446edbcb31157a9aa18af06f67fc78d31fc5da2a 100755 GIT binary patch delta 3192 zcmV-;42Scg8PFM!TYrrV00000002|~00000007Vo00000004La)K_1O8^?M7&D`$Z zEEOGx)E!AFg)mF=NXid}AftdQG{PKB$vh#oR)<7?@=&bU)0r|9K@D1$J)DUlp}X?# zA=(qH$TkvS?|PBXdTNR!2>KA59V4hypuM^V669%PsKQA|4S%B#g=$FWek4zg8_0U^ z0JHNgclMj#{^s|6^YOFKKKm?(LE9#qk@^2|bcyQ7n_9OC~0J%59~omp!(`&xz)xsz6 z`%Zh<;iV3%_!-{MZD>5oj=Mlz*7t^3JX#vDny+jWLMrf_y&- ztGReUXQXIN6*iJ>KZp7|C1zT1l--5TruvW{k3GzWn60a59LN9a02W^a$}Zw$-Th-5 zNLixkO0@^pJ}t3ZvGQUq&ItX72@rR{al|7-m50#!|6(8T{$X~KwU{<9B5EcOmr^G` z)mOBv;D2qyf;6K1(goxTh(++>u!a3>=A9DPIm}F#s`!S(k-_xklf7jIn&}(=Yxj7U zW0qC+WOG?m11)IKH1_pgL!cZ4ZvP%}iowals04o< z_`%H(OCHRm(77tx<@S~MCC%Q{6^t;( zu+RtmcnpGfOBrY!5w{K|m zYMYtUw7=*?9iyM&-WkEw4-H;Uaob5)dI$8*Fu=azC_UQ_O^Mz+2`xPSbDBq~FsQTl zO5ANZwkk?ArT9fM#CGV%S-algcz-Wz&{|^p`(j%2y-(1)aK+ON&%Ujcdc_FWAQ8hY zX5LP;80Jaz%H`A7Ol~Bf>L#zJXSv>*5%K}l<{r*k9rK$Lm~VNs4M2VXoDZ0)0ROsN z*ZbM>+Aw<2sl7@6J2_49e9{8cey4Y`?Hsq`631=px_F6uTQET)xT!VF=}E zOT2eh1vf0b%EEwra_Tz+nWUM>uCiIC+mh)feF_D#!$W)(qM27_D8!{aeXv=+oT>OD zDSN?K2}QGH_$$h8$DSU;sDD|hWjgfJBfNd{bJ&-%V9mOYlbHO<0v0k3o8=~^!*6b! z(jLBFCq2;tGFMD@iZHXJr?-Ul5K@dYRjwc!0>1*n9lqwvs0A}(g?Eg|wBND-)SR593g$40x9yU2X*n@WKkcmpxdNZ}}ZaNfC zA5tc7oj*vWNKYe=&wuY@V;0I@=#6rd0sfB3Td}YrZ;j3-b;yCqxlqLBeyD?cKxY$H zcctf#K>VNpQ`0IM0WKL8kblRa%it3T`vfJ%;fnlEoNQ;Q-f3f#RM|?{CfOD_q=|R% zHt~M#8l6o63+w3)x|XH>3Ajyr`46VYyZbDAQl63Hl9k7=cz>fX8G|1&BVv(?Jgd@z zIqYaUSD6x=u!o2CVBw%;N4qQ>f%^Lbmgrm^U`IfpodjJzkA1VG*IScb+hY?==&hR_ zc@I;_P-e+kee9ipG~<66cAYYP+VhQNfw0Fz!amFsgx!CZz1?@(Ig~iHtAgq zS>7RL=DDM)-FVnp0_~$;6$c zWj&PXF6EsZ^zE!W`YM;dcdk-youCyRZSjg{^7gs^hOUm(aK~EhDN|gt>!&J}kH8JD z1!cxROj7-nj+ROg&n?QWCCF9ncCyuprrUmk-WM_g_a(7H0Z|~%v_<7(Knq)#YM+8> zz>SL*zJH0lO`q3n+vV&uRr5a0pw>%{+@hpP_735_fjaT7q0dpo45Wl}S!TX9;j@hB zHa$rvH~Um+mv%kCbxr$Dl@Gn9>FxJ!LxFY z&T>gv@n%DD9N7$2ihq&aOhV4_@b(QZ=a~9E-U;sWhVWGV zo|2Qea8C5<_cN`E>XghxV|RNqIk`$Xh%y-({QA9Q8NVh9c1t|Bw6>X5{FOuKCbev4 zR-S;}$$X6)rPuc;b!q7gKb1EDv$me%a?P^miymv()uL-9DzLAV+UZZt#MV_m{ad5) zB7Y|6y|rCDcgc|ftw5~xih8bT?DOs)*DFWz21STqSiv3{L3cI!BQcek!KGrj+7U&K2U7UeW=q;%|t{JS-sNPoh)JdTe9I!c+qBXz6(GQCfrPMX=w650hQ zT7lC2=5cZE88+ESK$fR)#t{b=XyS;eL8ec-T{B~^ygcUPa@{9ihKg7-4C}Ay+SAWx2{$RF4A8)=l!(XgP9ptgL2JR`k?z z;*v*8`w+)*UX4*!7Rb6z&^vRHYkw_J72L;7^nwpoBi?;c=Z@P8)=*ueT?pOnDyd(* z_K8p%N%*ZLte;5bpX1gy#3Ek+V-9oxHwgT%J05+Tv4b8P)E`v*x~-L5Jln7D6L{GIUZ%M<8&B4y;#6xE3fS5B>uc)4{gHzRR&grS`^wP4(v zT2n`lRba9?R(7j>Z;!{$?^AgTxrrfEWM(0RR8x^+|33 delta 3146 zcmV-Q47Kyn8KN1GTYp~+00000002|~00000005#400000004La)K^_>T*r0(W;pk9 z?n>O9U6Q->Pubb^a=8jxLr8!^F$!Upq8gHD%uCjish}_}8Hyr|R8S1VU=*XFvJmlTQZFkpt2hssA5GPPk+2Pt)`4p}vJJ(%kkR0#XG)`)Yup z*=?E~N&rJ^@z-MspqapGXA*ypW|jsN=&6$lvO#hRSWFsyx9G2zfMy@rfbYiptwWn= z7SXFo&e79K^?$PG_$rF@5*JO6vjx`#C5R=d<2|3g{I~iRsg{8a(95%s_m3+m7as$^ zK7+>m$Pu#<<@40SeYAh7U4^^m+kOa$r> z-|-m!#ui%R3co)hkqsc$v3JD(!lH&<06xZ)oT5v{XIPOapV0 zUv|?lWIx8PMSCEPu>0ddxKQwo0+xEP=#A-XiZ$|61EEnn!-%T#YnEU_&c2crql1Yd zqwM0hRDb5fVff2ap3UI}CbMMgaoBCK;Gr&Bz2JYbL5A8A5E4Ov`r&Lx&i!tN*BRDJ z)56qM>!@YtH!5z4;}7cC%OL%S8C2|}e8vI9rtBW4&B!4j?Z4s08A)Qwm+7i#v=6fUA$jd?{ zn)Jg110*P|7!RH~6P>0#6L}Lsw0ch6dX=+!>IJ)}5FCZ$TQ6i>E-`gXOLq>qMAl-^ zhr^!`c81{g*w!+As%S}~nVUg1K`tmxx!qAVbf-Cb;57p5JR{Fn3GER?I{~qu(!CmB z?SBQli=b_^gSV}=ed%Dge+KtWjn(G#{F@@1slOt{nn+rY^mvSP4D}u za`qw4=Oug!-vveSmAWu@y+CWZ1eUqJ`wkj^UQnNlJFTCx$a7=1DCgwmH(dxvBU?v3 z6;|HuGtJbw^9zK%z$|$-kq!AErDoU$OM2?Z8H;BnZ+^wfC7$_R*r(^*Z!j!Iet&+| zn$-)TUh;6iM>r4Z*j+RBwOYP)Rpa%7tW8?Gl%8s(*p2_9os&#coe8us3_PGW#Bo0y zNF~0vt{S#nH#Wx{HA1ri_7O%=3UO%~yQw}_O^n%`3>Gu^aV|u4BZ^W9zR2CtI7xBo zjpcfqBah}gN^rLuxH;>qg*>ppZ-4A#?SYm~4|%*^j7~5y^gMlD@np=}UQ59kE zxsFQ0yVx${R5VzJ&0fbIqF-r-Wc_P) zm{>B)gDjuDD~wG-$hD!^fj0iO@~OT>noY9P`v$GyH!CX%W4rA{HO*Qn?tcZqS_-qd0X5{zY1d<#70n-p0OA!wO@+o*cQd z!kITlFK14Wcyr=U9k4NGU4M4&!LVgt)|E6J*d^}hH6g#kl>92wCmHVc$jjf1Lwtyx zuGUVR1^kNzN%Hn-G2}Rp09~5gBD-z zHR8BPN4*$7Y4L6mYRn)i$&rgN0a+rLvGC4Q0d~60*2NmLNsc9=RnG8_%3LO)F7?N8 z=REIubW1!H=fwD%W_DEw{!;?b1w1zbboE{T60tsx`J?&HhDNWvuUX)SST(43>$MfC zEZ0P0o_qZk5FA_Hkbj+LGi#+y>=T1hoIO;Rogsmx4DJzz17%DHFqLt-Z%79SdovgK z)u9_YCqp{_t!Yd>i_5hO%33ApAmBi|H&Ye9Z>dK9RaE7CmFRe43auj}GjEHGJ>uX5 zqkSYX{@+MPkK?XsaUf6Jv`-9zMGS6BqD9Q9!Vb}CAMk8I-+vv1(Y?TN!cI{+Nq0jL zcFgEq7soEfRvGH_pE?H~&)RjS`JH)DrQ`hXpqmuUNwIN&^=3b&_Q%#+!+E(}SIq)$ zPW246h-c3uutMMt!d91NCt-;1?2A-FV|g@on~~U6;av*yJpZ=iS?nEUx3mQzctpqnykV{Ja z{DL$G!0Iy$OAe);Y(JXs>7l@Ghq}-=ZOPqtndxiE^!L2&m@}QT3au#)TK`dR5OXH# z6(c7x@_&y-gv6QcB=t>kjU=*l5_^cf0=)m?XypL4JE``~9c00lFWcHMulsJhM)EqS zMrNLZ6A(2sOxA_4owMq7&MJ@3YAhYoZS_#!qKWMeutFS5pK+dM_|F{JN^&F`7W_Hb zwd^*&uhF`u@yB&Rk*1x_y;;M5uU_F6b|0V~Q-6F+X(mfC=)-|j;!JDOc*}XbpYm!y zgxx7+WHnK)CsA2kn`mCpQI%4Y3eT1MVM?3ZFJ)AdI+&$3X1VhI!H8xe!xn3+ZKIFI z9{zQM++y2bhpyJAvsxpVF5Ws@z)4nCQaI&|Q%WLnaGWRTRL=qT-jP`01-Q5t0J}L^ zcz-DD=lU5+!HK;($VZO+TGx}f1L8>#i@)4>Q$I0@5H}wT6F$k*fn(BMs ztzJQOhW}H$&cyW{7vYl^xDs~^o$yoKD}NN!t7E9LsrP@0+8)LI#XeDG12)ySy_gti zQ4)EL+KWu^6y^hZ?WTbh#n04t-nCl!605a2@@T$ap1jfim3O{qSD5sQE?ovs6#8Iq zk51RWE9?o5o9CsfvVu)sH`I>rr9^hcb?kSAnc?Bh3sRkAIyeOVTkNMO2&oE|3xA<- z!D)RbRi3Fj8m^^CGuw@TICCR|k)0wnkZiVEGdGl^+7G`6IIC_^%S7IgMH2GuQ|ahi z@w@?{YihAy=~qunoVnoUy=jnF}Ket2|g41W0`G1U6^7_7}?Zi4t&k({(o8g@!Z+O({qeQdXIQ0qP0-`YdgI9Ck%4M*7TlZvNN;UFy?}pJ1owvghnB-889@=#tBBQ{gTcZFRu+ zLNk{=wz`Xf>{aj&g}Egm3;Of@J9RMBzwb<%sRLuzauRzQe%2s4!CN`zTYp-ko~3$a z!*l)EZ{qu6wWNseCP3m&_ByXJZ0AgQzfp*xKQ8Vn&4c>r2S>W_0~DNvO)PN?krxTe z1;Qcv;>+~J_obTQEoJW=`8w@HV}dK%St=nxB`2UQ6gN=o6AvV(fIN(OOZ)Y+s4W(3 zlg{JY$H^{&D8d+ZYQ!bl7$gDS4Zy5xtmlAW+;5U!Zy#rfd$@k!e*pjh|NnRaVq#!q k;9--M3?UlJ0Ll0n8hIcQULZzN8VnL+Py=EB00030|I4u++W-In diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb index 71781ab14aec5d73b30148f765bde939e9a5b440..ff3967e4ebe33c442bc6cfe7af976a35077a590f 100755 GIT binary patch literal 62123 zcmeEs^-~*6)NWha(jw(;ad&s8SlZ%l#T|;fdnhgL?vUaXcPWw<3c-WB2MBJ#LISzH z_xmUA58v#}p4mCGdv?$4p4oYx=k1dxPik?W{_DN|wNL-7o{guMgPSWIzaS4E4?o=} z9|z|zbOM3`bbKPb`~tiJeEfoRIyRoZ4%RkwnwHj1bo_KW%5?fRR&;V-9K8R{{1)`$ z-!f00c%S`0^Mw25|Jdrw|FQm0k9)B5nRJPT*K1xeD1D>z2-DSKf-_5d%D+gVVvgIP z^RW2%;lpz##diixU+JDPuzh^ag!4+#Hd=RpXu%&dcXz~@+zn7gNsh!t4-U2$3l^okP(B=!Ld-*yWn`JmHnOK3PEElkZ@p& zP;GtDVOk!BCDaWHshMb-KTUE08LzYhSB(l>;+AX7wLt0*F8SA6cLEf>>u0D&`TEM9 zO3)m#Heq-EW^~!mEhP5dI4_~ydS%%^)MQ@t%o?(~3>nOv;y#2!?jEXo$urkWx zBZmilSD@|lwkzEQkb-^v)+K+91;iyPU_;$S9(unxzX137=X`8w*3bo%EF`$_r~sV> z(uYm;pdJOw@vfow8B^p{_Z;N0cSLgu1O@8WBmVBHkL*VhllSVEJCY|I@d}|1yD$lA zW6Jh|JNStiNr|P8jkhHJkzlVebY_N+X5OsD22*_B&2t7%iu1q!6%1-|30}{nH8wwT z^E3pb7w?xuXh934t?-uGB$wJA`%&qK1AU>kJRdW4u$w^ko8Ixm8jZR6!R7heTOS}O z#NRnYqLui%)gvIUzFh=bQMtCT8*;MPHlGF2vs)<^sXjUrsn~_=y68fXi``&1I8e9i z`b-1dByDpozv6~H-LCjpb>Yl=r1RPQGkll&@Zt5i+ou^($;em@R`K5Pvpl?lHms8~ z2YlO_3c=5)PDvy`(xAYl+W)rQoVehzCCCg2ws)?$QFp;A)1AeH7EO5X%-=v1>Vj)3 zvfA;(A1wwcK>6}O=ZE{|6QMR8W7cJniME3Krtw=CumZ+m6wpoJP;dQ|pXsry@TEjd8VpKdchPCxD;IA7PCxQP)sh~~b^~TbJ?Q^J* z1@cF5>;Pu;24kMSW>se|G1X%3C`2-Mvclf*kh?ZA;Hw<$FR?iCdGP|Gb412uwdKFD zzHvd=b&=)(%DW)Pu%srY3_*0vLiK}usY-&nu_ySC0n~nX36K8J|-k>ip zBD01>72PNllF;U!SC<#Z0F`-gy9|Z_IJ>mN3f6xq27D=6c%S3}^XiV<0r68}Zk|Ab z3L?LgfK>TH*r3)}Kj03Q_AL;<#_OxQ7OWM6`x5h0TC9|)n*vH&mt$To_poiMntp z!_#mQ1p*}@1_x(%yiULG2D?D!5NtPSXu}1<1b`kr=SQROt=JGR4)S=D?RshG)@zzm zSE?P+`h3Z>oKzUviqIwhnJnw~w1!2JNnc>Kyr`Yav|h;Q@={g=0={$SkJ-@)akd`5 zZuM8JO?Y|WRg(a{F6-9t`=MVBt`NnxHz<;d^2wt0SU5ITL<0<60v zxa{|88@IX(xHorcdm~xM4g$~?Nv{K{Ebm{fr5^)dC9LA^vb_4^{0Z9NSMuKff(%kN&X1E{l@o(IR(g7>~@{(=zSg>1} zA|O=S3E4j?x`^Dc*NPNC8PP6(7cjC6E#x(lSn0;-PYH%3n7!?NMCU#{1nbO#7I3>I z*J*4n$uO&-4uCV>0EvsCYi6*(quk}*Bcc=m3L8-g_J8Obxr?V&?M?;Ps0+MCd7EHFdxd(hp* z_mp-3GVJ1C8YT+|K@eb%0h8M)0n~SL;n)d1CQ@iVcmLj;0Dpet0U4kbyoXFfLU+nS zBx-MC&qq#<7D8Pf|ijcsTTkLt4sc_#po*swWsf(q#?Y$vz}x{9og z>))Ags8xYQ-H(q?kjP+F=SyS|--Y}G`hMwL=z%@ywmob)@2VTTb0KpN1aeJ_f}l~; zw6S+cWX%2jwR_5g8mo80QBN_7m`1}5x=I^^4GvQ@;=&rpdx+^IZmyutt1+Wlu>%PJ zSp*?)xXOR+^DXOkzc^=r!~wzH{h-Bei8L@|26VUY9om0v`KX9or<6r|c0;3V z?t*}5SHZ}E)LYiaEBy^{nzY;lc3RSXwMJ_18b}P-aJ%u+OB#Cp5!kC7AXz9)yLWdB z0mqZ@lkNLYLw6aaN|ey|X&O`9nUZGg8dLWl)>gJb=y*|7`hj)G;%Pkr339{Yc)=XQ zAFv3fyijpA@a!H2E1L6eSRf?$+qG?IM`qZ;>B#o+6e_?X(!Bv`7d*H^rHFh$%8;8y z+NDU1`yLBQ!%%{>c@`tp&nC3$3X~lD2R~4+wpf)P0j`rRC}^B! zFRcYTz<|C@TKe8vBUF0uLPHE)HJEmLx8r1t<9q2l*fU<2E>KM8I(Zp@y|B=0fl+_2qOfQ z3&Q@z&7uVuJpLV14!vkb4?hB>Rzd#c#|WnH1EUQk6AyC>gFDC;=k9B}u3f83!Qh&L zt9wDP7nh0_ka7&kg(SGS*9kp7xZXwV=vAGU1b;W&@CXjw5e&dHr?Jj;C2?}ov#8La zUa>!t-vry{*ee}N3)qPl_E#yTqg21h(SFnae0C(Z{w)>v&8c7`YA3OOFi!Tl&G#0E zPO+k@Cj5b&)}#m$bNgXt5<~l)zPMc7g%2k&cjwtXHNET4{!6EbqKH~1_(h7H8Rt0G z6%|kbJEYQw7zcIFbyiZRiR;gH7EchbCB=!8`p_c87v?(aCVWmj^x?(6s)r_8@K|wu zZvfAs$!y5jk%>P1m-s>}#Izu8ng6GkTmk2y$<#wfrI*$%f4!G!!O@|~0*#*!k0YdX zg4n07(o60ZRy-lM2I-#g@u{o!G7Vb&-=P1S-v7Nw{~taXh5%DWcNBB*I<=@P6+n_E z5-PC>w<9xBXlUY(`s5?+JT*zkd2!Z~1i4n7whzxJccvQoWj1*ydy-ZRzAy?JM-{^B1h1(5LM+HQMJshSLHEEfX~^f3(n{TF|NZI``{-^N$$CmSetHJvH{r4 z!l$#7Ob@0k><&!{>(<)MiOc}?)&szB_CSc#Er!MhT~&SRk($dy`!nQ|Ra@ZB1(ruK zET|wj7$|-E-9tjIlUMgFr$J6G=?x|J2kb$(*npc>aIss*$Up_H#?d(fG*mWa5lmvZ zpU)*d4ASQ8g-IV7gxh#+(89GW=dFTW2^H?{R(pPb;#JXZPWGVUP*QX|U>lCw{(HAN zuhe%}YNvtqV=?7oSaK+2pETTj(cq*8`{&|B$aaF7B5?BSUhPRAr!!uEQ+9bIOjCZK zRSS|pSV9I~5mWn#pW8P@%c?fYWU6f|tfZ7U{iaM!k8WyEyh%xS_Lu?{qNJ6gFrGR2 z_HUo$rK3Fl%N7HB&lcm^csUfdqr%JAUl|htC4GI^3OObEEmPP>d}ViOK&?m+n4uq< z5%6jWpZPR?1SChz#gVfm>P^!p_94nWbM%}>39(x%pkxRoupYQ!WJHf9)fO6y=kDT} zLm&8mEZ7^E*^K9=rnfQaevY`^On1&p?#&$w)Y>L1!Qq*afzsO<(d0FVkZ4Qt#8tt% zNOW1)cW?Fy`WuVZL`g$bi?l8)jmX7;F9Jh!&BiP56}1G(4_jUFoQCxGufJBZYN-UI zEUxYsSFv$qE_@YOU5C1-?NZ8QB#;rKWXXXnxL%P4RdgIQn&`~hz>0U;dvP+{>=D5d zBm3|sWI<^QuC@PbCFMM#ahs&7g2_D*8QsN*x^LR$2fn70-e1yx2j^fT-m7sx!ee@3 zVH+svu3ZQPg=m4m6o_%}N`s{8lzmsrNACDR{&xE%o6;D+IWl1cj zjGU&}&%SRnqo3roUQhM;#*Q>qN(4NUrS?j)cp9rCG!ciL*17y1hYL-Of1xFZj`FNi zI%4_KWk7~8_eK{GR^4eIX9 z&Rz0a$sEyaVx>11(=EUCo4Wt_9_p5fqWmAKdpyMt#u2d#^it0WcH|xQ3vM}k6^Ctw zK~F0(OKr&AA*zIFu9ZSXHN#nLyLBVD)8Q1+*8Y0ABmu%vIbVPvpPRP_iM{E10d4b) z6{kqr+}R6y2CVbz z{^hAXTh>=hl2Iu1TWsxWBA&**yl?zH5In4>xJhQ_!I@uNIRv$2@7}UPtu{5Rx0wmf zXKeMEgm6g+1q$V&2s$eN)0LO!TF>hCiSPQA;ToT~%e>+7-T#N|yIYJQ-Ee}%sC*ie z?_U7hC@;Xf>=qpp10|g%k=y{ zu2>V1YrnXDD8z5_M!U;0*LWVbr`USp+jo@^z4wirl_vF9?H5Vb75KdLU=v{lf`N7a zzlTze=^3pn?#u>HqCwCmTI1C<*w%*$%~gu+=j5v zR+wpPL>ato~LBYkEaedI9zB zpr`H%_)hPI<^2b)c&FoVFQ1Uy8#YH;#!zx&0buPLxMgW5_hV!Fl(}|C=9FEZ5>f1~ z@kjfN@gaTN&KU9B9%rYkPU_IEgj6P74{^yGL75%jE(2fV`aW0a*5!|3wF2jj`!UDQ}yA+!tLZH7I)v^2uYrm9{hP|~_;{hhEZ&dcA* zrZcFdCQS@_cmmI>2b#JL#m#E!#?)Q$#L0A(n334!*?QPE4sh3lcW$6b%w`9Ui&>?FU@;e9A~ZaVvwSqRb#^|2|9f|=Gy8}~ zsX3C|UHZ3QlbVmK`{&JDvGc2l4|fTYnv{t#a{b$e0?_2Mguvd)d4gU=eZ3^*$H_$$ zXUxjr8MBL=T8}a4wYAN!XIX_OA31+qHN6FR<7}vsnyBb+q4d94cXg>H7D{{LmSU>9 zxo#Ppz@PVc`(5cx?ko<%saMY87T2gTqCw5{B<@aTaTaoc($4FP^Y+27&MuljOwP`0 z<{~<3T4Cdv%nJ!>w?U=GIj5s|inrdTZD(BTR+DaJ?hv&Kf7OnqwN8SqBDOG$`b*od zjQ6vyu@JM_AQQE-gN*lswjIVOkG@(i8rC$ybQ`p#BfL{IPT_YQMQP>)W*EF*g`>bc zgI0SKVH7I5iLDxh5>@*>w2SMJBa?B6T+_q?Y6@RD7io)uK8Ak^-BFH2C6@%SI#3|3 z$$8pIH$S1sq)Qh-+L5^W^0>0kNO4Hb;O}2fGa{dhXc{^9g)pa{GOZp<|9rkTX|PE@ zF2>?I3~$$T>fL5?wa3BsBvaO+B3$6~=FaFKud89@sl>sRSjDSW=X`a^!BN0)R42Bn z(3gO1g8iSUa#M`^`_&X`?s|*4c}I{rjM=@}FL5Qcal^(D>H!;xH}Ui8Am>@%IHvGE zbu<1%v3=DvxFXEgWZeU)W4DSbQ16f;gD{8OefBE(gPcZDG8aC5I+!>nHlm$>lBa>> z)=Tkt^Y%w)`5rx_a!ufkf!y;kG2Lb)B^5byULF*NaP!)ME5)-Vhe)HY01@NTId}L(C{0vB;Ktt;VRH4Vc6hIr3Ym^MkFZTKCJ<+iBDT ziLm>mQku8!^67udKUl&f9XH!2uR)H`5?i9H$WS3rv1QwzpSX?o=M#~&df?(!1@q^B z7AK@PNg7@U4e7J{X`uq&HQP%*Jg!{YY>z1RvrM;L!`vb`k3w8YBA*IbU34_#TeXf8 z!M;n_#UL1?$`}jT9dEsQRa++M$99U_Ud2e|m&=_1(#!L{8)%_O74f-3IU1g)n9!a# z763O>R^^v&oSZ*z&Eb!V%SO;flR^lUF53gatrrDtwhhGwlJu8fLBj8+phJJyC`2Vr zTTcGQunh*erg-Ys9KU7uHyXR_4ruWFd+5lUn=?h^TOXZKw)2z;D^iL1CN@B z2V1Nhx2y^jy;pvq6>Eh*X9KfSp;YrK;{A6Wqqg^06@+lm%p_p@JPi7gb36$ z#I`7~G@m3r$;CHYn4=;)tsR;o5WAPVtYr@#-PtIT1%B4abkE#}#$st;uzwA@g9k$s z1rvr@9m5f+8|Q_ZRDh6y9865HITLO37+4l`x2#k5H>UHA+IR--t~IsDKjckRX&c-+ z*bFSv(0*xwQgCcH8M#rKoDeyMvOm;3$SuOzrJypM@tEVwq6#UPTjtxDj5LLT69;>*+_;xBwxKq0t1G^#!g_D>8qeFz3}cU-(V^bE1Ge&Q zhQ6(}a~{TeA9zX9*6%%RO(bdl{eox4zQpEZNli^r&XRzEOm08%RDOTS=F$_b) z15O1?nTCxD5O?Gohm9^{_1VaYW5sTzCK@ep^iJF$YQL49Q21|%@9w+{eXrL+r4=@s zPTa95Zu?vo;lZUr(2oBq>!j?*mQ^FH{aD~mOnD(=8Ig9n8}TASWhpo)8C}Fx52(9o zLi+aNgf3fr=qQ*HUiIa?s9@aD82!BIYxBbOrbd(8b%R`cRsE2{bs=NZH`~2Sp(7zo zRyxtSfWM;GU68@4U99;m<5eNufbzT@W%bhbSRmZP_S$CU5bb3Yvo1A_s)T}7e+wxV80Z`Mes@MNM8N~U=);+7l%j$d?K3Zqmzq`QPab6`A{)+YUrl;2n9+d88 z)A?8TrJqh6W2WCm*ZX#%#PBEu6*n9_?Y{yfaf}l>TsB8v@h4NtxYW;1-9xDQze!ok zQ^=u_OwUvd^Eb@j!m;v13l+z5kMu@kfYm(IqI1ZL#dlpNoL$skLM zI-tF7t10v~PtfZu{C^q!bxkncHD-QVd!F?IJ6vVSN3PFCdS*&%*5HJfHFL8m%Kd#+ zpE;1LXLQ?Jb|BdCQRLY>lvr72C5{UIE72fQ*hIiSf} z%z;NEn*58XFQ+58WBYyyix}xM5qsgJ^w@+**r@6mtBZ>U|9YXLKX)}r`cGQwwnU1bx35L4&~nV<-YOL}UH^v8 znq}IN{OqVhCQ?<8nV%6oy!|i+N!u+7tDPx4qBu<=Hi5urbm}d~h2LMoYx)Y{5cjo? zH?Rk_(P#xYW?A(HZS*ve5nF@&x@FEcyB(s%@dvd@ zAq#>Jggi0>>}o$|=SE2S!XbHEZ}{I+7s=1$M6raq%$30gYjk#SH)0sUwHNCI@mTHD z!(H;QovPff1qJa2mr~pOeT>YwL;H}5=@pgO;>C~2jG-!U7qK5FD7lqAHtc*WX4 z27gvQoE!Wk4EJ{($MO6P<5H{Vp)mZs5JRZ=Ov~SfOKu-umeo|k@=fWSK@=~e0PI(t8C(7>Gik4>6- z8+?zsqGzl?|1YB35RddE1J~2}7c`jfLO}fsR#WK+ zw>yNf!GBU$ilNi+0*C%6Nj@f3Zq&~GI6?5m&G&}(eXm}F7Y{Mi0R_1CqB4Vd*WA3c zI(tPj#5(X)`l%?q0`SJr(Nkjx&m#-@9)lajcyEjS;jexoBYv z;1yMG<1RVc&+wglyEAZns(SP~C7Dc$Qpb`5b_D+Yn*eF?31uiTEk@NcubzGFQE zi4D!~EaJPx$@SW1hW}Zg`q65>Gwks&_xmN8t?zOB!Gj7wkGNi<0Q-pE>RC10$*bVg zIbxyv8J+3p7etx)TsOFB9G2(Dn{N`}%PAYAvN|1a4%29G>l3_G!k^IHV%ZO*GQO3? z==l5y7LAiWI8?1?rxhk1S4d zi)O2THd*^v5;Oh=Dp&;#g0ePL3==1zH_2>q0~xbi+U$nfK-FUYl$@8jU} zH_8HU)6Aa{cK_z!0~I%7$M-{tPyxqMRg2Abzu9+HqiY@bB2lRVs+=$1+jd_Hea;OA z;lT=$JHChd8_Rp`F^~dp^fE6~vx#Jwhi%`^eBZ{*FIuh(DyfcCxl}BEG?Txe>EZO= zyH=Wq#&ZiEmrj%j%e1XR@0GBV+8#^wb;XtLyTrso{Dh~Fx?w)HZ*kqIx8>L)N5m-= zy6nTI$8KzittX|394+G@4Ci

)jOAT3ICbg{jmncV)&9A03_-**X;mqR=gjzAICwxSjH3T=Qbcoq1m;z z-Ihk?VY{Rv`Bx0tMl}N#j@~-xjCYSJjB0v3W3*Q>HbQppy|TI>uxJzFYl1T&N0nz0 ziQzWZsBjIjkI&`5&tvUtbSpxeR%I^L=RG9bxyVTF0?4W^va?r?A&rNW$EHJrpb*2% zNGeH!UuGg0tV$DZkfnr!b2wrlXjhObl>f?lWw0`oSU;_i(l+8Z7pXNmgG^&BU`&`C zT0|Scc<#^jIHS=4-7g8%T(A*ZnPJV~WpqmmwH?kv?Ffjs_sX1<2IZZzno@>}_%3E1 zVRZI2kdIIQGpqyvXAz(3Z3pESi0t$+yG&-js4U7Ja+f1qa@>{M-L|srWN%z zbfi~bw$P%v4lo>4F}v#BP#D8%V>V?-Hm7-?e;t|VyjR{>PK~v>mGvFy5G^D9hX?;K z5r5+?u}a;>dvo;@!AXAIHgpSb#rlgSE$T!~N+^^h(s?IgqXcJ2Mry_~C=H!=ce#w! zH3RT>i4j=k3LY+h6&kc)dB(eydE=WRO&9} z%w#egJVIVBuf4C=W)O=I(w$3ZYBhCrOoNH!lr%Wo-yU{Fdq4(PlB% zp)8I;j$sqWmVw4+RW7XR9pW#&XR5ersr~C@Xm_Ima(lhY_I$O!sVD$$JbW8Ja9$GP zy#{2^lG~;)x_^I?LUUB5%&I0o_($>8BrCDmD4Zi&0hg{i85ZIws-%juQl2u0kx;sH z-$_BYL9Mxam4fJ2|NW`NaB$*;e59X=d)?SzHS@hUr3$)M>JtZYMb4UZ9xy2d$_FVa zV8LU@uYboS?;JHC=2E>`hEQUqJ0`#B{nte%q4QH|pT^*wpsCc+VQ6+e_q--?=2xGk z(CoaVQ;&Ibbwmd8J>r_XuAo;^RGRTa`epn;X#RrS5*z8?J>VU6c7qg%cTezsTjSoK zOYRJI%`EK@Q{IqwDdj*{$`JBbb#j^2gf}>LJDQ|f_*Ve$u7H!L%C86Ze++{q(>Z<$ zzk1=nC#HNmNAH^+^vQEs(h=+2SPTg_k5aBkV<7O7nHcSscQA}@E3bbTA0KTyjZn*7 z@R`Yo@C68RqE+$m1o@~v0sc&mo}c%y;|0>JD*5`GZP~5@I2FXl;`0XT4xB@lTswas zin~Z4fo`+p7$bD}Y<$1wL41ZJjx+81DUF+mi80}gzfn$ddvT3MAi)iTUJF{~sqbKF z0f2>+^310cCBUOkUwAi6YMYH+FweBQJUn-<@9&7SO^(yc=<)4ZyVUZ`$A>c}_HQ`T zWfib{vA2ZE!`y%e*bGwozoD;hxZeGIn}%g0rESNA_;vF$mpl43Shruq$j!WFoqhQI zN%r6SqV%gUwi5M{?bf32#Kzr8Uyi!Kqfj<_#(ZONuW>#x8?u{KvVT_yH`Z`L$YQoq z<52SgoaQ5%Xnm5v8&78Cnv*eIEQ5%Z$ti92p||plPOT%vRD=c%OGLlNn{IwDX<^rj zSPc=HSo80Aap;F+IE^B1ncr%S3Gg@_z7|7`{meLq#fl}pcc8pEUinw<5iZ5IW3VCT zCu-#pOx=0F{E1X}bdPpLEB(Q0>Xl~A{hR*Y0?0YX6Md&fRy`ni(%8OzO7{sz{D$Kz z*zfNb{j3(6#BI8VyuZFp_={_M$mRu|t;s>@>-8+WWE?8H5fxfLjl;v+U%%(`BOtEu zCZI@pAv8lyX_qMZM&2Rf<54lEM|{K`!VB+@JUK4M$QHN$x}Nsw2yCBi>G*}5qyyT- zjVAGG^Uq@BZNOJg;(ge*+V7)`61)m~$zHFB%xr>A2a;GDXvBq*Z_U&suT!Uq?ur|Ile7RroNmDZ+g^XP{5e$yNa9yACpe@IA-ae zKrO1C;^vi)O_cSA9>j{~>tjpfsHS<^tv5>vx&BN$rbU}sY(suSLiCM9iE-}w19nzs zlqEu7?Y#aL_5sa-zP-2O$blHIZ#G7HPfI$M&4{)tcuYL5-Dxu&>r6BCtxu2AQ$Yc* zMPeQL#PgOSmD7S4qL{Zadg|$mOj=&MDN*L=Ye6>5uRJWvjtz$dj#;BGd4G){H4 z;H;s*v)fT?_-)1VSEl9Jp!Mga+?38tPQ!@_6|u**UlI7vTn(??3j|YkDoko%nNDO} z!m!S9#~RJ2|H$cR-SEg5CP0^eu)QD9UFvmenNQ#po=C>n@6Bsi(mbAbrQi(E^=0#` z4*e_B=Xef}+xdK?#P(cV>hVtiS%mmPQ6XP`nxsitusgcCrO?+}n>;_^P$}1?GNw!* zG(oYo((*jETs_)Xkn-Ov<|&>rstEQ;PDjq-7=||%((b*!j_Iy`^oecA+uppQRE$X+ zFO*!C&80dL+G-8jP0l`<;#RF$5{44zIilsJ|8~lMc}ZlX$Lu99O*$wdi45cBD8_D% zg+qS)XXgFZL@4Ww*}=1mqV7h76!Bp`ji6m7j8n?pd)87=bLWlJBurW32Mf&P4bSxR z+wU;{=^x>%O_Mb5AC2b#I{U!y1hBrt@pmEA2V z`}a%7(Gf_UV3QPWQk6yZ+^TCNii(iTFOaR!<)fi$hZimMSP1GjpMw2h(kM^xYr2(C z4exbU2Pc(WuC!bqhunz`P5c=THXg3%tC*bM7;@65qMu0Gpu8$11^rDA6xr!zW7FoD zWeAhTS9dc_zAtrlvko2?`eO|9%xQQXpq@F^uM$Fp8Hte8ictYV)+uUJ{`qeQwM)4} zR)EbpR}b-wMq6%*pO}(SyYAyBXTuc7Ge>(j9%7;&b;XkL*$O7Dg1$Y?Vr6KTjJieuV0WT`OZ(%1df&Ah&2|NFFu=swZXao}EXW$2Ov;%CcJ6qe?FMS|*z zEo!E0yfa0wQv`7O13xknE>xIjV(fUWv0(OPg|5YGMV(cs&d8+2%<7>TT}Gw3nVLNGs= zrd?hh>XxpIK?8x2jwi$9uLRj+3*6i>R0c^YD$f;0&v??9-($Iatu}SGHh=Qhr2|@9 z+{1jlennfR#0uUf<|o6WTBmbJU#;i){^iGi<>{vT`d|5$GdQ2^X7M$ntHyXh4#CnJ zCM+%++7hjDK&J^vn^m5n(~7|T%@=hcSaPdWh zBNg!QwYx&bq?p2Nkmi(jXIx|6*7`+~R#Ltn$seyu-NE+_qEh&=JGpOXa% zGI&XIyV!Be^0o`@zW;a$cS_ev#VC%)j$xxSp3eM{+?q)}zEy~4#SjP=+Wn~Ry7(=a zJij->`WFfr;Jauyf5(J@uKL3^sxQdazkym;=Bk3{;d95=U#H|#lWvf&s&VT2{fQIr z!oC=o-jN`&16I$@n{^3}>?R*lC5T_ApAkz958r^pFqxZ{H}ulbkAUH|O_I|7i(J;2 zq}`x4Q^w$V($MYZ^7Ab*M7@UcL<2wgWilSGIMNm(B@KhxOW|Kq<1#kxq>TB_h+glb zLC&$4!V0w%QD#&aRt+#I^tF@eg4o;Ko6Yruqd=DIwYzw}b|}`AU&Pf(aW&_fb`1=2(EF(@i&bNxLWxhtA_M22-v`v3$=hRg$ z)l{!sr_1IPA(*{d!_>D{g16&MLdc-|CdGqWF_QGhyjXzEFZ05|2Px)_=Dw)HzV-wy zmNv#G#rYBpQs*72n6nsQ9p>i`4Job}EzF6)!$%QG`iH?{sf8r*~93p>*s)O^V9H$E0*h$}Lxnxr9II-xrCi(yPEz)x!lFlUN+X zTM5Zi?So$z$`W+|sJsr@e}tjQa8q_9X7&%_`4Bsu8EJ7`31OD}-b`Wn7=A(pY3>dG zW#{7#8hLiq%qZLFRP!zuf(1gPd47!lLX;D z;p{EX5Abhpo$^=Nps^qpBo?tWv!R%O7z@}|cLZzRL!TqnH8dg`oM5BkX#*h=E$N_P zo=U~B3(A-4WF$Z?B+|RoIkBf#Ff(S(>JX+2*0(BGhm6x3?1;I7kB0=6!0ZWTv4y#%=OZuHcNf{T%riZ zoh`KEi2EBc=bADUh|2EWG1naebG@5EaoyqlhszyO(0rvm?QK)b6F60&m_|FSU8*(P zOGT;asb)SWoRLt*jszF>1`(oozlJ2gL3GZ!(J1Yc@zj2}r^?POuwr0~Vm9dwtg%0HZiv$8m(w7w&;oOx3%afNTqaTWUU zq!X>l>5gunm41f34m8(e=`_swv^L2}-1iB(9R;ua`j*1EcAlW|?5A4kl5^`~^;=Np zFoGsBvNc>Ur`2^5FT13Zq5I%dO#=9%u$J^w40#wGtPnC*JA0=$WhWZPXiow~X%VZZ z=92i;GOC?^IOhoTReUECSr*ich+Qg zG+(1=|XKNiL^k=Uu`YjBbs(@|6|usn)xo={I)?OIcZNRpfU{R z-{zOcwG?+#{Hj+DXc&2waG5UoE$Kz*9?C_i@c!g5+4lK&o8JLgM$7uPP~}HK6X}qR z-I<7V4Y$dWGE$*f$>H^3x$M|waGfG~N-Owh#@4&%Z+5X(?E{tXcoK-C14cakYm!g) z*lSp6UFV|4Gs?L7-8Z>^5Q7~ke`@}K(Em{kHhB8tnaI{r)0ZiV$Pk|N@|JW%ik7~# zPxo(PzkX6lNG=mgT>c@>cehk%d|&^`QB4#mHzdFO4~JRZm@7Cznz&pMqz8AF1#TVC z5$b74z`t#|^3a9IClri(cAHy6D{|Zf6DoiNeQ8|NOm;t6*Lj1FW8O$dRq=1m+M`tp z?{I6KeLtaMU3Y~)o!sDtTJy<^LoNVBAtpUZ5u8yl8)Lm-9oR*!cZ)^cz&{okd8=Q# zb7$DK_L@2+Ayn(e*z~dA+{#F`FtILSfex2{{e!+tpqAZZKO4*Z-^-6n00@Snre@Ji zW=kWt`d0?=hWpp5NvyI(d6avr<4vRLMGiVCB=(B8>(n>$7~DF_gpxpYr!~9*>E>fe z!gqMLL&;vq48!`*LFvDLq;G+X&+W>W=#at3;Li2r^JoG5ePO+1bX=dP|L&8?s2t&5 z(v#eZJa}dL)+;!z^dnc=67Q_~kZPOe?EdT>z%cNmDC^|tB+)5*4k3?rX+En4Bl)U~ zYhbKHQ$})ja_$G&yPrMP@y%zXL+prh0~fT)`y+8t)b?2X^1x+P@Tn{HtyLb1$mJ$F zj@_-f`Rnu6R=4XQHA84N5ykdofQ*O@JOd@Grjdvt%y@yr2hcn*d-oatKr;F{Zays$ z>z>ktVEK}9pSOx%q|)n}0)Hz2nnFS^cA2(O-Z^ghM4hOMxOIhGfS=nD({`z7SV&WM zBdH--E*TOxO31sp{BqZ#sK@W=OSlbZY8ro{%Z*Y`HOB8UCINPCEf@ZE+cZF}6vM89 zp0+7vV0z>_qrp`nX?6SD)V3-cV4;>Z1@b_jy~U_-NNyR?o7%DmvDA%e-?TWp{)E{4 z%qS?6p3<#|;A{36g!KGvNFUwrHtVKae#L%{w;2AG0`L->2INwPsrOlmOKAWjuH0C~ zx4aKF@)B78RkS>u&_lSs>XC9UESw;h670hdF1x$^z}Ci(`qNc>ENS-n(yfws^q`Uv z(AG#N)#!#OcyGeLHM}kMDAB?2x)CNw(Ef?-1|FN~v)XP)@w4CK4)RGj*q2Cei>VcU zBcaHdXkA9DpB~jkj9rCwkTesU0ZWiR(#U-Dt&J8q<_cZ}((GHa!OLuyD6Wb0NOm&MMZI&cL{3e?O*=zT?95fn03?wZ~RuW@{b+`%+k^M&X2UQtL z_f(gON*pe-MTqjWQhkNbB1BK`$JC5=8xE;!zX5UoGu#&hmHrw$<3I8o=+D?zxXdxT zD#BmPx)B0xlD;(X1c|Bf2Q>tLtJYiv#X8|mw_e!5tBoBq4i;Fz{jp!WhoR2Eulaq+ zvNOqyos#4tdWjev@5D$ZxRc8_Cz*eOOsjU0KTNelYR8Gwa>6aX}}P+71KLk1q&mrj!Phzw9=neIS`I;k&D!j1kXE9oONg*a>Gg z_Ey0J#qWw6T%cjZ6;{&@P1AG8I02^@-mSu)cROBRudLUkH#L6M{KF2bi@rq!oQt>U zu+lWsZbsx-$h05nvJLdM2{YIXr=t;#KG5H5mS9(}%dp2A_;Hjt@My0%b z+>o{(O8DJh6{-F_#Fhj|h(lDxn>yxg5&G#P2#%;~=kp$)zz)~O-#cm61Y?#(m z5KJtnapQRLZOmT$-gR0@s|^Iq*%h*I&|cFOc}aFxk4+;|h{oVQmIG|)GFP1BvVsKa zq+Wa(YzQw2P1~vH_I-oFC8Sy5rouRsVs@vK?gV15$E2adj2P^KhmeV76&T>#54}Vm zG*qE~&d_3I)&Y2Z#cBT34Ds&T0sV7RrI$UV}K-@-N5* zb_H{Qp{GA+|Ki=Ce62r%6*2v5u^TIPGsx(b}<3y1nWllJ+#F z#+*i@76u)1Fo&la8+Rafq~~K0n+z2P8Ce+gJH}?@KjRO*evM|}4{sI8!Mk;4RICrj z2Zn9mKW}EffWLT}_vHE``Fae2?CVv#0EPpK)9*jHBms-EcZuKdG$qWKLv-QTvm3UW zb$%I?!a!}}vNoQOAm&!n^O@8p6KsI<-K$@P z54#~dSL}%(=!7-vA6@c);H$TK>KJ`N=X(v0p@)U(_fBNgyK3*C#Wxjvln(Q_ZX6RM z+uj`ro#aD$m&{=y!sRuxy|ASZ7>~)w3L*V(Gi(G`R`Iu9Q#eszqMk- zmrHZ=1$nbn;20KxMR~caGh}Z3t6IlUEt79Q{~<-mWRxH`NN7>-{2l(_3krT>{5#p5EPZb=m?fPwoWB@Qw4L9rzXcwozX;9sBJlHw?ZC0e>9=7Y zG)!SR`ox^Ms*OAH&Nm~@>)tf6cYe?^d*alSNo4x&I^sDulG=d6DWB$2;!|e#lA$Ml z!1lU!loki-5ewT>qpERsrd>`_7qzD+XD;%M6Eo{>yl{_i0?9ZGI7aWPX z4DUEhFFPen?PTSy>kKgxEm@6eW*nEjFey~bPYC5A6tGRErGF45EXjtSxi4M&B|lT! zS{Xr@Fb}DF{55h6)fBO09wW74eB9!`lUh7C;UcMHxWmO2caD!dQ92`u{`1Q$IJODS z?rob+H7vTTb2IxIrHY%&(jR=jboMc_dGTvsHmWx540oIcR~c|)sD3Zl4=u|MFKpCQ z+e?8EHK!LqP|APIT2?rs3oV+Ve=dg`Hwk{{-Frz!hSO$#fxiDI0I#^%U-36;#zN*o zEBxec18|N`to=Qmb?L`!;ICB%{y4=wZ{Bb?4{#jjK)U|EeLp!}C{>uo0%pY}nkcb* zePI4ntwsjsBd}g$5ybnid`j2QkR9xJRLW?R^V`0a2|Rkog#WX;>DYqJqx(MD#^xZL zXd+naJQHIOJ)7fN_@7mnikt5c;`nsr4XH#P%850Oli;l8SO#Q9E`5QE+yP!LRj*14 zXng{Vc)b(A_w8%n4Cd;&BSP*RZi67J%}qNQBW63`xYNOubvGg%O0mbFgRz~!zvZ63 z{_zD`BYI!JL?Jiqsb{t#KPKPFo!n4=GXWx=qonu4$LfOQC=WiCW{3E1>^hG7r-y?V zF0u=sd#1{c+s-sWC@&HqVkxQ0^D zpqcjD;J$M6&xdawp1H;4k}==^9kokQMq)Jd+~)HupUsD=--gN^M9ur{hu9g1rNc8G zCxZn9oi}Y;%38f<9#L3!MhS7Eid#RCeUu$JrDY`&?HU)2d6O@U^3&s$;Bc*PW%>6* z1D;a!DCLm#?eShp%9v?RV9}hjW|Wm_Yh}@`7wO-+5^3&95qPFqqxJUJ<^Q)*rSU)|MQH!*;jy_jaB{POtL`pVuE0<(7Jiu3+UZG z33rB(vxt&GvJ|IxzlfHQsrZOIGXL1MMmV%Rd=*i544Q z?izHRSS8#Cl}&F=oQaka6%#1`d?TXpxij;bu=gnoOl`+u$B zV>epA=|fHT*o9IHTJO(}m8va7JoFrqRr^S>wt0sniOU&Y+522g6+Y#>yYkxv^(^q9 z`STM&s>{H^F*5Iu?-VTOe`gt{m%af1NqBk6x$AVv6*OTWu(aObDP7a?Dr8e){7xpO zKLkF;{*+rey|zl4&x)L%<<{d*Ks6`Q_nMuo_OT0(?(k_~Mo3{Ig*Plql`{7>)8R z10|sN-erv}dJv_9H1IM1J>B13^mGo~*bSu(o6j+vJ_5h%h;)&iUNAAL3YseAdJeV> znb;}-L`Z*iXkrrAQu6(8=#A;&pSmM(@X}QCq|E%UJH?}VP%6R-*eV5h@iXVzj09~} ztbWF7`+SWRojD@hEJgU`+Y%^V>nzQR*c6LyEO}C@upe#YpD1Bm^o!m|e&wx=NzvLU zN$Oi1bvo7#mGpFA_qthP_wM4v<@uQPmqrB zQ4YcV(u~JVx^oxa{Rq_=bhD?4TIQafh^gvJd$0FKYbZ(5JV{1XSy0zrn++M_XrLc% zVO~UOmt2!3AKket)`Y66P`;CNGImjaxox?0pJdjaZS))D`bIpRkPD^{M z)hh2Tn^Agf_*~^$h9|~n7(in?P}AAVBUV2G#?yDdqT)5p)B5Yc$qCUZ`E}#ForJC1 z8TC++DMS1ivXi~=0h+y{M($T?2RR=NKsNM5IX{_(Utdc_XLkls&9KFaSzdjl8297> zv-!@6Xx=f6CYE)cCcYX%F+Z{v4-W;AA3CBuanGd+7rxJrx6M&t_lzsGsmw zo>p~5n4NE@wWudam-2qlLP@dQ2JD4<#zBWD#y>lxRTz56|5bV84A~h57LB382fv z;`$@SXX=;x7qMvi=$g&ELc8IVZMfZa;LmTMGQy{E8B4-Db|#p?GVg%IZF36YsK~H? zKsXV}wGMCI*mUnB#zEoycD=BqIfwP-I;{+>fFxc4?;&KDLE!s)d9gXK2fPSpCB+eh zx0}~bKcGoKjv~8+=%}>%QiGqgs_q21vqmgZs8^O?^2jGjg6DVIa&Rfnq%MqOsZkz` zQH%s3V(}MdgVHG*#GXw1Ruvi9^M*G*D6L0kV|Ve8?*#4eh{orC@v%emcY!R!N+P?d z1ja}7q$9tZjef;;4Q#p~+vy8M-r;QA7O)Rvi%)i#Qj}$;9k9uw`|IK#DN3qN$=jPO zY$4MDQK35GaLPrb$26cBp?^*o$ic1o;ytc=vgTQ2w$`u2ZV9~)z8Clrj_)DxM2jB8 zXPn>`A)45^@<*k$F(U~TBmWW|H_aiqWTe;QPYc8-T)>wGz}g9_!3=7vLvFxgO1~@o z9@-2OHLTSn!wf^QSNsOM++__C+AEEQM0@i0*L)Sl_&q9@gnbA-ZWq6ezHDw!Qb1E| z>P*8&A&A#!R-I(bysCe-Tkz}tcy-7H!r?n)_z0u_2*>mgfsB^9*iAbHSSx{hA7-5< zV!aA=&F63A1T#POi*QYcG=6F&*Ck0-ooo2J^w=w8hWwG(15?0dtWUbrhe5D*|j z=a&~+76wWwDhsNa9m+9yCGBUUKG5H48AeHbcO$JThJJULuOGGBM6c#uMyQE@Qk zVG9$1X;j#Je<4dl<=ZZAgYdSgiqKSKnZg(Q^9e@>dQ36^0GLz_$Fh5msEZLx{@TJu z@XRnANO#WL4O7kLo)Ajfvt4@h9POsfn2sC%Sa(n4%+yMpBD77O|H%6-IaOLVX=|!i z9m7}7ty7E4+m#8b0)j@MH7%%X@&{gPzn3j(^ z%+&X)Mc=Jowv8S|vc|+EYV7zf#yN-{7R1TzkuQWMJ*rg{c+z1zhZl$rPR7XB7#dx> zLC%{;g=ofsY1){HK7!9=3oUj>-9dp2 z$!6$wf(x_YOTE!dy0_$CgIXOa{Z#P}tFS{uk7YKg@Qi!f0xetDh0SZ6n7I3(9GzZF zZW<^-(Jr|bBz=%o5n&DvdVb0sfpAS6IxPf`FfSdo=a_fC{=y<<>A?)@nBh9#J)rV< z^6=L)LWw@6Ei>m~;gmhJs+i!%c&XI<*)fVReiu{7on4Zxh=E1fOxxc+y(P;EMg+y2 zUv0b^NIzfM+#{WNU`sYL0{<*lWn$@#_f7U{>P@&gOz0Iq9G#WA?&%uGA9AYGaTaRu zw0@3$n0gECG&Y7k;do%fw5;&w;DY1{7tSVs!3e zI`wlS&M+$tPv{-(T)WrUch7E|bF2~5Hf-?^x(7CTM8@N}54zV)ceOu#d0Q2{`XHW2 z^p2KV?{o}7L7A^yc_@i4O8whD%a7u{D1c|uxW{FPEy|8q%N9es8_;1sEy;)#L>fiNe?_wL(&x@oRM=6ef!lhGqdC|M1OdAP^0TRU2!McMa zIjXn^B2&f6{mt;j`Y{RCs{Hq^e#8V<1UVx6vFN8b5*$A7tQ9;$0+G&tPAaqx&Bo5S zXw((FWv(G|D^=bN782x{OecRZDgE+W!i4Y$R|+pX1{h+AH~YN<4Itr&pTHq0;t-bS z0~v#71L#6x-X6bc=v(uxErii=(MWfOrS#@6SkzN@C3e!QtoxT6ckmWf>iERBpbWyL zGth+Ni~MmbxQwIbP1q&4%V%8VGV~^cWFq>7nziCq>WaPS z_wGL?C3dD-jQM5`8UJ9W2LDBy@aE`4Gm7JWZrJm03Ss2!Dy8B|QHCgsC?JMQY*Ftz zTPne`LOhc3{af>Lmxp#}fPpNu&J(*f&E$b`nMy$3%c42H4v(4oL%#3^q2rNdb~3%9 zZx6wYMPtK4ow?8Vv)m#-fyJTkCx45Dx zf_zVC^r5yvyZz`cJErUBp@Y=7KM3I*2pWvBW1VCs!)hvSO}OgV!bJvY+2;v%Q&#W2 zNim~ah8frRK+JtPG*gXhE#mz52Oij)@0(Bz6X>t~*{=AAVx<_N&Z=#2yIt>m@uo)t ztTHrkcEJN#5CJz`i0Li#;galolU0BA-N`D2wkz}H!kvQ+81+Vl>pC-yP3U|w&m;Od-<=}`=P&nby>WL-M z1Sb$!lL^#urNDp5)SGK2XSjv8(5@w(<3if8FuS>Y6|9ixEr77-{iXlDEtGL1dk#$Y zL`&^~4{50szU^e*_=&J64VaY*x&>#vG&8*1O$NP#ERn8SuN$x(g<7bhY962w@)+)o ziN+bLP((c~#_o*prz*$3@5@<+eDf!?n~^NUH;xcDqjdu+1RC|sqwuFDIbgp8JF-1g z>s*P)w`Zgi)*ivYio&>#fuA7HZ3jP$(2_(NP6HOEhILRFx-sK~E6~)+s7?m z_IS|rb)U_1W^DedUJZMv*6;BesOg0Yz#a1jK3JC%DM=wDb}bG)`E>FeE0#*wOkcCf zCwx^@R;q10U0%Fp0?*Veg43~og%;s7L^0=5;3!e*g_8SBl~Q-hGjBcv?hwR&&-kPY zgnk!>#3{577}bT7&uVfg9Q*%E09?Tk>QG~^TMi-_bBo+ZAB>N95jEnCFipnS_!P+0 zl_rd^c`NQm{&_Q=wqFuo7|bw4ZnW>z-=cji^eHC%C8Ow?{#u6O7b+afJ@kW>iMSQ7 z&SJfVw=-Eb3-(N#M*k|o{Y~5WNz03Li^V!fad`gl*0mZnH7p9lTUIu zOHr-tXZb~Mr%{r2L*;t)C}BO-%qliTLQxl%N{xSPxw}L)lT@`R!+g4f&F#ksujbGx z>lC5cOJ^}-y_?R8I;O>gLCvHRvc^33K{6W}K42^`te_PGIk|u`&mjg{Q{HKHn_r4l z&8j@NzVF)oX9Jo55!ha>P1xd;A?T`ChXzt>PWPOl#$*~AY7~wFW0q56HccOH8vc&J zAqGtG{9FB;4Td(W%G(=1nRhE3l?*NdBW65^Eva*)1LaOU2gbb8(GD~HJI!qcX@L1aJ@z-`qI{wMdX^%79dvs` z`)8=c)%|@{u!gvqw=cL_RL~Jega*C3ZTb&%A6C+H_a z@wa6gG1z}8!)`Y`7BGkS_uX}D-g{Cu2}qY6HGZ4{N#=gEwaN4ys}uv+h71nDf1a9Y z;Actc)?iP0@?N_ZH~uk>oml1`;kqTBuZtdfFkl%)J^)wfGk}o?WnumJmkLF9pf@vU zfw{j~ad)IXQTbO#Hh(v{>3{vxzf;KLN2sx`c$5|!c6dvm=SF1615Ty@O#gfi5V%z`7=-ACVNr}V@#mYZ zHO9kR%^v()C-bU*wN ziBXD`pQBr;ql96F#dTNv;N50kNpJVuF@c@oiFM6f z%)IoRV<4RUKl32Tsru`L2z z(^7L~!q$tCPUnAG47A(EQjHGg>S<~i8aLU9D7!Yu2Aj#*UvFdVKPRt#Tdk$%(T=X; zbHip&Q_ck6(m32}Hx)KttmLI%T2}JLFg>92UKdK(+ReGrV5YDMIZq@Oy#tq_zjDX{ zrnQqjCSABEjbm8mw$i~ACBxNWo3ptirOnw9#9fhLMm8`~!mb!?KxMn~I=`gNMgOdp2rVkw}v+sBm*+~^g!%3AeD`|l>ToIJ1JE!)UlLyoHO|^G!@@KSb90<}Truc<2bA^~gJ&|3sUh z@bM7USx;K7gEqgMXg%ZOJ5?ujpW}_?jrX7@Hn2{4vtIKtnDp@M)59-_BF++_ zKXXfzU5CSyz$jjB*VObC6^dvA)j{kY52qch-EU(9Jn2gb#FTND1GVOTLsvV<} z-?zDk`w)xy^$Toy36~ioqaEuW?CoUO>O;^tp4kirAHvsdmHob4A`@5`v0&8+=zC(? zd-D7TD2=~{Dz!_B`E0=M11@bS$+J%Z)x;!K3>3LrEv~~)Jb^{Qoy$)_Slmy~znOb% z(vbfocSEkzf3wbVO2ZS->>oH)ly!@KBdmM)WqQ3WoTn<8bBpH66{3lpyD&ODT5}Jv zn&nPs5|!bHTA%zscY|yvHA-m)2>#|1TCcdz=?{#<`v^8ufD>T?yR;{5rhzA?Q`eVw zLh}JE*D7l$!9DX_N1GMB-vp(^gG_reUrz)ihVsnvM@uok)o)Do9cKZ>ERM{8680}8 zk~S&4b$58{G`=c;iKQp*5A08+?XkriJ(PqKxxt7fW=DE-;1cM&`H2D9WF!v4XHe4b zm%B31QtHZEsj}i{*a-*2Gnl`!h@4Qku~005uBNe9CdsMM!QklPgb5x6uedNkKZjF2 z`xg|3hW&eXJ$?D-CMcIF?jGh5UL*(ZaM{TIhx~H1$g(YPh_~&rQc~LMEca2_k>=K{HnhM5OKHghyAc0%}p z@P0s+2tzZk^KgTWX3k@e@oxJ6zVOOMJ%IguHs^}gK0l}dK7=P9?}Y}c4n<}_O@Aj_$E>H65m&qp}@!Ps|F z-YcWO;TP4xQlL)}3jmYoJx0>ifKriJPBp$LG_=8(?RI^!4AE39V{*Xz=faHhM+~zB zsl?iB11VqQJ@f+o$7H|CR#=pzk_8z| zQzn$*iaS;6rKx5N-oN~uI8rKUK zFomU|I6f@!#B0f1-v{C^(;_ux;6xjwT6Oovdwvp11($*0_yAJdztY(U6xQZZIZGkx zvauC;Gp7HBQva}+B9qP2L_DB!ncLrxi=`Cibo-w{>9<7Hq36p>>jRqYH6bIz_m^-~ z9j6QyKgiwwX9~|AoTgz@V6_-sv|3aKZ&8RTTJ0}`7ZuAmH7B7sj`zsLLN-f(a=MDq z_+;Dz^^3ZQ$4{p@-LSB=Q7y&!ERBWXvuEDuvoD@lqt>b?-Psz{a4CM?c?7Ne)w;az z{~UtitIZwxpNDV{uZ;e8Z|0P63BPGpWKEqCH!n0S${0_AE;}YG*TucKXP(^1_gv=% z&zg8F{{1{PE1xbguKxRplYiEKi))*Z!L>?}kl`?_?|Y zG`pMPV)S2dP zr-64+_c%G%D*n_{9mJ;OSY?x%xsp2FxM@`;NL~hJ0$93h0o_K4&yVT4P-omej?h&;rC}-~M%W z+=AO|ON6=R&?OUtgB__jA&vB?>oni2eFzbz3Fxj!LwG{Y&R>j4pKV%@9^aK z$7t52OJNK_-O&zOSzrASH>!h4$g>q8Riz+CM3<#lFaU-blM?JY4J5}YH7~F)x{zwG zJ#IVw5^wLO^4lHt50fP92w7 z3mjzMwY+;LOSl40`701|WXCt;K=k^Il3}p0t)a_gE2El1Hxp`h{E53`bk1EmcBX%CLb}B- z@xG%(+-Za-&{m>p{Lg)gA)|zDk>XV|uP2_a!kUo|?Vd)2a_@(08_&^-Y%oM9e82LJ zTeeaFESP8SD2m*7KUEI@pT*973!gr#0+p--sdU=0WFHf%mNQVmz@4$D7|xiENIc-b zIIFLQqiQ&Xt2oWQzO=5gBNH^@c1$^!nai zF~x(kr-bmn90OC9>Hx+OOhuL9Nfwz$xaj5VrF~N9sIU0$QZ@U=bl_Mis7#K`T$J+)9!u%`2o{6YQ){+KLDpOD;oOw0*mQPmng;QFm;{ex$Jr_ zt#c;DOKhTT6m`|D%dcw}VJGvg=krgZsB`~|G>O+o{aK4n6dyBCIaK?w?tkC(B1g00 zz00`zKej?!Us^p9j{I1z)wF{oS|vVCyl(()*g=}YE%QW-!ae@6OI4}TyY2m%Ob?@_ zN`*tx_ud!(KLAw;I68ww**b~VzB&An{UXHtmRTBD-Xd4+xgWS82mw-p)LgLrQY!*YAMn1DqHaE+KRzMrNSJRahpc1^8Eh2N+|%J<#snf zZ4>?2v7HnJ3g$6NJL9+`09LbgbEZ|B&dh~BJ~&ZWhS(4&=dEN3cJDW3CRMM7=6bZX z%t~FAWQXRMLdNy^ahL`Tw0Of-1{PSVORy1cneyb zr`~@9QPi-p$lR*u*Q;u646KmI_BZ=kquj9mZs<+I^Td~=4GIz@7c}6nuRcTP72`Gs z_TZ6hKw&S9ACS;5QV{i9;ZNg-(nMpggT5kaOBAyaW+DeMj9;b>#<(;ryDnk^O@&o0 zFS+(s)~rcS-pZMPFK3Hfx1X^E1Z-qV>J z$ZAxMU`oYIUd$)j0{(zS?6>7_8818N7|vkGr&28IwJRj?r1>WR$1Lef)Lb z<2uo*P~vmL}W(Q#J+a>@i`V9joo}YqoZjndmOWD6-PriG$+6L zS=pO@@BF8BR=uL!>$PQ;Z%PFX-1F-+asSfSEbZjEj%hEyudaao;0F+$PzQl1kLml! zZ&4LePoF%BqyO;L2&-L~JSk4ia3kBj{DlHsS(hClPOdAcroF@_ zAN^`4^aa((PnrBK2YJX0i&^=6{p|F&EE&XK$!zfYR37qb?BQjcS^g^Qz9R#Xu%G+- zk5lPJCdbq44yw2W8~I5wzX*A)kdy7HmrgIUAL}y4x54w>y2?Q3aTIFlev>f!{Lsleu(z@p{F9CMy-nh^Uzv`_Ogj`cl! zRZP?+KYOy}ztnk;pIQtxd&G3duqhgD?D#U}`^}qYV_QPV4Qa=1YJ$2WcGk`dNGxq! zlzoTK@la+=Z;f{L4%v7DlgN~2+Z)+skWC8p@T0hx_knF`X!-Rqa9$U0+dFZs=rbq2 z3(L`h!@tf3h)%tO8p7HJ^Al-H10g>@dl(LDz=SrM&Eu%-Fd)r}M~v z#MPRLiqbNX;shFTHj5eMo)^fT{Vt)?E@!e`F>OH7_`@dyfvK}hq4mgmvvJB-Qo~xG zOHLmj0Qw)_XiIx&h+k;<{fVbbU0?CP?|MM366p(kDSDCEoAmN+4jQ@73x0*oFp_yg z$y5zK^Qj%NC=;kP!gTZ}4M`q0?qwYi{sW0Q|77YviBr>t8>oSjug(8>q#VedXIyB@ z*}jQnO7#@Y^}S6foi>eD%_ z-PZ=p2hol!zUxlOc$GQZosK61v~i-)J(`_5Q$?&iLaPv)b@SR!|QcSvqU4?51a(bNkHsqsSlawVHwwgQn)p zH4%m-KDi(Tz7&6pNb`3cVOHnWyRVl0O|?~b@dH6 zEw=r%0DX@uRy`TZbUl90ve_BCiF~I(sq&4vILITDShs(YpSwV%O-C2P9rv8>r#Icx zjOj=Yx}rpmRPKE%=GSrhZQ)!=kJ+O!1kikaZs+_O(h}K@l-SJ;I-*A-Jy0-b9E#LD&>V78BUIbF_sfFBh+rQB1eAe0X=#{3M+@e36< z3ekvifKUONqK{S9%L!-SmyOAMnIluV)&(K2ojw}lInlY-(nQ@Di??3aKJ(INw!gYH ziTTgyXYuR9-->(-wP8VPI}?^^ND{hj4$t}nkhS$la-H3L*|J=h_ZZK(&b^(*5oeJZ zAAD(lI#ct)IdIX-n-ny5N7QSgV|v=Pqhq2U|Lk*O96U9SelP|>`Q_IbQ05gqO~qKn z7~#675iHT=23BY@-ri}mww}X+f>^85T{Q9Z!IIg1cg&gyB(?44+4)< z&2kulUu1POO|N)a&Dm$>mTt=5Js&Fgn>r|5>PKGAVmCvfyBdU-@ejY)Fg2d2vg*jB zeWeC}zMkoBKXPZ<&24I)%jWB1_iA;I3s!L#Uft4^W_0{kZ=qAT;gQ2=T0MG6;c8p~ z&?dhkJp`)uN_d1GKiwN}X)^&VX|;hW6~%H8>}b|Hx%TBi4 zOlokhS9*a;`I4tX<7P>*%v^lC#$><1U_X_J>On4e{kWyTmV;z(@?iQ7!NdfZ>&hWy z6FfcnyuuS zFvhhruAi=I+F0*JD(<@frEnG!E(E;&7=A6kI7ldJEO`S#?cH;ewiG=VR$PMrKK5HI zARh?z4*@j={@ROa+QZiRfK^FxS_K00vV^Or^nBot#fyVWg_B+GZoN<4yr<%sXv7G! zlE+pN%Jvpw7EE0E%Lh8U?_}MN>k?2za;+8CvKGyF@E_5d3-BfL{&k+u%yM9V?Kj8@8tgpvJl6cR&SmtO^%zaCXqm?5Xq@Z)nPwrx8{U7QI4!P+6{1 zB1Y>?M*?P|(UQE+eZPdsKu(@a0%>Sp3>_|8$7k*e&p2m-G*-d4w%l7L%_*I0#DKn6%K_;SCx|&l0T+ zG8vep%$r>E{B9kl`D^qoMw!anDlIHI+p80Nu+t!Wxichx4iC6~+jg-|j}%v5VAR2| z?K+idRwzJz{;RVWRCy`MzUL?y=)do$@n`Ws*vxq7lYvqu^*;!DOfB4GB=^54yih1a z5?cwom%_`uAsOv3c$N<;&vlV&&$?-a%j~3L-@ZxOqAlm;z8S}HT~T#Hb-YjgjBD3* zMIj$R3x%j`tu}KAthU%0Ps=Hi15z7Wk5TV{M|wSPYdo2XC{?}zw}Tp?6ZrvW?Y&r=yD|GKijRDcZp^m{{g@yym^QCkN~45Z znIQk}z8u-4`E48+OlYKsC$Z?*OC2tMXZ+`&jCQ|8Rnb$!Y0-1yn_`pmJ8SHwrpH&& z@5RiXqzedYVH8hkeBP|KDE3furN!de7srF>HGT2*QUg45&mwA;Q7D+3V}d1;c5$;w zJ>7jG>XQEMs%v2K-U?%ftT1eQqwTC?F-9K#`m~^R@mzfB_AV`@nj*q3k}uPMN;IL( zs)IC7SqTiW*0p5Q50fyHMN^ ztlJLyP^d&iSe94nky8bmku4}(HWut)!n{k?%@q7I(u_L)`WA;ff_@4YL1Bu;G><$5 zo6^zyqg;W6SBuUoa>?_QAfzruMbeLy3eUqjMR6250T%lf9i|(ivJ;2WU=O@_`h8t^ z`6i9yPfOaH^(tB`ofSjuM-0bQv#PLCybHcdJHn)4^*=HspGdENE;AXDhdVXA&RL#l z%sgV*fY4ZgU_F6^C5;`(iUGd|)h--#e!I?O#tTmQ&bNF<)62uVtN+T&MUeUOHMNN! zx$}uEb@G{}1%|~zC#(7y<)Qp-CgxKJHZ-q=*t6FBC9%7!oN(GxL1;F+rjHC@GB*9) zrFST@W(031@-olQWK=tPR1ua!-8XDj-WYbas1 z+CihcyL(Gs1>CmNU|2lWoMg+lPKl=4CyknM6V|MM7O!>RO0c}Jl(0n!d%vMyimWo3 zOXd@mc{P?^zIdL;bwyXv&bh4A*+iP_ChE>j4|t(dXF8VVU)KN5`aqNdcNa z&z@}wah6_jOjeh@>%Sc!V4a{1U7ayk$Y!c+Y*~lYF5O%e>$CmX5>V5`;dl#~SiazR z$P^*az0_)55$Z_RHL=he9p)${i|3~FVERC@^l;PsO+p5h%e^s;^XLLN{8D97O89Ju z=>hi~X#hIs`YoVb_$#c+)ttXt*Mz>TYC8p`*yrSkWPvO+-%qizvvF-S-ubNKHKDeW zzo1*S`(rCh#O&{v*77M=Y&0*LX@)-+lYP?ib^0Z{>JBsK2(@A54+EUeE(ps4Hk_il z6jf9?Fqoq`(;xw_94Vz`oN+)8*jHk||^&h^}gGLsdx47-#{0qX$VnfR@ z<5=$$#W<-bn7>M);wHe7?!@M@+w?I>V|19tqtK(sch8h985_!Tzlssys*NjpG1oi3 z6S|KHI^5*khL|>yp-CQwa?kA6wYuryE0l^OU&^WZY)kACoyUrqH29tG&pE|JBleg? zw1uU^0(Kl|uQ6l-7&nw*YUZBtcR?dj3Hw!VHYEaM zD=PG$c9w4wlD5~8V>KjrNJ~>)Gc_jJpTt^}5XbJXG9YKWYO&F9828yhT{_mJAz6`P zNYt$5b?bY(inh_TD)#&WPyNNZ>Z82x>hX)R*o3_+L(+3rNUDGa>AN##2{V;*VHZ6e z`{=hyzZ{E-K2lJ^B!eOv8bx$x4l2ew(G;5{84WMX zO1d-djcT)I^;D0gkS&8`Y*3H6xnp}n0A5>nlA0t5XH>BCy3DCPbPq_68uYSsSduB7 z(0c9GNuu&~g| zpt6Shhtw1kJ?vp#+LtwbibxvYeeG#!hi6mdsST@ib5-KM+jfWE!VVq0MkUclq;{)) z<#My!8NOOH?>1bw99MM<0F%~?6VFdY^=3(B*bb|?-MZS+BO}%-<=l*k!*;*E|73U? zSbvfgt^fSKgF4-v)ar**yveeLef_)9gyFhTWpT_u5sFIP_Or0>Zx0Qra1JF=Nrk>V zG4b@i1G{5)B1p*D`W{{ z2C`pG?Mj#1>OIP0hhRQ5!Y-%p&XT+QT$RaEh_6_TLshb@1Xu4`MnQ>CBE5o@CFoWv zZb8b?c71IF(2!xu%8UuynpASQmk?s>CT4aSS^sOGsa@~#yJ=;++@-^uHL`}%0LC}e zI^162hmP+<7@nGdjQd-;`;o#b|!JF4bL(!c1jN-otk~{ zmEijbMwc!Q)P_J`l4bPb7#HjU+nGr^UK2!q7z@3Q{1Ix{z;`RBW zbr<;{m$IpXVW;TV6H#ma?*}zf@KUT)9J?w{bov^$B$)bBQ`m0@WY=7_Fyynw_i8?M z&munkx_lW0kk)IOuK~LGhVdYp%V%l612GB2*Rfh&5&NeGH zKwvc&>(`J~vB>Vo`j&$w-hj-it?Zh%@*DR)TDK`ciX$+iYjShsv99LTPtH_XH-i<>K6?(^-9rC|~DkY}_((mIAUXV5xnWBp3*RCfc9 zx0?0aR+**y1aC^uevj-Wg5G70JfMAjIU&jt6!Ta`Ks)YoMl9WP)>+z&D}RWd{p)V( zI{Sy+7s+zH@T_sEC5Nc-`M&jE9$Y`dOJm0C=VDW{z3~Gvc(za0M$VH2Ug5oj%Fpx< zN``3qZY^gAMS5Q3yQ!ZS6aeHz46R(>pkEXm0+^`>8*DF;BZd4_tJ2XzS&`>Obftlm z2&dhwMQO7FWwVy?1z4QxEii@;K@C4+36xX$o#x!|b|}xYjm?tp5#n+3nD-@u=kAj9 zolk_ZQ6GFI3KwUJBTm*$(Tai9Id44~=U3qYs$L$n*ErTyFWod~r>w0$`9fc=ETahX zciB&8t-YE2%&r0s?3vM|z?Em#I6r(O8Dag&p-K5-!z5XIYV0~FbOApke)#He*U$y0RDcZOadhbCqPEV;sU2po>+aeWxm8PI&>Y7`n+r z&%aIn&`1YmQ%{R@8*GdzM1y8gpSKWP`4{*lL@dAMwDqHMr0P>_sQ3q8c<2Mb<-CHK z{r!YG!+;ndibtejtiLLBmP2HjEM>&b35cwD?_T5om8&MI3U{qtu{bDw%PsPVBZC0# z29mjk1m~*kxN*Z!e5OSnPeE56b`v5)F>mM8c9rP-iEg`pcfGEfXDPf_arUU7hOcck zJrTRtQ%T9l(zcoq2L9nw)?An$TAfhVU!#0Z(Pv|m1$)mzZ!|A4Y)bcNv1((nTv1E| z#)8hNn?hFa!|T{^XOY3VvjHt^6zg%}+9_{dqbt}m47#-qI;5mI&^Esq-PT+(88{>f z+-Kf#gP+UxDl=>{hSoK2O3voLs7S%AvIG7EDG0gGY!MY)SPeY!P?k}>T+~U?>yg+5 z=w2SIN&4z;f|F)^YOirEzzGq-HkB52(_R9d(^l@{AY}H%uQmN%ieLTbzWUuE3*vk{WDwLTQsCg$4|)jVQ^g9@1d8x9 z|GTyge^rvVo~KbcoLGGK)sRix%ROZsigFnb%L#Zj6<8|2D^xh{@kls8!(mS}*Ca5A zq;!7S*c8-Bjj&`5MMlrPs8KO~hI8R_3?MlV+7zUV`H%H^K3 zxMb4I{4W0|o6B8co-ldIk70cZjynpY_Yy}ld(+v8z7(N4*sC zt#8v-gg@F25;V@RMk1j`kq?D}e$|!qpw9zY2+!;YB0OMTMlcn-_t-wZDYZK9Sb{la zBLHuasXs4}9pn*`6?Zb{SE~Hhs1}pz=A0Z)1B#(0hb#XdO1nR|xf!n%)o^r)LtQIq){mQF!@tZ^r@rbF92`6GflT=yZnHnb0Q(by=F zV2z4+)@1DF+Q%7K*lFT7Mj+B%O=PRl<6@@!?N`yzF||1?=Y*P{qn?5KH&@F&Mb+!( zQ(NPX=Vb_M*^qtN&?6${m!123h?S4nM9Q&7>f@`RL`E9(cnipNLt0S0o6^W$`Mp*& zIrj5JqlooY{cakWhDTqI&K7I~kitaR{g*9w>a+{ZiE>!{$N0&geB*%j{`+>f`V(E! zlk{uL-LDF{&^cQnwpy828J=YikX$`c9;bQD>T<>|CLoqDb-Oi3wvL4HrJN6w0D{3y zddCxVY~V~<5$TEHPE+pccifs$_U2c)9GRHUnQ6k)Bhk8xj<$<8+V94#)8Hiwch^ek zQ{Y@(y~0}1CivY9rhPmUMFGmZ4Zn0kHz|KArkWzh?T;IdPdF=_S;|su6r~=Ak20L%j zMIkKXYK=E_M6bDDT2vEKS?{ZcXa@bu4!o1Ijk)WLUK_LpU%tLSuccCe>8P}$S?;9q zn+>!?UfD~if?uLf_}z?;{@`v&sFSpLXv*Umv-`rd*{PmBCU2~fuun#K_WCW`$at-C zgi8$+JMPuRqwYXFAFvA+$uE7^P5DOYgv6VvUn1A9i$r}Ke9~ytYV{Svt|E)>6DE^z zb-uqW^WF0-%i*++e*i(%8s)t?B6YAygJi;2MhSDBL=cKZWgI!n2Ialxwi1NbZfqg{ z4=JHZ-1tz2>gs} zOMtzMW> z&SqnB3F^%|sL-*)}I z`?@Rc^ewLXxH=s{10r8+FDwdvb;?kEe7z1`O_3jpd6p9WILi;=`%6*bX{Cz9Q-l^W z&PLKvqJw&~7?Y$^oP@Nl9e4|TI=LH@_}lR1u8p#v(fTQLs(D~a=cX8?17*lBLQw(l zGHhuGEit#6t4$p3nIK=Z;=5n$!G*{t!^?PsYeA0dlwqS&s>QP8FAAk8OR2)Y8@9F2 zI#O26%UHm>t989oHcvh~sb4m0)KRKDXbWaD)m%wuG8Z6*Lc03zcDBg0_1YTw_Oi>A zl5hW7O$vonAnRv&`G)&#f8HL=qT`wThz+u@+G50DwRU0=Dr|w7ji_^&vtn#?rg&F0~o_negnFpkyq|&jP9S?6*^B z=wV=2G4J|t?oucfX{Ye2J>$f)uzEp;)N#09@C89JzkQ)s^nz~5lA!AEKlKTnV5vN5 z=!vToge*?Z)c)sM<=5#Ln49_W{&;?0p*tE1mJcGGDUU*Mn?RYcfvmdCX-AulnI=H3 zW(s)2bGUJu2TfMVVq9U#bmk?6X5FW<4N@NBR>6+ik&lX(u~I*-W#l{kwB_6hiEXWH zWm-RQS5>C8(?HkKJEi>#Dl1NB)w^e2DPLHV0KpSC+w=TfM(RgPRw`5LtxV>oD>-@E zHzQomYzDZZ^?p3rDgt$<+C>ZDEL%wLqKEMAllw|a0^vyHV;|XV`@Is7W z*-&!-8iNzVjwMO^O_MeaMZAym^u($u^WkPiOC+tpt)#!&gmY!*o&J0BA3T%tcR9>2 z-%TQ0-p)B1IxhaHNRNI}9?>}TX`9~X2Qli+EG6$dDt*l6P+G(h_QPRHG2~vhZqU$# zA_=c?kNUHR4<@Ibw9EC!E*`ikZ>{J1gAZ!Qt7lRe6*84BkrQBG$4lUTWeyt8hi9&P zFsf=@l~Gj4D8bzNll>y~*;1Gq9^=tnhRHc3(tHZ{#vaEAtk0qn{Zw+ML;c(}t&U#A zI!BJK(M%)ukv@4mWvR3=a=|0giXY~m>4513j*)4DI~VSxW<<3r;+x;QV0_}Cv8Q?b zJ}AVjTk-|$; zkuBzPU%E-Zxx&a-3740!HR-x}on3+>?2XckjQwBlUl;OYlPo$Ulg6wvX+70vcCIzg z4yf&Hs7InCvDM3(Yx&kwB*cGlUELjt+{UCCf(cwWNpA?&HD4wK3Y;~){4bPKqXs32 ziF{>N$hzzF314I6qNU4Lt@~#=CVz&U0cKd`w#5_1y~5`(<9355bi8h&&3F7`55nd< z4hluOtE?)Ar4vCmLgk_5GaGadC^`oyk&{P83oPE~HSBcv#>@#DH2l}9%Up)I`=Y@B zYM!FnE~03bmu*)X0P zntQb`@|Sq|5SzlB2+ovNp_$gYwU@DlOB#ZNDm^V!SVeZ;q|>7c#}IL^2sLY1Q1SKk zNlPhc<&tGaxpYS9@>@O*-3IW9T&5W4*LoJaU-eTr<<(`t8iZadDVKBDvP)7=MHy zeA0EksVJ28o=FazN1H}=#FHO3l{lzxQ}uEwIVj;hwd!+8Cg)*SC2jqSn8w`mVwiPb zA!fm&>8>P_1tDX$K}4Thr^g?;G5qiw>IP~tR|z~kLDmE8Uhg8aHFE1hUj+)m93 z$2@p$7GfHmWV0Q6T=;3)@;n=Ak{}%6&U~F{fBdZbz=I3jHkOO9+u4;+MRiZT)tk%3h(ZnC<29u@xUjA&07SU34c7N$iUO)Dg5+K2;ZLn|u z8P*|v^`JQAVqEO5yNjtYNYskv+%bbzKu-O(%Ay|6g7)5E!uyR_ZN$Fp?Sb}!D_Fmx zogAMOSE-LeHR!qg#zB(&;Nm9lmg=QMvBMfjROU}>`30Ew!WH95rM$zW(Qo@hgml+l zUiRGMJwRCE1^eQU{f*Z6s&?nnA5Us5-oFIi{b9Gp3`8<(2@}jl8E_akPw_i6s zfl(7?gQAl9d9*9lrXEN3Z8I9kzInM}7%NFf>G?B#0-7+f|0V-UNCE|Lz%~+mcxIpZ zx?(x|wKc+8Mu0bePNmI4<3gppy1-f_3YN!2-=RRp-1%B&mNpO1tMHv%i;QOB$4x~k z&MTA;kJS6Ysz1}e*|zhI;u)GTX0OChdnf1(xr)xy>&+|SQ#U3PpNK>1zTM8r-0SHc zEmgIKap|;*&m3-OSXCOOmJvsxzawWD?5Kp_FJqX)vp+ub#znaq*=vqwPjSynC4j5E z|6ATk1UlbaITD^VYof$?oXO;Nmd4dLa1X#)>jlF+=EB0o^9;G6Ztp6u0kcW}$SP=w zUSkjEAmA5e_x$&9+@R=kScFSRRx1`5xQ2~CT1d);T zdC7>S=HL0Szv6!|%J3Fzu<$VMW)f;Bl}*8M!TlX_B#F^AcB-H9s=(E|>aphquWyus*~mf)XYaun_EO9gG}%z7x_)H!Vl&p;<<&fZ)XBZdZ+5q zUVCMjhWjz~&jK>)W`%bGlvT4S2blfVMGbbA(5X`$TO}*>WW{e)A~_b&Vox1wyBNMR zt2d^r##XjPUxdt$tZ77)e5;lpH`J`{3NzeA#wEB063e9S?#ttQcpDqvQxuaK`ra_E z)u(&uI3K@2Co{sa)Hn1kHHWgwWPXA-y%9>qYJQB$?GmUf(`C$as zxWJY1@374KDDzX>SyK~Q4I!ZxECGj!xgQk_Jf%J21ecH*R{w-dE#SerUlE6$X-sXs zb>y(Vw$}0q{oHL;EUaJ3?5;ff$mmm8z4WW!I=Rf*sY3Ug_0Me+D_^x1%D=+lU!o}I zmzx~@wEF7hTy3JvynQjKD#xnIv}SHue?Mmll{k$y<$=GxgL=O>VNy2wYd%ew3-Zy>So>U@t^)(IL{Mj1?c)C#9@{@

*Kspr05Pk;I+z@J8>rgO3m~&i7Wr@k6R?dt zDX5V1)v?wP)A@ZYkC2fjDtVdP;byMFUzva=6PXL&VGRKTT>ZQx=ZMp@H@nsCH(T0e zbZ61Si)9X8UP^0F`}cFJ#hPXBXRB)ujEi~Lx3|7GsB_3S-V(B(1*&MBZDT`;0b#Un zkhG!AP*3nWRg39zo;6pX!I#72cu1&n76aee$Lnxtf&ss^Y>guNjj@SS=Ki->$a-Ll zv}^Ft{IC72%@Qq(quCn z^$hWjhDH+r++EM`!8DoKW6pX75u+9ZKkp2ht7k|=*vA=B;z+PuqLWzP7=3zARUFMJ z-SQW9G$emphUzP4m@SE3umLLE=?y;+j%iOJ@NVf%F-AY+_m|a=8gEx;YPRY}HlEf= z0bCi%pewMY6{6%B4t&@>07>Lk9}|fe!Qux7=uQ}MHO?+B2D!z*+PZL^(hWy4$$=qNO-o@JOHl4U_`o zCJR0*Po^LSsnYBz2pg$>qKB6pFr>%A=#zwCf8q4z5>IR|lKSt_o&}A%s|XUT^Fp7G zSUww}NLZb$mNH;jrP!ampv|*%N;i1QC2pVWIK&{R3p%6%-m%~-X@G}akD&;>m`_Uw zF^s>+DE7u00a4@dc}Cn_xZzSBQp%{n7rzF#f9$NjgRN-cQPCo?6wrtcNX7`=4>+Ic zgRw5SHR8iNteh4-!J@Yag6TxS=?MB|cz{+0sE{QG(MV-UOE?`Js~J{5cKpdo)D1BawdT7?l9lLLAn<{?I=xvF+)HH; zEggcIob*diaWCK;Sa#GW9ETeYz2ORmH(Er65fy7$l^82Ctz&C0EU^8beqalrq~;}} z((p2f3LqzgCq>fCvP9AqC~iFUuvui`z4W*L~Pq zenNm(sEH^s9YXE%XhSMiza~VH_Yfb*-JGU6+1F4j$@&x2s8)$Kg zK~J}R&XK_EG4DderX+fHhP*MV6o@bozV+Pr+2X*#1AofyfgEZwG4~+INW2|sROVU; zNNOT{@=&lcUP2ekwLn0w|M!JCx-f}8fc6GD6*)2jsFy&B(88EDmWBN{aXQ?cJ)>>* z_VC17Cj}-F(K-eC&rVl3gvDVxs*oh|A~pAG60GuKSs3M8qUhiUBp1oxhN!R4+TnZi zsaS_y;PP8VMzF#)Rk&d*;*guk`ewVMAdd*E9wUjH8Toz}Rpb_FDlb00JKE~e4pf<& z{*~%498%>B;CQ2!rw1;H1gjc1peGgK!XOiO6I;PSU2f!F*d5rhux{~+o-+sAQi6#R zL?|{fzAFF+Z%vF9JIWVDf{X-&y~0ihZ?uX9T;tMA2p7 zH~bEetFzb23==>s-cd4QDJ--2+Ja$ z{e%kU^7IKvfdWcO_MTdZv93mVEsy`LO*mW#itI0kI9@)&^J0+Dc|bC9I89D@R>Bxm zC^U`T2yqa>%$e&p{E|I`e@khCf!7gUBe&yB$MMJpd_NLjcvTfIay;R8p3{KFzQ zaL4cK;0y`3`f0i?Z7n8{looJ35Fncc+C@R@65VF@WbC$}W(!W-^yH>asK+S;E{JQH z$_;zCdR05X!V9U=KLL+$8*~uoZu!>9f^hT#NVK<3<+EHkzN2gGNB!{{@Y~MfZOB}L z(Vii1ET2?O-wJ}{9F>6{#|0p22>1&dn2t&Wj=L-(t6jDF5_Ik`9Z<%tYj+1MP}252 z1I?EmvU0Uaw9geupPBQl?9s}PP38dJqya!tkO(HBO}v@;HW?8_O~icp0D$^AU!lVRbf8#XUagkK}L*&{pGdT@f zGA-usf$659rmpY1pKVK^olU}E{)617tXAAaB|0-bRG;~Ru@ z#LZS{5r}Ii_`+fp26_>1;Ml+;oS|=!v7Pq31z?2y0-?8sBd|%MO7fBKUA(i%u;~NO z<|^3otvO5?*XDh#*7^L58BNF$YjYdE|9wHRwki%uE=u*VkGuE4ENF4pIlCXDp2|of zHIl*puoa#X1qP~2yv5qdlzPwwebO+nz(CqYFyJSD+tY5KR8(ZDV*}F}1&#?lQM=5U zL_ZPX1Jp!VMVr+RRUFs2J9|5+_qO<=(VpybgiaW*-DX!(TBhH{HHX_aslWl=+1=b$ zbB!XtebS>-Hkv>NO`^-UvZ%(Q4J%YlHF`^MvQ$zu;hDlG52awLo48-gRvaQlLt%lE}jaiECJpOotF687(h)#>wP9%w^G{7!5UcVilxU4wStw@6)AQ90)UO z4oIe?4mghw+Q=tpwG(67?0`yr*t$(N2Q?mE)trfNq1!*M7gDsNN_yw|gKhChgZ(7Qnw7s+GReYg7d@(aVZR#8e`SMp=Tnd z12Aac@c@t@6z*92W(ZPg4a9}Rev_Tl!b{979ZD~qF#`<&(@|*65lXL7T~TN_tu>b| z)2_csOz0uVMY^e_vA88;bxl$Pa&|Ge6&3o`;BYh%?def|`%T?Zh`c^FW}9Me$M+0= zN;TH=9s0H%`r4FAuc`=_^U$duCrtyyv>f&h_6Gu4pQ4G8Ll8GTt99-?p=YSDmvl<= z5%N4F2-0ib_0yP=El7N(jzL-fz1I=E^-t&MG~X4?d({s#dPvsm{AKy>2xJ(x&~~M6 z6M}uCR4Y36vhc&ZIeC}JT8DX2&Z|aQM%BQr?yx<6ta2wVv_An0B`bLDd_h?uy|e9^ ztl=V9Au6(hcYMW9o+R|}eYtN|ba`uLo7^!k)~5E_LjD-Sb$mVXEN!s32-%l)%%I*V zgqc-<`)k=xvErdUkR#dDpMc-`y3ZisySvhFUXE`VfqYmvs0r`!ge>irDA#3C`lCsc zAZ=0yfAWC%>s9LtNzERToIHQ2PnP72B%IxTMxrZ;Xg*L>+a(|1&kaakI%j*^$in}8 zho#%a%?N_iL<6|&{BO#;wPM|92t`*@)l%<;)J0hRVQ2YKv6{?E{<)H_z{*PbVR!`Q zD90}JOBi8?B|WBEvZ)XaA&@;W2iAb4&K~Cy{#eMg+&aQ8ht=>-5eTLu;5-vTUbMa( zuoAMzw*=h64Xl`36U^mG5ooP}raISZI&^eBKxQW&RheOGqmQib_)T;R==gz$7aVYj z!se)+&+C%L2?w_)1o6-s4OB@k`08s74}X@W=NLw{EH|@B`856M!E^@!SmZ;Z?q|3E zPO1Nop9FN`_;``#+ppq@wJIyOAAe}>!|DJ)HY1MxcY5uTR+)&K{K${@Nyu4Ah<%fF z!}->442Ss{GTW`M0SIu4qrByW<*;~GRQ$A;#t5z#4(%~}hpG4TENhBoZ z@hO1^*%0!m^&D264u5IOf4rY(Ob2h8TD(&rxk&l#IGg__q)tcj1}9m+f&;fery&|Q zzHxl^bcgIc9z7oWgL( zb^tcchmUZ`AHXy&d=9;X=pj?lS8JA&JNzzcZ;`s6rO}5|YQkS%XB-P*iU&hd$Hu`y z2%|87Kl(CA)Ft{25`6We45T{Qz=XOk;nD-*1EnEVXE9qXz7QEH3i^9m)?VGWfvPhZZ4# z9qbpjer6`kMys7T>mejEf2S(DGm|5EC%!2pR@7BN8ir!EQ?}3TbsmeHAX<%c7fT zcmJVZcGC+?a4k zTqEZQ4GzV9jv-57JSWnFNtc~t$8vWb2X22jkG(~c&m=LRI`dc{64x44{4Mg8;YR}M z3X3Qyfg>wtw(v@FCUK!ASKu; zbUUT!w@50nY3E_AI zuy%Qh?ZItPy;%=H*XC@z;GbGstCV~6^ZHxo1hZTbIcBCA;8S#$$NyIO~H!=1!<4T za0bt6cw9D+w-D2#M;;r+D8Ck-<|#dB=ia;C%yKZ)w@@llhRYanBWKHwT)z4Gep!Q0 zub4+fl%JQ^`kzUw%jM?%MFm0UDyE6?D`RW!DukKJzxX-0f~;qsQh4E1V88kn@@{V} z7xt%l+!A6;=@j-_pFPpAlAT=0##&kO+K4k5SBvwj%9`IeaUs?y#n4t|OH9fg~31 zk>GQv5zJELsc|Du9SWGMxI+2(s*7(r6&oAiX5Pg-%0Hg$@zcM-QY_FAa6xsln3x}e z2w_e%6>Or9$+jfqKr>t7n$Fqm&z-VMz;IR1`A1*UF0B^82;y_ZA`}!k${oGtapk4C z2=7kC`!j@MTu2$zcjk zw}^0c)Cf7Za34S8Qa@!OO9f1({`0~L8_*1rV9vH74O3|!fhq2^KTczzwaarI+urmb z{MK!55@J3>u=K6fP6anJECX5ZI*RjOMB2;%VP|_YJO)af%)8E-3f$CPx|SVnyU3O} zPJp8%{rE7JJCo6vSZvFlk2>(NF0&Z}D+C)!-Ym9XCIUl49%1ne9; zYup6^?FxNlgWc_3f?=lF;O@-O(;bWUyovPNX2dQCE+7fh&m;Debol-24=T%*CDI_% zU}5;3WedyDaT35O>Ldi1>26m#@Qt6OZh2eJ0PHEgpJhYj*>w2zbnY}R2u=bcgf<5n z6YYq*^dUeUW?}t~jQTh=4*86NTre(r5cM&T+7RGp?%A-xXXiC(?+f}v+u_9o0pN#h z^&#Q@*tv~qA#5C35ygi$$-KpH1_k$iGe%gm0rJLIj081go_YY)l&-o5Y}6KY#`Mvb zB9$zBv2fLSU;&?J_Ld`zIw$3?0Sjd_14wXzi!ft342vM@m+ag^c!fNB%on$ul+V{p z-;K4$V$IQ5)$k^{&LmKy1TNm@rXmLZ;{j1^-w-4KO6`By=9bMQPn15H&yRc{hr!QY zxpZ9jcjyLoz?-R!uLbEJpU@yNz@ASLRb)YA!0ASxY7Tdd>!{cC(!%i0F0=!KWB8`xtBJn>*r1MP#BIujrJCP$~ zgsdmmRe_+mAtV%d?m|tsz5#xalZX?jh2?9^*=6!C+z{OGE5a2^CoG?zic>4>_*qQq z^OC;V(@xy2zwXqr=7WkH8!mHCLElfgVHkplai+@AHab6+qPX7~XqSK5Liyx8q?ors zSBZ>qTn+e{LDH{7{K`P9o}&jIPN?sGGw?+UBMEK`ef3|`5KObr4A7!EdFY@xP`xtK zdJZFA%MQVPF&Q4(8VFU|G)$UI)C<_!x5hm z00^Q5#!BO^J!{n27?ym`cZ6`^p%ox`;$jOyV3ihX$XN1{QXqu(4*er}_x3SiMRuU` zZ;m>tfZ1cv9pmpzC&Y_T3thI#vLvXdy9L6978mh5eA;maZd0y62P~2#T7RfJFYw@f zMX3c0THtOfZfyjeM^e9YDNvWng%=+sFhzPfzwo+%8{iTdDTNK?VFfGvu4c`@um~TX zGm>A>kVk@C3}yp_)8?d}MLU`R-#u)&ofm$aUcjMWvMtQDMDDQXCzg|oVE!5u*W{#W z57ONvZ4qr%yOO0dVNQC}Q6tO+S4_?l25HX;crQQ-U(E ze8$V0NwCVvDZRnBr?+2~t}2c^IY?;%p&fsA1A_uSYkY@NU<6zV{+Hs7|7-?*8&VfE z%>>uGh!AvjI#J!yk6lS^zgHH4_Qe_xRsgo9!`p#`4q(+b-B%6h;lgR4g_$0L%Az~L z!hJqrcmje@LpMG^NYa$pLA2w%?az=YL~{P__QKO_U=EqGd+sk|66R>}evpF*gp_#a zH;9mU;p;!-;f)BbEaXxEfekq@4p~MqRuZ9jS~v z98y~lBL{2@n5rSD{CN(&2;9WUyBG>#pH}I^8ES}+QadTB7OX6kAKpS`(D=r(bIPwQ z8>V{4hW*VoFAhJ-vya&-$T1*SEl<#ZaF`z}oZyuC+>pPc-k1}y?+T?+{cS0Adl?kz zD?1rhhhXVd58eSSIS;b(UCmcwAwz#4AqD~stti`eqO&9R9!nIq2V51?`q-k>2v_g$ zeNrrRnm|93DiYN{#@*!KR3>q*Om01d;d$K=*(=jXDw8nD4(4`@8$J5|mROX`Gl_Bo zryDHh*=c(|<%hdqu*16#%fb?i(atuLD416$@0GQjy1e^NI7+Ah9JJIZ@W5q4cqbrV zER+Hcd|104Ob1t{hL!zyzmsmdQn9SGj0#Yb$VM5BN&*4(pmmJ(K=w#gK<5LkToc|{ z(?Pa)wxnVXgX~%n$`-3nS-T4A(732U;pCY1(WnhRfAAwQ$2%S|x~Q&k8zFBK z=HNrj-_|q_?e`l9^>zk|Jv5PT7ITGkx`r57XBss=yA7 z@thxP_vtjDEf9$2eyGa$*YH`%_$a4w-=1^FhfF*O@J{g{m+sbz8~#C zgjd$m)cNQqab%C>jDRi+D#MgeA~L_x$*UgLF($#X!P^y;lM2u^&o*#LoJ2WFG4-wybv$QY2W=SwVEAW*oI;W0-M?efRMazxFW zUIS4Vh+7x%OH=R^{bPIxFl-r*K#K_|jjLl>@>vQ+Fg+axfmrZh#P-l9vow=nO^ltq zAplqkkO2WH7z1mHzX)`J7Nd?HA;W;Su&A*~sx{|K`woznOqp_dCJ#Ni$Y6?Dr*osL zZsvDbBCf{S9uOi6HJ;i+r1r>4L72I2Mb4p$E6Et6cF#^4x^MvAg>A~nT^@}JdLUKe zQWyfs0^^@(!(b-gD1eC%1vb644mpW?+>qj}iH-BbP{crK8{@hfM6Age2VNB#357&R zK(0ce&(52CRPjlND+P64pjp{(SVR-RO{D4-LM)e!*vC2vhX{imYp2pi-{lw!T9qUb z^|JTVjiCRBp~1y>Z{`aX4CWN#kGhYq9RuiQGAWV88x<+fKq zD}jdK0$@Po#sp5d%jI#k1odC)+YlFyB*Z|wxJysZ)Ik$D;CI{*y7n?yf)f<^>ZQEN zq9%jYalMA4#yjQN=kMcwHwACVf?#}vDkAy*dBrJvdbeP#Uoh+q8jaUZ1BN~{-90e= zXMibbJK|sJGYrd~u|VKORp3QGEkl4qz~Erlh5r#k0n-O%1Dhs?f6iyHM=xF|LUzqH za;W$~(ReX3Qb)jppqKb6>1V5X-XNF*3ZV$YMBCD<0U5-Rp#UsZNRY%6?R$~L2%`v) zv{OYy>DdteiA7LPR-U5=La1TK?Y*vh0NtK5RueddikOszPkHvAPzlq5(s&CHNl7OB z%T@)0HbaMmbSW!bTKF!V>Hne%qycs`|&uYFOc@!EM38d$5l}x=~bnaV9;Uo{%Y^ z-KOF0^-5DtIYFDgq&w%~x{Bv^J3auk4t9Dh953ke#G@nF=K`OW>>WZN%(_B=QI5H| zv{BW8~`z77HjiVrImL27qQzpJ5X)8-TaK^VP zb!Q98?YBO2bgz9aetn$KqVXatI$8Eh(?4Qz{^KV+6{q;zJXL(6|Ch=6OZT|FZ1Ibn zCy22Z`ZUSWe4NTpsjuykCzAHGl;-ueQQ)IxfyAS!-`HOan)kx7M@-N+&m4P@-`1lY z)%D{9H)Eg4trPG2Cpq-zS=DbFS501WVV7^mKL52$ynlvWo@M0>hBRiGb~Wf1XM5Sq zB~Fzs;^>;n`&h#6{yJrXnm%*jX`*RNEfO1=ue`dY+^_qkxeF6Lcy0CNk0ajeDM&pq z)(X=cYqjCp2$(2bM=?l5mz^VC_HyJfdM@3JnUt25P(Jf(?YAABk)Om;Abcb>rM71= zA$wZRoN;GaGxyfVnTQ_8S&)vrIUbp(;C8FNdWyX2C=#W89CV~{XR#5LXTJ}9;W4sO zAK9^BG086FS^c%)RxH1ha*w*==h!`t+BlPv;He~lr+PVP;7(N#4CPI5A}FjP zRY&L>-Wam)yvI;WjyQ5y)?zB!#Gr9eq|AuRwpS&Vlg?uXM6O^zRToh{a}!RP|0MtZ z{ztYh(UN-n3kehFo?=Yv{3pM+5tR37WKLq)h47iGrsmnWlhVZKarZgHBeRkT$jg&P zvb>W+x{d*g_+JvZ0_xkxrCGCa)7GtD-M60H#eSGJP@`K+4AFB2)O)`>1Pc-^=_aZP zDtuqJF&AT(L$pf$T|Az_)vm=qv=5;CYD^oTxQMi!E~}<<_T-oLUM2jy(%8?x{Z{+$ z6B4LRj$)1ve%T0pnrw*9u$PstrVsmza^xf@XYvAaW{Zyq8Q)5N_UfzRw6U~09Q-hu z0!Z2V%Tixt|4Ga2cJ)<{?^REwT&l*B&n@0^;@?dYxvWNi-;ex%-uH5F=um2f)_b2Y zV@KW9x^}?MCi<8=t!3b-Jk)K^zC35DF(@6}sXBI5;6T(O-rWu|mPw9oKgVxzjI7lr zDy|cgWX-WUf0yjSn1KRDgy=^V^SAw66E}V8OxLE8Ocm24iw+ zO`jjx71zy=&V{|ijd^~Q(3=4gK_+lMw}2cD<)q1AV-wXLt7S?;HHI zUq`9;??;~0yTcl;CXy3o*vg(Wu2-)sewv=(F!h!HJc`1KB>i)}ds>^S7BkLkAxKsI zm8Q|ZS=pl2Loj>lB(*i#B>#I;L$se-s@%!kXK2E6SA&!uZ5@xIk0ou8w{V@p5r@>r zI0drU)+n*5kKY3=R467x5F7)`)ep0b?ExFH9XL0f3woz2M zjQMsw;G-|9deZNkGn<*z2j;fwBbhQO`-A2K3AvM6>AK`cW&sfXi5-1Wm8XoJ@-u@- z)oK=wvr#!G@-M-n*}2x=I(&29=BUs(zOjfhe;MQ{fqNL=T9$f zD$fiq!6h~YyQltH>{`@o(yuf6k7lkyWzV^8$}e6LrF_B2#dO2eb!Rutj}zs-<9u^& zka!w!>~Z?%%+=m{7}_Fz9coBn+n2I=pXVpEp|O(fl|>?SEihuR z_Tkyu|IA`-sd&-(&$UgfX3QGCu+HkU^nzb?UQ*K|#q-Gv`$AXR$bukNg559iHC>q> z>7q<&UNw~gG@m9$$f~I@Arjm3JIsMNr$51(Ie)^n4`?M16*!}#|KeP)Zb`Cu3Vp$+ z?5C6AW5CgEZ)~3FdbUjx$y1H6h#q<1aiYLz;^Lk!bn~ruyE?G&7E&rM`+7u?k6ZG^ z>&7hobKM+~_}~v+`5GDy&mJK;htArBvB(``PQ;+-Xj;%ObSHPxwsr zB<$u968QY$sp@^weW3ej`P^9H+_qFie=-}JAhgJjQy2`P=@$Do@#Vlj5kIcL&cyz7 z7@AOi)382uXfq@BjK5ARys;PyA$Yv#_~c<{)0K2{o3muS^lO`IL`c*LBO7&Gp08H4 zxJ_2&7#(D!H$SBYzVXs}3aB%UNZ1!iEY-~{b(e43PVwNehvVs}YYV$_EerfbK@EL{v!EM||g;Fp0o2IH z?+GuDGVnS=N{bvN3lhTx*4DwCA9#tKwN1X2+DbRjf8cd>HTu*GoU9i8u|A$2%gCi-C`EE;`cP4^tV0{3`Do)$ z^dN<9&;18Hk)IB3X%2TX#SGS@EK3DwX+wT{do%%b|lJd9q7tFW{SW7OA zgice*c7|S8UXI7d{gvx3RLIUB%}<~ClpI(nJ$bL2XZl@}K9^5ZjnT++D!p4tJmUC& z4AisQIElJcbzSo-(MFVZQxMbo~tGT&GMI^7MJXzcn4m7o>5MH@;X7hvN zk5gnmghhVy9$jGrq-B(w`6F|Dk-MpH%g)EWm7Vg)ii9bbb&O+>L)^n%v&VMr1^dPGy#y5T) zv$Vip`z%!_Yx>sK5~;o6n_%WzV-#aXUP6m?Z8({GVV-CR^n4&cq_LV-X~nv!TnLpQJUfl@kVKSs+=$S5Fg2rscbc zqq|xH_sLaeVDs--a_GI|zTPGJV*d6>{z|v*l#)TWru@F)H>$g$s?TNpoh#+s;iwLu z=k}`R4;1Gk$#!KT*2B2{ZF*BWn=uK z53b~pf0)KTs?aOtf<0WaX$vlv`8TmHO#tO@CHiKOQbKuoUJ(r}q|FB- zu3?ikMP*WE?w^JxOa(^P!i!8;PpbOuX1V%clediac zS(H3}yRA|_M5{;VyjdC?M^JBz(K#1t=ghvE$Pyfh(*JO%@|Zue9DlGH^dw(?Kl8#~ zJwfT%v<#lz;o-IxIPk3D+hJl;tw*1t8fYyaA?+J!(~itWkF1^xpTG$&t0|gKSRLhB zw_z;)ai$_1JTeML7YIX%83X9fRqab8$jeI(WoIWRgy6F9o< zkGT|Aq;ITuPcI5)6MZX%+^9F~+QB_|5nC=l!~0uk*UDbDeYE@9UiVK8N(J zV6p3=`P!C=ST1#q6A!MTejpMClorh9w7?_gVnHWMG`}rtfh``J6{a3z;v^Pz`cS(s ztiJXsMJMli{PYj(3fUKXU)&RAcl<~&Dgf3Be9C^?=zn!<9f_JO`-~;IQ;(>2pNmb8 z(geIu#y?;O^sf%jYe-t(iAgx5SHy+ie_|Hh^l5R|C#B;Wq4KX}YAo?b%QYBvhQ05= zDB%4hHDimPDxU`uHPk#(qQM_cCXuf$(UVud<&ivK;&qxf<+55q>PRBxl?2EbN)D*U z2c%NOFIIt*jM_aK2S|R49VRm)WIk7)+%9%4f6X9S_^1Equl+AFP=dSkFe)Cn zuq~GC3GNzxkpnHv=Y+~d?W}oK_PU0J@H^wlp8bX9bSQ8|1XU|e9R^(k>zyX+u6xc- z8q0&mT|luN!6z{f4R|G50#9%VlhTho8s-;D4pusb=u!x&R@O+wP$-=M&rGW7q=0X$ z0zD(IYgsPLX(%L0MF^=2gkD-@g^oS{)7zAW5%`7OV|kM;e*jhkdrYm)DXMFD1%wP} zAw??V%t!~l4aZCAlDiu!TtX5Wpi_DVntml93 zNp^JRA)@qgIQA;;!##)6L#)+@b__9ap}oieJwla&5+BOY*|w)L-W06m6n9ENs}QaJ z1d#!9)KDL6e?^z~VHcKaTuKb2^Ca)$&-%bO17mW|aN3y#q}8^a=r~G?ZUCa;&29Rk z0n$97vy3~Hme*xrw4l$QD_PEryWwWE)9TI1E2+*&R>T^O3GGPU00e?{5p0vbl|0Z* z!9Bw0jhF>F z3!^A_n}lyg=lirc|4TeGYjyptHWJwh?_Q%GNEM)o;Bl9g)2-IIC|hTj=@qXs%jX+W zzf>V4^pN~nqioZKtD-R%WCL`8juie}&G(rk22Ln^gLSJ8;r1~j`Z^LFn_Pxj)Hx8Fi;Tca#T{-T9sDouYvktbRqiUsTz>Qv&@fECl*FD zPcvTs2?tw19KD!7Hlcom$}J0@9|tG?^xoDl@8lV>^Es6MZxRi2)4pX}t43?MY25r@ z)1ZRI?0Foct#hTM3zA|_Qu72#KGz66U}ui_tlQSq79Jx8N38^yChTewyta#vuK0ZJ zI!NO09%z))_tFTP0vOet?aq^)Z>!Y;F@`ABD)4acW2Arfbh1nG03KwsbmV721bWFA zv}Ix%bK<&}2HLaZB_t)n7A&QtQsq^kXatJ6@X4_G!L>_>hV2vnuD3^FqBu^^>e`lT z^t0M&@lplSXV>Y6@x$U1KNZ*bjA0owV6Kshh+xRJmJ>H!Gv6)9`-LgwNY1er;h<`S z+Ja6@D9u9}a2p?koOO|jvQl&HaOVt%8R!P`G6Y0l)0-kzcx}M=o*LACH)@I1KxCJ5FkMEe`}e8<B`}twJ@E&UUz>+S+M=u|SiaVu$kyUc zZTU67y$KYieV?cn!e)hLBHCsY(;}s_7mfwKK?N;%CqV;X#2@iIm_XFf!e}gCO8_&> zX4&w?ug;p0_R~yhd9X)%xaSs8MqA9EDXRmhfI?jxmBi6M$=-e%@ArbkI&U=}?Hd8g z@V!na6Y{o0_H1NeA^17by-Cali{9}~TD|t-5q$aoGlD*s3nP3!6cIYY9 zeEZ_sYn0n-c|Uta_Pde@YjczBY-rc>W0)yH$wolXQLt2+r z;C4-^`%M8B)Lo9bS$SYN5>TKG0lYy4mLHg3OToXx^>*9?Olh6Tj*dfG;FhlAaQMd| zhMz(oN58EOGz_7;sOqxkt^5qWpy_6%xKCw>S^&w&mWdjy(P(?E4zmTYQ+&Zs2}t8v zt!NanPJOsF@*bg*Q@qlhokHZEQkSnV_4zXPZ|AdMs46fDZq529wdw!D_OQ^219PV% zYl^$%#tFp{6a5p|Jy8mH8HL>op?t;nJ(2NYSfS^l)VFzEM{9$8tGB9`dh%diQ`xB! z?OkK7VIG++QG^gkE;QNy<*N=%8Fe7sj%-@=n0Zu4{Mbl8cSsGh=_ZerwvetaA4~-aN8Tkp#`3T$_#X6M-|IlQ)N1pP?YrQ7+F4^}6!cG13WRN7P_WWX#h2 zy?tE&y6Jgvi1zpliN-bvN9Q7xlj}Jojs|-!=~3* z_J1Hrb$hdw=3Y;`)!klgyP#~+gBI!0gY-3{qW*w`jfxp1JQTGkr$CB=M=V4?oq7K3fg14KSo)=O}9(DO`(;f3MR-H=< zv=zz0qV@td6`9<0YXELIU@wa|L8yWP8Foa1KH*J%5clG&> zi`p2}|Axqh=Y@dJuDHL*#II7y-!W(LcI4xWK$r2_pYaSu4j_TR*`%e1-oGNm^>eY8 zP3vXX_|k~)DP85e;=16%NHa*t;k{+gZK2#AMe%U)Nw8tI=5VW^EWKXS<{yAO3?lW4 z6!1;-TB&;J(ciAfA@)BO?z=4Uq_m*?+kSiZ)i2~em_Gc7?-N`rYc#i%VN*DZ8I8`u zMB!QXgRW@6g;kE3M~t(Jp;34a$Stup;6Dpz8N~HMS)XQ3@Sz#v*K@}n?mq*l$;5Ql zx%oRb4uGzEB!xpvzA9&vE+e%~+Y<$OYOrs<$X9#(6vk#BM5D`ioG%RG>3K4dju(v}ql<)U)bEarbyZvA;?UErgklTDHZh{zsQ;=Y%8SpslB zTkMGX3G-OeR*hAz!9JvPbM={LyhDH>5g&R;s$gujc7Mvv?z~rDM$Hfw-}KAP&Qk%S zlf0KPK zX@L5C2#=7wi=r56&oRs>MCL>)NezC=MQ)GCUh=}RO8X6n{3ImXMVLhpucjtVhaO&~ zv?HtooMP~Le;g>h4)c@f`L)m(2)Oas)rzeROm1IJ$jd#j zm)Ud><)+{ANJz|A!}&`pqaK*-`K`T6ozbM9y`J}|^PqA|_MlHwp{;+kw{*T2_wr$Q zwX3nQHv1k^Zy%}Y?<{igWEQiD@5Xq2x3rl}s|W%S0{x(cgzXxU%WA*<>4HoY2#Amf7*#uVkq3_Pe{UO z$Xt3=^^Cv%Rs6jr17bruLwtyOKx}IrF(B%vZ?rzPTV8ckLBgWG1%+D;9TaLIb;ZL` zDcgUjGr(nM{Y@fCnCZL}x>uea{v#qgIXFb;c6T8L_A#I$a7Ako>tJ=kYbVB9j7tFy)qkO2>f0oJdJr~{1~_fE{8 zMF9X;51r+}J}D^tml{OdiCP6h^n<9V*fHenaw`o=S*g__{C$nHx!wGYnU~m!GcRv$ zF5C!D=}Z>lewr@nww01V_Lw1(txV$sufcp~YsZl^@|GO!N*Zmx@p-QFdQ0(hH)>rF z4X2Fai4667ri5$@eG{ud&aKF0iA2={e0gN_eWgJn5k+faWibWPid@3JQ30HN%URM< z-%ahKgZ7B#%%iSHoSEMV4ZpCG--$`$GBz+W>j^BVi8|@;^l|d)h=2nxT z28T!*-KziKiv;>}U%e*r$Ec=}0;1PGRFA|+S?6@)xV`6$+;{kXN&QTO0{afMHOtUs z)K|V}jS_cp!Y^W1w5}JiGKde1d?dqwB+y#O_q#q5ZISo!1fz87+1U=`OzkTdnq4l6a|GJjfl6fQJS%gSq&ZdNJKvF@ndPmT>2&m0n3G z?lm+Z$+*g*OK%P^dH81M#Mp9d$@gGwHFwqHPD5Q>YrJ{awFjvvm+EeR3z$RPZeJsfaX2KqB3g1JBX%f4FV z3pWUqrw*@G9-mANJLz}+m8mV zIg@CEiv7xnwoNdtupxk&Il9PmaS6pIa@J*XYpf729P}A4-;Vi(6srH zOE{Nel=WwMkl{%2t)|akom*10YCNZO5oMno)u!{#0D**AW_3p9aT=If4{_gXovtr4 zT?VUv7|~Mx<|PVnz%M`-UYNaUE*YZKV$W?r&OMZ~JP*p-32Nzas*Z(&PDo4Ud=C&cIe z;q{aSWtM(6vT(W`Puz_F1QeI?5>5jhH!#u!jq@%rVk}39`eUgG*UGxK-)pB3&@AJ=CmDy@<=FY@g zSZe{yy3B0A@X2@`cpMWyB$^)XzJF}7Wy~sv7|6|~7ij?$c5eBDr3#V?%(C9Lb~I~g ztBHqm^#)mrm%_zuf6uo&^(%;n=NwTD7Zdx_ z0mpPCc4=0T(5U7lFN&A|bI8NqLI!>mmTx}hN!0Btpc+uHH2WpxM}nEN$VT>*g2A!S zY(oB}?OCaBp)wRJ%GPBk{}h@#zmt4?(|l? zL{uX`SwTvSRaaPTXru}b5K){iS_VSSDLIPs%;O{d!?pP4XT!8KlnFN<{4FTGDXFr_ zB2Dj1O2%kPnIvcaQn|!5xCYa$clogVaZqc-oL#Q3_FXt3kws|2(SlUN^Y_4%DtWN@ zKt%h8Yi`EoXb~_%921KprDf1q1o%kWhSW~&j@W8y**V_AbyycE z&Z@kgywqc8%!t(;OZ9TS7Q0;DU5N)Frg$*!?@(f3n*}?@-k{V}-#fPXaIll`aJIue zGnW+555T=ag_KkvqU9EI{umU3hpyD)d96@s-T^g;q42&216SkUe~8dg^5@g=?PX2( zGipes$bYtIZ~dZnt2AWh5?;KD)z(h?EP{%$Lx0{~9W>|sIf~F1nQu$hgP~*Y`&*wm z{nqAL++~|&soA*Ue+7jFk}j)Q+nlSi{+OE(d_mxfS0Nwa2Jl+qL*;WHDx_Wwe>|yq z_kWXO_wUO|^xFsu-{U}E5L<2sghLOsUiMvWXdriy4-R2%#IYsMosNKXiyXAnLpij$ zbexZ#2nbAIovjm5g2hwgNbAA4RCFs z5wvx8XJVUwTaD=oEiUlw4NlFVmKvgTKo1=>ECLzd$%<|3<(f>g?P?91j*7lurr<{E zIaMrQ-l!qQFds_6O0*`Eloe}#n)|6mYJ#ZL^>_K8#w_&EYU{-189wUK35ya3>kyA-?VlQOf{FZlEIbNn-BN`s*(wc2km4%X# z&)SF`$9*qjBD{Qy;bEN&##)%g>d!%or!FhoV7Qlu6jdnXFL$exhR9ilE_VKLb4_`x zNBt0IDkLTflSwbGE+~m!b8(8HaKdX^Ukqu7r2p2GVjE^KtEBhXFX=N4AaHG5E#*V{pXP8R}Lomf5);`$G$Bnt)GNYHGZA%@GTk2I+EXA_|W4GBpQ7_T>o(ok-uSO~vR3$onY<4ti~%)gns z%|{xLR03K6Py@p>1k@)lQ z>EH6|bIRC;u%ht%FFu}STo+L&R{L19HCH-&Gr)!4e;k!|j{b^8{`wu%+|uSB)>UJR z3g7C>T3j~-x*ZmKuWC>|rPxnScth;Xqg>kDWt-OQoq?%Vqm&kTxcCmXpNRcn__USF z|L5mr>V<*6^W#3CpHtn9O{IVf30>v@v#5oYLyqhCE0lS?G?&F!&=sB?w18s9b6ivV zIKV!)W82iD3xLH^P(~UhiH)4rbU6(yr)<0)1x3xzXTJsw>0h3mH=SwTuPRJk4(eO#aN8$ZqJ?)@?m(Li)$}@t z;P6McfS#84eUx@R`9}|*{Q~?>JSEKls$xl>|0(K|Xm$Zw_+F;swQoC85@GF9 zmMy6y#TJI#`&>y1nFfCFk=Y3ivRJ2>YZSnaQM!6H+PXEbCU(WUoq55{Rbsff4eJ{& zqZ57>F0~DV;I~t)B5HxsH6)?aUihF|U=O$=&`ZI{3J|DtD%`&}^_TMhccvYKX~8b8Q*WrkfA1;O2DBxpsND6q!x@N0FZN%6L7Oq z2Mv2+%s0NM63oggp{HilTeXKfSKtc9jgtGNb5F678UUGW|7p>&7@qS^f^0Bnh#TRb z>$dE*cn6Ngk#SLFf2e`{Cp+8(WZ77}YNn;_{bqExZ&vG$MZLZ7r+KZNsw~ytVnmhO znX&>llH0Q*c!hv(!znxOdLS~x2bHJJXRJDF7ae^)R5uHQkcK7Ac08w0gLoIHl)Mza zj+PFWf!(C%+Ms?>c1pZobO=2cX%!-pe0ZQUR`qSYX6hw$iZ zX$aPRgOTu!0>#hFGtLc z&jhYB{Bf}RXIifZ_#9YC?H7nju}x9{ZC3Y%${UiV_X3X5Q;ii?vvGM*6*<(x}p zqo2vO3?@RCP8lyTvigauyor+P1GS34R3Yx}$Zxgap2?bzN^BWp-gC3)8s9)0 zTX14JZLgPUl)h0kSUUZrrU~n6n3rXBBkqzQHv;V%nwkjnxrmgJUzWgbF%n-zofjbv z)xP5XWEx0ub;+WBQ@2(eOk33y!e10X$3j&Ge;D}aJ$*U7vZmM9`&#-;&vY6s)#}mC z;XsIZ-SXrkeAhprid7oG&hJ7RF)wesC_je;Vu4Vq_{pV~O29h^B9h2Qd`JGU$+jEU z{(Z?8Lo^-m0q>tisrJDZB$dH+(! z`g5^~?pTzC)ark2`z2~@!*bGS@z&0zg7NqRf;fAYH_Eq#Kg{cKUqdfYzG^Hc1Tt}5 z<4b4!-uQKGVq#5V4o=@D3U7Om9<=(qsP|Ow&b7RJS|Glk!8at6zqPvtM&*_(FLN+o`}AvUr*2RD6+NZE*5mTdff+>7n>Ds29y3NYJzO*pP!7@4s^g_S(9^zVw(@ ztf%_j3J&ZeS?uS8{VlSiD%MZB9IcU9W^mK=7LcCuW8jXj{OH0ft8Ipfp+5a_%j!an(kHO=x;lc+}9*D_YmY=#fx2!;YD&OOvCZJ->FHv$;VQ5QWkdzT6<5#Tnxt^20$Z=}?8zO^UMn z#jEo4>F-)Q`|ORhA=uf|xtf?1h(81^RB|#TpDFY7{@mXD8yE2u^7DZeu0N&SMtMmtRrP@i zy(^~QAlbm^nM!>_SIMKtcq4dzd_%(mtOVryyG_>1OD~G2qvyOr-GWKvc5y3sD&Rwx zl{q4I3b@dKhN4yo5Sq>EmH!;OJb~AF#PmND6k8_wc2D_r+WtUamPEG~f}q;2y@Pc$ zUL}XkD%Ci2d;ZH2D>>Z(d16_N z0^!gt5w(IhGtAu)4bjw;nZC2Rvf9JpR<7o_vDLN(7YiG3`y(o*JetRi)EhEMus0 z=3rGx=ubu-Qwk?rAD+@r+(`80F(R4&j)f#&+5X~~&HX>~$M|%r1qBUej2t=-yDqiM z_y9d)9P!40LE$QNjusqBjmy=Jg9apLL>E6beHE;rTGKPh5b77!-%a8@Am1P{@cA0>~PAkg+T zUufIH*!e{F;QwO)`8Ny_?<&fX(7KidZ=fZ*hBBlHNcf16u#!RYNicEfb# zTM_nERJ+&`AYv(ymwMkj!z} zIgzG2P;lCJuGSH*Z4HJibJ?t5@Lbakmr457H`PPOTiROZWd#zf`wB6#qP41lj)?K- zjoZy?f3|&jr1)>hSWPYN3;o0b#9B_9>Io@=;ykwegBze0Mk}(;PqT7a6KTQ2I!nxY zATNC1J#r`C3MxrB0Q~jWUq?p~d~yGpdyBZ8J8ZG=amwx9Hm{x)%ldLJ`ogQT?)9t& z7U_ld!tchhf1q*nl0=z)V8!K=HigPECf3R)xBvMeE=0ddd$clb>PgRexKmnM}S| zD|upTlzih*yg2!+-L16(Mx$${piXhqg~3gvT&FZ*2~rkmvZyA zk#H07&G8bi;UWNdkyt{2K!WMiN!UT&4_a$Ck!nz)J zY(C!d#Qdk(-2bM=%4HeW_&s6a<znzOWcb=DU+BZGjean3cO4kH^7Th{$&#hr?Gu6M zX+^LuKJ@E8hf7N6ytBr~RPvlfB^Fii_<>eg z?Vi<}Ew7RjBY-UGlL2d!+;pd~;zUD!eCnHp3&4SYl74BOz7-VhDz*`%{Vx67KkEZV z|CML`7rtg=weq*A$zAt%|9QFo;h$Ig(4{fOc(VGpF;=1~J%57Qv0xr!EL1hpBD@|S zyUZt$eog;jtdk$;=Hym$+KX$Cb?+PBu8i_IS}d0-PMgC~Yi9x)JIpJT^1Oc+@c9kbkX4QU?>7GrgrIaF literal 60181 zcmeEs^;Z;5)HjNNfWViMW(^ty>1Gk6OB$p^q#LATQA&|!=~x=1JEXf=x_g%{S(>fa z=Y9W+_dI9LnfuG!d*!P(vazXInC{{NHI$Nx|IJ~{~^Xkk&9_pVo)6jxngC051%S@cCO@f*jBS1KQ# zD6p9Q_u)Ocg6v4*llLRv;f&Nz6g*n`S=EeoC@{B`{?Ii+;q}?uvyJR?WKP$ypUt1P zqq&iNJuj~Zc#@V(dLc(tTqF92i9z6x?9WfUE28BG2<|%KHUsV|Ln3?{g9uDQGg-VL zUo!I3@;L_cw<|C1jDf54q>@cBlZ^}F!CM!~4sqPQqsYQg~{(v2P zm9gqs>NRKR=|V~$0z{ahSV7D+#Yv_ zUt`jcq4(sr)LGNzj-oAfZ;&55wzq5NR~J4ZD$lK)4Qx%y_ZR*qJCn$`UZUB*0!#Sy z0tZdfdGuQ<;+&;Tjk8^$hhIah9j)5X9`x(6mon=IU;A{~)M8gZl^vD;-Iv-OTBxoF zE^UZb>N{+866rf=(|c<46ww8EgN$;?9n)R za0&eCm}wNKp`JlWeB0kES^E_Q4EK5KPj8V{7Oa1b)s-mCM}oqkTo^3h!oCEbByahc z^@JtjX3zK2`Y!f6F9y`GkM&Mxzo$+84_K)dI&0ZhW5(9+?T_;PJw5tt>0d-OexVKd zA2oR2G>a_gUqkemmaqeaCtbO2?n$%kT^ORnKr#GQU+MWRfY(*|9V^dR``w#Z$cQrL z+z0LX5ZcrHJ|fQ0Nt>D&8Gum%d7z}w7<%7Pr@!#?)@K_lQ&hW|Lp{2k1Wc&A;b!Fs z*YPJind{q`0A%|!7lHUqcJ}|U9FdT_cF^32*1zvb*g)Kquf-r(X{wOW7-i?S*Q~(l=VhB!xqWW%c@9WFD_Tw&` ziikE=VsieOxDf^vx9ie*I1#9A#z8}W0$*FA0v;%jHU;Sryr?*;XS=&WIt$h{2>&3h z(k}mp=VXI%6F{jh%p^~*2?LV0{X)slZPlRst~NXQ5;o)=S|a0XNGnqNOdp_|pSmA; zw{h~lTGbpDdH17_=>FpJThA3_R>Eowqa_i1^gzkH^(F{>7$+eVJW>vci;2UCS=7q~ zLPI*YOJs&asdqrj7l!O)7ai~|PYnJn$l-OY^F|jJfF4^2l`)7|0p1eqaF12zFk9E@ zC_uNCHG>!e(>s(3rng4ocKvT&0<~H(;vGE)i$gveptlc=rmDfi|NQS9z5g?x0J0bc z!p6pwe7WI6Na!c{#b4O#xC=SvvImA|yT)lDT;NDpUgKpN`_h7WWZWr^YftJuuGi58 z5~Wv+6&JX3x$nJlyyLXU0nlO>G=tH1*|#Mq>x=J4M&n)20NWFWPd^k@FVTSthdLJ^ zDBchV^$zULoggT)c@2oZBA~xHAr!y}T@}zx}XVgmH`eS`L5sBuTUJ?1gJd z=kq#6Clv^+WFLCVm&ATs-7^fk2*8#lZuWKU!GC`jP4Muuhb~u;uL}58koA;yi84w% zUZU<$O1=-(jk;YjGRoLf^)4A8_(CuXBNudkNjxKHUc1T*_+@)SM4dGhbw{=hD;-MngvZvJGLhK(%6x27Avob z1!HyZj5%TK5Le_yzA7k!Z$I^KaIl#o6l#c13B&{zs|TM~7h=jhm=A-HW-=t1 zHM&9_AR{w+aDVY<62Jj~5oa1H^Fi7BEyMqsS_p%--2+b)aja3k$Pd9l>=#g<0#)H| zoag=WCIpm}vq)fl3wwPboi(C_QVhLA-G4nJbGpUoDDnZ~{@f3Jf?povT3;bhCzmF4 z?T7#6zeoF{7WS^US0fz&qx-vjeZaJ9U1(hM`G@;FG|E?E38R>V?vPRM$^il`72+#ABWm z0j$tEW%q#}Dl{EHD|LvxE@cF)q66nwR5Drj;}G}P(Rrhyj5p~YKvyub-RlS5q*Q^A zbO1m0en4rp3nI}1;d*1^@((N|nN7#|ErdL%qNWR)s*gZoyq#0Tmayca<|M^k7I9y& zJ!^d1hsa~s6Kwa316-bzHOw6Qez^huSX@u)_SmW5%SG;C02Ejm4+H{BXPSE$K+kcb z2|(z973Y|gD-WcmawkvUKJ*-atZNy9ST&NRD}V5ei3vw^?x6bM*LQ4RrT9$?4F||p zjXWOU)n}+6xxcy=!7`$Hm?cP1#WOaD=D~eDbQ9Kh{%Ju{J!g#>eCxi?2a^ZM4M7Q+ zw4MuX*DwJv?0T41ws=e!oMFXP?%|&SslqPgogk<-P}P6^M8OvrOc^W(3zhm81*E?p zruj-VrxXAoi~I$Q+pGpelVJ!i_(m5`gJZcsmnbqDlpyvk=o-yFhaHTRxqtW3nHpBD z|1I0a%c;O6s=Lb|{fqSp#$^!p@>}tmyB@sAf%*oH z(Z!kKH^!x94)P*?Rn6m3V-?Yxs@y!T1}iv?T|IF_VRa8S#NoL@{&rVWckj-3x;?ZD zE;kO_N8B}H9d{}PH!@7;ekZXR3bp2sP-`A*e^e{_0mVi}_C}d06dnhDv zXP8bSTkJfv&%HL_f7lj;=RrZQV-T z+%tSzXf-zG$^BqPWFmAmpo;0hs%$<}AYaI+5=)CjcYn*N4N{!z`iZu$?f4rS7R0!+ zse}Al3X(jH3<9d2_HSQ=W`>lxJ!Q}vT`6f$bQ)U$-ivKRD)NK0qhjPRqYt1vOCQXn z<~j^yj8);8f0SPlBz;}Ib1r@%s<2>TKwMz2NVSx2tr=ioi z6U>IaoD-6-u^pTcs-n&3(%VzViK?GqV;dPwV$U$AXd+3~{28>Nyd$jAi#yB7`c_je zjH{W~@(E2I!pbX#;Rw7Sbo|iN_KNJXG)f`}Pok*P2=8`BWQ{#r|9<U?iF zPL6Hcua1+ULyin;^Ns;L^Juv8`Tpw}izl}sjpUL^@7gew{PGMo6KsM(pvhAn+4X*& zAr>}W2#&w{zBhBj@G4b7yJ&G)po4oKZQu}MSL+dQY5;vVnS%0@SRqvSu}Y$((3;+Q{$4{rDN*@w>)^XpBu~ zlP{T=S<*8q8kLf@E***8PIrVjb1v9V`X2z62$<1t;2;Fb-1%mA9ge&<$EFTn{FaLk zKwHOu%LrWXlU~b@+X~*O&1uhhj?=rONt=WW8-L8U@3zb2e@)0@t%lIGXJ8-i_$za(XkmVP4 zdx2_XliBNwUxKi$ghu+rhIVmAS|<^)tcl664db<@ThwP7-3>xuvgS>q_ed`^!p^ zDk^gcR(r}&>5KiLih7-7XIih#AF>SM#LTBWrLEpZvWa@ef8jqry<6#H*-A0sh?<81 z_x%*yTVP;++bq5lMCb&bJ$I%skjtV_Q#M!Yfan)?=zxer|K2h^1Lfv+VkTREdbex8 zozHAX#LYMr8}sMO!P^o}*ca8qMMUV)FT#<-)`^r~D=o_4qkNZ=r#>zxvvgjOa`-~w zMPFX#(B#Qy;@Ka1?Upy>DzHk2k}GoqPi)+*>tj|A=sD!4e;x8(t7GM6-J7~gCB?rl z84ZP^Re8YB+89+)VmkGmmuN%x-UVdAB-*I1L@bXt{7pMswPx)C=# zOePUYxJnrdR1BV>o15GUOR?g<Y%TT|Hy!gQfmA0?_I=fu*s^8(FE0{-|N423X z_p-oz1b^A`Ky|Buv2)8 zRcZwuN@47cQ-#&kbRMcd^98CVrcF-lta)s_67!B@1vWjnENqHDc4pU1yL^tzK1ajg z6o}67U_Qp7e;tJ!k6d~J(C##244)9lrigIHChp4fU;#WRb_F8-S5uY3pqmILCEQ7=c(_e8C> z@oOS|Wl^E~jyoa5QY_lk1h!l?LBVNan3})wSBSuH^WsGF;P0}wehsfMfL@9htL1Q+ z-|x?UCeiFOB(7;C*n_3`V&m7kDiV;>^sR9t@El+Fn(labu)xptm$xlGvtqvLF>6|L z!$AgYqCqH7Xh*q0@}TNjBdd=-%Juue`?A=Qz=onZBPU@6@*05mBtm+XvkhBYRizwM zssB3Ec0)}Uoc!gy^%XF||3aj2ONxAI`-O2SfFMWrDeY2lnKC@l2x-VNa!>(ewZvMK zn=$M}ny;CFg!9#*-_8^*Bt@M`V=f9Glj9l)x9XXE-_c8wjT~PiW z3SzktlUp8E)-;O7z1+|prOYhh}|c zDCK~!{^%_fZF&9FmNY)j)T%Ib?9V|s0y}+=YyDEeh$TA2oCE1H3Gj`kfQ7nAr3Cvf zHGWM(LTdNDyKOig1c~EE6&-}2@ActdI86UjX#Qa;GoyUlPO_BY-h-bs-_*(LJ&uXi zF-8F>iv}#f>B~rd?U#vKUpn|c(M1o%)lD|edXy5C3`^)=R=SdH2ac)XtvUb8^Z-S= zlg{=(c8VwO^1nh=ZH0h1t#mP)ZssQ5>yu{-tV!1XhjDtN)vNqTgg zJ70H@?_G@3Xj6yC+yK-?XaOApYB}$A2B!1-^=rE%HRFdKXY@smI>M$%r(|v{`N{5s z2hx8ux|VgrlQ-)yfRcA*Ee%hAm;HvhGSiM`^K55yg(Jqxr3i})Xz+|G?XeJH&8Hft zX2`tPs%rF1?ahF)m6GLQ?M%T$7 zEMHD4IgQH7EE)#P|LC%%AfPz4cLFSeDump1JGU0MCZ*pQQnv2jnO!Z3jCllEPW zBWzlG_&@Gq=k-Y$#!tu?d7Fjm#J-ZKH&Hr;V_lRWA}q05+&kD^XJ04`iAY?uuU-g=;DIB45|`DzcrK+|*UhyPN2j0-s-=eeG}E zZcxZzy&g-9&tUBbp*l)if|L?t-(@edY!Najmi&4-a8R+n<6?ZB+PlpDeEL_`MLUP} z$myJeM`lH^+JBZbU-yg6&j4y?KA#?e|HEF~R=_ui@GbLw`I*(opGGEGnv5Mx`gzSth0W)jpzF}rAv&qGMjPgGm#thKS-J(O zng4bNh%#fA!htb*6b?ag))dR};kb7&g9e z=+Uvp*AZPcJ+>k2FW#ZZCrYNm*Oe4foGKL&!H3lwnC_SvyLG%mAn7|yjS5m@{uK$d ze{m`?7h()pm|E^|g=nVl2&_`%vj8NTcg_g@Ta}k8S(xhhPiK+7W64*Tp5ng!O&r-J zi3;B;nGWCM^0m4BR9x;WkMgU!`YRn_S5yir&tbziaix5aJD@6G)m1*z6|f=Lfv%8q z6;*R3n7^B?6vkXh9#*RI5tEHTZcfw9i>s%`NM^?P9QMM!&kg49m-!BrIrRPMK4$IS z8XCkH{bCJvo{^tW=W8yvguV(hbx2BMH;%qjm~+&4*iL^s(%<(k7zZ|!Ak&Yt_3g2)#oI% zYA;-NUmjY=rg$fS3aj| z8HdawJoY=lvJ6wK>K_Hk2;~vC>3Gr?Xml8T2gE1|TLtMx*`A|lvw$m-rXC$r4k-k! z7KTTco4=_2wjS?(n@=XdkgEBC{&@*#uZp&I^C&7Iz^|fA=LydI>xHdOb<|uA2tV;3 z@k=}=__JTlRgHa;%4b}L7&8rRk&$%EK3EQ`Xq+6C=c{kvEIrcbSSwGt6F=YbrShas z^4rTPx^&?A_cF*p!Z30l_Qk9wHz^~)Y7hoxxA!Rq2&cXy>fb9 zjn{_A{P+h;sS%t!<PVZy zQY12I+l5-ypO)-{`u-uuuK$IdzFG4+iV8;EGGv8+r-cV)?Dr{qU<5_2#8B!0Tr#Bq z_MZY{vS?YgtXnF&Y^d}G9qoNSfAHs2g(*?;;og{h6gu@;&0rcEJl2aWjR|LNk!p;ozZA&Ov*+6UADDb1r<6@viI15){8#N`}bi zQ50@-hv{qCF|*I>J|0il_$$2oj!J&fYkHL>)@VL{Y@ ztoe%#DHD}_YSMmfaBrNVVH*cQ*^(xGDd|!ezm)8Vt$+E;v9|?M_^{fkVi2yg4dINn z`FpP=3&8<*JHEcII44a;>r>*#dC7I&0nKicoBpHU86+{hk3it;yYc-dYEUcm&2P-j zIMMlw^=Co918xX9(lnam**|D`i;8=Rs-kV>@;~PVGbaVBW9O1bv>s%LyMFMX%+^Ai zOIRN_KngJZ&5u_ML)n>eivFhUrrNPP`|2+}zdB65EG&+dlKbdn=y_UfGJe7o0OWK6 zH;`q;6N|`($X3am+fXuwE)Dv(sCB#e(h?X{B^5ehzprTNzTP)81=uo_H;}l>p`|9J zEgi10mER{g!CNN)SRDVbXRC#RcnWy)qMwF3!9-4XXekU-$3Rqj@9iNd8Ju$bG$Nqi z3gqxvAtt9iGD+dJ$`69O%k=)EKhCUrO8DCyV8g-Kq4$0iu@Kd2_!knlx@ThYIEo$Q zI~>uMP6a;au{YugUX?+g_x@bJCcDu*7Epz|)L=dqQSK<)^f8TT?+K{dJd#^Xb^#~i z@>dy473n2mJkz89IFpE!ymxKXw)*z;OIk!yqV`)}S`%E?695-$-{(~qfuaWckxh=; zl9BZD)mm3uCN)vjOQomJaGjZZRO3d@O&E?(XcD%n8H$9cVye|CHGiw)!vZ)2?q$^a zamT!+j7Y^JUayVcp{eV^oNuG_cmM0t8%po-JKgK_(`nekO=miOyZ`Y`LB9mRb@qMk zT5M<$Soca`7tF>(6d}jC``dgNoMP&!3SM)ZIycE2fvvXRc*H52+k=1X7WmB2NQ%ju zJ%X(+FJ-vaWuG5x9ZUpCbud@Co%)e-!3I4Q89U>3&lNgPCl4A8_3qBxbanz+Cxuq) zvP(W82RH9V!My1i-WmZB>#i|6&6Ulbq&_+ovYkH%I1buN(n)35r;k#Rc6HX`Q9L%=)9Rh*AF&a%&+aew288`_2}K5v1x7GwvV{T2s~ zEG@Gey7(6N^X~|~_+kl)?ydzMd$CbfQDFi#wcY^YS`Q5*+6pF&z59%;o^OfFFJP0p zqymii;J9Fh9neDnlktfKGW#uu+iQ5)PdG< zM1w>%iZ8n7Dw(8U5oPeJ=#d8yDNuKAK_q@B~1v^q`o3EB=EKM$w)>iSTY4f$+ z$l6-G!sRb_b6nsrUrKQfhv83lL{#o zmEk;h54&PrSlH?|eN3+K!6Co)D^V;yyE5<_a_}b`?VC6SUHFXHtCLTv9bPdMwPwVc zCr7xP&KKM4LASr6{jOS#G(>s(Pe0Kf9@%dGTrrX?fd76*Q}nfis44owQb6OG>d2yc z8)cHtOy(}GdFDNiAopbC?+>FlBC((%arem)H^pU)cD1)Y{e?v|uC<4|Xv?;;0uY-3 z-m%?}mh*T#yJEtY9V9j!^k^F$?kFaUJjbT@8xgot39h0nDq1xi}D{kA@%ELGclnO5 zEOYamX{?jcLZM5)+FkR5r}Mz2X!yzHpA|pv>zq2FLvjKUOZ#QMb64&57`UJoJBcGK zr3GL2&+a7VM|aiB|63qAiX72?gFD6rB_gzbPiHlXsZxIQ8|_@P*gv&zV^%jI{{^!+-sG)c6 zZQzgs(7pyMD(uJ#vcBU>yxqU^c@nG$mG0Gg9_MlQn|%jjhA_VRFV?!^4B%(9;YWJV z6^;?v_-_6~;(f*osg6~pI25O8mY=Al{!WV%f!C@_PJHJh1aV$2yIbsI?9+;T>RQ6v zPh5R4Q(gGgSEHMQL_el&4bA)k|i6YYVQoLLiN&bwO(cG`|VeQksjGl zB9+5z;LBeeSD2Eli37A95eV!<837}2mU%F+##1$RQSiFM;T_!(7GLvw`szE9pio7p z%_8x`_w*W!dQ>#u^C1-}8wOP{kHH+utKR-2vX?2k_ATidF8e4;rC6FSx!MaYy0RVI zN83NJ|A zE+o5TY)H)9C328cLf^lUQ3>zDeV-pqd6_4{yR;FLH1PVnLiiwupSUxMTzOGLRQ2h9 zMvS04t=2<}c)H2|d@Fp3_#D4XR{8)c>Yr6u-?lCf`@7aTxJ&Xhi@3cZeb1AX`ijkD zf3E!!0+cFZI;2!Qj=BhD-?Q|;@ZX8saBNM#o>9K5ZWmpo`g2Xb|4B_$qe%M;D3Zw4 z@Rz%sX3l`|B66QtY756ZmSIP|Xhf zaZlUsiAvF80oS|rd*k$`29eU(1lQH%AIH9feq@W zt=dAqnQ)#(QSCxPQ)Kv>L_=|BvCh?pd?fuz(DvtvzokrLcvr$-&*b&*q(h7lKY|Qz zQz}2H;*Nt6^1avy+v5We7ug4~4X0?UGrp%{(?m@+J;{%!S(Gh<{Tu%#b=BQm&*fa+ z#(~9q^BvgH%m0a9eiymGwJ>cBl!ciAkGAkCMPOvN?){0dp&!h!?v0Z)lNl7-brqI9 zz3kXcZ2w&?yPq`c}D5& zaN0NjqoX&tw{|)Xbi63|YapYK2*}jJeZJV9A8sQrjmkuBMA4RRR65cvHLy*rO z0k`Rv7$ozy`W6nkwD>ARExWF$*{6DZgLoYndU~_d`7x0g)?WJ{inI9sGhY!tjWnLUP53+8O*CW^o|0` z`6T+ZD}wtmZ%W+_^!J-v*`x*<<`zS^rKG#g{>#k>T7}wQxnojc5)v~L$Wf2ER7a1w zi+_~xN8e?RzHx2l*c63##Gx16_#e}dw_~aHFYj&N9cyw~UR?P5Ry^9FT5t6A&lI~QcO z*;vcEl6U3K!rmo|?gE4^lr=8Wy}g_c8j~&Z)P4zAOE|B%4xhPF%Ls5RT>hh&F<%sr z!I2sv<58*mC#E8rv?t%`+Qw-~fuWnpz%%FI^{&3krQ~vKf=E(QM8@N!?SNj3VvVM1K7LQ< z>{rf#a<$sLk1-nj0m@1(qNKs0=$euB(PcmFzW7`w$#%58hX? zj_mbz!bvl-HNzxguIm?f(Mue^sD#4hg*|FO#`ukmh&s#x#lq|`ScziMdnvY>yz%pn8o7_M#nOEgLhWV#^F%A=Fbm!MaP7Iber-oAQbwch5^cDP%* z^zVBiF_}3&J3JBjoGjPq_QPKuTpxh=+UBNc?O-kPsHSE%^XL_- zVQMuY#CTN!2+0=(y&}BJQ+2+6E6J0;{G@_|Y@=4n8D#JAHa(LF`LIoaX$ErOae2B2( ziE&r8&(*UA3Te^BQ}nlx8udPjnSC>-*V8sW3!bEZA`WyxZW+T2U#BI8vda}gH!0g( z=w)&5Ni56EW@scG5j31RVUX9NkXsw-K zpZvY#^8e$=o~j}Q+59XSfHXh3Fmh4uIL5Z^hGl>D8KWKVZ0@Ew?jv$) z(U%EIKEo^SHsy=U{(GDJgr?ZwhAH%qfXb;xwEkuk>M55kC(?igHVERL8G`>B&S~!q zUvi-itQMWjSUUd#e-e8r2e52XPDZhe;1`{4`qFOJ82 zhGBDu9efCPg6Uj%y}r34`yO3bgnx6wuZQBH50P<_9o&&r;2#!Kl0=^LZN+l*#I1p! zE_`L-`Dlq`6=_rduAlwCjNkvX8kUNsRK?#CloXTBEJ^;;UsBRb5B8Ow0& z<=wM%bcnXlzG}sHe=|t4!)7t}Tx7d0sy-gJ+p{>`_#th1#8aw!llQO9M+X<{g}P;W z`z&@fikN}6)N@Ik&m%)Mp>RXVa-ij%xAf=``gpz*m+S+_W=2(Zdtzr-XmvEk>vw?C zUgx_zi}=miVCJqXTAT7T+<)B`-JhU6*nnB50{t28;a^WthK&!7VvqdDlCEeAZi;yJ ztf1J5ZY`}2N|l5Gfv4V)*X@xl)xTsK{`1i;J!H);{!QrrJ!l^M)^9vN$_KYOs$G(K zR&|LmwLCdTXF-NEgr~K{lug5xPh(bxK@2lai6Jdsf+Xw-bAGgRH5Q0#@DgS)Te9xv z=0~Kl_x9mVMKmZTY$%9|o~;`*8?bb&z7?nqlF0U{JzJlPY{Roj2qO*{Ecj}ERckV_ zs&jgyLGJ&3k*rhv`4Se7Gc7?P^SCSd0jlk3?w@;QScKi3&p8Iz{Jbjf%)4xWcM%a; z(INReKbDE_(DY>P!mr&zNmkj5+57r)6E;fHgwW4vg^lp`o8lDy*IoR7(5J}v##PBTncOL zA?(>-y$zBm+qGBNt2zCmfL<3~t@V`{PV0w&ia}isj47}fyF3RHvhzp9jq0Z2z98-z zd|Q43g&Fq%yzXlErmn~W@_%@6mxl$+L4u@$3*uy>5rzsYNk@=N5XF@mpu0?ir{TP5 z#uZN7J`;@f!fc;L))$7vZbv3Ul!If%zgY{k`|&k-8VvTTcL|=TE&DoQd>X5`;pqsT z`*Q#XEzv0w&vw(#deh3`#F5)bJopDwh)9L}KrZ|y!05(ADLvw*FID*AX&b$rXM`pl zshe$q_3QcY7hj6TgS~AHlhK{Um{#xSC?=EY(g(NZ;JgXD0^+cc~ zVEmC`6m@D@Mt#yWZM8vsqq4O*BOkYhhPvjKdM-u}r6qn(6l1>MBl@PeI8lSn6DLN5 z_40#$Hyh*Y>|L4HLE%Nunm#x%CD=KZ}a)&#zh{e+vTF?+?!qFlrs$=u0Ll+j6nYpf0va*HjjL_p2tS z9LF8Ji53xk#_0g0`$BWRkcP(g@5xSFVHkfVFs9bF#ko^uu1B26UtU1yCXU1qnAYO?UG8Br|c!fnAF~_ix~T&Z3s4jvmTgA8W3Va<-jtZcU5|IC=Py zDYWnbNlKs4k|;5Acy80p53BxR8UMH6l@3z(rh@#~I$OcLKY%u-jd%Y=4fSKK8Uh2mzl@kJ$P{5wC zk)%a{fK5L{iKtVQF@%dTPx<9W%$8^W1jaTB^y|ycrkn)sh*-hP6NLn%Ee$JEI=;P*z91Gc$ znt0&b6FNm!vtqPTi+^5n{nc7Qq47_p42=o1mlV~`etILutq{=7^Q;nIwGC2?-S6wL zO_N0QvWRJldXGqk-M>pMD2r7iA82PC^;Sf)PUEA!v~J3NMdeGv%BI$I3bYoucp3Y7 z+(YSw((-{EiXk`Kc@6aRG~ja~PIjqEEKIaC<`mY=EwW8F5rfETR8^VGPhL*7Ht-vT znodf{d5-r90Y#^7QE)T$~@z$P9V+2Sji=E^YqW&yX5Jwk7rz8lCV<}WECkWRe^el;8( z8`dVWR91?d%aO-Kwp7OsicQZO8bbq*y6TX!d!wH-UI5rh?$??t*8M+ zEQ#&^90l$I++3bNMBl1>e5BuVwzBiXWFa{EA#QR7^hRUVfdTsMd@=guSwDWIY9&_Q zk#?L{rZ^>nRh(_3l#bjAVPLBQJI^U|SIzlLj$>T9>Guv|#UJOBh{@5tDF_B~^Q{w` ztYwqG32wh~%=yIJUFpYmF`w+hPe?AyXq4{G? z(qBVke_i`1DI6h(pU7hSMbni|iEr8-TE=RysVW3j?@}tTrP`NB@JGm^(EZyOO7FKt znZ^9f1yhE`jPe(0&)&Ksh8*ixtxTwhhnglG!-gDD(q^aDi#F3#%(JMMi~m|i9>nl^ z*Ax%ERg+e5L0n8%TkGZ5BYF5o?{tG!fgx`Gwz#oXFxw z#aJ@Ch#O=>vQ=^=+WFKB{p9A`zOri0vk4t`Qi)DA9$u`-x!w7?J|g zYfVY?2>xrLvJ!U_7%ZDs4^}z|VxxmS8Nbd`yE7fgda&9}U$^6-8r)`anN(*iCGFB< zq)->D75zjQfczw*S;>VW=F+Nxl8|BrMsW?tD8(k7V!V5qh?tZYYT6}{+yz|()R8&^ zo4O7q!3Yd^`mE*!X(`uC$w#xQbl{?Mh6U;RLghlZs?%`>C2d-Q(W4a(&3oa1JM>83 zwDCvLQC43kMZBYfz(sS3R5GfvLb-*+y(9l~u>FP7Lqm5Z@7_pUhf*Wp)H)?&P(jYc z{wtwH^^dSdaAb8n>m!pb`Y%Jvu&(8h9iEB4lt#a|X)_Zuob)q+BJ0PGN@5IN?74>0 zi&z?`GFdZ;(3q6e=jIa3MFr0#+wa(@WDK2%*?;CM%?uYOQ6#&-0o?_Q`4NJ?+8PEv z4?i91`53t0O=q;aGO^phG`(+Rt8&wP@;|Rd3`VbKGYLTzzzw*+L|n$S^n;2`kagTj zqA%#hBFJTiXUh%1RZsp?I~&pBED4fFxhuW}yc+xZo=>6K4ZLRnn=)tH%$U)N1vUJ| zb}Njcw(hwU1U!k(KW2Bp|NP!%V89&)ndQ;yi`&jG`Mu7|l@obl&AdZ*TB>pqflQ`+ zaR0z|SSQSXPcpzF&Up;Vgx!|DvRK>S0^bHxI&HE%^%_Hk0LBj(_iPQr46z)0TmZ8R8Pgju!Mz$#`YVtMa2nXQ6uqOfv(BWb#I$bmSQDIe|+|C z@ZF{cf_I@Zc`)KLWyQ2q?t!FfMy@^cyME_rkqr|Py#KU}S_j#A{73Uc$*<@X$O`)Q z@9k@DDDNmLk?48xa3%FdMvI6QbWeQQ;cwF{N#sVo&kZl?vlt<7jKz3Bj=v9u5982qjC^ zK>X-ZGsbWzNFBnYTfepN4JrR*mn?vsnl&f!yUWAy=~KadTdHy%o=ExXpkMl_(r8nV9%}$hxJxP)hb2nzjbVzwR(Fk*>0rV z2gQ-e1H2ip*%+PCv>zo0L04zGd4eBfITC(67O}LlZr~Tgv2*Hk$+G%zcHCBx@hnwy zhQWgGc?qR1yM22;wq~jz4y;s7+%aD8BVa&b&fd;~z!`(;I#XRupbl=r`AZphPv`8x z_%})9zBU0}PAy`rhptZ6Si&mU)?;4<;Aq^13mCY}dJ2!WpFCjA5Ljko+!AH}98yoZ zI4^h%=CgZK81>2~EK2 z!w51L$+v6nM%}N!#6%b!B3^QC-|5yS#yb9V{1KIY_`0F%`Cd^;cIaAAgU6*0xb2iv z{{|>x{O_rE7JSqfaZ8}^P+_t;Ag4hX)tVjE` z2&|S}U26R+V8DVoh}h`g&0&17Dez|Zp_V1OH+e-gE0_bftk3){*Q)X8Ul4P$)7Zr- zbys~D1k*-+6;O`4y zU8~lgSB`D-$r=aM{aY_BmU$+>37tRPSHbGvh|8b)1)8w^kr{grAE}GKXFhTuJ~XT> zV`Ox$iE^7ttd>;Ex6Osy9yrB)vd-}je=t<}qo`51f}AXWfEpM4M*HXfmd}rF9<}w` z5)QX@dtBCw?<8xf*&-``X$F_R{0VD=Klw`BHg`9UNff$G58h=l;VjYu(aWGyJkGT3 zHpzxE+tQ5njt|=(tO}0%CQB~U@Ek2Ih9F;}9526Hp5&GNcv~8k{mFsWk7^QH ziABzoTh>$Wu9gOcheqaPb=1D z+meeQdseij?v@^UmGld*)G%dPUD^|A7rPnC>r}CFImU&TEo1>tPM!oXe9oDz24kR$ zX`||W=j55O9IuhE!-OBeb64>Tl50p>z2MSgDMkWsql2GnmPPyTj2#oG=C{SywH0Yi zJ&D)q)?TQUNWOqM6{bNaa(q(-x_fWx{tp14KwrO9?n&R*Joy!QYK&yfuK08so|g&i zzrP!uICtMkt5GAc&);6c-w)ujNS#TV%p>&yS<2o#j_$?c&QiOnJ-uT&Wx5v-nS{Gs znS}K5BqlVM?!7Fdk2}<3$?5B>BEgm~L&&JHY6KCAu{Gg7F#_WRrBb(Z?0Eb)AdrxZ!QhnHS2ZLOwA z8YxdEuJ5`?xTqFA|7F!bm+vpK&(1DV9)fN5 zQ6^a%?YQlrZx)wn_D~d&pE=QnuHhw@Y4X`2SPM%EKl_*`vtG6cTeATr&jimTUAHm; zVLk<#AQxAG&A)bHgUZ+8f5gp0i-bN9;;}^n_f_J2+79Zr)PL1H8p#ec?i3|rTFONN zpEf~NNMHF%*ReVKARNnIC(sXsSm z`m?Wzx*Y#{Z~_O!ITu$1*AH^BipR zD`lF6(7rw*dwQ2?vHc{31lGgLF4GKUPH~v%KOq00{`YtOcfQ)~O#tL?SMc_- z{AYT!kH8#aIq}EmRrYoMbG7{E5$Tt+`)ME#>2S2Ok>Yb*;+OGh_aL)hS$sx>Y(y#3 z|N5z^a-`tRCMDajOeoO*Uh5v8wWC-L(sQr%oCF#E-dqEV=zwlz*J5cJlW{>HjC- zaX<05gv&2+JQV-b0e|0;efmMA%s&qzV<F;6acYDyX)eYMB zm*VdWs3$GLt|a@8L4R+b|DgY_fV)fn@jm+ddsoRjx&)kmp{0|*b;aL7PCxsB<{FaU z?zlYF+3(GSGd>L_U4)Z}9Hq+tUKRd){5l2p;cV;`#dkIn3&g3}8h2|8%}_NHyDa)B z6d5%^Pgnq!(7Seud*msLI!}=HBGnZUb=V2-iH9ml-;$&R11a{2g}9>Cr018)AVV4 zd%KV85TF{rDGMgTsao;u2d7-+!lvMTYFgb2-&RbGLw_#`H3=n!H6b$l5X{al>^zv7 zeiDEzj7hKQNi}9|?JV_y8}nCH&NodnrfvrB#7VlQ>E$b8YAWCd>adCMJ55Jl9!^as z5v8ao?SYVlTHpcRSs0%Hw|hw_$+D7!?bJ3l!M+ujXRnv#le^S8B>j#3@nlTE z_j3DQRdz5*&5wpt(m6@6_3dfOJ)80*&q_HbqR##ML+p&wd;(pjc zqq2jILx3$#bn^^K8eAUtnjoFw)I{=2NEHihG9xsxr)+W~IGq`i%&zF7rs%l|x+wHE zzSf&Y%JLZcgy&^*_A49lZWO{iqn{+7@$0!ex;#cJp=-dI6FBd@#`iDu-wYbF_e11& zPAgM{Wi=vlM*U@tR>XIbX57D0cIZc4ZfWXcX64yDJK*aW0bPQ8H;`#zjS{Q`@x&F66 z_Q89(`o1Cgn0>Eu`Z*k4OCG-OvTvDvw{rUN{L#Ni|1{?xYq&_b4f=q-EuEHv^AM4gmvk>kU3nX5{!ywAz{CdmL;{R#cm;PJE|6jykuAh;z?@p4BG(L3p z#asOYsyJ51Li=th`?3P>Gz-{-jh%hzPpFG!ec-}QI9&+F;K1vz0RBCppIOt*W6^)G zP8nin{MnNM-*fo)bM`wFynywvmGD!38~xxiNJ5Ezw^d|x3HI*BD*u{Bqg$@Oze)ZT z88|3BQS|)^MJpd$_`z?t0LES|w(e!vV`X zgcax!%b(P~JhD0F4*`{-FSevr?gOCx<&^11ppEUPI#2MDS^MSKVIiHe_AjfQ2KDU= z!cqv*zW3Em`F3;s^Lyv$5?*lU2J5=^(-NQIQ1vexY1kjr~I{x%5P3t>xV*umrvy%MdIL+v3pUa$n@VN(u_s3 zDQhtce4GPvfqJnfHM9Gf4$>h zG8eSt)Y9K_(jRg1i5a6Y677`3-mgLZUL*fm6SR+#elO5WhyI19RKgn4KWPAEz&MYV z&i9ucgZ_+_tDJw>Opb36F#F&g?y-NFe8j(_lTSJ)xngduV>}`GXdlj=ejxtnn*a;f zR@mo5$#p)?o=zjtHy#^ZVDn9e^k81{$|8CL8e%t)vUbV};*j%jZ z_@6=JCu|nwC;xc8CvnDdQ}W}0>pihO_x~?SKei%A`>g8l9|$?O1#0P#ort?Ky0w1B*ZPb)v<^QUKZCcUzoKjAt^ARU(X!5`zp-V)!B zIvbym(p%RiZj=9w1AW<)-J=ZHgw2JYb!F}LWN)7TIFsi)WRRz0u{9SS%nLsl&NY`O zq7LmU2hUk>($&&5rA1A+R*^t~%Osg!p2m^fw@a(7s{|U*0hJ27@f?Idz85Na+<^%Pyp>?PZroT zU=!|D^i@R%W=Ii#?*0E2x7*442gj`z9XfP3opkp`jqcWv?y9)O6CkDB+Dh`G;+DBi z8lWyfdLKl4#k1!+EvsNnDUl-Gidm5lJYFm`}kAVT86 zCXB+M!QHYQ>LVv$;cKm7R-$*Wxv_Z;-~og1n$lfTT{@MgzS{tp*_wrU9U#gBEazqoxiP-+4XZj1*oI$r(GT1A z7XI8me*}JvV|~-f|H!}Hg-`rHN_>WY>Re@2(DC|vCygh4_fJP?tUcgd@-oTK^E~sf zhjlKQkM-lwAKk+1ZmxGK`t!bB;xqr6sbVe$v10%KYOK%C#TEtr7m!_cmi**z|1>`! z`e;jR!Wmu8b!iX(O;bPDm|gZiPX0xlFF>DWL*oy6i1y3*;}_{(27iANf473a;~amR zXs$G~-j7cF%Oss~*S;FbC!ivJqWQ9Ge>KHXxJ&-gk9OGvdnwnD*QI2Cj(J`_&RbCx z{Cy7VgI|;HO!=?BV|Av~2i*pqk(|Q$zx8GN^qMRFTk>=P>?eRQqTEd;6Uw{&8n;EPR`*UrXgZW5jRI$d=_#ws%`=VS62a zmS1!J?h${OzsExUHh2ChTZTvFA65LFK7snPd*gHd#)`i+9e;THNl4t{J5p z*YJ0f+NF0x_ zv)JJd(Z8mK{+o1slq&k?R?y!`^v`$nA9wU`5dCXw=)X}zf8h%HM~c3E$(Z`T(b3oa zeBlPsukzne;~%!xU!|Y9EBL!a{MlM3`CBoKNm1YPU@irm;a2Crn3*#t6c}<_Pf6KY zXrBMTc3i8&{cf>vEg54??9aBzHU7Psn&ba_unF@k+gDJ#(sOL5&#;ZZ#Y)=mM?1ug z?emnh_oH2FG{t+8AJaEv=Aw8U|GbiF`JFNn*EE`+Qos59kg$hJzm(699~f5xs>1G0 z0KNm#@J}oLKt9rc7XNQbe)QvcTHGzkM`5?q1_xFN=TD z@Z$q@jH*y|pR~2#CHs!f`hQCDtttNKUl%$4RuX@c*6`=&4`uvC<$!jX|B-*JNyd`% zH@bwsucx{Qf28J5>(=B4dl&6EFjB_f(iP`NrayZFY|h^j;tyAMV{vAR$LQZ$!C=Lx zSc@}+Sl-FF!7DZU&=Bx8;Ha>wGkJUSZk0567gOv{B4yI9AE~FmvUYoFp{oDP;xD)6 z=31FMSJ{}esSi^GL}C|7zKykB)Q{RS`gXRQXUp?-w)QKjnP)RgrU;1m|D8NHT&iqH z?E^H#M*MBAvz;sD?N!<@=qYc@lRvb+?<%?<)G|xhumwJ{@$WL1~k0< zcS^o#wOo;}7ObrPE4h_X-`_@yl{6b>e>}AqC=1Ku>c2qR z%CfDUvHc_0K7-nYKHdZLb=QusP*K<6QN?#Z4!r%1fBPC$uD{2@KkiRzt+&!YFf$53 z6U&Rjzmx3OkJ>W)_8y8H|AX+~wSk{O$HMr$*7?UIl8+xZP7p;gozowjA<9R*R&*zO zJp7OJ3!Ym2S8)9w%-W%k0&iyy?7Sav%U8qMtU#%X|2M^dm4DdUW9}bUQg-|%SAVWX z;GH93pClLWM2YR^>TJIsz`1@2gD(`t!u)Pm7U;X0xrCV&KUMr)toX_K!<(=J5QmpW zB>Wcu|9P+v(owwPCtkweG@Hu%W=c0zkmGj3c#2vv!l_Ij0TZ-`a7%Ml88D4oIFG#;Bdq#I?Z$jc-b5Zf4i{ zSif)5Py_+rG24Q@$RE*sTJ75)Qp8J`qhd7rQKA*>J^<3^y#-sPC@btfkjW(M>w>w^L!fg3NH=5bn-Sm>bAIQq^Z&ZPQUE#O)uM8jUm<<2a($6j$?P;H-p_AK`ZTSB9Ie_m-Hyc@0`ne1Gw`^i-8&%oxBsvaCo^4(i z{pBSe>GPSz+ku-I;b_PQ)%0!S=wAe%CT-#~{o5OgbNRMMf8MFTu9J`J(d^5DH+RIZ z_idor9{pz{$%hMdeb30hZ0(BvF(2xAF9@@g>GuxBZ%+ST>i>q;uA~2>FL9IpgKGb& zlW#QevW;bG$VnLwmxEHj79XDef%301{caC=UX^~|2mcphga*1m{KSDxSXS9O&mS+q zJ~yDhtv!){`|djXKa4i?3EP4Hv&P0dr-@Hx7H%gw6FF{Lr}K1$0{4qR_u?ZI1r6jq zpXb?wdXN6c16-F!&N=<~(X z7ar0{>mGc*vz?Q4uQ?$&GhGGPXLb6#8=QZxjbh^Dc2FGC#v$dzx+C!O-pZHImhdEf z{vCL5{ea&~RDIItU8sKyuzK6CVQ6WO`n(e`E4OM$hrQaRNTU;_cnB15S7W?S+PvbF zw{f-5%~4ICS0KyL`ar3Yq_`3F-)gHL5!>xK1cNS$q-Pw5fjaIb>97;7Y|~!y()FOd zof7^~6pz7HCxRlL6v7dIyOQGK0l0!J$c>v6dwd^tqH4niqi??b> zhc)ZBQIGe36n_JCt*a9i%82+sEqo9C0uJz_X4-YYoWOk3UfZ4%)&vLF$Not(qu=?Y z?=R38a2Dx%Bql%Qa4@?h@3$+2(agy6It& zx7zBHbi9M(SYuzZ<6kj zw~};MLpt6QY?1gf;lb_Au?_?ki3@^Tju@;-B}q3w>Yr|_PtwhYdh(Lau(nT)X&ydpEO3z zlP-=z8s+~Biuuzn~Nz%Q5`ngJ^8-x0>(Aw0Imw2rQ6!~Nyy5mRb&IQbtts2^nRH~%I8%YBO z9rR^Mw%ZV+;c^-w7oUo4$#$Fr|6aC>;Khiz*##O-$M#=8rtltTgEqR~Mf7c(@S~06 z;~Bu*K65w$HOsa2#q0{@ZuGq~>L4ECFY&fgTjX*k)vgJSxy&8ywF6`BHP8>PZ72gL z{p=2X>K`O63C05EPBHJ7A20PwfT%6r7< zP14@K!hDb}!6)hV1N;a`hr4}MpM3krs9&;8iX`3rsK;BK0$5W?(*0X>VYiUR zDSS)0?}(Nxlx|-lqi-Jx%s*}tlJj3<+J5(W3D|h`7X3(8D=~1 zz<0#05wjYpB#k|Z%(k#H-ni%)NmAVP()Y0HKZx~-x1~_e-UFpR;~gQ{)01GyE4BhZ zco(0>V&dKeK5%iHS8UeBD^7weS3y3k;|7y)OW<4=)*0X}$#@lPG!C+CgSG^pykc{} zzYiSS0avO|UcvX`ZPRA`d~Rr}|nBAd{6}gvU~f1JRb? zla<#8{4>CTHBi+jE3b$8-4iFpMiGCKVqMfv3mmXxNTcLSh_b6&JX4Bw0CP>NhElLs zl@yG-Yrw%lPKsp#zW}&>4sn;B=1w!fZ83V{+7c*R84-6jM08k9+Scd8z2I-pMw;xA zwD%{_{aY*hG3T!j%vZH)D9Z+2@*%bOFUYcvoP^nApP0uQ_w{BN0NIMaI)nz;aN2|j6N0l+^F z&0GvzKH}y_Jc3ke^)aab zUR!;V`ZuV53p58-oXE*dEg32G8i2XERl|O)nY=CD&x>CA0es-ME&Y+J5&SFm7(~2t z{@t$0f%8^g8|Tb-A>gs>$}g1r=QQoSek88f-6N0XDx|H^?F9H+fP?&S71A{MZPe3$ zSf8|+G|6?93)?i7{AW|tU({BgG`R`t-vC`)*8{GRz&07L9!8Fdn}v5!q|ugl^?w=~ zJT`JVF4o_Uvo_<9a3;}e7}>XL^O;2PbXaPMHY=q12OtrjFn+YvC&e=7()T0{gowW2 z`&ZgI9Jt1Wdt~|M>hOwN2Ri_mH)&xV;P4`FLW+F5V0s@(%1%E9{H>t)0C1)H6tnxH zek15G%>{@j>3m0U_=h&#CY^H>JH4$wNy<@-{NN}M3!YX2C+!jq#N*E3f@{zVIxpG| zoE!=aUu(?+(%fJ%V7?s^U5&O;5k5(@jU>7bxKe$RXlvACQkw3Eiku{gxc;EC{#a|H zhCBCHeUj)$fIkQ{)2P9wz7C1bZg~;&>WnQ9crVry!xpH=b^3tkNP^7}R>o}St1Vb! zP89zP&esO~Pg-XsseTo}6KbF&{5THp0seT!lEpaBZl+53L+UdQnA>fEEQ3O8eG?c~ zX<)8fuFh}>~)m`B9=$pBC8ae&`o*WZ%f*l#OH{XV?p*D?f~)h}cu2nSHSjPSU>`%DH)4Cz#(Y@Gp>zX0 zC*Gdwq77=5e&Mw-O{q2(Y2zem;}LN3Y>97tYP_^D;2Rr)qvOEYtk{}w;OhW=%yx{1 zG|DF5;GFsIZM-FItN`9LN0mZS{c~NkL9PBX(qxyUJV$R6i12U7>85#7n-h0mchni%fO=Pg3KV$kwVzdQK%tHv)JD zV7WJtH)a-|*P})f{Kv2Y-gOZGh#w=f5^Wp=Z9G<@jfDoGjm}6J$fA5A_B3#o zJT_%fe)Eo3+!zrHMb45oUPV2}aImS8q~I6h+t-MamUtFpkZC)h4LnsHo&|m%DenS5 zXE=bxkJ{jDjc450RY|@vFX|s?t52LPF<#mjh?Mog(fKWHq%8V&htw%jDD|v>HO|a8 zUV+r{jgYOeFlpmW;2D7B-heiy1RnZ@*9N}`rx|QW+TfG%Rob|>L>o(wmo^4`V=Zu` zcT9uZ$zSgEJXMNxGvWX@e0*sDUz|4Zd&lL%^rD zgl7`K-`wUKe1|}lHs&kQ#?yn;#yX(Pufd!VXR2?0LN4}(EqH~N`+LQ?UGjm$F|S)l z^;^XHBptpx+XsjU&pzfGc1L=ucZj@=o6riwEeU52Kh|P?qT;7pUO#l_+C@0dI;4+@CwPadjAHl&ELUnym$&D2_or zR}?01)kAg^elK*^geRn#CHi>? z`We+$pSa@l+e@bUkfPx~sHx)$Hp*r-1NZpN53Ah-j^>ZrP9s-=xY(`D+mn@#hqvDY z_^n`N%5PSF2-0m@!tI6N?LgJ@eoFJqae&_u$BA!R^W;Is5j8$()E}v*c;Q#!=LS~` z0OR+fZBmZi96qy68&?VbEO3?P>sW!0k|fxR z`6D+8^E2RtcX7|x;*eb8+!^(^wvBPg_f|!=-wBvcOxOXL>I-4d zTTqj1N50lXjcFrxEnbZ{2{ALII2C#C;7B*wa>cyB@It~I zlCLd*ns!-|KhSo3ujK$X$V^@-=JQ9l67y#e01dc(|{+V}6Em@tsy9rF3b z;`Q>Rr5Ol%83pAPl=hZd2i`fc+jyeTyBP zEVlsXkK1@lw)+`)vnOa0mc;Ya@bDwr;8Xp+fN!6Hr+Uu7jgPb)ka1bbIHQyCx(*pT z&sMt0_?%=+_v9vfJqDaFi|4d1mSlVs^}KpDz~6|tyC7u2*S1`Jl7(-V8i3{AfGkT$ zmQP~NPxj)x1wDxeKiUg)hhVQK0L|<7e9Fdr?=@tkXTjStEk8@L@Eh`@TB9FG6-kyS zaGuC}L|KzclI0oHTTR*y$TAwT=uLKLO0saSH6gM*2wCuEj4(~qQ?kqEz=;7d4DgZS z=mzlCZkNO}?Xr3se5${>i!95?E{R5x<)6s#6C%sCkcIxmC-}@R|A8!9wDFegaxQqY z>kj)zpGrL62zb0n#L1rO&j$QKCG|h)A|vn8dKtP+{7A+Qdkrm)u7GUJ6TI?gGTsTC zhqdvRWIO=8T?c!3~ z7Uz7)Cm-xsnNPGJ6yNOSWJxobFL&XYV+8uCq>=rj4&UpvOX5Txs@|5v&j%m828plC z1hdN((8D!tyd}G=4c;z+UABYYrK@krF3$m8cS;C*s$UoIcede4^?KG`u-pvfipe0O zzQBq4q^qYU&@N{}wv{1cy0)9NL0`gKqQkFSc{#~=8|v>Z;dyDulCDr1+esFDajEaA z68QO1Z;)v_Amdo@gRhI}p4B8H;};kMVDV#a@3dpLaf)|ta89vu?4M*j4LHwIUjJ#7 zGs*Y>>R&10c~rCk9YShoxng=FXmPQWwx%~7p$Zz@ZX3P&iEa7=l@H}PPSwoWLJ%c6cH zWPBi#aZ%L&3bOoJwlvw9a|Yz#UX?6E^RWXV%khvU-CLA=>@3ha1NBp9KK51k*cjB4 zI`3gL-p&C0nkBx(Ctm&gKMdZUASa$whDVltz}tD?YpKX%lI1e+b~=v#jQU-p9a6k( z2wCh+d0%z1TmtxKBxAb6G1=>4)bA;q^haMy^%tSur06co(BfrJ@NhTyI@ifE4``hv z-qIa>NtT;X&++1mQ4VtP|JXYdc;BY-|9|hv)MPA8xYsong^~vP*@iSIQ>9FqsZ^vC zigVCFgAkHAA&HbyLb-@slSHFMjb@pES z`>ba@!)HBf*yAzVm@jS@edii|mgpalFMRK1uYig1Z`!jC$+gSaeEEQExKcK}I@oUQ za*}NDtJ%{-oY^}37RCRs#K*JUYXyF@?RfDY8Gbw3M&C2^L8p=DY}R}^hOu{lalctM z*wasD^S5Qgnla9Kv%$x!vqXPYL;U|j@&AfQUpeGv^V#Bmoqo^g)-n1wMNd7+`_g+& zso&W95&3dcHwMfX{r7}n{*%qJT^lTx7<<>~(y+#CyFtFJBKnKEWk%=S=hY+a1tB;3 zkLQvvJi&RVd^tH>F*9FQ*ZC>7mDrgtzn0C<7X3<%v2O}-Vz%9<7~_1(#<0@I>tyr9 z#;dXQi=Ab|zcnY=6N=``SJelX6TR-s?Xv&=hIxE(&Eqz9dyS!Rz;mHau{_r=#6O09 zvAwvt+p<}(#cck8Z1xy1U55WJh5!31z8L<;$+o*AeZOQ*{D$P*ALq)wLcALPX|2bl zvGwt-WW$>BWtqg6cPR!|5`FhD#^a5ROMB~@l8r~^q4$exg>|9sOzDcmD?)94kxb)FlEc-u4|Xj#`X%Ze9myd! z?K(Esc3k7m0skPT^L6>o_nvm|((Fn#WA6&8trt$>-9e)tEBa+ICO?z3*F{=a>qJG` zIvsueM7d&2>1grKF@DiV3n+S*4|Zu6w3?46it`syf^nKJuTrn)j>$ISwI@c5euDV_ z*1)gtdJeB;coZh*TD2qnYi&ueZ(EthGbMqqyMTv2Hm$ZZ$&AT7+iA7g8~wAA{IBS{ zl^Ojx^624;k5Fbx<7|D__qPUAM*poO>{{`~P(4AGUsB;WjT?&p zr?TAdF6*6|q<8EU+RS`8O866ddv@Cqt(^{ZRs%cL+G~H!G8;6E3_sDx@a6{kg`(R# z=k7a{>RfF1rkRU*hprfd#y6S9BADE{R1!dSzR+up#{SML#VQj1 z5hYN|#&EOk3qpf_bzm1I!~YP`k-V$uON4eX$sZQI`b3LU zyjk?M!g}!MLex;dC#X$6Pf$n3 zn3Y(ecd@R8BeIS$c{Pn}_U$W^u%|R~554>fidlH9X0EDad+tuO!p}j@4Dm7)*ghyZ z_FlUECr5uyZx7Kg>3Rw4?ty`zdex>ZO5d+rOD#ngA&cfcX`+#`9+NDqr z3Zw8BM75daq*3bbE;{Sy^}CwPyJPxz_jlpXduk@fyxT=`c)R`x>9FTP42^9>KfEhD zXk^#fTl6ni=;qx=L|;+%aE@Yc*s+p7Nu0JOkZ(@G}CeA!NNB6=NLJsCfGkuOVSo9^3mPLkxy%nMOwin6YFc=Ah!+VBYq zYvq$gT{KY5s;xwSRwa_{9O{vth>g#WsqSCJf1a#0IYW}(>1-)Ek4g6N(qS{US<5*Z z^3B@Yh1M5$$3<=zbzd`H*4P}`sro~PAOS0*jDs2Ds-&ubcidyxMd>@-u*`2U0|GrwZ+Eb z`C*qrJt&V#^LIpjUhvK$=S`x&RnnH}&K>66aechISNxaCJCkGH(Ptl#?C(nlR(c=j zetN{&$kDrZh>k6hsLZ_ELi7zKpPANr0sqhXb6sC%igQ2l9NMK&4+`HRy-?J3!@OhO zEul3=JfrNK8rCWnfxGs2SN03exvrLX600;6_M1w+{vT&NyM<)@?-Tuavn23&+wCU$ z2^G3|w<~r^zN{$BJMMomlWrjE4hcQjEMHrkPlQ<^3htQY3&^|AN`g}zH@<&e(l{!k z@vg}Kr{I-&^jgV(K=RA;8YEvWn^z3BG1@FH9_G+XMO`FNZB4$W=taY5Gpo;N^GDfm zr}W$N)n>yQl5<5w$>wN7b#>8SDfy<8(T4XRZZyuqB78OR+|;FjE)?e3t3*xv?<%72 z7Oh<<)G#EE>JtOpjRmc=|E?@KjBm7E+J9FP9o%F>OxAy2Bl|mt!)$r?cX^lg-|3RW zcuqSQ-P&Rq(Id{NP%`gcA^Oc`p^;<%eR;HJsO#OH^6sv#FL<}Kc<$~}@D2)d^ev*M z-n~flpG5Ee9Y!c?yI$|g{(Gmq&rXd)DfFDynCK_{@$hF9TaBmKZ?rJfSNtkyM&}hr@Q4{{3etr)^=a%({^{r zJH87_eIK2cm3;c>vcU%EhQW0;2D2!vYime~)snq#p^Y#_nEV{lf z{!)E8jZ#JJV{>T`!!8Y&EwU9COR7vkZ(5g zzU0C3Z13)TZ8kqg^e;-n`@<}U8A#DPjH0h!vDxtdsbsEJp_?x^23?_Q+zs|+mgLx~ z6<^*U39QmpT^m+FVeI;wY+g2$m@kj&Oy~9r&gRQD^652Yva!>-PlC08n< z0z-FKYTR4=HltBEqL^n)c&;?At=!v-XHDN;@x?ItzAXHA)M&y@<1-|O6@}5*8~s_L zzpWz2=s)O#3Gei&*G5@9-A7MH=BXjttVi#th&n->quo)+B=07ys!x0wohQEhe~Kc@ zEqt5kKQYS-yVk+8Uaqlxj=9r$K$5SDvHI3v$-hUDqyHd2d|+buG>5;35*!eQR~bfdW1>%hkWO$zfd{sjQ)Ulcv7M~ zuQU3;B|7 zR@g#x&QK*g%HK^I9aYn4t2oIiE@|*(L;1pY!plgwwx?Z6{6R_osXgj4`Ls*CQOx_{-doA`l z_Wr0@c)RHFxziv^bF|O~)g`4dySS*n52D=tn|CRytRZkCVVi}Wt14mOn>u5dv6RLHFze=Yua#~_p$r^hPX z?h6{vRn7gn(oMqeB;mVV8vI7zOd9!u%a+|Tqu(a}^F>1*+*OThB)#L8;yhg_eO2vf zkz*3B5q;Z;o?VqT`t_n;TS3+6uNJBwQ!A9?F}B%CHrzUrd6xN!7t6n(RZklj-^jT>qO z8%M2xo%17qcloFlgk+GuA|K0NP)sA&%)Ty-P|)fUcnM?R2mBV-R0vp;ww@8 zp*THO)5y6=zBt;UYTOY~b}g^dd0~h&L-hvH{dq8BH9Bi!o+Y?2;6#bhuNFTsECQPn z{fmfNMN-WdL;E-4piYwdSnq?=aLNX#Em(a2pA&N$5v zHHx7Go{v^$4ZfL!4T~qWk^F!p_*01dwmU|h&aUD;p(5c! zQG#)?H(gly`35gOE(vkgj#bRNyCmmelU$)6DEc84jS9J7bNoLsk=wpRy{|O#%{n7m zzc^fH=+$)s{~aE$U#w_3MY*@>o8`6s?_Qb_YKs^?w8(K4POkR24W`|!rA^;g!NGL#tXKSx$A$6p|ASd^*|*!FnS@(p z6<-j7a((FSCIK(d$eRLphjK%nSuFRK*|Ih&cJ>UdW&C>y=lHf-(4i-<)JNVSiF{Kv zor9Q9UmywP(;Ft?Otm#Q^Sr9@8~s-Cb3X#hEg7Br9WOL`Vf1@N;oC3xmuh5;VzgXA zlDS9W{rbzBfT(Qk!X(w4ST9IrhO_Q7rWm$P8%jm=d?an**e4ExW zxl{D>E0%03$p>{k#$5AJ)rU8T^x0t)GhbNWZe8Iwjc1DgVA1tU+DP0vjlYUOXt+Di zIX`wM=W%bi?6Z@osD*Lo70@F3|Y!m9AgV_5`sg+4{Rl;M}CzgG>;JEh&wh z)#|y0!Vx37-dfRU{{B!JkLzkg#`~r5i?QwOD+7ikJ%Trr;aMoOx7mD`=)}O%qNUeW z=n>XI6U#t=+;i1&bFZ+8vlv%aRrl8SPiZWl-HJ^8G3v{`n(2>n~Q#^ z;orGESZM8af+R0cL1~V>mR9tzDS5=%FFa$lSEX86s24_L`|Vaq#PBO)yxB}_eqAhl z7OLwCDRwNh>d<()O%J>Zj=9C>{JQ&?Ho+5nSC%S$~Bk4F%;;$kQTE#<& z1MdJl+4b49aJ}xc4fyOY?;h-GMw{_3Dr-Mi!GY&a2U$hylsx!dZ7Yxin?XFBBMjnU z?ygEVtf=SXq)`l+zsjTXoQV=~Dlv-NxoG&Qozed(I_E4RXu}s~jnXO+8ocA|HD?uT z{sp_e$)bqsHg?9V`!!*HH0|8o=1VMVcUS&c(CK_%{BfOAm{pIph?X|(0_JY{XwYi7 z4at&|Rfcv;X5mgkYKEIC=coSSzU zV@q8wI^P4S)34L2<~2r-W%{q*L1UehR(*X%vT3|Zl2@zH&G#Ec&&85dp^Z(6cN6f@ zezCVv0*#wgY&QD&vT%(GeJE7DLGT-8CksFc>qr9M-KCbzMTY8)^2?tL zSvQQNM(6*2-rF#WzCdH+$z6Yu_Hp@J#oaXG%X=eYcJIg}Zz;(KMY_GOX7tTP|5T(S z*OC9U^=j`JbTHSq6RvA*A&Mb{ETZn#0?JS#TJQnMsiY5Pu zsB(O1C^3!ei~dB#X4ANUEPT8|w`f^V^y4F{_JptbzP04csrdNJ9v@3o-xqvPwCgO( zQTm!}-YL?t(&>yOpKPFiM)Xe^y$I}`Lq*B8D9x;x+X`~Lppvpmo4#GP~QJ$L3#q`wjp zyqw}hh1HV4B$(y${e_dTWN@d36VzGh*US4QPC0+0wfC2XG%bySM(!c7?a1L%O@^iQb_85TxtLGt(18Q)-!AUKror4M2c zHNxL>-*F(lL+LQ^a2#fl94-v^dwV2w@l($uzvi!=5`p30j~7O?uxcyz)gP`@Fp>ar z1PK~ea$bMgj=y^Ec1AyctzIhc3&=!I>K*rejNSU%T$l1|H{@l&;2plPl|E2nqU@3; z&w-5}#`k-b`rd=12LR1_h8B@tIDWsW}LJ%PIp`(hiNopS$#Wg{irw zl&7$->{>TxpJAX}nh}Nb_jGp`@u&5Hcm-0O28`8G+Yk0_jq9!}sSD+~XQ;xYaRj%$ z+&Gx>Jy9QP`zal~1_gnVA7|ckus$29M!x*$mu)>=+Ey`nsFDa!JYTeH)opX4qoRAM zVjM#&ELx(X_jap%NoS-)+WtYEf#b2e`{O!Ufe8UV&+)%nfA#rvOyzPjAdFXpn#TCp$99E01ON-RAAxiMrD-# z_cUcUZ&I%PCW3O2j^Ni|E8XBp6uV|ZtyswCPLh{V=41}gk;*y`l`>HV3l2R|!Om!V zjoBhb=cF>p3meg}W#k4VZPL74^Q3*?OybeuPek7fI@oB?pRE_3z1F_mhBE+>DvROPDNcKArz8r;I1N&u4yA z>f4x0H1mt?n)3eMn8V(j?yZ`)kk&WSxx=&S^vySVWAIHB%tqX60p1+!>G&hzh?ozC-iG0aFoj{;a6MA9cNiw%LhpkM%AGCPY)Se<+K86zrq$J zzI1~6ueAC9a$steXi5oZB}JLo^|e}Idhn{4EKm_p=nUvhWxW<&Syy|z+cQ5<8XvB! zL00YSZ%x)Go{qEOC5}n?Eh7WftS4ZEFX`HlV39C=u(hK6Yg((+OY0K}989~~VuBv( z4Bt8!`3ubch~kt}hbo+_m?jkhDdWtXYx-nsp83ZZ$zID{G7d44b;{=D-D@ks#1|}N zzA6z4>Ru*^@?^plZ7pz{QVud8kmn>YX>uf zr)}c5^B2g*ucn0}3QOj^qkjJ}vJQ#(DBo3)S~m8_tmkxQr$?Y+3I%I#Et)M z^rb`JTdE>khlRkEP*i#A+D5W#Y5MDqe(N|z#ceTasCX%j{snJ&13j*>fz|G=rl@l3 zY+cw+I(bD)U8S;URP_GFF|ZFv=c zn|3aY%GB)SdEEE&VZ=PYY@Tfuc zGPk$ZTNv;gFZ<_~G%B0tDx(x724@~dFx>X*oeke#>AJ9dhkq(Tn71+WAmETI8$+g0 zU3Ho6McAt*gga1ZsleaU=V-sobK@ON8G%uIIde^3Nv-(AxUPj>IIA_VRzgLmjrrm= z;)8>BunuFQ{BazYB{4J8a4<0Sy%ka9=KjEA+xGae{@W}p)Mau!gsY?X-A0+?xoe)U z3aCc*kISpP`OJq`WHx%@Q>j@e>XqfcXH$g*1Pr8W`882<5E|7)-flp@C@igGBgSDC z#TB7LMtFVixjk}Pzl>enWIP;m+e7uv?-rQe7Ay(kF#-Jbows99kh%e<6xRMf&IRy9 zE0K)lJ{Dl0Gnn~0Dm|5{;Kxv3(^}yKUr4@1h&bsZ1yq0LQ!_w=#x8kHd=v1*#k;f0 zhG;_cESxB+U*W}!=1JChY5XCz=oMv^t$~68<8u|Aq|)x_R-_&kiBW3DixNm(iM*xK zIAWA&&?Z^Kii$RzU?qU1NQ1prZj;o>Y!we_=-d$q74mm-(yhI<=o(yG|rrV4ib49@s1l4n6d_}*=25$-E%KckF zSzbhluKec+Jg@JpsL19LAr}Qu{lR!qbl_!GmN>i#%0Ynw9)W9L`{Jfn5z(~Of%G(> zNBZJ|aUDer|XP z2XCNh3#K`fQ6m2BASu2JE?U|hht48mgtr8T)GZW#W{@T#J(2y@hK@2~irY8oOlrM< zV>r!ea*P;+x(NvGf6b~Gd4nX@p>aEa87iI-j8O5scqimA$7{8tSO1L=O-m{>5{-x` z(MK)_Eco_a<0nq-LM4}|G4Eqx{4DBI|^E(o^nDI{aahD zG$a{%0X8DVSFYj|qj(f~i{@~rpBWk9{GrhLJE6neEC`eaPvD`cn|4N=9*g6^pUlJk zepmjkh_y6BZ8=A@Rw|?OSrAoXI9w7dc}evX0&@A}7Y>d>oKnWtJ_{+Qt~L1}&wU|o zRA3n2v{DJr@909DhzYp|aNx&#g^a`ZQu**{%V?Ja3P>Z9?@;6IQZeAw@hHiO@qvV# z(@*x$k>4YB6j!{$^PdRh%fFf?q8Z--fnKo$2S;#>jyb$MFs1vAuRnq5Fs6BU4Ui6~ z60eD&U8H(0Q-Nms>Fam5AR2&kkC!5Qncof)0 zz(&ysK%9Mzb}tC{d8H=}j)B{d>xwZwA%2ESJxvu|Ohhv#@)<;WK@TuF!@D&TO8kMs z4=?$3pf>9oM@-<_>|&$2EWAaEG{HLJ`Nvm7`g4H{+wwFfqJBAFk?~>*MYW16TN-DHfsKw<*}aycoc8=O$VtQ|V7=VA&x&w)IDxS{jD603MK zfO-Pr2Qpe}I(0D80B&ZUb^!RCb#FMvFo82d8J`Qhe7664G?*=CHPjpJfgEofzUM<0 zA);2~qX@uc)U-|=-X4dqXmVeo;#mTCz#sr(neNJ5U=lN}$AVt!lJ$VGPZ0v5-c}I@ zz-d`v02R;H5CN-woEKqzx!2}q6&D3@qR^IxR96DI`~&qmP-*!1_sJI=4*`xo3Z8+_ ziR>e2P@&i{(#We+UOd>i52Xgy7yuN&kiM^-|I$A1E_#LI#hukw#Ei3%ZWFG#(?o^G zlr?v=3nHcEqaB%&;Q1dEio8Jv12!0@RzajH=z{3sUjQi3Ka>`uK~S9$*p(m+c|Xy1 zMAJW`f;wr2{3RtXmQrID^(Up~BMR*%E>Y=OKA%#oT(8 z`m1aNnRE^?KpFvS3CD5eTL6dzeQyD=gR$pUI`-N%A}p2b0H`wsBBjK)z_jT--F1x! z-qj~Y8&T(Id!Dy+G6R0Pv*!(qR~>1uBFWy$Mex?i^WNf?fRLIQz)ZZZ_++gSdW21i zFqrJTa)WtA<+v-Evv{sD)%%zN5u8;kw#u!|qHJ7!o`N!f)3ohkq;{3^oHXsnD5xyOCOHX;I5 z@Ut+CjIO8jWr3ip%Q_Oi2PreM% zUBc5#S4twb%{I2yD^EAw zCBTWs4jbxaHVKOi znxp?-m{j6WdYQ9!RrLxC0`ErBh#5)FR|ZW_se!WuZ%_B!`))bxT#gdk##~N_tufVS zFMS>-9h(%krp2*=GkNQlCJ*6lIlS=Vph3TbRGq*jActJl)x7Q^MNinx?Y4A0IhssK z9uuCDa;Y0G{((V`{EX-qFtMM8VzzQ`;};Zd66M?=M`cve^hyRRaK?F#X357JwaiB? z5a@b)RN;RZ@?&Q_DR_ft!UgKt%**OwzNLzbhkatov)pyIr#?=^4^&~q-m6EI33{nM zl}bja{tfE-jfG642DsyKxP3PWj%hMKfhX3}h9(QP)jfPUTGZYXX zLq}d_SRBw>LjD%b_7g7h1qO1Pu7(~3a(O~~t+?T_8lIWUcJ(?rnj0j)TQC#GupIBr zGZP?~h0A|+*8TL8Er_h`*F06I3XGuoNm2T-3*EthA`BTjT*L59|Ls1{zIaGL<8r!s z7JY^eWs$Txx4FNr#Tl1f0I;X4KIiYH18Xm*5+e~-Aec9QQ>He)DIA4^DT}+j>P1!5 zBv9WLOWtO`sA9s&&xOL82YOMQ&H2u$E@zC)weT&8N*3{hU#U26`j4nrGunk?EqRL2 zq4LZvBw9fJ=WSe}`wBU)vqoyteN0AeqeO|2Dm+P?S|}T71TvN*WQLjOkZ-f!ln!Ka z;pIIo^+F#$+>Va+DT89Hjv@smmyjAHfz1stKxA-%s*G!I_{xNMC^;K)z64%iI6Qer zm;1*}RqB<~AvYxDhglU@(cX|O3X35CcQhJf_wAjb=_;tbdrWv&mBvCgnbFS$+AzyI ztB8XiUAQbjFy0F5Ky5y~H(m=qg9qo8V7GIT%ecU@d4X5!**Xi?UZn+ae-o)(9)7u! z*mBZN%d1WC5!6_@SFK2QjHy%Ebi6{x<*?riw5XtoNJ(594;OB{zXF0KeuaN?33F_3 z??vl^Sy3f2aN|xP?V9nPvmB5a(XQLq$i`fUWCk>-!56Vb23F-PvX?#}(BpR@66(Fb z0HccqV~1CV3Q2r{Dpa%qpav0)SAku|y4pIc>Id|Y{b@z`HUf8OjNm?h9Us#io!sl{ zU74ff*LB#?nZYpZzJ^a+e8ukOpT9?jLa&iNn#`TdoHhisfiTyR&?M#rgiwjk zY;Ha11aGFZnWi&Bg@v#0o7*4|uu;9<66jpnToVcJ${v9Q7#o3h-z3O0V-lNB>8mQ$ zeKM+S?x4Pt=9fI97%g7A(BQCcir8onVAZNMIlXJT_20$HOMnhI%!|<|5|Q`I3>^e8JiuGj`P6Xj-)s8lk|N$f=iY zPR(6lZ7T0uUI>dQ_P{hu(3Paj7CIsZ;nr@qyh19zh;2P%H<*p?xk903^h$;Kr>+Dj z6Qi+BNC~Eek&Kc-v*RpNme$eS)i8opTXhS{! zAt?DIY76fwN+y~DqnbIP*GQm%Ft29lUnsG8F12uPC=mmB#Fw$xl+5)fQHZbW5ToM^fVZWFJ?n-~TEg$s8~jDvgzBIYW#Q7; z&<^g;r5d+OXIJ1DRU2;gE?C9!;1+FBQFGFzRLHb7mOS|{_A0}?HlxOfLn z#v^=76%qRyjeKv8bxHJ=959iwBa|u5P)|nX&zztejr+3@$|D&XvRRQ8iPmrYhAI;R zdmS@c&+>yN7Xl%tZE)K{VB7?5hS-oq;WLl06*&oP=Rx+OsC1PY|N0sn{c}!>?D-W5 z-$&uPM$Q)qucK)a0Ec$ag_$1A^o0ODzJB(LITPZ`E>?B~2Dx4erwg8a4Y>_Yfot5a zKH^~m)RieYDOtBpp#i6C(Vq&nU72K6;ods~!IIMi)ArwHwU%k2iEo%I-%+~Ao1I%} zuTiOI=B4AkjAN+4-EXot#lopY6Y_(2_NOJ+s75z?M8CK{rMqYHOh}58^sh~d*-%kB z9pFk7U%c`fMnr&L6seBn?CVC^BGGxnv{u#VZyp8T7npkq8kQ7&miYzb-KDr5fxrDn)Mu=rv)iGcASbxQImeySiCeKh%gFsg#L1A zT6>)7cane}YX7KEc-9Bhp5i^q7&d$~7Dfj^uoPBg(e2dR@()hHv{|eY&uE15j_B+T zweIl=rd{GE&A8K+kW6vg1m|VYJR z#eF;gRU=Vq>T|`|mkyNEf1y4JB{Wloqiyi!=yB)Ri z$BxK?!>yrDT+%MUd?-T1ToxI(q_7$wXsc{!hpl_K`+noUWzr<}iDVcPPKLNImbB6J zslvq~vux|*UTpX|wy_CnEvh4aI1%wkk!)&uyiZ2&i0BMJGeJqrIH6KE>vaV`dOE-C zK!L8Jq`*S2hpBlGDOurYd{_j$VD_6N+_*Uh#Q_vuBPF7EynFvjz2EmtS%S|!@8|KS zx3eE!I~G=qSC2Y*r}^lxky^o5&N7Xbr)(}9cmRL9 zUtwKf%Z}Y1&bV3)aSlAKrrtiveIukRXE*O$cpHD}tw(@Opqq-|JP90R@wFe*K2}#j z1xzh%Uyj0=&CQCq;pj(R5K4xDb2!akS#Y^-?|Qg9I1MRhT$;i&3&Q=XF?%*elC`BT z$>brZ?G;-3>1o!G!eoPdzG^JxXjE+WpZDxEq%te4z9T%$K0+-j1YF#&Ch^9ZOt7^} zHlPZwpP=Pg>W)h%!SA;DrQzu8yqqh**Cu1yi}l4iBEoD0%MHQ`P9nNSl3b{GTHI|g@J)kXzGd>SFTU&!nE;VP)NlW(~@<;Q5+v5^~;=Y!3s`X-h zOwWwV(z@xXS$AEwi6E8p>GGcJ+Vln#yf+1~tqpEs_;p4JJScl!kuWKY3Zwj z*%ob?XBMTva`*w6g1<-;XA}N^Fckv4;fcxfO@GblAt{iizk= z7f)b?L^U9p?dKz{@wc=K{mMyjhH5kUyvs!&A`9&5bX{I6$LW)>#89yEdEtWbaG?|k z;EfxFQBp1!93eNu`LbQFOOA-3q6BUP(n4YIp!-9Sqkvm(6jU$?R++deF~Jh!$UvO?qrp3 ze~smjE7xqrc!1C&NlGQd3SHyoumSa&^4ae3scae4KEsfhknW%cksp~thB}N?RQi|__*)SxFFQ>;7 znr+x>U)`oKZA1o?nz=lYZIQ%4#MucfzAz?lqZxj9P2<;riTbki3IX6>SRvWi^#*SB zqTi?Kz{~U#K>#RR+IYc1m2*XZJ|c!k(j7+&IpwOt=Q2aM@uc+vtwU`(Q--KH>zkev ziSL=vN(6KTQN?<+9Ldc?ht6D309H%;U)xJ(-%0ui40Ag5PdVjvlkfKD0|cz()L!_ql+^) z5Ie*fICGcoVHQe5_C`94GuNZ*2fP-}D;=)!<27I`3_8U6o$X1t3s_DxyU2mYdW790;qUvZeQF&>N*qka4FQd}n!5F_nWkOZiyjO4 z^eGqBeDppR5)KETt?lCmFCLY3poaj!;arR?2t6H#|Ax^|-*LoDGI+H1FADO4g)b0U zr^ZjAX!ZjlvIpJ^>}X1-J*St(j#V@;Ba|?d4-i3Yfo^p*(}#+CDYzM42{4{Fs8cVU z^T-xL6so$|_J<9?$&|6H?4te~60#A;@HIdJCscq61+GH{5dfnC1L5kB9|qz~GZG^7 zQGG!#7$Atw@PWk@$^VAzjYd&x=4nve{T$tU%X_~)%)8?@cnp{C9v7(fX%iq?-&2hH z#uc5sjKL}})}6vL`-QW)r%oYjzMG;7HbgDjn77g%vS$0)5GP$kW9O-mnt-&Dtpn0^Q<2vtc+&>v+HFXt3_;^#d_y z!8j;1?^ClC005&N1bR-aL(%b8O1_yTI%h>=y5J(WsnteX>Z|<1+b6(3_Xpy!j)zB{ zHuxnmV&7FGuE+iEls^PsJQq=*O>ax;Zz3}+ai)W|p|O|8Kn$uT7NXOb?FF&of()ef zPSkB2iA-Gh?yP03ZB~>ak)aE^+`3&?v@4krL~3jz$n79Tu;5Aa0eNC05(02`W)>0o zb&paHdT!8s_Z$-bca>v7D+%!tX`MBS^`U{!EM|Q3b=R8kbX-4dA0wB7kM&VbJ1>Z>Y%oo?1Yd$B&r~!ACWwqnzT~|N4eTRwW=jV&`8aPL~eYu`2rEK1S0M!p@$kEag^BnxZ+nnxaDfE^6d0S z3V>5# z*9?~O8u2koDcmi1$geeY=EH3p#76dA8eBDn3)ilWp#nOGlGIEx5@P#UxpIK<0`!YgDGbW-UNAxj=#B z^%0ITZQReUKp8-CoqQt4m57aqi;4ZVR~%t-=o?L}N+` zL?n<3FFYo6tMPCj8l^a-O+P(e^MjxwZd>zs`XLYyEO)EZy498(;AnGq$m~Yn);Z#Y zx?0H4tWRxG_IaOhy=hq)3@Je}PAAbcyZ-6$WPu8!4##}~9PZ(x_wgl{7uiuth^3SF z9A>jTQ4hzicB??Qeam&JQzAZyl{$G#2^4}_=a*BH$aL$Lrr=f2MB*W zv1iTgE{jD%r0Hy|nI4*+>67NajKh!qltw<h$^@KNF9Z7r$OWE@}3|$A_Bs@Csi zVk+EU{2r{Gqsy%jdO$W)M{g989D8U2$zjgG-$W58ut*Tb?S$Mo{pvLA6PFuAcpzD{ zgaS_?t}3zkUm7X{4a%Xo0VEsb2c{3+B_<3YBNG9-Tts$m;L=R;ZR^;A2B|LKjGcEc zbwPzZ1E*rlA^i{)d2-En>onRtStjq}xoEca&*E2T8yKUQ&ZTQ_#%sUPk+wZgA0T2@ zLzM7#ZvfEDNMu>u?Qs}ziE8z=X`sF@T;64rSAP-;=8E~6$nLRZukV?&aM4RJi5N;Z zv!#d6F9&}2BYgf=F%tMe=mouH0^C|H3ueN#b|JVFtqQO6OYCyw> z@6DnT@EcH$5|=n94)EiG*M+tSkv9CTXZu!A{$)pbro$XbSg{hDBUI`!R;LNkbofVP z{Uamb38LUy6)oK|ifX?6~Xx z{cawiFg~@nqr$tS&|0Q{8IhoXEgnc32~UU9cbq}~k%u1vrN;_9mRIw^JN-ls#M=j>0mxy{*jvzc?`?JP8qNd-9z5BT zx}Xaub|!(P_J-KsV$COONXUWCr2LmfS{~omHX(4{k^ZP=6jB=q%?D?N{C>-+i8A1| zN?|ebJvk0KLA}ml8^$x^0t7(ed9XnY#H$@8kB?z*dXYAMCgk=ma}*`H0P*M&)hAp$ zr4wS*6b>#_G4`AP>%;!AMn49`7T=XUAl5 z@Kpc}fv#a>pO=F$s^~A0+#pdd67+xK1%HHc)p3{A92dd!N$}5%1s8JQ?^0_P7zj>H zaU9;n(tI3BJ~wTYgbnd@tfE$=guZL=Bwvd0p(BJm{N{q3k(0xJiQ~I;Es|jdJLUia zB5Ras$2Odq*THSDUmHVExYQ!v&A9DvV}UBb52!RWcR@|LGuWv5fS>da7Vfu_r&C6V`v~0b(}cm zpFifOkZtBHnjK>LOTMlixtoIS20yDZJ4^JnS6bRFV|Yg|4m|f!lu5wx1}#`R8h{W|#p~J|=Ta$sJ z+6+U$99K^(;FP5A+#SG(22KtW_y@TyawBjTQ*w1E zF6b2af~X3QEc}mgqa*?yHY1Sdg~p`hP2J&+W!6RI$Y4#PHs8y>#eZVd0dOoMNR`sH zBfH|inFV7z1r7zNz%K4Px8N?ECN3Tp3c~Yn21ppAP~KS{uUM?dcwTr61)xNu3||l1 zzeyrIyb;#XSI#x$4xEK};jZTonFp|_$`!|M91IjxlZXpL1ap=HjMI8POX#E(;)K2= zEjR^c>k{fR=zIKV#zeDaBjNZS4m^B^G=Ls#gY%FaiEM!aCj&Q65?-vH@2A-qGI2A% z3h4>Vj4d($=UHQUhVq*RA5K&f`g$Gt8m_7W2?X$8jZE?I0*5f^&(Ea91kPa~1eiJ` zJ=7xC*BB39r3xoJ&S8l|NCC&+GBKWCCK7#&cyTD#rWN`6-nNxz zM52fw^#!Q}iq@Jd)Yp58nd&gf#w51Wv%oWtE3RZ_h-RPkA-|I#_4%a6l#}cIA9sT) zh36tyUZTATiJgIV_haT%t?QAk#pFL9@`zFD($G`p|bl8^r*q_`EI|GTh=mi_K$m|vm1Vxmm=MukCv`uy_el3HPPb#?s7`td3(v|AI^0 zDf9VkVu$yP{(H~!d2vH{M&@+OwI=QBrDZ@Wlcn?6p7l95C{d*)yuSFLceup9F|9&7 zK5Ng|u766JzUY_ie($`Y1#2?Bq=nDX3sd?F6Qffct*oCew_%#|4kW$$qJFKsLgZm+ zYeO;q-mzhB{ByQDSF?U%K4hV}_`{~J%z>AmWn~~a16B?8odLbsSADA{W;q-1)aRHM z1+d0IVdRIy-uhBYdAa!5JH20M-WfJvY8P$uP_?$no%LX<>hFhAZu~Nw)E6mf^;fly zx?`PlwhT+m=JM*|?BkAnQ6JxqUvrV&?}VB+%sprI++_u;nIr!o9>3(SnCA#K2Zv96 ztZxSQ{`WVT)K4r+pCfD~99jfxu}myx_b)6j`Jd{yw8I@U^Q-NP498JY zM{Tj11uGv3`7v*zsYQ~;8tdbmL(NuJSzNq9A`4!jX8yntg@K8u(y)!mmH4|1Px_>x z5-yb0)wpu&2bWS?-fvmhTv`M$NINC3qrb$$O-y?A_fHLBKT0|Kna-^ahEi`U)$e)s zw4B1gb84AWZu;i=Kbs6;3q?0JoyA%Yt>!R!pZd-cX)CACbu?tJsuK^l+wpsdhD5F! zCJEZps}#-XJB(ESR2uc85L1W>68C*D57j;_(+0t$-_iT{!Rvq|mi?xs0uvs+EYj5d zezCWUzgw_KeVHa3*18#4>YN|1_ckx({Cbz0;Yl=Y;{W?sWFtMN$n=mI$&C$3fr{*3 z6Q=oc>Z1{45|(7)D3@6~;?^h(=N!}Ns`dHa%#QVsGfE9Jv=IBlKTUSXu+NnzCfeWVVu;VBFYiopw&6gZ@$#U(h6Enl{!z zD+!&!d3dwBCh-1FoZO92|GnJ9#Mrn_;}62dGG6=d>Sr<ymW4=(*9r>$h2y$Kh z?B(E9Np$c4yUT#{$ZPt&&xEFVNSGBLCPUy=*YVjJcr@OM&){FwddID{mKunCjp3sS zkWefJOEMLA6Uz@n-sc;nu1$S2mS+0a@>HgZ%Fw)X`zDvCah&_$`U)QMY?P*wlsU0~M-qg2(NC#Ukk8J|RrMDlR zCq1w}fEL@o#xwRe7i3VRYk~x+XE8#5j^6o0;f97*$OI8tK4?9B`)uIgTe)NlbNI1& z;ZboUR5mx>n*Su;es)*Aa@$s;^N^)yD^jiXkSS)JRxvwe*k;Qv*QuasYaS9xT=D!a zzX0zGt0FJ=RjmpV3Dxnp*qdumfc1Qub!fUFA#>_b(!$SvwHm*$Iu=6xMvOa;YtT!D z;Z^{{7G^?fG?s_-o$5QTiP*6!>G8)U1ep7V5UX>tJMX%e($w)2|CNmTS>eiC<2Xa1 z6B)B{o*c86a&KBWoQXW|GyQ^3c|6J2hwy_-lsO4Y=^uBI$Z zqunVfTCL>aUVG<{zU?i$k{U{F$3Iu$&aA(q5Ip-TM9G3_=l`kdxQO~{R<9^!2q z{7!Sv?&hxSDB5DdZ#aUX)U?z7*VsUrKF!7CuBrC0mq;-{HB8Vj2x|C@!kB(4~a292}6LVA$lnI9uC5=|b&q^pQ z@MRkszvo(=?2LaN#LVCh(UdaSf6yvhSpT%T`AqF_tc6`JCu9Ro@v4`UUh5;GtGR}8 zEna(Hq7M7coGNJ97HRm9Q?kzc67vUCjYXSWq^j->r7h* zU<+er&=0V(&r5)Rw^>G%P$-?Oq;+tDE!KOl@S#>N%d|H&Wj11Tn9a=S zdY}sfaZoZkn|Hd>ET-x-pFqKa6f^6!-GIw_sREdL!K2E#$IXvBbMR(k_MIL7d@fRqF=Yg5qtSaA~pY!;0ON64*R#md)hZwhC*5-a{l8) zPjs#ABVVpVek}TZF1?1h^IhU-?!iV7|HvK9fb2ieDtq?TI^G+AS$BB19XBRNy=ioc zXi5~!o+K&vCY`qmYa57Bt;Kd$=ra8(ox7T&>#F{iJ?$7C-SBKOUDz_s9b>BwYD5!x z=N)IS6zef{yA(3f`uvfoGot^+f{8RNJJ^zO$rfpi=A&|7cIX@8RH)_2r==6WHd9c& ze)~yfA(Fjx107bGwz;GIj*d%U^lD^FX9MME^u~E5vCaonHxgm+8ISVW@RD(&B;G{c zXEQIr*Zc~Ep zrKNLK8{EGbHQpl0?YT*A4ZNm$Jj)u1bQPw06=ydG#~G}g;Ds+4EswGEPt2FHTPCeR zjNM)mZ{2vQ>$6O?*(cNVY~|^8n`|tgd!E)t%+3QQHPYUuhVLW8uH@`uQ*WP_a=sD> z2{6Q^zUH%ar|h~@b)sCBYyEA-QPhl>k&t2SFZa{7uEA=2JDPPdPm>Gum`rx&JVlA>mh zqkko^{Ry*hCB|tXcMz}mwI%7zDcFX-KC7Jn=ap_fNs_At9qhZVJAbdf!z8)iP8wco z>2yM?OJ7}r%rsJm>|(v5?kMU8O=?gQ4VlJ0*%BhKs_+;(HTd->iAn;~*Ge!&tb;EK zDmH8VL`=-9wIv`nw6;yAK28@`UeDDUCXDW-^B8e|r(6SUl{gy`@2JLx2`i!+m?Q*t zsC;SBg}5u4m2DGEdRzWN?CK&fv~gh0!!Dw-zI>NA*PAfa3YxowFcsDMvOGL_LU)XB z$$5=p4Ro;h_y?z2poW&-Vs?AGLaJf;Bui~~o>6@RH$>bZUuwTebmuSFPfBKsZ)iz> zWw$=2#l1V8Ugh4zHik=?iN|VU>;yag$Pb2z{`^60Lp(%bnUt}wJGn57!C{rP^7ggQ z$b4Y@_*3{|MYoc}w;~1hl|@_2kZXs!_>=!HR-5)p`T6$1vTIe@|N0CElDcPE-%qJ3KL~<8-bLxL;(( zCp3O_bkEr0_v%b;NlQMTbznLvV%=`9FKDA`NGzf*ay%Sz zxvh@3nWnV4(L9gs-)V6B|RbD-3O^y+;loI^074+qxz0KCt&M}$( zHos`2YJ9GR?-s%L)3ad8OG?$-)RzrJHnE)K=SX?%*xTB-DLejNrS~2=9sD%c69Hco zCnln2i4Xdts~>AQ=Y-~rr#&R6W{zMGwxQ&(m9y?n1hyAp=WG4G`wvdCQ61Zw^~Y4as>6W^jL|) zrHx|hEJ@qE%TP zlRnu^u~7GO)n8HhM!wq95V4RJ%FI|hkHOO_pc=x|NXTeJy-07ACR~k_Fq0>2!h;tk zWEYeUcm`1iSnoOrMxM|tL`V6WKNJ$U8Rd2x`BoNe=%_|d%RifStnmVJ3JIADS0N4`aS-X2^6T~xXnRY?x_M&#y|j}@M#EFy(r}jp?%jdMg3B$1;l0jBa~zRl z3U$gX#67IN?-j`yysB$1_YzLctRt=5*Q3K-k{9zojWmwKF*%7&BrTns`1J<;-)l7K zvo0#((N_kPI`T6Nj9TV(HakV!J&M!f$N_f4ONLs0XbNGP6{g2J`Ugh_?dh1i}ejM{p z=Yh?ks}0o|E@!CzZ81AAbN)vh@3hSu{P`QMUu9(y0Sq+H5jP@|oi4W-R98m?bNKv^ z<0Mu!;8>uxhu*FBppNm?Z zl-*t{H49CAk%#G>+#eu%=bm9Gkd#5EcnlE{XWi(DsuP@z3+D-!=W+H$&ujbGPWE?4 z?6Ercdcr3idcNKfZB0#ExRAMt)|5DeT+W(7>JlgY2bnfKn- ziiYieXE(%W6y$f#&n9ybw>ag)@^+I>XAE|=TcfXpeg7QbFO?X9O@gBcQoi>6v(x*# z(dO`~RRhCiqYbaOb}c&uW+~5_JVd2N9GxXXr_F5`DI<@My?gu+5hIE|*mfrD(NFtG zQ2x4_FKq6L?@sy!cX|g$L)GZ7yU_*8%sHGUqw4xwPZo(6inc%z z*q~%JIi*_kgxsiKrQb{Obq4G5l~dmFN%U0f=UZROu{$5{I)^=kXL|;nvSFi?BFL(7 zSwZf#AXyQEJmp+m!=x0&V8QX=W%5x&&embj#3WUUR&7!2uYSdiE10IUZ@SjT#guA5 zbQQhG!3hbit)$(RXURir-sS$icoQt*aLJR|2P0FMF|jwL_M}A{2bXEkvS1rR67Zhs z*`KXl&_;e6j}{E(5U#0xE|Yl;=+&4orA@Z8g~ z#&!T9{X~p!^K+Qrjdg2vn2}9nbmZFwO1uf5+_rS80K5r`g=C5@t%R&^>XmbQkgcw> zR{=UHx8TH!lsf{i6r0|#bgF5(s^2>Y8{|gIxSbAZQyTAm5#XfpN1$+Qo420qWbu@k z-uLMdYy_|SvZcx(+aF_cw}={5v^2ObJbbI^KGz{Z94>j}(Xb48=LM5r`C1(vLsoxp z?04mJwj%WL|0=rjc&7h9{;j^{+gGR%<*FnkmZRL;uM|o6N>b5QQAo^vv-%>*G52yb zIZDn^jybl;HTQC4&JDvbGcz+Yw%@-0eIB33=ka;GU-#?v{JcNUw{y`9UoDgDb0^UaZyczFll)jawKXkCgRc*UYMe^DyEI)f?-0*_fBS5M(@pYn_c zSzy1LmTijL8FDNQ;)*)gOP6q4MMvFI z!*{?J&O7C7aQtjg&Vf5ER2Um- z&^7)8N^R9yDu6v}V7`6vsi1bKh%B46~dw z37$ZkCM7nyj5ie^ur@i2?QIoealcrGIW%(*#DzerehIzm&^3%|>eqiiS{|*HbIO^W zunOaP{~(JPTl0})#|c0QWZJAFLUm#;J1n3DAS4YF&A%VBJzfkqS#y~-eN0J#;0SF0 zd8F)kgWGgvJs>IqOy|WPpe(3tc(lu$jM7UIQMCjR(4CCHKY?-*yZHT^U5B#onS8Sj zr8;wORH26-D8#igq$awI#t7-N$DJsw65L60lbv^!l6L)TrKw{W6)lIn6^rKEoJl*y z2>Z7Q(;7Q%1&}yeoG4M^r8&M8DmRo-c9pU5$RCjhJ-JkP|+wuc0 z^S9e$xe@GTs5oN(c_XJ!UTezgl<($PcYXrG#bMB}J1Z5SzYdn^i+rL#TO68qZkB z!uX$Ol)QJ7^umhwB{hrOG{i<-BFagNCiDXKeeyiF=8yG^U^bqAQbPoNt2^w__Jfe) znIJNXIE!vM1La^3niR(mA`*_KkJNb`ryE-`{qD7g^rC<23wd*-mIv4pT_avjiE`wW zJeNfch~-U1y0J86Wo9@$f9a!Gk&GNtO?o+3)ccagts_-$I7sm z=CeJ`s8{FXq-UhYRB#BTaFxwtI4ud0|4RN{SL9bEF53jb3+_zV{ji;Re_AFGkUJhn zsJq{CWj^6`@2tnX@xzE_Ph*vM8Hxtg!_|EN(&B{P5ol`?no~*Eo!)lj!Wvv=SUF98 zkYGEu1SwPJHhni(CN%`Sl2qFE^>RNv(eQjUUoH+P*Ea<3cXsCP!}cW$4`MH3&d2HW znwnOf8gb->O(umiH(R#FyPDXm0{t7ignyHtbwaicK62z))Ym8g6 zeYmrK03jlcrq=xDWK|XTRTMA1oqL4|z6gOQ5+Qz+$te}lPpYcS;Q(x4-og_NMI0(O zmjgQoT;QU$9auo>^fFs-C{)1MWZ&=EV(RI`)-J<_=oAYrq_pQ87omSMB4^UEUM4@K z!a)w-<7e`Q(KoqcYs9BtUa;;oVZGnd0UK&HhQ7RQ68y@^dQV4ltKe&tp4nm!A`Xxc zVd!k29~M{764|J^cs+k1grvKEZ(F-(^3l}is6Pk0SsWKXdUK8IPYx&*b{ik`>FcC- zEzz-q=9@yZsK|#)<)g?YWlGXR5)tRDYn39+H>-YMGo4SPSy*&#!fx#w<_(njF=#z$ zCzC%YUx532z(JGIm04}O0pt!hgd;_a!TS=Z+5|McZR8jaRMwB{tC~;M?7Wz&ub<3N z4Hd1G)sH%B_(RXTL*OK}+jT!tPX9KeY?vmy)Rm;$!Sdx6!n7Z>_I-RtF|SkN2HJ$& zUPRkHq3)T70bKY=JDCv=0PF2xNuIw=0Do1+!+oQ9kMXZqyaSDavi>4|RcqOt@~DXW zIs$c`&FUk8!~Vl7ld*U24FcC;Ou;$^r;T-|&-g3EbL9=A$DVBz_wX$4jQBD`y~ruv z`7%;J6ohSiP!jsJ6uY@4LnaR@NuHRj{}4e)J6m5;c2IPkr-NN?7aSHIpZ?zYij40* z3c_pCS6&_Qy=}c&&Dc*1uDdq2cxA~4XtxN-Bq<-MXdg?xj2+@tb-=#{3K@4ffF391 zp{anG+`#e9H11rtz5@&Yb@=p~gy#4`rM%z~Y_h+1o{7q?djH?|sY`JEo4n!B0uSU% z;4U%*@qW{td@(P(1a*>ZlL{^KA?fU%c!u0C!IUh#{gEHH;KL8wVl`?L=Jv|m^Ybk- z_rDqOnIOd(k<9}1?|dli%L4tg*Wx!KfirSdWq(tR=`+($^!!4)Z&hMYsezxrO11cs z6}1!MP$Z+A#*z2xyVdwbNqTTz`McN$9C2=QmJ_3-AI}I}rB2-pB|JFi6uIZ3(6j#s z3{_+a*bI^+sGouCVM7d|4pT0wb$I)EtJgGNhd``pQBzop+9 zs9J(&j>*Q0Bmp1E{obo8|G{2v|J!EiOhQK552)uv$wLh^>@du`1*DM$l4fSRJJSK7 zGPlPb+g0tYR{y^D(NS;~t9c$`?_6qwPR3dMT4{`o1*jw4HEBDaWx*L|Q|F8h17pV`Kr1ftQ*6bv=|L z9hg-a)eq2-0}+WO5mV6L?vN^2%ag^H_Q3lYRL-Fdb;~*9c3et7k+Xu^X-*1>Dx&_; z;;oJ{B3wb4R%teZM|25i8GrV;(6PnIso6A~Ootx?wK$kQqebv1CV(!4g3`eGu=xLK z2Stm*nkwW^qm6a5!uR8on=1s~WA*nla%oVRu1(d>g!O53eM_$)IqX5=p$M-t#D_Ad z%RpREDh-+eCKeM#xJKa6OImWyVqn@^VISA~!Iwr3q`!pX#^Ile#*t?c?SxCm=<{iPctcCt+ zsmpVnizDzFqdM5-`R|F8vqbpEhL2{XGi=*hC2i=FkKLX*4X#l&?xS&l;`n68rvvQw z9P`E!c#u&O2o6Z!7qU`dq9oo>EsD5{2m3ec|jt&93a=Id^`5KnqRBhgqi= zv+|my?{JP|F0jy|V**Kw@?KbvqJRjV7!w zeNz|`JtMw;%BeV=_4mNx!#e(~e!_F^XBJY%@)WHaq{_cGpj$y(&K*$}8h*!wg1%b| zlUMt+qwXxa`IUdY#l(K9a$a-ZAj64hs)c0f9pUaHzlLPxY)lInoxDV#uLQY$eh8E* z54OPXexfTqjf+$yH2Tt4)$l}mW1u8GC~0rQjk-~^vb!Lo!q8#vvaB0}T%0Lq@c1Hr ze7ziHQl{?h(bCeHo8pWGk$31^cfmtjqZi@9E&Xh`XJLMaSed_p#gC?JxY?6`6{Hxc zc}~UQ`)bhJ0snba*B1xp7;6TrSJ4&>D@6O4=3Z_4%vSe(V>6#4)DF;gv7d(!3YjF= zU(S#we-L5~utYhW6yyZ=;u+yp|A?0G4k2lGBU8^V?~(q@S&UVeuUZ4p;kA_D9{~p1 z+O%?@XI`$9W?}44$-JQ!AycTNL|JI)3{I|R7q<8zuL&r?>A1zvBPCg3nyx)? zYqgRDa57pAzuA=fsMlZY?SpD$i~ZEO6NtRXRn%O|l&5}={UhJP`rmT<8#(7B_I1AK z2{F)zPwEHKymSMO!Mx>RX0=L+_o|c>B$*q5uhYQ}fOj2u)(Ie}m8eHj0)UrT(kFR2 z>*>zro1!s&4~7QgxAc7`Y>l$XU`2LWrHCWkx$i>Q%XRUkgoYi>6zuYZj`J z(`vnPCykvnWBEtKoO*J{(laoRzk3sxXdrlbPXBzcT{6V2n_H9OS~I9X7oC4iJ?}Rye zjV2j4+zR~|{0K6Ga#=RSHg~iKwnc5eKQxw(8{3{&LnXQu2iyBPT~?A3vAs=Io*X-K zTm)~aNbAXtCr$6PMPP##a^2+vOh1TN@0;D~WDaLkhs- z42pr7#s-UlQ9;dmo9!cdNF7_8<24)M$#Awh#e68vHn5qJlP_4B=K|~oKl{?JPjof*KAZFr zdc-80p3;D{GEj@Y4i~P`aXs5 zU2v(V<-%?%O{t$}qWt5vFz+iRI`)fd;L$l{{GXw3T-mgJ zKnC7AqT{FbybF*Mdal8HxT*e+^gfY9kqAbL+dtbGcX#}KTO-er;CI+dUC}tzZQ;Tn zTA4Th?Kgl+BJeJs#ll{(*u{8L{)JN6B($@^9|+K22;D_gzQmHxToXrnKGG^9Hy7~8 z)=xn6%0A}oYR?Q>F@Gtkbv}-bp zKfu3>GwLC+w3i&gr@RR<{HFyX&7d9G`Pm30UXMU}e>gPpAi``)>`e4-WQhz2`JgL1YC z8+oYtigC?Qtn2Nk6T7v;h5yZsJLp^>d7E{q{FGb z%q_12YnT>TRG+B~3w?67@(G_9IGLSRfc9NG8tNo0)$e1KA%l>~=ulW{H-Z&SJ0gTi z<;ldNypAk$SVKkyzOE9~inVt@R@wQ7tsoxrWTN)<@Nr6s07Vh&h{D*A#wQU?M;^>t zi3_Q$_aO7emy?AgkoPgab`%%RCJ2Kd@i|aaMJ1>SYh*Z-Ayu0Z`Eon zSZ}t*8VWA2%xG*PDAIM!rL#Qx-lT7<(GVUlAz>gfOB%A7wE6wcdCh(*MmgE#QZnKR z^$y1&KGK@D2Kko9JK}WHIMTVCfYTcH#|Wl&1eFg?T~zQ&jNT}NT>}G<1%8-@X$)>M z=0}-K`+TF*xF%6o+<_WdP<@YX9yfq zws7ZpC;@+K%8G`7w#-sd5qz+FM(G<4VcsEJIwg0jtv!NUeGLa0CO~#kAoA!1+gCTj zTZ7%oih4$-r(@pK#vV&H>Lf&?G4uVyvU;Yx!s?iL#2U(FkX^~@L*v% zLbxaW7ZEsSorl1j+Y~!`SvatLM4<_dPuHNCy9^zIPw(K_@I&+CasAV~{LFaN#?=++#+|o2V;@}J zvaTk<{5yE=g)*UMpync#w46b=UkO!uT!ZZ4)>t=L(FrQ8V0srGf~@qKP&1}7Bpe2L zuc}n>c!S#KVlp8k#pJ^@vFB0dqqzy?{`dK~dG@X$1}lE110M*bo`VX%6gfMBA8;wY zbYw!gLZ^S+9-E(Kj52)^K~D3oGG5&JpxCR%8I=+lZ}{Y@Q09eu=yuNGY5A9bnTvj2 zY!*2~BDCUn)CC}JFvSzTGB0;B|BXgULDMrK06({%;W6KAIEkRRfFC}Q+mG25O3g9% z^4MEkYc~6ymEy%aRt@#<39p(Q_wpVt|6bjNb{JatGz~jO%KO)KQlujCh4@24q<{`Y(AmMn15@@k)h(^mjL{e+ zlRoz;hbhlOaw9LG;shZza)o1(^2llqHuVF$$;!BhDHHw&i)3Fwk%Mij880RSKwsrJ zjUipYof$=+@@E!yI}jK7on2H9aA8_KRL0W@)Z4#e`q*TgN{LE0*;1@ZVq+jw0<&l7 zAP+5ZgVYfhS@HX!z@tKQwr_$;eOvKk)-z4j?=5(&WFy5dqN06U1`2}JNbFS;h&7E- z*p`r=Q1!+At#uW}vA;%m8wH%`$pA1h5_hdc#`&QPbjSj^c9begR%neQ1$Lx^$pdwG zLI>}22s1OIJ@f!m;WD-;lOwdrmudTSlQk!+h&+E&)!x2|;OyQ;aeeVC6?R9Ag$o-~ONY*jFCP+M168H2%JRQsrAxA|Dr8 zMZ>;C@|>yDi@H}h({4+!DjMvG+sncJJVVaD*)xE{7I!tp7n3w@@UiO(JoICVLw7Z_&)bJiT?8lE)uo<9M1-UQWI4o-GI^hmg z^UhChgcbM48_ZT}@VCK`uT^jiWJtLEh>Bc5&r%JqYWAhA5bC>oeD;9-D5-rzo7pz; z9p9OaIUp-|OyaF6Bxs{b@DaRYx9+j560CVP;p&uGlOlD1ZH9r&a!z*=a;DFPraj)l z1s4-&boz`kCNchg{w3g;YB;95KyfETZG`u1WicDx(A+veJIqlTk&8kS`+h`wipgwN zd!PC{40USI{~(dJ-*_dFCXZl?;7+`&JAjWhA(VP>-&_H_UiE+HlGn~?$v1o+H(1F4 zY+!$Vm@5P=vxlM6>X@~|UoPxMlVFIlJU6+hpbb&nw+cRVlXHPJ9v?+X=<)<3g=*#_ zF&7(jjlc8XM7`%`G1A4NFeYm+W`cfixoz|97ZH7=k^#UuR0G2Bf92|5S?_xVdwjUV*@pZ1fe$8eb1gfECN0^`OVLIPrES#?_)d;RB9 zONaff!4DXM3)7en$#Q9`PZk3@t(ypmD~GA93T^qlYgSZxSvYA>H!3{#g^A%s2~6#b zi1g`(w*zY-|62^U?T%LBL6-IRc3;xdfSY=qrjqo{OFauP^}S$`Xb8l1ML9LNW+L_X zp(R~jO#6cy4+QSe{(|?jHvkqt{>k^>#0?w2HaSiAdN*ekie7Q*{wp*R6p+cK;JdVa zFqmFIH}klGP_rw^0khjxeE==Eui*zer*HivrmI1V>~Q*^s=7B;ga##e!w(b)};|cAOiF)^~3vvb1`#>WSatkOO?(G zMv}k<UmSjU@AzsB0v|FRi@P-uxB8y_mk5La~D`on9xKqB69!>oR;=PwQ(cJWtz4$F)o|3d_)x6XlD2m&nFB?pR&m7$L zcKQXbVq~_hW(Qn{?!b#4sr`U%t9V*;7kz?eKx8ed#i_gP0Hpm$(^ukBi~>dgd_`}s zc}trTp`0XZ9KPlg_0rlZxHwuHx2&kwPUD@d5gWE+j1=R~Tx+(4-CmT4rx1>ngqG8F zp()Cf<6U5)GHqt9 zBx?{iS{q@ri?lN#Zyl+^VP_s0byvwz${Ox)0M?}M55=A|Kt)I3sAAh^7{~*aI6kzz zr*F^ie;>14#)o)|nrZDVW8{XvouL3v(zMf)m$PA^;B}9kF9=TP$}MW?OZ-QOVmgWS zT1y3SYFAF+y6@w3Ts0<8NQ;c5-DTTZoeHmowv(njVREZG^s z?rK9VFMM#Fi*4B8LZC9#7;8W6MJaeq>h^!$RRYbG)i>36M3(XnJjjXhk@>43_)ig> zh;+?}Sr_AekFnjRIJ`SO@dkPR`|U49bdi>C)0aYx7jd)!f|$ zgtY%@?27u{XZj%{yxXV0LEg+LCYlV12{(-KXBDwEpII@3%$uxEgh)lyk#TK70hM|JLcmc5vG#gMXvRHk~|?eSJz7A}pVDDHNH@U6gF&-#025 z^Vm?LmADw}MBe*obq13y@IJo&_=j}zX&mVG($NnU#z}Ltc;~0bL_l5DPB~P&)1a;s z9?;wCyc(~mD+aH5lBo%Kr=vmyS;l{clIU+H8w05xQ zYLKSTRxadUm7=p7`L2n*MSHSyXb$hkd5$qi^y~J2QZ0Zz%N@#?*_L1eSux{(i#a*^I7vZ?pk<( zrp6c+8?f_O@2`yV21GZ)ozd2kVRQKK6l|4F7rchL2Yj>fc~H|f8#uPD*0V?T8o&o< zg8>}9c1=qq-q!vZiMd(TJAudAcOH`MqgFqcL0XT$TTpL4JJOgm_f6H_z!l?stvW0D z@{J`N>gbQ**?%F^pn$Ns;3|~khs$l>JGb{Gw)jq7bcH*P$8uL9vonTSO6uZSX?1}&9WtXz?}zLa9}0-+k)h&inv3o-E2{EVQ| z5FXPD{yBF6E@G35qx_qbhW?A@7K_XHtg)u^Hl3`vwKwFKx7I~T5-;|UDK=f!s4Lk* zKQ1Q)e~CyV<6@qPQ|a!v75Bce5+OFanA12>2mbTlKefZ>@QUUFx?8nb*w0F}!te^k zR>rGmgZFQqI=927zh^TW^wGbj;O%JJQ_sq;ME*JG|LXBzO2vNk=0Oph*$4fr=vf(q zNR2&EHzza9dznr*u$tELUN~IU5FIwTZT8k*?05Zc^B~M?>*Zeci!woba`P9?t?0cl z3deDRqAM~F%eoLh1*^_>Hq905MY^kbKb{V13$RTX&mJjgW1E~`DSZwM zfge#lws-8Oor_(mctUZEzP`MMGWS-Tlle^q^Y)G9(}tIJK1#(GC!ec0W^=X1JzZDQ zU47tuqM+t}wRLRGMg{7`qsMb=m0#4nH0A#Mhr8Wt``$%?`)1*zib8?Ehd=(ryNj_W z^nW74x9v*%M!x@zv-^&*ymR&L(730{iI0HhsG?H6>!o1RgRx5v4-g91?G@xJ&Z6pP z>qRcRJ*3c?5Q(9MRqe}Y>6+2GM7cEP!QSx$=qV`Pw(zLK^oX31yQ@fiOBdMIx4O3d z`s)sD$znvnTI~T!)_K*Lvue|=>j&2?Udr^h&^{?^--e4r-CYrjh-M4x`sP0woe z5;5=v$ny|>Y>#J{_qjZ_^UF_#C*$I>{H6`VD-FVO+>vRVvb-jX+&nrK3fN`*o zD5eZAm3_E>D|cSAdH5FBn{8uz;OobjnAcx%pK5Y3BWmzw%Z!*m+tjvCI9peUcyrvo zB5??JD9V4^kiSDt*F5% R+ncta(@EpbT#^6c<^NWd^!NY( diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index 57e6a8578d17bbcde505bd4c01c888c1b0183c0a..d5623f7a14135188b2c53bca0f7fbc19e6cfc4fa 100755 GIT binary patch literal 19791 zcmY&<1yCKq(k@PL3Bldn-Q6X)bAr3OdvJF<5Hz^ECAho06WkrndEEc6_v*gdt^Hbf1gjCAR+U;{BCO*`s8VHC|>Hh%;B=rA9 z6{Y`0FUWI$gj^!2W{}dKa49pH?@pq+-$wGNkeKf&Ipp9h5I9m`BJnXXVS-`Ab+BZ~ z$q@_Ngu$o2uYSTA@P+4lQ%50hH7fZbthrwiTQfREQ5eTN4-+0A0~v}8?xEn zYP^R0@|TGySQvQY7IK7E_04wr3wDuem!xZ@|uMpoA-m*+Yq_R_=?7y$#^~{frRNPNi@@* zU6)FeNO9mSN%B&CsX{he=+2&jAGOl4#C#tOyM6r#X4MoDkTWk`a6QB=%3aS&kJvid zb5N7L*vKRdFc-_SK<0ju=^hnFP-tYhELl=sE8Mj8RZ~V`DrFve&T)W$G=Zj+k)Sv3 zvO?R`y@OUeDPNlz%GU!8;e_c5xt5L9Er3ULQCedTi)X? z3`6~nKikdV_XA@-Ys~er81|v$WtVWkyq|S7fQ{*vvzvBWtMr9ZNjMLiPYOgXouSZy zi(~$6+#P5Qw@WwFF#$oOLuWh4gw`ZDb1OOy&4gx$NWAk9>m!)8x5wopaqMaHj$yR% zar0n%B6k_j-D1ai34LMI98K2#jd}_C{z?a}1+5uRMbXGVRuh{=5F+_rj1tRwq}J|#~pNaRE9HZM% zHuZ@KVKj~bZEp?0D@KodHN-B&RPIK{k+7aagZI7jTD*~rua&ruRe9rlHO zmncamF)w}5x()dZA*VS)?+DWnxk4R3iw;x27BerCL|J$l?)tNVyLHD=&Tlu>R+>WL z98@VGgG}u1|1$djxv{b!bX`3pw*tRYrduabXEItoPV-Hes=xKilu`SsnWV)$>05Cu zGg}T~&_<}fkpmN}V+$@ihZR1lt*On8;=+|`*WwdqI=SdEg~c;nZoJIh?eFE{p({+K zgGM7s8nQK2wK{8@VxEiy7qP`i?3hxmp!=140+iCn=`<=AF-!Vs?K0#E%-EVumaL}k zkyNOGQpTy_hef(h6o8t`=*H5QhVk56MeMcl9wRc2rO7EvNq#fXW>a_GRf#;#rnF=r z`0wz|H=0uXxUWwCT=3>cr=yWATv>Re2g)KJiC!m$`0H63mmv^8s4div*ACxlGamDA zz!JHgCg3|)y$->78U_2)!!;2puuF^DuNE*CO9~Yz@Zh*ttv#Vv6L=>&9#}50cavqY zKR|t)e^BXFctl;6Pn$l_{J>DD(0-AyV(w)IDX397Hvq`%}C}28jMc+us^ne_}`;5M%Nx3kpnbk%Gz{O2s3yQE42H8&LTh7d62G(R+ z4p|PgbbHpjGs>+5j)i%`qmqVcc9ql*aXHg+mb>Il%OR#HV28^0POnMLrIfQ>?Sa@h-T*0w9#f@N zpYZImza2~R3dZE#MQD~EN@tRCI9C=|WeakC1jCxPf1>82+z0trKPaDUoZp*r^PfA6 z&S^?p`jX)GY1Hucyv_)(Bwu-J26HI@B(e4PfUSroVd6k@kxXtbS4wrkxgSIJxeVhI zHdbjR#QoPR-vbcxL}EIX54QU2 zCNq(L-e*wQDxEC%3#7NLVnYCu1FbOf;R2UddSBLffRDdEaAxta!6VTj-7RfBq*8*| z+e)(WR9S&pQQ*PYZowi8y2*Ui#?(}Gk*WDR^v-(49kXPBmTfweV_5&fv=9bdwY6GLe2eqKW0uR&96Fi#spSin1oJ4* zyPqpXKu-VEbO*rknCI2`l5cSVknA|dVUrG}AemHL2kwr+OX2wRnD9&o=xknI+$9z# zCp5kQm=ihdhQVb*$cn^rxz4md=6PuJuRKB%-u4n<<$hU+^CY3ceWChTu6KB>y;?it z>8KBUg0MW>NWO%i{z5;B{W#BKV_?9hs`7^}_!wRP zp65>FjV9C_^z<6>xF23Q#NR({fKOg3>rEYB%Rg355-5B$Gp*g51STB$eJir9LXZIV zwr~Ga(|bb#c3;B(!3ffqd3zdAE!nOeE+^r(B*0mF-~jDDw-2f+gBt@fz~$gA-imwh zA@9TSdIS#Nz3Im}n1^qyT;!~FE)ekJvy15262cUZq!fGuIxw*Heg`r>tdIYbv7bYC zZp?nY+r|bBCT1x}gr%O4z>|L(n*I}*W6Us?@Wh2CzN(=3VLkZotwZU$7fn?djAzOW3|E1z!zf*xFzUO7RNb5}bKJMV|GJ z$N7B{n2id5P)OeeE+yS^fe|*0js_sjlz^6QeyA(|Ecff<{+BfQR|Km4F|`iDa|_3k zfrK3S-DlcxIwfTAV?9{MCH5wvKyLJH`PcR*NeWP@UeGc<`zBxSd+F+R0!82IjmF}+ zVwzbCp*|=GP4|ZTLT!C(0Lq+?pR2)_@&!;P{&F(uoXhF7uvNXt!DtEbktz0(}3CK6Kg z+?9{jBD+Mdi+J#5_^S~!u{UIzDo~u7JRINkJa6y%lgn4V+T<;pB8en}XZe<63XioE z;lpTzx4Msvgc+Ktt`|4bKbp#tqMULcoxkjST*){q+W5V*%}`1zMt)S$jAtnp)9o`Q zoF%PM8}+D&06utd!5t40_4XfWuZ~@Zxe}G{S1BY{fvxvELJY!Eu>%ys;J8t+XH74~ zrROtBdbzp__17E5)HZ)gZRUgrw~XP}&T;>D&1sR}ll1f8joW=pPw#UP&vq5C*CCHb zK>mmDhyP*MlzFu^ds1oaazH)^CyRa)#5X-3(4`zF1HQQx0M-`ohx$%vzOKHzk}*cV zrLi#!9d?DC?KCt|DkM&m&qI(iw{ci?Rb>gC$-a`-Tv-|7-(k_6&p`WANYwA^=zT{@ zjWi=do}ZBg*q455w2Xsc``~7a<8o)wZ=qL5v{iB#;Kp|x6ubS&SC`eB-b_iB97Ze6 z@G9eCGw4d=dHwIn#|DxpSWcW0!Em$7&;8~*m@-jq(MYkRidJ@BZ^DV^#yNiRy z9WElWoCIETJ*6@|=fP_u#I#|5W!n}-h;_p>qyq|k=N-U}gMIgV}SE=LoYF_3ScB;MQBWWLOC2#X0v9GRV zCR0!jP=seSJIqeLL{2h9PL@PY+C(I@0xKHHxnF)RofCW1l~V6qUqX)VZbJVDoxGkPRyG;2p*J@} z8NS>!Hnpvb>l4g*cgWA9VoY;4bsBm=M_Bd6AsgM}G8voF@GR(t*f$hLqQLq#yM?G_gCQU{%2 zm9x5+&U)!}U8&NpF;h-oKR$POM6)d-n(Y$SrGw^_H~SfD+~PqYYbz4}#90WcYezx9 zAM-!fjL+Ns5D$UeGx{6S+Oj+8iBF1JQ|_<|Vb6Ay zN=AP}dWlwuHEOhZsVoaqjCUi`-N>8K`kSh)r6npPNuv&l?Bv^^5iF*yRls7Y7QFt} zf|$LCy+Z$cmt1GZIjJT{$fVde$+u$(?z#*O&ftW!N3mQ#h$BKjP+2NOIn^0}%s8fq z=4nB`wfV-hSGI_K1$<=OD_iz z;YUKn?MtHxx$c>m{-ZF-419I*xtPrk9l?_)K9t!7B~FrRcXe(C)m#(oZ|^$(xvZdgast5EGMAq>M$Um30N4VLfr6dk$>Nj^573(1>! zAxEa+JxSj%8cItE7GF9gmbu`r3LUA{oy9Z^m0YXdttbuum$ zGoG!pF}~)Lr*X^G>}KS;JSHy#XEmSckz*);xW(ES#5_VfAOVHGx1T$CTYu3XCN(w$ zXpkqk_3G_*W@+ zDkG^z7-FKWY6IK(VJ1DGe7>Ny_PzdrAg+WTvuH$}=Ep4LGjJtK&gBj7t)^(X1*R+Z z{dk?YW}JWo2}|rU1XWY{?M%3al(y?6UE)W(EvuUiR^j&rqqMN+K(hM2bXy@4>EdqW zG6bP4h4=Z! ziI*GzCqjqX7hWqPY^NEWudD&_I90CIpkdx6QxC z;md7(zhr)j@`>GC)O|mnpkh!){hR%u*l|>4Fg;Qw>UkeWIA;f^#2W&{T-eK<^m_Wi zd}B9j5J^c>aot=5Lo8^lM&=rdS=H07iKv!e%~{#aV6`dDNEOkPZ0+Ah1*1@l;>jXF z_nQgfl7LXiS}M|PJ~gx`c$_8r67L@>4vJHO)x3gDA3VJHa-6i!_O+^eD&8euDQcIa zlLP5S(@~#j-N~B2#L>~Li0Yuxx#4$s)ZL-fpiD?)px3dy-uveRRaNzFds z>oVVGE6aUz%E3Ea@VlQ^y?k5R{h}>UKGZ33Ennmm8**An>31EnX8)u2FVk_lOYuwW&<$F z79D@tA*cXPK^uDOVL_(9>s({%*CW@3>L=6(Mo1o-UEMJCF z-(dRF`5i$H>4)ywCEBN+76{%p0$Y+kKWBwD_K!SbOxk^ztz0qJ2RBpEB)&>@I8SaC zoN-J{*Lp@zPm;gv%9F4%ovwed9HZLeb9aFhZm?OPDYo9wKFf~?L_QgaUTazdHHpda zV>eImFG++b3FrO2%gMns;!Tdc%X*%xcya<~3l^JyPLHk6Z)0rvD+FF=$$#^RBDv*d z&jC$7e^YhqJ^v)bM?W!Ey{Yk#Qx6%{fVJV5x#hqK8I#E3 zP%JSbyBwu(Vk*EJt3&Uf1vvVHUlZ(e#dTt1ZgB*fcOotTuyEIwsQcKDg;? z-S$Y4-!Lgf3@RtCqrn79&e0s(#<`1%n_kz_2xG!Hv`&8(HVYSD>vFJu-kKKrblO8# z5|=uAYj;7A73VdH2YY@4r#gaAQ@p&GfBe_-EL^3O3k?k#dQ3IHosXY=@Nu}|GDs_y z4Am)p`Z=PeS;{xTPhdoV3OKr&v3eeL_mr>1(SN@8D=!dI$JjHL%1KyByylB&)?*45ozW5G3ls19XM|l5n zK!u5vZFfb}FN1C7nYTZ+CnGfrAEbv8C-O=P2PooeHC(J!s`^GDx|;RCnkpcsIt4vz ziNQR3O>)kr0SA41+v`rW1XL%R(C`N|C#tqxJNlu^h!vb|f;F_PBky-g{~3*`C4$dS z`p600JT>maP6(D_yNBG2hL`TLV}nNl&=z~ZRdBmOWafA_g|}U7nb3I&6$vgUALVyC zVFJV)3U#-cIOS;6Y@*M`LS~^e;8(Z#dGr8(l=;W{Nq}@A(YRYf{<((q)zNo6*DL&c zbpqAWlYkHLdy8B{n9>?&Vc!J`BWW}m`eTFNmK6&bQ^THm?~gMGXe4C*YgNBqiY5n% z=8*tIrArtp9mXD_#CAt~audrZxob>*M<-%^5%GrjB{i=TK+nG8t_oZ0D4<0x$F2m) z%on|*rs;D$YCo&$AHt0(*mv%WH%R$CJYR*&08Q9Y{?7-4*?0)~^DI8ED|phw(+?A+ zZWH`mBLlIE=T}2j^C49eI+H_|eW#onp1Gg0FVt0eHQckp^1ADj@!Ea(3n=VbylssP z#_k<*BH{aSjW>0xQt^Cc@ZNNXoSl^jmWe59%6Hf@eJA%x9*#q!W#04T~9+y4N{XmQ0rLlu2~EZMlmur$|XIXfOJfp)rR@aY|xWb#iv^6S+Aas=fc^ zXq>nuF18+x8cwX{?ztt_aDGY=sjHOHPKJ%Ue(hIY*gl_@9s8S!D(yhee>?I&;5S&O zG4PsgYj+_VX7^^}Hg1F~iVrYN zL_J=r zVeaL|27?u6cX;N0l-{cc+T-=BcnT%arDn7v;KqlKHSP1k@bdP|4YOjQ1lq)dhlp%go^ zVllc-Mi&ZO^v_-es72DzqRi6K<3#CT%eXdMeZ-{6R`cxHmPf`={^iYIRaSVb>^n5B zD8L}9^md`DR{Yz|7vZOPNS;=+hY&_o<@BwPg|4qS1CRK`g1T{5;Z;WnN;6it-xZU5 zh`^9YE4$+B@4vc3K?7m+&-};GTJ&UNaq7R=st@|aTcULVnyiJgw| z`+b(Q6Siifq(jEZ}N|>7qgz~+JB=JgF2W|JaIzp#D&|<(R+dW_B!~p zS52NKdTQj8#>I#DF$s0WoO2@d6P`V}O+=c^bG=g2ws{=JjCdcU^tJI;cITsiUG_Cj zbpK)T0YkBveWJ|Tga|}n_zxHwau;uh-7hDWz9S`pFy>f7CIA#5Qmd-tfa2}Y`xjXbMd?>8!Yk1L z{ef}kE|e2Pj9$cH{X_?eF7)A?Bw>gf731x{k?_uSKsveC2U#$#@Gki~+_M+)V{1>8 z7yX`NQB7;%<>E|)D{?Z5usj$Na~pCOV?e*?oNR#6^ebBcz7@(ye%LcWF3a1U*U#SeCm0V5FQfm|;TckCq^o>-r=qhMV|biWJ}0KK;O z2NlW<{DP2l*I)a8e>02<>qZcH1if;{HRb%Wp-HZ)y-No(^rXr;g!> z@VZJm2Nu)`V6y^?zq^eb5kXqJ!2Wn8;q`#Buzf(@HXXjJ&x+c>AJGP+LI1roQ#e7-ma5fz3pbs8!_A*f=I(7us zrgFFuSVGj9rNM`odH$yfQ5%4uC3qmgUkYcD+hvMCn7wIIVaywpbT5eeGc3dBqY#kp z3>8b8*i0>~;rLRm>b-% zz0V8lZ8kam1-GAYzP23t&M!=sNZR=vRd90Vxk7OGYklhuFNx?_&7MDDxHcpYOxKVh zQjf2wFY}&b;Sc=#XSltSNIqpt(j&h>T$8DB7O{8D0T!wEZv!k6egu1le|k}G&XURi zTj3o>@a=Ooc31r~J#QgHXM=wD5r4p%WV@M?tyz)gf}*J>;6UjR>(>}Iv@Y@GXR3qq z;E8Zqw7qAB+c)y*+iJ4RnluOt+SMtMmG^^pDM}&cXH`2a1-jV)ufvmSj>lf8NIK@{B{ zRpz^EO3gOoi5;UkBOIn(g+95k_gbwD}JahE?(*j@{C$WZG+^qE@BmzGZ=MaAFJbuwU ze*Z%r%!SG?HVcvTu5lx}+GuyfHSDQcZsEAuL%l#8>DD3#9Q&9U?eS%oww`Z7Zf}Uy z^h@s6FWEAtoQ7r1OnS;+YKLq;xD1yM<(CIL5A2xTHjkU9VDnusIbD}PE6+V&mBqQ| zyh`cLLz?k ziF4ER7P)*&XY7aYLuAXi&6n(gxH*jU_Ps|K7$yhd?rD>P90$7c_NuY+HJoJG1PO+F z#f|`?+mQDn6h{}MACaD7ek6OF=wQg3%gA;~KjytojQp@n_C$j~9OYbkFIE=oC^30! zQ@TJHezl*dsgr;7Wf3BvWei_`5rF2*8CkgaRgCh$gtr*i1`i{*za#06+Ee%3{HgXR z&~3e4liyot_jgP>Pl}=+AHtrXuGNlo(3r2D1|c!xo_*WV#4%jCs>3Gb0j91Gj=hU6i5kazshv z#)*b-XgjxaQuBUW1*P_>79?h5IG(y`ssRu((SJf5**8`ShJnO_9At#fEq%qeE)t*A zXD)vyj#s0c$`Seg@#K$hC7U!{GncLH`|HxfHr57B^NO|lV1Vy(oa=itOs1sIH+?-h z7?UVW0&h;*Db|lDn^Et4BK_Mj6n`GGM@-0x`IY7ECVVt=g&6PAfB7%GKn?p`=j?X; ze(=33X^boX;awZDyOV&KM>3dmOgIvHb;undj@L-Pt)xL@PCw}S>vcE5i31^$6S^zk z7#>OFv%$c#=R+KH;*mr~_jhzMe~vj32$tFx5iwAAB;RsU!tmdMyaX?@cpQpJc&H7n zy`lpW@&J-OWx^20kQUSzZ{Fu>>Ui54B2=k&NWuZ{G_R6i4qPk3MvJT)dGdQb1R*`~ZvOJJ`vISdj1rn%Am- zGtw~(;3zra$!0+=OfXFNX~xIN57OGG(Nc`|@G|th75y&Gqx4Et@pVg<0~mp;%IqY3 zs26KX+cFsuq#N=ck%%Lr2%qOFXg<+80@3b)3k!dE^FyQv;t}I$b(qTH4TOD|yZ>v> zXKkpVm7<$ouJ_wXWAgo=EJfDOZE`8W_56#;fWdctGCM9z#k&>EVW|SLG1$xLE}Ep# z7o%%Jkqx8dt_`3FD!$_`NpKa$!1BHq?nyPofdZdC1qgnxjEtJ)V$b8YWyHz%LpKuq z(@S6=Q0m=g;7S5H6MT@F#?Hi3$UP&cFFS9IXxM--cS+L&^aX(kHFm0=9TPe@o25+^J?ZAqw*JX zEirSg$Ls}Ryi7T3*1NBXYSLUGd$N2!dsyWxQ`mepcB-btFhuCX8z>GoPB*YWNl z{ZLu*W!jhrO<&-<@cPK2@_uzb_MkA!y0m=NGB|CGVt>7M0h`hFL;%=8FSIZG1YHSq z#|JbHik$PD%F0za=vj^+UMZVl{6z8e&36uCHH7xS6v8QcnIo0d#Fg5j< zW^j>Ncnif)d9%WgZ>eErdLxDLZ7*y+^eR6Fih^OBLRou;{X>{#X#U|d-*to=EIC9M*5I~qsAaZuEm z-;bi^K+SxYRh73kTVwsuH@n(oT}`dSX?o$nRTg}!>BzXGFq%oB*6l~1{g8j@ik;H| zHbecl!#%-(X$2W^yH_=XpGFoZmemdE0KJbvuL3Tu3BoyiwCbA~e6+5ymYO&MN9M>Y zx$}p(W=121r3}37l$DnXGp#hu)$fBmOAXHfgANj!t2$>4*Ei;)&A8&HMn?%LtfiT) zse`s_lO#vf(WH7C(!1X}trd>135>>E4M~mgqM(2hOQ>J@cP-ZcFFh< z^E!Hq_UOjSqtNPE{zP{L^ z=|98F(c*6(4wxV~P%SJf&%svD(8+&O=rQKAkG=4dI|*Q(25VBJlu=50g93G5I9`N+ zw+$+{w-<+9t)83PDcjn>6Uz*dt=kDOfpkFWcC%gjCuy|@*xCGl7&KTz{H@J}WcE6Wz1?)fMCu|>Y+iiel$^+{L_j(#FtPDY6j#ur)j0YGmh6mVkKDMr*XRMyZxW6Q6 zS{QgFy2r}KVv3DNO6Sb+7^|dH zD;^r{bR?}2(`%j5IF%5N9D>sO+=ujfkzm;{S{8nLkm~_7YE>^vhxQ)%MP%*df4K}u z%DD$L#FKFW0%w`nrAFzbQq59pM&7YmCC12$=FpW?UF0#Seu*%W%f}g-B%!UAh_A=6 z0}Ux7o9V{4q+q~lUnHVwH3i^8o{K0K7R*i+l)2DBl_$8IO!cW+`okTZN}8T}Ipp%q z=NI2>bY?zF|KP*xA<`OBEBw{IzC({VfK<|kg`cM%&cNL57#H^|ug#(|QPTuZp)Ib# zk1{i$$T#e`b-doP-d54|Z%s^yT?xUS5l|P?1s6I69|%n?P#4NGJ-WY(klgLG|9pIF zvqfry-09INn1s4efL&9Z=`kp95A{$F#t!yy4ff!*YQ?f*fxqa0swQbVLu#TB>-;4u z0M#^&W#wP6`8f*qq7inDa7I|WU=r@)4pxuF3P3yKBf8$RGY`Kr5BTQv2Eo%S+6mPJ z3yTkW0W{T#_KSdH;+bcz6CfzwCH@%nzVccPV_T6x+p7jYs?Hbz3aVi*USI_RPy`-n zX9D2Y0`~mV+PvLfO+<4+=J`aWp`|2Z=ewdwjFf#<7wpFjOx<3Gwg@el(Z?YX2kX zmD*k@5$!~4VnS+?5nJyTeG{^pW}3N#v!8~#V4m?{m;pho)xfTG7i? zTXRM1dJ1}4gTydxaaoS7my97hgVXDIz0LAt6=-9#&;}&}4zHt~MF3wn zNB-F|i)LMn7aQ8QVk|!_FdL?zf?3;p8a6B82WN2ESEwTO=1nFxJUeQC_(mTwXO+gh zxDqqaatqaPNhoHDG%+##8k)4`c>Yjb%e-r}qyK^&*0B^X_Ap0u30M9IEMy`u>q^D% zbQ_)qBM*Epf{*)K#+2Tb!XumE!_L>f;QTR^_Ne==9FSq1Hra4Tu)mY)wqf!Y2*B$S zs27oXHf-BP*PF+oTs-(TA30UXR01nkZqGu;eRwG)ZcWnL^kLa^U-5uTKgODEJAjt* zfE%nD!mVnPYb9@E7M-jf{ZB(fpihIr4l%De0LgeG`E}t6EwJ<1yb0aC`|?Sr(vW(q zETFa(T_tSUJgvWjqDa(5{WHqZJ!!?T(tyBcu7|UF=hw^)E8$t*nO>0SQWyOiXyCIScj zKX&t(XiNr=dV8LQj;_Q4@CYoGAD<55ipm2oc9!=?!`kHBJ>Jf*sk@j*c9T)j*tV5c z!o6U43MnNPoEl{TDj4iRY9Uo8Y9YuEsZJ>21(&Has+^|{l85G=fuyK2e2sw`Y!p#t8)?=xi_cZQt%s)jByJy zFX9r|(n+e`zwpRco1P07khDWes7%{j;s3~n_w@9E>rl}PUO``BCan^5ZT>zo=eiK& zeM(K9c$MLDc`*TWr}Pw*GTGkwC&y6N*$sq|hxtY7T;OOXtE1EZXGWH!P-E2F^{q4# zhMsSu+iHvfRy2u}b3D}oVcw|FEtNKpN?u!Dj(!@3CQQ*md}M$+OwmT179mfs%3Lpr zwOck*m6TDyI?XHGfe`3!R(qgSEi`72@au>CbYTdcPz++}JtKYQT;uQm63|M;5hH0v zkQx`S{2|4{&rDVH_JC5mT}w%J#rQ%cxg|_``xuJKB8$5#eGORp9h%P7tsr0VUFjvty2L2&S<`~B z!mL>ZL5!;m?pH|Dm7Uh8NrVX!S6LWcx~(k6gidEO1@gDz0GUJv{*dfWir;4wUnDe_ zMn-`S)ox)y^aQe^Y5w0uiRQ>#m}v{-BM@mvI!I->LWc$yer@6{IDgKp zo}o(GGUA9v*DHn1XW`ttVXH0rs&fOY_e^YU>d&>X7!w05{S9-_H8%MLiyG+-ByCCT zuhqtIjo32CKF0xMi52VqSlP7@ zX{EF(oB#?sf0-}n_xlbvv&OgGd3i0itkj|Kpq<*?rNg)YvbR6J#PB_T(7b<h_XxWW5G+dgEinK<@oy1bWvoR#g3oDuK^UANbP@E4oqs% zLBNe8y+o>aBm^`wcx0WJhNMU%{}DQje+3Z<`)l8Ct_$G?>ylX&-0yjo$|mxw#kt5Py^- z;Uj*+cf74zprA~gom~-MxT>(D1@+G}fR2J_2Z^Bu_ADwWA$@#LvkoH8vqWDbu%kCj zx^PIBPIMvj(U3D<>vJ?9w?Gpv_HyRm{6AnnLy%;Y#&OBpoG7DI5PP!-Wg6vsJeH2pUMNRHktu%=Bru zc~*Eja8<5;N?XvWyNrtUatfc^Y4Dy0N$MKe_oykHEjbI~o&s=`VKU0rdxy~Y@DfiMcIk`;%0V_- zUg5dB=$PgdF3r$|()(FkK2uPAt+{*xe?M2I%gKuAvMI=)fVl(1GYGA)DevoMh=#oE z2wohnTIhkfFREJm?V=0%5x@)$eFHl>%RCZljq>^-GEViT`Xw}7_(;>{-!O(dIQQ71 z6Jru<1)aTMTq^R60qs!#eOQva2eIpqA0#mOUmwLT?lrZ~xn93Gd#NX_@Q?&iuWb z7cw7oOn#Q{meKXg-}?Ez186Ptna>O;;~bLI#MaetGj{w8ZwyCIjiRuwel+hgl3QYt zgHY7S+p!2D^uurO{jin$sZwaKWMBxGz;8mgWW9+Ikh{##mnS|E@S8ku2@u0_U3?|> zKWg4-2ud7t-)X+L)o|XTp<}Bq|w|>Z5#db>vX8eFAlL@s-T!DxF2xB7KHiGRNTxWk0d0Auv`0TFOGG<7cg=eFhU$K;tIJdncDlH2J|L| z;2icx@fSvihfv)TI3>2r5#eR8_0-xv@7V&jB=zup`)ZjZvHGPjrOvZ3X1)1MAdEH? z)lIY*a{&H@tX4r|bW1|@o!Z+dWQ4c?2jkcm1Ly7AOBczl)aPae|*(gx?_A3q9%LTEHZkq_wXA>Bbj1p6AqTl#h^p9>NAJ zP$z0+#ieD?HOdBuvTn=s07nM>k%2iF3DzJ z7I-=}bq3a-;kl%8ZM}Wq`~87b<(R}FMAw|ffh@mF1l*FN4i?#LVIaGaY%VQl;033G z67g#KfHbPDW>@0wRP4vdD#KsrawllVyKy^q6KdI+Mt;kd`>4otPs5ix{0Z1h2Xr*S?95=AwNz=lQ88kSlvo0s+=e(REcoiafrwk zbT|*gvemCZ-Q4X9V*X1f^ALs3r$9Et&Q4xlHp@#am!m4bYmOS3>_Sf3<-;s;+>}# z!MUMh*_#*j^7BX`amgXMqRyd!0y%F`9ox)9Q#8D5f2{v zlz+()2A;kSq-u+Cqq$nt-~+iS1H9y<(zJ`{_oT2*$X%+Fl28V1#=!RPN>wm70O%W& z4hR;)2ee7uSml&$@mTgL|KLNr)*lWk;Ju?*yceWYOdsALY;6YBB%-9n#eZ;p^MxFc zyZ6gngPT7ZG1)FI-%g2ZnY%s08oXMFew}AQ!=zRFj4fGaV49o6*HN&G7H!Au(7+1< ziQGg}2PIG=)y#n;E~zB!$dS{|6n}L1bLY$#0JBgcX1`j2Mct96U6H0A(Twt>A9r~Q z+u9I)NpTs(zDLu2XQJKx&|_rn2Miy3Mu!oMj}q~B66p|q{xFO|9q^Xz03$v8NC9E= zRz~zzLG&k^owlgp3;9+I8aPA&1YV9W*E0gkF7$WV5EG-2xPEX$+?j<{74zp`xCC;6 zqz!;^%ME86&5(a1y$CfwEdNgcatw|0coD0;wVatCrsXf#QC7`ac7(F!Y#?~e&nv1# zoRf&ieh_cOD-o|vM095L=2NdrL=-lnRVC-z5lYN?c7#&nd^XBsQ!aV8U5IkYdmKWPPyWpTQT1Hzt>+5AkWV`xO55uk5T(lX z4u~@720!F838do3bGHIgeO3OtqaJ0@HyjXU&^H|rWzhE=5LM9+0pWVNzjr}ze}1eh zK|ckGHXU-PBIfqN|IbmC!v7KwU+=yn!IT!aQ6}h47bb%=ex0aO(N4cjAeLJa5%haN zs+;m2hY;0He*na1_Wceq%IZG_i1{-x7JZd6e*wa5qW1Rm&37)0{_d(v>GO!aE^!@V zetpX`4?P`M7>mM6$TNYk2~m)F4oH+?j&eXUMB{2dg?7yOdG2#Bu-f7yjmcHQKtN@;U*fS6l=srK=$c7*cZ zZC=Dzfbev@ulgZh^FzMwhurRme8UgZ%~r)j_Chf>756p{{eI zl-I9!qm<(hKp*jRlQk%ZtcrJp)_M`^yofgg!AFV%efSwAf0Da+Xwd!o|JxIJ?#IjT z_ty9UFXHM1;odR7CPBF0oB1pdm=X$icpyl)4U<0iBnEr&bJJe;uh)J9Ty-CcoBc~BK`)1 zr*;4C74o1L@eeQJA-^IIdxiYdi};rp@u(N^7!V$xy&QXS)kE`03@HxsX2&5@+D7K( zAA_ie*}PfcPg|QEhjlg&>zvZoHqbphYi?V!>&0#DQ?l<50g?;+Ik!{3LY|26GPXsNzN=%5uL$k~3F+QJa-FevdTG&ORck3Qh6^xy7m2TKtyd21dzxxgT;Q zAeJUjSGuzlrJZhiWH|l)M{v~*rKYBCRzGLwi zy~0(NyBh8SM>r%{Aasik76=s11w!)PJSWA#B%}& z3*a03|zkcm5h?zz=i}r|PlV*NbRmT2L9FB;~N2W2KuI2cX zG(T}5X^u5XQ%^~6uhM*_h4jux*RSPI{Ta5wLE1OBXhi!Wz8J^vQ4U%(kq7OQL*z={ z77M0M?2{wqKA9RPX^CCDzH%3zi%xmE%$L{Lko0)i3)bYNgUMvN2bzl$Gb7um&Bq!{;6%QoudSgb%x;={Y3vq68vwH7;mQ=ocNz( ziu&N@%)~zdj{4q(Sp0y#(YQC}CuYnC*Fs4>B^kUq43T|$ATC5!3p4h%9Ix;AE@h|; zjHMLIXIVZzjpsU;c#g-`(egn>A84?QePypFu+Q+mQ1tNib6pOebX@#@00030|9AnU zS8Hq(MI4{y*;1gC_p=_Tl|qq%O6h~b+}_;XmfHurd&u#@RVh#)DG+Qd35tXu!H@_F zQDQWhXla5bMny>!F+qVy4EXq<;RA^pe4r8&KbV5i|Np-`d$(7hC2TUYw==)_&Hp`f z4!fTXVvKG6b?cY#VztoHiMW>%h9``y?jIy6|*(Y|Hf+V<70v32cF zG~+UQ=0=znz*ui497%}fnkpI^kos19wGqC?oANnk)>eg=)H3}bofjcp(if>}>XvB; z!|hw#`4Q%Zn=*69(Nc!qFU|)doOL(k^G-qds}1GE0~5L*F1ye-q|b2Rk0%HTTSjHK!5DSro?UcerO{y@u%2k>h=G{j!!A-*Nh$JJ$F)5-p4YI3O$7XvYj1bKi%oz=b_ux=pWrUXS+)||02YJ(=$u9j zG*%7`7nl}$&08*19A$f;O(#iat?oXB7MILU+O%EYf~akwvFj;BsyZf=B86nuLTlqF zJq=VXh8()yji1tT5QUnXBa8Sj=wau9jGb9OMW75*prNo>ReF1#7F9t_n#7L7@7H(N zoWn&Z3@u7)mNJbzG4tnd&f-*AFy+@3rIE$J14n1K>o6WF+o=DdmJS*W?=40h0^{!?g7xUszbprLJap~r`MI_u2$mTaiCvr^Bh4V}=dVuP0p1UN zLwS!if^gWCv1g!v|8hesidzW{H4A(bmV0!k7dI0*nD?KtECxR&)gL>J>!d}vMI!r| z)_=c#7Q-yrr?y7rOkVZUV1Kqp|ctp z=owFS@Y+Y)Kbs8S*ig@uZDxIusml6QP8mnup8NEf+AlyksT&$2?~ni*CvUTTn@Ntb z0c|KpfEq^5sI2;KL!q&5WMq;RyCG~8U@N*Robi_awgI+oQ{fF=h#>YN2I-d zMFXsoCVg8g9`8hqj3){{M{rwBdrL*Wcnv2;ZB_Mo^q^FQE{lmc63{b(y#wzxmEAjs z!dv=~+cwY9#gag1LycORruwcsOM#`^?IJ%U~zPq{( zj{=r}w#=q`WwHL~|_vHzY&WuHMnP z2H7#Mi9Ox|j`LIw_qsdXL669f+22e40_S2ux_vE-h!%$2qgabO-sdi=cJ;INm0)DZ z(_4pg%}nNtKeDF_B#99!Bx0k9xpfAL$N43JNMVlKxm|< zs;1#`%?MH%r#HqxDx=ZY1s7akJ+;fk8G p^Uxep|J=MoVF|z`MQEjx3dQ)=Kgpt))QHleg>e83{|^8F|NmO@DY5_n literal 19145 zcmbq(Wl&r}(=GuL+zApKf(3VX3$DQ>1b25?T!MS>;3UB{xCB|;T^9GnSy*KE@_ygd z-?vUxKQmotx@Ml~>FJqs@DLCXsxjWYN{`n^`W1Dp+&%1EoT<5Z*g4s`sHMH^94)E2 zdAO-L`8l|_Ik-8wc&N3l+`a8Atf)22EgY!1sI`@-^{l>8%URlazP7AJLwq%ZfIuz# zUx0vt``>+}Q9fF@|o&VAp0pU+4n;xg@0e_qq zPSv7AYJ-E!zT`hnYE`H$RCjbPt+hCpNijcd|A^Y9 zxikxV?$hy~9ZkqG3bq|YvN2-8&WR643F)C4_7uDj-xJl~bx1I2gCTy>qf(ZlUn#j7 zcnAIXrpeAnXLcb`M`;PQDwZDEkbrij0RzKM=`$na;;8C)mbb=rsvHJ!0;`eic-n`L zoG)BFZP|gVRNP}sPi-BfLJ6R7Z*>ONvLVfIS{=jWAW|KP~)RxKIz^i+Un&6cgDL!NDnE{ncI!YE#cPnbou}OFHT5=4D4W~(T`ns3y z_)WoBCA|Je*3_J~CaB`d!T0hlj?0=X$2Gf^#ghE4-p`GEzsyqI?>7iRL;=&o`{9P4 z<0i(QMU+j>Kn78JfH;QF>qIx9W)>tzP3 zgAXiTc1uo0?%4__-Rtz~7G);3RgJ8-;QTnsYMCsHJ3FB?wx#2|sxu<^j{K-|1}#*%cTord==F+Fu|B!_&T6Y>yaV0Lv()^z)bnhMX~l3#*!@h<|8Ef=?>QFaleleWFDVdU20w( zdN-sf7GOurX)8wAmS8gM5AIAMd|t_)qEKTo@aInyjWx!tq?t?Q zM_KERF(bad5*q=!jyVEE$u^wKex+pR>>u#ZigCj&<$)9TJ0FZb;7B~byBfLr4XU0{wp1y;Tf&$09y>^CA$-&6WTXTd`;w{%583S!;$24}nD8o%t| z$$Iy-XH>ASUkrkOOWaWbf@{|c7U#SdhIS&(w7CtR+jtCN6DH;uBBJ6AW=aGY-hYEN z^Y+(FBmg2)PS6?jrNp$QXu#R!cpCQ?ef-_hX{g?o<$ z*JLp-oX@kV2XhY4U&9R*kGb1=ovi%T0EJ5yirC{d)iaM(Wr$f4j$VH50<^ISJsURi zqYTR44ExempU(2(X1+A5zOw6Q+n z9km>p2mVXHWIUQ1NUbiScwx3gr*1 z$@KSQd}>qo^>f=^c0!QJ<5Ah>^QN2|NEKr?$ZCP;9I7rQqMcKyr9a2CbUtEhQjqsF z()oUa+T~jOF|mx6!@-|??4{{xi(p|#VCA7W~sB8(q?2)Lvi`ZbNzQP=dRP@=S<%{=KPCt!wfsV zrd9W(z9sE_;`l-jEW6I8dO!Gh2%P8i1(u=T$<_mFT?`#uw!a@*Xwj((2y_d6&Tvp| z)Y1|cqayA3k)$7B&@iK7^j993m*YUFJfWk4DKPFOXt8WhQ(OJTD>e0;W5Y?lJw>2; zt2KH2*MahWuKJwBt$*W#(GxHDz1ul#+keroyC6t*w<)}LFavB)AW*f>Y(6rY`N1PC zRetv>6!J8FBRTpxjjgCalz=Mt-jcO0U3^f{ZQ*MCXgr={*55uKGT3H&)RA+@p85Te zKY#A;iM<9qzPtQX%)w7dUx^;Q8pr|u3i1xU%Ie6UzdbB{0Uv+rxM`69{q1$4sx2%u znp3MupPPW&y4b+yfWIK>lLbq;56L-hy8Y_gzTasSCv@-wor#nlIpj-q9b0vb5W}8} z9yV0se92|%n6nWj@X6(SGVGNNI#Q+>503rHS0^*Fr$E>Pn%$H%k>r_UnUZR*KlmSg zICKf|Gh~@M=au34vXKCuC;2Dqj+dTn@<7VX$bU4T%NytskBUgyKC{%wWr^R~V3hHU z3u`d#s_uuEb4b7p1jjj;4&=UqzlCOCi}&j^{`q@QdBWc@Xv&fb{WhbJ@9pB{oCHVj z_7=`cYj%SleBXf*>UiicrgAleuf3%Z_78aY&=s5h`-SEu>Yx8aCN*o3?8)IOpnO=| z{StN|k}Psd7Ch8C1xTL?w>Ud0w6lOKgt|55!nk1kxscy4rTV|wLi4szAER5Y0s)!B z;!1msI`~DGAoi}L_v#(sX0|tZTgxg>f71lX zxXEFB5RWCOpHD}9(yq1-tkKX3jh29XXy?OILXh!VV$}?stSKn1|J7K5(WKXyz>CeZ zvs-H}OdCF-W46$f-5u~L@di`kKeJm5ZxaXU(-pe-`R$P)AC@fpmT6Dg> z0Z}hPvo6?Ghk62Jg4^L1E;s2vo3alj3UX)f;+jS2nVcAg-w2+ZJ&k+>|C9T+D-FCH zlsFu&5Ch*b-8~IOL#QH3CSg=+v%%fjEh83GcRbw^$95Gj^JX^iqTcxxxXC5p4T-{Y z?!z~X!;pVH)`2(*%K6V1k}z;o4}K3ruijMy?at&_CMpTPBS;Lw*|H?fwRx%Zu1h6mM;;6xF2xc3m%KPKhEraXwV*_lB zcuJ8Ahr}H+*oxSS#eyV$91hzEgOx#WtNB;2GY4o$__e{6E^NN+0;uR(XZ{WyW#!N? zJf~AG4C1)`vXn~0pM&dk?>CgigMiKR-(Sk%@jae-tlUa)u{Hh_q_gwWDKRIwIuFck zEIQ^)T<@1y+Eiov#bf6G_WVS%RV_P#m)Dgu``fEE@Oh; zQq^*Ks`o0xI$g-=j4a}u4wtXU&mCHI*YJDMgn$me{u32qomw{jvy^0;=iutp`ij!@ zG?5m{)gbN{7BHyciV+UNGu{UoJ5cQ;;o(4>E;z4QuBfbfUcFDsG3?*+rwHowFSj*rcisyUG|=N0aOTU9$FV}ciYWdyDB>9~+(H%i zOhuZn#gh?HXlFb+o@v-D=9Jy;eg9|)R=xm1?yJa&QjSIR>6%SX_=23p6qa@Tg1a(3x08I$y4TOZ$7ST zG~PC{9&X)Ln|2k1jV#+@3Y3?L6wFD{`q^WPX0IS`r{XimnCJ1yShVykbChC0S*Gg{ z72*v%|J58I2un$6(Y~#AcGILFB}-6ti}{UYp!9j-RmW!Cl=Q&cXYZWiO7J#1#!fs* zYt2j}ck|Alets5hKm1?t=Q@GgUfUk!^W5p3({~q&(Os`+9ee@z+QHwImR7qqsO|No^ma!J!EfKcZRLvZ%F439eD4abx-Z71cFVl?r>|$`ye@WAmXW@ zNf;lobL1@jq0QCBN?>!j4{MeVGxt*9*-{J9&YGKMr5~qAwe#TpaUSz-Zf<5P+mut! z+btinxF@>C{7Pgh5|X5RpqOU0yMuBAe#e#T^WB%*aPv3`*XjFUV~PKC{CPy7bD*(a zbzskn8kC|jx3R)PH|=4Q;R-XC;d!p_hvPdc0k{Xin^<LN(DvA#HaJPoP`0BIhBV zY?GW)pj}AR+10~$efi+>Z_&iI8(r?d-FN)a!wC-9No0%U=Tu+VlN(Oz;!P&61zRzM zCr`r?J=%Zn2C^RsX1!K-!yqDgHmq0F#Lz*Dv0coOUN>*cuRWy5pTCg?AixE$ojB{W z#Ri6^&q&s7%)Yi3S2&6AL0%0TNx}{cJe-|798`l+nE= zojdC@F?>RV)K$d);&|ZyKJ{hyBKa&y4rN(_gZwL;+$-diB43!@Cm%HRIIg<1-5nx< zXcvX)`>w{v1kL!O9slp97rkrOsnVAJQbup^l2GTi#9N_WSX#w9kKe8u&jd@62PEwR zX6(EuTwTm8ZsDTlE0G6G?K6OZRRwki)6^U?H*4tbMz^$*eu<|d(M6KPxg_$`0~r{j zs+zvetfA#&nlaT1{m5O43F4B1H`;$Hu0fVrz5ka2(c01i87aj?emJ2Bm`EloHJniV zb2mzJ8s@gQ)N46I<9`*_2f521TruxVj^W_--x_b!D>&$Krj7ndy5yjMGPL-V`3|d` zMxM8OpokHL>v*;|EM{_MB70*Y{p_5CmpG@d{s*Ny2A?pusP|CL7YigAu7(@a$yA%u zTd7QZ=FgLiQhyfngTKXFS|}Gb4|a8GH9#J=mg5t#rJTS($vtgLup%hC^9sDVOtO!DmpW+(^4p~r*GWAAVq%^Ur&Bys}kGv(U9 zQt|AD9e2^#YmK&Ufuk-!y=csOA~f>dS^r7-kvDZh(`0a;L` z#Df@nz%$j1jjjdajKJwvl}kdBA7?<3_y*k!!%@3GdPW_`3 zycLH-ej4&++d|jNIcQP_dqauESTPEKt!}1eI__l{%R<=IoluLGFI${E@LTTt#5U4% zD~3R+rpxuX{Fw?HWLjcR_&`>HI~Kj*C&%Od`rvof0c2_d-@iiK9VhG@be;5DDXpW4 z0TZ~*dbo2XYB0n^;d>s*$E7O6R{x2|a@JU3#_#l+hiGpUsz(tMa~u#0C=eFjs=I zoGm&J3E1+BvavIT!4#$kex%7r;F#wpV4vOGsNfo3>PiUg!dPB zJw$~lJnuvPfmQDjk_XAAj@7$X-t?X*cKWCvoyaJ7AWd&mA)H^*TTu?mkATekxTj2H zu`kGbzZKLTte~eu6)qGK)&~NA<3!${+i$?GUN6WBKdvzUqx7{gc-*aGPLtIP@SvMM zr+Q;*rZgTFitM$PmSN8H-l;P*+s9wgsxfCPvQh*gx1Q=d5t_4)^s$kGaqc!c-P z9OonMvtT%btOfQpdUFkC-`soqaMV+@VWF;GVA;XG<+@s7gKgad1jk$?=#@sFlg8cx zd{0i_I$%BiQD>C@+CSB$%JowB5*fwrg7C690|H{a}czMGMljP^y3~lp(SHv zGmoW~;CuhiAoIr8_b+H49rkG;!^Rcf{H^icx6@_ka_4rx=h_tW|HdCfqV8(b6=#nr z;Y^|%zup)vIb%iy)Ex8V@u9C(JG*>*(IOqpSQZV1Y@TT`WP_ObkIHq2fL-L#D(8f< zBU4WI?^0S!)~VXQj7R>vSsj+QEPmUVSJD;0I+0SsC2PL2c**c|+-!V0&d9M#lBRd@ zK7ImC0*?#2^N(qas(pw}@{E(xiz7zn(1SRQ>l1F1oHgjApyw>+qnrKQB~y5n=V5Yl z?0bVritC;F1n}aR89U7ftY-%d5oE_^;bI~?j3ji;0wiDJ$_+ta*R3ttTafwX(-A{v z8h5B~qqqY5l+Y${>5b#Zr~M`4AGW#afrrU9tY4#o#XY{kTx#&3l zId|F<8yp+=>oq{Ru5WrB@R^9k-K{u7R+hk&-HWZb??@T_i&zfmrid7#O454r6Lwnw>&RX&4HNu-Th-7i@5Iva{2G9g2C_-T%>%z3F7LpPbv~tM0{npYS%Z5?Ur0MVhc(#No>8 zuuunJZMIIN@41T27xLG<(;-{EbMkTi9Rgamn!3c0`cytjS|FApFtF^?O35aBd#f+@ zzWk(3|F1t=*16+v>KT81qMDUvHOl*Ou$aF&cO51lf15IzzVctfg zN-4Kq(eB<>Eno7J8<|0JzBD!tlYT-*%K`qi|NidY6U}82=(ChY@QINB3UE98lcs5S z6)Ke`gP*{CRLP^Cn7FEba8$V#Ax@w$}=K9mLtBy-)juY3Qg%1`fURVAj^t_3K$US?Nd zlTtB6oOAH`-DL;tlNS2i7nNCg=NSDar9w2Iv%kwWN+YF`XUUmbuTzHl=UibF4%ooX zt{&x#QtoghgzDYA%co9>DIA`P*N$&wJT646@<|uu#_XNxDyq6PyPB#FVD>{N;7V-r zSDmPJ)kZhhjQFU5$OO0}p6?ST>z}rSta|^A0l>M%4jNVGB|jyb zEMtNtflaOP7{WOQA|j)5LM8bQja`(p8{>zHI0 zsyh^i*7`^2-LJ{>O!yGJ&CMz zh6uM_(P##h9E3E8c{$pc^oA5V@RIHRScu&$ccu8CFS@?6A)Y8UW4u05SsFojz16<)>{HcX zqHInqKx3ffJN46`Bk%#Ik8$2)(U06yT$Q(2-NsoVU);#>Ha~!}dC2CFgvx+r)Fbnh z_~MTh^R{XmSj?IVQbkNR{lYpEe;3w~+u&TdQL?q)!lR7lQk3bJTWzM@kQUH{{fQ*1 zp`tOlyd2X6)3mu>g*`Oh8p~nZCsA?!L-eBH*GC2sWpd+F{W@1VOn8M4KE@*L<+VSD zG8)|Ga&mT?!fIGSIq|&>X1~DtwhePpp?A7!VTkGj?PB>gX&@^9)o*d-$+WrQzPLt% zemG7ivZUpKcd)yKF11HPPdjspD1ulvQ2tt!8LwO;VbAi5vMlS&2qK#yz=uxrDdD!1 zW0ruKlS6kFPM*`k*rV)mV-WExO8#2ONvf#q3v*lRRx&`hSvKR;m)2b2lX1dPYoZbc0GV>HR$Um`pzb)wC!zl>gXP)tCh*P?wSp8@t zNh74Q@LlxrEagXBE@sZrA9=h%Q;(VU>TWbg%u|Y?X8azMUMH-BN5r8c8No_QK!Pv9gkQRU zPaQ>a?T<;Qoaq(!R2CQ)YO0Rqw`*O7a+2wr2MSJ+xu3d= zG4q5Nsod^K59+)MwtXD%+v;>wAV&;U*6HV_T~*KPkyUyyMH$ z0uU$$%cp}vu9q~svG*sK066;q1*mj7AnF>H?1gjP^A;R9eJ%raPoI*?K4xa>c{gmN z31GM;aP54%PZqKkc1@-U`!Jdg_fPMMyEaeuW4yi(krxBVLebOB;<)F|nF_;p!Ic-0 zf$tMri#jE)^|tfq%2ee1K zndt!u$BhfDXRpGo$ewBQ=OLx3FLEs=GzRvMq>h= z$gOrr1~@HF!jc(=!Id5rJ&40(lIt;#RzMJ9&pK9NC)qxRCX9X*9(KLL1d6-{6bF77 z?U969FyVwJ)l2uJL9Eh`??p6W??!L$r!+T1u2CAUPc?&)9@wRVNo{+ipG3@uZMFyK zw&6z8HU%^V@!rlW6>q}7?QaEi2mH*xE~U`W?nb!PmfHIZ#P@%18_zOywn3`43cN=l zM=5r)8@j(>qKe~&#hca2iD}-EX%zlCF_Z2R`;&G^G>@YT2Fbk~8{{uxNXX?|sI%g% zKB7#wSbG&+6%DvZrgh`_P(LGGx5T-ThpLT-;y`On{P;e`UIp{*T$xE8k}*h(cy7F1 zRqng-yX-`U5~pOH4u`9eP_i2rdIyY729OI`WY%|} zLKRYysC%%XLO@Vdo=6{{udl83!}TW8sspu$&?pnXExJXPzh6>jQ{lER#s}Y3JWMb5 zJOj8Wc1`_W2Bh71%x$|yR>2I_eAx0@j$QrIC(N7uI<5EN{%B72+9n+Ccxi?XyGe%A z5q1)}TZxhigp(*ZzpV{ri?c((H+xFGZ5VIaO_m_$zO+d!lw_EqsPgEHNYwjE))SH! zB)J^%n$H_)x{TGSM}GabVk27XK#s%M7vaRZ+g3huFQtZg>@xE3QTOv}ye^`TJm zT`L_Z@iRa5_CA>p#!esY#;6FY#5ELB@5t8L4qK`NY}QNdKAR?)_%r4T zU{?r~G04%&l2aF2A~_HGXa_(~Xgepikj9tM8|^lJ(!MzXaZE#Oh1xH2YOciNHwElF z&*PHx=9*ttt-%1NHOo=MTJ^*hvnTE0sB@Qil|pv5;plAic1YWK7JJq=4s!`1hT$FT zBMMIPL1YD*8MEl!Eus7@e3X3_CPRE&m3*yoaM5lwTlIW8iXi!^TUCGGDXTaaeZsi} zA`Bt*)Us8LOfZYe9`HMk)2{cTAG>mX>eG?q}Ot}|82WPtuN`ZfXD(&sbxvinN z-EM#Ny`)whO$|?Q;KjY04|5Piy(F)-{*!?=m#vZ=rBg*J-_~R(t*Tf8Geg9{*OyYPc%avdI zZx2lMk(05ayhl)s@J%e`x-MnPNGFN(WVj2>IiBL5qPtzezb^)ux!8Suf(L@sJmS`l z_2UCqTi)O3uK|)U_PyH4)eEU?@isyft4{2AK+-eZHJRPwNp}I%S{&+R!}8&G#y~Z- zo2Zj3dF_~|ZV0KlcyA#(@b(Hl=smhdqZ5PsNymF=K6bXtZk0;$uGYulW%_uNA_RZ2Vm#X&+&nyo^EULJU1 zS+GSi=!Ufl1Q1?(B;T|EG1Cjb=RGb%WG*igPg3u+ zc0TX5()FMVx%8rU6E|UK9Dd*?%kQ_>b;Q!s$Y8gK*G`b-G#ZRWQ+h z8&hLXF86rgJcm@a!=eT3XAXRi;tF4bhu*CIy zASjxgGi@_mJ^9lx`cVhA=Q+LL5>wXG5gX}+Fz3}GL(tPuHe<0(24| zpjjzBuTegk5!gyiB^iu#{T3(@`}}oJLOPh~`hpH1etkhLfe3|DyC?*+xSy-`BtDM- z;W2^gd-v2J6d?fS{V@<~KM4c+6&_0NbykG2s*Bp(EEbE@fAPlsBKtR^L(qqoaWHej|UvoITYn%nfelq&y749g>lj%p6}X50qeF9yuy^wAk4~2YFI6@nk${ zhc9&wLXeQ2*QQ$Ky1ulnmAnKT3E(UVYKRX51=P|OT!u?m1+=s}f;C8S#(eaxSeFkP zeYlI*ZcHu&3q?0VULG1F(bFw=tuo^r6&I{cXW)%l05h&#|ffY0s|11pa5BDr=cj47WmF^QvL6-C*36q(jXQzEVCa z!vTn6lfhZQ~4cSnDRJwgZ-HYjkq@9_MK|Cds0;(CnlJiz>S%H}6H+ zNilB;k`$kR?z@uh_$#;7%X{%kRc=jZS&Ol8VKMMbZSb#p}1Qc`+%HG z&`UwNQ(+Y>_DY?Lu+2N_@Skn(y59v6pa5}ud zJb8p4FdqYKgAJdgAs$U9XE;~27?);vM}RvqWkbU6JsNPvHRl%rE_lRQ>-7dK-ySwH zin9W;&pKtfe~5Y}_)rL5ngn6|ngp=GWhS8xYa1^Z&C!n%D-Z=kc*zDV8P2dKZUB#X zs17=~x59ttmpLAkciTN$pZ2%*dZ0Jx{e36u%7%TdnShY<@p4f9zidkj`%zKtHSbn9 zxclc=Tg?gtx2NN!Ht3n*vSq*DOncnO=8$BnzmYH9Wbe2 zFGk6%Kk5taaJixO!f)f|@PrX$JRa`ubx#l4>V% zUQm=bE$i3jr9g9ktF&okXGGcNG$fI0ULAw2WUcC0Sg%X0+NLKPFkwH~v=fsz4~ zLgdIz8Fc2=La1TDh3}Xa#^p1Xs?_~9+A~^stH?zSYyN)IQk$EP3zUR}_K#sdfL5K< ziEk_OtO6c(XXxleL)U})YCrE&23#PmwFCwD)2$#a(MmFAPm$e+K_2kI;?t%&#X)FI zPi|}_em=wZzdDru)*|_6#6m2l6PadUC{1fh*NI`B7g@H^VUTcqaNEz9I$06qCaMfu zlyJx{KA3v?oM~oN^2s`uAE{|gxrt8dNjDZEJq@dn6+vur$+G1@00Wep{z*Nl#X&KoM_lEjUv>K#^jDS) z2`oduia#x`QOt$}exYBz`uXAz+d|$1_%vhscC|yPNiQ7YL%*u{GpJoQ7iATTeigyC zka^}&>d7&dpSX!kxk>0}P>t*J0i_|!C$FAUBb%53w?(eslL4J=bsd$J#p)0<9!t#+EJQEyR5-M?-= zFU`VDix#pU6~wSRf|kPF@4ZEsjj=D${uS;nt&ag1&SJJfGgFGZ@X2No+<1W+DMw8x zH5)dYD;ve^NUFw4d=f|~mmNz-9h6;ugZBo`6{D`|nY9 zvOaAb8CZ%|jvzzI(U;MPQL%&3YUZ1`v{h^v89hT!S*y(xS2*|b8J!KD@=J{KU{#E=ZNeJ9%UA*$}h`)Lql$@dpF&BLWqKcan7Coas}JKMMiu`r%ZGg5qzqn)?d7lV5ENmhQg<=T)lj6TOjZvjT3;CM|QheOh`77TGhw zl=v2){{f<~JX=R0!Z5TaeUk#wU#2pbUAEZv(DCJu5F<+LuPeh4q&840?d^>9_=onL zLv!ESGQvVklzj~e53&rIo=r9HFO4M$xb=0^p9qPajB<5J!m&?5iAGkNPrUp@FA(!= z*zet$J^pHAIt1tX!MFGZtw27HptFJyay%Kv33n{r!NGM0MCgry>n$(}&H5=Z6g2dQ z5)IP+rF2@bVqH-5dy8h`8tK$^=tZ|~ec@Nj3iSVc{}NGwm$av#V;MslOcZ-ZPD8-N z2rOV{1nNwoEoq{99!Au-9~MZ-#CtJa0`MBCsyp|i6_m+2b&V9pP^w962PIkF8FEd3 z56u^O_qW#e|0Lh}V@OMCg(6+`EEhagum7fJ*mPNgo1)euIinbUwOwqE2AUe$1!53? ztu8r2dW;hIa@5t9FZPdBxeNZ+{N{(sLiDubnqFb2>1C%7kIT8V?~fj2ivcX0#B3kh zn@8aCPiwQzuXQFTol?B3t(`9!(p%GE)0$$c|4ZVQZ64KcU5v$7uW-6#qhlyHLbx**C&6Sg)(o6Iv)wI# zugA-h=4!S10s_&~SPm!(g#DbM+~UFtdn9coy3U5J(zB0}?DH`s6eLDIkf^YjdMhNY zL&FCC>W07Dw>;QONz$k?tB&GxNj`KX&2cEi)jzU(XeT0VZj`l=CHQ_<=pD%(mufcp zmzH5KXr?#QchM`WvHg+h?zLU7rBWr*;3?siUs>4DWQ;?S0(dp$e)%!Isz$W_DJ0t@zJTpp||fJ9J3LiOOcU$ezy~tHj8Gg68My8+;8eXC(?kjjU-C3#Olj z(dbOSeKZqu4F0GeoK^|yR2#HaF?Co^)Och9b13-Ote3FO+5dQBdg!E^bZMqlwDMES zTDa&?*$nydjS@5#i*o((hU_g4%cq`8)MG-OM*)GI2JSQoR_cJW8 zNoxq$=_mc^uq))U`k;o?M1kFj%ura|xn*C7bwoKK?alrex55F9)oS`g;Uq3y9IIY^ z6Dp!H5j~OjJ8DlgP^uf$-eR?qF#j0OqKtPF6&3a&*l3fG$eq*CEBntaIewI=0HzQx zrVwWGBX&MwBf@XYdvb^KUz9O&ylOX+=}+qSlIiJ<{mED%^FBwNb-1wbbblJ+$Vg*+ z!hV*?fnbStlR7%C)ZHE<$Wm>VjB@ zb1g?#@-Iln$wMKE7zg_<0q2o8JD?XY=DUPH^=MrW!j3DCYASLEl7D$54T@!QQHm99 zYaSJ9jEA3Y?;*lJ533V~g^>F*$7(ihU4qkSgs>j@VBMB=yJOBI%>51n${2h;vSj~z zN(!QDT1iOMG`$6qQ;>yI3DQj#=3PPy7LoclrPH{+OU$u4Ur$SzE$H%cs~GC^H(9S& z`ig#w`+jBUiRkV=0Yd!Y%l?ng>&l@R$ZAy7fqw$sK+DTpv!N~Zp)-Br7ppudw!w^b zF6X`^@fFOfG3PGwx02e$hmkX}cjwPPX+9wkN5~nCB=nU}5A#QwE))8r9!XkaB3*wZ zk@#!t8aTLBe3eq)_M0Vgr?{>uh0}5#NwKPi3ul1#!*0k~v~c+`F!gFsd1&oX0VT_Q zXM&2tpaCdSk?AY@r_U7q^NUskW=#2FY!=^`YhWWLhfAipUn6EM!oTic!zjcv+q)&< z3W*8#2{^v_FTW2Aba@>X(I&X795*Woau@2+F((R%)e!Z*wiMt;<)MELdMF^0&7;(9 z=vW5dOMAtbF+9ABc7D%PFUK#HQC}AcBw@ z1y5&g&JyAdNyLDCCqm2tq^NdmK2yMG)PQ&h?TfYJEoyTVKYt`m?whMKqKuz${b8H$ zVpjZsB)3>t6t%LiXA9J^4i-$P6^XkK$@L%3y(M#?OMkM&o1GZe{U1)|H0ikdb_J-Y zHeUkP3^!3-%NgGgP(m1OQa|~Gr;k@eVXg9DuCQRP3?pf#*#ad0V#i~@T25T3LYjgA zwO)j&F@-oW{nSWiiK4b_I%L8V&7QEOF6p*uP=k#`k^9tBqp2Uf&T)|?PAI~*T6<-1 zpCkS{$kTh1T$RkI(R{K!2%y&eY{5(&U6jb?;D@x(;^-PEwbl^Y9qAP3rhWvZhl*JZ zx_mzk8*+A~ORu)+z>8goG<&@odjLDr=*sU>NFfJrt^bloYZJxnoB9=9y0x?_lYIZ` z?JO6sOVR;8fut$+=t1JnLH)o2deAL@rf1y~m-Nkgv*d|X68B8}bdNMF*ICl#PZX`0;~ewFA3i53C)nEe>kM zu6Ty=Ze8ldek{8_wzXN#q*X-QzOOKmCfv(52L_u1U&GGJn{8>G&ReYlKYoO>L^$~) zIduV!?A@j&sJMkbZionHoFY#^a2mwVFW6(f?RZ0yE9seuJ?ePX0r8`x`7IM2KwXRs z#pq97vMyg15F+eh?q-)+Mme$?h?E+jH9Hjo{21wU#XImW`f z@(S9i5Yqs`pnMf^=D@7}WDX=P7cjstg2}<KisN`@u4F8LtE~YIYgdC>( ztpE!-pw+h2X>)5j#GaWD2LUrmZ_)0pFmL5)U0w2FB#i=sblh-~nW*4WkBca`?PNUW z%yCf1ekFA=MNEj9^}9%8A|iLccXs!nxu*b0>=3huc}jJqgG6jh9M%sPWQN-TQF+UK z0eZ_}J^|GBWzcw(V!|MzljlL{~i{PpU^a7q^y+zkJlWe$LhBG3hukQLP9#O2&MP5R^TuH8VB?UhQ$&5WF zQnCE_`RO8h-xCzp2gM+4)9Az@ntMZ$Z}PVNxA7;4ryt|F_D|-mA?MF-iDl?V>{RbO z6-|XcF?`$0Lk&&LPKhayw|M`(MvXaKFMwKUR59f8tnHwaXR#Vo_l@svwbU{n^S5&T zTY<%56$8df0rzT~dPgEW#vugt!SU4- z208acUiZs~4s#lx2pS1AnP4lQV(9#3^f=}bZbS9GUnte2IZAw@+flR zsCYzt_jMA^#1QS4_~`d+STdP!?>bpy1jS=~{g#vWNiA(-eNLayB?TL=W-Z{UZN3z? zUp@7ON;O`Sz4br+$?wg9Xy!mANnffrWIwy*JYG0B@=0Qi-p3kI#2OLA24J~%#)htC zz|`^xna?b@#y|GTT=WBfjWXl?(5B;j=)+pFRI2*TvyR#}0ep7p;f8I1un6;G- z{?v_D^VQ}p9$A>-ab+d|&1d}VhY2J#eCP9`Jfh8vFpnl&2lOTY81BHOxP z#M;GApxKUBoo>7(&%{;hL6=TS+>WO-CNCgu*Tx(3kW0M-mKqQVL1lZ)LMbywi#S!O zFm}%{ig6!VprjdP1e}I4iBVymKsEG%eE*3m7L+mE`@654P2ZUV8M!}CWF2-Re10*2 zvQUcsmVtvqE3tzXkTfaUU&VK4l!&MOqR=uVnZdRi6w>ZOzo9*2Hj~2@%TvI;M8nw9yN(E>O^IHyju*(ljv*qBwRkV9^{YnV36-Xr74_W zdi)~9ZTU|;R1Eik;Y1R?g?}-0q%LkUTJW^dKHvp}b|{8=9k~hq6U5Y&ro@R*jtOIh zNaDu{O(NCD3^khrz084kA%eoC@>>M2LtIsV-J@33BWVN>`cW9h-aQQyS23Bkvjm6} zWZoJ`O5;5XQOVyq#3^Jn9F(JS6{3`H4x7Dhf$(k;*+8@y$*mwEOlU3V9*a3}!W;;Q zrZIavur08kVN<*ksv+~YkgQ1l(!e<))r|=E&6MdLQSp2y4eQ=F9NBF5ve%IIl7>>e z4l$P$z0V^&ktoqvk}VR)k8I~h_c9?oDbcJ|9cJ%a7FV)sPtM0bbw@Dh2SX>yEGwAPQOIT$*Q}@!Bz5*uAy*Tjb1$ z+4q#FsogPhh4&wcu(nRikzg*h|0AUdTK4@PaP`CR(;(t!LB!92fW>w1BHS5<{3595 zt{~!IDKc;hyGqP*hOC!e=wT)-$<$AlpZ0dWk0I@4VS1osd1uTgx& zEk_x%yBm>M$V-5rlg*1Mc+@^;aNPTt{YH40UwSjx!I$GPdX1|#0?5~2y1UzF_4M?1 z%y*cSYNPZYJHuU&>&#M<#=h#1i&c!JDgl^NQ4DN{Exj zU$$-A^fcrwBNmcprMW4y{&dyGTmHo1NVr#G8H>jz2Ah=meSRr3u%t{orM#yq^ZgCd zmp!y?6TjEa^h6w&;CKKuv?bf~V4vg=xl(uKf@vlX$&vPuOpTMWhLYb7 z`QOayGn&w~1w3Fl2pp0o@*6SaGhB?GC=UyG>spJ($VE?%cG0E~|_G z7?Y6IcyX5BSnAKw8D0Fx^F8s5FXq@2nEbwsesh)+w-?&yr0v8^_{jDhPJUi>#K=A~ z5*H(@g_-zRPS$sPNiS9g*0UVTha@3BjpzQ8c+gr$%LjEa&|r)(Rt|gwV}{pYVn-;> zb-RxF{|^8F|NnRaq*qyN6;&8M0~A`yR`#_P#7dz^L8NqHnLBsx?UXw+mzlXpiE&g4 z6i5mL8zDgnAxJPLf&!vsqc^hHETgkXXK2{GXEpy7c;4KAp}#0LWy{l9WW81KOw}XU$=fqA5lf4A(`;gy5;Lu&h%3mHC?ZnS>N?kFX!@P zWn1g!wQE{eHJ7bzeRd(1@iQyNG>_)GGhrsFFEcc~wieWP_(%^Ya%%?y6&6D}(aC&2vrJ97ZQnqiVgB>8A zC$jY3-}u^o{2EOISR@A}URJk~x}_Pq3n4$%%zf5eki*YmG=Mi;twA@{7;``I1W8}N z{KsG*r5382w&GgA&iSH@-oFpL%vB||$YWj4@x4Ue!b&lS@YimB&`uvQh6ej3;s!pc zdMc!11&uze>d~2XNHj5&1{T;^M9p8OYnWx=WY%Q*s*W=X%}8dq!FIgBgRr)OG;9A%;5HL*t+g_j}@)8^;aP0*pk1R78lU8Q^E zffwb}lg5b_`s>}@l^3uG%J8BFBdbi}NKE_roAVGAhoYdbD0QNWW{=KjHEBLFxcf#* zJx4zEU+xtEVGA}0_0JdyM|GO~tZL+QYXL{;$ran)!-^r{3N2%6OMK1FN3&4uCE12u z8$Y8@j^lH27F`c zW!e52EUO$;lB+v7*%%Kc#&EiPz0KN;DXCJtkoJ&du^373_YYjX0h}~5?h2L7 zD_Zz6)62-kFnm^nfuGTw-8Vno{yD(oX~533W9I^uxyuG!P8lUCNj~d^b5$qFIB6T4 zkq<}+O>hQ@y_;AM=ndLPj}SGGwJk0GZhdEG-OkBG5Ip_GoAgIXd&x_1ojgdoE>UKP zG7=ZE@;0Yt9lIp@KeA$thw^>G3YM) zUw#dPKt7XiOHUVn*odhSGy+wqDCKz^95d)$72IHcXsa0y$4S3Y=TNz02aTH~LGOE* z(TkMlXF~^B@t#2kUVv1lM~J?JkbFpY&aV*lP=xB}#KRZ65HU4E)DuGH9vwG^m6m%= zi!jheVv=?)L5FI{QyyVz2_wB`(3K7II@Gy5 z-BENlv-QSTuqEpH;o`BJaUIrH#38>wo%WuQGQt}O0@0WER!)5X34l_M@V*muaTqW_ zET?z1_;D5ve4NjSZ-`VcISLX`5xtq?m@_h zLYk?M@6j${M*2H2G{h@#hPMtE-OI;4jhh&1(=d8Y?R6Q)uVhS_x4Cs_bW*<_#aT7!XLy@Ce zX>J&p6iXPhyP|qNekExv^(YH8-_20_tOr-rBrCJ*^K@{#)#;+5dU`!3gJ7ingzD-G z%kuw*WVrEF?AWpz?5JyIPc+h%*4I?J*WK?9W=wxne>eR*1s4tJ-mS1=R#l_ZvAViR%6L{60=UR$-Ugat_cj5ED9axF=@_4!3 z9!i!ga?8G|DS*a$s%BfBYFJ?@L%g)-ICMFf?mZ4p@8WRZ`%L5-e)Z(8!^g-n_{enA zzNatu{OFJf4p&$%F4Az>trun=j9LJebU`bn+$csj|H&RjQ3GYf8zY@&;(q`D0RR6o CH-wx3 diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index 2c31788b1e80cbe6551c73c8a278ec2acdbd6b71..1b41e0f8a7f0f797fa3dd573b4b288fb7b4d4b87 100755 GIT binary patch literal 18633 zcmZ^}1yo$k(l(le07>vbAh^4`LkI+i34!1e+;wmnf(}j?+=IKj2M;>9!{9c+;4YW* zp6}ds?)U$9?ONT{wR@^+dhOlyRM*CN@!~}p+RNt__N-hF|l-h{-qGt zt7kPYUO3A9)4ibh_wdDl?7zqEFAvg@b-xeYUS&pRGf<(p8dA~C1rdL>5p{KQb2ADL z>OYDyZkVH*GopOH2#hHF2UnoN9lZxWe{%F&pS%D|ZZkXFcfu!%rLdG_UTf~sk>RxP z5Qw}vo?-fNcPIOA(qnoYF@b<{9a-^H?RVyb%&jTp^$e(&mA!^csGuHddndwZfj~o~ z^`GQC@zK}UwhDB%*wI3Ym-$>c(XL5@iXWhTO!}=#t=D)b>l=$b(EvhdpHK#jEkj&V z#JA7=QE`HtdGs*cAv5TXMmNHNx+nA!pPr#rMAj)}lVe~FLQNwh9|a*{6_j@J-xO0} zA6%yP_P2o%r$Q4mIlw>_WP=7R z4D;Os>fdAc0a>jh&_EkxmZm}$MOOcp2)&2&X9k_Uoci+)mDcs6FG96+L9g`4VCXlesYw61vSh{_i0C1Hkiqm!%&_ZQ1;-8mO-zk9Q zsTkcL7CjCff}YUII1iX{(+Nh%)+e4I)1t|?C+y)s>}k499uTGi-%bNe;x=UlECxOf z03eyP9kPA$M6^{Fo!(^%?`6;iHX-T|MjBZ10_~0TX_<+cbFws7 zS#H~Kq9j+QiSWQib%rfOhqgi1F5zCuDRxtOz_U8-6Ja~s9>WHzyjlF=09~>kSAhwe zoYz1rNBRr@erbLyjQdX-eeO z6H6(zRI3wAaK^H z*}5A0emW(oX;L4rh_HbIjneOdK{anSR*;PBP&5>bju*%NT23|N>$+BKE2eX3e1ZjF#7&U?fBcf;df ztFX^#X`%%}bZOcrlgy}RP}xw;plJG1l1hn$RDmx(c2R79!Zv=}QzxyR zTSyM)!L2g;^byDu;!Uy5Q@Zg3m1;$0vplrVMqEI+x0+MnhhDUw~uYDRu){M>uVLPre$<-nmmS%mb zMUwKN*hk{L3Sc0Yy7^t?J&ePEd-1anl}B(2NqqmM3RZ~crzxcMj92xshH=pxgZ)B8 zTLHWM6t}NK#9n3te(vJ_AMCB%t$a3sg3RZYROX9u`cLO?vHw3T3)5R4?BHOEQJm); zV)=Q8cz;VtY7N9bV+rxV>+ybVwDOu$+<%CMlbp&M@cxXvOH(Hx3EY_uNz%ZNf-k-5{HQN7l zqt%cdjYW^?@j-TN6#v) z$Q}rLd|v$DDdly~!;4n7P~Nw8<6p%457n`~A?=*aul%_4#@P0i(KE67bNZbjIf5wq1VbD# zjnV=>?)<+j2+|H2Lp>Qo4HTm|f5~YlQH#veq%eWCj14x&#fH-T_)RlPY?wx``6&Zy z#^jB$5{eOP5Z5>+_Th_hOotW+3LA-7$%kVo#PQ?Po7wo2=hk>BAkx$uS5DWwXM>RN zz7UN2v2Xo9l2i176WCmOA6^^oVt#hRw${gfK=08e=(+e=-w6A8)aCaP zL6Pz0Qv?uu1GlGnFXnFr0SFsTi@oux$Nj}g1kySoY7aMNi1}-5YhP^Zr+$A1EGds4 zs)W*lZ*oYmw|+99N(ufhA}L+Y4B;3=YLQ(hJSd7lMGbMsKB?@z2@pV-Q>}czmN<{z z;X=V>5*W zhNG-|N^mcUOGKbyKafj3p~?($-12wr&QjcRhk($tpZq@}Rt@D0byukh_0-e<<;B1I2`}ukl}f zPvf5jBq9HrAf&4D7qbdRZ9k8N(j~`oT&WA}=9SRpB}5qqn7y5qY((uM zh4gr0uO&mcX-?ALtaC<-;021l@xs2OIFOhNDEFUcU0-;)e(U$KpQ4ZR^zuFCY|rMH z-L(o?lJ1-z1HlpliH!q!8EXg}ZBeXi8prTlrZUfg;^=A>d(8o`M^AFga4?3dh`E6U z^1ga0^zM;reT;oVr?0C0O*iHNli*iuAD`zPEj5MYNcFe_XAdK&TL{nhH4HWUzD!j4L$kXPQOr0m`8ndP!b) z{uoGwXu_x->nR5NJdut2q^{TMxi%mSd!?RD=|vXHo{d zg6DwilXS8W#JW&OBW+ivgf0QcXMs7tM#OLX6kT&1Eb&`=`n6;4*THY8FdlF)Z`L?Q z$H0oCcp&x-ad{Bro+b2gUhjGwu@ZXnl8SxQKHY(?3#;M#`-jrRN*(5|rk`u!1L^ELbE_wrXKqz4?~jd#j+DhMJRFp#q;h6)3e#vX!D zmkAL_Gp94e>Oz5j(1iaiT->A!5x9mafUrrZmsoKKXcyjQE5`MFdqi z@T36;d#6RE$1vTDdH<#127QQ-l3jSnvo`QZSM-IhlOYz>h=xN6%|4Idx$*UQ`C->u zGm%uwrzumX%I8^l*gGAnJ*>Eowb^9YeC_^)XGt{o4vkm`IC~xMeBT*jtu~}HVl>Yy`yG}pC;6Q#lP|kh*kMLNMIAy z7xyTswgLa}i>GFSMF86uOF}(;4Bg=q@HYR+OV{@< zq$4JPH^_^AR5)+dYk(hOPa6~LO>ye?7i|6`w)=|x*jS_!OBmbrI0u9cqxW;iL_Q&T zXVkhT%rHzqapXvG#7VL3j16Q6X~O6sK{h(-bkj)k?aX_IM+6GbK*D(m-uVGCL|cXV z$IalQ{i@JF-7`+oP*^9!6kcMMh%m&y0$BLDunzXzP+(s8HCAll28xE9WXK6buzJth zW1l3U^}PX`w>Wkg9*XJsH}YHb$YZP> zLh)GsazCiMhP8{L>$n>egsT@sj%n!D`H-Jj7n4l-=6(&VCjAwG{My zuCaFI4rNl5tKHezcKMF>02Hi)u^hyzM7M98X3vW4-&N4%wAU4UE~~*Ohiv)w-4yv; z1wb56wWnPYHhTHJ#rcRb>~KOW<%9iPUaBl!@B_+%2Asp9vQ02s9sx@CoS!{d^!@we z?pE{`v--WuEX$)p5EHHZ?DTx-3+-^p!Y3vAsmZA8y%6po6^g+Sa%Cd+G~MeUrtNbR zgP<63kVj>teYDd?BG}>n_7^^k%<`n0K^XM$u+z|reIcM5Pufcngze#&wEmSGQ&kh~ zH{=5|v5?DtC8+`x>v-DFH#X9!BdD)5)(=zP|m z6rV~W_bCW9*k3OZp4Xj--}LK8#E)%t@V8Hlzwy4lyXXG!$Clns@C<6xVO95!@Y+D* zh4tafb=38%*J9Sl5Op^A zTOz}Hau^@r?yA@D*zTqGtf#&sYE+K_Ax|lMRAS$HeDy|Qlxf;L>unaq?cP0iRL6PL zE2M_mjE~mYhxr2DRiRgkNg?cFC!?Vi-TCgDyf;dGA$M+AyW!nDmf^+o=Z{!v3imwM zCv51s-I-+Mr|zs9ifafQgZq?IU+6Z1$iBIpE<632iYO)NW5*#ZEIiZhG_~)u(V^1K zBW^8zP{{UKzm$H*waaJJh?h&}oH9v$0hY=?%Zdy-qmk-|~pgCwp`_Qzv~w zO?ahG$gHeHfh#&#m8cfxwQ$t4J7aLFJ3{1YU~hP?>vFKHDId!x=T*>QV_1|yq(pE} zulah&%;g@+%)tYH>$6JeJG1PNi+^=SW4SoFH`f82sDNxcF6-Xbn2yp&icg=xH}2ZF zsxf`CefQ%pu=ZS;?crDE^|{r&z$eNPHT4DFh70=z{9}w7ptDQU<jvnr|p}gVk#sM@^J(-I)VkbZPaeT^AzWcz) zqsr12s0Rj2aMgD;wt+b_tWI}!X?P{}f=r?Inut5kJCDnDRUc)z%v9kIw(hBN;_=0c zpfaM{cD96-!=re?KBwlH_BwoXSK+b4`4dwyb-QpQFyV5CNp|u@%L8RaQN$D9ooAw3 z`r^}Y=##6cxN7^xBu{y!Pn4z!hJa<-jCE1DQC6zV#twPgM7PT~aQ8N!L53Q@z-Y=2 zrn9BKkCt(`n(7%F4*z?TmCy|k6~YsT%|6m5)9ts2k{^1RfC^V~G`b$AZ~F8fPCvVN zcZ{C7G+Grb-sTLUUAux{Fqfvth9)J0MM_=kyRQ#^!TRkmc?BEYM9`M*nbgucjx}{{ zvskN8Zb$PEG7X}Z9q^W&GvT4V~AjKy<=Aj3Qd z*H-y{W8ul%>f*^uk91{Zxcz7y0myD)s%ky*L!r`>RUC?KvW9GId%H_oyMfpmmB*2p2ATKSUQ&}3zk z=EDyg5y%UcPereuJ?s_kD-fuApSQ^V=8cMULk%ZMUrSljs&V@?Bt~YtCJ!=dX<+ zA?=pWM;Oi{mm;D!wH~9^%jzfIcb!vXb}s?!dlGB?eAQkfG0wkDdAxXQf5VOQ)Bi3^ z_wgDjX@`Yk3aiwtvuRWiYc$TK2JX0bOs+F28)>*@W~Ukvc!4#`D`A8>QvnQsyKf5~ zCT;Qk({;d?gDQ4tUL&&Zdg~1Yk6XC2jbu8>ks{m;HO>MLyJM}(%zCW1JGtel4-pA@ zqCSQ-ARDe(?*TN`rkF%P8sbzobEgT{bna}wCwPzYR$cP+_DFknsSX5JqR-!PaCEU$ zjU4P%H%U({L2Su$-oAev@W~8{nlv9c+=Cy_%lIx<2iqs+CF>@-c-XbuVesiRsdw~> zIA~F8Uu02hCE5>|A4P66@_Svcw3B6Lc2ETGu(IUVnTE?Gf%d!Z@dLQGwnV?^6BD2B z%8!7Rc@l#zizWYwZVbqAujKv2W&G^D&68sX=Y8Av$J?@Gk{QwTryqOP?f(`L(^Q4G zYB72bw|w8cVIhDKl+^&nK9kBM!vf&47?l{Wce1j*&(_mRXrzN z$8Y-dt4;0{vJM@8d-`DW;t8tqtx;heP&VB#sfanQv zQHFo!dBqf*bv26Q-1*K>MxY}ajy7;HSF{PWU+!

;Ld&K>71{)3kZT*{ zVNa}aC51Q44f5N;rUpNWMZ5A%-6adhUqF@JE?s%bSyzkU$(I3U)D`!Xo%5+tu= zcOwqmK5D=Bj>)jY@F~t?KW@lh)Sv5RTH&nTA*3hQf^_M(t~U>?aGuVY*HZ6912OQe zTYlV;5H7MjRM%PxmPIaG6V%DN`nF`bhIfn57opZUg)ORKwWv@U|2^pvZr2jx{a&|d zRT+2F5poX@CmrkieBAOujlo5xy^7@nJ%N3EF=T&FZZmy-Rkz9J4{%h(l|7OzU8!}^ zoQ9lJSsJp9ny7E1G?_USnD{N?d}DsLM%5BZv@|#nFJ@w?H2KYV$84#}ZiyXVm1Kg* zj?dsrtX=uGZpVn&p6aB!!PzGww1UnO^cM2NZFRx}-i-_5yK%o8((8i}F=qpB<3k{> zFlMiCdX!>iNU2cYuR5T|zRoEnV02N*;ztUUC)Rhh-)dPVRV(;?bG(h-4-UQNG5wZu z4H9}nIz4;oooe!Rl_>I?SX(rqI>8hff*U0`_h@GhPm8q&6Om9pToI|p`F9q<4lw0I z^o?{RBYu#N^hbHK1v6dlCnmUh>oMB3t?pKv7WlL`{jSLQL7Qa3OL&<%EqTJka|l-+ z)v@VV?%ajxY9WK_X?PuxMiRgpUnjoI6tOjf&759N6A3y|@+v8sJ{xYCG4 zKU=He4otAU{i<~4Q0d~&k9b>DucdwawZqw-A1dy+7Yd0z>mdORof|XXwb$n3V*!GH zydVWxo}BiL7X{#Kqd4jn>JRnPV_xp83+E!is^owiJ=7;8JtGB78~H>tTeHNJ%pkoq z+xr=^MK!v5d7zA8hqif>-H(IBnVOy#Z-vP;>pXgmWcbpls@=Hf2~y8yu;-vN*>;R%FmAJm|@m=$VF7GS}@*lQVH?m1?X?m3% z;pLRARWep9ll08fsds^Q(*jE0rP-$T3nzE54^wp_?;`n*H$G>wZj&8lEq7N>+jPsN ztv5?N{4~UGkyqxn2^shVPu!%9)2NX$ly}=`R7aWUKU_5Yxaq5i=C-h-$X3JdG>RiQ z(6(=^ENYt(1PwYhuu53jjjo3+iVv8YN}?9ml;a#)w?)#+U`^0r?&{v9A*Ji2MJftC ziLGeBZ4wLkTj6+*N~~YYFLDlp3lA_@SA@~I(TP(MT3e|EhGMt^1#&n3x-rCa<}Nhw zgtv?qKHVhZ;f-@e3u2x6khS#wvhl`6tIhhjli!8xOugKrjin{{cO!m_MCvkXgZ-YBJd5^1a$ZzTA%%>O^qd$M1`BNY#2nVM4ySPu{{k zcVXALlwVs-#g>D;vJYUqE*1BwYu5v2_>-v_W4FYE{G^inE!uJ6C)^=opL|6c1WNdA z8?0|8%0pY~QH@ZEtZ0+-EYBUsttA`e!Xx2iksJn@xzH|*s^m$X9&s={%N_#`Z!@j< zlV)c7P+`y8h-Ycz$Mww>U>+q?w&58}T(1u5dwXZD>lMZ3Z7opEVW@SPZbsadfF=9Y zJdO9(Fr?GaCE+#j-Gp)k=f@>FO-*#>+dn_7G?shIgWHysHP4%77C0*AnqS*;#qUg> zv}bL<^9naG=qKW+NM7$m=N2c{$EcoKUoY@wZ`UeA3m&;s(D|rcI>Vz}U6tO{x}fFD zec(GWOcmezr5ja}krhh0PZX=q%H!+v?SHXrr*5oArvi@9&^vW9tUBXWzc~GiekFdXtkXMa@0127zCrf+qr-p;=yzqTfzeNBj!*&`rhS+?Rq|<+ww`vl&pv~F@C*KXMvpb z3fSa{oD&IiQQcb?5Zrx}?1$Y>!-Ov#oA;GPchu%h;g{LkT1&at(Ip>xq3!3`wh9M= z4~n*qJK_c7D3VXMtaWEFu5FF(ty5%B#|(_YP8*}ugJmtI+g8QD>0tci=o>W9H56k+ zKtP$V8$* zMDFM}DQ`7<8FR789uM_)|uh@5mI_}6NmTi3nA0=@cP3BBdHLJ|lk&t?r4F(SA^EnT)Gm?@3)Qnkx z6$+Pl#x8!7eQ^bTi$|4rcMfDnafx)fzWt;ni({Kvl=n`cmsSZHGT+7WvuM0VQyRL& zEE58&u_!%7n5li*-O{n_S)POT@)kX3a*=VY0~rS=|9362?mKwTal8iNCUV1Tg?K*I zzeaa^MU)LVN=x3jfJ=>)79W&BFe(|_aygn7KvCc_YPh08}`JCs4zwzu~Djm9GD zhq{X&QH6GLdzhf@1zK^S+5naf=?|r7KwS3O5YcJE11m$9&OLvtNH9vYDr?W+$kV)GFcGxkUy(I<2_2a-9BQ^l9mfJ85w!_u3vyS5# zYR27>9hSKBF@j5IM}iw`seE+BR>6cS;_Mifv~$CnH#XVkVGw1$w4BZJUHp+*?+x)^ zAfI}UVNvwiLWpGR?Fa~TI)v2hV~Z+-+Si6@CFSb2?;xf;Yn?Z1X{*a2-Z0a1mUA}V zw>;0lBIzMdE(TEWipQz|Q_8n^^9kM)UFC%0f1p1-FZ)n*RBNOy*8daPrfDeHie#nN z_MiuCik)-^MUegEY}2@CdE*nS?^PkHZhM2f^-lpzmzDtx{j!Pmx;GamiOgT~&WWoT zWX`AdsKLRxxic=YDi(;3pt>twFBIp=^6w|>-MdpIIwVb{ynCWp4uc<0*6)k*>gs1p ztADj9A4$tBK_LAn>>~X;6j+6ZGNxW8c|IF`C%Y=tgz>HkYi(eccTu)FFuW=`Z zwO@!h?J}}0tztAVX@FPUmuC-F(n!9jqJmHIejT;~RS3(5e=b=TIg*+awYhMcAI=1! zyl&s-kG5sdI%A0|xMgmiehg3Gm&{7kwRCvf3>pf)ybvGiy%qjCG1k)hm9cPBWFs_9#=zxI8$-tb6)My^Cj_Vs2h(vo*m3|PM^`~5?WO0|h#;oV<`jFzKU zJK{eiO15_ye#m?@m{<8}wZf!~Y`3yGo@ZvUL&D)B_=N=YCp`@LbW4rg!=dcn#QMQc zL6mHy22(VP*sb9tt8Z`Ddsc^}R<@PzOKVlO-h~5eg<<9gABKyF)C8z9T-;!D`Vf2W?DU&e@E^O&y zicbQ6uYNJ(x4vCJ4ogh0P>Qc6-^#;Pr?vRJyPDX#UdkF&9v%)7+po(XvQH@6I~pDl zx$2~87q@zG1zT52?a?I%{y^PP%rD>rq{LEpY=#usx_1_ssg+3k4C;H=a{3HXcz*a5 zPFKsMaHHJ1gGu~taxh_?*Z&Oi3k={sny;jejLcA!p;C7kYRVfJ-Ke}YzlaaF5+V=v zhE`rE%!Vzf5f;@Um3i)wm&~(3^`IVJm%=t0Zm2CT9)t7=W?4-HOMAfR+Blmm_pOnM z$E9B1cN)c~QQ2r$S$Gn_CjF!RDIkxlB~E&B3^;rCYL)%NyT>(!rarGp0lhMPZH?wz zX*-|tx1=^M(L1bfC-=*^2m9s+n8bZ##Zr_>6eSItWnGg*GQn8;ij!t+8(N7(kf)_a z&IFIRjPeC!2LevzVv&#i?d$r9NJp`DQ@s~ zJE69ly%3}J$&aVc6lqM)KyS{n9PPCbA!=aE2P|9*(Wkzy*r$qXhD?8 zns%v~OhVgUosU3glzbi>?Ig+MRE& zODb4-PUTP(@ET$Kn5gU>S*tBtRjc9~{FKlj9|pqv^Jq{!c_QtPyl~ZkRlcwykSSa>N&c)6?$x4ZRX;5UiL{o?6VnoTP;1qkLC6*HS@Inv0Sm9CEy3!a~=;P2=U^f4|Px5Pri+>2G%g z$~PoK5P0qoiFYH@hf@I~I|H;UhXOxtp^0oCf}8Q26=#zH2Vg2o8{*sc12N+kH8o%q zrV$RdBfp&7bnTH4Kk{5v>KB0}w}_D&lv z0=PF@Q|IZaC2;(;VCMYv(fa5I{PW%9(vM6Zy&|(i+I#mw`Y6n%0U(-Me&KP}g62V* z5r(12W*WI-Y$9L2aO(E)NQ?16!=jKY5I%Kp&7Ooy0^EXQ$7?R$Odo-ov0oP}^YXw6(@eBYVzOxw#pG z)s}o<`Kp!6mB=DDql8-pAZg8ZTU0oc|CajQo>Oa-SLDIWie=G42+Fzl3Z=fl;fI~N zLdh$1FWj%pnjnf1yp_g)LQ|w|%KGnn>JK^xLWS?JI;J4SdDR772?=Tqx3ps9tDjoH zo@1xmO>zd8$Qtb{G2ofKPs#R(tsUh3uK|YkP2PE=Hghpi>fbAJ;xSm&_3R410~fE8 z4P-uSvzp7OwD8pZB43;N&B=6~6v?brimJ+>MoN zoo-m5JzslTaWO2{OCZA0U>o-xh}pU;Ss%&uwR09|@o9*Ix_naW3B@@lFf#veLAq>j ztTUL$v6VEE>@JM{EeQL87ZlLasJ4Z&;#h&;>1|-tnBAP-Ju}VCr#}J&Y**w2eLwxm zuZK)@*NroO_TWUb6)-vM&~PqW zmt+iMi+)i@ZYUa9eBMlDNQ*Q7RRlYvTc(w(=?wDY=sG*29+e~&O3er3nsJ(!ZeCO3 zO;uU>z)OW8`Wh2DEZ{)8w4*e=P;FPaLB4G}BJ1;C8PWerkbW^BzwZF=Ki#IV0CN1= zF64F({#iJ!}6W*IuEWd$Th#!3SZqP>8(?tMO%=lwO; zaD&(&cA>C01T^i9KVeG~Jta5&lixW1NvBp3g+CW!@?CR_NG*N)W0D*Bo*65dl>f;7 zi-bzM$J%chn{(thJ|HJ*q*mpm$K0Hz%7$g?C<|gxg6P_6U#t{8H{kWt2k3Vkb zwc~>CR27SizHuU$a=~ux`mrZNN(UT(63d$C`4>Lp(%G6>nA3G&8O)6oOuHba5+t9) z6$vD^_QC78;Wf^5T(6_pujz_@ILrTi5u`e?6Op`oBhN1M%irVh1m4Ou81>DrV^lIt z97y@VoZ*U~fR)$BRO``etM~o2q(9)-w=mo+FvlO?EL@JOwS8Z#F`0?R=LwZlZrdCs z#p1GU?`s--J+WqoALt1U^@I+I-16NOE*K&Cj$VfOB#@XC=sRB8v$4qagsq#8YR^9! z;5qDnA8oZ30|_>z#aeZ;>hF0#W|fL33pfe{CzEesyE1v%$;4Q&F2lrLuX{6_HDpA} z*WbQkoC~;UBWolI5@`q>ajJ=X<7Zm(q;fq#EstJm6kMM(!gDu%5{yZkfB< zCnWm?Q%38kM<{8u-3zKp5}Yn=_0Dc<(8CgOZhh)-EL-|>#%suf3Nnl96C1s z>%fu`5Ei|(=jqj6{kb*O&IbS8;9Ml1ZHcm)8y!}wSX|$p@Nt}wUXlA#@{*;``(}fE z9E3eA)=VsHHB>2V%;8F733|sHtqaLiA0a@%hceQr&~X-oIrzeyoO_k4WB~VJR4V(( zZjBum6R#h3ppb>3g+F@znFV=YQchWi!gvIm;J%xWeqONNcBp%2|A@$eqG>zp?R3e8 z7h|)uUJmR)HI9$rkJ0g=ZLrvpn}>>aHW|)v6B$;GyLIf;@%kZJ%D0IW6xNne4TJdK zXR0K1Zs)lxUq5-d5!5E9xFq%#IUz9i^t_z!LBbOJxG2>2hk{BkBgGCmma?*4wgMe5dE9*%XlwTP8mJmQ!1vOIU{b_EQNEal1Y* zp32~Reh*0YD#o_ObVep{(;AdR$Y z3qXw?kh!&^Bx#=i!zL_0rrW|3SE0e4!2u^=s@%$$v0oCt$o&&cFA$JO{NYGAfSZq0 zR!2X2-CHO6q=+`b`N+vE1(BJcsEx%$YBy}vrmry}Bsfwi9a1gDl7T8jpsRQwd{p)T4&*V#%X9lE1O+= z-@Y#3F1oMewWZk`zZ~=i#hU^7ZZHb`iHWRct5@NB%{%q9wbpxTR7a$G`POv>pI$)8 zR~1LNYBzf08>~DO^xinlL^cm%vD5>T-7m=C2fN-S>F#dEvB|X+q13F`yMM*}$la$2 zCq~U4 zN6TgwPXQP+Q?j)ia;>hlk7|DzcgIcjrVaQ`$MsklWZJCnn^iqCirBv_bK4de)Jr=e zZ0ypCRKmntt^39HYP3P}?)7H^<=RJq23y(Eg7>(?jC3RW^-X`k%;8sdgF<2Y z*893wp1j(RGl>c|%0rA$yd2@#(mFW5=d`ZSm@~@7B2M=QGo4VlrlN+BNK+F+x!t+n z(#%3#Zzb*O#&e6o`2M_hF+0sRRearC4n`XSdzkBQ0)qIPEjXjE#OT6O$VU>$kS+CP z1?=~26k0I&-Bm!uOfK`O8cH1_4HI7+`$>Z=>x_Ai+D}T8(7vhs?UdzH)R8O@x62I; zK&?^j>@&)^*cM!q>1|20cZ^a7H#tbzFYMIMxO)QCi+6D)<7GsR#H*s9m0c;PSgc(E zUZrD(dT}<5?7q&WQZ;3_4&VjmT40T{-I0y>Aw}k#?WUZLx|Ozb&Cs8ra<<%&cxN^D zVaA-gobaQ^2{e%@x;Q=nlYPVrN#97R&-+qxJ~Ck|cSr5v8`c2Oeg=W}oJ6Z60$->)&_l_9VSf%5(`Acz2Tf$Xi4+0NZZ;l z-v7o1#U5DRb6tGLUDoy;MW6brWK9IPCJ9pgO==LLE6b*WAy5UUSt4HavgH>;SISA`5ScE3Ee_3^$6I~m_?bThZaxa;e$dL<58DOx&Uxp`1O0uy!T|Hv#5 zX&RDyCzud-E2h_ns$G|p7Nc`L-PGc3ySx7M*OLNtR?)6x#*iuafftcqpkLH*9ELZ~ z=qbZ!#YbW8&HauQ?+RqBYGReBwZsF{RsBl64ZoIIEnL|?BefLd0=*AP2V6(-hyZ%{ z-T7UKZ^FH3F%!!(>uOCiA)$_3OH`Y4G?LL<#O_0lu*M>D?pM?xTj|-bEeiez^pX0% zM+~l!dSzP#6_&G6C6vS6;%VEr{7V+89o%|Q&(s!811|jfd4LMYan{W_vfi=plzZml zi@)n3k$cD?;MU=wlFK)#0oO$B|9WEoZ+r^+7i_$g^k`2n)DOC})l)0|7q)|bU+ua} zK|D54V_I6FH`>kS)@hD4e-_}iy*$S^>$KKxa)|Mdt)VLAbPU+q0gZuhkcD&~4V zdtdcc_T%D1{kD+{swI<+7=gYqW?~0r*iYM6->GZgw?4goiXPpPv4jaVkk9+FkKje0 zwigr(Y{TT9^j8qQsiSbOz5HwPx>+@8BMT;kIrPwA>#o@@*604Z0?sqF|5IW4 zUn$6Myz2^vU)Q2)&suI?(dhF4@wZg|amd#iIROB40l7T9HIPe<7bAaT#&h}OD_QZ^ zBfgIG^vDTx_u(2V{A>_lVE3La0njpn$W=h|0 zEv-Ml!$=&fIUysjtYuD@1(HOIc}hu?t~kzjHZLa6v$_nc*|z%|g(dywtIX zts7s`1BczOHWnqPwC=KPKsI<%-{EVSxATv@n7anUqsB8XHxiZ1ZgbGKIdMR3bvTN^ z$)yr1kGR)b%5v+TVs6o;=6m?pv{28OhEbo<4Qkxa{Y`Z1OvcvFFqL1{1pXrF0^GPe&-^LUUzt2D zgF1%nG)?6igMpS??86^>E;3}!R9j@*8>Uml$_D_9iz{ox$fqe#U)Mev?G-=v>e#~! z{w3!L8IY?0t?5a~I&0213s>4ZrEwd!5kd*J+s1ld7O~_9g;K7>M4uU#5fnnq5e^5R z>MXtc4B}kC7nS*qQyp<42@7hQ(h9ZT32UaaBr9HR8EW^fo;ui2=lgM3G=jE;^Won*Q;ISlD}R{q|(a|FPmbI2Pn=^eN87u~U!>i5i zIPN0vn4sa!Qm_^D^wU(!^HN<#%O)~ymaYIFk^1;{r@|v)QMo#=E@$NwJZU=22P~eq z=F{CLB403;OtN>&01Z&@^JV{}ru3eq{FRQ<12yAJfSw(4^sj_csQi+%waJ#TIfg$4HbP&0*sHtf5Ds_OQXQI_FZ%4l?71R! z-p_jT)`Lv|VH({`&2S7_Y7!kuk}f%eKK{L3$8htSO9}u$bB#)1z~w(8wYKt|uSc$@ z1dcL1ZjvSaubGqpCofBCr+6GF$&amj_=UZvCTwj(oaV*X>Y~aRoNV>qc}^z4U8}S1 z7PnPqFNkZc$D31$+ZJrUP==QdoAL>fcbD^)&Q090nU+kX;SZl|(-~V$EUaL$ckgZz z>6phl)+Z1a{e2X^9|cCbvc?+N0g)|(_ymLzB=<2gtRCgl0j?LJ922h`l{`bOx!B8; zYhdQ?lB)IUx!IGP|@<*yV&YCo@dh*X@IdM9{&f=I2 zxU6jN;)dj{Q+;KTS%=mir1fzhnEBImQeDsI`NxYdHOvlAo2+??HpFpEZML~?@`c7`0c#Bsp(#nr zhoK7%5XW|LimHa0^)Gd-qwx=ir<2&6c_SQL4PmX8fhg_q#bbmIBx2WA9Hb!6Pr`{j z8fTU}?{Qrd_2|`eVhHFQO!Wwruq=L9(VSKw*5wH^0md6q&b3~aUvBe;7%>qm$wVCj zltf@9zrohDiNCeVlnT6j~g|>4}sp#_ug~v{eFM{eeUo4?hlW2fPGVk3 z$T4y=d;?g4FrSw+K`8QRpC&Ei@S7vBddicW1Fi;X6Qef|EY-n1&!dc>bQZmzgz`Lm z^TD`#^YPL>vIh8$Y)PCKEnX&Mt`~UaEI}nbN6F1}BXN9WO)m08J~XQv{dgDxhth8Fi2H}CE4rZCRsBF5qE z!k2nsTFBOHwNO*C zQTqq?`lvaTl~PW87$^~oT-@Ea8`hrHapWnMPM18i;X5KN`Dl=pEy1HPG-1Rm2QvB= z-$5$)j8+qjDP{4xMrsE4sSBoFKlqqo#ig~&N69U;Crz+TJ68=&R8W|n0?zBSc0$W^ zgmXk~J;T3vBppG2E!PtR?NSm`6d7(j&Ti5Ok7MgK(z0gK3rhR(bx#(}r&1l&L(&l~ zdhFohh=Y+a$k){~By^xJ9no-{Jchz4=<8(c_Cq=-GOe=)zin@=SRK`M z65c;>s?+FOLn>!s?S{S2)QeTBo%+v|x$@gNa!Ws??mRiXpW$@p)YqEia#`E$i?^f~ zz5@6c58Gnj4VmWMHedXFZ$^B|m~3z?`UuTPLdDCCNR@HjCIQ!WJ%W z9ls#_O=5G{WF;Z>OJH9^|9)ynwJ3`5aoAwp=a<-ga>xp&W${a%fl#Rb0e-sd_A`UZ z5uX$oZneJ=2*QOY-6w>MMgOcDD!-IsbU`NZglqO>j#B)IoT+$|^?0Q*SHFRvrM*@Q zvG(HP*+vXff!Pko)e=>8Lqj_0R_YTWNYq%>qG4x%>_bZsk@%r6mMe8i4aDY3VaA0( zbSaD?9@D}FV`iibPM)i*NHze}p&Kke&RxfMF?xpA=5ny)27pQ}IDrsQ$$mF57f*e(XN z3g;nefG_k$@7iN4jTG6~h@PayZYJRa#REmeilMgTIJ87!=02rZ53QLSM&4iz(Y8D( zk&@4n#`et4F0jxYU$2T+JB#*l^XH^Qb#!#Bg#ds@Z))RyGe4*7$<>Sv$ih`)Jh z=GChi@qaF_$p1B8eU|vwc6)u4iKwk2_0X4%T0FNL6cvu*f<;}wx3IjtoI4kGeDIr~ zG&Ibh9A$2K**^${fcCS)DJ*-n(Gk4%yW-^GKj5sZgZY>D8U#;}JMU6UN@#!@Q;wOwB@N)Nk8~G@4_HQrhf2(gv69&%f5HgG zfd8@qoZBxZ{n!!VKNy1@a$?1}>~Y5TKn;m$1l==kyX`kNcfyFXDdn>A?cw_yx08M6 zrlFgow2xJ}wt%1rtdjt{(;!PG-i7Z@KinGQx4hy2>y02SDlQqDSZ(G;xeGPuG>D!` zP4bDNQOu!g>nqf%8psD-0wGdnQp!l0#2qoD>Q+N)XTMcv8X-aDKsuDoQXVpIB~N0P z=o)1lAX{Y6RmvM_kA4^SPF=YQ>Zv--k8rpYA3EXnSn_|k+sxF0D2EHr0kQfPs*S>Or>g+Vr`$= ztbVT-*Yeq2w%T{dRVUb1awVZQc^_hQTfapLZ3ZD4w|xak89k5~-G&XhDqMh!WKt_) z-t$4>tws$+Mi|gIkg-wQC)Oothk7IN)DwnA`QB#e*`zig@6#PO}j5(l9G9D)L!{ zwJK7NrmGEfNcBj`C25neM9HJDfDyY+3gV-3m3g9WRCCBSqSx^G^&UxTC*fSp==S#( z2lNocML7y}SxQ>x1G!S2O06Wnk9(i!MSl0=B5unNx(iwdVS#e0SCBwARHBrhj0Lsk zv+-HsiWhZ830uTa2#C(O(Fg=E@`~J&fY!2lH+NWZZHc1-#JTG3) zT=afXY6mpLm@2_qVFZM}0bPR-sZgcx;ut<_d}qxZd+jI~%7fFL@g_Q{yA`AxSVird z(j_uPMZV+v-d~+wxD5AKGUZNeBt>N1n{I84=;ZE<@xKzJ0_-=yVoig+>lqFRO({Mr# z(~vcpyw}J^BofN+PY~~psK9)!Fqh|VHz&W3?n!f0>SQq7_k^-fz9F&{FlYPmy}=2B z{i$z5y`(4YL6_inn(H^nhBk-_=-o>}-b5FEq4M+th8SoD7%25|Rx~fKOFZTEul^7L z+E4*nt4_gdZ&*+|&64(d<>}(*CA{@Y{8ieex9jl0E?ts`Hs?>tY@w{->~H2z3cC*Yk%m*Q`s0v5oVj3cLtH1tNz4w2q==6464RaMu z*o*7c`SL3-im+C$&XgG8p>}dGMyUITZhjQ9xNdmZnHAIVLqQ6ocl(YFqwaj5 zYNccur67X|x=9BkQ1!_ew2AXxx2$nX4MC8RWIUt|fa37iQbT>nhB~h?qz#_L2@a9n zB(V?CI}xZbWTHVNDf*-FK3z3Y|Ri#lidP#X%#*iz?LFATPW`Lw-$7Ku_>Y-YXP(j$)F$Pmqml=;cq4 zP2LMAZia6F16O6SH(#&+W{o_RMux;5QOv72R2lhhp+lEJ?NV>03~W(7=WzVy@_hd; z0WW1uS6E2o5~tf6eM1n*K0BacRAgzF{KOIShNnS#LIV4SXCnt6xm9=%@hdat4Ra`` zU(+Jx;~0j$45h1d?rNxnkH{r{HyPSXnR*Co#kI;MC0}^`BEZDlO5B*nBFj0XXNGOB zSOC5$5RoAS@}1Y1vxF~S$C-5ZrTtUnX&E_~Yfi?YVT%9?1>Hu6c#XY=t6;BIVfMPx zV&40XA-zusltVUb2_QHncS5KV^c}+p1d?A@lFy)GZXyL{js11_R$Y=C^M>(OHQ2tL z;)o&4d)#;q*Pi@Zl)RTK)E=!ZDH7!MDXdj!qN)g~s;Hd;*^~Up&u!;*Cpt18`H^oW zLMM9hVuvpLH*y!5x(xPe9T@6X8TS4Ynftg8=3^VvM3wDd_L;W*UqTPPl!8UHZMVel zv?wA?CCI@-$PW->er}E6^UU+;NF)|P;qKMM^ItVDmFpESNWjFQhlsrOJws zV`48s-5z0XWyx!9guVBnXWUX{5y+m>1_ELPhveMq*oT1507?lN^n`AY5T`O%@)_g(tG5l`cw+5sLIiuno*+B^SQU(D3FV}#d4P%Jik1kS}cOqLzaR4#ki{gdP z_!&M%+I@wkRt3G>XuXuR2$U2Z)hUfq7>wvr|8E4}Fsqh`mpqg_wY6 zPrxq-znsdX$FPnl-U(p!3cl`cdS#&Vic8uC^gcH5 zM6%Bd)u8p&jkLkCFu~#5Q0F(@_pc1RU)c)lfW3W`?VN6~gqMBt4tV z=lg_=L-5ucktMc%3<{x8IQd1u-^xX<(3qs_7$R`*-3FmG#(E!j81CciIHXkx52?4P z?I%B&;bRDaWZr>%LT zu}QRjy|00oVF_#f?;#F}3PXwvPfVvt)ctmx36u9du6+YjeXb7q#ZS1e83vquNi#0G zHR|L`+ZqJd<=Z=`zX&00GXw@O1-r;l0!f<&Kve@LYi_G2a! zJWp)N^5rGWQt>ZVa&1^$>ub|bI>W$xGJWY3c7VuHoyb%PU!>vfIJmKMop%*~TX?E~ zZz}-sfP?v%&Ad^m=KkrW=Qclu^0MgpHg)kXUDVG0?#Xn(FGucs5#B zeJ6C_Np}(8hS#Rq?RQAUg-mgx2KDwY7hm!2^lQ@kv{_XlvScGw=7_u+-@;5tai@L} zKsh5MuOO85$-feHqw&M7WUwKn5DEpx0+PY%U%8o~FkG8*yFBB z4o6JPZQ+-}rj^j%E!w{tIH50u8@6=RpV$n3>80N|^F->-AqjOLALyXI z@FN%{?`7He@=YWmK(tw;me^e%zdjaYs!K8iaJPQ8L}EWi?2V`pB6mrA4apl*K=7{tEsn$u9$}$dR$g(UtI#g7DGnKjWa3 zO|0IhwJ7ov`Y{=YmMv~6d8x9i{t%82-H#{+Izf1IG+os90o+qaX<|gZC}12CFjp^a zs403S!UnLfbybVo3*X`s$@S%NU{@9-z)qc*_gx52TZCOobMrecDQ?^KFIb=^*LSJpfdW#hd zxLcb|Rl8ls9ARz{o}(w{mLJot%x!QXZ_D$S{p&pPk9>Z>3mv6Yle(9T#=Juf^P2PV zom1PpVGs30?QYE(Chf%7Ao6W^OAdaGj3#1+{8bc_2oDF{`>%0{3}X&tMU!37-4bb>palXoJb@;?-QDAt$W!UpANJi8wI)6l@MigK?&S-GNur}K8%^TmDM za&v#|i$*tn@bT{6uMXg6-A=x`)zQa2*Fg~$eP8BtcL%1w=AgID?G8_jRBW`H3y=o4 zK@KWT*Y}c{^wn+ij^lOI2C`h4jTmOi4*2`l5TdutSu0lbYK^HDK2JQO3N;u{IJYT( z_6qBQy4_6FyX8E0CY4gtAtt<$_VY=3qdxsqE`A6o3^|Ba6sG(2;nwxTA6?FojDs0& zd_>!ZMKeFWa=mZt^Ko0o(&yW^xVzuE;<5w)(D9oG?A;Vtd4*jz*IPXa;8s8gmQpQB zH<#QoMqb^-Tb8@$!_#CT#qsC7meq3o4EnpxWTBGc+j27i*_$<%^fvnGl0F}~TD6!iQL#r-^m{&nGp08J zWEhW_Ghx+DdZPi{UZ8g&P3vcO@bOhk{l1Kg?8mUfz!=?%;^56|DjOoW+n0OkdMXZz zt=*pNDZ%9@JrVw?p5{**GX*h7nI1%Bc$=9xyjN~#GWPb9&h0=UFI!;il8--K5Y{6v zutLDh*Wo^8cJLz!6-n<~cDO=w6RCKC?@MQ$wdema^_*`%Pft(zQ+2VfwfIH8%9_y9 zdj5ktQ>f{C30PN*YL*LU{T3%(Y(TIY-mY<${nT|SoIFqKHxuUuzEoD5%wffIdFkp^ z4zp*nBXcE8T4OXVC=xs@UFeM5R>}EOd2Re&*YmfBSbf1k$+0zG_r-(Q9Nsy>x!RiU z+nDDzJ<1YWn*==AUchDh6RF(bZBz1L{e9OitxYkmLcYHva%aQ?$}0wj%iXfzVF~uyz{f&r$MjM8yS3Qv4lWaFNBS@1 zpUuXqzS+d%U#_V=@Y*%?xiYw4-9HPlh>jYAM|WIrEB3nT_cNC~qEfr&lhg|GWMj+> znPh5Mz%jE?lMBfno*WQ-XJAcaPZ4phHXEn3iMeMR8r_l&hljD$Go1H(&9xILHXkRq zZNI?mBF~XOf=5WhOlo?UBH11B1p4f-HoY#%hR`!XQHDbunI>yPUntlHm4@lbB9oR2 ztX+R#7$f*+y-NrVWn1ZSPIaYlh?v8HgMYF@WTA>wR?ZuPoJ!6DdlwE>Fh5-cd9{=J z>cH`J9-kU-p9Te?A775|2En?XJBr}#c8B4Y$9{bc7oJp^dH#x+%OBoEwd$}g#2NjK zAKBS?eBf8KTCW*=L;m?Qv>5crMM zRpEn;=jbrlM#;BKcU-Zpr*a0eOfN<6@VJ-Uj2gfxzj(H>Mz`cxu(l4G=l^9nb$T#9 zc)-wRP&0T)I3E0gLoani?hrgb!oriPwO6^CeD#TTj-1NMPW$YK zrr}lPwkZ}zEuYWpoYs%xZC1EA%%@Am!8YC?>u~%c2$jNQL`|BeD#s#(*=nwg5P zXcJA0wp+r+THO^j7HLm54-_WmE=1p>9F_^!qygv|Pq{jC*?QDPS`n%)359B2eBS9x zc9}JTK3^`nx#t?FS}s}o@4r=+vb2}t?YfjkYT2!9w%0UIa!pM$DKVkw2>M#wen%rx zF)dtN1_~af)gdPWZDf@kmp8(K(k}j_hnZR4gVPwNux@HxCG=(=n_&}+V^i$5juSDM+}kGtWIU{d?zM=YOnixC^hYQ=V; zE+drg0n_8dRu|4<#q0r;$2wZeEJgxeyTwPgVvDGzspPL4@o6lIb9r;ETh(cTEpkz| zzM!QP8)Th2=4QRPVrrl0O4qHoI&^}iwJoXvoHDC-COfA=gEZ(eLQ*Z3xv{KTjT^P1M0jXj zM<)1f+Z)S<^Q&wWl&~E()Vc!nqP(`!Xdf->k1YXk&YeQbiNEgrk8R@@ulPx77a@6C zPDN%mHQw0m_E5s3%xgc(_A{%FYe0O14#b^!>bF7tfhD@YT)ge6=&Y7X&D+B?UDq#7 zGozf5Ci1JJ`riKY)+Xec!(QW4w2#GWHlj7n9NDx_3ny2v+v+;H<<*wgLJ>|h=QDdu zoA6o*r4WA0>9-F9HqG-FRh3a_|DJ?i7yNn7eUmM)SSmTcXLp9MNEY>o!{DWRid%%8 zdzsGFb)?TEL#RfVKZ?v|_%S0K9)q!# zxVq+U%58V%#%=YsFVK*72R=MQYK8K-fZlrF8&GpiZqF@ckCjf5FnIR2KP3Kh1A2MB zMTdGyqdwJmfI$+OsT00uxgoaO&i%;|5Bl&#TKgaH$9arPQfHv8jt8SquQ_{U`LWFS zAIl1~+t&Qd*O(zzE#F}n$%XFQdXFPEjasK1>7$%L&DTifdf!WDT&Fiybu04H^B~DX z*Ea99Y|TTc6x^Ti5EqKvM4e^x`txRAwN-)N;hLGWah_qMsf3bwh+EtYj0Wft5|fnV zFVkxcAQ4r+4YAw*Yv-K*Ym4!pPj7wZZJr|UuHIuvDP?}1EjRQ?$gk5EZeP;uENzM7 zH_)Y8Ag0QiaH=nA@w2V9|1t2)SOJr(*p||r`HAa1WJFTCm6jCjmG#cxZuc!}t=;Uf zK&=;B1kG4)dE+;GU6vw9l}0_ImCjGBaFS?HfLJlJIY~E=!Xk`3J@5`XNwN$(I+037rNSFGW)Yvxh4qfIqo|OVT2rYmx|7Cw4(Z1 zw${-`XjAX<9FIUfEwS%?dd*>*T))-G1T z{I_P#@1d-jr$0^S3_X1Zt)r$kX0J26fAzqDOteaMK#7Cc;q^Z;!#uQirru9`UOR>< zQ?&)-&p&l}8^QQBjtP75I#lPJNq?klHg#B;G{IM-$ZnWho62sfTYP;P(!o(VU)fgg=)m2+w@!oY; zmUHLCITPHP{fCRwcBVH;qJ}rbN#y|2Rqh<4ux z{NJAMUIjx5uL(kg%54%)TB7J$<|MEroURSkhF7BfFx+ZgeWw%k!=i#{B>I|@hYk~B zKE@A^%vHv4l*``$@)JewKs6qk>_W>vZ8A`e$#R!`s3l3ALH>5r$5oJPrn#tV%awQw zqQSay@Twuv%5Q@we!9v4`Ki{t#QA1^3}&>Z@8IhlW_~2FINOm8Z}pNJl{Z2f5qEq< zTQnyrF}F^$9_;yYrokEL!IZFHK7F+>z+fF<{2+oVco@;x7Jl`)V|F~Bb;{GXayfP6CDkQ=*bwt^u~@n{MAt8gmyd?tT$@NmeR5U1#_c)8 z%r@?`vzyY5aH)8oi`jAJ(rDP%7~|@M2i1qHkQ4U8QQb+4hZ>~bru`a?fj>|A)oM|n zqH$}RVm)oGCh2hZJo37ec#h{svJF_LmO?jMG>L6&zGGKd^%9kQmv?O)V|Ra6O5hZX z#n0|>ULk6>mdCD;BU9_hbzX}u)oxT3krto`NO~@~G8wL4Esf}y&yBvNu)zLAs&3+m5%yh6nwZPCmysIA^*pRI&z$M;nM{Ct7S7$2qTPg`APH5h z%o+mAqKN5@WPI+|wVMY6T2+;V9P|SX}J zB~cJI?(4D;LukyZqG8Yow6C+sU>FLl_lEW^YH~$Nxo$x;lkVfH42|$23e7gJ<0_&` z(ek(}*S}8ezG^-8=~i8S2i+W|sZ>coD~vE=&CtA?DZUwI*;X|?sN?*gTv}fsP?C1c zl0{}YDih3W2FqAM?<4ApA~tp1Y`Nwsv(-Zli%O3^OWsg$NpYn*_DCQ|7Gp~+_${Dv zmJXgyCO=1IWNa7_15Z^q=qC22cnp{C7<(9A-tbPBmj5gj&S z%|~tq$Sd|5FxFMMQr!Sc+nkxTF5aKGhgCOnO&*l1u}7(%)}vynZbr&-Jgd{|mFj$o zxAZJZ!_l?8S&YEL=Q&5>i{LCq&Aur}vM{!icbS;zY`rF)jQU-6rxm?#o3THZ&c@N3 z9x{|27N_4ER}!K)0~MHmxR4T@?oE>}kBpKKU=C#$(5|>JU#V~ZOSaKsr3-NE4h1C@ zcd;t$J_<)JqxJEcdzY(te5R`F)JpF5ti)qrt^bnnDK9Ed)kI_N)dew+Xc88zFAJM# z{SzqVTPAj2;Z{*`PRx0(dGrjv0D6Xi$Z|jLx*}2| zxhS@N_;_X!00CD#DC`_&TP!~`9qH^@Ydo}N;dm0B%MGazN9J^)pB;rbGCm6EJ5O@6 zPvfAY>pfj<_>lLwUVAFl01wN&Za6tOOAjokX;&5UW@nI38VexX9zdwoT4=NCyVIzd z-t?@M+}3Rea|J<>JjP>JbtPKe6<;a+^P@$bj>S_!@1%k(vob7D5OCS{7Y|mIw!^V8 zWBc=pT^!VFS#bNQwIWZ)-jL-6Wylzw8yOM8M)I$e*xL1k%hnxQRPsH#y`}+0aU-NkxW$j2A9jMfjTe*l25 z;@aqsSDw3oJJ32c5f%dq{D)Sh5Hr;hodPCsF!gPn3=ZHOAF1oKlpA=lcbIR1vby0P|B<`W=ewe8WtL#W3+9jWnb#AJ;%K9fsQ;j zuXa8^OK?pq{_O+g|LIXU%)Bs_5<^HY`UOU89&eHW*^T=+m^Q8CY0z~yP07Sg zhVvR9PAIpq%s1ZhO#HZecUK~Fk`6C?Rg5TtQuA0{La$tLJfyJ3`Cs zZ|4-v_O}*rJ5RaKBc{pniY}&fRf_MF&rN>bH8$RV9F=7Am;1oq)jo6F)p32w(Ej6i z?A$#BfR${uS1ampPP5wQwxYjdQDbE$U7@ba zJ=tG4KU8SCWaMAl&Gxy&*}POlK-uhi|iiE9-B5WsRVs#TQf~XlHu5 z2b??XI2Ek^tJG&16p2jPl5dnJy*Hzb$Lsf4nX0Xfbgd)DSq<#sR zLv9{U-B}u4{ZxN@y9@QJ8<~yzS)S!7{|^(DoD$3@q8)6agoeLV82MN=0Xy}hE_QL1 z1Z}D9!85z?s?pSwj0vm8zan=7>Lex$A{Vs8%{tFF*t<+IIZ_@KWQ5#Dhcp7L8gzbZ z;tjia7t5!bh+U*Ft0j=MG~t%Fp#9M>{ulaGJv6?fW!F*gv8*W`=Iak!QDFb(G_OW|e558M|OA`L0a01W$uV*QEbAO)*U?cP~{v>p4Pf&>eO8 zpi?3cRazgVr_JsVuV6VpTFO(vWFW2tC)qc=nM(MnPsv;tz5ReVIN5P-+b})s-U2(4 zm^@7R8vL3rxbhV2xwTs-Ra5G`#SB*{AC@ey=V>OZYGnbS5uK&rhr0tkHFjF>;>WhL zStleU=pBgeQsl|Lgb7;hbj#RY6f*LdLuI@A!!IkNJ_-hdp~w>Bz{%+g~VTG-pK53j4s5;mOPNUK{D5c{~$Jih}p{3z&M z;N{p|V?vkmiHTwI7>+vS--sCAQBXKXDf>R_7-t%RztEMxh-#JLa+^rAsvssiNV@f) ze`1tu&vheXH8Ej*l*JqDHd-VjCF8K^3hmD%wktYE9Bfu;trCpG>zNs}S zZrtcM+|BuQ}W4oSK=^B6+yS7 z^@-h#lIN_TH1tQ)$Y1Z?y>YwstFHBV;O|{TX_bMG2G)VJ)`l8j1Wx5KM zBgGF1%*^Rrc}UCrYOAEMVHH=1qM|!KBn|u^xZYaQT0+D4+hV42A$3?zzNd9YU&)k- zC^mRvWwECxIDtEaH@<<=;lkTKNL7|0r!^Y!Sf|GJ^K_Ydyc*(lVHpx|`k-OikOys# z=c^^cf@?!+NRHzEen!mvnIKvNW~db(Hm@gcanfC9wYF5Si!0Me3^b$zCBsP!nMbV@|WS2Fbc%Iq89nOseClnO$oPlCY~q zbW{_NzGT_`&SW(gEt6^d4bh-ZI%#EX%{NHW6n}Grsc5FTR~+$@i&9zA%<=d9P5Hcq zaq0?Ok}d5R^a6q|@8it9^&S!S^$N7SIc{kV$rp)4477THAV#JY$c6QwZPs=$VTCwk~=-fF0t1QoDo|PC0m#He;k0v6Ru7H+ zR7!^?fe<*_b$wInAU;_hrwK>vdow`m9w=?w)cQeRUMt50{WH5=^S(;X_u$D!#mOgY zD6*sdAT-O0I7PO`)QzxTP+X^Y9Zt!=R)?xX=pCq;b+wJrs{~(jG3TwX{=8iViJJ_R z|5axIL@CGX$wO+I9OF{Dg}BPswN2#>MoYk175^~z-OUNh51+)!z1BW_V$&s{-YJd0 z`7T3`UUb6}V%F2KO%l6K8RHJdM*t9Fhl*KS-_B9k^)OPTv+m-e^q_Npj97drdUCXDiul5y5e5!#CH*?)7HTD=kwY&bL z?Uta?6}GJvl43YEmeTF3cO=7^I;AS2{WHnT?sTbXMOkQuR%M&iY`$UHqOzSNuPhg- zUv%QMahkTA(X|V};TafOvLwaB$>-QA|A(QxEOGuGd<8F6y;LUM;yU>rdt-=NzRLd~`2Jr(X5%jeplxv&(#WaZBXHe=EiLT*zM2q^T8A z2!)mY2q`%^nNG1HgFs}dp zd;SQCyv~?^03*b#Chl8?7c0f-DShjq|7VY}UCj?sL`??X%6NOeAi?~ly$@R2w_lN& z^PD@*iRePi_^#lq`}DyGch8efc4ZY(WGcT-8{e}l;UmK&w7f^wT`qmjBf<~t$+$U` zL-lfkn1{XNGFmDB^Y>CYsv<-!(AX4=8eIq&u^-DwmANA#qh*xiB?Yc|9Q)7>L$Op< znnT-83n(4isGQFjF~?ud`1v&XHqV^U6wBolxp^~aIid4};dwcONyc#anq8l-saq5s ztQ+)m^tUwD@XB#hfcxCW=UU0RL!pvBz%yIe=zW?0bJiPrp_%&)fwj#2$sdme6&%U! zm~y+O7+d`Ycg59OY71Pnuj?|}(_pLagJC2iXP0wnQ+X~-zwclIOv<+(XZfe1sFxjf z2n!A-Q+|buK>&78*P*&rw)n%3FwfJrsSAoxgIh%pm?uTt(-zj#&p@-aPI(_q!^m1;@_Fqk_@P-P7gA*EwIvlrc*Gh=MkQ;-%? zuH_b4PUe(WWo&Ar5cyDH$);yTTzjr;ilt}UU-nyVk*}n3bBU8JWDBvy!T9Pu6_Q3| z=Xw)qXr_)#T!&r1VH z7z+=7(xzGpxghCd8G^ckJ*{$6IZT{c+9y-$796rIG(`by!$(XjqRI7d0Q}x}lR@KU zkI(nx6La$^oUAP6$Wn5z)ke;u7Fd?n243r0k$e+4$=tbDbvK9iMmjN@e`sg=5vCap z77N%N*^2KK)@^H|++|HiQIUU*?XKk`wAc8NE)j7 z9_r@?{E`P1*H0dxrjnwQc_9)|A&chedO=R=LoaG{%L(7UMU$Mg0;TaOXS!k$u%ZEQ zR4%4yL4&m1uJ?A364vbP15WhCZqXaKv=5jb+~TA@Re86kx#bK5#70yH?M|=A%rJ=v z92?8Hs~P3txO74HghDLq4tiTj94t>|E_@mW&)0`ZW`Nh93`Q4*ayOJSOVi1SEA=#3hrPyryak# zIpm{ZKcd|Al3!Z;V&|P)v*jJpvfQ@YrYy$+9?uMKS%;am_b98t>$=CvN%rbzjjjWm zx1YzHxhpETM{;x8cIT_VMA2FP)+fQ@j6V>9B!xjTX9tT|RWWH@Rq8rhT6TD~4t(rW z=(dMBi#;z~%V@VK=Kdg?TS~I2CGC6I(cUo`;j058dXzaZXq973Tct&#B0UfdK$zsf zS`+ry2Rp7(P2aYhYhfN{p;k`uGHAmxJg!M=^-v5;<750*yEKj)D)~9~j36DEO!sO= z$ImNnTy3L{W~X09ShzkpdnGEy^GYqWF5Tt|xz;U8&Gt>@;}a`}J~X1=G{A6ztXbM4 zsx0D*RQHV&9#e3)AJ2u>_HjCvnDrCL(5ltLR3^*aKA#PX(HSbm9E z>EM2z&OJ z|1!3n3^MRJ-(EpWn<&LwA&Dy{Yi)MRGNfl%GnK)Mo4kM(qR}}j=8T8Xjl%N0fLF*T zZ1yNBjTylQ702E9(tip|44?%Je0pKKC)GEdo z%n1T>qczKWaa8pK9X5PZ5(g*O*~>1id_Uak&7mp7XF-MZ(5lV#+SIxrFP$~PS^jk zAamCYTuUdlQHt@6_Tm>s(By87;WSNdO^)sKdW06VhvC~cbRyciogWAo_|J2W!6%M= z#&NuoiM_q!%yo`I!E09Avgs#*3J^{@bNR#cC778{xXl5SIiyk1KAC!TiT=Cw@_jl- z-2g`xb=%c*Vbs=m>wa(IxIv`Qq*cKZTOhOZ@etZ#`R0mqOYw%xr#g~$U&z+sx$QAP z&~|TY7cFY@a|`Av!|+#_OZKkCAxViUyF#Ipz=koSo4ZWQ^=`93OnifnN1qVut{dR_ zF=jSkS8HIY*c!-HYBPmtv(kRBUKrA=enD{pzn<5es4E{DM#7vHG9TH;njZb^xZKuD zSTJI5d&9_Y3qkS=lK1%Wvl7RcgkQ6!=5#jcLt4M^B*lnbVxr341nAWhcT?tk=&gHw zXk)qgw-CD-sU6oGq2kIYKG~b?jdw|B!&tJL-jEItk{ej@jEM`LXGE~Vc5NM>P1?mj zsOx`3od2$vx8=V8!aFLJC*ceasx1JfEUv=KvicJ%qFfvJ?tr=U$hNG%?dNN*u9Bl{ zO>%T0-DVGgJ*B`4?}|5shiFcZD=nW_vrGRdJrj2L*q{wG{e2M1mHr3s-N*;CuGyJG zpTs9u$g}6+>;o3pbMk%J?t`M{Qnmf#>StJaZ3E}VeY?tzrMUk$uq_oOE`yW2xZw}7#c;Ut9LQiy%89f7KQXrhN<{t-;2u<&% zq$}p11h?p-|5Rz8mMi~B`2BZmbM_|kv-tnsLHVEVV=X)9k8Ae4QV5{kyQ5vJ-!@&L z({JfW74x$h5%pC1eLC6GJ7>^Ww| z&zVjqJL}NA+ZW$Pw(+77s3UR+j3QPX+sC_R-=KrpdRCmr^}hYA*X%p95=+&0dofbJl8oJ+I zmMqjAd@)0w&a9G$*#gvqs3BEFdEz^k>pP{TN|NJVNrK!hLcn~0RbJwgd2UBe3LYt1hM z>qN7IptKP(8F}49Fl?oqu0-=OIb)rMk8zn<%_eFvOZ=RYF?WnW zn6eONTzF>S3fjilc%3|9?v69d-97m@4C`+st*^ZXxm)o^dyO#LE^}Q)vyb=TQS}$~ zpvm3ij4wfP8b>R!E?qvs9`Lez$9p~B0w9HRIfiHZbO`&0uHNlmOgSE0hXb;&vR`X8-^>z z+y{+*oV-YWAn7O*nk~FXT!CR*np*HS;n46dYRcGBqO++GZ&=OoUHvk5>!(i3$osf> z$*8Z}E!LRPIAVG17ZuywV`etLLFxy=C4& zhl;3+*%^vq)j5+dzEfu>#zixHGC;Dzo`2>oc=%nJ!33e%5dr;6+pkQ(#6&SBjyz#y zJZj5n#r&9$(RZ)gvXj{`L5_BX-b(vEi?)lQ%pDr;E+*6Onu7Ts^$>P5f-(3-EdjL} znq#V^gQJU?OM0BUZNMILd$O9~l965Kuch84EUZ3>h{?GmrHCuqgUVQz(r@ zRxXqJw5}HC@kECwuEX1wfQx?jt5CCRZRG2zMyGGQ1McL$5+2bxqFFnlsCwqmwL!$TD>J5L*3gQD{>PqxZQ- zn_4qEel*91fic&Qg)R>EW-Q#Ho8H~?D37Bpl8E05R>2t`)E8m`m|}9O(05!8Wb5XF6Cx73uM zw!8FhdFSc4ODFi!ZqHr6Pg(ta?w+E*g?1kg-Ff#``Cj1e6`Cq}>-^-%TNoPJkIA7vOy4z$alV?9I&lUaRef-;L zmif$=4_d;fSp|n}=$&4E;a6Mk_&fAx_m%e)KbEo7>=@aGR-S6zGPC2fgk=5jOqvsJTTCa;6zPFtnSE72izbQXn=hCU?^FOpO@0zNT93Wu5+~Z`Y z_3;b4RXHU-`yB{nzUdNj^;7NJ(u9@cZ z`^#L(i>dq*RP_)2UZlhrW%}Lnz1PHO*pj>6CZ^ZQup)Htd@o2X_TZ ze_UMCi)eB~+UrTK0bmt7cB_^CFkB~fYCUvS61FBduwUgL@L>1(H$YFu@4fS%oq^&1 z{|d&MfZblqjv{-LA0;nVi29Ke)Df{nc(wpr+GItYb7_y>Nw6GD6b_tz8ob+I4gGX;JI_5O0*rdrw4D{tOP+ZTLw-z2%! zpHD^V^9gkcG_;z|p19`Wll4nvkK1~s9a!-G&9l=w_oWP5r~GdBT{}Z}N7$WQu4NTV z&q?2U9O`3FVSmq)MTFB>Br_c zgC}^(w3v5`JQvOs*mUZ`+w*%ox~Dub)(dyve}YZejZ<~!l^d7i;%BPV3r`7pA@=K{ z>9PnZRd1?jD4`0@vZ}xqo9iirUc-lRd`4Jl$ZaBaDB`U4cSMj*D<$xgH^t{K_ x&NpT#JaFHcSLMgO**9E@$&M$$qt{lx{>`kDM$;M8rT}W*v7rC} diff --git a/cpld/db/GR8RAM.cmp.kpt b/cpld/db/GR8RAM.cmp.kpt index 960333230b7633012330f007eacf60e68d1858aa..2f27ca1f1047fcb6050577e1872a474c008df897 100755 GIT binary patch literal 222 zcmV<403rXZ4*>uG0001ZoTZUnYr;SfMc?x)F8kgjn-uAT2~;RWq2LG9$FL?7x}@2x zyBk69#~Zs)5fubq=gv8IV8)GhMYVwvEoJO;`L=xj3d>eAoPr%0D?61j9&(0lFO2K$ zdAjfeOs5#VzD%UUw~xTnFb+8;z5K0^qzU3t#FHqAd5RU8oh%7+Q8wUM&9J5oJ}T)3 zlH~y~ZfIZVPAX>$OAcuG0001ZoTZUbYr-%Th2Q%t4tZbOq#%2+g$>4VFmMXc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J+C0RR91003}400000 z0071U00000000+200000004La?0svDWJz{bHDF-d#@^l8_3rus*Pfl(9`96jW!8Jl z8fRx^cjrvKCbOz~+KVZ&vTju+ot0UekM60_YRyAN%TG%d#vsf?$ifK8YlL`9m>&iS zWbwlm#+Hn2p0Wah0`PIqr!byvoXd*Z~26DLlbIPrS--FM&p zef<9t{!ih#abxO(_$jteP8-cyuhDJ=mGHFP>HQ(ZzFB&!L?HRx_+xncJ*56!{QsTA z|KG;@|1LFfJ&y6qs0T%M~tkXC; z?gh7suLQZ3mE3fGHa8a(n!T`73ySRy3a)r?^I^N+3HseIXdik$f1(>4gx$tbE9kY` z&F-r~vwhU42Z#MuogC~2H*2kfpxrwTJ3+U7*n3jzgaM-rID?H|Hz=%cZ3U;bPOk+( zjpJs7+4|6Ew_1vh(bMGY8v(p7}l+pU9!=7yovuz5?<6s&>37C3yf+TZWi zJB?E%e1)S<7&7x$E#KEswWyjfxNF$!QVL*Dsrg+yJ#9AXwf$xo;8*2Pb2uXoy&Bwn z(&!z7wE#P5=(4_wGSqs(nXUW=*bT&FbGHqIr+r9>7K)pawYusw&%41%t<^uQ)qDL8 zc)5RO%fU(q78P~V@PfRB9Mt-tq|{U(|!|G)Py zAc#~cHTBi_*@n)bc;Bn_kHW6{lK6Au&m#irhAJoepMaUXKK~q`(6gt~LLc@hHeP{Y zmva$8*DPc6#i6 zM77fx;+TcwlmC2{ZnP8 z1KJ`|K#4yW$c?g#hqE-Ifx)f0RH&}2ui}qq_y%zydw}5^h=Jq3L`4p3$ISI2 zo%W^EAm52WDr$BT%*26T)UBWG##)2}^%NTmwaNd|X{hJ6YMolM88+dfh}<;H_b4aVVoJ%9%Hyj;F+M*QbT7r zC3KDwE5@G~()Qt3h{eKem?1Uxp?4-@L(@s9M_xwIuLUp|M~-)u^P_?ua1i4-ay@_F z?kM==APOpBSJSXVjcwJ^ATp@VGM0VRKn>QR8t4XX4puNT;K65fN+mG^{rc;aLPiP#V;l?H1bTw#ZNu zK^I}SaiH9l1M}~-dl->kIB&SGpb|(iT~=`XO)IL%8kCyk9yj1d?bMIYB6XnJByAo! zj>{TWp60B;63&SPeMVLI_QGJjRzHT})TMH&u5W1&_ZJ#SYu*2;weBl;^{S&yxG7Jp z3_&wMn+`TPS$u0+{&$V|8wVk_CYlsSz(x;8INF?_!DwLSGbi06Vf5X?S1-NQv=MlY z9N1xjGgQ!IrM88w21G>ac`AK~6O%1fPm_oY9H7`M$ATIHM!r1NemrBrZvo01)GtyO z#rycw*GWX2TN8df4gAn;gW~^XGWy^Y@>ZiYy@`<$M;a7EPYW*~y7=1doi5D3+pFy( z{MR$3-P`vXz5D(B+q#FoT|aFe+}6{!+D~Ub%$zn_f5dV3{Rl>*iz?<(F?l>7Yh?^s zCsWp6+8+N|+Z`WfiL@SD)pNtNWH47fAV1afk7+W{G2|r#OPJ!rTr;fT)v#H^^y$F? z!kmY_&)HMGVn8Q}|5d8ivgSYOVhi;hGq60BD-0}nN0SED*CDUS{7L!M26YJ@oMbE? zG%k81Vax4x)Pf5YoA{%O1mxe&1s6Xv!h#&-S-8VoQwla#$EmNAh^Ijxr)zCeFOz!F zi!^9#J}vSA1%oju?FOpL*uXoO4nC_p$Iq6llwJ(N=_)I=>TR`RX&cUu*MeZZ(Sr7G z26y0Oz~|^u9)GG?XPK-HfDPK(G=X(Ruu%dtX;qU}HBzfggyft;;`&BT ze877&qI3K>J4gD|Mm5*-exD$n@bsLrJ4(bRA~g}IiAarVCFl7*X;G6FHEB`jX)&W< zCoO8yqMn5o<;Ds0q1|a5G&;k4Xxx!~gZ|x7@_*6q6Xf2p@PsWBwq$oDPNZcbEng1O z;yN!*G?Z^|26t+$M}e9x9574B=o^=tn02{wl~e&M&$nvzN8Essn0$JO&w9;1K48~N zN3Wym1~?7_?zmU&@flb^w9k(B6}6jgAIB=-hz=ystD)MbSi7#y761~g!S4ho?E|;3 zC1io<<2z4}J4uj*TN5r#xHQ0qpNPgpG$x|qY>Y{Jn6!sUdziF`i5co0c}nFlQI(P# zgTfOo4dRT9pKwWXV^Da)r9qsL@e?jdZVU=fxHO0}GX8ns5?pF{xVoH27`PR5*!-st zQe11-4%BHJ`dDH(?Y4`X!tMB%kW9P(xGgilF}66Dmj}r5#15WU@i^>scr=DOk^}BO zg%)S4@)Ql_=rgBm0Q2Ko6Q>d@|DZWn!<=m4=+cMVx*0ruNJw{vrQoCXO_ID=MZGr> ziXg>X?yF4g_V68sA{aY43uW**EYIRuDBO0WchnY-afX~d#Y5D%mKXi^P5eA9+sY<% zJQeC>QVo-85Z^ zZfL!aTRA|eK7eI7tq-x0IlaM^Wc)h*t1pw6si_GMCOqI~{D~Y)crcNJNxv}Z8z=U` z#73HQhZB=vGDMgRlO{vO$#8ixGP>Nz$T@R(ksWTa!aW7Nv;X|Q%KOOrG;^{wy`xoaFwczLM2!W6qcaQO+YJK>e4^`O_OwYs=p z6Zg2{ekER`&&n~N)%lwfa?_`ZKt)~v7U0qovXZh6a<~b?)t3R ztCRX&QV`;n3eZowaZ;}Tmfe7NTL7TgH9wXEv;8q(dYb#QZ54|DlE zAGj8s56qf~i07a;MSLm(K$rk!X(qP_Pi_%r!#Pwtk>8H9wSH|e;de_C&=O;*j}g4i>p0!Y^6RNqH1!`Uw{r4xhgq%MG|J~nP$!E05r44*Eb1IkblzANkwnpoUao|`tHqWmt*zu$o+{p|_X2fd z^Pr~1ZZ;z4X)kF+=u=n=gK4w%!(%_U)fIeAHC7Id(nIvr)UVWI5f?oxOYv%#UoKk~5eMd5koW5q0x`MRYuk%vW!+sMN7F7GKpm5p=a?8Q& z+-vg-ujLnl{A@m_aqx7c>;cyvPQ-U<$;AgW-aX76RERi!Uc&|o|w zYP)?p-3%Y&a@dl-Byun~li#hKG@55RIp5a{Z-ILEt}h4D?^J`Ot@Zr;>~?N;wz!~U zNt^K)tmBA`rr{p_Id>ayMrbJBH#AV%r(VF z&jK8OZUrF5ffLn$MlnSn0P6q`u_oGuy3&wHbHFJuk}djjBqr2L`i(lBVBOGYJnG{S*Bz(aEqvy|cZ!N0g=2D2wVI z8kucltPFL>N#mU`D0IS_#+i+Hw)X;asFbRkJC$MyJS$W{y(8>gyeGcm=K5B74g9W_ z?`;&ecPgd5@~Xzis~mE3i}{u1-2C#&e10akm|I?&%dO;AX6F`G@-A0fnUWncOiXvn z8-=yKt+hh2v|id!VpLu)e@9`vyt$z7v>Un+4KW4-Jr0-SKb(iPbla?C}cb}-ulj46#wnYPLaZj7(4{BlCR31Ne?(# z)bg^ozO%Mn-dcmEQCO#_!16QHP1*fT-z?( zEA6RDFDy;MXC+S%UP+1^_%SMau3d5BMVC}^01!O>Ux z>OmD5Q@IkK3{$qC$~SjQ;PC3s#%cl0bZzrqxwuy>t*sfo%M_N^t!@{#OVIe}sWwV` zsH$SAT8&gRD<^%$RW{nVM?m$vrE0ml7pF3ppTk!?8L0f@0Mq?96$rY%)k=A{w4wWS z;#P=M;YZf3EIkbhScLs%C~OfHxYVqj^0YNhNNL<3#ZLkLQgxhLr%jW)jT_amgY!TG z(2m*)Ymb6mGtyayv$=DoKfZ_`ZSobjb`;j&7VKo+PL8=VgUncyv_sXzRu|K5FDISU z)Qq#UukoKz)2#BSm9s;dhZ(ni2LU{3a6;($K?NWoOogNnF3d0a5E^ifzF^daCzzh> zq;L)*a&Fm6q|sa)sgU~lT%rX*^m=5Y_{o@n0KfD~{I8u@=z|GsS6`wP+cl%ME=Lt^F_HJ>hC@K7u^`X~EV zP0^L8-!U=Y!y%kp@z4rRYW3qr%QQDO{G2I;GUjS;H%|H|RNd_-R`-i8?3)13`Zm;x#Z8eD=$u;~7OR zJk`P23mY|FYF;(bqhHSJLgJk!$wd=UF^-p~UDx1w6)(rO1Cb4#Vhta`G!6_<@k z8%=m}35Wiw>e(p4mxkt2OJu^7%O($3Y8QGH-}R)C@t@)h2U-#PXF*E|BUaf2YdhPJ z16aVOYFzS_}i&To;wgB~#RxYW=uNSId^rRDqdnhV)rD5eL*X#(yzeRVt!#AKX^!H0#?QAaqX-rAblvh zFb1E7A*$T$f?*#~S?fIoHaC-B)`{352;XLKzX5~4Q$IcnHX<5n>uIqpk|8=F#|&%5 zXd#7(WgkQj?j1L?!P|zXwj#zcogFX4W1$eHH@U!Ut!S`)5U^HpB|cGBk>Ixd>=Loy zMO9z=2#pAv>K}1DHTs_@jzgHceT+#&xg(=h6;XFC!g6JoV{S=C2oDXu{CqyRg+H&T z7*kzy`bD$_N{uxDL^Ltv=2n7R_+v0Im)tyJ=0(IZK$a!M+){9BZplEfkAdfXRwRhg z$$EIw?mWT|9_5H@^z8M<=P>c+pKB9O8Pe*wam^`{?zHR=;Ef0hXnQB8H~|a(?dqe(sm@bq z1?D(wbEKlu(|!TF8tW_9iKw9Ap`6|g)^@kI*L0*SEPY98)-P~HHY=l*F=@0dt4_|< zu!cRJeT_{ij&cjrp|Cw1+G=PA2#R?gx17*Bnsu65HZxl&802PL@IFI=i{VgMO$kJb zf3<(wY}8djG%DsC`NXL72>&JZjdLk5|AX!cCkE^7lha0XP|6sZH;}N@s=?ob<<3~{ z*u+$BIeF6f&;x&FO?f5)B0#-n6hc|VwxJ3hjhYI3aDCyjjwoO)Wu%D315wD z8ZVNp>tK!3&k#fB%&cpA zbUGd`QQlNTIlXq?>VxgARULaiINGIAZmK0uLo;A)YAn|nfw33ba`&)OpoURvsM;7u zncd)i?Qs}oc0cAvB$lUegYM z$+SE>D32kDy?hcFBWlW<&fF9#2dX<(#meO|M5&8$LD4jX zD4L5~#WLk~6exAz1A6VZP(RZk>P1y5+#iGBpME-v`TU#iCC_&mm=_)RnfuL@fkMNpzDej z#4E(bn1@A=c_?2d87F6f1Wycc?1fa@Tzq|$%I6&TzQu>Hf>FGhAUG#+pAg6ja!{>T zo8s1<)3Dj#rYZp9iIpt&65y+ciN+c_M<>J4iW^*_I^mt8rw2>BbabkJw_Q3C;)%aS zVrI@PDsmjE0i|fk@JsltB-12`DlKu;*KjOz_yXJKHR@La>wDqSr4FUuZMSr(sa|5%Kc(F0f zoDXgl*WTEvR?LtzCP5!}DD?GCdSNycYS;^It(JE8iffgYFD09V$y}y{HsHMJtsCz`tn8@bMu=UC3684zTk<`NiznbaM(EN^YST3X19+0eMS+eIV>!?Vp8Xd1bRb+r>}U&Jd+*gjr}3D5&{3z|Zyn$h9(sQ7)L^Z`ucW+2 zhxAi3e|RVkJNRSn#Zu65qDNLBd!7pn-*i^&Lfn!@Yzknn=O+7@xm*G1-r4TV!cMis zF_B2Fb0mSqGe{A7=<;rG?=6*7Ib^|Cvjrx!W47?^$RL$%JFXnaa*Q!xn%GH7)3zdg z$5Du~L(wx}p{Qw}aV)eS^sXxOoCl~aSd2zh3HO+!A|_6B$QqMIOVf5(KW;TXV;2Id z%!aHAqEy)a#VqX$wmP(Dlo=92t7H2mdUU|y8A!)0J{CBm6I72Ihdq?#2vz{h<@K<0 zL{Y5OJMAv)U*iyLSZj^7DTj%1?oc$$_^hjTE&x3o&$dGuNRBdjEa_-Tau^w3H}NIp zFg5k%Fp{H&EJ73@u&d#I|43B}-LhR}M3lFb?_^{rLc48?YL&X^FvL(q`JYwW^GJ!T zB5GRfQBY&Q&C{@@d@Wl|xbIP^2TyA7+=M)jTa094!XA6!SjA4e#peCl>dc3|{H$H% zF(j6gb}0a2Y;4sFvv$TI{&sr+z821k9EOubYSfG-`v}iKT#^!c# zk8O0T-#wnbg9(P=Y3RvQZUBzGR@<#l^nxN9((pu_rZJt4wTCh0G%9-pPiVjx87dYk zm512okja0;o+?ULEf!WwSyNIuo0Rv~uqt;gYeH3hTHGs}4}$Y07Uf*yQpl{CvO)~C z)y=!x@no{LWtdt)dl3q3(`9&#j$ne&r-1Dv&UT13#Mlev4Hb`ZftjZYTSs=G1an$4 z4Mm2d;f+X`q4rgWO#MB*+NohW(~Xv8kz_ak0V-9(IKcf5b`S#yJn`N?{zgx+LZU`t zfibyLC}h4eOxd+jL;}OB@_13ca zQd^wBX~^|3YD<_RLHXj9*Tm37D_g!(8B{UIh8@R49gM9W8%R`e(hApet!wP2u46ok zy{rJGSqp}iVGoM1xS0#969}O(qW;jtYNF$2LHunlhQ0bEJng9^1((J9S`2UMK!+^o z$pvgr(`AUgsIk6sTwn(ShE;7Yk&C<_Qr=~l9f(@+05hGjK-;j0prv-)6#El3ns^&!Q?r*`jY)eH5A79$uw#va`cCFE=|EZ8@Kxb9=}+7orp4cobvY(4flCEAZr&b2UJVo#8NgA*XUVB~NXT zE0CyJ;wwE#!H`Ywrf&2A2>od{06ZRYfOKPpUwo%LWir5jJR(SNGd)EE0~gN(gwQaw zwD6EW88^?X&S>#_G{!liCPky{i!`)$L2@_#?+o2`JX^^;j!K>hCxx-fh&mP z0JHY{R*%PO+`fN%*G$Qs`ce8bDB~o zm~l3ld#>odI%>A};rovzpmk)uRxJC#rMGrR5wo_Oh+(1;GB-1C+AiOm5ke+oTprMF z!eb@vPOWA9Nfgev;InIY4!8**RA6c&>BCg)SK%WkBg}Gp4N9*}ywftHEdBWf{Mdd8 zMt|%ZyI?Ia8kQwA%MHx1{y={q*;pK)*2?G@k{vBK2zE|gYS2@8-L4ybiB1KRDTr<5}_sb%Od6BUQF6a^^_&XHf z+C;cg`1I^|YI>MQY;YhrD1w4|6H5v8nEZnTSH_=Lh^ehWa?aAMvNp{>A;p59f_hUs zgcS-z)R|8=Tm{8B!)4-{^HZEAC{d(zIV5F+!WZRnUQ#S-T`<;9vEZ?Q9RrW&B*lJR zAviC{6pS4&#q*M4p?3upeu@Qv2#$fr3zA}ghv%nQuzNfdvxlax5CpFXf<>K+ppbLH z%rR*9uW+^oooHQ$H$6h~wR|LI60}FCQP|z!cK*U}ny&U6WKK1T{ z$S7uQSr=cT9SM?zju12pQ5p-)v7XLPlAmV5{qfMuMaM0y1Fn|V5W+(?&x__HC=vw` z0D{dzfm|b-Ir3Bh zh12B}xtd;4yMo@dm?j#hfW1a~slQtfN`hQbwSojcxdK+y$~BTp_Kwpn`F`ZLsh93s z_oHSN-O*LgE+{7OIv?o)=PIW_t#Z;xl*6`Cc1xsn^yU1N9{`eKA4?LkcCy;TPqc9B zBlx1W2AUGtwJ>%g#fR~IxQBBMCt_$C32V@prBixQj{f?g)Yp4hHhHQ)QV+rJh#e97 zosBv-vQrhZcnq6Qv{#wnkTRC7T<6cZx6C!*@b+IpirqS=F=I!+RfV_IXpGgBc)rVH!aesm=m3X35tBAFPxV)$gCY5JgT0 zvy?0g%$Q40rB}h3)~@i2!lDrBO(?y~%l;rnjJfct>R01cFI0ZIk`ub{f-V1PD*c04 zv!4@HD@re7gU@+wHrcf#s#z3YH2m~{X^<$N zH-5|w-xgoGHSErbL>u=P-*Do*#T*3x9u;5C1}ut#`fFc^htUm#igVuhF&Cd|pTcik zhop8{Xgg7Q(G@;7P2ZGW*8Q?5vIzQ1|6J6)omNtHFVxz|(wjzbUI`xr|K3QxoundF zOQM!V>HVGFb5Zwp%1hO~P->#|f+wQg8-PJ|dEWTq=zc{k+LL#2+4qwj&CuTJ4C6Ae0o6kYz z-z}QTXMsh3F2q0th0?!Z*=g29er*bzoswbLo?JR`75tTXwe=MB#{HOoD=#{CQD`CX z&y9aem%s96y!=A%k1YSQt@l;=H`dGX@(aU$Wce>B|4EUz;y-3{Un%}dOz{gb7eUeM ziP&em@^#^@;#0kzX!)X;qVT4=h5K(%O`bP?%r&nhzq0x;F8QLQBPHJeoVT2V;NO$- zm$2-ihaLwH>9QBOBzk>O=|b~A2Q9xUmkuq6%J&Puh&91Mm3iLyF;_lY{y5hmeJ%34 z!tIfp*S4hTIbXZPdSBnHmhpp!LYfk6S4Dp3TlpcAC{N7nGQwFM4xS^CWq0(hw9 z%mODp#~oXAyezCB!2`iB5ftnYu~)zusYtGsF?Afz{W(#LIAf$=VA*dZh;oad;G7sV zJwJ?zO%VAz5Wx)*6n*M*$(Y#Okr+_<1wt@JSQyVGW8}2biYV+z#)!uGTrx(^IIW1n zj%17<;Q7%pax!W~6jlUqmQ9)g2@X9k(jqMw zd-ErYI+87dLn8RRutnN03!;1?=+`ZNHS@f%MOrTlLPSK+--v`QCs_ErutnM~3&Khn z$rb_o^spu7r(kb0aP!otu1K0L3qk}&@-_+s#EPTGHAaGFIa*HemF!v;jnuG9InoNBww(lD)kX9= zAMb>=JG+uhDz=;bla{+|k6Av{sil;~d|`=RIo;71s;rJaA5~;*1$X&?<(iJHBnj?_ zrfPzQBCM3P_A#7VMt&zMLO!?HBPDEO^tZ3Z2zqg>e~7pz-h z0tfKw=}O+yi7NA(|q!c*QI zi+DUP&0wwWU}RsB4BMls9JC9O5BD}!%SMqP?QLDBASnoPF!(+6&*}EEn7TDsGqT6-qZV^e&H5lo&ZuVbS=6Ov%G4#zvNrBt=%b zTUX2~L~=APs_cG!MU`laf?0Bl|JcYf`75$SYc$bnrP6y*iFqSWimr4Y&sO;2_IedR zcoMD9DMS|{9;*)hu@Zveyb&EmLApQD6s(q+|8-Gd#6(e$*4df@dnm^B@W4ofqQI}9 zU))|b7DZBI9h+T0>p8WCe*WSV@cA=Vpa4QNwZ53RfVQ?w30O(P!=s)94Bc;zFbohJR==r z8DGp9t?a09Au6Ej9bee9FI4rU`zqD3yVEkpSvf*c+gpJW)kEXjP5~xV^)+g!IibI; zw(<~WC*j6OnAGa&u8nm^j$O>>zW0t*c``VD$E;(I4WF-ytrOd z!LG1TEi65FBLQMf4qvmomM)_#?JYr4ql?-hA>v*kzFz+f7HOH6N<7`|kq~ix(D>-G z3&<3WX=fY>5lhbaI-Yv8i%%3?=}ynkC9Q-p(Ir&)yy){x(9k7~gzR)t|DSsS<2NF~m5C z5_Y;(_JSyyQ0}B)c6!$^cT2M)It5k_7X_N9?``L_PARkDMHyTr3?3Diz(AO&Yf$X;kF0=DwY^Nh{jAYb|(do zO=5&5wmp4p^dB2hLKAzIIFbyWFKIiiv5_UrY|pZ8MV2@SYFuR5gH@GWiGi#U zG0QFMzZ@G`!cF2i=-+Z{>|`0XC~gVgrHNKHcHz@@`O(;zC0ry6=`POJp}jkEY-9;D z+u8s^I~Zo!sqXSduX!~=K3oD z4I+EO;+-_OEW_O!hO2ULD_Z+in=(0xD80ivZb2o}*ujX5T|geJFksE{f{*LzTvLX%s|G)dR$r|nRIWL|%d%sGVI ztTEOpW7aZF66THvACG|fH+s^VayMCi1aI46=cLi9^&0I~Pzk$@Zm-s=hxmZUyRwbs zi<=&~w|1l53kr{Gjb?4XX_7K~%r{K$25Y-TYy!b6o@{9eh5a(Yu1a%`M7f#eizGT{ zPG1kQIF%Aq>&GXJUN6}0G>(q2Gq&7{b{A$VC^2zk(A8kVA&IA`0#%du8)2u`sUM#O z8|?#8n``Z(Mm;Eo&1P3Km-Vz(M9m+e=EIJOrNZ60yB^$Ww(E~f97PBFi$%KKMyJ=W zHG_EU+Rpa$W~+G?tQ8IH2a(cdkofcgX1}w2+kSS5-j6TETBmas6pw4z)*N9YZCkba zqwv7ReF$-P`^{z`%8hN%h{dCd*w_a@z>O%Da|oZkS6QwU))my0+NG6W32x={E3fD% z-MHuU3mdd-=O!$oi6NI?3~u3%!Jy;-5$07v%wjWmZZ>bCNXOh#aBFT!MC1q}A!3xW zCZ4oAkMM(sZ?0JH!y9*jyN%mypxiy)YX`-4CkzbTq%5%{M943Zg6aT2cnF(V)-n*5 z<>7k4=;CUXk!u-o%(PIFs|$ytmKTo?Ma_)jtMHPDXKndX>(7#q5hsW=K5LZ(jgXQ! zbl=jfNWw;F4Xo@^N5M+7U=*wz+>C;i1CCL!au6^IRvPA`U}YCR3f8)whsuKCul4B< zg{4Sx?Q*7yV{_A%?K#0SRkbDMT)>$s&|=P1gBCcG$XVb_GRNi=Ut&41x(T`-+qXFo zRH>lkJ`a8FFOcA&oo072Y0-#nCmaOIq9ynE4TDYYyBh?n=sg#3a>LY6%*o9-LxGc< ziiQFwH%AQuRy>aH>=+6f-wHbjl+{s9%(jk#wJnKh2f>nB_-Ji|&H#E2thNnhz-n7i z22k7PGl1F_p8?dW>tL6Ee^VrM-J0#u46 z?u5VNju;>d<3=nTgtqRPbQG+u`z)}wwPt~}%{2?GZLe8iZG+7MYg_C{*u?tmp*%~h z$sYxqnZLlBnZJO|%wND}<}Y9~^B1t0_)7_mpO7(-Wdw~6^Wuku(TKQPYfYo6AcTh% zD~CRme<4KN}1epk5yqVUyL?-m(=*L$5yg^DQh^ZJ>qo~z$RJ_6Ur&ESV@C4$Lq%k z+9m4emr_jz;*3nhWSI$GoN+&uVw`R=LeNY>=%>2~tD-_gjdDSi!l0$7nWl54Ipkw4 z@{&1xEX5@)!(y7(3(Kb!vJ9j5^(O%{qO(FhT zRpaetSgw&hi4!P`%cHi2V=}7gyHnK)RX&*GgDLZ}Gu4B;wr1c_+W#7n@M}>B0n?5B zZWMBHF7$m7Cw@DE`=N-@Uy9;pBEgD2PW{Cwh>s?>2;G!R>*8F#KO5n5amNup7w1CJ z$Ej(yclEfhE@Zz=O?@3A-J1>t5iu^s&wAh-^$x=)Bbr^@k4E@h+`mO)_ohP*%;no~ zkrDX+jc9RjKkUiZeT|Y%?MHlZalaAab8)YG;(YkN+LP}OL^Qh;KkraSp`Y~d;Kw}q z{_hB1lyvIHJ#bEn9o$bxaE=xia&T82SBdgMQT4Qj;(*B!_X+IT9rCtMzZYQ{p7#6Q=P2~=Jv4*7l)m~2k4*l$C#{d>U-#hi@x_<# z&w0|`kN6iAW9n~tXvxZ#|Kcgz>kf4k`lmixqOUIS&pmK=-RCIuFFkOp(N`DvK~LEp zczEFYZkP5m9=InSd>|!N=YPeMw(Cjz0}-6V;;Ucx)zRmR`|Iv=6!O9OWY_hVQPL@p zmnxeN-!FRPz*#{K->-Oh@GBYf@a5}^^X2PEH9v z=gY&_nf(p`U8!{FmppMk`cRdrv_I{kWha>d-v471=O}fd=;PGW6u0Rq@2`5|KIe(^ zm4gn8cMxMF|Ch2Ut4&?GhY42o<7;v&VB98R}LSXFW-OU z$#=~|pAXKLhcC{@gI!M^Kjfjs$J3f8?GJd;Zg}9n;DPhy;p6Fddhq?-jQEZ{X}`~t zcE z$B`$G6A#??c;I||_R;da9(<27;_G_Sem-MbA1!~=gKyu1@9%ryc0F)D9(>M|_Ag{i z>!aBhmsMWA;GtzLBQ2lzqdzj@X`0LJaB(1BP{?+wFMt7FQPJ&-R;kK z`pbXlp{44f<(3EE_j7V4@%%|oTAy6`{P*uq;Nt6#dT92Q_l}1?PtvJh@Z|ARp17>K z>6bnEe$<1{$AjPW)TPg^^QHC4!RI~rJk>juHO@B%%bND8;j+@==AK+7_-Oey_c;nJ zcm#$riNn~r@6tfTFgN!+G_HGimr9B!|Jfz!E&3E z_G7yYhZxG$&*jVvpIRNz?)HMiTB~hW^1KX~?RF14ZS)O*LHkf6LE&w7-QZJ!A&M^i zY7hH>z`#1fc$mv>t=SLN+Z5TP5q9GHeyDVEvt|X(t9Wr4Mvy_j+h`rBRS?IWHmK~P z_OLKQqoyp`TdY2r8G+l9#`O6Gjca;ndNrRtfC4-3J-i4p42}ef^sJ`f6GJJ`i;hZ( zMMrks$Ttm5yVVK1p;@UVGNdGyTaUv|Pt>iZ#Y_(ekyx;vc{11MP&I~LViHo&!trxM zzG8Lhkgr%#I`}JBkq-TOfACkX7WIE6yR}@l`X~F?Q3pY9SZ+80{8V7t8ynVozu9Y? zHp92=2D~mJ@sK;bmhwU4pl2qV**x#mx?z(m+6tYpMmW6^j&DJO&8pk$^y|HT$F79? z2q3Dp#}s+*cTUPxOe?Z!xUaADcI^aY1(H6!xCA@RC9<7cam8Uaxg;4m_kCEld6_K% zWA&LVEcW!pDm1}y@fyqXYeZ2WhyzDb`6X*q@QyW_cpW4^$?WVhR%SOZ>+)*ERc2RN zT^%g1GCNgg32uriOq6yYH<_O;9%VJREXya^nUu{$^HlT^C>s_=ATk$!1xus;H@Nz|Usy7)r8&4usk)TJ`I;in3f{$uQ|F z&Kt$TCYN8%;WFc;<$2s@JhvFU6c~Gri>GkKa9HmJ(5-gE@COjPIEMtw%e+2$$-z@e znZ;3cvBQ|cKzO2GT5#Yj&syOQ)?d2a$V8qaHFLaLd2T)dy|W$Exoy}G&q@Hy?I54S z_S^t}BHF3KxRSbwo(3DB>+MzxDxp~E%S&~1$>;U6tkluk^ zYKA9atJe*JTLtv?xRM(7+se$syy56K0=cujPuJKbr-a?7)-i_NBnaBUw!^pW< z3|<&%RwTMlj5PLFJW{M8)gK6HI$}49Vx(Cw;w*kfn)Qx4DgR1Kk;0{)7x9DQPCscJ zgh91&)T%Y(Ov=qHEu4!_~gMOp4dgxnp*az`B@O*>~$KMa|6?qrIQ=WgfDGs5JioyTz+mb1Ikk7T(k zl4QA)t++6+as=B#r=oWHCe*;v%&b*|*_EXc<~YT}IBN@`#mR6s9(isJ8c%LCXuJxC zan?af&N`%$vx(SL3stbGQmI%|tVC``ViK{YYjE|bFqo1hXh;=J!Fn62ye?8>A#C2% zS3Ea+Nn)Hbdq1J8aD^dNWhz#b?f(M+0RR7Z0aTK^N&`U@hR^1%iMe4kiiMRZSQre! zG~y&Xi7~sIWV7)S6IQSg1SQVhe) zeE&b^mIMXj0MO3lw{e3oE>kVoCau>@i`{?+mmd}sfW=N@#*3#hTnuWqbe)(RwnYjW zwQGeHT683B1i}zU$@$*aACn}7q)b|6+7zr3fhD}p-90_y25DT(Rxm2Dh)p%BQ^RqM z;rjp&B$>I*1@Sa26jU!zRi&!*jdN5t-rG&)5Ixnzx%h(^mq zljRF?r1$$pkmTdR+G5dlOA=lTp lN=@k&;@rGe+GOJ=N2Q-hLI_PR-g*&4+%Xk7{R031|NqrK<_-V= literal 16149 zcmV+wKkC2{000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Izo0RR91003=000000 z0071U00000000#~00000004La?0svDWJy+DwQX#+!DeP>cVP{f3%fJZ%T85SX4b36 zTD;j=S=~8PugR?Ho@TWwva)VfCzF*~do#0pYP15&NJfSqmL+4!PgycXmMq>vwq@Br zkp+fdAOu1N8yUnep60!bzb_s)&b>D>>sD1)_H=Jvb#=y#d*Z~26DLlbIPrS_{rBJh zOZ8zkSpV2EAUV z|60)L9kpA*;jr5x2m8VGX7?cI4IYM3(C-}%9yg;fV3Yx8us!Gp<&Ev_;G`K1x&YKT zuE$7TQz@F=)1WsToD2uSVY?IdgTttIj4y~i43I%^*o(rW9+`OkM!VbU3=bGS2p@qH z!AaCRikioY$34)}J|3D%R>(f(^0?mY_j|2&a}XW`2ffztIP4CzI3QiSi(HQND~l4o zdlH5He&;mk5BI+_Yz>0zgPx)Wj6Ciu!dt!WL0fafP-@t`t!WC@L0}ggzTOz__ghi> zL4m(+jP{yrk0Zm4qNzZA^$_4(%jg`Pc?7W!~NvGEEFyPS&% zx@IA>32Zi-z3%L4c-S0v2Em<9Zy!BUV@%HM39o$x{*C1Ar&<(8@mE@k*Lz1g zHu?Xx55wsF!Vt#cu)lZQJPBrJqp*3f*EbqvPg!uEfxSLE`#5S3!pxV$!$6zM!EDQf zu3LnM?ZGI7NOlp7&HnKd#s~{PTL8r**7!#dQp1Kmth85x#%UL(55jr~@nAE_O;kIL zA&yx%KKX;xUwX{)+j^`*$zN*^VALwCYqgz`SS3b|dTcKIwIiWl(^%tfX#+NNbA%mp zlUdVXvp@k2?{04pz_5mO*F}SEcY|i|)<)xw8XC+|xbcuVUx#1a^9@gwsSaq1NCBn( zyFhM~Up&n1L-;NC`{6N+Zq2E3V?%uv|9OUR5E-%u7`}lRI0j5r=D4=ZTrbjbUpfu) zof@U0W~aei9QZBW`q^%*RX9>tv9VC2{H9JrUANtgnw?JAftwS15guuC;;bcb(_hZ~H}AoRE<4t*^gbw~mu18LfDZnfYn6EJkh!7HXDs&Bn>N9{);yo9GG zA-##p_d~4xld#o3gv$^JL21zJ^t$0(up=_mM9}xwZyzW>g>D?#bY=M3xQ~uqoMYZ@cW1XSU&s*)#o41N zUPJV^zkZW5=>40zQ@`0d=^WhDQ@Gk^XFklGw7Y-9RM`rtyP$>k;`WV}YEsj7#diC;G)P8GwOLY>@+cz zdT@X+=Vb44CRMK<&`Fa2D+(=Jeo+@&X#9jt=BYPm znlebQoS4c77nfleOH+gDGBH*UW`i&4&hd-21{)2=?ewlyyRDvDy|e>=$?HL|(e6U~ zcY@pSOWW2Tch0xIX;ETRr4>@^ za* zypPM3i!jPq3%lKHy~8EpDKGc~eAcUo@c~=4BfU(cA7JM_JQA#8lfIa~gPP?7TmT)_M50Yv3-!8p&I5E?1 zJ;NCS+(G{+j3RE?l;*vPhmR@Kf5A;&{t#TbX6&zT+7LW2dJxj6NCSSv;MA`ycd%y~;xzRb|f= z5H+slMgPBspQj|Y(`-Kw+GAP`(`pdI#t*DGXnjQsf}43OIEXLm;fz;U0nN^+-7%Gd3v#-^)3#{2ci17gYmTPV%K4IpBl;dJ^ZOJ)0dfZ9DF|^+Jm@FgIC#>3fn~tcG zb!FV#n#Xi6=dYesebt|>9=Ah9F+(48-|O>PBx6`1)Z4-Im;|TZ4kN0M_;M@T%;hg<)w3RWJ86REr>CBD5;jLQDG}nzSsbls zv&?Zy;nO(#7lLx9gg?L=>f5*d>lHXExj#dtXSh_yGPul0z>WUTZ_zJPpX9pRU(=ayU)XZA4{){UQw9L?`1Pk)L^ zu6QET4S_*JT?2G5g6k}kUu8deLgRd{7f4}J3rfY=ecV@j4}JvPQlUZS z@lzKd2tGdA)^B__y#=$3YB4 z6>K)`mB+NI^qJ%uj7K8v^iF0w;UnC+Sk>3GjRt4(wdQfVbE=c`eWUy~sCV!Bt_}T8 zWmnzaC@#$J6z1nEi#nFH8IQpRj)dqK?$MvK)`l}eL-G2Kki&kn1%j%nISR3>q4VwLT zbQGNqnX58$R{je}>n@fNQo@?J$Cdj!52CZ12ShZp&PWW|Wc+qP?LephB!0@P_x3ic zJL|Q^&feDc&R*le=FZ){`wtqm`w#By)vFujZ4JzBbE~VvTp_k~c<4UN(qn{&KsLvw9KclOGa`c|W{cehrpm+KY$G>BqmhSgN=JZ_#E z`TGjK4+lMdOJ*UnQ+N2TZ@6r>%au2oO?7Q;tG=TX6BSpN={MC%!h`nkSiehjGOSSV z?riN5Wpy>qqOpfIW}6r*L){qAelHBlxGP)Z%tt(%dzm@ZtBtMQdZh}UmFuA15q2)# z6JKR(W4pEvem81&Hp@G^_3B=2RpaA&yM=}MmBq#7!cwWUw7fW1SSqaGZ@IKQKfkoF z;_|iCDH$Teym)Y}wpm`^+g>kMsvFf!B}lc6+P9Z?YFnEcQ@T#cE!L^>)8hI^qqiht z874UH3ZV4J>ZBS&d+i=HBkY`M4|HA}dlR>dk*H*t>1$iJ%bRcR-K$h;TRJ_ftTb1; zr{5&J3{wS}{^GJ|iaIB9QVA3rV$8q2ooeOoX6>!rs)kYm6^j?kdb`yJ9*5nQl~A;j3+q#3xkrC=@cDI&Wk5Z8m_N`fi2d ziWocuva+wro=X!rS=92fx3RmvQ`=sLu2EQLsKSbK)KPf&Qm`+QDj9wSK-*jQDS~f9 zPwsASuRqwkx3#`gzEj;(P4~|3dUI@KRPfgf%3Rkn8(*5C#VWnK`B$uon@ zn3FU_)y!5O^KP%Cz0}l<^YgFs-;&17Dvw(^JEYQlA=tRBKW4!Rp(hB_5QT)=SkRvp z!j+;A;Vlip0UXS_@EG%xQ5xsGspJfi#amvS2Ao3`^8PLC<{Xe;a=I^*haoka$MTAg zZUauMQ`JctpA}3gDKhMMS~OjpSB_o;UUQ8y?$zrLy78!p2KrV*h#ak0L?nh{Y&+Xl zHq>3$h`=*mJlNm7*X)L~t(E=#!uh_i?{Arz_vyCL*mYU?S-kw&?cKiV>=` zlsqZC9$|S>sB_57lgdh2&UPAGG*LC*lYexM0oU_9I;W&+rRVV<>7Hs~UJDpQ=^*g8Z^gCwm2RN0IOCq|#vA!E!!?5=< zO^njD(R{akJUpgm?>)9%gMf)$Tgn@+QLw|YT?_WJoBo9D5o+BqNcF-7w}TN~rw=i? zre{?4PlLFyI#a5wmvv9%`Y@Itz!Sp;Mmdl(@TknqzpPVd0Sylb7z7URoAJ(@U6r!a zYf+G0G>d_J$ctvTv0lQVBqdn*5^RP8Zr|4*s4^4^-e0Gq)Zi?MwY=Z&b%yY6IE+-Y z>+SuhX?tNRZgVI6BaMh%#%XA(pQb;FqaJQUWP`h_>lkc-cnwS|#Cr{G5~r&P=4UU7 z(Is2b6)=V&1`(^)mMvjO}s`|w-Q;RBDVTHn*{1(CTKPh(bnVabelnT<&s&-HTk ziUwuZRxo`ctJgq!a%rYAVagSghby%Uy@v04zRb9eaa;rKCrD#gH8U(Q3f6abQ01`w zO)a?OA9utoXprD=h-mY$qQP|eyfT3M2A)+_=HQ)Kkc?2M!jxt*biEruo>O#@Qe_b zOi{C_srjT!*UXpDRDF{aqLvq3sL_6CbOp#Zr$K+8zY^l_3$*xg^=&t&!)r@<*SAP#2TI9U=!4lUF0EUy7}yUZrOhDqi8eM8;A(JlEohvG1+S>; z(uZZlA5_PWgQ(Hd#Bm=1@Y)cAF;VW=T31EX-H0&R*}0hORuRI(Zc5D!;J;6)80~+t zLM564g~nPoBAOTqrIp|Y{xcYuOJM;q3nF3#AS)80v>e; zVS4vqCp=cCxeudIK}s9nDRY(H*u-a~XNJ}b>=1Amx7}&B)LNdPdWbb*gTSmWg96l9 zt8UafQI)}AUuYC?;SwyybEjo*0542X9t=>{0hSQ=g2p@T6P>3}3d|Aaw2r5q(oz|) zqp@=Y`Xlu-H2UnlVEx|C&bp3tg{AeXraJ>yWY(=+$ZeD@t4_{Rv4%Y}8X6mjkFY2M z^T4n?9NI=`2Qi9ywv%D0%y>em9nCs?!b4SS3kA#D3;;fa*yO|qhx#fe*88i&lTN#( zY+`)F!<=JZAax$$zr-&yAHyH){Gfl#DbZH%_@vz#l`?_mO(d*#)e`uVCf0d&Fozv` zzSLHNkv^;7K(A5E1 zYFlc|rZ){(eXz5=s$O||4%Xa+3GP2`#&F!4f5?hcknG%-94RU6}QvLD=S zVkQ5#hfyysLKt9yzdCh9XQ1hnH7LWRYa0%M2#o|oafSj}Av*O-_|a97mCAA7XzRqK zmh2suXxukywiH6Og`-;8>T6OHTuZ#%1OS?)S_zHeI2NXKfuiA?ql#h-g z%m%a}A#8EY{dT84I89WqDdGrSIwD$4p1_L~G&$F z=WN9`>SuQM1QtA|8wNem>BZVJQE;kWqX%ve`?IP=SK3i)*dACnO9DReLQ7uhZE=j9 z;f-u9GCb=`qJ+^Nr^A7iR!MFkk;paiQUN}*96Z>5c#7Rb=(^&a017b%Lc+hIhdh)o zlZ=znK!PWMIPpTNZ7#k(O67A7eBbKBSH~D$O^lqAxK{ZZy37^+`Q7hhp2jxK&^{wOY@{?(}pV)w+!n%h)7hy`Eiz)5=}%NfXv_$Aw7bD(=A2 zX($ETSgc|f>=E}{jWrsj?V~PtC^$Iw=e@3eXGf7(AxM2?v^uIRn(YryU{9myeJ&fE z9iG5Xhc*=4sI0%a+o&5?LqZpP(xK2da_PMV=o-U8aAUQ4Z?Cdm@A^_wxtYvmO6Yao zM;>aUQ(@Su`qFc1-lVT>*08!{YqM(Z48s>Z2|8(zqER2VkA}Q23_7OY!WPv~L8=o7 zx0|{*Z=lWE)uGG-U1S)cm*=eguuIVvu0EPf<-WbD>>z4CqBU_8^@iO8jhY_(+f9r+ z;2%`pze7$fFn_q34kP?$t}@f$38GoiV=Iutc7cJo&Wgr>Ta^o`q5a~ftC_i6#OvOv zLCxA^wMsXYNG{bSft6E85p1p6z2MHW7f4%Dy*eomZzc^v`m#j8KQs2&S%(Wy38Z#pogH$Nynh^uzff{S&TtiHiJiBdcce6I-fIrC{iiYYJA^d9d4bWo(!I}mm$)P54R#nm=kX-rm zB|v_8jLAQVa#kP;5ZKjle|V&-h5q%vG6!qh$_sX;IY0}1n`)K1=rH6^lJf|v?Rlg` zRuMHVHq)q4{MJd>RbI304yIvHsRxgn@WF+Tun=2GGGOr}ggx=XQKy|LO{`pDt1}<= ziu3cv|Wv4trEg`JW($py3stu{xzut6!aLf>2j#Piz5`^QL*GF z5S+n7<(RSQN%?sa(`Bh`f;exeC*?llNe*f=tI0pX>%x`w7%)6Q7nf53Iny5 zEmo)3J2BYUsu$<&YJ%k3%@O#zIJ$HkP7+mIG_J|S%ME-fA8>ZR-=E#VRNoLMpK3!M z7ICc$#}VzSdU@jvW>eM`xPlE1VQ^t2{8;0{H#}0lW%wn0+qPc1SQV)xW7BMI?F4t& zMz@FkhqJdaQ#3veeX`1-!%_5VulwpCsGuQ@PsI5))9Kie4ZH_NWuL(l8ZbtND&>0p z0e0%-^2D*HiqkbJ<<)B5ll^XSs{kn z#@55 zqaxLhWKhU_Wth6pSSVutAEvlG?wd4>Zqu*gn;u`&e~-l@XxH&df(lm>*sa0}&6r+b z0RY6IloEzr@1XCc{slWR8DpH3vCX5mB6zJHSwfC)jpV5#dN8XH?)452)zm<2$f+1} z%kd*?g(XBO@xnF)9@Fzrb%uo-=p|@!;a&*B*s=x3?%9}EQl)(H#GJ$8FmXO&1yxo z413mz#m!t;oj?eU5%-5CmL%%NKgvib;k(1$cpRP#)Y_2C;(a}VH*+RQ9`qC&EKbD# zcoHvatgjpw*n`8-As2DRUJ%(-WSAX@TDbxK`Z{X1*%ET0fLNZ2SegXhx z-;!q|p6MyY4Y2`{!Y|)Bd18klRz4x-dYoDwF-}b~E&G;o-8JU=92M+gi}RjogB+9A zWV{)fWa5n|k!--nRx|aA`Q#7A2@GOC6k`p5Ne~OK_~cI;ClEP^pr9_5gWcPaLYqx% zb0}m#rKmFi^jq4F5q`J0wXvFAfLUXhoRb-|=C!zpM0nWrP1;z*+?rQW z6gH62nWUGzYV~4@?%c92MA_COo#~p_#9Cb;d5&8y#L-EDZx>$kTDV2y4i8b9n!V(j zSA&q&gh3eDF1z(4*Ssz)lkSX5JAS&*3qa;w^U9`Im@md>8Z4CD znF5@1(ur{XjxjxG?i3dkI1V6y(sJNx_@oZhw1FCu32 zsS1W;dUS%$t{(s#4;etRv5qoHHAOPOA081TxS0iH?~gl(0zzmQnn8HTo}8yM(D7~1 zsW}wGOm;dYL)5Z3OoGbu4eY%&U`*{f^5IoPmmlffh#0Yi5&SeX(#-<<8v`EOa`W!Z zduF~wv0(XSb4MRtOGlmFKK$|- z_yyBlv*;t&H!m#VD<0--8Iiz51EDmxXzGh^&OjiKF=h^E)8MgkcDva%z8;F^+wiCL zq62QU2o;!``g@Rx{c3#VM1k4Tph4*|Np@Lg1f)N|gdf{0!5~k3V+X9|MZ>a$W>JS3 zav$gqBpXXG)QTh>L$c#V9l`F2i_NYUWdCTV8_k`Ypk)74ry;-Ga&*?>lF@-|D5ioK zG`l|-G^}ROz{=WZ^gRCXun&zl-4LeRW3;wmZJ0pu3Ka4<*4v^dCaB+k_(n*m9>y#!DSH?)SKEN!-aHrF1!Mgb3myyD3GlciDfa6M!FfTZVC-Zm zUXTXQQ!LmmV6Tv3quyl0yCnz~buNNJ&IM@`(r$)g z+3;=&p%7$>h9@W%u_7qS@uZcTA=tJ%O0KAUQOzPK0Q?2IdU|cEOSLpXjtKhsD*&#Z zUfbqKugF+1RRjfp4P~ihS5NPf(Gkp82%;cT1O>e!RuuE<>0K7|`nwH5nJAFpZ#GtT z!K1?G?V3${TM_gM{UC@GK|z^6_Uie2OVBGcupmbS1-(KDMC=vP%K;cB;@0lRMloyd zmXHZQNkT^m0Fi7WG$(pGKS_d(0zhzoGBk6wY8MMg8`X7$@Q}@RwQ))-3pz!66Koa= z?-m7uu92|7HvC>E?2!7HR#F&Px^)NgAt6_W+yM78^w?C19tlFT807YFMJ zdPVIDdb486I`Eh63hAZ(?%uBoaz)jiNv?nulwTpaWbY(>W-lPWExqR3`o=V?=qhb^ zHbOCh*E2@%ESJXwYI%%CqP&Uqnp<0|qc7(~dl5(~L#$=PqPxZbKkT^aW4f~j19@Qb88C&V@oX8D&zs}>~{ToC}zcC#nTQ2K<+ds)b~D83N> ztl5-Dqve5_u*$c^mxCL-@OE_D7sWThJVna`^OTA&eS%A(p8d5i#KWkBJVna`GvVT! zrY|dh#joVVh~T+t`lj@pMYQWPOaB4t_AX%Rn!2~HYLfSoORox4vCQ2`OBHFzH$fMEnz&stTXWi(k?nNz&(u>&Vt@PF@uS)Ol z@%*KKF510y@vGANwVvRK0C={IU8D8vgn=r(5O)#um;Tvq_sZ72ogC@NQziYy7kcs8 zu6$d3Y3(ihtv!G3Kie&zMnbnzZr}$GMStnc!V8IbwmW=P__c~DJO_57KND;aL80`X za>dg;h?hTJz}8cRuP16=RICUJu@|w=cB|Kgw>7WCUi9mtn4<8ezQy>T>8xYm4zCMb!xOnAbT zPkv2uI__i0?+Gqpzq*;dFM}z(jjcuv)q#fsTR5|`6GAUkf(SkF!vb#fH z=~)=N93MeE+(WR9ODXl4cPh$rPzbjs@FWpBW^anJGpE&dG^f+C$k`J(=*>@X#&Xo% zbNd27xaeJ++o&-TG|$-|f~{oNifE#SUCNDC{+#V1_^NJW)%kc2-f%|?kx3O?yL;Sq zcg`@&2RgO%t|z?ZDRq+VW(-wUL!XZ-GPaI`(7|#|M_!TycSK7yK|_+&juRruuV|7a zS*vG4Bni7J%Ps)*X!OEYe|nUW0>nA@bqQ z=4#Do5^Av{d!dJeav_P^A1fgkwg)XbXcr<2nEU1q0(h<>d(#h)@j_+|&zWJoi)a$9 zS#iXu6~_k`vO-}w!us(F1@8+e&Mn@%={Fc0#&msHlR{A4~9xpV3Hlv6V z`b2o?4N+_iZn}^p?YHCmg04dUDw2#oQQ>TFu4szS=9(0nj5I5n40D8bAr*DEP3lR= z_9jr`VTN{bNy6$;lo&BmVbSzN?V-W*o(?=tNPVG9Z|Q)>lVF5Kk>KyaubPCS7%LY< z8=D{(iY70`1<|4=NrI_=MMAbmW~=$c&PGFtf;v=J80N07U5I$B_VUL{2!@NM`V|FP z?XM|Vtz9JrruG#DSrw}(u-jNun!}aRg=7i+u@Zt|dtk7lz^_j~v9oIW|FoKLS-6nQ zz#l6i6bV9o+NL2?6`7E?Mfyf$Ng_t7rlL@owskxODujY8UQR(?h8IFd+D0;YUf895 zN=CA3k{tlgzlfIurls?Y?%u~A7V-i9@G#er!csDN(#e)!59yeQFD*rO^_;K zIG6l`2X{8s1j#ZCa{aKVL@Ka}*ah})Q zazSeGY`-@ldu2hY>})4DAu8+=E7p~^=Ad2eA9jM0+?W*TDDcp9On-X-P zxlQ$vsBXM3Zem0k3ry=c^nW9-Tu4G4r3sEawZC7viT&s!^otdnd zc$4;|DrU*#t|Ujy#z>;#{lgO@%IIfTJ1%5}Wipd`_9h#}9?``L_PDEWC_=*Reulf` z!adni#%xY)6PoE;HTemyGCq|U8p*^br zNd}MKvz^w&$TGS|RbaM%S7eE!LMBC)J$g@(B?hTR#4NY0Z*pQ}2^WaxJY37IiIZj6 zqPUgq<&}+H{+wN*Gcjff_s3$ki?elThe{J8OPJZ#1`yi8Fw3s6niy5W&bGEemZ}T# z>M~=0dm0>N%i0H7!tA*6ob0+3VM5CMh=tjJ++GLM|u=pV4F_=Sr-8d#tAt=WKsxTK@$V_+`Y|p17$9%l3GNunU?8mm*B4 z@g?Du6g36I#X4{%D9-kjPQ|g2y`FVVOp-9E%d;Jy@dT_x#PLj$F5xz@29{`p6ftow z24C~LO)e#2LX&$AVl#BvHaS7Mgd$%y;-2M~ZITnDOW5q5Bf1U0tl&?ME_=cO8|1Rz zfeHDtrosg25>~tCM0dk4tHCBnmoVF{nU$3%9yoG(}cMLWG5qF{>_lIrreE3 zUyHY$FgoVt>+N1QsE7S_f6(l?sNEsWj(ERG3@2NTPG*^z|T%Qz=2C_3*eo7z8^}`{)R}M{C`92VTB{ z5)(JiJQ++lMeqbwplb4NJB*r9>)~mz**g%mx!yZ!w}ML8>GU;oSx@T~)cg@@K8#E( z74GiZMsT~+YrSLQC_31KE7IL-M}uLr6C`8TcXwvDx}DQty<%WLjFdKm)TbAj{qD|9 z``IOW0beT3C^`))51ZKf8)GAF+s)QH;em_$2;$a;olYRijjh6n#iNSY-UmOxjX0Kb z2w%KYU#XWj6x58`$%GBjH;TpOPw6P#xP>ii2IXoDB^0)aCWbvf zB2CUNr9oq)EH}DY+5M+sW3&cVc712T%I^CtSUCte3sw#c&VrT3`dP5jfIbUWcC}~0 zS{LzHF*E$NzW1@P6v_0qz>!3-StRd}9gEw6=c;N;%DI4ZRiMS3s|GD_E|Igqxnz#b zDY?vXB&P|wk=TSd5>)Al^u`K(fGv>Vp`Nunt(0gaHxiBlWzia`X}GgsZPhXDC|Hsp zt~|>DdJe3%(sE$6Rh9$P3RwI6>WK7^VFBc zo2SBbyOERUqhw1%+SBI8(W)R5i(SUzOsrQL2Z`lY!pV9DPd@Y#Re>>z=P?!P>gd18ZAr9$4F4^T68nng`Z4*gUYd z#hwW(PE*VSo14GDo14FY&COrH=H@S8bMqIlx%ms&T>PbkCXW{wDUt+D+W*NDme|X# zHM_HDDhT0WWr;JC*oN_1H>TFi6QLBLuk6ZkA4_));<#|nWKG%@Zs z<-0`v{I85OLkJ+vre;`4MjkHCxL?XJ4${1^x0x*nT`L!1Ra}U;y`cV)gP7GanLX(o zM617K4&RjF(voV9f=ecNiR%6ZUz>+w5mU`phFRa`z7t|Cis#Umroo-Nd$_3nf21kI z|5nxb^goMrrjB>=WKnsv)_6=tEBvEOt)hL6X835zyxh!mtv?-SXO5OZY5z|g_s4Mv z0n@>JDGs?f7y7-Jr+*s5Ip#o^43_(Gq)Yp~K&_Lzv_Bl7xz;!J{NcF!S{Jj+Q*)>-xtHV616>W|1ZwN z!Tskrt)s<#b#eD&{<*l{kMX&<(1Y){+~+vt%i}9OX@4j#hfDFRF%}p2fmmK#-0#L| zUEI%jXm)&YaK9PDxqMy7!9D4?^*CRb$B%o;;mh~Gdw6i&Q*S@-$>V=`(t5HLr%gHf z*e#}?ylH)KPSZH7oO?(=pG`;L{Xcoi=1co+p0xiZXWG2<`QU!WQ{c~f-}I#Q<@<*oe0gd96;Ikm%)hu8 zGyl><%a3^A-tfTrX!#XSdFw8_IP{|)`nKb*F7V?XxJ~yt4*jGDZax0$0zc>}#{*A! zX|trC@Aae|deRO&aIW8SSR)VIA9>306LB8+XeUmm`)`Pu@Cmf^uKdg6XLu3yKi zKX$=5d(d$ATv`U7m7d5e30Vah$(gS`DEiCc;I~HpdTXr z_~5D@8^j0at0P~WuipO5L$fb!-Z-D^=1uFP&xg;)17DmkUmu(=?&CA#Xfw#v~PII z`|muJjvBd})1gKAL@P<_%As{}hN$i-)g1e0lideDwMF@}`HDpYYJK zo)gZOhcB+~!S^3M`TEMX=Sll-J!$jO?90Q)zt4H{{XtK@K75CswEx_bw&8)x%NHNb z`yPD%+LN!3<_Dg%dCTjgrR~A@&vMe@!}pIp_*mCF;z|4cIn(-R`4=912OfMsgeCudq8&AvFF9GrOa_z@2+ zn;tk{IsTz1?P<=mKAK-ZCTV^DJD$GhM?LxaX#S`N-@oTvMe4bolV*Tr=<}5$@Z{^J z)LiEO!BdX=9$NmJ2kx^TxCKwX9`D^eWtrG3?t)(7`<9=MOk zm|XzH&D4j_r{Eh)`qKLBlJ`7$c&cM2Z=7#zl{f8^hRaKfn=5j>_t9bwTXsMQ&3WYN z1;pdrYA)eWD2IYeTth2{@a=Y$dV60 z0t{>+jEA}0Yj%dAdYd7eG{Pvkvw}*oFkjSAui?jK7()ibe!F|57A-uCdZ4n8+QT{q zortnz3-jsR2;8P0rnkpv&yG#6rk_VpV5h0a7a@VckwB52#~+?o@i&?UdihQ@wS1>& zgyEaVrrnOherT3Fi3}-;weF)Z8i=|@93C^B8^mJ4dgj?j--W6%^b(Vhf-VmD8uJy) zG{=0!(#+9cxg2xs*Sn*?a@nQ-E7`5(vO7H9$DSn!dfRft3E*b}vo(~LvVISQL9R_O-51#LE~{vaB*2E)iMDdR4* zM)MIx-us=CV|CMtY#Q#Is=nJi23dimPcM_wxw5I1UN@CRy|NJOw+DuJw%3(ly*m1J z-hCye2cZFF8O_F_FK##Do0AE%D03^Oa$9ovn0Ybzy5L?Dxp8Nc%}t+pB`TJxvp6p^ zT@snH$jhRPd@qW8_`WFK6ZyV`k0RgF=f`GSN@ZKR<%+{>3TZM5?)$iG3o=^*Ch9X? zSnQfgRA`Fh;x&=y*NCFt7e_i|@=MpK;2mo;^*Ty^(%ISdpv-PT*5#8CSD9UX)!p>X z>=N=Svr~1J;-;v=RB1tx0KbwiY%XGXIeH>%~R1cs{W|at*G+MP$8+LERpA8 zN>H&|FBTa^gQzvqAU?C--0a~$JXA?G%UVN4&3prXwgwNOBPi9=j;8ny-jbgSKx`66PMZXvT-rWgW+?Z*I zXC*9<_F`cP;DuWboGOeWu*J01>vmBNQRsywCe&qE6on>t>XJJpMbGj)$zND- zIeZ#`&WO-^T&tb%IP4DkL2#pt-X8bj!hX9ox3FXi@r}te<=ceRUE^nh;(~z^-Mt$> zF|vri#KXvvj&X)KBh4bnWOB2<(_tW_Sp}GkG#zm=(kwh>BKfFr&Y9oBEPCn#7%s0o|q>*enriWDr z3Gpgmq%wal)-e}jSvsEwBaPXDka-yStO*^tsvk9IF=cWNQ59}U1ENTU-{Y|Io%R*%a+Dfp`#?RL=tsr{TOm9aFp__PGy7FRRTNJujB+}hUhKyWsR z({R>_h2o*%tONCPR>c~@Phl|f;;K=>nqoPfr(#XHQW%WLu=muDf;Ih!lNl9jgeHZ- zl+AI0u=2({hu7X|aL~oU58&eBxk+v$ z!@YmF=Xd^`#}z?<2mmxQ`3)3^;xS~&GHA78nCt}tctY@k_k621-G@4khe7p*rV(S+ zGKr*8t0FDZf|JrjAPRSQA8)V!vJ}xxQAk6fb(a-lFpKZGtJ`}N81pkSF^NT0s!`o> zO<;TgdU%VO%Ul?B*v+7tL}i)E+&2lY7};s3-$SUQE>&0{hUqI-h(i*C*B>{%P2s-) zr=OBeH0m!P4Un)irn2W-XP7bKTJsE(^TMFbwL72RX{?)1%;D;$QPLF;O+gH8_Mdk5 zP`pVwsN28L56>9O9UZoUs91-+0G%%T`x&yarT)A&iAc)D94lu(LJ%JCIZntaTP#OZ zst}crFKi>-pD%(f+I_HXn{>tG1g;cA*@;@2nea8JCB1AJRgVh5BSsG{W@^lb&x#dY ntf(?IxLd^L=G5XE`+oeZ_!$v87(03EvRQfG)a~gH00960HZ`Q= diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index 3cd519205294b889e5e52fbda9f2ecdbb28195f0..65b0bfc6a7de76f46807da7813882924fa057583 100755 GIT binary patch literal 111193 zcmV(~K+nGs000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F-|3jhEB005}30RR91 z003(R00000003FC0RR91004La%)NDZ71#3zybB??7BB911DQpGyL*9R#hv2rO-LX} zu;A|Q7Bon4cXudKw74|DzVn%rbMFn`{@(ZBcb|u4v$JQ;_?e^EaU93|&bPl~8+-+o z!M|+$gm?dc_*d8jU51g_z=J7w{Ey3XXC{7l;|=}aQvdJYdzV$u|BK=O`odp86SDOD9zxNw&oc~uX>ic_AO9n4lJ(4#+d%aO#K6YZU4AXnPeN-uTKl z>RFXlZ~hf%T!DU-=Q#5G+3Q(-6W% zRatdc&}y>i$-~Pb`?< z%d?_H;ZG{>m6Vdmv(m^r`BKrDO5Uql6b5ezr1rwB-lvc^>e-tYZ>g$p6eX{vE~Wi= zUUjKA%5GoEH%gxB8%3eQkW5N_^unX~Rnk!3DDD&n^`*k2o|T-{m+BjZQ)yg1D~Wka zK*>r;DV6~*Q*RVb#jh%*q^C5Zyg=z)y;m4iz3Llp3V#yrl&vO^XJti7J_>^>t7=ql z)U)?{^+wr`H*MY&s`uVyy|F0^H@rAiP!$c7ohaJ8@6WvSqUcw)p=#06L!9@fL}6BX z@s^vy;0^VrS#hUy5y$XloJyyPLPw&CiUzNQDuu^eBdSJkN)(0ay|NkgM%AmnRJEu# ziaS-Kw}qq=-Kp5?9S2k?#iMs!>b-W<*xOtI|^tR)qwT`Zu9Q`MvbzBQ>=8etd+X7)EbXJb}p2j zOlj+1q(hxkST8mB6doe@pP6()ReF$pDm~1w*)>Iy8h$$#538W(qkk2p)PqM8KN_Xp zIe`gp@x#h5PN0zluL8FP_z##}LBJUtC-6gdep#B6B6Is^rmb=CDLT-47M)#I!D2v= z4@%GDe?}pQqs;eils70?N=LC+zM^yqF6SHvIML2^^tj<@vco30Qi2#<@o`H5*&KLX zlPI@~-sEtsajY=_j5jEli@7sfWQd|aH~87(T5q@FYsOxGW6G!U&3QLqK6Tx2pRR2Q zv|?$nppaXW0@LX7m;GeiscMCOa026k@{~-YQ5?6TcLvb8Qjdi43e4CSP@V;7hA{!A z=6l3XO+0*80peTWuI;A*l)11HY8=gXJfWO(NJE=L$%3O?6E$hw!17xzY&I=eiz{Y|8v5=4W~ql;4LT_ViyHQN4jpWEn?BCl zV|CQFRt1*O3Z+xjUQK(ayd&$AkKbI4ya7;b!^crI6WG5!%xl9G&*;)&(AYdyulaY? zigY1joKQZVO))VTiwj$;$#=&aJc{4G17nY2Hd8(`3N6$Xu?M@tb={rdkPCbm#bm## z)IC#fdU5=ebynA1k_($lS+dTQEjy`vgs&Z*ES6sZUAhS)cl@D&V;`qG-yiz&}5{H~(07c!KD<+xRfvfj=_#47K4}%3;xH^<&*`(nLHZ@r^fWy)uHyEerCY{j#ya5U4fc;6&DaaB+{lZVYNYYCUk2p0yN&wO>aR6c zn3*$2q3%Tww$X=mUunvtNNYV_;Ht^AITP5{jPVDz(xMRw?Rz&;_+`}QupTOz0lo{i zPi#+ZTG}o$(y$1!#1#sn4}9fYqMbDAG9{a>1Xuv{~dIn`&)RvBfP^kvSymc!Sx21I0@wg2R)* zr2|(B$F}%y6|g6pZNrViK$9?LapqR^A5K?je= znJZe&HMkLYng$__7+#CM(RkVxb<7IX;JuK@c^0ZO@bd-A|95;5YED7QW&Q?U`D4zv z0`x94Tu5^Z^&j|81^#F52@mkknMXBL91N9Em$F>Ug^a?{ly~iM!L=8Ka9seT8=gk_ z^`HqiO3|*XhTj5B8aKXyMta;<`+uY0jd_H$44O2QQ&+7zjj7+zi7`pjK*QLxEWkf& zZbe!;W>@s>0ec57cil`wkeLax(Shs2%Mk0OCaorlPlLwN^&d@d*X*OcFV|YTHTWUG zYasI`OSaIvOP{3mudON13BF6gOV-t~DA&8tn3V4Z-m18*UJ~Fxx`(pV+bjs7yd0x3 z^D+i^Taxp@Ue|YgkE=0Fq8pYS?>c%(QlDzUy)vKm#3Q zyu37%PPaWqqc&!-W@vC+;GGI7e6C!NUN;>gV%ev~vI?aA0|$Q9I5%alKP#qKkk=V3 z(;#!|@&Nz9cf|!9z%N8BErF&nSLJAhj)}F;byBzAdl+Jl0wpDTbfNe?OVHXZJFWMi z2p6$?8G(2@-17`Yr1?m9mMpafY0A4XX-*23f|+5_Y$}%JEoFY~YfaVQ4xnn>zx++= z{duWJ;fcc7pPI3jEPSUqzG)d=iuT_g8I!RRXn~iYhQ9Lx{EPaQ7w~-TL?B9Cnz6AJ zm$=GkC+ft(SXDH>P%HZEQ&33DtVs)xVpE9ZP-4d3R+`FA2ousI{R7hO5C9r!G@)bd1T zA#I^1tyL7`T@L1}v@1*Di`xikXas(^dQ|nJEKNtml$z(8eTM`5Z@p7qQbm*Y3)-le zOrJY339Y@vl~_ydF%q8msA^}PRum^?L7LRPz2%On$3a?4Gzll>htrKI+l91)|4Ul; zrMc)y#LSqaH9@s4aP#NT^XyhR0l(uHVyQ(z#5Um4Qri?#-!)W=#f3;83HJ6+EJJZh zWu^yZ7h2afxH1fdn}k5)%hg$Q+~;q>2B~3 zK~n<_V++fCa@?Xq`2=kaePIr9p~g3xU4eat+NXw{6`vknZ;KlaV+SE7y@|dK31o$8{ zmT^Xa|KXvEw8omWcB~RJ5ZQ}1#i0$yxN2$A!cfal#DE)C9h$VzqHI2gtwK6z76nx{ zY|Xg6k1i#PXWx2w+I3Qweu8*;4yD&EPDWR5mlw)2Y03w~kQu*W8(i?g49s+9hwfcI&!5;ImdAs%#9R#$zs8~Zk<=oa zCT%Eq`Uxge{!;+uUA`)XW>(h6wOIzVb;A=Dk63R0^KlVXzQ zVXW590RP@~RMsQt3OEgFImnEeOG{s(i#wCr$-@J! zRRZH%1z1%u3(47WHJEyw*-01H&a+}^zDxP=Hz#3HoeEE(ht)68;A`()XS7fspf7kI ziAj}$0hB0wWK0Jh2fp{=R@y&+e5@bFTci@Xb(kOE-}bs{ODbxGzG2GE2T+Mt=#lbk zTt{`?!DuX6KqXOOEh$d@0`&X$Sblx9P~W2QU52{ngvGI2O}9j$Wi(^28Lu-F$j|i* zp!CIlQ0R8%+x#6u+P)#1|F_ku1x~L?>&RVDrwQuTq&?2i(zRaKeUMuNqYU?P%(kaW z(c5L2L~5rr<)sjE|NO`(8js3N33}EMso9z|)T*vr+lx@IIBICXo&0N{VYJ{LmG5xn z2Guob0xrn?Cin0gl7oi2?ghvTMx(LN$-(->U#;vqwzNhIw~%p|S(kjGQXkXX(6?LW z+aS-15M98(h3UqY5kff+9jWUXAuT zo~@JQxS*~(8>2OT;g6j>t+UaiDL-_$MqxAr)SIzSx%|tNS4~Y? zEmRaXR=`}frGBgx>{_DDp$CtRh(?SGajVco|D1Gg>L)9+Hir`2kQqy%^g_-XdXXZ% zeZc(LI;2ZCWWJqdV18ioqLgV{xR^s(g-~jazCAutkWN1PKbxB8vjY52Ra8w)FRo4U zwE)<#l-HE6Z&As0T1)K;3*T`#rkcN$382PN>F8vt^;S0x&ch|mAQr0A^!0qIIsO6N zyRykDs2MwnUPCDJVSZV=l~$j6Ppgw=v|ekOOSMsu+VGvVDem`aWWW1v9o5LAx#IuM zZ}YL==S&~7vG9OTRw+sw^G=BA1|D#W$pQXL&#AC?m&GW2mxG5!LFSt8BbVY@9bw+M zHpA#-7(7wc@suS?NAiE&&Dy6&ME4=llbB!Q_n8z#r=)IXTiJOdcz_GGmOtlcx^(TN zfETlWx7c5j)hw5nQ{@! zZzy<|_2N{UG>HxrGKDm^N{XR$1*oLcrbTp^GfKRu#Z8FREq$jzRHc!32qxO`Pbu>vOe^34LeG8bO77KA^Z;8(6kR{u_`7Me*%>r`9yO*(^E{x4O0OeYD2< za6$7TdUM+g3@87M55*i<)PetIXOw4A?I5noJvvu0`7(>GTTM!|0e48i&9KL_sE0q# zS?~o>ipHLDVWTeB;FLT$i#}!Zfbp5(3zl!->k`Xm(Y2p`04G5MSQ1i;e8S@$`4rfP zole{!A5i?nf4WKQlWUO0uA^ohK7ptE96^jnRl=xS^oWU;igAm*$#{ZJ&r{b}8W*lQ zdx_cb1$hqSOzF_!(*9lj+XC0`+P}ZT^Ebjv;^k;aJzwS%|6Zg0+k!T1kQUx3n0!j# zbBl#v@kS;v@D6@3yY>&Gket=% z>&QV?EbZT)xrH-JvlN_!+a}WT%x9!-)F{^{bc(XW1s9&4K$oVS7I1_%^48GRL zXP%9;4kKRSLc?!1Kv>@0c`JPw_>mST=x43dmYPO=#rKN=6X>5Wj|I8GQWh_{!vJS9 zGVBV?w$X)WaqUX8w_5$cDXvybnOO#PKRLgh`~ni%c?P|;^6I*)v1*-qFo&Ohxj_9g zC$bS!^Dy5s%XF4mHuga2#ov#m!-v%T7|ICpUl7-Nq3*mvVN~m@0yp8fCi>or?`|M! zM;RCBR>DN~)Mizz^ID-%z#DHmeFkY? zaMRY(f8hz&6fHGDA-8f*ds0}o(p0kR8>_aqhwE^IIiNhJ>jTxjk>0-7w6c|23pF>~ zpgkIkuGK=R=VZlq0_`QA;0DW3T6@XX%o#1Wm#hF0{ZRSc%+ttc^l;kf)UY;cYiR@I zkCA4d4;x6;LR4H^#}tXR^aN?K;Gw#7h7k{~?wWGU;hEpzp{{Rlse8gS_R@RBtcx0X z7*feops)AOL3Hw!YD3p@x-ZCcfU2`_DP4L>i9VJe^DP>y#PW37^It}xwv%YtQe`df z&fY)3n}GQ?Ki-*0+uJMfZ6;V$QVs6kn(1>i<-SMOT75O;#~{yXV17Z}ZM3A$XL{8q z*t(#tg=b2Gj0nUQd*NcLy!s!pH58VZnx2U^Yku{=j)RkSAW0jV276D%1vD9;5) z`*L(HYd6h-rTo1TFS+AtBhdqqzCn5pAw^6z;qQk*L z@$K^mirP1Jgj)Y<_;a{+8#YC!PM674xY$j~GCjn)rRzoetNTu(HS(tbKjLpLwAI%rEB2@lB0A=g|uW+N5s zc#Gg;Iryzu3zs#Fb8HjN#EOF{R)iAjGo349B+bajB6c%&sYD^Gi&hCzNy#0YG+5a@?|?zd%WRQrkz4*&3WgT(Bx2vRSVK0Sro|^K# zKrX{dn)0C=w^&=X#(J}d8vg+Kqwy!{w_9K7P{x(kF{WICMQTF<<}cZ5@pQ$c1(y9g>eRCOKt>F96_+K|!1-mimb^=oCw z^Vl3DI&=mFy-~xoY1gUp9~taB$y-=EnQ!UMEoFI{NH?7niO10Mkr%|6cC+V+8~8C* zPF^l;=7{@_njXk#$6M3Q`cwONLD*4I@g>&sKD-Q&%<5*8{zal>mn%~!2Ebx1NlZL z;4_MzaIMwm63!|y4j_5yGNL3#ixo)vk6WYiNAtqR|hxR^8We?xp+G?t;1xxmvn^4J|wq59JpY0TW zWrQ_Yhn{0x&g{w7V*K@HGR;n@d<+_sX08G3#s|uq(O?Rd%Br%Scsj1d;}6Yh3^ghY z>O#{8b8{0l+B&0=CqT;b3zR;$@>KGT|4I~kAaS%pe`n2_K`__qai`I$*$Q0ltrM6E zV_dzsTBj!XNEZ3PKkm(yQaYUToafp3WlrENgeLZ3f-ZEw@pkGRKhnAcPI0XS-d^lz zMx5#!sju~%8eW}h{iemz4?OX@4a1D&0hLWDE>WU_<*XfACBGvm4FI07gM;a7JJmoZ z)TC8J!7Q+jq0c`1f0(gYND~`JnZhvf*c>ZNZ}5ILP@x>37{zfb=r@}x6~9Egtj1Ob zAH31m2t<$uLx+>=H>K}?-$aY$CBpqWi0-eVH~d>wUgoa*Eja%Pu3aCIDPr1iiqlP% zzRC705n1DBi;A|?cKL5uB@rfZ@vuFw8HBm+-gcRODWA^HHq`V>uf;M0;;zktcLGDM z(8det?6rx%SVuIt5oe@EExwsyV_#8{^O@{N6`EOF*&L*?ScSI46~$Fuh#W(&n<*cA z%HIoVx!I!4WlV`v$@ePtd6~{`)n$lv2)2mpI&#HFP`VX6j0QeZ)?#awT!L5zK`fv5 z-0^p1Qr0425~WLl!@Z2#@CN_EhAb+{fLbsd~huMHF$tCbka< zp)*TV16_^lP6JB~fLPKXux1Ir?O!=k&GbBBSw79qU{2hEj3sC(Q?I*7GwLL@&;7FB zlg4&~#+t)qb~yWJOQ1s=zW-|d!BUf$$_S#b{6$7mr=2SF>}DsD&@%*f9s<5IJQ+d5 zM=5Z1EtZj>DlMYJtw%Tg#~R97j%&U%LDkz?C63m2&HKMvsuRj(HZ$K&9=O!>!=vcT zc{ME2KqFrPq3&d{m|Oc^_aECp@vRkRjKPpY7O21*?2f4cs5?LU58mK~?6RVgyQsE3 z1h3T5xGGL<8tAopg!MGBu;qQLFkvk1&pKE9J2lJP zfUV5}@+)YLdOtYlUuIB9Q%03WHX!l51n^@#+-b6V3}sp~ zM@nNZLX0UnL(HnooqLRHX@|ttbVwOjOAswjcHc=<>3u!=+~$C1YQ8(th9zi*W6#|| z#V5qGi*CAPrPeL*WNsvl=0M)N$sv02CV@TpWN+&)7OM1#?t`Z;Xe2*8A4dTNRo=3Q zRU*w?W;C(W;QqSqZMl0{Beg<>s_Q^ecExm>{z)mc7%M>(x(axE!*+D(prRT4_Gx2! z0vqnjH-~m7wI3&_YWLoE*{Y}cKEeRUhyNMVpYEl%gTGP#Z;@7U4StV@^q?Rl=NZa* zHlaPxXQXwD9atFq&4-1`bIO56)!QqmS~4}`(L}f3yWjy+5gj!0JD=-%*4^(0^45^y zv6?;T_Ltd|sOwIPbAENPRXIig9QfYD^^|1sH9AqKw`a8G7DLR-_;hQ~RElV{i%y*w zX(iNB%MD8iXQ&x_^hCN}P&MyxV6m1s$Y^+VUZ7L4@+68_uE2*`!=jSkA=G>v+{`Mw z(%8e+Rj_-T17s{$yreo4WoG7^ldjcNTDDn5CV0s=7*S1j17|D5(xKK=>a|RPZ!j}p5Za%>TJocw+{rf4 zu*4Y!%%W6`9Y@q@$;ge%%QjG_*2x5{HDd5Wtw1i%x5(2Q=utNh*c~_-Ghf@jZ=|fg zs_);CBa=Ar6okEu>?Q6lQ=aiFU8S`-bVE%23&oxcbJ`7}>)`)1 z@+143$Po7r?e~>8BWUpimG$uKkqWt<(wg$nD_Q;K!!~1HpL%DqeXrKC-(Ov6nVPYr zjK=r`FG-wt1D&Y!h|oQUwTPX+L1GdgUYzk_2tCaiJ+Q!q#Lgo*mo|Rozs!PL(%8TE zuWGl-zaR3@>@*X!qhA>f2|nfC3r!`78uXl))7>>mI4Etu-ymuoIZRD8@`q1|D?d0 zZR05rkAtm6^62}?;R;+#$JATwjOIwV>6)Mil3rR)On3da)Bqg;Q8f1zx1P zOj{uHVBE6V%c|%C`{hPU?MQstkdNm#l)d#AZUx8O>Kvsu^nX4YYW!dF-!F@fs_r z>m&t6TH^uB)0rGAXmE%EGxSGrp&~1&$XEq_s9mrS(grE5DNoYyiM2pe9)!5o9Ok-W z>`Mw7mccGEc(65Eo5M|%%!XUu9g}HtAx{q)?~GosqZBMz^XQ<-v^#?W7iD2dGgpYC zh>?WB&egTgY1f9I?GC?2TD)ycUAaKl4pzTG5nHHCzdPj9?5wp`w>4cc`G)Mo>^?1m zmQn)y?VBRjFdff}OH|~WQ)SU0dX-l-bI3d-ranPKCH3s9IiE&9xJ^llWwA19Yf0x8 zUo4kRb2+VAIHZYnRa;9AF6mT43|O_I7j-zK7Boy@I~Q}f#mX{vL#tY9SGG5>csb9H zR}^nOIkQNSR$FJeAAz?GZj-{k`2UuCvb3RSIfeBEz&jte;g3V<)*MxOs;-+?d$TD* zV_K*f+8?TYtRn)$Q>Y|y%Zc>seNSQ{KK2p?U$d(?3+qm#9M=_i3AdrbSYyPN*f0+5 zV@NI1>X5q|Ir4KK{-$WaI7)t0b(#L)(h_oo`|JF%qvLt=q#;&ot+CFad>jbyt2>9j zhex;HT4vCe*%w+pRBjF}4pm@&sT4XLw#G|jg50BXg~|lVA`*$n=h@DUny|H8<;GLK zcWQ4-2d+&_v2hd|Q+#fC+(Vw&n;NR!;3}fxe7-q)Z)(vSd#ozjS~Q8A-F@Cl4bHu% z7oTQWA6e$o;rh+(V?K%3QmN%?ToI{;6x;w;Uc!`^BSP0w)B8Vw8OTG5pk(awwe8F9vc-#@xHFH7^QS+@XZ^sJvmd+57S*+wOBGC$&^)m$>!Ignw#>{sgBpJ zI9lHr^DyTE`Gxu0spik|?MsD^dRDJv@u1Ta5oB5C^VI8MLi^vx-7Oz2mcL*}lMt}a zJQ_!7yDLl8RU1!rEyjg_@#Gt!z@S`wEIxE8Jm~@Qob)$UiOr;m8+c@u&>Tz0Ua5#YjO8S5P@k>J_!Cmo z!^|J+MY>jMf@ia;O;!-E0{g2og}*9JTN_`uYH4tCesHd`wV89KpQ51)n)O+mgOHYh2{8MY9ZtnItC%`UD^$QAQQA#f?Ru_v==|f# z%#DiqhCen|&o4tOc4VTop}i%xh_&2@@7`r0nUsA5rCp#_L*-;nJ(0;qFI(>qy;rWR z<-=ep{e|(GOM!gjt06RKO^}!(_7h3zv~ZVZh)kNaL5Y{UCTX$!gQ&O~8Q1Bxt*BR< zLi8naxrHUCay3O{stq5zlx-Fj%zcM;r>ZPs5g*&jPGs_4CQd{T_Mv~1s+by!MWnO2a9oCgZc15XOiHJQ#H zQGrXl7&0{;35lVS>E3quoF%=k$RtP;4 zhn}JaRa45i!xC1g_;)qVR?C!s!%2j??eL#6h-;@`Po#`x6!ef*1{{i9OodIU*A8T-L`vCJNdN`Yk-a|VQ5C85cBuYDo ze2lxZfd+4#4|K*w%%D04mr3vt*Yb!2PmO2Jprl(A81+isn;@2Tu+*r48B~0jr?lj2 zb>IQ@L3!-!)2UxI1wPJoOLXv5pyS%3P38E{gT(tMuNlXpNPFfMmpW&_5v*ut!O`L>ibgHn106dD(; z!O0?{DQJsgY#N)cl;)knyr-T2xusSh!(7Wxlg6VKhEWc;LDLq{)6G}uc<%O|CRS#8 ze&Tp;l>aiAIxjy@SaH}=3$-Y-ZH|NcCp$HYf*L9hb%%S5DLAV!Br+{%G&Q)azp$~qw_GpS#VczA zomI(-Q<~nBsot57p1dWo+sCGkyG-3irLr-^9aqcz9m0Jk_;;F5yy*AgBXOc`92Gq> zf8dQgBK-&}Li4Sw=R|blWNMj9$=uymv_xFH4!xvlHJ#dRQGNePn(r2n=Tv;H`JVLm zU)CqhH?N}zG^QY6kGgb)>KsmQ7mdhf@nD}9-$Fq3uQjMf`YGg}@0jHdduiFCRV-+> zW-A3u{2=SN5cb4cWWwkr^KDEF{)4)mRzv&lZaa95s)hb+uYaAzl6hlP z`34?PgXwePbT3FRZce5`nr1m9JPZ=-n~6j*{5z@DpAzU{Q?paO@m#>AKg!23AO4l=dW?p~T@g=x}$ ziem9)fUQ+aA3+~KsO3&blB9zEtZJhw!d~s-5wtdr>J!b^PIM3MB?RcmwDWx`zrwIz zTv=EwPF}R3L%%1rTm4@9uS zpPIc?{qM~>Zy5q>Ny5?J)O80=d+LcT^~W}4q#fd1(Rj>~ zHLTfj?0{N+_Kf={tp&P~xA6iZs zrGa+)SRWY1LRd?(p<`)hAvM(dnx!mt-)G^Qvh(fun@IY-Q7RikU8pI4iS{uA;_mJe z{pj_P_2S>We9Mb+sayAnj`^N+**Yo;L~g_W>Ox;$mY_RxYgi4n)M_*3Mn8~NeqcKq z&3o>#x!gyCITWuPg#{=olbXrpWduqkset%4a~A`w`yGaegcmh23a`?+`S_;q z7#-u|G#ukRaz$@2I>tb2w88B-|1-V8O3eH=4ZfS( zpQSg$i59|I{u4YDr7?gKgK{@%I7>url=3e9fD^J>EyXd#GDss2MNpEBT;6rNDbI%tb`S{oPjFs1a7u9Z zpJ1N%4>bDw{J@wSIk(LUc?`bYV4nE<52?AqFleXhkGAHv7Sw9~gs;6xbDLsUG?M)g z6}`d9`E$8?u`e2t5S=&J9kACx8z-ZF(D!Yvl0SeW8OZl8?WQ~ww2kH4e+#uA<5hjP z|11qOj%@MzPw;pkzs!{WH}Yrju|+?M#Vs}73gMVn_|F)8yD4u6qQ{{I@4DSW{Q#Z( z5Au~9nZ(ZfGG0^m1MA3?BhMn&BORGIcL;R2G2_{w)(y#bus@kc=37fO_!~lb1y+gK zI?WuKlkgHn7TIDI(BK@P>K^}dhLzbwODlaQ47Xy5nS}eh@RH%IAhYwUKr%n7mZ-lD zn)ms^Y{tIe9x=($cCV{}4ttrA7cg$a=%2PL6&X{U_W$zMDlKnf+zQ6}NFz_Cw^Iii zeoZuKo-g_~`AT0x{Orj*wr6tZJ~dWq$iF$^RLi^j@_(|x^A99;%*D{ za{O%|Rl0tjR?qKYZQzDV7%Pp2Y5;m&J@1E7=Phbh5v{*4CIqBI;-o2W)^3mWOsAR) zk>$=sOL?ZwJi0aRy<~f*SfP^bVFRf10-+~)+QC$LrBdib)}v&(x#8M8$?Vy|jis|s zH0AYBtq`D>&aSz(-}*~~MQk8;qE^yU0(5 zl(ksFLBcnV@-Vl-9;X}~LhUjJ3G!X61mU|a5_@4x`&hw?|G4&Rp$bH9Id>1F3GIUD zYEGw0W?&VsXHW1ylremq*?+VG|= zB%)UG21-_y>F+y8|OPkK&tEmp0STgEuMOvujp$ zjl3)}_gg+(Ltfr`GUa8IB;X%)8jCE~0Oe-6a>METEwyxZAag27a8*#wv%QWpq5Wo> zG2M(TrsU<%Lnz^4JkHdiYl=UonxMjtH79eWk1J& zL-Xjvgpc&9`xxtprhF%isXxaSCx3zov|*-NQ?!pml~7(DhH@DwyeEP%TqmvIMV6ZB z2Nd2Hj}0lAKSu+27jaiNHJ#2baFrIn;Q~|9PQ-;?N^NdIi;M#FVpuclI+G@miFd^F z5r~NSNeU05LBxYuB_uU zFW8$phYY4|!F#OXn&MzE#^d3J8E^g?+I{^Ut^dGdTpUv5x)0=Q5pu7T8$#RGZWD9h z^{ML045IJA9!sRFOo!&EZmPQlo(HPr%VT^JXvT1L@YGBlk=sI-0@e|E?WA%R6Ru{s zUZL*cY}RJQwLNIfG}QuQq(K4~+pu9`kB-z%MeI1#mLSv7?e6@d@QpW(bu>LaBDv_xz8?spslGQ@(SE!U{ zM^JMh{}QeL|MdCUZAEVC%TEgX_fPO0z^e`&~et-{OtT@6Ev0( zu|@kgPL8{*#Zm{>@&Tpw$#K07#PV~m>RkqjbjIygHeb79t=u-&#vW&>N!D|lDKKY1 zFLip%qUWzYt31&}8CW&zi6OJ7SwFQXaGmz=mr%(KzBw8>j_SsaUQx*wcs|e= zMz{XtyHJf3m0)5-sI}nv!ysAhV>glqx+Y4+Tm)I6kp=B++ISTyVZ)sCTl^49miYqX zY?isPlnv9l@hX`9?6-|py*TDM#cVAUiX(E2i1fRtZS8n=&406bIz5FDJh-R8lTZm7 z(!}J0=kHFsYTTx%jwO4d(wVmY+B;^WmsW)_(He#!PL@J zBcgYhG>PXk&_nGE!E0NKELzDGq4mw{UL9ux3*H#Y$4>srCrjlx+Rmz@!KqNUbeKQS z=}7lStfn(%4|q=VS_=Ou1<`HXdY&4@PatPQRn+Dn+;xLZyxmlaPR9w4X$}IO1JPv; z;gg+zR_5@Haem0ouxfzJfQGa={GFt|XJ!xP;CzKblPwCRLeIhkIWkYdn~?9O5OSS+ zvCDo|6MtBiDlT{$QcHz^tu;11=LpwCJtp!qT(CQ5P)?`iHEHZ$dFblZ=AM1AE+F^C zAeO7bP`Y#Bu+-g~3rSnj1GeMn6f6|so4G6G zI~{3O*p93lVqIZ=xN-W+56Qv%{FA9$kV@bra&;1(DM~i3k327e7?i!!K!b7g9oK=P=}>!)CBT%ucp!Ry%%X^kA!~i z@s>JlC?+pL4>bCaVdsBaNY2zKrW*?$zUCQ2v=|lBcB3_as#QV9_=Q+%BM~=xgt~O^ z!>z1BTw0{I3aaKge%_mUdK{fjsFs*%sTg%&$mP)UnaRhpzxY*w|I+3ly<{OGg&ryJ-mV*dZ9b_36A9nXhV`_~ny-KGSxiuN$Y!3Rgt z-NjX?cK`j>Fm30D(R9y&DXyJ;ibhq9Cwb~YoeT={+8AV;@e?<(UzNTSvL?h7`=Kpa zhxA<|U$gMCCr=H73-S)WKqK+-t@Q9od>cv8B{m01iV8!Q-0%b$Wp@VC!__JYUas@h zFh*-5#Xe>Shxi+Q~W!rl4 z)Rc_YnG9>T$_%E~#Z+qm{YajAhTACPJpUC#WBj+*3*NdK7(?OQx~SIQ57pL$qiL03 zu@vuERo7+2jSSqfn0m3)?|m;>+qA~8D$@8pib*^C_%J2B8^^}+E|_!_sqsFgKw~i| z_kA&hHl$I_dvz@}sbU;R)1@Oz^^$e>;({F4_?U3iVD1=Cp*2(@8p2W&7t8}oVB{Vb zygVbsV&hk>!6`8&a2J{(jJl>!ITHw%l{r34v@;#ip&kETO^b{QjF&=HIzY;zwR&!b z(Ue#UT#AVijirGu55rn?3p_Z*V3&I{qM(pl;q+C>MY(DI)(e)Qr6!QXQ2K^`=PBy$ zPxja5W349I)&%?xnMc1vtEfv~)sFp-b`4|V_EmJag95MDcHV$pRek$*$9}rpDW2^g z5NWvwir2yvrG|L#*HdVmD4JQ}i^Yec{dg_p>5PWG{3P68i$R)vS?obqI@CptfG^hJ zz7>2-z`Aq*SU{)0KgpUb0Vc)rQbggIAfV_-^s(mrrQvd86K3YbBv4%{N zK~A;|qXz$TD$UwYZJWolw=A7tZDCuJ);|QH{0=nMdFW~?enW|cZBNyh3x>kS&jcEu zXRoG7UliD_@0(n=qP(o{YFg4pfn&3dr0!>o#wo}aW%$23Pn%xFwNp$_?H5Z+tpt!K zWs@;mj7w@a+*``VDAi<^nkO-t1~;fsIGnnkQNd$0hbloX%y&aXUiL7Z-MMa6yIYR^ z^3zFD`X{uZ>5(x0)9oM?`kdOmcTHHk!vJ#{Cm zd5r?QGcNgN3-nU1^8tE4RDofrB8?o-hI|v!@E|>TuIgqXE93w<@j&03{s(BuNL3nP zQW(35_VF{?c+FUXM=w3+90*>yJxp(|x#nPS&q6GkhMc=jAsI619?F(vpZg9N1q&ZHHTg zP^;)=Uh~O)a58A$tf z`W96>kj&24rK2T#)d9y(u9>e9^LJkhrD}`z()nM4t#GbeRC1Da$|FDNk=#v{2n@Y1L zD;GS^`WB(iMRdrDo6o-UlxT87JL1U|i>0itc3}A~ZYxe4q-XCF*`>obST{B0?cDx7 zc>gx)dQoj3Wl9~{@H>|aDt#|Lw~Y#3^Xx7a{Fk5-la0f;QYC^Gc2HpD7+k$PKrKei z$q|%)r~@zgq%Hk0)*7No<3UTFQHWx-6}dl^9#mKPd48Sc{thSV1uqVH zwTos2eHBB->5O8?mk@Hx!r=Z{Fq+S`Q0B0kH6iAJ>1U@YBBzc_#`R6sC$w(3*1$PC zFfJo^i}`$@{5_d3DabE=aKXZ6Tm462nc zmkr04|L zUF^1xuzG!ic0mv2WmW|7RwJcQeye=!G}CB)Kn*$(#XbveSd}@fL=)BwGJMmq8$Dk> zTx8Cvg<_05&wJt zr(W#P%)xo=)9Wr{QNW3u9V*1Q0Jz_M^&in1Kg`Oo3*nsHplYw z@$E4^{FZO$~PJ9hL6@-k929Sg?~y19m4h{7E*?;_i5;^-4-9v z<>kOqPqTVk>E4h8_R3*1NMZ%`N?rGyN1;Og|b zm21CNi3z;-!FQ@LCFwz~EEE*-$eN=Ky#zd<68KIr;vBtrAJ?9L{iDT7P}gB7bT|4g z^M}2oUF$Q6o#Vtjv2$Jz7-T+$hkpM!iTZC7{V%7U-LU3k*d&ww>#8FJK9}Ti0_A_)UoAEq~{f9Nmw8`5r2D^WQ4$1^6&z8S2%H zEof6;_NGcZ_6jU>$C5YZPVGy3i>i^qvm7QRo+pFA&LVht4~Z1uKrE$Sqcj}S%?m2p zu7f82FpjVW+)J(Abo=@H_o$mYA52~^9eFLB7HAejZZ;o zbX87QPQ>UW^dy1j&jD$^ZHH2pFKWF52IZuY4B+pK_7L5{R+FgPuKhH2&SNWBTMKVP z4l=tjp+;vuqO5tlWcK>HBdt^#`D>=l83wMhKU+<+>-;bYl^X)%L+^Pr!`ta}yPR@h ztSc7>7RKbJ8LK|Fschnqk%LKK>>w-1$U7p0;w(QT8gokpr=vN%?w&U~-w&bLClxrS zR%m_r&vZm>tx$~4v3V%5JO<0VkSo4uF_DHYQk{D(Qx9cEvYz9q*HF*soXoZi0cp>F zv{aN54UdF2c)W4ejG z)3?4WvzE(4)O`Xzws8^fvUFu8Ovc1qR3J6<_-8I1&wpNa&F5r=ip+VlW^_{I=MzX} z9;m4Jg6oz9JTV)x3j8`UVUqa|9dbRC>#5r2%MN;s$pbDJ`w~6A@QGqA z$5^xABl#80Y)>*;%PtM6dmd*VMm#uChWF$6j0IJ9er^P$?(3{E=QGr%#WH{9a7#94 zfN?%}k5>(#nY-s7Oa&6|pdHU@S$}E9unFBcfM#yh>OW|kuR_iZv>I*|(aBAlLyVuv zJ^*vbfMj*Sh=H_bh6?xKMH;HoFo%aoR=qF9s`r~1&;K9bwQL2xIbxL1K8DG4ncHzg z{h2gVPp%JGu*LJMFMLcJue(R=J6U!Og**RHhj-sBUVNdfZ7w$mXuJG8M5rl^IQ zv_n2gf+e-UN&UtoSX7H%ZRo|_#^RyxnIdToQbaN21_;%qt7mTPNudeVK1Ey7r11dq z^HVAr&IEfpdkYa7wU52cyU0~bTWWiT$S;>W8@@HC;8q1`dC9LwAmd^!jtWP z(xX!8W%_IrYgjBbI|RQTDE`dKBf)y`?L+Zf$wDnbu0FzjRjIKwey+;6Zm@8!A5tRZdCu1}$Wjcp9n~uokTn zD3pb!vOQ0kN5Q@6z^&e*P)wJKLix~vX!rL{irmwsTexl<8y)>kG&1*OYfgmIk)ZrP zOS36DMmGj4$wrqR%jO^n8UJJ|A5jEj(&n(;Z?bEeZr^*u4S4=LkQbvgpeO0_5stj% z>{VUZ5N%|{&G)Z!RHAd7n6s$|5X%3;E$6cdv?+m_lRW3v7~CKgOTmqNLcQizb2cVP z26<@`|N0+C12g;2L+E_=BO*0aEjh9u@a}|cX0{nb(;^NF7{Nn&7DJ)${2=YeOXAB2 z*xFg3@V@YyC?16hL@P8wzOoj}N|9Cuc+o30lC|tg2L`HcYHilH2$g4u<=|Swong;B zP{HG&mJfrm+1Lq6+k@7gP^J584=okNsrfeqO&!;^j`gvIX%__f&Rr(J?0#S@ZQzZV zH$rz?d^)dEaAH_$R%XnpFm@Xy%M{OEyR)Cg`-If>Gt1n34f3x|oj|i1?I7&v#nDj` zdMdKwoo6tn?NvumuN2Bla_ZD#5vai2dzQKA`xC5CPH50F_gQ0FL0W23ZlNF@IF^1+P>t;8as66r zna4x4-RHwq`L6sz-=16c^xgHXjk+|BIyMF|eNLYo%_yMKLV7qe*lMq3-UC*MGp5Z4 z@upMT=)Hn&TWqZ!RMHZ+y@Oj&{y}OkmdmK@emR_|0Ys;xL;TJSB|1n`bBC?aIR>)h_Z~ob zS1Z1G2c>GLh63+HFs~`c`AlOpX?uZO1fkDoY85!u+7~Svqddkd<&U}3!^zxmnT)DQ zJ$rI%BQ@{BRT*7!WTT&gN6@u4!#zio`*2Bf8tcz^I$|stD=$kQxRJINjJ`Qt#2gk3 zq0-4z`;CzUX=0bd%%*{S&3Czrqok`S!GqVx2bs%JdPTuW6gWnm)DK}uY(kDKm)WBI zB>H=$0_zpSMp}62Z;age_0|L`vsn#NLlWZO!t#IKL|8Qt7*+`7ULS?K{TnZHf)4H9 zn7McVyh(Kke+a(OGxvQrj^j`Kz(N(VJO))D(pi~r9JT1Jgj$%xnfP~l;63Dx{K?H5p1y&niYfIjQ@_G# zWUWOnZK)n`ny#a%%UBh#S8>3W-kb;gKHpXPdRUdlAqryW9`KhNqv=FhReCP#`-jq6 z-!c4~mt^rAFVfimO#b;m9zJslqVv9#X?wqk@%;Y*<^%Oq^1p_wHQAj#E{M^0c4##; zu!4~~nSm^GwXXCug=%6kW+#Cw6>HWkh2W;mVM4t9u8awyNs(W5IsolY8Q+Pvq%KN( zR?W0pX@yRQviJzrK;!Dfg*110ESW{-5fo)D9)5BkSU`(wDX@=zeF5?OSJ*_J>*-)ptjk@8?!Ha^`R)s#v%>_M2| zuFEnP#(3o_ACJy?sODSvU((e)JH*lyi%Ql!y zT@5w-=A#)Ji@N1k0!qZ2Oo?}^;kOCQm>`$Wx^uvGH#Jy7noX;Oy9K;G6Oz?SNE==c zkrUKJYKggSV?9)IGGq*G_xV93&r$a+WZ20*&Y_J%6gVMUwwS|nxYsKLR`2W{$3=)( zQo+4axcg1f3{7DN7RqZvYK<9}I}wfHzyp1_z)cQgPR-5($v&$ZsvgXWg!__cqfVoN z)?QMracs-I0!=Dw&ds|yI$ap8NLZZ~KaTC!2VUjAmT+n3pJm@E>C`;-tC8)ja=Mvw ze`5S~ur<9tw2+cE{AM-PU|t{+WZVb&5r4j;g=cch`ZeTC61Wzl_(gc!;9n-tiJ7V| zxJ`#WUWgfJ)P!W?pAVtSixiXJ&J$3hZGLkMWR;8aXDiCYK8UG%nPO1A!LMACybRGPky?+!% zyisW^C+sRQX$Gn;;imaM_QLBYgs>UWl(C-oN5@m;#0x}fSl%er=LGhJAfU#gq? z9$LePOV&aU$vcG}s6DhAJoKu!hhFM9!!TJj48FYx2Idd|WRsme>wttcI) zG-(sqDgNnX@ifVgSH*opsKt_9vKz^L-rizR-Ve70FGA_qPpWhOnS+hw4t#o>VTM7d z8hNUZTdc=gs+bvZ?ql5Z^rtnHFJBbZ|7Q3}f5TmSQasIW{E?dH%xx#iHqm;gN#pYq zf{-in5l^ir)4C;xW#%3Yv_yyRklHqw;(Xq9m;A$V(YLRT4*3u?9gwEQa%xsl&!VAe z$SOL3u_ko`>A{heQu-=aCf$}U$Wv#d^pBTlcsmOA#LfH4$T-EntD)c|-2OA3^N&^X zU=FJ{hP7Y;s>Jg?aQ}@gS$9i>PEQgomYz(baRGj)J3T|3cz#Q?zOz6gv(XAB`G44Z z3*ah}FK%=$8-nZNw%7u90y%?2a9P~l9Ts=jKp+OeC3tWrz~b)CF2UV>S=K% zpDJgxlHk@57H?kh*Rpo{m9kt8qtZn;TiP;~rJ=2X-}N?DQ`7rbNuL^~&sQ$@vrut} zEtO*`ojfJiGaX<)374A_NykbcFlS%_qui}L0=3vI)+!ZfNyYbUr%Kb)=q;7=usD72 zP6;~mD3pfNS^YCGa(zC9k0Y^ly>(-o5 zTHi*3%dmG4mGiK@X1nfO`Qt zmCn^c=}Y{Lt?|v#bflS#{_$DYgh-;eu#JDSbnUc#sNJ0KY|lFENDSit>|L3jugp!C zHf^xny@{C?fAShi8u*N^oF8MITF1*QcyBlQs%Zy=P@6gX1<%(i1Lh@ryg7~KXB#`s zpW@Ay{gSnc=c4ieAfO2IT2)tPy?5%>*wBrh(QW`0+9t!CG7^cIgE4n>PT8L_c9h`k z{P*_|3FcL_F^K;1OZhf`5xG7e(Cn&O;I#OVXN34(S8(lzr3XQocm~7uF@GNo3&n5d zU+^Ia^UjGM!0sUkM~ZHjV6h#d&d*tl=A6#qE+rA(-!eaOX05e&=3cufYlF9F5-&&S zx}NlAK`9#0?XliaIgbYLS)YKwsJt&J)5{zN4jEtT%2i;SD3KpE;1krbs<(qOe*olT z+ZITjKTD5XhCQ;CaS3>x8MkQ9q4Y+FNfUMRB+w>Ml=JYZwKwyP6!T^Jo`*{d!d7Bf zg0*ForZ8Vyx3YB`rD~b4p4u^(YSxwc8gD3&OHeGZ`%i)+`QaFLxrcYG`x5?q6`1-b zzg+V3iYh` zkKZ|tvGGVA<5|r>F;FZLvV*AH7v8I7oH_K%VYzLV`5^VaGv%}XgV&eUKkWtPjw{%DTWXrcJozVy>4>DM3*F%)zRmH&;G`y(Xwgsag;05#{Q zjFMP7MnVe!YN~^cJ$t!hE3(?N=lyhybrFwMWsPeSX!(}|bUwI+9-mV%;qJ3SQBs5F zewjv-cYNu%yci>)=Rd=z{teD5x?#X9137)hyT=`lFVOP}5ksiK5$Tb6Go9qx2`10x z4Lw(-YYi)HaqS9oL~=j#&t2(6a@jY4`iNL^3(8~%YKm?nwZr}b!3R%4uH3AS->~O-0GLy zg8}DCHJO&itixW$tqDE1@Ku#hs==2wxM^WjX3&M&!@nu7EYn7eWqUdb%8m0&;s+$947?( zwD|f|+MhzE?`xEbrvg%g`Sr|?HrFCjt9#|(CB({ff{}nQi=i+ z?I8W*KaHSv`6QV0d8vvmqxq}-+=8jd7`$Tv%R!B2Q1Q5U<=)qgipG_LceA;)aC`G% z+S7oVa(lZ|IP9j|I|}Q(Ngp z6xVoL;wWt$dQ?73dsD}qb7|+`I6h^S#2Ukhczn%Y>zDC8o$bSe8prqO*rP8VY&&-> z23zM`il9>EqY2v)4k(^SLP>dTEMIakXe{kaC`W>?vn1TKWqzu~bb)t(;o8N-jEpt5 zCz?6Rx5drLFH4(Syxsye?kZZ@p34CaUzkEigcp~OlejBw^zs+EB2X1J2JYJmLoV)* zP?D$6wOhd4`5De4v2090lxKop;}+$(!%&!Z9-yXm9!uXNq+eUWU_o~vM7@REF$J2X z#3H+?=c3*m$a;qLZA9_;+_^SY4(ptu$tM9cAtiL;x&L-*b?c=VeYYvcl?HU@hj_0I zTudMTkz;$^*gFWvbr(J8Gz>MDL!d{MP*si#v281uZinhoRr%7-+GV+pmC5k|gw4`J zsi|)y9pzIk#@Llfc{f@!QZjY7V(L34 z0f+utX7GJ^2P;U5v6Nh1T-s;&P?}gt9wva(Lxf0PgM=~&HOjXX^4elI?>7*$w3y!0 zc3)^uh2j+^W6x8aH_OXMoU_VP6q@$t@JBjvE~8iuk&@LxxLk46$R7ajj-Eoh&r1W& zuF}}kY-8F5(5))Ru*5y(JWx(P%>xF~j*@P}5&~2XwxB*!|6709$CbM%4-dyk1I7{^ zZ4+M3i72+>3fJ=hsMW&D`C)pT(pUje+H(8TMSB^S=zkTc)d~ur_mfM~xi(pRnuCpa z%ENXqBHr9en9g{*s^M{#6j({BXR#ZQvwEBOz?^_1aOZO7mPTIQTYhdZC6!v%-xI3h?q zYq+?hHt=iSX&OxqiW0q=E?l{Yf_KpI*oaheaPKkHAiY$@{H%&@Ns;o3E*{w*^=1QG zet4vgKkB{hE-|1b1j={8ve$tTIX{20NQ6gz0%0ZN#L0}`Xq%mfG@<~wo=xyx2kvyE zv5RCh$jzmN8Spk0?gsM9^orM}9=Fd?Y~g!6c*O!da<2V7Y3R7pbSwJhl6DPA{b@?+46ZA{=dcbd}!qOKTj&@C)#UopyOZ@B3e5Wj`Lb!ar(TsO zQ^I=}=xF3bOC8ojBs}@Xm%_APKsE24qd1uY#F6wu*F@#Kl6sV5dX+F5G(v8iS;;&X zx@NVl)Zyr+p%{g7TFtx%ndXNlK~(-hxN!HFs}sweMCl61G+QPQqPCqR7^)!Rw*Yg- z^}o!2fx9yCPThZ7?&PAW$6!AX(Fu3X9l9!~SseD05w@V5X7U|d?Bi4nwdhWer~^e; zFHFCW{$gD~WPxv1`9htylfBTE{dgU(H=E`ymOaT_OsUY+^3ayDcx8AK zO|#16F>Y=usEd;>t%~te`piwK#ooChK;xD^5lhe!x7C9Y)qXjavSpRt;RfrbsJsHp z+wp=6+Z5(5OJ|F4FBYr7_#(paF|@I{Tv(4~Yhs}IB&_6+iiyBMJt!idDefZSSmK^i zIeTPt;!joJ`ag~)5jiriVL^P%6B(6t98b!+S5K1=~bFuygjIoR%T#T zdZWz}^4;>9s$Pia(=ax;al?LFZ(g=5T5LL{SL-{|^T0%9(dh$mHomA;6W`FF{vCfnsdN$Qw zeO(`=!0lP9WKB()U7!MWk{ET8Ruz{3i2&Wu%(&tm8%rB1%JH>BN*&6>et2z^r4AjM zJhfL+lQHR0d08}-f9XKl&~YRmsJ~4As0?@+6dB&g*00et+S)cs^h*YFS|i$S0J^IJ zfs(usvRf%RK%SYEPpINrlWtY{^lC%(o{F%#Cf)k#AyhQ;Rx0gys+(sdJ;Zr9zav;2 zo^q8|cFthn{%^5_k|IU7-N7PG)S1;R*s z#RYtZCqQwnDJL+tHE?c9*Pi}5-dY6O%e39X>%wUB9=V>0(UpRlh8!8krE2{PO{7i^ z2}Z~jt@HrHf?f~3G?T^)1Pa`k>80Wh>hE=J}-E+G*iyPZE1Y7umF^9&>+caDKCSxgWdFj{q zk8)8#V;~)eU9LF_?Xv!S3^%K#hr(5QH;9()mYuzntRw<8zPpqckHcZB0IgMdY^@Rj z8S;t0A&`c)EKjx4Z*baW$@SU>BD*hEdJ~0AeMjeJCG)|pU!u@4$U79h>?(ay8Ar|) zHg>jYuU}P)+<>8u(aam$z0v-ZWaCaswK+r&QqiC%Ge8>!scttWQ($`;zxk8|84YlG zjCK=k&#J;V6Ba6OBp$Lv7x_FIUy2t;42O1d#4NhM^*)XLb(wXL!4p(|2ji(-ORS<+ z3$Ihj!Wpb9T~Sy*#|{v+OgvfGSPw=`h~x?Q`3$eb0|O}{rqhE3YHvY#I`A_MUd|5$ z?EJgi&n`~R4aUE2V`cKr$v=canXZHJN)@;MtXs1!BFnFWJf(T%cC_$rBcY15SX)F4 z^+L@{xh=Ew`J8e|f-vB&P$n3pBg2*eDpXxg#w25HaZ90&guIEI(4M4weZv?XxNl8|j-)pkBdbeFVm)F*;uGI+e3{BbNsh$go} z9hTtb_Q)Vv4FYY6m(mt^2X0Xg1zBa{ryNWBo49!g3#=TM-{w>H?ux1KBDN$j!4 z6J;$)H4-$_#mX|2ObrM37UmznVK>^vM?W4oxJ+k6m0Cty$nSRavjvm(WG15KWq8GwK57$Q@vy-U!cQIvYrjmDIoX=q;w@!?uLt|wW z9L*Xi%-|OoXDWUVnaZH@@IK)*@zPbA_xpb9Lb=QEOb1Z; z?WxD<-L8a2?@bfL5ov;MtC0@-m(#!%(s{UWSboyr@p5{#TY~Ge2;F?D!W{c7IxBfw zl{cT;mA;){F4*!!ML`R!N63tqk-eH z&9j2W!8rMr2Y>CSa}(&JpH$?}{8wQ_yjM|<&e_h#4WVy!x03hQYI-VGAHkL^48{ea znqz*%FdCIXmd>WkAR9XdM|Ch&d8V1(!^I{o5n>;r*-b2cEu?oarAZ){V!&mH{t8bq^KNpJxH8le{9=1p$w%sokg7QfOGx@VOL;Y>(N5ky#UHM;*JnW38kqi7-GZ0)MmeAXp>p? z*{bqokj)!lK5k`QS~S&1q!!J&azXbHR%FLYXmi~1L+JdQBcgI%{UNix0?Za1mu-!w zW?4GKnydK}Og#!C|LuJs)t@Hm25KVt=SE{5<y$Iup`FUx-9G>>GZQ?Pi~-5uC9XLQTk}dbDL}UYb#9x9+F1+|?{u?JGjf zfzey&&l&%Soy@p?&>E!@4d3QFV^$83xkEJDn8?qcG5c&^;Zs%R2sPW3DspE}bMU!% z4lP^L5!CXDyfAW%QpG8tsV=;nl6Rb86YP5xrTGzJwNX zZ)51!RDnXzkt6e;G)){cnjXO-ZoUBOUqphDa0mmQ4PEO61QcPj>#Vk)aZ%(c(3WzY zT2q{B`Dsy;I6lGf8LrRmTi@uKBe#(>?|fa{e&q_@nq)c80beG+=9BarN}%GCQjquk zC80F)z&Wwz;D(C+t6(LEAn#I@{ApT{Gy{w$2zTGuw30b*qiIX4+(yqsL3%f3{#N_G zJ?7G@obni9NKhR1b>1|=T1Dnkcv=ZI$)j~HCOJ*qNg0tH@!JoItc(cjJp2{v zmtkHOuz};cx+|`2hNyFaH=iF2rb+jtD#oZ1 z?;?V4S%f}O+Vn%|MiyyfzbMqk!nYI$bxN7)KRRGPrBJg7dsws!MQtiZ%jS6b%vPuk zLFFysk$*m!z!;od7fa*Ki|m6?`4V{K1w$s&h?wD+09FT~$X4a5bmF$WBtdzH$|l`M z9JA?c61h&3o0bB_nheJTe4}g(KGloCB2XQMY4bH=qa5DP#!%ax(ulANOQ_;5Oh@M7 z(xymW6j@{sSA_ir?{EcG9DLo9#`P*l#kMZAuAHa`J!*m~22`3v_g+O&y%CKuT`C{p z89Kn?l7+UXE}v&pomV+@USKI7KFyXd;Xr4vd%Pml;L~_2`1Pz_ShXGV75{g<@kP2< zJgIT5(FFY%+n8|oK0vw%(_ve*ZY|YHCufn@F*3qoUj))8S)h*T)!S40C$lNx-VN3X zOE?5hI?X3@R2?`Y@kP;qvv;F>t1Vmzce_SZ>tEV(qIlZ>n2S`lDA08&*vcUdeY zFh7qD!j}l%SUzK%@N4}TS4-Y}DGPDMLD4&OJTgE6eK4sontC7zThHcy&CH0y}KDm}byG5nULS0K%5 zEWvm952EXEhh<|sAii{a31(dc-RHnr=ZA-QoVpGIqU`(64T1K@%82%Zp9xuIw2^<- zB)`*kDsZ8jK3&P1S9I`6V%&oEhi@QdpBw3VD#i-a?XB`2K@*xw%4bmK*RY zQ*Rh;_X`u6`dVq~R&az+S}_$%F&-*`Rs%<(P+Bd;SUi20bzQWDTGg%-=);~ZLZEk; z=OXLDjIT7o92JJq-?QZac^Bo^n#2-l*V>QmV%HWb9%q&gUCBPJdwDu{e6{|oV(Kun zbl&5URB6#$aS;zZvc*(BkHz2i&xX+y)LyoYb6Et}1m+ij+z*4K0ADp*5Wn(3BXdo- zo|xbHKgBg1;NTZq71aR_n8GsMy;XYFlK!M$mP0{UEhqGRDcp+qMwPzz!po^Q%+naOM>3G9XL6~`U zQfrjHiL5s7f}*}vFXj_q{#9PJ!8r-%1$ZgUzr>5QUsI_4gwjReJSG-uPU99Aq`ZIE z(9bGxA?SiQvo^9%D@vDaHZ|y%)#sZ6pMZtN=ccrl*D@L7%U2bcpHPIAhY=M9VN(*+ zqJSfEQD7L~berS`*UN`a^I1G7?F_~puWxfOIGmA|x_0kWW+Uo_tbCWMTrvmm!xO60 zFGD5?y3NW3I1q$6gE3tyDt`$z<4n_G4nq~|-`L9Tc?GV+k(K{x`wy3;wKspE27@2y zWmV#u1H)U}k#Sv~agQ1Yq&4)})%6<6arMD`V@q)Mr^1aXUDXBRF02e*F({|OcYL{n zLu(Ul(4OQ=T?NXeNAYF_t~}e_tiFHstSG=CjQM-8g-?a#(QTlw1lm7OnEhwP76(Lq z4#S9!rPZkQpLY6Ed4*nANsNzK=HuBga!|hgRO`*`r#@t%;N>FXx=LUxA>1NH9ID zwTJf33fFI_w%@@kVm!ze_rerPd?t#%zTKercj3uwe6#QRAxd50EB&0Mf^|0YB<7EF zxwU=#`3_ClcJ?=nE3AZ1F^hSU*1F`|{+QHrS%3lf!SNKB|0`IlgbMy0EG8oU6>M9C zB>jirk<2yi5=7z*UW`BF;2i2iKiXxX9|@4;f9G+ zXTTNm8&yufu9(W}Wc+P|m^bXW2GF;va@--mVhbN{rD-APQQ3me`Nqv6QwvwNoCjO} z6|7YR8qVNo##f*ws(I`VcBx#;|Nju|C2HoEs773*Rk8|lxL7A_?{acHML`#Qr&Z8;yB^Vd8i17XbaqKs+MdfP)TXeR@_EI8_@!hkd zv`dYHsCJlSDmv}|V!#;F+^0HZyap#mk=2+cHWVCInD>MwPlxx)^l3D0{B@P^Ygf5) z5uhKU+ut2@tF$JUw#Z&pslz$5@{u)L)0?UVX!*6dIwz0v@#TV2c9VabL~oazB-~en zi;qPDE~=Jb%^5I>Yo9 z*K6YeU4QLwdwVK9c#b%g5;OM#wd^b`M{`)xva>yC;1QW=_Kn^5Z#H8qeR?NPz1gY= z;{)wuB$n$)ciMYamad>mmtcuGLLf0!I&b!V`c5t_qCqAo${4w@{iX$(f*WDTd;Ii zXp27zeuj%srOWLpY^|L4N5OdTEsyx@wa$~I#LjVav*ayMQ)bX%PbZxXQx0m(MCD<4 z)q63HM&6Z2UN%&k+7*@e!%Go{DXasEu#`}T3Qz}iU=RLJbF3>{qBY z1=4--QU_OH-`o+U4p!xla`dJdzOrw?f0cTE3lihYZLFc7vAN_eoI+yRA+c&)xg!;y z8lP^iTw!V^;Cq49c9eEbz>Bi#3JXmJdw9z)X~i+9U~W!GOc+x#{8bTlc62(X6?mfv%k{jJeTE{e z2>AJ25LU*IiY>`N*`Ku5y_qn#PEV(e6X{_18KF$3A{|D(*U-ZFArt9#I|+WxmPJWp{BT^p~n6o>VsEImCpamt8t@9>}sT?!WdI0m&?bySQZLJ25Q0#cB69M!R^o{aIo;# zC2D^vwp2vD>G@+9bttGTGzpWSokkVTPg|u9Z;^`?LKP|s;&9fdZt~!w>TuQt4@T=- zU^cE#yJ2HUySPQfZ|J$e{4$z-hVg+5jP;0;vg1)xwQWbUX~g(I+>JCd&3Fn)9bliS zm})h!HLM2ZF3m%ptcvDg`WE=jmXVb3tIRY_cW;4}p2I??#;&|{jD>2qst7%|T+b8q zU7Ft;Kt&f@KGjmibQtSVdR{$Wuoa2E!0Yq`@$a^UQP%tOguHVpW%6cWX}rYM;yG6R z;c`ps8HpgNR{i1VM;z&BIS=khYTEPR!)g6dsZ1x7cMw%HWeK>bLouZe!$8wG7`gLv zm=P9);bK&67>r!0Ly+;*o=)X!>DjS3)^Jl=p_1chgRQLSGMVd`%1o-BPwp$&h~sfZh5279jZ zxqPbhD92E!V(BZd$aW&R5r0h&y|N0iZIK)VqJ>1OCQ_n_5_46zwh+ky0*Wm%cp^<0 zF2PujYz^&yM_Qv)v0R67_5!N-4pa`Kuob9TlP*`XQjK4UIpe?$#^iDJuF_zk{3jn99yH=vUFwozoV zC;Cm*_B%vizA=M)bNSBE@TUolifcDm3(Us@E8N6=A)V$EtZ{SVusHv2t@?BxTSJ`fbraHJ;8Df?BUwa&4 z#S$C%*&mvEo)^|HA0haTAC;z7{Plu$d3d!hXZC1a0@~zlj<(yhWR%vg>b6!qsoSetEa55zUPFVhX2m^WBW#)!gV?6KFW*>v-iR3@|Tcwjef33U{kJDYx= zC&9JYiwd@UW~>}bAkgx=Hqx`TH_1ETsddPiFJe>z5ElID5X^se0G}jcFP%{xl*I|C_FsHf>xzFU&-zlE=gerbx zCTV|_?M+l*g{V9skJhBcAXXGtWBUo6+*+G2`kpT$dsI9GD$RC|`oRS(^@mHd<8o;4 z;oO`{o8X&X{7oXkI;YA_@CcNyjlRndOV2^+jS%P$!94oq(3bsc>6Bd9ftO2Z9#8du znuGsX9Q9Vk%h`zd9LoP?M9d879gc(KT<}cFfZx3@rbqEX_Tu3I2u;HYbhaMTy3m*5 zBLvUwisvD4?Ek=r8joK1-Y)4jLbd~SOoz+;A-E2}A}0P2T!Epsa`@(l;4*-e|5xx% zPWv2H-NB|hgfq^zE)eJsE9c$g4o6vt#2L&&kUXCUcJo;SmfLG^r@z}Gl3XzICVPV^ zs*YU0b|#5)?r?#*rmepcOnEv=a9+h!T(4~NMg(qp^}KJWALpw4n7WpRa52=u8Jt!z zbtg#Xvy3dJj(lEL_hPVHG*}tYlkUEieSf6TRzk-0TfO(BDa+XZG1&&lZ-^LO%KOoZ z^cksHt&92@(E?VsqLnc6%bcKQ|*$ zsAD?ytt`X)BNg6LnYn`sR1IF7u@6?2&xM-V*u!cOk-aG5#UMW7Wv0GEq1F*<=HRBZ z91r+BkEeU6_v)s)xu!@V#y41X4W>)8w-7w?C5}WQ8t{H;zQG$#{rrIdY94cT3>JS{}j)-)yZzuI_G7yUCtGj1)Zp{G@-J%Llo1nRs7PoX!>UaF5%=@au%b(awYtt|if^33zcc1y zMw73x93ewr$(CZQHi_gg5Vdzx!W&zx3*Lx=wX>#RLM)nbRfrAkCPxk=!VFc(pBn((x`UgMPZn{BvJy~GtjTM zY_)$wlxx2?c-ug4|8__c4Z2(!WHM5{2}yG>yWGHi7^ks0f5$wJe@ri*Yu4a_&@V^V z&&Ogl@A>LzRuqmxR@KIxERlG6{g7X9ajS#{gfm))_kedQ4$LA^^V`q?w+BFlX zbnalfi%GAF6oEtPBc}Q&ct-aTu5YZcKAZkw?4P~`fGwOxZ!V?z=UrS`k#w$frPF5$>7&>Licg^7taRZ= zL0gYJ0R@%a@9filT2>NA0Fdk*MXG5;xHG3B-&D27yIV_anv)ckO{n_}pm)9!#IHwMSeH6#IiMfuvd^J4bZ5XZ?RE{}8)xrBXL#VFzMe0hR zV_PO!BX-Y1(wo+(yJMnrP_#);>D4#%q#-dHVSjz|eoLN_5DVybbYLCy$g>HPRQQ+2 z7()(+lnybxil%Fpr7SVRf#&P?oC^B+@5&iw!%^Fb}=dQ@e% z>;Rez)dXx;5?)NdQuxg6fUZiGlbw;MSDP5{EPA=Y`ETG?0E|-V@lkLqF_HRC4x6G^ z@9e4(Xf`21Wi$UT{^#qquo&}IU0#=bVFAds351i74ED`h_20{NC7g7Srgp?NreYy6 zoTP3B<} zv0&6}GanH9BV{%>u4?M%Q95WmR?_pkEQ7L72qp}zd3-~-Uv6I13(B>JBJJ)^=oX|Y z)y_v4ATz`F@nbVtit5nCDH!@LL`A=jdml6YDQ#cjh)E6OVV3ahc85hlWzX`C$)hVc z5l~T2`(OlE3Dnymhh`8um)7}j;`s1{$-{}PWcwNqMtRK|yCZ^8K`z93T?$ zhB1^&ieLqOdaDHJvlp4-jQLt>5ctn>CTES_Ie~E-}Rjod79$ z#6Y`r&Bqz5v@9wmd!%?H8~zMP!%sKBXoXL7riC?nOVC7L&vVd?Q-FTq3s;;gd?4Xu z18I$;EvjsGtMYb$#xE5x712@3`T~v|YEK_PhtvV%KDu$5oeN!6|C4=Dn5t1;;n1Tgb!rMMSRKZ9X`f$D3dybf^`^TN(R1*FQcPh)69%0((Ml-EJDMR5 zCYJK?{z<9Jm)i|89eZF!huG{4OgN9Q>2f3Qky(LE{*{VFpY1}kF_JbzIt=d|dyI75 z8_FW}4(f)8>h1>mMq$PeMqffvZ|KL_lZdl>O@SQN4TBOd4*lV>g=l1Bwvx9MUJDy+ zwdkV7oUfRh+0}g0n1w1s98Of%Y~2A|G2Lt(%X!*?8|F-uO@Q;j4DaHrg7dFQoma_3Jb?vp&;GD2A>(|m^Ema&8L@k)O;5tA_&pv@d}CFU~nY^j%I-#K1E4q&wI zwALk5Rva#85g%#l?V+#GI+rDxbOJ(u9~ZwEMNIs*d9cJr=dTI|VW3XjQq=o~czc}V zcN25?DKkGLvhe*K{~SpFX4Tr zA+*NONl)P#TTS$qS~VzlLgM(Z@d->6go>t2u*A_M1?aeI-+${QnI`DW6SpWN(dsSo`O%LkVxITb=HQSY-cHZj!_2~vw|okIUXeBSZ= zV?#<1um`lG=Srsv%!`8C6^@93nXcEDRRni}MZ<&MdupG_-)*@`WOJx%^;5KcBfEA& zfZ3u$rU`v=e&OCzt=5M6Ej$R1$Rwyc27R^6Li4vA@m%QZc>rzRT(GgZgU1V}aGtMg z>5q>cpqhsYMowkZa==mnx#`_Zj-Jm41tO^Roz6RPj5p1buo)azJC=SK~)koekjwYIsknShcmxdORS9N&V^MD9N+lnzp~6S?N8r zc^3_(3@JQPV`!|Lvj3nZ&qnX)SmpG((L{ku7e*x$2d<3AtR>7jKfXqoi z4KzZFQ@J40$O#!+l@uCnKOpQ_JnT7uJo+3(|1Dc9o*yyjVGf>C|!I5oBg;3YpKku z|3$-TI&OS|yA(!J9vF!s(it3UM~2%JZjvm0T1HDDXCq;hHq3re(34Y9g)dWnw_fi& z`){nSVU;Bs`1&_k4*1m6exeQnvo)2ewW~NNjde}C#1gnRIECwY-*e7s_6CQ=$2DnP z<|f`0IlbA03$fxHzf1=sy59HR-g8%9t7HZ9wI!q5HrlkxUwEGaG2T6y$VKk6Ayeos z#bDF^-n<`gcN!1Ctw{$GW-A_?K|Qa;&}XE)@v%BjF6N3Rm)|x3JSf7l!fElc>yqkHW?`+< zVB@~K+j~=MYdc?kcRiQ`Vgep%wZ#5pD=Vk$vk1{bg)a|qznrsrzy`Ygo?9V9)+D3_ zEUw1Sx5RM!PNNi_l&V>=@FA;I85$U#jP4E15X(5-`_&>q|3k`&rt&9OuqAHw){M^Bnu*o1N)*Yr-^$q3t9IE8 zcOMG~9ZT-Xq&rBKz!I)Q91Q^4az8aw#b)4MUn=sWBtcnXN3Y1|W=)20UYg<^(ome0 zHdX&S2nyMDvVqTIDmGdNF8)kf{Z}MusB{;PLsZ{G7UxJYoTJ#64bV3NKeEax<&K`d z;?-gC8p~KtnXPRk7_bT#Mu*$BlxE*PSk}kV#-UmF?sE*E05f!n5-kxdH7Iw4x+urk zB*sHD);0KRcMTXTHfHt4$5}p(t=j?Wr4TK!`Zn9qtPS_jw)bu{X&TJMaJ4Fb#+|cF zUThIZR88lp=96NfiS=DR8dey2Dp_Sal0H#YOzc1dTnYf94cxJ}RkBq3nn9gy9L8>V z+&&S@_|;@e7JmM1kut4WeBS+} zEhrwy>OwsXzxFMGc*zKIo?zSfrxrdErHKCjD>dF8^Uz7 zh|x7`RX7Ymp131(`|4ZM_G%2D60`Uj2lZQG`rZ&IFTZq*^Sb3uUVaV59*;ZmJ__)< zAwwW^@RDW9V0TtQ2h-BwJC$m#zl{b1t^#05P+k1rxzt?I{#B=zooKbeWykHbOzmjd z66E2S-moM!(^7o7VfDTJ?#SqER60e}d7u1Ylr*JRaGf`1DTi)zvw=O453IW@Lsp?F zh?7j+X>r9-O4JU1)Lg?1iJFsxKePGVqev-Z}N5?*1 z;SRA_f50u7GfdK^>0#XElGz%oehb+zT4f<-^B>)rmFo-S{OUGK&q$q z8_#*V-muh?+x)XqeR`9Hb%G*J!}3dT#qn>O7F?qdd*4a2mBKWDI$0C3%NDXr#`K{(#KvbQRMy4cR-|gIFTg?tkd8Zu# zcm(Eh|Api`M4;oKUsevIW7OKxl9et=Dv05wMn_k5{MF6MKiLm-Wk?l3%M;MdCXi9GrDbsp=kvlUDb3}NG{4w5$jKUCNpJzY)i|$ zb*EaOCP9<23s`59E#&ncExzV92@cpu&J4v&a_Y{zq7yY^osIPbw7U-XcCAKO~} zyM2DDa72-YC+)u-CMTZO1aSsuUF;y!w**S#jzFK3J6G};d;qa}SZBP<+V6IhB)chr z4?+kC(sbDy6w;5H79{s4e#>q9+o!vMdqLOb1bZR&j1S2$5b-27=1lrbi@LUdjGl{_ z9*q>2nDQQd*rbb0C`euLqjy7Y8+~UQ!)>+1jwl$dyQr2!8k`w(%+7t{t`QF<`j~kn8apPw3$Qeoi-<;dvO<*h~TsT;7Oypi}AD zid!QUA}3J)a1kGnqPrdjt* zZ&{q^)=_T^Q=sS+Knkl~yia@mcfBT1CV;d&r}U5O^}JJSh~>E+y5X-ZKtbRuxr!Ji zazk*y^%`G57a(=RQuQZ9_@6`WoeZw2{HnwApuAuzho~ByOBIoUBNazT7I|#v^8udL z03}8>q_LB&N>Og$9MI?oldFy(j;(N081HCgG88o~dxQ3?XfoCtyWIMdJt5EuNc{wt zXT^9h+T8!L4o%0-`7@-b)BPv3=75fTgtA=yv z@dSmwaI&16qHFaA>(G2_s(k}FyTj@vJkCL;aQ&7R`f~UlHyu0LFLk;IYMYo~dK*0m zTbfE}Tvz94r?_Wc+XBXJ%ThpAuJ`ypw%XQGP^GX^7FyHTI4H~T7-sqDb!HL>9LZF~ z%m>WL@w*r6N4>0{+ivm#Yd9_K=c#Bneuk8xk4;hbw{`|G3LV0x4>2)*BDgXw(f z8WAVC?1xQ-fy4n`LQxyU%M2E3GLrCOXX4c%4=)rQN{8z}t&`#mgs`UN{PeR()p><@=v4a3&Q8JQ11)u9M9^Me4x2isYR4|&Tbylc;YX>{IVB&ij1O$?dqLE`gDyO@9l^%eGnPd3=BBgs(jlYi_tLaLD-Bv1Fu2 z7T#ow`*0`D{scQl>>EmRSg&gB_m|LlwMhvZsE=4r;!{pd$i8}*vRsgS4raaH#*n^5 zd}x+dmw4^3cD!EI^vd2_lU`YI%<0}c;aZ#HXqGQ|*5^@Mn5aJ5IYvvE>M%pXX#I+G zzhZc}8?$rTk+sbKH`=^JHnME|cAqH#g9k0UzmfKP}brTNk`uj5W6G>I!LLV$df+HG^C-Wx4)b}ocw0)yEMo0 ziX$#BVxs%l(4B~Gg=sh~V4&`h%WH~`6i0qSIcfy4q9GBC!7K4I0(A}MG}DBT$cAe5 zv?Y$#T(4d;W_%}SXD0uZWuOf-^NP2;gqQTWgbYl+Dw&S7@?*YoERK+EQrJ^QbK-?l zP}zc2^Ab5Gl_&zTbdEu|I}ghGoUJdS znQIzQ%{bITlu-|>>(Ls(IX-Otpw{?lLZH@~rJs?ue#GTqrL~~$;bjc@`)#h*!x673 zWar}HD8~d3DZ9utIVj&e*msT2nQSI}@@xN=kJ7pmX^-Ipfv%+S zu*ph(bU4N;RroSQic}+H?CoMrn#zjsR3_WP0HZmv8m6^Enex|X#quUj?;mcSde>O|zRa-uL{O?j1>jKRlLg!e!0N)iTO7{z)mMBf|Rvy$K z^@(vJ$TI{V&Azg|g(0jDbFzIQXU;uJ>Rl>y)n(7Q#F~tf^@vrUMM>cVCVO8}BbGRt z#;Ev0$m*WxN{bK9e4g&nY>i*%qd1@_%-Oq($v2HKVQo%plneTT;KxEjBL9{-hGx*e z&3)grIG4j{w1-m05U!8s0u9PVtcLKrC(XZ^7nZ%aqLoF`5H|7q3ua#BcVU<9 z1lNq%qLw&wzA7bcAY~x*g{gQail2sg6`s7s9yrSy0{c=UC{CyUhDL;V%fI3q=c360 zbxXLdGxO1#9f`pd7g}5Y?6SCpjOOl2Yg-w~{WK1(M{F7LNbM`=khMM9CAS$&!cK2G#l^6|Jc=zmQmAigE-@IMb%#@K zg_yb<2Y*cvsY+ToF(AuN;jA}}z4uMd5-1fSkC}iYcPn0MduQ?brZ_*WGJSkA*1>M- zQD(Lk-C-^@?V`KHB4>3e8K$b|6o?h*x(NQzb6x%<_3sGgCns;l61#*TK73`?E}fQ= zaB)vInjtJHZE~>8s0OGe-XD2vp-hKx?h!=fw5(pmA1L2{c;O9-he(CD`X$rqjf4=`*KcY|lLEg<;Y6QvG&8=1@ea67?>LC>bp1f6~QR zt{6KW9u_V?@Yi)+ZHCwqEaBy{T^?~3HFOaP1Kpf~3ej34X{z1BEDKK*ET%#2DCY0p zwN!HXTF>OuKmPshvV|kVIfyzTbH<7l#oI&OfY4Fw&vH!z0~TzVSw?nrOJzqm@Byht z?c78Dzv`dDKY2i_X}1N<74DCTL7-?vps(SAAa*b^EV;cd=z6=U+bYKrI~b>wv=5I# zGa?czk+l0GglEPHo?{cw=W-Ty*mN*d$~D>(_^woRJCn{Oa!=9fLg6=%?R$N7P@Lp6>?SAKs zn^8Lp>7&>F;K|2bzT%~xdOZ-mtgQc>_Z*nAOR3KV#!53mmgz3u|F9yW1GJ4U<{ZYC zUk9-Nl%tLPLE5%H$O^H(m9h98_JWN+)v)Yl7vkv+x_KDGOyNHI zi4Jo$VxYMH$t7>CvJe|xVf90mpZDLOq=6c^g=7T#5J!W>izqFqb^=A0ECL1swcgAR zZ%N#hev_A}8|FO>oxHC+^i{$VJEPsoayt|GG?!&6*|#5Fgk}9CRe(v~Y+fCFnfpB( z5)v!cPT0?X$AtjXXPX@kk3vg{$vCUL>Ng4cV$z_(U~v3T>1H5vE)m8t#c z(0X&z=W>?5PmO2i*+xsbK^i1Jh35Ix$XOB56Yh}1hYe9UyZXpNoqRgvs0Qun@3n;J zfQy)mgKZ=kn69-+JbMCKUlP6SW!Gq~e-xh{7-fbh>GPaS%&6wH={{4*`*)}o)?2i5 zN$g>wb~0!F(Kx}9=vvCVL1UP86|^yRrq9$BJ9|kn=$R@2n}KE({bdoOuMcHGqun9) zK~}wWC#7}I2+|KCA6Izpa{(3JO~dnJAaVT)N=#vo8+AhgV)Pr2V{iI^V$G$~`1vXLszvypdPMlgS5(BYF9 zmxpt<7D-C(2i%g=FeP44M^o-ouQaDopkjMBt}o$R8rU^EA+DKMFR_-lbyzL( zu*r3>3!scsj=qVTO()SmU;ilJ6EJB8xM0E3jE;W}8^LjEg)6P+ZTa)dIJH<*NaXW1 zVoebyKw1FZm2%xYgQ%mdv-+&yjMtF*5cd;jx3VRlV^Lnd!K-n`tRqS&GEhO?xr^gzSnM2EI%~A+|&sd{kU&qwD&5uTSGh(<> z4W+n)y87f%7`CXtR=f6z{jg)lzfW%m$F}%{MjMJmxb&-6Qy?BOYkL}d*!86&L4Gfr z#GM1f_f0>BlHT+4iJFV5-)fRy7FG2$Brru^T%MjGZ>?6aqx91cuOO< z()nkgLs7@jDuU)CbfNTm%+6=nnCkMTRDUzqzzi>95QbKH7Eb(5-Js51>dTHgOzI-5H;@9M zRQ%JxaQ)}zRwW@&rYjaZTQTCVz~R$_mBq0>kdOpLEcwS-Kx6ZG9pk}@$O z3^nx#n_MI(2_@jrf51JuMT(?~oPFo%qU=Ek*_i-!ea?&97$&JcOjd0RQ$No>(Cr7( z5}Q-#C+Nnjupr4Z7~y_8rk*hYD6x(cT%g?2kjLrjafiEN@&W%pz6@&dU5BE%r46qK z0X*MLQWXl82>V<0ofph!=hj>mBTcP*v}SGn9n8)Y1t|GySBN7`@#3{jz}e1VLYwl| zgbUW946PGw@*S;;IhkCGhhmOPkE~x)i5wdCP5m_9Am)ZdwTOTw&a#9-M7GYF87i+Z zp}umNciARo3@6*B6a*~!ay+FQO-_+W(zICPvSaR4rS<7i5D^@=(J`w<9cS(A^O-)6 zhhH0azvZ~%UVu1Kr_8z~-VYyKmE+Mr4_ffsI_2ewLNGfi+&Q`~LcaNOgw zMp(40%Ud*vB>XY7_Rx#Q=lA5Mdp5F^&Ue9?D&uL!B*?AAyQz``uOFZ?u!{0`Ljll) z&A({R=~JjXnuI3RxjzmLNn}%x(>#Q>vVGl7Nkb!+-tKTdy6EeVa%lSW35_@#CQ6TH z%a5N=(p+k+t&KMjg1;f|5fUJAC;S@3?zH0IP{&9V<3d~D!$`ZKrdc_`J=MC^(!HRU z56h`qAQbI6&lWjp61($Z{Stg`;<0gvOaZklTom*YRa-v}*tLiiT=Lnb@{#^6_w13a#l|&nAx@3xb;-j!rG1gXj?Boh6MFTHm>nySD=>|)u5*7! zX8(ZMOj#X!xF&mK^8L~-9&3>Th#j64c$TbWUVi!4XR@%#>Ci};iOdG%b5MN?dL}WU z1LB3QMA6!2YwG${Nu*gB*u$zzRS^*_U(?l{C5d9<`-Xr}Aff3zb6(K?7&XSFwj<~@ zJ@d4D3)Bf-dvz=vQB}hrn^yf*Ds{;;g&76+P4*&Nd7*d9a=_1yeMrx69N@0O)r7pk z&~_Sr3!##V*)z$N4SveI$1Vi!gY!4fgFQs|I4eO0n+et+yFA5|73XD7ISYLm_^VCGCAb3)Mmr?m@5H31L}T*W7_z z-slB2 z1Z-}P7cl*_AgeH<6Vsaw$Df!So0Iy`i2dl&x}Nb9Ar2C*Ih0RQalfkbp4^LM`oaa< z2XcpAwge%G8@;fO|IJ9ZKM@=41v;;vWG5i{YIy%=1%7UPPyv63u0eb!O)4Y}F9_gp zHj+Lpy=KxySB=dh>e`%QlT{wrj=(X{tm#ANFw5`w{M={L%}QxWTHa1gKEtl|-V}fX z(LBl?^iKBs&ufJU*LCZTcDJ3%jNWVBmPm;!ShSFsHQ4eY1@uFgp8>>tdzzrmOR;tr z81uBkOept|5IG}-XBUNMR9$lkQie`u;hH`bdn;rMWepjBdd+@0PjK=8*=Tbkz{s%5 zH6iq^q@CpMf^>1Q@eTb@Ho}yk4wogn-tutA;49Xu5he8+q!O21JHL7C;ZBnXjce`X zbInh2H4+<5C)65+nd^Uw*P43DF6#}qPHB|aE2Ss4@!kbxJidtSMI7erYD3-HZ*I|# z>nAj8TqdnfrY${Apgh1$V70RE=V2AbwMQeqtG#^KU38EwekdfEoKRZKY2Np8v{VH) zPTY3MpCdTu57tG#VnUqzHJISnbwb4-&8MonnDq<{xigBa{3>95jJ+)U=tK*|TM^uB z%bu-8kW!i%EoisgqYPuGuZ-ncsDb>e=^$=@&{HGfovo1Ibp;O_;vgTbw3Q2f))}fF zKL~7=pXay97uaLtJnG&geQG;aTf>-d)vX{^Nnc-b-zjWa^15(;(`FqfjZ&Z4#M)rm`_P$HC*;=tkG%xpx10Yu_bZ?f=T!F< z!~gGqtnA!;ykiq+wCaPah^g0&BmTENh1dU`7$)x9xe>(w3zM5i;@f@|mRo0bA0zYe zJBV-ljECNQ#Qz#lh`ak0#AAGi|KEWJ((h~d|3UYD>0i_47l`{g@BYHlvvqMPW`@Fc zycu+g9o*EnOSX2jRolS+9#0ToIU7RbQD21b$neevM z6&00akK*`!w3FgrALpnN*OlLM8y2Pd&&*DL}%xHu5Xrv+Zm zXmJt5vBS)4&g=CC!&8PIlB15Wv2^InCiP3h1WkUI}|K!!8t>7>qQeS(e}~X8A6ztit-c{nU>5I^3EUqKH`35 zm`YMv#|;v-y)o#a`V&gZ6f(6E)x9^+5`8_879TlhY*Z*%aX+cvmvr3}x|1<+WPABL zk1-u+;GE7zNzxNiup*3hsM9E-+8D=cAb5!&?}=LSOhzh}24wZ)N3eKAEo^~2lI3)d z5@zvPzUPFthdy3%M=x!YRWuM-3PdniC}Ne`fW@P{ExT|JaTE5DasrcSgLs9!Dwdrx zZ{pT4JH9HJSAf$dgldv%XyVry78?}@78A)Tn_Vl;IiW>7!M|OcxMLZGG6d|s^#Vt| zk$lZkuV9+Mb-k8QquJXH>f?%e_vNx;bU) z{l&?ndECV0YW?JH3qW(PMKY!$S%#*klU9lcil*R;*jCVIaW+|W$1lk`1OBt*0FQ$i z*>+MVIv(M&NDv@g0cHz8w>53BezAo=$f}0JQzD7KGaW}(nSuaQ(wTe$KLHDFyg-49 zi9*q59?E;oFCV+0eW^g<7Lr_Xy@M4dHj`;c!t*SNH__daR59~Zn?Uy<1l(OcL|2zo zIgyJ@o+xcRCjuL);7BpQbURB#Q9o?~RV!a0?4Gx5Y@IgEK-S;y#5FiV28#rss-j%2 zqJmo*kT?yZfYPB<@RvRDmfuw5$--V}``-fr-Os^ZeS57BeS6BzA)HUpEo||u0Qh(( zHiX#8Tc)hX1DS|HTdH0H;GYDbVp;^mQ07%kx+RK#aus!WpGJg+U!`)! zri~xOct}AcHsajXKdU4Hay5U6)KyM8r=InjsQ-zd`j^Y%=Ezt!;S9XkGfB-jGr;GI z!x^$x>+Mi%KioU>uv+I2??Ac$En?OMbbug>5y#fjx)Q1?6QXO*{(b~ih8+Xs4FvT6 z-KegFt(Lgo+Ya_vE7yKoE5p$t^OUe+ZcwgYYc{E`WyKO0GOOU+l=pI9=w-t_I)6?* zSY68Wnt-yX@-%vHwM$5}F=1bAM?P{Ik{Vrq6rE!7_0FZHO72A2dM zR1_!y8_O2)ndl4V4ho>We7t3u*1V>^5)U-)uxA-1;3s98pCg zCyh2bA4idj&)c<-x(-{kS+x~(tz1LL51C%h(E62`dtbEVb6u?D@PW>M27JGmU9J67 zQ4&E*Rj_fH)IP9?5~*ryoV;Z6kerf__J&sc2KT5KmFVnZ902Y9rpFe1qHMId*1{#!t}OA0Q{o0Y(pUd7ZC`0@$F9j=JKP1+@9$7ND2_IRvYuaEhYV@oOa(ah^O~$&v>*8jk%}0TmLYkm zIk^q5fNwoT=P+&i*+*~yk_VF{1_FL%l|q))KAa4Q36+{aBVT)2^&w|@ke;83R}Osp zp=i8R`657)G7Po@=^tuhDgMy%SmEN*C)olwwVv<#>{^o9Mjo%S(i3^2G7qgSY*}c4 z^M^)Fn?`0;SlJ#%a8@q0=Fa@e$l_uSed6K|>fNe{KL(MiIY_0<{6S6xlpie1J~foi za}M=L3G2K_2_&HdH(5Pghco7c0Lz4<_{O@nBfoGovRu(}Gnj?+AjZqjzIWrHVm=b@ z_LsAAU1q8{e%r|C4 zB_E%~Q+xyt-LPvz3cf=yBZ{1?|J_N?fL;P5enSe>4F)WC5Aqc*Nc%8W6OkM>eG+jb z4g7f4phoN!a85rz+g^}{{HTvvNkxLw9$toG9$upPfYbkmURj6{r-^>)q{f!!$=}ym z&kt+OBT5nhqiTkvQT(7XDFNz$kn1whnv!g|nOQ!N!U3B!szqnfa!X%I^ts8YiIBym zxmnN+cquoIk!AO|OHa+EDABOyC1BZ0Mn|81KIq}b?*oPvY=5A(qOW8rEEg$i*PVljhpU$I2#HDj$4+^%2ALW>H|k=5|==PmK>oq z`Ti$=D!llb32hm5TNu@EFVQZjmNWxT9;wIn*J@`M3~f~(-z~=Z7)zl)7X{=)msKM{ z9n&-`L{DN9DWP1Y;!JHdis1PBQJnI{n~Fw0MJGA~B1zjMH; z^a>^Q9yd6X>ihY$l7{nXbBr;#aE>ToQL;2c$p1bl@sVVqd9PxTXJRgKjTN2yt}865 znxBYYD4lCDfm-rcLQm?E$%Q6sE#;PDr*kq>A-51my(2O2Fu1u%AO^$leNPf!=^Qju z*;yOl0j(4HG`1uFL4HwT#nlz!0*lT`5Lrdn z!F2IC2FhYh;^s`&7M4JY8m#s!R0XdH3k(|=4zJWpz-=WKj`L!WlP(Vg;UZX_ zL>n7rUX>c}O)y0m7hL+qLT4lk?{w@>NUxMS{>PqIh5BkTCly`~qGLYN9 ztFz1KYNoIyKx#uJr$$pFh~z#{)@I~7Nyn}27ymGq+0WcqncQePX4U`NjuZ@tYaqeLW^_UwHsUc9Bl~kxkp4R2TQ*6=WQ>wZ=xnTt3i|%`E_@T31#di)k9)cZS8obVXr- z6on8c$!S^f{*_$;5IQh&ol72i{G-f$eu#R#i=6x1F8=mit%xsSnKUcsq`n0N0Sh3r$L7ldHW(?MQwZ4&HTR3u?L?-$ia%k;9O2w* zZ=H_jf&Vzbx91ff27!f9M2!@#1dno1 zCw!3#Q!XSOA*7ihB0BlDf*50>E5UPmaEx(CBl1p%fQj5FXb(b$Sl84Z3>Gvb^kLL; zSF+glB>)1n`b0M`nF2X}cT`Ry6y*>D9|y<{WMHXf8BgnK&r4bK1aZiptg}^3-OI^# zzh{vqUelikCWEKdA_Iz7Xq0=OusQz0fT=3GruUyTP=9?;w--{=Ysyn`1>cr1G2*Zt zD{2JRL&Y6CAsX|KNobjL?58SK$(t*YjWMfQHH0_2))tsu141d>*;-Z~qOcH`4CnV5 zPFW6t+{Qc%WBvhJg#$p!e93GPw=wK=hB)4fkbD#L(5W~18V0)<@HB|s2Xa2zl86bQ zn~(n@WfXa&K;Q~bic16ju>6C3hy`*dj0H|6XX!vY7j@JkQA|>XH6yGCXhJl$NypPnwl#Mmv-zObH_F( zwmGqF@7T6&O`J^3iEU?siIa&YwvCfh_5F&k&c(XxS{Gfb-}QF)gWbxB1~Phv5WMHs zA<7T2;CBNMaRWvW@U2=T;D9bWkWb6>D|8I1_QzsM z)k6)si_r)SDlE|}ZS#6H4|8I%EW?N(BtU1ieHgL)npK4-%gCPgIa3yIP&8BTkJxkX z;fSW4%dl!MxDvk<9QiG>Int*&^umn=v>IIWbt;6I`N~Km=+wO9sEX2^FiU(adn8~C zTX#-3UVlqF*`$aRfpae3g9|JFE+h{XuJcL+?-SpEAS6}3{}|+y1u{}aT@F1 z2QM;H9;B36^rSE;jFCiipe@zS-^b@F`~IZox0voe=r7kBVfBD-viJ8$8tWB-T$Tpl z#mmz6Gk7G|T+{UNFBlMztjYDS^A=VC>)zvA?Zd2EYS#fU(r?35LFtN^UWNQ=S_$tv zsSYWugW)=0m=Kz)#_fxvI@(?{KYX%A5~TFPZdUjhyxC(aWlX$$&%-L43^<2tTGF_G z{EB5{ljL?_A)oKud69si8DSyPS&wd%{2_EOSr&FU!Ifw#Fhk4F^6PJGamQ4=v95zH zE+^fAz4Zd8&~D_@VQG zKP{Pb63ygE-Tu|0&$02Y&82uQEYEozYM5+r=$Zkq_l1`Ld~j7uk%WcVMI4+ zkecpHoxssu$OGq5f`v0H%HtGxM54mpWa!Kfg~b#jF+Jg5Z)G?~4j3VPu_Z(XDu)SL z*@>8sE|kH!)}S#6Vlp-rHYgRlR~U2VZINs^Cg3zsA`lhL6eUA)2Q|F=3&8D~aUr_8 z2@vD0Y8*Q20bOto_E1N2EL0ylFQON6w`zg~bSq$$Mpk}MnvP?z+6|Ao+G)6;=iKdQ z3obzVC>?TAW=i|`T^(wQGAaAe7U(E80*T8P*D{tXa3-Vf$bF7?_}tI>E)fdB$IgH1 zMjm2*KDpru><&K|H5aE0oEugQHellCa-4E5c8O%8TZNDz@X+fUx!mlOy?a4P^-)T}fkwol$@$ zH|luxQE%mtQ`MClRd_TZ9JQslx+-3+rW(k~2p^U?D~L_9Vr3CCbj=?9PC@u1e^7n# z*aXY#Gckt{0nnLv4@?qT*c&DvRWkZAAWC*&nU2V^p}Vn<*7P6&MBI=o7xFI2zc)9< zbSTta2STsDZh+Wz)MdGJ0EG!?BqQ+pk#kuILT&o@1^oy|=$`KEgqm^6+A<^?xmvRE zBVA(dmg4ViGUo8B)y^svcFF=GTWR0a)a`Iyim^BM2zTU$&Ux;L!3xHb40@ZgNGSzDwGS3dk1?z-8B;5%Lc zCE4`&B~6G*=xKsh-muu8g^wTTB%0h$kdoTQ>x^%q0(C*hi^|O}^9opG93bsgT8nRu z{AVT694CEF%qj6=OQg|L5-D-HnC?6~;$7#WgAT&)`>*t48jExj;Hifl2n9IHqP`v6 z;k-##AxN)3YpW9V-BSxMwm$nj4z-e0%jxmEt%#!1^v^5ug6gVSXqoSbU@9_tEmR`? zQj(zXy~Z%USepD^)g_@4tT-9YD)t_M9k*X1Lbj&KmS%yXaQ4@FqRa{*32Tc|m7x;) z2r zwIB4oFtwJ_ju?YuUE1!Y%PakCewq7&PsMf9D8d2Z87jg%_|cBX-s+NeDDWzPJH9su zL9LBc{K5SXaw3_$fllE9T?nFo^cKU4&@W?189p-K?f6gUluK#7pOBk%bhha{KgSAA zVau?%(Zshpr#q}NY`wg++q7ijd&usV+Yk2lR~Spr3q)hkL}9VyDYS${JPOZ)xS<_xZvX&$MjhqkJoul!1Z3K*cJw22&7u_#fBHQBFFth7{sVO#n@i| zSM`QTc1uT+$!1~Q^+xAqns(y$ZBb$QYqB&J*7iKR=iba@!@fDFiafCuF3h0zNQ{Os z7$hT~q#;?RcJ^15ihud^-%_OEQ<6dGD|yXplgOHMRYYjA_XNJlbpm#K-h358F#u!Id-MBO_} z1=nm_^bXsds`9519l_P2giG|#U$M-O_3GA?G#cN^HVmu|96VyhknkPH< zrCFZ`-Y~IOJtgls69x;flVWs2-|SN_l00Y_ogj#!dB(eriieOXk&zs}ZA*3V5p>e*^wp;mF7lwAvO;bcP(myTjDd zp^-+L%*yT8)H!|AbpNqm{1^touGKQC#?cx#`qvFKNjnv^WQ;RKG_fT#! z${%@C>317!rQ22cSDxa;N4Pxt&A{ZYS0*Jqa}K+luSfiFQ@1FfqN2y>cac z$ZjJO0@D&B?)p{VU>KaSXf4`wk*N1VsJR;Bc*TZn1R-RgEo7Tx8AOa`ErjYZWFY0>Q01EZa62=u-SgNjhbH_%c{Z_Z zjz+ln2Xj|Cc~OY|`pd_ zvRTf44LC2ED8(S|O#11U)w3dhuuC;~9tlRl7hRV+i|)4bt1XUdpDf5^{5I{zWoy_u zSR>^4$E>+#R4>(C6zrVyimM>V^rV(RibA7@3G_noGv};<&$$W7aHOOdY!?Py+@Nj! zo6>RvWY+qfC3|l}MqL3p+1_@nJzATDH9OwrQ3Uj+h^?Ynf&T$2PJ(P3c!-7@W=IP7 z9s*LM6eVRhG%n28!wSWCK`ssyTF~d}-qRG&?a9%@K zx3+r?jkbF9^n$0axn&FizL5w@@LG5L`Z@APKKpdJgXf*aeWr zSWPX(eRHQJfk$yg~e zH5Y)qy%%r#OA`g~@^`}xNC&#v{l##B(O&e@vS-3nWRyvwR|*^{m(T2ONDb0enM4vH zKr8ciG0jiS01TWQ04MJd{ZVio;vE5pbZrUx66#NLl~6egDf>qCVFPD2w9G0V$$h8T ziMyBy*^UwB%X!<4G&O5aeMWo~HFC~&EKw!*`oS@%>6zKmT}UBy+hAf3%E4ENe=!&R z*-PMYi)q(JZ4TfRtt9+A2PMIqa($Kg4Qd%4*5No$+_>*yZGgJ^oA(M}$O8op8Sv|0 zcS3CLLFSZlS(Ggo_&AVN=ll@!$cD3W)i$e6PQ!X+S`1R`VJ^1A)E9YhFaL9Tvu@$wOLXI#Ko%+!)p4I| zhotY-yQwne+YQg-;>=lZ=T-WCOEPa^vy=RMAQ4T;2X#n->K(btk+IV%ehZg8!d>(b zF9bdBOkJ4m8Z_&l2Y|)q*`L+?C?_Z1H zKZ8;M+pG)Ra8G{3OHn-ya;;6INra-jOgifGCGCc1nBTVjksuE1t2ES; znlY2r(-(a0t|a7z2?`qf$;zb&I5w;VqG%leB`T6I5tg^K#fW(I<;i9YnsB%cg6`*n zNMyDS(rsyG+&%FY2}*{Z&UYlU0_MG=6pU+KNphj9kWom$1A+;Pbt$CS?(B5KbjS89 zQu#`uB=t$}rb5?M7Z1PuBLWXNblh{q@uXO&NFZnGHUlEXH@E2aMQf!}dfz=*#&_hY zJ8{AJ@W5K>A*h+~OqN-*{5yd!A+=XtNLkiDJ8-Q#=tLp1I*9xNh@|9#M$>$;E}NLR3A2L19>PK zWIMrgLQM&lJB}9=+W4;+EhWl4Mw-PAk!0T=GC(uJ?wYIlMd}y}hAvPMa!%fra*nC1 z_4$+I;Ci2G%y+(d+Df^DBW>v(35XwpSwIHbBj@tN(^>BDw^jO{D0}y_KIF4wxr{$WBf&EIC{AcqtA7p+#S4P| zQSG;9*cQl;N*^szEB0i$>idv7;-mZ8nTwA2PYpw`o(u`fA`w8FMj)@Fea}{`U_}Qq zIkZTa#A>@N<5rF!dMB)@Qy_;53O6|9tDC;5N9`&IJ$dC;o6D2<&3Bh@(b|Vc3d9 zC@ruHjElAg?{^)NLhkiaP;7=EqW+ z;0Ybd*&R}PkBVXRWMRjJ(PsxP6|Kbe5C`rQnJQQHYGG5inhvYH)=K>9{WedKyBnlN z5DPncd)P?^Qofy~C6cyFB&p;jDO%&RXzBYsU0fc+Q+&;75&9A?U%`0nt8Gx!+Wl_d zU|iEx;D~Y`xi)+?FQAn$7F=RI{ZctFbaGNcr7xCZAO_O|j9-)&Vn#XlFV5gWT}fY3 z`jZ~I>ViP%Hz$GZijdAizv-8}!eWv!Kq`)26kys=Z47F{uELkwQdPs@>vlR~?iiA@ z{h9qa7C^ssz#YvO22)_I5Ez9WfITr{(clv9L3nzp%b*!4(MBqYay(Dy2CZsBl|!ul zPIMnr!>>F@?E9zpu)GePi!)OWBeV~XfePhJiq)E*-RxyS>vJr@#lAuKBGo=!V#mg0 zZ`p94+&jteBDUu;Ok7t@2Yr<41(uy4uH;iG~a~mg)d-1Btmigdx{{IOe$emn+zp#)PX) z*i1p-{LL>~pY>l|C?2xrNj$LG{sw(9hx<>?LNi~s#FUBi%>64}=q^o2N|PA-N{3Nl zmzk{e)bghX%I%*M{hAo<8H&ZV^5wSXSQ*}gaT$MGGkrQnms{L7Qfcd9 zu}%p+FS#KBoR?=lkeP)0qKagA6G75agE-hSzB2GJXzs|I@Q-%Kk8s>DU!Bn0OW5zU}fz`&!R`sFir@E$Eq*AEdCD!HD+@4IfxIw8+zVb324Nu-k z9{b=69Qg3F1rFs-0S&-mB~5w?9j{68UnWcID78`3{%Lpgn!Z^<=T`_IUN}8r0f_@V zcg+jPL3au`lq22F2j-60QIe<#s*{fDo+7LWRCb?Cvg|Fsk7i=4(Q}(18ye@bKTq^> zE1cM<nLB+)fDalE!lZkbou!+1(87AQf=0vtDY910&j z?S6pd4CZXry@*4xlDC4?!uptDD?L%$Iu(;X;`s!Xija<0E01+dZhUrSejYUS~$mXHajv?b{r3dS11=&zvh!wxt zoH<#m^oC0c2(vyO5P0ehQya5A;m@zNDJPH`7k4TPSF5)@pqK;tU>Ao`<{5m&)nlzM z5Yzl=XDF(3E(=lXv68r;Z|$X=J~3YD+l-kz|9-SY)-!^0spQs!{)I^k>9`>2=Ni%Q zn4I=#(E4t(kcKUj{Y%dL&N=2u2P>r`IA}?o$mwy(nTPq(#6Y9o!w_K)W1w2&Q$PZl zgIYHGz8i%}6d!TUOuliWAniF16W>MF#^{LhocZSRihrcfSAd(+{C~U*e<%F~D z7O{E+r}eO-Oypk{3F@tx=wV3qkFwL5$AjZr(b!&++r*R-EMOK4hD4W>?E- z1l)_H=!%HRH)ek~oG2#zX2>M>JTzo>6_s=_aFb4~mwIEcxK#4p0OCCv!+h%JQ;7^; z1&lr0J3_whlt^$p;;3WAF-IP%V#>6SPy0wXiQBHY(_)x3NFAJAy31w0uDEo-Z&aHi z(QiRErb@r@h@h`TWZ08?6IXH!-&PZ*oc#Xg)?5=i5`YHj?(m>spk#hVT<(Z0w>^gi zyTAblyl~LcnD;m~S0`i9x>F}xW0IODcQ<*-%H=+RPST2_#vPGJWS3?UC7e9@NOI{5 z0`^=Q0bn&!FqS)Y=%x#tg~%8Qbmi_Do+lHeed7Y!XHa@pAx3d(SX*=4?m(4Y@R56bN5uvwmgdt zgAZB2e(#)en>z3byzbK)LdN;{TqvkrSuXnc(7`P#?J*^${o>lg`OFPxhW?Hm zyAFzL4x!R^W6i~o*AdQtQ@Vi~3~DJAfH15TaKBF*%EA7_X?xe~cEcGE?%EvXch<*A6Jmnlve(zU9?t(iI+ zY!EiQQHj@TlOR(VOqn!_xy{T)gV)_de*JE((L9yH`Lrr=?cmQ3@c7NNPtd}89mBN5 z6$0`OcUH#g;kqF+3F%&ry?);hz2j`PrMvq;WSqsdSO`AiD{m4Uqy`z4fcTe*ya`^v zOZ~fwXgPHhtjfCr%*C(XSe{Y2Bv)cxv>4~AQ&!}nN zlQps?68k>1mY4I0QquvNkE>v}28|U~Yb{V22f1B|Ap6*|3hDS@bn96ubA}zq-Q7Ig zz*{AP=Q{6b+lN(E^#$4uVn?o5uYf_rr91hIbGOcs4_j;#`2T|SkT~+_?|KCd$>O*c z#8mAtxtX5W@ckfnqoD|AdJ0wvr%ib}Y=4rg>)&3BD={rPwt4-l{3Zv~8`Kw;d{q{O zngI?9o%@7N(iWyL{5e9}!x^ub7-N;)ABE-bRnoW6===PV-EX#;l8#>;hXSt<(QtiL|(Yi8eePZ=b`OS6*jfEi9yj7Oh7IJH={$0&Obg)mv zc8|XJ`6=+P99oJR4H?s>G%V9qvSwvmbDOaax??Gq}@ z!Daf7d@VHJ5Fve`%+ivSGD&_~Np^N9cpoBu*QFoT;&&WJXXi?=jcnlVLEI1;;p13{JfuIVF(=X>C=tK zVwW-HN-1QS)SL4ZLY>~Ze@gNl-?tJwOss}Q5PLAV!NpFoAi(7(e$p%6>!&bwwpmIb zXWJ$nG=46YOabFAWrQ5lvgw1BLo`#|*MeOYQa&7JXZc(D@*Vr*){uVuanr^}9=C>m5(mnB7(zsi%cq#5mGko{qKwP?A-LfBb0Vj8?^l9&Rj@5Y4l!Qi+?gO z(&>~wbk)}oFM;O_7a}!p-CE*dME%vyg!rkM;?Lq_l^j+$T`41EjidO36V^F9#&U)@ z#ecpw-zxK1*SN>6Ve%urdU5PssQ4Pg^fhYL*XNWxtPa-mNnZcaPoS^WVzAN%5CCzh zDE-Oj88E+>?<)K3P{4S$zW1@k{#@^n^67znkHJw*rAe}7%W!uOhP|wD(`{jDh*JN8 z59k%~U*$S~30K<>IA}a+YXlTdcW0h)1x6uoSl8I&K=1#QF(hcn#sRD19T%3s+hg}bC?5>T1X%uG{Xjm{vXl6{>6QoVu8>ZeU@wR_TUYZVDb zB@7UIwZd}%nYLl!OTg|+6i)MR?jD>c48FImB>$ojMWk(N;rqF(`Fo>;fIJQ=4B;d#~(hz?V+GgxHKzU3B?xR{+i{u-uGm6= z>>UZID}B?fIaJ42z0jSIT5r}J7dU;^#K3#UoDDRj)3?sDS@%Hx3?;FB8r!u=bBUWs zb1amVVrHYQ7QKBShR#hhfoVz#lwKf#PbonwsF!c79{y++NR=^^-7q4lGalNqa z4p@8$(Zmy5y24H@CH5=j`w<|hWXGic?;dA)Sbd~y3ExffOxaGye$Qd+R|yjC2zR)vBQLm7~e`*!<{AP#XUNJ_Ty?d}td9rh#^}xveLKmug zCyGA%kWQDsOJ*n(!=f`*ufDT%st#w3Z5)PsMc)K?7bAUM(ymzOGxo_{t)!H-&cUfgJ7VWGMJaX*`OId#i) zhh+Cb`|=gi!$oX#T=2N=h{Fm!3F>PUZx%%}+g-TdIF&E1nZ}>8w>Y-#cVuGaI*YzA zqk$WHP(zgH!ObE;VRVyK6(?Ye!zG`Qa{Q20-u1$1IXv?3B>b6P){L>#YP`aOH zu-Nkf92{wv#F9!xz1ez-Rj=&d0JH(InU?3+BUz-|NdfFD6uLFsO<_%@ z3IT0_aaI+#dGFy+pkdHbsbGrwVk7xEvFffTq|Z!v)vEYn`?Dh_er=4Y>E2XO-3ZMa z6~mrWO1|*ms5EDO&YjRXnjg(KnVL4i;DA`N0kW>0QLN||oYk0{0AVFK;0FMpUhL&s zz!~M>^lceUEd}t-V>^PdNV?Adkz}wL6ePG=w!JrgN6Z6FFP?_h<=#0sxsXo#>-n=_ zt}fy;=9%8@?D=gv46elIpJwH9=i!&u$Xoh@{VSb1Gk54^sjnQGOm>ZiIV4W`^qRQc zOK17|V(|gJy3AK1f7|Jdd`|W?U$*DvwHH@TcbD|M&LOPb`UL2gGI~8or7-{1EaICt z^;gq;+{&nMu{$Ww*;-CB-D7Glxzu^>jh%a$>&HskZPK6omZU$?&OQ8C$s5K=Lyz5j zOk6yDfXL5{8g;cH<1PE19jGaJlax$=K7e^-;*EYZIi~sXjG- zie=9z>4|Qx`x9X?tN3@o*4~dr%Sv*T(KX9RPTLpuKMTL;ix*HhvC)?^3zvj|#cXR1 zr+N=1stZ{i{X*zk&RhP?beo*7nz8IC?-Vi(i~Q!QT1VZeDlmgPLy^Ie0`IBf@ElDa{_h(_8&I|F{%JK4)fW9N-cHsBD@H&34cjix3j7+edkDKEkCCMt6VX z{K3o-RJmc*kzJljiqhxekj45==ND6Qo{ytnb))af_(u;%`9zU9TlZ&4YFN=|$_)E4 z1zXPX%!=V->8bNQjkEKfV^ndHHc?vg`*iZ;DRX{DbT{W;);iVx#)O}`L;XH6^a4)$ z(eJJFY1SQE7A3(XBHj+~!W_s)4}D=mbazidwoLC)zA%*FGT$|Kaf$Vf7xreh=|nGc zMuj^TA3_lWqLf(kj-=5G{x-B6x=&wb4stwv^Xt1sN762b9=R>;ov>+lo;=cd#xay0 zq)p9KW~NN}8l8Ry#ZO6Athv z$d5$b%6GZe8P%PTvHT~}vv1*XbkX|$4A7s_&jq^4W5*#_qZlLmE;=(-=GLVhV&2>> zOfrbXyE245LOR%6i%APj8<`4FEIgQv>iOeiin_6kpslf(LC!5qWKc+Xx;GV#nyblm z=d!vsrivEwC3y{A-zR_6Nj=n+|1{_e<0n!r5Y9k+H?SzVE~#*5qUzX;m!bSno^SAb z4#GCb4bgxhsVDv{y|RnHrhNqLxqU%G^04{Zd@^->pPMabMM)3{DrPI)tgN8Olr<20 zem%MvCyrEyypO1@V$AB~*-FsT#F{wZB~IRSlU!C$&5TtNon+5%+sj`?9|xOOPk_qy z3bdNJ=GgDAz%u_fod&hU2kw^Zk8j9Cm_M~t4|YuMOg5*OptS=W833b0;OPCQqSh-N znKzd(%z?ZZ`(&)2r8Et*)$tLDW}xXJguXDU`8=xQZH2qIh?(D`8j@px>H6-vI8jV3 zVVk+=p~rxWYC~mA8;}Om+!njXS4%Y~{k$Z7mlDy1?Xj;~`F$@qVZyPy=tx}@I@L&Z&aF56IC$nV;b?FvrJPhPO` zF_X&AnLIwh$0j`~l{?7tyAPMzXAkIqQdwo?x?@bd|00XT)h{cixLa3UTZPxIpOoAd zJ5Y)~DWF@l-qMc?!H7r;6t}m(foj@?GP61q_Nx1nBRvar0}BjjU|Gg^UZNxm;}d{i z_~lR5;qEQh1l*vdCQ(OOk<3=Z@m7Qe`zZb0hMX(-&)IgKsOfh@X6+hHA0V+ZK6_VaLP(k zB9p};JnZvn9e5F1eIxA*&F@Z$@4M<20vrdXQ@E4kIO*IjYj#B(ayf@tASI62)1J$& z_k>neP%T18{vA7r)5I&DkUbS_kM{8z_=90aQgpb-z%R2uOjDnSwKq5g7+)L5eYYJy z@6caF)T zg_&WEF}2Fzci|d#i}x(iqE%J)3J!MM*Qg_)Lv9#lA!f zVV>uU4%q4nJJC|FfyP|BfwiG~WVrQf#I=iVSff{ZQa0IZrZWpATDX@etlZmrXpEMB zN=icuS3dHR8&2~(QG<1X^QSu~?4gQH>BNFg+#_mRz6$s#YOlWU`eTn62W%jJB8~cI z_9<75q+IZ_qiQFEl$t~pD@-!1(VGZh3iY{(oK6}~b%2wMgNcD2S%Fc}suuQ2#IoPb z|AE#3qjV1V5YmwJT_-(tl7=4J(3G(1IP-(_)E*@rq5ZS-W=U@Rj~&wvm2xm z;6MLVdQ8a8&$c0YHro-*xdDPg?2tSa?HP}P zKhJA}yu)OySWmKVTavpxDPtIF(*i^qr|?iCQ4s<4yyo=HlU68TcOF89>KnsWphyRu1I4xPK$K6;?_ZYGf)RwdOHA&eFEFXS-TNZ!-W^Z#S z7bq)N^_O(@=n}iR>ff^B#D|6~Vwgw@r04X%MxfTsT{v=TpL+9K8@EB@rjb%%%(3Yq zFkW`gn9^a6Cu*Y1%RLz)()fpAfQ5-*%G-JZ_0)y`EUha;V!^1ut{6{y1=VU=L+I^y zbHFR(Rh^-+9NZ_E$bte=`zAf@`?my2zt$^P`)O6u%bK;Ns6l01mD+IE>%|s3?zxMc zhUj)PNZ&@+2!7LaAFM?hh^xtb1NlTPI#AXai?zj)d7>fLnI|_ohf_7&oRXr>-ISpp zn;Gb9!k|;y%*GsKeVv*O(Mg~fgpmnsotrgqRb4g!X6BGeW?De{9# z?;|(LV*&@Lf!~?69Q>toPcbZP@;AybWXwA7ZM@bhoVzUiP^sF*erjI+Yf_p9HE;0Z z<>bPv=k3PxDl6qfW;4SDM?*q%|5 z7Smrct2TW~R+WLkLVHd8OyWGikD5hvN6crDecL72D_{@;#;GKc zL@a?z=?())mkLND(A5w(91mCF6e5QosYydWL^%9px|-=lmk$40*~Me;!6;oSQXhc9 zT(R6FM9Qd9S5R>ZHAKLn3n|Z)R6RvbXE{hav9Mx715qQ->4bn_prZEvoJ2t#n?xQT z57jNPOFniR39CwOHtxLMMH8o72EDfkVIb*AD!#HAh)otd6P{HV0_xn`w|V*B={L#0 zyjl(^$j@HaZ7KsddcnN{VQQtleY4nq#FE&Q$_%qT{2F<1^b8{5BM%>(L%%owaEjZrumhbJ zY|!B5V0*#|f}>FJ7h`YeUZ(JvznG8j+t?|~bUP?{w#2{zk26BYZDj z#H&d5NOe|~FQJ$*u7ye8wkvQOU-2RzuSUrbXlK#Rv#uEptn!_92#j0+pje`ghIOA} zPS`vwswFstQLi4O7)?y~(kJuC1cvUde7JG5w7iL0JVn>t?`eLzS>e&y1+FW>ky&;3 z1XNU2;r^hkUm!^li#dJYxrB;uKcX3nIdw2NbC`tF)E5uuqad2mH#oij#j)O_Ltxc5 zqOx+tv8Zi#R_VljZVXM*P7$1A(lGRDe{uBL^+eWYE!UT9!0I-S4jJ47sKoBn1QssG zy0SKh3p9mG1`;^O|2rPUB8~DH%CyERk-cofXfgI7?C<1-DmC zkhktJMQlb@G#_v}PEQLaCIyH}PP%(W-?8S+N;k%j3`7q75;H^k5Joy`%EgcoH*)M& z(oM8$m%zpqG{Gm>PNK}I9`PsX#aEdemLIbvOU$H)lc$r9ii%?ob!jp^Fm6RE+?499 zJ6v0_=s!3upf?7skkunEc*+my!n@I@rtn1{ruIW&CQO`Zksbu!aI^BcR`)WA=aBnKNNBbMsTd-t1ll6hExs55nrc%H-g$g!t;l<$&viFe%6ASL$WKj>O8K3uRb*&Ay?GfHS5W&mexJJEO=hS2s6t zfLU11AO<2L=kNZIfw1X$U|tByL?{B9)cF_69QweKZr+vNVZ^G4XK-UqxLqrk_ZM*&|)d zBpgu>a`+I;fF{1BJt{^3Y|ZSfaOh2~03|mLIgQ1D2zg(50_{)ZUxEF}QKHp+C^jX{ z?Ojvjh9YQW@}Lb`#)2J+r@^1Mx__vAh1$jN*YzCVPRwa>#sF5k_U#touN`O~idf0+Sy*XTH zdbSLMDY|P=xcNbR69-7n4Nt$nnetMawkoy}GBeYNr0F~Oa2!GL9-Cbe#E2_8RmX=x zK{a7rYSV*6?|?v(&=v07Zpn`Fs%pYSv2ePFm1`z%Mn|%gIiJ-EK&AQKzRdlajwF?Z z4HY|U_!E!h6a*ymn5Qwqn3e%%K1WR!VBr3j<@a1hWau^b=*#5vrFWA>J;#Fod|PhI z52bPTH)Z#^u(8B`8T8cP7f1}z05_@?ma9R=w)_`$f4n z7-%Y@Ya7Rjn%n@VpN&XX3wcyhDWLZt!KVMVoUh0;hw6_^UPK3|kna%Bc$XbboUUNT zeJ;ujtwkO0$Z&?RN1Z|T%+c)296-f6*J!J5d&IE`TYk~pucDlpsWsWsvWn>0imzSz zrfi&vlXqMnrwC`-@F-zF9JamX&%Hgele_gJw5!%^a1)^2Mr0}ok2mrgJTIbMb`Xl% zgrOLpD*!lf%53irIzb^v%7vKpOLGb>k#}GYuVc;m(+qW+qf4xZ^Y_Pfq!F&*l9(2S zum`qu&Xj$S^%h8ebR&e5y0I9u6FM`cAqq{JcikZM_zPZG%1D>GjrGdP^REEwFtTdr z{wh_9`2o2YY7@B5``%PIXvN9c2VRcjKG zelJnM)Kad92?cy^co4cT!rfx2sPnzNDl)+XuM^e#Xl zpHUTNylW-q1t+JRh+>*Id<6ucTd9#C)EPHe`s*OZ2GO>|@Wu(O$MrY|oLwncD|VaC zil3li$z~+M{gUlr`y178IGa|AUn)}?vEXqTtmJuWFV-cmzc=3I zo~2`26*&Ak%GZqxu-{=&Xb#WXbgm6yBXX< zLicB*wv};~D3=%Sc9pDfHg^G@0E;xyQb`adN0fakZv8W$B4%8EA??g4V&L&5EXmVV zF#LtwJ7IB5R>P9vXSUZXoXD`qxm_(u%QH?c3JAPFr#zjUikS7 zXhD=bdTO2slhnZ4RY8I$XN{4eHw&V7{SlIsL--zr1$%BjiJ+#bqNPTX{R1-|;Ywkw zd-gu?$}2TV+LeBW9NYMzz|Xu#)i_KmH_(^d)$In2hi4vN+^Rl-Y~;%F_UHn=Q;u)E z-YfGlf)H7vQitjMoq;8%uJve~3=OGgR7mS>E`sf&F zg%Q@|4HJ?=$H;Wo#1!95-p z?*@JuNK~Tg5)lLCO#;#YB!X!`@C69l8DpT*;2)?7r`m%lY^FCS0h;3=YiIhO&v*f38a?gA;1ZVd_N3MpfpQgPhjnrFziDyPbc`gVM}J{J z^?_;XBA zotUNiLGoNm8&nnoiYJ>lsQ0LY>qNr)RENfIy6qJ|fI^9nJuBXYl}YL77{7Pq2U$Vg zh6VoSY|1Ve*|ByFRQi(R_!Pm={{d`3lfUkVBYJ`POAB182lFgshLAk5YoMRRmR|?m zK;xYEFW_=co0R*#FY<#8(JhhXkV^JGW=GiH6Iif3Ot{f!OYgFn=XH*PX+;599&Z zec4=E!+EfwZ=c>r>Pk{x8d~|d#4g^$dJ(iEdO$C;9lpfm8yzDFYds8!o%Ax>;Vz2n zL~Z(Hz-Q16WVuauN*T;w>wq+7y-!6dODkHY2-YLw!-UbUR3GxoAygMz?@9C*n2d4t zhw;#(x4g>JRTGcch)z#fa;OYEJK8=c>W;=`_M_xj#UV1)mr=Y)h(hrQ!p6cjU{M&$ zg|v@)L<7SZj^P52JvUDcIg(i@Nrh@o!#Om+n@~c_P8z7eXjcFR5l*D>y?}b!A8Ky8 z{Wq|WJoTjAk5)G@04&`X=o^J=<_9HDbVu zFpjaazmP<*0TdwH#eHlp+QBjS6E@=wHl{g^Bb_84Ra`ZA! zv*;8ovQV%6OdvC74}fN4(Q1=8XTBK9K8h$2N!X>haAuIar-ws6Oq0x|HJlF@fX4&B z(FkUB{ap#30!Hetyasv(B-m)h)MgFXuIT#&^wDtN>niW19x4kG2s{M@(a05Xh{S>P zFpjCc+p3Mvu=#jF@H0X~XZF^f53M8trK3B?S!@Ap&tRPQ-6 z;C(WVT-N@$PMIwOZC8Xs`oW;Ui8MQC@^IOj?Z!Mo7*ozig9K`H;V0?uQ`sU~`0?cNKl>)$Q~al@17v=2v1qY&;&; zG{vhmfgz=1X2(@pn+pS-Z00eQ6JRnirw9ZbLSje^i7W%lA8qPW!Z}!>0L}3J1La2-@U=3 z81faY!2Jd_v^~+G!l5;2+q@@O?Kx71CBjD4n02w{EB$Fwb;24Z4L0jbW-*x#8HxkH zzK`oghP=9fkBKJ4NcbPot078J5^411BE2p-yHC=mYH0GdU@}B?g|KT>p2~AJdEuSr ziMb9jP_csySm2N`u^=Al2}#;F;|jdQHUq9ky5x%l^Prax(LU?M9{?D2YFkJ+k}NL@hLX(H}E+Pgu4eb42Y7e|>_^Cc-vtc{fdp zVWYOP{%iM5XNwxcW5b>lavu(_A2nkWGcBI)Ow>y_iqB)*v$q(K;AF6>V(4s^#b0Uq zEQ338XvajZ+R0f0!)W7D#O@;GC5{X%Ir^AsuyS(J3d)Njj>jmn=~SR)?V7?qj{~(q z5}nqUy!WD%FsyLyplQu$UfUdnI*6iD$P>&Psn-sz_CM_96w#0MHH{(P3q_$HDnudI zH#$L`hX5g(Ib=FheHmBgjk?^>RMr^lmTS)2d#3S zKCf`R7d^*l&jrUA?U2~hyy!zvHV`Ij(WHf``m-GKyEAyRa=Ay)H6j$l;cZna`by;~ zx+q62G8$wnNmI2P4+fEaQhAHZEj;Ynj$jsBOLfvw=86`f*3H3K89KX05XP)2_@+K4 zvN49WacvKEv!%c(q=lL&p+oNr9~i532a~b_QWR4JrjH3CFRP^}qbO1UoQ}C`v9YCt z@jT<&ofnbZ7+S+}vmFCmL-H`<;7)PA$#IUT9W|`@GFT5jhPZ>MWZ#(H#rBqc`4M}a zkznOB9Igjp-$Xe_62wn$nKaDZ@(9xUA`>3+I|mFNlng{^jJl#J7m zOB9$XQ!g@NgEWjhjuh3!H?ab9l*yuKz0)AxHR_C;~_ph$zqVZyv2imgW^ zbx(pwTNto$se|z~`^u)!20kgc$g=30v>BwSiuOaK{P5vOiImZaf-SSD{0wrp&Rha) z4~_9O(~#5cSyMOxANA9INjT-y4{D;v*>gdIZ*0D2ZpQ3Sk9%{1(EqmH2|kGT`?`D{ zv;3oK#okxo)s zPn@ma*%ELC^Ce!6VssnKRksUuhC{2XfV1k9P>5Bx+%Y*%wMwKYYvl@6gOX{!!afB< zT2+paaGnS>e+H9!2eS+xV_aAutXW@kg-lK>nBw-A&O*0Ryf|1RigFhlR! zG&mdD(fOe{Gq`RW*>F!|W>6dJ9s6iuQ>7002P?%l%+AZ`HvX_lvd<^*o~eHswu(PA z<~LVWX_om7PxwtkMByC$8NLZ04uV&4c`mPYKZJb;vz#?o^x=GJHTO~0>5JIg%$eM4 zeJ-Vxvi%0 z8~QVpg`f2RxXWnOpMa=;z;B%f?-aEd9iF1LVs`w&4)7H}=FwVNovs19cnI*VUbF;J zxR=lyV}2v7X&WzU0R-RZUy8X8sg1jZiOM-pZ?%vevs|-l8{~p$u0F(vu~GFc=bBCdITAf>6@$VRKygG0=?GT$uK?DXgV~ zr|J;N^|eEIHr-f+ghuuxzT~|mR z6+&%XC!$bhz}F_snc;}CZ|$|sdWRIWfh;`cQ<)dB^JSxTg4f!V`FfN2YBcf3LcGOF z<~?gV+r9ioXEItwwb1YVYR_C*dQvT?Cx8;XSqKpkK401(Wb^f~_$xjW89?m(y$Aw_>ki6(yeJO_SXAe8A*#x^;3arUsc7m=ANTEL5=MRMt2 zb1>jHht9h5!l#0>qWvU@Z3F&3a^Xf^-r&*R;LfatdqW_2VzLM8>tyo+dxIlH4l(C! zU2eHFIYGZOvJq%vz zFbMZxg5RU6KbS}ihwlg*u~JXv#%k^1GaP=$!Y~IJ=3-e#QQ0#d*}4J{5_p9m`cPn) z1W>e_q$Kk4(6@Ufq!5~~293YG^C(!%&hc@{pj{Jfq?b_?c}%W#9KMSv7+NJNK%`(c z-Ot6BB~q|Ic6(sMWYe)lp|bXEXDG^JiWPgD1)}Q6Tm~$ptdHw0CpLpnDYG(Rj{1Fs zgJDGvz(gGC5|Qz&2*rk+kBc4RN6(DTy(99X@w10pI3(UYhK-Mylq(P^-e420O-5`j zkwK^GuN2pMyv9FwPRSc&xdFnU1P#^d5N)FQiU@echePR=vWIh!=wpUF<@Qf?gcO^H ze+lsHE2qvm(d_dE;g_^^0NC(-%3Q~WIiF~YlWLJVpg=N(Ar9G4fbUZ!vLZ$b5vEwq z?3qi0HHa^y8m2M%M`h230QV`hbKTz?DhMUYV{fkq0{ay)#U!Jc=#uS3=EI8IVkQ7H zOZ$)XHAJLm*?t%@J4xHMiO!-J-{-KxUg(HALa=3es7??pg+<7up~!?ZT8||gyC|ly zaLm0G%)dFS9?K08YW01-6v!h71E?EsAVT?Wc4B)L1?E78Od=q>Bi__B83Ei5+`H*z z9wtb(F}_j7Ei<))GKX-kOMvlUejbB6>al|@B9SgnTxb~I*A%jXS`c*Vj4c^dPAEtr%2Jo`;*LyzXPR#Oe z)Z&1PtP{ao>^4T*n-`)IEq11`VXOIU^%!3Tj?rE@Y^6QKc!Y;m+EO@!@yr$k?*ms$)acj&R*zu;nyA+B(v2(PW}#tT~=8!(7K` z<{IHJdQn7_LevPNdXA-gn3U__KTf0}o1jP^4$`HW*2tFfZ2_BJOWNVNiwavKn@LkZ z@rGWctZg;1tU=Z3k%RxVq8Z-TfWQtUv7uH_4|-{KNFkW1bEFRF;Y>8SO5GgGD4jMm zkQ&3hX?o_TM`|wqq0JhE?FCOtd~<|B5`Okcj(!G5L?Ov6wvysgRx+0`WsQnB)Q{qQ zy$x$fFN1%u_9^Mtu!BD%gm9y%M}h=!ih9U9yGU)NtHESWQDgwK7RZaLH??Ew5pi}(WI5_!KV3<6-irq_Z5b3n>pijzF zMBx-6(lUjT!Jv~Dtwmop=g3}C`b_kqTcPP)K?xb;*tPBDQ)weOmHAW(5Idj=Q)xxl zf)W(=m)d_+SfX}*Yp(A*@a8&6iwO8wYmZ-3?k z!VZgXH=oKgg_d*!AoQuc%n@a_tu}(!4*efLJ4t3~zD$96n{JRIDJT0l8H91w7c%2B z+5WQ0!emO&tAX(eEKpW!1BjI$xF zOPOjsF~~*mikQv%n)JKiHeJ;^)JsZmR_jh7BK?f2?kaGpBkFk#DgF!NjPK1a18Y2o z!YM7_6c2m1lX8l-0>bAZQKpei@uiN#4^$l6K?f%z9Q(a092%Q11{>8hh=minCn=2S zqTPhCs3Q%MG>?1)VZXemfQ#jXC=X<*saT13ashjS+De~5%I>@TDLUpJnjmtGqNMW5 zh`|oRYi*}mHkFf^suZ5!0F-DGA92(PV%r^U8VFeezVNBEosyFGq*3Gjx|p|Epu>wG zCR6SR4MREWCy{HD@>!M8@t~HrfJ0Jq7#Qu~i>sNG;jbN)EV=jagcPM#Ya4|52CRxM zD)rk8hs}^d`+S-#SD~{Q zny;;t|HhJR;Qa3;dC{AimN(Kv*@hITP7C0@cwQ z40_b1u!ABJs-sDtZf8NS?`kS-q2HeQkdRgy9yy;7Ds#K*QJ1QzSOFHwfLaUT8v?`F zp}pElw`QuxzjI77>BHlb4+O5U!v+Z0KWWejKn-L9c1?Xs$3b!UVUZmVJewZ%y5xBD zmi`Ku*XN=?6eg2nUXnOl^|qv&ju5`P;wU;Md)|Xrx0ED~J^kHu$2^`qQMW|`1Fe#% zLJl$v8}jWIj)0I)KTZ&zW`Br44g(A8urTvQ$p&1VhP*4o(@shgmA zD?&_?OGne1eepO`RUa!cvC9wl9c4Zhwtn5oM(iN{ONn2`#q+iW2^;z1YX(cs&2jA*A#q?0+Gs7Qa_8fZH4l>^UTY23lqM)ROV$}D zk#fDArx`vz)tB)E=7IIU$9h-i;R&lEh?Emlxg``C++n|ox#nPf{TCcxe#OY-u}`lu z>a~%!0JB#9`fp>C^^Uzh_a)}*bVXpfs?(Tc&5y555IqL`$E+EDvc5U+Ar8^nve(aF zZIu)z?`InyW4*ixcMHy!*Ase;^`2DA@pqt?6fp!)gzTMHSE=46i!^*}*d%Shu4R&K zI4v#!{dmD~9&m$*&G@TDkp8F##Emrb)jS7-br}~vcC{v!&t^xl18j~F10CQW|Mdtc zW)`!Ij~+pJ!HRVzaJOXyc+NUVywLOqSsMu`b!LOs2OnpE#|tI-DkXXz0Bh^eQYjO@AA+ zOF~A-D^$y*=m4aooHUdnM1DkgRRMCzLu3#~1#fA-3{ls?l^gNGD~*4`TK4o{NOO1* zYzVh}>=5<{{Dr^L-8YUlcP0xOx=s}`hR5Ep&#X~Kz1HTtpm!xrtW*){P)g4g5M>_> z-Xnjw*dl1|^&!vJV98^qIK)~o&T}v<$(UjXv+y4*S*>0BIuXJ?9ZnjeY%UzPkQK*> z?OnuIdk6$VBbetgVb!K`i26!TMH;yT_yft$BVS_qN2!enWB=Z7?UZb*KT6nPzuitt zpx_R!&TX#`(H{(%4LJKfqT#I8EBX*wDs+N)U?dGB!<=>aDt}>O;h*xWW=oqDt*D;E zPD-fDHpNzCFE+%iuw?tOapgt6WJ@$<&&2{Rn+DG;bcPeaIdo&c0*Bov|0nk%$QvXY zj&mXu#{Ao%P%ps~1S!2Qt~Bbk@(W;SZ!p9YSBEE{lf7FpRpU_|F>m8p zHA%E#;_F?=J!Y0US>o(rinBuw3$`x|@%kpC`PT$b{2Q(Mc1ep&HYsv7-Bdg~TB&r7vg(4I6txXS0y=(l>0!p*)Hi4)0^bb~PI#PC4<$ z>?dqkt;T?QGs|?b>S&xYgFW?Hr2AomMaJ;HPEk8Dr1vF=f<0=OQ5)4k!EGh=-NGcgxsNso;=u>zE3c)NmG+qp1e1>? zYmE0*+phlO#D_5Z1D!TFdTrvV#`tfU>5ZgkXdowPUP!h}vq1p31lkymPFc$o!+hm@QVSSBRKLNEE2e;w0{S1(%h>p+VsoymorYW zJ?!!VE^bU!e;oFxTA$K7TCgO4b^R9l;rYnBdBE9}P%`4|1hjtRCqHp)fH z4psX0Hu`aqB8JLDiagI0Y7Us}urGSQUh;-SkIBQ;K*Sp`tUudGpxNr61bx-fnVN^# zEiezcAhO>+E{q26h%0@*tVkvT$==sH6=Yv7JmQ4?7~h_Z>WJF&_2^ZShHAptd^5Q2 zTEHMykL+C-Lu}!I_@kbngbgv6AL_HLu%(*#Q~?!{xr;E5wY9+IYgvIL^BT~CR0W#ze-_V7PAY-A7=3m zrrR6>O<#%RbBfZmW<#FGkRC<1!9^C!qvOc{$X-~Mu#7Mevl7eUUdnATMe#$Us^MT( zCe@tvZdPB$Us+?koi+q~b{LcwVW@_Bzw=pcN?&cDdEZxV=wtqpzFvmrJq>={gF zu|tyq7Y|Fe@Fn|jn5ZY?@&;dX$p&<(Rz2y(nq^jq4~0X6a59)ZkWH~qrGA^)Hy(qD z)?fuj?WtqmP_UtVPg#MBA49^{Qh=jVi{;^o!iLS)J6J`o0KJa+Mvjdcko%rg#nFYO z4P(AN?IETos0u4!zDK-Uk**4;i3lT(hM-3&y~)?!;7RBk_p)RWcEKVwK-e&@yp5vw zzy^`Xs9E<4b;gxCB^OO=94T9NY8~XXaW-J=3)kWjj#q0iv|guGFCzfFSrN-5z_h+( zs?yb95H^V-**mnB@U*@@6z0=ELB9{#oMsuA5~t`ikR^aff;LwzpM2rK6Lgk7!xC`9 z2dC&_g_BvNGEe&z=$CD6!$ayq`UeoV=Rgv z0KF(?WU6`ECEK&CdL2P8dstNc9_qqqzB!n^7qTfvlr5vTAcV(21!(2ayws;G-EMMM70LwQBHz9JI<_~m=>Zxz-hB8y<2m);FW!{J$gWV27WtPm-QGlKzd-`b00 z(KxPuq#4SAKMUa5=wn#Gm}a#a@~xr(6}cd<4-AFm0XAVilW(W^D7|?joh?Ds>M9C^ zbd%7V3-d3SWf$f`My92B6EdMI=7vLSj#^B&QIKFQ>-mp@9s9rPE8R+hC@l2UGWB2+ z#MAI%2tzHAO&SeSQR?c!C7MdBr7L05=p6{ar&4}Y*)sqVtq)g9Mp-PUG^^|(U@BUl z7aLVpy>JTi45IK0UIc|;l6W&<9ui$k@P-DD5#~*S(Gh1

    JNE<^GUI9eNu#j42*WP#jYhHI0=y= zJ7b0@ogQU=upzoie`i~1K@gF*Cra7);J7}>Ii<}asfM3wltEh_?Cb!T=^S-vNuqQ} zQ&2XsjGR}2qBaunDQ9|EIOS`dJ?jaF@dCg^g5nZ}|Aw*oDVn%+J_JA(LxLJM@nw|s zZc$5HZj_LUzTQ%;5_81oA01|xUtO)|8*uBEG*;BQ%W0z)8vTba8^&=A6s9?RiCCVF z_|ncdAu2G;?3~^mC{z~|?eXJiF zSK?6?%fmvEJzBCE@*UowBA%+#xh$h|PW(g3ubL-=d}#x=?7?Qk^7gBrxA z{vDr`=NN_Z6-6eaCHQE&^aR}0eeI7Z9x@cTR z8(l!}alAfy_+-l@uis|t*X;9!u*- z0%G?*i`Ictx~V}+&xIDtD2=~tNM$cW!T~Vk9rWeT*uYg+@V58AtUc~t!9?#clQc7z1 zeCfxToR{lDP1Fh%t4!fkP`Pe?0ww-rr00Lt`Tt*vloTaXIPX?Bu~;f+2QgH(4ZKT@ zr5n-+yEY69*hx${G}7$EyCOW?a=SkEI3CLu{tUB+r!)VK_er@Dq$~AQ7UqeQfp)>! zEN69jb4)<8k}Ch|ZFL$S#(a`RmduG4>KmKNR>;GR2?ld9!Hv8L@@_Ea(+m%EJ!f zaNu4?q!&A)f?K%VtkD)bfIIMn?d={6$(+Q$-+pGHsO@Y6tQ;f(WX@n^d~33vzFSo<+ta{#_x=8-yLf#*u2HTv@8FQX5MoDx?x;ySDxU*F@#^$nm>b zq~T)i}y^h z`Jw}uaF|53ci;dvSVT%rcahQw9CZX+L@jXb&LPf3d0*JfLGjlD*KlY>JAp$79_`B} z#fn}8hf4UCyuZ&e$sBduEpM#!lTE|Rz&#BK;l;hh4z^^k!vF~a3p);}Y?c3I*2O6hHS~GwpIcF39ea*t zs_YYylAKJlE_3`INlGZ&2+D8qW2hUdS`qPuVZP*zn$1^VfIEiyDub{~m1^`u<7Uf_ z+H4TW$0)mh)WfBmc$%`;_ z^qW7$*tS-lB;evpL6kQ*&oT8U4EYZ>Bx15YpR;*lI&J99LUtZFd=ESRSmMZDPZ`J!$JH6R?w3Go;&YqHa;MEUyYke zd4zcSaJs(p ziQfugmIn#5(cQ=}Jh(~qaJ>tnzaYUQK|faZ{lC62d0OK)@zsLG^-ek@7ZFFNbx~$G z0t}Ma9ODe@n2U67{*&&7`K9m|z1MxXSONWT3)gPnV7ZyY3r}aF)B*wQ4q6Oru(>Xp zNwAq49WG!<>p=z1L?Xi?Gt2sjN={Q5a?r7_X0)7H+65n&@7t-CH7GM>6n{*E#q!YT zuzeT-MtDqP8gW*uCpc7ofLv!2$eEn)Os!cekHH_O?JJ5l483ouQmnsn+6XrD6Gdlf zLk8zkG>FOoh28axAPU(?bSzpE9nC%l8HI`99%mxCq?lnLjal08O}WQ$XblddL&u?L zvPfHw*-mjLg&=a`&7epHVM<67=8ui_-EYh@Hev3{%onL3{WuAWln^c_2QbH%xH(Ee z0UP$GUZGZh2tXFu{0X0jAQ$*6d;{?HTj-m=L7!sH@^R_QY-xLnsXB!Hva2HG?6#%N zlB_+TM#6#hIX-6Vf>%l&Y2ud-bA~+>x$q|)Vp@d9?(tgseeFXoIKtj~IO};q6p=BJ zw8iZt*>cUn%D>;fgRw)%nADRAeg_#vjv)NmHd8GF{#v%2TLX(j7;f>%`{E98u4R%_ zXm%W&7Zrc9(YA&mpDAA2@P{yYIC+sUPt0S${9djfwnSvKs8VZgb$mj#OdeVfhoHfF zU!m4~4Jp=XMUCa*M62wh`LbGTL!B2Qja=XO-lfe@AZ!f9q0ejoP*~fGIzt=lL2MY0 ziC=!ni&`;NuhXDn^~@IwW11-y&(L5iBm{BNWIxduGiY~1F1*d)IMv6C`(zQDVgA`b z?#@ISU#5L2Ts=zHqE#df>LVDlZTpKfr~svEM~3}x2>nLYqrrgTPdmr_I_fkU1{l7{ z+O(Y5d<(OZ580bhD7w~VMA(X2sZAGc#sseg4#_G+kW!U;H#%Gc8TB35a(O6xW{6VM zn6fWHhXf4a1EWBJ3>z~wgt$I*ScZ)cG};WCT=B!nBU5g&_frU9bHH$uPPn~pTQ!(U z3DTZOy8x_ar#tXJp<*4!OUX79obtC<6D3UKwU(e%)qQ%bw{AkfeCncd4dFQ+!MNZr z9Itqdv6Q9FjC!E=kHZC3nU@|)crKHYyk3E(aU^XS*O z$jostjs>`L7#xOA%vD=^k=IsYdBynRio;IIm}snItD(iVoOs;b?XwDUHOjV;t^#4TsW z=3IBu-Z6g!gsp&>nrO{g``T3kw>rZTW|mF@RbW2WB?M194yQm&^2Mr-SgzThe=Mw) z%rZtGKxwFsb6bkRiui&)g1h@dz&MauF5}9$N`(D{UgbfQB&mtl0msFUP42hQ#-~8& z&-p@cPV@Gy%MIZvTYS%jwKf9`rV+#L4Jxv6UBpz8-zFGm0v%dg%~{Po;ea%Z>{*Ff zY{Y!Bvtf%HyKrDFNLw>SZLS(_4hE-)D@{1eWhb?^O2Sd*%HH5&8fhvs@ue@*|5Akbhjcb}73)>eeDp5k@&-S5hUNF!L;3~MK87u) zeZ4z$>ObNC{Ew&9V3>4mrL+0sC_K8Kmp_E|7@L0y7zgok6-%MSMJkq6@L6tGXVv=< zFbI1WgoSRPhG!4>=RIWeMz`#3mi7SN@4$Jb&=%uX_jL32NzgZz@a^M}FuD!d_(>6C zIHXd#6Pg7fZ+MH{sXvU(+o_lMMfi{4TD*doCVL)GwX_qkki-tJh2Cn=w3PQzZl|I4 z5X8e)u(imhWT7vUY&EpC5koEu53ixEImMU<1e=s~K>Ae>wm+{6y~ae}fb>FTI!(j9Kkq{gra~!;>Y8i<>#kh3#9)&>EYkbI0qPuK>Wb>S9GrZIMe}W9SWI4#g zQ9wAJEeuE^UV2w=@C+d2GOQRsSi&JrbR1fP4Oo|Ot0UlL6PJfpG#YF;*<9ca=3w}N z+9zJ5cn7&OBL+C~!$~eo<$a)62LCAL)QnvBoWdAwScCGolPjEDEpYz3Q#dr*$oulC zl!c(k3+_2IBHR+`i*o^U6|h>npb>Fm#t9wMXrj`JPFObU-B3&biux&a6>u=rPA0Km zho-odtMyhW1z9DL3NuXh8~+9wMJ72YO(%L`?f(+?L~)(60#PgnAsgqB&N1SYWJWykf(|x+Gl+#_kgD5 zQ;==jfpQ;-RD0H?K_cQK{Chh-cu?UFXQJC6wry1sPkwQITOH08vsqt57S6++DZQ^a_Wfz8DZ7H`hcv#mr1=`ZX!7WE%& zp_hA!By1)6iPlcDan!zc(li-_t0Q(#qOLF5!yFr3wLr&rB0OAM7DHvV`Un2O)Q8{` zSQ)}^M`t5tl;ZW2yjeRAmLBW&C=f9Mb|7>W)lIZ61mf1YMw(m$LwB`QM_(iKe381%rxH-IhwNwStB^;3sI7Pq zS|xU6mQk|6+mCgYt$cgzwC+8%Yl5o!p%WXcM><5~R)Er?0=@?qyA3Ig~T zL^|1s{KFK`OE}rHWQ=Rr_JHuWz!=ejf+`=CI7}!3xZBzvZoi?)z$*aVgrdM+OM>^EOv=)%=s>e z@}H2Y=Ity0D$J@wY}X<@HvVLPOTf~{g~KE$W-AowJGUNgq0Q&JQTJFVW+%30FRpNy zW$hU3wVn?9FS2$J(0i!9F>JiENNvHsu@Ct#-=~oKC~7B1kMyTI4oSGLg%R7ru_Dss zaa57w#}G1|sl0_((UUD4`!gxW@bX1UJ!D*&|CVh#Gaa}xFLL3~*Ac~U;#FV=S8mPA zf*P`IMbSc7k6i%988LqLd=L6&2?0@8-5L1wW@{!tI>UYm`nG^wSh9&W-Oi7YYAfr% zA@ZLm-*QlU=E5Z3L%l@#v3D3EYB3Vs5GGkuuhbj-#QVw{B&nAybjjx4m!jQj7Y^c= zan^(@ZFRT=PP-W~@Qm(iBO<=1aA;H6fR@+g<%IpKOVPtY`aZnezQIAN>1=<|Rwq^> zO!rz4bLDoHxGp-HfV1MqX1O(@@>9XxyaRnvxLK->Nj>blDrxB(s@Q;*to7| zwUz-jyCw-&Hw`4~_(ay_;T{R>W~+Ct!6&tya*x0L1B%LD=#8Y zgE0GMnmnV8D`664QLVAl3Ra`P^of?dEC)JV-|0~Ccu~5)ei*#Ph;}#N--O)nqg9Lw++HK!+-y=-*S9f= z?m}j~tG&T{!1M1w=&l0Y)Szi814L};txz1-;7G_OI+@M1lx;L*QthFN7Lq?xXOI0voM~KFiI;4jI;nyZPy!O_QM9&IUrO&gnO? zQu?E)uiGGehj5XAH$)9)z6QdGWHH2s_^PX^@>i1{1y49oJ8L91F75hjVwpl~f|~lG zYT3Bn1&3i`sTpdGQ?Kdkl)G}vrr2ufYQ5@cQ_$w8Ls2M#T>Vg@rXtMA=degLZ~EbUp(S37jPeE_ag!!=Y!y5E6hy2Y1SOy_N zb1~?3{t|^|8j(!XQS=?^4D-eD2P=vGR90=l6R(SaMKIux#lmWAJ|5cWPlrxyuhk*8 zvCOicE{%Xs>pu9-aqDHBZ0Xrg*ivAQ_{1m0zTTDjLZm27T*AG#Mr>1|-e;MwPOXPn zfYN?0Dmw@2#Zo~U+*5=oBeonAvL$ixF|%L^B0dW2K5d zxxc`C`8n)W&gQzaqZT-wE}7=g*Rk;cQ`M4j<5Y|KSUdeu#Qq|>866wHWh~P21J1y> z5MJJi5jX+7;$S!qbQ4&u>#r^u%${>-@E9Z;p49|Hh0EqHromf~Y#d~8fABC(r4d`+ zpw}Y6#JFAHP0zC_R~;K|ya#YEYf@5j>9IP5NdHrX%#1q0 zI?n&(sxl@r>Xh?)O|<#T7bmRdFAFBaMjIeJlbLb3A;2<&q(S(TL}1Lqi-W-#f+?S` z<53b_vTav~m$%?ANEA1tfZnNUIaZ`{n&QFr&6D#BUkgIhH-?I|QcL|pxyvMkd1hG04yeQyvHPya${`%Spdj>dK zSyOG%!HDJYK3_U@Rc3Ovsw`pO7V34KR|saz`+`WprbiO7&()X7jV?i?cwf7^<`4ig z&uaQ2W33T@Rx4St07I;oOu7Bo92*QSei$(7bv-G0;-2n_u$0lp^N@R;acRnno&}Jw zrvkgB&U~!~w)Qx#V2388a5u8a>v9V)IlscBr_=v0AWJ$M}=V?O;Ll#do5!!@;T$9|c9Zj6z)4Ges5B z+o34GMpJ&mr4Jqic(gQhM4d!iFQ|FD;|T{Z1vX~SHMI4VC?&q^vBq}yVn|DS9JOYn zaUnN`zxGiMP(4fRu-k|;9B7pawa@1lC{sdV_Ba*H<@T~&>f>tRnuQY9@e}T<)DxGyM ztG{B7{4-a8UAYrAq@_7zpw4ZBkX+>)QNA$y3va1ra-2n3U6zcNiMQU-NBJ zMhe2;7_zeOXqf~|S9{j*A*W20q-bPHJCgKZ$Gj}AHSufg5Q+Zeu#giJd+Mp1vYzIvg5$5gM^B}?iFk6qqE^Y-GAA_p}S+Fwu=69QMsMtus4#8NZg170JzuR z;J_1`lwQMRaS8*9yEzQ(z2n2+FA_xIb-%ou2v(uibu5@bNJRXtYTeWW-2<*P_W<+w z;3_-x|HS+H9%=KS;El1PR9&}5GSK_t_t7PklX;rdNTv|*?P!fXBPJ~ExC?CTmtsR3 z*>l`U-3xP0y|ECejt}X#K)BSRXdmh=y~rGjms%9xjFMPZbe6CY%>un%G>Jc2sE01X zQ;JF$lY@(eW1z1oCqUC{0;O?;NdZJ7+Q1+?}dk6>UU(ad$JcwzF3 z*Ov_W9frKrqG&IM>_v+N7k@{Fv9I~$MRjl(wf-L#Rq;C>t8PiVy}`e=02wy+V+lAK zc5rOmU%uRsc9aBth|T;U-kRoo`P@A(vE;+HwCPqAWrAYJ#rlTnQH_xEx-+L*Hw3O^ zN3?9#ma)Rhc{_V_-d#&b5;HL3N2<$_o4&Y`-Tco~Xo7b0d`I6nir}FL4 zASqk87DS3p_Ow!kY!1+`3%CAS;BuvW4|^k3IS4}UeL*rj2fjO1y}@Hu%M|I_cJ-eu zfp$OaR6%H5xef%`1Vs6A^OQp1P=nRaFh4i!=)J>{*!Y>Du@AXJZi4-92$ZAavh`MU;UY0a(Pp{Qh zm`_K7d9OwAxk=HcOz>G?o*X8GmAx#1l~co z+h2a346E6bYZa#2!HJhYdNXD#D>xHZwNNT^Obr(ZGCN5^*G$cw{|LnNhiEEAD&ZgC zBH1iJLp{hG+U}1c?-yeSmhPL8d-qdY7m+$Je?)jXFE!fLtvNycDJO1%GJr#QR+RxA zwMNt3>}u(R%8 zcvVhO`xYqT5BC7+9YH!N?=l}1$FiOlbHu&&8m{iw(WKE&0U-!4LUyiaSxHg7#`pk- zGF24lbB1ziJc-axP<9P^h_s7|v@5E3EHRUtM2)M6*pH-G%3>sWbHkZyYAA(oZm7v= zYqdDrA$3BZ0H}P@=kGh2j_!b{4EfQ}M-b+ah_+PuAuho1McP7N*=5FjuKY;TN&yU% zC1C{ntTqP7xd8a`?F(%{SLy@G*g`L0j$9`Vnh)XJ@WPgPboOPxqw2i;U%yPnq3HsB2G_=N)KJbIzn zkO_VSU(HWWpF`ecllXrKUD-IdYhjA_jvmQKs&3JikeRj721BHKppGWP*dhU07;mA5 zQ#enu!3d53pXi?TEH_kzoV;ZR&nB*qN3k!&^X=#qDA%O z4eoV&`**i~a=tm42(Dn7I!8;llj0jk(^XsA_u-W|N#>1_^z!3V-j#b#nI!{L=1=%c z8rJ9_mmPgfOc2SsbSBq9sX1bIrpRPN)`_HQcC9km)Q*S~FSJ<85hSPW!1J%{MstL&v@O~!QR zeIW6|v@u4*D;SDoCA}E&OxFV1#NdZFj*DY4@fK_QHBA<=7S929VPf)lofN5C)I&j9 z14&WB+<~NvkJ^f#^90a3}b1No?$&T*?QGEbk|@#cS)ij#q$3IEdcDYbHXD59DN0 zYk~wLy5Z7}lIm68j&7y*lGP_P)HC&=@3+2;A)#an6`RUrht@;%akiUkGfPdY#`(?r zA|)`jM~HQ4iB%WhMUL2~j`l_8o5j?QQh8T)ye&y(Rsttq_jXY_Hv6CjH^F0yl8lyC zO1$eN{=vee58dzO{vxW}r)#&;GUX{8X$P*MouINHC0RQcTRcm1UpzAoW=E&sIo=Yk zF%P>`fOd~M)4_x=;a4aow2cW<%R7bFln4uKP1l^l`?E>Ty^c7d-jvN`sPSH>2X|2o z_nJ(~*&G#+$$*=IPhM^+`l*Fd)WSq#iY?Y~ap}`65?hOOovpr;)=*F~r+#PfZC9>R ziLxPUac<4pQQ7_;Rn zM5ZwM)z<9|>%jh?L8Ku#Vjh8F$U8V`K0#AerRQccVca@1I9`8aL<#E;VH`KKzw$S`0`N9J^}#*}o*j8goJsx_}0|BX^&gsI*pyXpWI!L{2G(H86h^JCFb) z(SfYQBea_&zV9simu#WA>C{X-ho+$!SY@e@GT5P_uf7m#|D3n-_v3fluRrI@hy zw{j*aFBuXQaM~h!c1giZI=B;@Wc7{Lg94p)75zuK$Oo(L)@c9Q9MU-yJE>ugRCT40 z(FVqxHKP4Hm(8fDokV@Wu9F#C5;GI;u#~#_6YdLj zmV${oi*Ytq+ga;BV2jF-q#4nvZX%gnBf5k*`?sM*Mw}aHIqkHW^aSF{pg~(T(sCgP z0kwbT6W3m-JteQ+)S%h@?` zS8SV7r_O_8(Xr8r)nGYfef1d@$A!aB5;eWhc^EvLLz6wri_)L^9UOT+ecQr4y=4ROCen8Ic@A;VZ|UGpx6o8`m1b_Gnle1kXeh{d^Y`V4yNgKlC+_-)5vMnr`+ zEE$nK=GAO(wHFkXvy<9RlAbYiRF;BBEb55&V80$yj>#J&%_?7v+pUluc+Oy1R|8xz z`#m|-n0e9fP#DhNq?=jLZp*zl0}KeznvGf~y(x%pV;zby6Asdos)Y5=C=l!Zq@tzl zpkMz{kO@WKDs$rU2rEqO91+Z^lCDomD8yOD{h@IkoHm!j-@;mRcB18>1?QwkADnW* ztUlBI4mi+{!$8ux$Pgjd)oDI1^9^<9C-8hW?m%(ows+Vd{W!AH$2S8?hVab^_EoM` zOE4#>4VoG3HOGRA%#h$dI0y80a>(Dc-~@L{MY7xk65SSJ1^v~>e|8?A{1<*vcIf`& zJ*eD;XW>`&sx!K6^`Po&Dl14H=g8u*SULS67Y;UAqT$sEA_sPYn8nTB6h(CPE|cXS zDY_q{@IId>1{RoZE--i9`29BH+>l8$k&vskRU)F4X8vn+Hf;3P6OPYxCu&80u7hd_ zrWLR!lW%(q3E;O5Js$dE@u17?&^vdel2==f?N3&O0rjU1a!OGL0&VQ@aSy zox-tNOnn)6I-l%$Bgnyw=Y~WY`Up5PL#D&t&AlV(FuT1TIv~E`y(6Bk6LF3^yaTXH z6|4Y8dxwf0`RP)%RK-r0)48FLrZua|>R$;G-N}42P;TetTZRTG?1*dB9Rj|5;inL` zT%N<+!5?K5k|)`ohq-C|`(@3{jL{!}=dXZOcO;20-^jiMhM@R;hft}#D0kD8=P0r7 zn0yo(nok)OVVu8WTLJoayv4%Y1D5u&M^l)tt~0WZk05-(KE>cykV+1LrjMc?S{s6VyBfWIUE;l2;UfNqr$>bWE|ml3$(F_VKP6RbT-ix1=y2yAHz*B!gPB zxpu@K2v~C4S)5NmaIv8qa$j*Nbfg$RuUVl<`F4_aN-|w}ay=_;#t56Wq$ajD3jE zkal=UKbUnt&vMHVEF`(s8<0l}^5cS92cT!6wme=C2vFP64e`$P$All&zC?Bt|3_LN zg!&@@ib@w2r|4*f`GW^~AKYP~6ek)K(WcL#l~ndbsZ?G6Adevp$*}hgJ3+4}>f;*v z>y(GMPOvp6T22uZ{Lmeuf;b*68o>zqa96falhow9r zgt1aKa8y}$I%&=t=xzPn8x}GwE=6I;g&x9NmDMZw#4=9eu;SrC_)bQbcDXgXXf2pq z?`Rx4jv&$1qC~G^ID(Du-UeRr3H3uJvQ(4jY9DNO7ix$uarz(eB0{Pg3E6sS`f*du zvf_2j2Cv43YXXbpl3k?9&;zF%$FjIM!m6}72u1&Jz~l%7;_$r(>i} zgQSMocWv#)B#^^ciTAS=i6d`x!mx_aeI;O&^VM9*Sxr@7=+p(;e2B=*Ys#$QJ~|}#@k!XfiOR$TR==Tp*6W3wVFbFf?68B^NO0OeKKZj6 zveUMqTYM(pWJvd3`e;zenn`fKRr-*m#65KSR<|!lDUB{*zU$2(9N0w!FvO;yXk98f zZ;SZKH6rLGm$=p0dou! z-R@n#hD^)?J4k;onrp5$j*^)q6a3CDrm3@c6MF3-Win=X-FPgglOoNWyfwtj=V03< z78RYcEF{|mAg{)!B$NLXar+0gVYLt2^2mjvlU|yRVZ)DPFQxR_sULnmu3&6xm z)@$`R|2&=uRr!6Eu= zxlXS9OnPxGZVdn6!AGk5Ljy5kuDW}jYWs}8mAi~rvODJeMv0*qc?dXwx;pL^1eR%w z{-GsW{cCdHzx+e#CwxEf`{4oCS7vE=1AP+gj2g!xlml8n#NWx9O#@~1-)dTzt2`iV z17>W*=)H>$%}onV+N7A7@ZEP=MO6h%fx9S^a)zJPK0p{MQS-iTOL)JFe)x4h$HD;e zOBCLW8&=Y71aV*tLKvQZ;a0dZG&rb@(>{IZJuD*{(l>BDWWe8ECJxcvgC1we+K0m3 zc!Rte%c8rTmAcXl!#M<`1jzr!Bfc3Es7w_sqnm;2`qryGjUhU6s%V@;Mjc07yCqPw zhIF6TxV6!XTrdGH%28GEaVn^IT<8E24(yZAm!&~Ih#+w<i@&2@A!NN*($?oK-dF&D^Y;lKAT6UAL@jEBz3z+&OELsn= ztgBkl-u$8v(>C; z9t~7F)lW!;%FJa_bO6AS7j|#m!{)%}u_(T|FXs9>)ZW+L>U?W>cN!swhH8sxY@roc z7+2n=Vxr^7U^E3P`A1mfWgJ$&S(`;eiKhe7Jv%T^B3u)Ji35ZFISDuTsyPz2bA9qh zV*PF@_B(M}=q>E>LvlXZqwzQvCHXtJ#|UxjxWaadD_Es(9Ghe6Bg@|S%bD|WE&Zxe zlQ6ZXw;4?BxDEv?x~i)_Hx4#F&!7{;;w)1`CbX|k^+LF)>@B?xZu9p_B*P3{2d0Ay zXUBC=6`du?Aitb#(g?0Mi+{+Gi&eAo-?1LosH1zDKWFGB5@lN$_H2~{=euy;E z;bFM;N%+{%Af8GJJ~cc^*O&IUZ-_R9UFC*dYwptnf$ik1!EAMmd_%{s{_NF%)E(vQ z-LamK<*}$T*S+}6q9kN)Mkg49hs9mY56YV1pKpPh+fjckbZ(FvH>~E7;Q^(*9!gt= zVf;@r2QX$Yh0#a9^Q(_%@VBgfx829wyf7G2+Fk@our&-&uk$AF)AUz*_JQu-5rmza z$gGJ6wQzLt;kLX#9~jws%SmLge}b%x^ub8q@ystX5+~9vah?lqu>sLEY|E@lQ-(AR zhCI7=+v(X@Z_+gw(>&Y)tqj!9Xj|=4e>B}w0(>#xlr!5WDcn-h%Yq-c6h*6^*YMae zj|!niFx8y`J$O#=v6d>A;kf-^#=A#K*uU_1zvLv>PWq3nzbJVm_xe9^Tw)>2~Q_~h3Qydjih4=GCO;4KUr36`C%QH<&GoP8+up7lS z=$iiEc632NZvA#+5c*%H_jg^4ie9- zH!sGTD}v;$u^s%Yi<;yWLI1c{>BbY_cbVQkTEmipS@8>+F?qns z8= zN%kokbdr*5#D&|D2|e-su|C$n>+{%})1-!&xJvfI6npo~y^JX%8*J!(#5JDi=ESHJ zDfH#F@1@i1h|Nd2SyOPtieW-UM+^@K;66Crv_)y`y8Hq?+RBua!&RQn7vl>omf{#O zY}<0A>gYl9bFN0Vc%UBPCDxdyb3AM#3T~SWe`P?XoO;69JXZ>`A-ah7s=cFF{09k> zj0;Fj%;rV>_^qCfzyZG}LeiYCRiN!A`8xM0oeFYi?M2R!MTK_7S)2>}Vz|6pu@_f} z2im>wSd@x98YunYbu2OACo@fG89qWyCaKu2e8KWUZf7`NSc*6Yw`MayxD);KJ;qF3 z05g^Jh`u0Aydu{uD{VjVU`pcGVfw;CCyV7-9y9UjtMPr!Np|AXp{M}CN89DyVABQt zwTycBTo^njj+?Bc>L)nc;n%y8pc35Q^B1W5oWI^q$cb_pqhV#N*Q42hL828ajpN#p`?f`UCAj#l|rt}sP*B;tuZA;!00v?*dXM2uEu_fUWj5%vsTz^MT8tHbhtkrxMfJs$L2-cgU z<3uT@;uqzvrp!RFYm<{BPU(aham#DAb}M2ZG8?h#P$1!TMeNvJcYl1XsBBw8nqYz1 z7wMNDQ5wj6y4l+nMXzbzp}d(B88NN>h~S6pY>ynpaoLv0=$Fw5#rBy(>ZciBI(3>7 zxlv;ku)<7rc9|bv=|urw37ZFaOZs)snEsUp^b5E)u>Q}v(R4FLWH1`gD(pyJMfab$ zK;K}8G{z;buj1M-*~nC5=j#AS)?UqL$)P{l4|0`9zuRF7dDd#vA3n{L$81@*)Kt?5 ze6eD|ea(42FNQ1Oh68>M*o*M)4t$x<_Uv36^unC5r+ZnA!U=YlA))_T5J}@fcm4Xx zj1VaWb^VsoQc|S-77sFKnb3P?c{9waPrS1JLO|>2AHkiBfYPfYLKn!vR&rqNp zlQ%%nsM~kn<)7ufs2>(R9-eF49No&-7istBGs-8cW>&IqQowao?E!IwHJHDTXHo|`%J^ZWHWz3*`B6jn0e z!tO{fVi1;Kt#Bp{8(=ZjO-BFlS6$k`H_fVKV^u@{xnUw%U+Y>M$)YzyF})*n3QFF2 zh~4B?s?z!wgbig8-dE?qF>YGbCyt-tWJtglDDfSW4>rayKuWNJdbmV*+dKMe2@FwY z0+n3#Ak}hGIy43!$88lKJsG^L@VM#(C#G^RTvz}h(X(kB?^dCQaI+VP-2yi+H%cM* zL;m*GO5t_6UUKti@@b+Og?;DcJAT*Fh8N6tswdr^mz@JRcB7MKppR92@FOoKsg64i)YYiD$K?W(a+GgWtCSQn}hQ3$0~syCRBguUkpQk%g)m0>6o2QhJLZF zP}ji@p#C^6`bxGpzRCIWJ$|?Y46U<7{U+9Ey^MYbwpi&(+||w+Y8=3Mq!MTSg4Be4 zFdm=;W_@2fTZCaHESH~`1lLNM9@-Zu`ixP?FH)QC?U_B}xLd`(0_Nr^CfGD1JJINE z#P>%4ehaMk;C0v|EKceGJaLtlAWe(X0}X$|MP8{C?uYS)7=M!7u2RhSig3Bt;by5H zGY6iYZX(;0majSy=}UqXtSXagVZ;ZT9)jMW*iwN?vPfdXv8v@O$}1^XvMfxU+K)*C zBN4&lq9MUVuA-%RDO!9@rH#gOJ~K5`%56-Io((vU$`8SeJc2MxfU%}{VM;> z8=?Hpbiu^IMXzW2DGYnyX+s%e_#!7!@I^m7j*Q)%B4cX1Ih6V^ z&NW{}f6Bp|`xvS#MK(*e_B4K13&B~mLwZL*_aQ2G)buL(Ki{*dQ9=e~&lAoY*n4ly zAR>6KYW6!41w|#=V_gqKx(I@&f$q}sCX#LN*ot00cxVQ?mT)>*a*%HR4eaY!CIcsO z$G_F=PP#qB9t!E4{g>de2)aa0b#`$gl7d+z=xx^r_bE;`r!tmEWH>CN1L3;v3jr;E z&c)yHX*PDp5?}TjxLa>&>Vqbi1&tG*^AvDHakiUKPsJNya2 zH^P{#THU^o@->UVbV-1nzsJ7!_ipYz&U)4IQprYY`szjD8JIrq3M3FEKKT9E)$KUnbHs z?m1DAE}T-2YcV5;0oKPeW+u-@wttC)@2zJ?#F|Rg)nEWamFaAtCXQ365rl=KSnm>r0Mrh9zsG|ilUckm~w z)_5UxC0yass}(x__G!psS?kc$y!0m3DdOsFIF_CH%|*6@kbo0R)D_<3szipxT!N;c za!;>;FB(_Fgec3uTRryNXcYy$qQa@ltd@7;;`Rzh< z%ZTCAyx9S<+@zdYFb7TU*kJ^-l8w?URJ$uwU9Qa;i6&h9FUCJ$e(l!QCXw+zjJ^=u zKcAPewmJ8c8r4%QHYujaySZK#x$VN(3~}{f*S%?|HkId~o4SRKXy}%?fRxXm@Ze&6 z0>z;GLaWk~;h4UB3LS>kTl^;U>Ubdv44=M4*o_l!dXw1W*LJcc5<*(el?zdWt0m(V z9oNzp#73ce!pctZqvYz(^}4vyELL~N2<~z>jvJC=#MH^#yC#aK_sr`oa9s*JSsnfd zABQ>jrr2jsSy5@hj;-gm!M21m@;TjPT)pK=?S=So|{ zQ*lKxe;UtkmhVQTvqN5#Q~#gaO$hU`E*|zm2ARhhwu~t^V%_p@^|{$18-3U>BiRBM zzs&{3^Y>{M8b4g9PtV=8nAM_eico_a!RseO1X6dS1@}-hFC2n2zjWER1xF|7h}8j9 zFw4EKJQdHGSEIWp zNEp>R{2j$R`_7&btVR&^CD3Jjl)zfEeu=SE{~S+fehGJnw|ufhXSkYCyJr4U=a zH2$k#f!Z_-X~)AffufM$NVxPIK}vvVotr2Ji?vlY+qN2a?$aX)9O6rXN zAz%Jd6aS7cmnc^^HC9+P(~ylvs%k#2))o^}v&dGdU4Eg-OX}u_L6lLQ{%xVkI%hqp zMCd=WI&dPVHdzyrex z@aA8l_OBu8`f^kcxjI1sKap`hPhx`*`t269Lr|Y_hjke0?F*MgB=Wsb5+&DdGd2!T z1cGnZBD)Dat1~v0r207rjG&X3TmHcpf@O|rD?iA-7YcX+t7j#A7HPF4+OTVm3kT4% zr)>2E2zFBGLhFVsJlJ~acSDY;ZdzoLRbS&VAi;Ki77gmfzj1LD;ZhN-6L)6VAwf`> zv=b%9%f3R{Uvss;Fj5H=>?UbB$yMrY)Knz-l!|d*%vJRCWX7w5U$OiU= zH@$*kqSg`c6Z&!AcZfDU=+>{NxEEDIQF<})d(oaCG_!+gWT7XKNP)rv zv6IeL+_076;RImE?(Eo@5K;~Mc)*oPiXQlfJGY;fcX7HUjikvzOM!dkE!4KhejtPm z@#q>L^*0D=C_T~g&$KR9a8ju?q07~7S2ohhzX9x>8}rilkQnI%xSZ1dFa?3a-@@js zw`lv6BmRHWgo4nFP4XIi&;E@5tW8lc`v@3F>afSpsrvS6ihP;j|0|m@)}qGm$rCLU zbAq1?Ob!Cxf*!M2ZNW?$t9RUe9+>c@w%D12{);diF>*}z1)54R^)ssj zDIS|SrWNj7QGns{cKwKS(@HrO@qna4N;B;aD&o^H3}@-E)AFNQUY-HBQ)jNBlW`%p zfKfMdU_|0b_02@8fAF*O&(&Y|?5lN?w!@S+s;@km_ z+>@o53s=|&P`izL_RC+awgjt#0|4sdy@*Gz$Ql}Qv}*ZJpyNCtqd3)QSnp0apf}#8 z`E-@zTHQ5i(fDI;FQzcW1Ca7iA}cC8U#xs}A%udhV(%a~R=?Z%{;REt`x`U+D?n?k zJ(?jB!}daS3Xl8QdR$;nM;|`5vK1^9O-LZoPJfytxRGI{9u<>9;86*sXyCf zsjY7K#9(<~V&C@4zKuUwkhD;SQY1&<7rNz(8sa@<*Ch1miH%77iL^ z423BiXfi;;A0>i}y1{$Y!$74(Ke_H;N7^#*#Ms){@+nQSqEaFu0BT(FIJO&C)x%$5d-o ze^B8QdkAZGCaPX8y)ypP`PzYTlr?idr5#9|FW4xWyW zUX5yeZWKAt^lqGPg{tQDu$6XWeGAWLUtjen!%W{sOJUp@?R|`>V*N-;Kc+T>lO}87 zK)h1e4F#OJ9OM=qCl4h=Z?A%9m{gXNb zrFh2$#vRT4$%i|Pg`*Q`^ERdz3cadp`O5frYo_K$!&K=y;r4zcur>&#^m3Rn_}^uR zhX=BvCi*h!psf(~_{!#o&EYkBfVds@#-9mC{5&BVtF27);^^)#thL!lKEGDykH`J+ z#Gyak!*hu5F+615NKeF|%YXh)U8W|DpK70g*I(OI*8R(WX;dgc$#~D4qMy$zwU;S0M@r{e zHkGUb+crlVVTk<@LDqB1VCkbN<#sAX;9O!{mcI>Z{;~#T_8mfqi>n_MRkjK zhecSGBZUg4wVj;dYrNfHr1|6k!>mNHJotki>2&jwHUvMHDAiz#{oImbwub#?U;y*Nq&ATpF#!xIk#ssL= zDeWc;fn&PCl$F7R8LNKR`y|A`O`v=O~mRTRF|ZX7W`qBM@s6*AZS95I@gd)C4f zUhJcK4)4AWTEtx=*Q&vTzR2Id7%xJ%pToXJ?QtQe@pp~nIVR4dDiTRf)?42z568MA zu}fXw)czih`(o15d@U+>Yr~=VrD*8F=^h&V{YqAg*7zAj_u28TQEaksEhD_BVa9(e zt|#}iYfm|ou@WZ=VtlPaW6N9XuTyI(SVi}1VUfq84vBSs`J1G-&imExkB_X+j|x9{ z*)eTVvdsscCXH!xs)wufmM^WYx15ctC)~+)PCWN1t1gA}tro4gT%V4-!hY7b2g{`% zgT{c@V&5fap~m@kWSo_%FX_k02%on+cbUcjaL-4;dX>R+F2CoaVhpIdi}Fgyu=hbrf=Ex0L!zH6`jzHb+3gfJqz|~ z2e7O{ZcF{g%NH!wS8epV=KQSVmHFHGR=r7B(4#F!-BbA&vX=oEgN9Ox9svT{w>3_9 z)ehfOX|OKlCyO*j9~W#W2ryg*MhUn&(GNqtQwtO6Pt6Pbo~(t1*R2zbf#3~X;DJB= zKyEmh{m+RZ#8R_gw(it6*W|bFFV;6(?6;rzrvF{uEjpcgKlsY}sFnVBbl(DF?$>{h z5$2zAD(TW$Evx}y88{E6{B4B^;SX!N1|8vmj-=b^@;Tw*{Q8*tM`2s35t}}jth-m8 z)trbOy65rat(4&H&*}Q_KksW+{YdC;D~@5X%9K2h@s(>j2PSLTe;duGb9kLU&mm>8 zJ0vkIjE_23_o#m|5QKpvb6NI`&X?o|QWar757n1uH~S^U;a&y4p{8aDonRVePmIhipup z%HsDMouF_RXl1&?`iXXXPQiLSq1Oq%cI`fs8)1nY&eb1!$*}wZTLUo!Thk(dV;;YK z7=RWh{opnF+t2EkG8o#nQcAcHV*7ZTD$326w&J?!f$>omemgal<^U#V@9%t_$qv8WSi_4)C~Vc3FzLc3>JXVmYLEEd0|jbh0O{S8k0 ze;X9eSW}qL&PP=g@I_B)KTmWz75PTG#htMjvUxk~mnOucVpFH|olHkR>ZChHe_7Wq z^OmQzn;z{d7rl~HXo~5>J&gr*Vl0a4Di7rdL2NIiU9%f1moXCjEMqKZMDzhpkOg}y z8YmlpLEkTq&vp>S&h!-d!S+cZoywld3I)CKISIrAamJMc~2xI+ZavJwur;-Pn6rCk9K z2y0AujDO+QApv@S2sD=mJP>a>W@sVi2c@X^4kY@|ixG$B%B!~@7E zu1H31e?L?PtO8UMbm0t8QL)`XvAsC1qF+0u8&Q)7xBpLuH-cv|r#AsjlS4pNsoxnI zZ2MlA!D!dP2+I;>##kZMa=`wmjG(Ra3rV+VKfIn}Y{)-UIvHPbqGG((@+IZr7i#R5 z4&#})YaO~bSAOYWle4V@M|%u}`tJe@1Zq=F5IxIH^%nO|L5t;1Dk zu4x;RDoATrAM>vzS8QGO_MOqR!JlN}goEH4um(=$rexOCf5911k{_;EUB~WQE?FOZ z=HE)5db9&|3luaT4{Y=ztlTY({Wr|wn+2Z&4#!~^T{YM{7<6bQF=I1Qkk8Q0)VZYz zVKc_aymzEU%H_%c5RnQs8o|1)B~DZb=vjx16t^QTj+l7 zK{0VYU%0H1Xt5@08Ww5Hbn?QY-T{S&6}Qm3io)(pEI4Vo0=%|fmk2M?<4!&SHs$vr zI9vRnnb@E{u22U1gJP$|PPY392)LB7ECJNuV1WdtfLAodUg32zxiVGkfq;bFR;pqC zV&Wz-tP$B;<)ew-;C4r-G50ej5&gupRB-$!7&2M{+*mIV)L5@z*o8EIFr@z!QcjJz zm{{D)w>Ru9ed9J!qN^d*(`Vsa+0(HbC@17*s z5$VF(TdU85IW+XJXHsIUazzJ}SkA8nc1@OcjY4nKa5L>)vpT9{49Q5(o%Be-h*N{Y ziAjIx!pT*V+=^5h4P+=1ib0^F(#5rZUYU;5^iq1>ZTb z;CM|jdllrj<2EWAA@VjG;f3%yx~>O&$pv(iGlOiUNOILLUe*jD(p}AZD{vSz*qK1d zodid_?GdJIGVLU_bco&YuX>Lj5w@a#%tv@Nbx1-_ZytFyyd(zMQ^>dg{dgRajX}C1 zOs=y}#J-{ryn<`QJ95do!XAsgLtzmad&)c5O3?5p&$1KLSajKzsj9@!3dtsz^uK#} zrlkZSg*|^vzK4Xm1#sN)?bOrHPeS(r?YJ=w_c6cQh0cFkG#tU}+Vo0pieGz^dv<2-r=fnDii0Jw{`+i z0I%?6N)UNi5a`X$s)heqcW`Q)5-ucFp7GRKMDI{tma#2UZA6$#%83y-C=m|f6tv;s zG;2i_tZF{8HHgQ$632%Q77e?f=vR+ueQ12ku8(TJCgb6_>a1V zvq|bnVtM^Xq70Hd_m7ork(ai=@E^4$0b%0x-gC03$TsGF9@~6?IOeDEyrj_N#lO#k zxv|X1R34FgUa)`Deb75}F_5+BqSY(J{S;+(;ldtoA*H<{_r`*!O(u*+yCU~q$rY3~ z1~}5PDe@u^Q!ExilKbNE1|>!ijmZw6>c348D==-sfZz>c4iP&PJQB|EnEzvQ(Mnmy zQ#WPoziI|IKe2rP!_bOuQ4=*;jS-;E+-}9PY2(ag6%o@>W_n;Vv26c46b^L<`24bx zZo+=|@?bo63dFut!>E-u*Xt0p10d`T8GR=!T~8{t^xh&#O%6&Lahvr1+Is-5bnKkP z_lVy(*vf9?9{;HjFOFVy<6VSC%1G>SVju>@9dPsK!k1~l{T?p38Q7NhrLo#2myWXW z6~}t{a>IJbBW?)Nc2t%8jLFCu(NF>ylYP}BCr_HA$jd(iCY~BRR|xHeNAl2uKe)(! zRawp^@e>3O;*5h{wb-FAurFx7H^>K)2a=+E>N4bVWXTGFstmfM2Uj#BDT(_b01c2Z z?v>hSv zRLi_4Y(q+EnPron-kp3z>RzL+QSrt!ZGqgZ z-toGb-a?TuxBI9g@L>#q=W`-Z&&q0HF@G{mt)R9T6!Dtv_8Q%sw)~atmowe<4F#J! zqCA3E$4+A~AgI3PJYrFTBjJq3O?m-|YUHD1g*$_-7PWa+VSVe)KXFkuEG^3)IV^kh zi`?rlhTjvI?5d^XkiN^NW*;h!)ghEM^Oz@}err@#c1*U2=H~PuE99sQ&_G4l8?q2*GZ3-xIB06F=*B%Nou<#x;dwtT%{VNi2 zxW8AV5V;qpMYBb>@N{7Ge;c_m36Y5In_MpVU#OddBsit9UKu5CeCvD{g=#;Zx?Bk+ z_a$2jD;KU~B5D%rG~J&vJ#K4Se@7Z$jboP9{ElAzREO44cM|31QT68G0L2=%X`@<|-xPn!E-ky z+eeqjcZc1D^ruJ(Emb1qE<_xjg_Dw0-ZdGei7nw=>yQLTE+sX~V31+fJ#Vb{-MUM0 zR!F7d*k*L(c(cICfBNV5|Bx1&>_^v}H-4MWYjD3iKXm!3kvqQH>pA8&gn!If2pYAx zaL(j)lBI4GR7pj%_ugZ+lg_rZy)?%QJ{T%}pB@!l#G4e^zo6{Wi#2eE&+sT0&Sq|De9*mLRAX29(7Dx2~n~eUX}j znFpcj3$z12L)+W#&rYH|bA<8)o3PdF@fGbA?S(AR@al`0)KRRJ){_7GH5wyP=NK-= zCHj*1#WDt5nzrz8lX}GX83~>=qBFUXFt{3N{04RFO}Cx_aGWDF@l75)i(X}qg4jws zZ#A2+)yjP`e|XouEn9S)J+fhe+ZuNZdu`G%XEsWUwVw>iAp1>yIAPk|n+~67VPPh9Db=-)6NemtQINzlzggOfKkV2Y^TT|(zRyGbBe@Ec7)ok(xB1A+!m{ylJeSQ zNlrz-pftG({}_^nVG_FRcI*?t{fe{O)-`gtmp!IjAG*PHm(cE*OYQqudG<>0&SB|q z$fF+_xc3s)t}4sM*%Iseiy1j?>YZp=|934=YFX-}H?xrZquA??Erg2*vXATJs$I$$;8?mT&smAmp-bk&;q57k1`fx<|P z-Jk+Z*=<4MnOh*V8Vv(~$zWo`U3>XCn}yNwMcz{5G-COI?~%bA-@YjbR?G2rBf%lw zL*|~RU0PTyP2MzHZ;V#%KdoFUH2T>W)TT4>dn=`hNj~Vb*sFlo%|kqDg$ZV3vIUT? zpqSP)&L3$qW7lha&gMlIFDU>Jj24f72W-=q8l@hu4SulhQU_Eu$G)hM=?y#)qCXmm zZ%ATrFWw{AVLw-iOIqo?EB~}n5Yw1Z{foNxLyGorTNhR6Im+mu99p=W9$;p8Re&)0 zLMQnwg)lLfYr77vu5I%*-Y9e`Z1Bn7+u{L(y?b^^qq4O7V)fRBPnCW}_~+;OgACqo zd|*+9b$#5`y>{`hJ!`NJs0`sCZi>F)FiL_QDkG3Khexb-_#G?Lnk4~iPX zBbvxBneVq~Te1^<|7H}a=9tY}*X&lr*^9PvBXg}Y?txC83+oH!pPBTmqz9d$&ZVV& zcTLt>x!fk|&&)}VoV1%`rw%Zvm>DX5Fu_9y_BiHk=}UbK`vm^neypX5Tv+6&y|rLv z)}GNLf~}GkTEU0a=~3c)us<2`hSa&}ra- zxE>W4vI4>xj)uKc5gy~YlK+c@0!$~6mD=FtgWAJp$7ss$(*&% z-fL#g%-)|frRk;PNPk0@I76J^QBTaEPss1g~$s(hOp~TI@d2BS`_%6 zGWTY~-0R@LLB)pAGsD9!dHKmu?D zF68XZ6#Ri|m6bJfU2#kX(^y@l)^Bwr=-YOi+UvFGb0@l39zt)Q8AHlA+?C#gJ2|y~?@%0kJ*F0rg*vJ~)sew+)!@Pfd;C#}eo>zYg zuiR9WA#d>1&q`-09?H~Nyq3G>Or*ieJQXj+C>Gm?WyLaf*FBWU@fF*=ck#O+Rd{yG z^VKkI7u^L}V{C4RRdiJ6nWx4q3Yb)D5QU&!?k`b7mY$J=5{D#Yp3r!1x9|63EzQL$ zF7s)^ytf*hzMfk#lF9BFAL|+cWz;saqnbJC*BEOJ#JW3pTBWY&L|jJr3DqF6OZJMB zSM=#cimX*HtIV)y@Fi7mZ;ls-?<*HA#4F{Tt2@nOJorn&nT`kw*Njtt@q*7=>8$$S z{u-~I*%ly%PRJ6)tKOC>3oP=KZ+RR~KwTub%eT-;_CPX9?|F%aUrK%Qor&p}R!01i z{1IYV85ei1Im>L<1~t7v$J7G74!(R5-6GL@8+EwV*hIlcw381*Bf7^GE_CoWuaIhI zBO>9yG@!9-E?%^z>ZUcw}hi z{N!wZ>Zsh)g0q%ex7K{|t&=Wav*3qQM;C+RO(UQc0L0&%pE1&7(HRr)=7RWA+C@{# zVRJglx9uR@N+6R?Ct~%VfVDJN1CLUEZ*H|%yGmEGwr~eC<4g&}rv)z3YV-f_b9Yim z66fNbT`q}zC`~+TLL(C^`h>uOy|OyqJ`RSED#^LDrzB5kZcNz*&iPwL7DpC=qWwsN$<|elu{aFwkJTlGfng+oq2gPt8(O@5n|KHH@{}EY^;iunz zHLTms(MEWjeMGqg|J)lOZ`u4-^>WB#%yB~E`BA5K59h(SbOk*3?I(z7sSQXV%&kO| zgK9Vp(yho5r#Z(q!Kh7xR8n4Ok8HNs)cLrfGC8Qged{g-l5Iz}_O>k>&?}~`jJ6Rn z;IwEHjAYqr9%<-VSfCScTr)Wr;tu+xB(yoU-u@%k%lmQQg6SZecGDHmARQP`q- zVelMzAoyWYr{)biI$|uUMV@~Xm~{|uPTI&+AcWlG&*CM?1Z+&jwqP*M(ryx_f+ZQ zZ!z>#LDs*v2q=()&3f;nC1pOpYZG8HGwu!7l^wvw3=QZ%~7g1Z)Ln2H?Cn@LN^OUPVl8t)U1;>}d^ z*db`vQW8>Oa?gWlTUMa&U8o_0<_Rl874N(PQG=g~&xW*^orw8cG`^i`{1OVG#Zdl1 zT_CvbR=hi_O|VJDOGdv33UBODwhG^W`Nl>2Z+lp*43Z#c_g>ybV&>4GQol^nWCNv* z(#O!+A;A~BGTOAcK`jF7q~Of6cg+Zj$0J7Vam|S67J)<;bW1GbLgkJG&SKIcd#rK* z-(pZ+xn}q5b*4ElI&wyA&f2Tq1 z%8Y*|JU@8b!nnP4PD}2_s_5d@Kb@R#gI${h3a9`D`}bi)=tV8I<^^GU&6O0fEw(6@ zg*hHpZq__-`qEq}s9?WfUw^6cN~3F`%$vLDDb(AF?>ERgt5gyFfhp|tB~!iVL#5n| zQ+S53*t9oH1+do+D#-Y(nRUgN?vHg8GdT=`r#cR>&H0VMPdW*gR$0M|?_5vpqZj#W zzpXC*^;R0mkl3g@TmRHE2w&|$%bvLPE>;!cY&4;!r}^zU-X`ot3kPSL2896D5*(^R zOG7?N0ibVP7-!ONe-NcPQ?xrVJTef;;;q7JUv*lCgXJ4w?9Y1s66Dg|nSK9)ZleN% z&Do~{W}nu=a8{5RS~csR0rp*N#kr~#4N!S6)$8zpCR~0wCSzo6org{w$HU;R=u{?>8IWn z-SS6(b;?5~_dqCtNW*v#UO)nK_PbL2#n$o`GYhp8oo?`%+3-Fq?WeUCmDj1qs}<1t z*3UHiDl67iTofa16Bu*2`|hJY>|?76Z()qRD|v&OcIBUMgJq`hi({E2AlLjt9rD-i z!rqoC>BW?EiuD;*!2o@1R8m{3Lure^=0-C54R+sJkEt=h1zg@~mb?5qpY0PgpZ!1G zp^sBxR)~0m2v`cH;!_%I+745hSqQQVD?Kn@$$tqYBqcdhUW z3#ME-gnnJxT~7+ITqAc?=iWK^rqdw9J(=6OflF2`Dv7mR5OP~xY)hD9K>pG$glj7-E0i%Ht7p&W;kho&fPhgG zs%5|>>o$*uR!^#xIQ9_18{Pae*8$k=zMOKj`CRamOX`x~d%QN3Mm-bFR4T zb352f9eN&sPsm&%;kjI+U-^9-{B#Z?xoP257*UiGM4g>AJU2}$ngQfCG#@*}-15nX z*RUn)2$3B&yJ;rex^cBIC4-_5U^moKt!CK>aS#0O(pe<%t?dNfl~8RIIWgUuMSn66 zOCCGpopt&XJPSZSjNe`7D2Cxd++O!^mf0T$>-ZX+&8v%coqfeJ7jY>jluh9BTpjgauExmX& zMQSk~tewo+^ZvoB>I}aZJA$h(5?xkbtdqLYxd&ojtOsAQqzXfKkH)_3A%xNy^UNs5 z#+|QLIzF79c+GCq{N84zIFJasdS5Iqp+WG}`VNH&f^dm@&2mh^^Y7+4)=AgIo{G~o z-dSR>ynNBA=&@!!yAc~wH$dniQsiAm1w!Vt%_y3M+CG0f{7u*h(aIO{eAaq3R$@2! z;-AxVisnU*#G80#ofhY|%SopNlz6Saxv4Al=@Zo4*Lk~1C?mnz(toT>bL$0H5%@8g zd(C5vhw|v(#hRGhOFdSpC)40x$dIQ!#u)P-v)}xhoEy3$uip1wj79!F?IMuC@{t>} zf<=)wObsJ4`Jm?%lf?GxFd+K(1AeBWuk*_i?CYc`0O3M1B$hGiyGOPkox7SJr5Zi( z>OJRD!ZQjoMYHI^vB2LxGGy40r^ef?!Smbqud84%JX~*A#x?z8bh2R0 z6q}o)C$<{VKzW>JE0_r`lB8(?W~R+Cmb!LKA#1J0b`JNP8neVdKu(8kGy}?m_u3{y zYNd6B`>p9WvYnEKXsFTFvqNAY;mo^2**6Ze{P)zmOnSO)Y0H+$Bnjxv zCK9vjX`OF;Oqla;w|^E_yyZD~^48f|@LhP6`Q-5uVbI0WA1>7Kb+6O>xOU#w*^tx3 z;XewG5}+VtcijP@RF?>)T1nmXC>p%m2}SmA%h5R&p%Z| zkp*8U!+KN%yYeaPK48-x5f}G8h?3yP0TU|~SH*VEVyzO-2o8aapge>US2ni}v{(=q zOb72xHVwJfLZ&xAhtq|*F`jyJB`r)Hun}fr>mN#1wBC-J;lXJ@D4Qjr>p;KO;{!9B zr8&-nR@+lptJ83!A!<%*k^7*9H28?+ng0=sdtSnh{TyxMiiE(fZZyGqt;PTO)$zDY z^nsHWHc?=AGj@zms5$x~ma)Bb3vkVH>RvWA5xseFX3%9nw>3g};H|?urQf-tt2BBw zs|{Z`9i6CzY*vH`ygnXEbziw-V&MTamk{{KgZbZHzWR|s>xX|)<2-sqLAe7=LRf*=y-}NWeEZl4zL8u$${lTuGQK!M zs2EC!5)&(92~5OO@nUg8gy#Bnemj5hBRqI<2|_uE{8x)?l7THzRyOwvo%Ef~zoon= zjyTyJ-&i`AUkw5HahIU56`2K=IO2@6l%>=RX5KB>D#jlQRTkakYi7~ilt<^e*Be*V zuSdmGL3;Xd?V`jy!(9!*J?kbDaa({3S#=diIbJbb5YX_whc5YLFw;%3P?BeI7^=7z zX>mkmU+_$ac%Ki36oiJ~&{u1gdPtYonOfzOE6en6-h8|U>OxH1Qc&hSpyo#DAHUg@ zKGzW{WaRM=;mTHM;d)x_McMk@DP~l!Te?pqccm;WB2i5jzX0O1o@A3{Gq*J%vDa^n z#+tJ$?+>HdmNi743(i90)-ih1K;-kVWMfc?{|042eSOJ|2_H`dX%RHs;mKJsZFwu=(qqqZPCR zW0@m79IZ96pp$UKYB+ZsmlqVJ~yVGx-!MC0nqm1@2URbXuA*5aPa2R>P+_l8A9o9E=yI5RZZQ@+32KvZa_ke z!fel<{NZStXW&Me$!`vpT3rE(*330q9L~lKIOPrF$wOi_e(aM<75ggTLz(xO?;OboexJi9zD;q%&&|Oe&zsTF~Tj4 zO{@9_V)?n(O1wDc*TC*jwC|}n)~uvAkXW>{RTZ@Glaqw(qvevBd%b?sQ$zoK168(W zl?t88@OMd%Z;#75-Vzuk`(hrt=9c|MJjx4zEc*w~_>g5xw{G8+xcehDh0S34^;=T> z2d3=FHNf9wQ+7i$g~%%&HvgOkYoA9Cb9UNDMc{enx5$bOOAK?=C4T>c@D4i3aV|T7 zG!)xa+GityHa_*t1nqtLisdUyL}am~!=wS^8TUz8f;Tt5#CXos>v{LO##A%z^5iV2 zmQMWi@*ApTk>uhLLW=r&F(}+Y#Y?>_r@7)sDt)LHzOVOP`MCVDOlwrEVIkE9We|TL z``{3i`lv1NXXFHPrs>}HEDE;+$fAaAiweW%9DCB#?F~el;AO@|d*g@*)&h!dN9qwhWtURA{k`tOX#SePwd0(R1 z&gA^!ub$)khOr5$)^7zk5uORU7cpgwxe0LtptMt$0!r6Fi}U7 zij!$y+;`m+0R}J-9mLVlykBTT;-qu-Y5FKEYHIHODV_6q3ud-^!1Z7Nqo_c1eXEKi z>oF{t8dPo}I)w$dHTAf!h$6_0(|1<1%o z$rw*`I-R{9I6aK{m#qU+_&k68{T$7Mxg@{N_Z*!4_VjYR$ zUyd1OAAMuvm_$=2NQN^pa1eG66_;-X#9wmNJ=zkpe0}NVCY8g*Y)`9TculNxk$mSA zXEA~>fx<5pZ(dQms`;UT4Z|W%Jd4$b*4IwD9Xj8z0qSNSbcJeA-ph!Cc;0JQ?U}pBEUn*WasxrW1b~n$P|u>MMta#*^%V=8KTX4gdTk8yW;Z(Bz^5e9vfVp zxA|+5W8;y-@5$o^Aat+T>kcaA6EcPGk%3+oDYxSc%MWS@PuA^AD^`DUi7-jmJT4_! z5^yG}54kwpJ<1{{X7|3GQ%*3{m-$0Lntz% zSboH~HEnl{)89sh9qa#O@?Vl^hs>2bC*esp$o8Wg&q#dFW<~Ag6^vLO`aWG6(3Ufz zEY4ouO_A|kQ55@X-1bbj@i3*D{1{#!z+d8} zlHr(f2+(lGAq5a?ZrQ6Z`qU&}W6Zwb9t>v9wb&UQk-Lc^hV>FDhA_eaYC4%srI8C0)kLH`26 zC95wRp8Ic%AJR*2DNaRBD51W^#YwNJ%qjf`w)aq)^dj-)04w-1krlkkw%oW~UX4n( z{PaN>w`F+hD)hvK6|AMH{cjwaefKx45{e#{fR_EsR4Uz!^go{u0tv>HPD1alX)HT@ zTR3~Cbg{R@($s}Gh(7xS#7L@UKF~fKDJ-@hiAPsqKb{QxeA7elN1b@DcZ%RomdF~XR=oDJ z2Bc)xvuN*;g9eUKo|&KvsUBS$2fJ4u6s@WV^q+zqWSHlVV?L9y%ugJEClElN9|`h- zW=<#Y?0`H8-1^BSc=}vAvZcu4BE@fn#AK-0KNTXvi=mJyE=$ zaU<$zQ;F=bA^}PHzwx_vM-cOW;L6t8IblBfU)ZKd%Q!FqJ)rEAZ=(46&(p{%V#YJS z3%Pgl*c|}GN?EHA2L%5H{~1tEYKkAOK+FMi7vGf}L>3zwp?5=}{sqyeP}f?Yw@;Vg z9cw{`%g32iU|Q97?%aKc5>F+)ODSdtx;@Myr|At=MXBLgcGu3zexug)sB`_RhaG!e z^oMii8B`sel}%6a2S@eflx*eZSjxR5a>8M9r_&YpH(~~nvi@2Dp=qPmdIi3M(6jE` zrqBBTd?55oU$D(}e@eYg2~H;Q%exr#P^|7u%YUS3R!h+9TAkpP&8~^>F{D&2p8j!O zPQGOK%PeGPxonF0=M%K4EZawf3cJ5g@hb7!2=aRRyx6w21tGMsNrF%LD)g?u9NRM2kw==pV zsl>0L#G*nCAEA!VRREeTBJ0pvgThfQ-uP9qrT1cu(+!T9c%_229x0 z`JvegH;m!g`IU+%^Cz$d-^2^zFCIxRiMV4@%0D6R2i-*LTAMI~fvR2KBMR9lr!26| z@dI)^1FIpf`o2NNu;Fu+tf2)|RTNdFWkq*JL}jniDpk#&=V9*U44ldF=i$Qg-*N^= z&CC2q7Gyg(Pmsl|CI5#1jf@jkdSWi29}>5if+WYX-m0vYO&6s)UwB#4BuGw8`REW% zvpSkl#S#2q{E^uCj|*{}Cc8!Gk#F}VkUdu*%Hd=Dl}AaA`FHXiJA7@AVJK=+HG(is zi^rqoF)B@w#zA%{M%jBT*$s~g2-nj(lz`si;gYb3EIyZ!(yHc|-O98tu~kB9zD3s) z-j7>>45L|yV5xP&=IUx1nq4vlU$DWU*x~{g8ttqGy%7=VAaBI^4B{Dgv1eG~A+Wk# zOHt8Jl7u4P{-@Qo)8QkkHzYT&ha731#Y(0C|tzIBw1Og@Uk1k_#avU zmaJ3~hgW%Lr6HT*5B!yC%#)t@5A^Ca4+N{e@A(k}k^aarzit$Q=Y{ zd`zSit63iF8<`h%CJIlsf>v7pnl*c&f~Q*}DkTL)hJ%G!djEN3Bw5SY>tm67C&Yiq z#wpiZ-Mc@IknDe3z8v_L`*3;39Toiv=!aWvt?E4M4?DQy?m+X%u(99#`T63VPT_{| zvLzEVoQlv`;@>E=cj_!X*1mpMBBh8l;RDBnLfZ>`C34N3N}MWBA6%6AJYg}8AnTPH z-m=c(ET=b&)Ej+ugUn5@6!!S;;!kOSn`Xzu$HKD=;*MfC>Wuyyl2$KuyW`kA>)8mOUjG}=^QDsZ zCKM^287p<-xqUFYl+z*a7ji{`XYQ=9%p#)6Xzw5gwEt|{x_BJspm47AgS1)R;u}I3 zW{vGXN&3S(VaT$F5MGS!Fw*exQ`4xw*Ce^L&o?GLxyR`dgIwQPP(&-@Jr$9A{Fu|w zma>9e4vXLEeBe41uIXbC-e&O4Z(^LfC=pKmLKZkjoND*&mm6f_WfPvVgakNBfZB->{4qp_jA2oGE8)LFwNYTVQFI7pdfxp^HYl&S9 zDuI-1j)x7@NtAj6SZlz#?EN$40jJ=*N~C417XX@cCM zppqZ#jDKIKMmiSLDr8XEE`K?mr7O~J{sJe`q$>h5cvQs!L%5>F0gGx@-ArV3Ytp{M zQYE*hv}-5CPDZw~>U9bFGdxSTE*i%rscbvx-GWo^_uOD2tD7L`>4Ow$*cq=z5^R1q zMwvjMFIQH2*n-c)-R_%347tg2){*w46J)v%3umAI_1|II=_77hn~>D%j~8+ESdFvvd7S}a4L*?KwmC;6Ok`Dm?=*Dti9goJ@Kka3icHC| zH%10Agw~q>podaRZfdl;_9*o`Dz(2&CT4r2*F{rdtPYmk|Lm0f69~Guq+PrvL}BJ} zV}`Z%t?MLR$Zd{i*{En22E-4>G$2ZP#+JuX5zgY8h_HsqixUn*jJ^d zlR~6LY_iQDy|vSUF3$th2!u@w!w*OAZM4Bb>T5z?cG5rJc>!p3#N4+|s8OEDcfuZa z^|CbUW@-N$QG}wgC${?!Btpi|@5$_O{9mwGMtERJC35VE9EQ#5u~VF z->9yph+xLpx)b|96XQh%nyOjJApZYJ$TZwNCH|A(`J^q#^!<|CZUM8+JoNf3%`}81RHGGE2yJs{K&p%}%EKs(1E6;$IT0jm!FlJeyJ)*>57Z zHtOBQ>GBb^ZNi)UVwfHG^6@Xoc4u^hoKY6ERUK&Ip1aw!n<<7-ONVOc)v5v;Yj%ZA zPItsGyT#vCfdhrBVLoM5t~ZT6($s;C!WhRS>w|jh4jrSZ0^j2aY^ZeA%6b&$7?0Gy35wV>{PFuJ& zT+^g8%xT*$XjXi`@O88{b1`!siPWO$8F{TuO80|`1TtcWY>J~>RisV+L)a%2mf!HqMSz!D{a$ex zDRmw>wdXGtT9-)HA7f1~+`77ZIA17;btBlgLXt~9j^=aY4Q)a$T)YxJ9A?5r35 z{30j{CHMGUt-E;${InhL73|2e_4h`qWAAyJ@%Fht3X~if!@o1-L*ZI*M-h&6(bGEl z$TCtin&8ClU_GN*$I)BsNSh59j#nPvEifBNZjq#JuFW1I-4(U(xTkj6{rRN!!_9ns zv~qRKc24%M;IrEmN!I~G%32#$7w{<0g7m-qTd->*b_tKDR8rA~JsB_O*<>{sM>$;q zOCe$j{}o#tc*}zN&2Al?h@yd-5UEtQ1uOitc!SrxM~Z-ZiI-nzG{pD;9}ED8DH>Ad7hp(>XdN_T3c)VYZY5UDs6><;p5c z8NGlxJ(nD4_2%08%BQYjPSdUaXCN+h^sAnWSlzbzPm_D>tzRYS)P92}cuev(x=)?W z-BuGm7HifO$tBNx{rq#c*kQSA<5NBncBYw_WOCYg(8z6jg+-jZuF#81Eg4RO>v|K< zPRyisgJ~c?M7o#0P{i6jX*YjcrQA^Bm5y!sV_GdCnWCeCJYJ`l9dD4_3%z3={u?;= bvn7<}O*0e6m%|@f2HCZa>HTi}Q(^xX@e4d- literal 108075 zcmV)AK*YZh000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EV^3jhEB004ZW0RR91 z003(R00000001kd0RR91004La%)NDZRL2)LelLXJ?yd!P0y&FA@d7PgC{A%oai@5j zU?D<+ySo#d;O_43?(VYh`J7>PH)+4W_j&*O&GY1Dv**s4U+E~>(Br0tH1g7 z|44)Ph~7B=*C_h_t1^ndKZ}C*Y|hies3-`oj!7p#-p#F4+eV@tq8gmNyMvtYjd4I2a>HD=dHD7uxt#>UAZL3<^nrkfw z&5M?8O0UtiG&Jw}em$1P8AtBa^lN^#7PQth7up8&XHB#IM)%bmX(?%%<67`3{f(wa z_tK;5`}OFWJ8!BqwfcKar?v-!8Bt4P&=z}JB&_e(^yslZ%J3cLKy4M%+JB?Y7t3UtSgY@?|g%U0Q5As=4qAAoPdgIsM=)PJq`hNXcfA7ts zhI-Sf?Z@Z^y7=Jo<*V+i^%6^Tlt#3FSE$nCYB_6a^=GXSJ&OKDbFJ}cE;Kz_hThWF zlz3}X%Ql;gdtb)Y-+0rk$I{`78{xxm-dp(vJ{VV6;FW-MY^KWph`NpT{Ej9mH zz{j%M@^9GGC%!)x-@K52o4?O}$AP~;^5@@dD==Oz{)70(dV{a~#aTrY(u7gF=n!gIXyikkj9R7NZP+7*!S3L~D35o{*MirQYx|Mu(r0?y9p-sWUTJJ5U=mxhCn z(5{w~Y15aoiUEOf(Yqo>0ipKkiXEx$YM+37IP{a;TWVFa&vr})MQC{$ zkJW|mv7dxAqxUZgQm>JVRU4zg!5B6VZmj!ZIn8==p6VuQp-#SW1qf-c7_a@932?P6 z6igp_o|3WW@z`H5_E(HO{R1OUv~fAzs~=45KD2PG5V9HkC#V|3Kd#gvrRY}fY;?WC zE0qyLq8$RbGX6Ta_Y~Dgo!Z%CLxAdU^j(;dTS;(Z^FIdB!V8nwQtKYM?KbEf4{T;AXb@xPCq7%J%uWA|q4tYPf@H>N6_!T*gsH+=UC zj~xy9ud%-)cL8}#=+6kXa;Z?&-XI^zLbXR??B>14(2-P|XkXf?s;iNC9msq*Q*Nd1 zyO83ay&^KNWWd>3F0O@8`l5nmXw?}VJlsB? zSeM0#$n+S-hgK3&tH!su{9+ZLZ$Ax-uFwG7BlmiP-3pBWcdne0)2bd zw4%&s>kDJwICy-9DF!pd)dC7K!J~ewR*swr_zSc=47F!KV=u3jq2o!jiu)HdQrpU^ zb}`$QwYh0Ia`c!i$ldNg2hqU?5jn}oV*csUmK1Pi9$Vc1@CRnO&nITyq#6XxVMgDx zp{&7h0~0hCCL5xX$Z(AJC@7zTzph^nqK}iJgtUx?G|0!g^PVqzBMMNbemb7JN#kzc zTjdGG{0m;usiruOCZs+0;bHGGp>DDKx#tJRX+zp>hio4-Wn@uH zYQEd}4drHi6AtIyDf6FpT?(|ap;N+*7}Z3tDqw@K`rGq7%DVMjXPlygisHF-GcT6*5&yL4qhk*RXg=$d6Fh#|N zO;k?|_``eF_bg`26*uu>I#v4|B}@^a@*8l$_)Jz=aJT%%XnJw^v{1g^P+sI6>r$9l z{yHz+DjFlb3q&qgmKO7G*5iA6H_FSf15A-5&UD|ivN$>JRssICC ziS8+(w)J0s$wYKH;)fTuQyOr=L$p$f0kiTm#{l$VD@F7Q2e|2PGx%HESp20bD_&i}@GnuPJ9Y(AM&Xy-g}Bx6IqLY2Z+>ezZ;;uQa$UKR&E>m9?tFF>Iu`C^}qmEFHf8eKE}ZAd!}jU9&I zjnqaLU+9Q3qy+(I=U8V*o9VU||4e^S`btIU=Bi+i z0~Z421z;1k?@puN1J6l3&tgbx0D;;*{1fY!Dl}!m{OGpEDavJ6K#uP-xtRataGh$D zA+0~g4uj}SY*`q)zoWGg%O)r^4G0+HSDCtG$wQOMv{6$HcscOq!T5hv89+DFtfjic z2CBgZoE(td7~w&-@pPs7hUl3Hq`67}`9i-U)F5vR%HJ{6DlgpaE9T$7tTx5+hVl%| zvc<(9C-Lvq{e#z0j=e^x15tzijaCXiuo@)ro&!MW<`?ZD)3a@+kufJkD0MYGv#&$FmICNrTqug z2$R3J!AqumW%6quTTXAcy^OB!u0XzlrC{Ys{WEPZJUTjQ>;W9gkz#vpDCVE0kM@!( zhO~W9bt1NNx0h@wUdeIFkd_X}`vCbszhYGHb9%DRO;kG#csb-Vnt$xRT+;XsJ5C2u zep6cvcsue$Mzd?wZ;4|oX|qWOj3jj z3>Z7molB6v8=J9{vAC_#OrOi-@1Mt|QB4haE;3vY$Ui&0EWO+`f_^-8$KzvCh&KGf zq)jUQjNXQ(6UuWNb3o{EErM)Z3Cq*v{-M!jF1N|0)8-uhyH9D#D;vrK!SZG{Ot+;X zGVL*zx&=J_gd*5Prrd#X{Q4QnD?(~d7;4{d>FX?aRSUJaA#E842AlJZ%T;4)Lt2)0 zL3Gm2F~_bJe6jW~DCXa8LOua=Nu`Av2VyA>%QH^oEOo`P(~#B=lov)WIj(xr&sIO0 zHz<~0A4A&DsJ%lVmUcrw(%?M~k=l=jv`y&P2Pmp0Z9*sB>A9f0b^uYjt$d!%fA&&c zukozcOWy)Fq!o^{#*xbu;HIx-?JU}whE^^tUQ)n}JrY#S#U*G(RXWvqN_4TvZF0%H zv6%n6M?VTU1>Y~Wwt>ZI1+f#k#S*l3r(?94u9Zez&>s{G>)w{iJ}E)J&77yo8LEp=I8M zlTXDhvXJC1{$H?eTz=44`8Sp6`Q_1)sEQiWFu%3-fc)UNe$>Bhj2wCcDgod2huy{e z-}qG&zOx&?HzTu;f+?D8@T}-;hs^eYw-PdYdSuF7FPqY^u7&94v+C-)8T&d4(f647 zB-mY*-c?yn>pG|ROKJE%$#|^;xO}Gc&R2!1I9Jaat-b*oJa_cr30If=Ix03bwl;zV zU=M;`jIBK=GTpJ=xWO>)?&<_{7_*`dWxSc68t&b!+-~q3O9Q;36JX7mbd0Vvjw^1! zHB-!%v07>L%{6g@W`3M%H`uZ|{-zm4ezMkOV8&vEol?CJLXQ`eF$X%rWO__Nll z?+b@q{0CZj!QIyLd1*xVg8l&KGRV7u#zib*x4!-7Z*~mkvRv9Huv{GKz2@vlZO4}o znWy*BQ0pEhkvUo4cDlYrXzidFJ|=gag~=qHQ_TNhQeAnpHDeD#MN<-_8JW-OG0}0( zxWO?NzWtbSxU!D;m3k%2Pk(Q_qcWSZSD_%g1i>fGcaYi@NZ{=Dd8hjNnawA9%R1=< z6$whMQ{(S2SkOk9HY!_A$(05|<0J`7$pa}Kvgx?oT z_h8t=NYKmMT&A_><2##&OjHMXRniv=3vbXd38S!Ul#8VIWqoCqfvhM)55AJ@p$pKEq|o#Q_vDKzkdWRZ}wb}`|}c*NbLZIJ%NjB$r;*~ zHSs35h6d&@HOJ|5N84T7)rdNhp;c_F>QEq}%9Ef9dXOsmzSsZw(d9>h}(ky=Mk zH2^aUBbILUEx%rd@(55>43|6c<2Zjyk<}UXsD}Dz#x4Oj=m(iEyxfbzyK9vkFvx2` zsC)@ZZ;Zq3(q@9e@;0j~`B>m+0OQiwqymq}i7McJv)irKf7}Azp43 zg~q~-H^G?y;IlNjUM^?xS~FB~L)uDlPN>ajY|V=) z>QDFJ7+czJy~fj`xHl=sgXStXQ!ehmo&^RwwXB{|gXrTgT4VYf{<0De?fM9nWLrLn zR+ZD>BK(Dn9Sol0@KCl{w0w}HjbeJWA;Zk#XM`=p*ef6!tA(4t_lc zQqx=-%rPNA?0g_|s0lI1`(>`9SS0#6(NOgMhZSW1J--*#;0OVvT)u0Ky#&$8VNoVv z`xklc*lcW#b}s$mnPiL8VePqDESZlQs@Y!YC2L~fmfmN zLmSJ^kLXY-emEtbxSdAtpQ$dI$aE9K{sa$2_uJ4j|NfnwRyP8uH|f5xnXA2_-6as*ufOC?>KGmxk}jvoE#GA2}oB+qj3d;4BsCD>D;wvqA`B< zq>ZUJAfeEUp?rJtL#n-zOG*ep9${TH{@nq+voZnJhabk#voTKv z`4g6g7*jkXz_Lh%rZCx2-Q`G*@)eDrHwIdxU~nDRhtclrRcUVKrs@d(=I^!$p<(F`QSs(O)GdQN6Z@`< zjVZvoH*p3Pt)s&|bE`E5+`5G?ok4-^HFzo;zPO+PvP!-q9~?PKl`)Ab3jVzq+y!)- zNCmQLYk_@!1^UV?5!X5<)NZ?NA{G8ggE6)ce-Xy?J1>h`Jq}K!R=;TQO%oMSKUiDX zCR~Q^tRp9>ye77M1Ku#?l6Vy&scimO(MyXQEL7JLkd{1lB;8G{!5CXO_k)Afhn;6n z5=m22YOsV956}2iqjw~2s-(fGI0K7VCW3M{ra;S(_Vb5F>Y{OfuH-CB)}k&=;G!sV zE~ih=CMvU`oY!dsU`zqlpur*3?t4@m%&A6@^Rw7M`wozwKKPoJ9!T$0Q^VC_R;VPZ z0Juvg^uBd+D$V;tr`RgIs4a<#7fdZza`0_>KPX6QtO<))k+3$x;A#&oMcsOirx(w< zs@O)Mv0&mwar4BZ;j|*JPE;>U*lP&J!qD4hh<0k6WGqK-B6ZY-^#YRpouD_PWb3VLL z%()_YO4Zl|E-1{Jg3GfZMJZ#*pY&x|Kh?{`bD4%5W4+i}ON^#M!TQO)6jA7tAD2I2 zwr@I(qAusPhYn$}h`tL!B4IEGGxpsIP1HY(TuRAakO)8T3bcQ&)|YP0z9(XtX3F=O zjMj>P$<)teJ5M&Nqp!g+xVlz;@RT^6X0z zRobY9^eRY$H*zczOZ^Fq1+ir9@g2@lY*$+6zG*p>ta7j_;?XqX z+5*+ykoG4>9hZ&XFB&YM8fVT^yQ{0!2}9ZgAm`PZ0N3^>Ybb4SHpvE%18`d#up)XD zm*hj&(ysI#YY}iQ;LQoOjgMSQUGr+N8QQ{%ql+mIv=V+^Lkq$+@<*&fVeA=`X8B?$ zqtH`9LsgiO`E?&2qZ|L&bLJ1HL|YD$@1dP4fr$>~kiz)MV}R>c$*Gh#;3d7x*h5V* z`MWKAHx9h`!4JW-^161xhbBQ+X5_BIK%PBxC4JfdQfBY3xwp7~ZDhFpKz=+bke-y* z6?PI%H8SxK<`qoQ^u~k>y(QJG#IRS9phs66LKoZW-Z#yhXd$|HK#^nkwwfMLtxa#) ziIoezmt;N5^?43a3EWnOl^S_2e{>a|d6p_H)?j$3G)@*Sdk;RI4m?Zmrf4wCLrk3` zcYw_;f7yLJ9Y#Gxl)>T5aJ5{|W958aqMo-e9QY zC3Lm}#*`n%l&W0>U0JWkPGjnp_Yn762;MLjFa0Fd*_cClMsBZyIqb*j5^!H5- zF2Z6-3$dg?Tr0;PTy>V)w0Pw(=?%tSfU&yJC4^+(A2x-CX521d#5GA49}pP&fbZ-h zC((nN8ab)~X?TEO*Mr8w+D)NxNp)|xIjlfO#kkySdrOvP_3Swi6lZ<-SFVp^>E+u2 zlPN~_UioVZdYHeNpg|S1lvx{j>Ar6V*$tHeTZ7VeMbQ-S+AsRJx!pg8$*%D1oaC zGTby)uibw5CW;yy&zW}7Jk1j{pGIaF@H zN?`crMPM$onK3&o^;!zc{FaJTJf^b1^Uzj8_&1@Ge(6usglg%Xhpz2YI|Rm;=WxL+ zm^W=Jvxla|yG4r!*Y|kIR(M}~&WYBs)*a~Ki^+rnyn%_S%`t2qMsDrvoSUAn>`XqF zCa59C6z@P;-4NWm|2CZ_`|EXN(CaoRO-KDA)2U@H4Teh^>MSc8ikDc4rctlWy6U;h zUq~@{2&z8Kh+Lb#?WP9ZztNLF`lyw#O|)G2umDAHl?oH6&i>7GY|1!=1%GKR*}bfe zAmiqoAZng&3)R0kM2$0rXbnJkO9JPm$gvc8M=whlk!$jY!N(Ro8B3#cYbOdcwZmWN zU7z`I_1Srj4);yu^qJdET{OnjmPxbQ@GVx&OyM-_|g*4~WW&avy z=1b7z#b50u<6sGUf!vtG(cqJ6l|dc|mgSEOL(kHSPHCLik~dQ)3}gG?V>|iBs=hFU zrv0p^E#KJIM5wZLtuHk8v*SDs`XRnENr`M~q>)-|cnJu%vezp?mv8jpiffP>%gCiD z5{O(*Y#&QsTI#UJHloA52V7;~SX%Sa5-;KB40_=Zn=T+Y%UwHEwKmJDr1;o&7!z;f z3AFdOTt>yi_KH|oOu98>`Pi#)PmMWCY2ObT?2f5Dq3<72c`R)(l5)@0V0Wq+38C(j z-5kM7scbq8*3>ZM6O3{OOmXSnrPQgo1`lCkBzP?2VXan>jhUB3HD2wojnsrdEOFQm z2mMLmgNjkyTLV=D+y*TafonKR$x57VDCKZ&pw8*$sKKBJtqBipzu*t9U;ds+b3UD* zNfpA>2aanJ?lT~^`~@$rUvn&V+NgyJElY1Uab8}>2-_!&r4@TM*hgS|84t=~Eq44L z#?rQuT;+0G&a_I!h4l%Gi#E$Ll)t+2M9C@)?i&C|fAG;QL^swaK=?ClqH!H8|&Meh`a;3jD$)${~ki2VTWYw$EFC*#JFAM;bTXV+@SN*GdOGZ z?51)VzWo8=hoWpfbw|>j{dc7||EV7gd}h_U_CSUm+m58hCp7pik0$l`d^QJLac|2Y zPxmxL$s#9rv5sTx9gNF<{%|HGp1GMO%qXiG8il?F-+v>(RBG3VQa+tXRYM!AfhO#Y zga5o^=3VJ$^q`BI^pia!72yBg#{AfWhV;@d*w4(~1r{F(#UQ6ekt&#xiyLHwF8hPe zaldV%xrueMa3enjs(Q12?V_bN(X!YY%rQZmLrp-i&g7b3Wi$QIU4v^ILp}ybLzbGm zq$uEbCCWY(=uz_UlB-H}oPUOFCG!FdD0d zEK>nl@WJU&nmJvsufbBq&)94Nt+Ft<&Z)xa;z%0VZBspC>zQXOSZ#h4bbt=UsiN3YuXj0*5mrvCF5t9WMl z?G;M%*kH`3`& z;xQiCzZn~*YkIb;{y%otCA2&2iABBS&3(2v_yDSzT->_@rm4KJ;4$P^>82@uwxju$ zvE|Zv?KGO%?-VVIdZV5jJD&$*stTE}8heP=rAX^c@7r8OnG~BI?y?D@EfhP5x_)08 z_|?qjDj>_q_O=6!Z%~eoX`NBqhN$0JC9(uo28ObfW2!5DopJQBkG3^n6XMuaxwOEa z9TJSCEo*h5Ds;2DI|&LH6P?CF&4-6?w1 zdY8iFUr=IP*JhZE?})<`)+~YZUDl&&y}TPE@~2-z&Wy8A=S>vTzY4K z{;XI((SE-PTN-WcFvWe-H&TWRdYy^?)?=fJ;Ppwq^g6SV&OOs$x70oW z`*I(?{Ly$LHQVf2#FGA(q0s7VTlT6V8)?T<4MrdQ;6)^ty%pH2=if++7HV)Ard3L+ z5cVId2>iRjhUwJPK25#4S5T9H6RkYFFEfOiD)#S`>cnJda(sJPmk8A2U>k4P3g!?{E}G&cm66UYZYZ%j${O(x{f7Dj4V>b3Gh zEY%B!QN&1(tqFDMpirKi@Q%o`VKi%+2K%vr3Ub~@5nws^PIt1{w059lv!Og5RNWVb ze6wjKIiOq#>h*NL%45LKLBT}u{j+tNE@VjHJRRIb zO*TGOk-@Gr;O??dFzu+XO%aQNX0gkKSL3WmsebcMuriU(Ncp{WNf_RWwqfS>r?d+v}drM^gQA~ zC%Hu43|tM;j8KoQ405zILgm`qWuHgye~#6lACEZbx4Vy&FKh^n-|68gJf!5m{SN)L zBcrprbEIk!&A(4D`F7H}qiEXLUDPz`5al#cu{l;uYM}B+5k7)mmD6Dl#s%D7E!}gb zyuSwTXDN$0G(ydkf&a3ruRG?y{dp4clG1El;zXlB`91zC8nWrkx7hguCffBIdKNW??wq#g2f`m;A2dBWS}~G0%_4lU1{g-CFKKl*PBe*cbn(O1K)1f}UQH6CF+WzQ zjY`up@746G=j-Qwucja%KY`7xV`DiV2jq8_-&#a{Hi4@!RQD2Ez5hciTGTgERD##= zMCLpNb-4;cZHDq=LFHsFD2Zwi#BvOR&#|L3-8-dUHm+bOPY$6D0OjUsYTocgj`T)j z&(XU+S4Q@%2@R-LrGj+iaER*5q)OY2(&7S2iQB7!sL$f{l*nhJ3NgLM!ij!Cbf~p{ z5#>&QiO#jZrOq30CNOr3!FK+T2c-68k|$j4OyHV;v3c$i;M$QVj8bgUlb*h2QR8n! z2Y$~h&`nyb5=s5slY3d(my#;=>Uhp4%ZobCWN4up8~=Wdu!kKBR?cl<^s$*<<1z}f zu!Y`kz&YMpl%pT@_toH&YIjtS8G-T<{0hjWrph6)JO!V!cW4q!*)AE+e(e2&Z9q zHaC0ScuMQNJ+pv?3DKwu?X7T?F{yavpoN|TkLQppVkr)>$c+ztZqU?h$(-5y2C2k`a)HPt zpwArYSNI~OS{tJLStT+({}aZJ${ByFs6cEd%R^I9i*S zdXMk3kHC;Gsb6W-EJdS^CGpE<#^!hVEo%fGXy<zEst@Z%_paR$54~-Q ze-Gbg?56W~dp6H_z^-nWsYIyd#M5&;RTR>Atu)X&3C0??2&TtjnlxT+)uc57(OsCn zXaTzt%Sn3g0?|9zn4$&jtt>2I>;VD;A7l_+sP64fWe`^Kz#ffI@0WY7_*FRFcA@u0 z*yFys?@9H}AVP%$drUDcOs43EFzV~8=OyKs6&YJ_JcP-Z(6eC4E5{>)JQgB-SrE|g zuOM2vv;yUAJw&$93n#LMuORhr+1w2qu>MqfTIry1Mt zs9#L}#?DpyIUG3ct!LELFOxHO*d(=`|CZJmzWXB#*|+<6$~^2G&6qSu-7=J$+qlfW z6+kNUM#3JGznF6Il5;SJWNcd2zzT!t$oF!NcQNXf!B7-MD|l@8BdkfzdWsnhNQ1fH zEDZY-2-tLb2p!lqTr4#iYxq%420w+Wk}D=YuFx_oBAdxN0^X|kDO9QStpCz$7gyuTZS{wMe-q*j{^^S_a2 zg-S5ge#zyL>qe?pRo`t7k7Nj@z2JK5z)pT zXQVb0Jhh0)*mWbd?2Oz6Yl%jh@jxpFNW0BH(ZKFf(nd|P5lPaU@-c?AcCdss2&W@Bk`Q+}jL<3f23qww zt}~)-XVup9ZVgBppm;cQ7_~aJiO!TPtd^R}L!NjHM+xxhW@kEINLQL0%*tRv46zX- zbbB8~`Nqd{`iIzcz%^d)sm8-QDZP(!(|W2M>#oMY?N@{EY#vFz#*r zih?n)*mNpTQG-)+C!t*Kk&8k8uET028O8&`r#PF|Qe?h&wB_|G&+^-SI8j9qaJ2Jc zN`Ce#?WvPVeTJgYMl%ui77&oLe^p8`g_j=7$MS1oWFCxZ!7J1@wMWNsp7=*Ot%W^R zXHM@Tb3u^=#Y?Goqp5nBE`pn}LWS~o7@Mb)fp+Jgm(kPrZ^)+!zgqw$pvg-+;rzix z%|B4_iGI$S+fS(Q)ZXAJ6214&;j@{(FIuMI zse_EY2OTrQ$p?M$asKf|$uu=P_m*l;HqR`A13SL7ql%Q(S@RvAYA@4&f>F zlh*W8-QHpjC|sq|EQYAaYkQvMH{a&{9S@=@do^i&C;83MXU8cn|t-qi@;exd~oo-h*B-zn3a(z!DYjLqS_d4Wb2^ zH_*=kJv?vh|BBK)BT{V517j&my$$kqsh|T*wb&fA1OL`94=p`ENl0_Q(F>5P9Lvxe zGO?I{{+HzioPeb!Z`FoFSyTBQw@{P#HBkJeHpLwL!TNzOt_IYXO3&A89uDSI%;5&` zjsx?C?_|CUJST}|L+=led5X;~sA`?Ubf>^pwcB_Jk7`-vaJj#2J^jA<1AQC&w@Paa zc?OX4Iuox1u9-rQZttX9mFFnlf}$G|fqfI~UHEer*-KB*fI{8WRW?Og^N=N@&Tzp( z$3kg;TphUDu@lJzd#piY^;)rl7F>Ey>nor2JdA0?;@0kDcRE22@}zfS4_SBPCErQl zUyCozpw^##QG$6%3KY&h^b1Z+b&7EEyvhq(W4 zc)$~kuxI8Ns<1_`9H8Wv1=G@S!Bjxev-ucm7p}ooj1vg~fhWYxzQ6*8vS z8^UkR55TNfy>n5E>oJ_@1*+W5u;#rDvihgEs-0*j8>l#uQ2xoA@(G!rI*u9SXILV3 zPmtDOac^4MG>}@|k5qD$271CDTtk=uJLSqrG`9LBvL|oxyusap{lWgm+_`eJ*+b8o ze4;H`U#M3GIVS?%R|wL+jeJeH<7aWUxE8Js8GYj%Mj_97ylOhttg4?$Lc#Ja*XQ3` znl00)+FNZ*ejJEJ-<@Hka)Y6K=FTL3e;J{gzLvGr70-F7x}OuzflsledglIe&&)TY zDa$CG$9~~lA`uyHdfUg?A+4G|37vBv6?eXRSyXN1-r~S>QPIG??Z`R9$ltX>0vEq+ zqe**>x}^YSv4%ANYU|W2vlNsV3sh`7fp%2wDO8Ntk-xkts4lWtB-IF2yq7(|HDXgo zdev;DAm3s1?rD7l1D^DYtxaQn+dn|7 zX2s;Ml9wHk#vJ%iy8zc5cxdVV-6`+Zev~WoI@QVOn^4JxL+~@-FQUm0F45qQQ&c_E zn~wwF7m+|g+Juv7?ex=hDAjE>&+ske{|1?_&GvwvrOo8*J*TPKW^8Q_1eTR$Zr$G* zLUFR`LbMvs1;v=;eZ2ROf+20;xe(RRq}WoZe;Y6*_P%tJsL~=`uU%rxmK2)?0vn65 zO{KYr`r^5Pt{rxu_iSd&TDU!w#wOAZjN(;@uEtWc3PEkvQijs{(Gx}Aprr}mytCG2 zjpNIAU%_{}iPCl>jZA`2y<0pUZAMP>4Zk4Z30%#K20F$Vp~@W-p_esHD^l}OKhTl| zmsEbyGsAkpNadcsnGVy4XTHuS)0(SwEL1551=I#Yefvi+rQV?DC1E_agnO{X-N!oI*Y{QV#*mVd9v9Ixt$LRWrf%J*Sx zW5}%|7dZGKlx~GV^p6<3>yi32U~U0glWeDYt0ndQ9{IJh>MGhj;4L+DJXKhqkroS< zkP_TYZ~dG)TutVj_eWQGlUX7Y?^_PAo`SpImqbvUsXEPdVq=oXv<)iR1JaDGJzU-u zC!__6N?Py`uJ(MfXY~uA=f8~<#@sO#R$}uBF)r8dj|1t&!w9#nHD!o33oJBcDE^4n zgty(OK?{O3?>3g*z+-CyF{GhhV=K_s;)^61fzbH-k3*^GC0#-%GS>15Rb&r@MkDj) zR~|U_8*A~5I5EdCn*XvaMLjvLKD$$h8@@aE3@r^y>-?i|b#=geI}PG~iTT6mziQH^ zZc})QzFV;-bUVc#>;P7}m2BcB`dmA%Gb%+z70(1sE)HC-ED+1F+byZ`pve+6Iqd1i zPg4UdtZ~_cD*r;&Tf_*O%Q5yn^xn;9w-!yS5A3+fYL=jhgIw&R-oXP;_V~*{Ni|s1 zb*;zj<9v$2L~*p#U_%nPWRq+k#B(FH0+SCo+M21uKtzYrpzmt`PBb;skCdkJ5VeKf zKy2+4XE@D8;!|U&Q0RRzhXqWq*jg?o+O-e&ct30{#OS1V0z~AxMhf4BA={&*w|sFA zK4#p1PJ)DrpL6QQr{nOOM)1QzM@Mo@?L$Lvc2a5ie#wH#Sorn~)Mqc#4x^z@j|yqK z%&NsF1Vni>YkEI!cUsAdbU=TdHD;Z}iV1btKv|2&lfxrs8NP!c7G6)~*P*iYqfg0o z;JU`dcqn&(w0f`BQ|`p^oVlMz$QcX5_b51UYxtB}xR`$Lt2a~leCNv&f#l~u?E}9r zrp|>lnD5qJ)fL130)40LvzR(m*WiWBm}hK3Wf)7dDy3hkf4jkldbTrbDu;7V{1z%b zOU*Mqm)-*tg;9=R{oG&%t570xZ%{r2f=|cqe*ZAj;jACATLqiQBu^Az$X1=57bt1= z#Ln&Cepkhe)RJPsVjJ8vW3ONeNv9*zHx9W%IiIx>X!k;Oay9mIs<_PIJb%8aN^5%G z#-c%M#%*Ug5JKJCYSJb%X&%1$E$;xABgu2R80m2C9&|#jHlztp8BxZTC~%27{*%nP z(p62J=L9W$-(h#L4nrkh$^_BCLK=Bqvo!F?hx;dHPjdH0F^F@aMWF>@4vE>UU45&B zQ`l9llIn&r8Cx##Haw&HqaCvOwKp>sL*|RZLMhi-J@&|GnJAcLZkyhFGA~l?xwog< z>x;3A?w?1GOY2>Kpf^UVQ+pGI?9eqb;!zCnPboIh$2l=}{dw;Bsn&eD7@@&AISxvi z^MH*x%*egVvvu|*6e{;Pr>vnpqdrpZXIWJ%Qye6PviLpdK-;%!HTosiL^^k2r0Qx^ zA|3g`K!B^*mnoF=`;NnC`qbmM+cbFr5DFqAF0 z#C;V?pEBu}INbUc?&NaqR2Y?croo*#w#0BEgM3V#bHC{CXFdsU$s6 ztC3$6xoT*zTO|T7fto3<{{|}mPH#xWP99It-0xj8ZCNtQll@mC(r-oR8PTIYUC!Z8 zi04~b<`VY!d~x2zEurT|U+VXEucX*Re7_){fl5;d@Xr3l_wrz2rdCq@jXCgcM?NTte_RDV2T{%a zdxSLinr0_>Njr8y_srs_@L)#^Bh}wIbz2oMo%}iPuhclRqN?8}sf)%G=Ocw}g^&Gm zc02`aJxItU{w9aMN0t#PLp~0qQHS(G@h}sa;-d&2fa1U)Z&v7t!mE1H>Og7_F}aKX z^eoLO8sCY@{XWCkayB#9G}zidSz6JkK6-Lrox?>8V_Lp#5AEI<-}!g^VV;vIzK_Ab zR^%T>Z-Y0}gC2X-XGSi8%LAU9cnd}St^FI6#n{ex^WtWz*hPblRIKgHp*0$|*0TK; zIz3K_~GKbIug1_jSv`1hN+ zft0y~XX5b=F5D9Fawy`tchg$nZ}4syjsyK4U>m_>DubiJ-MtqBC$9@fZ|=WyKpQxu z&ixH;T*{X1n~OiNkp^1bP>BAg8{|N2?tvcOjc4xK4VD4!Y0qPY8Yt`s z!CYe{>OiY}0>#e9uoH?R8UWXx3z^4H_y>KiR)pRxjN?}v z5gct8T)Qa&|A^oK(2>h=+30UYWKg5Xd;E;G2V+$IcVvFDH{+iHgS&l*;1Lq(CRCP*-nfDXa)+1S={GS=z4`cR1+(Vg7YwMsq)Fz#tNxIke1P33PA85bfgP+w8 z6-DMF*^p(L%Fq1+tv5(aW;PdiJkqh#%;pk-=pGEUPK39i^^ry8R17Tjiu>P0l$i$b z)qJyQTKC6-+`TLT&$G9~QokIUMb#2(Z~`VqDh~(3X>&-;Am8-QR`u0{+{PGtA?UA^ z?*uJ-^N#SIJxJTxYBBxt^b(Eh6s~F;sVzoW zsR~QY8GkGVRfwYc>LnhJ|Eq<)p;u+oJGW-*u1Xu=bZEoD3bM+U2&Tok&(rf)&pk(l zl?C7OwCv@O*VON0X6KR_U1i-t5|zv$DgsxH(-AcH`zw8QOlv( zaOAkXf6_df{6^QQnT;_CF+Z_h-0R4x?}jS27QGb28`%OaCj>ubU<55VK3(|c5%nXi z*wEz(6#ohN*o)f(G#Fe;ik*tyM?txFa|>ZEKK&I_{Sb?9E{52UXM0?XScYDm;n-{3 ze<#MShf5>gahR}X0m5=C7K)|94uRCJB3Wd6)0aNn)s^OOMlNZN*RR+L;S0vjV+|PL zIB4RUhu)@js?z=O4hsHtvS$E8K)k;fw+H<5fCxGsaE4+Hp0BRM;n7;d4R~`qZyh+b zl9F_JMLRa+^h{f(!wo_~`QhZXX{|khHg!Fxu=_(=7MS>OP+rn;kV;PbL~nSv#2T14 z+AYM?exUr-lGhZH(9b!jb-4ORV9_o!B1e*|=3dHD{!h;v1D-p6Zr7FG>{?F~G7M5& zk!$4sJhshGZUU_oYsOQtl_#lU>$r-~^3+XKw;2Q+%UOmxE(w%XNh!H;1FwDsXgo!m z7o~TpM~JmV5BJ3|f-fIQ|1v-y^nHR=BH>!Mh$(#7V`w1R;1eY3(ThOVi zlVm>=PWur>yE*?Ve++ z_(>R_mw`;#HrYBFu`;2v*{GeK|38K>!hwKI>*8;VX~(h8v@U0$T4}~Eh)VNU@Ev!~ z2s*b>S9@euOkQyevLa!MhB1f#5OtV)OI!Tc8{kOfP#&H) z4vw8oWrH4wDRP$7`WA>>OpP3E>fiyVZy8L4*1C@Z~w-{1P}iVp^hzP zqS+5B;jqUO&5qS5cda~xjrCa#2lALrO!qJt zYmE`S+aeAZo?If3{|6^(ZNzert;${ilQCk6Hgy=r{@}Xj!{`qc>OjNl>8Zn57K@nT zUx*@=Kl8$>HkwXx#+l`uh5Zpr``+&I;C2J9;8 z9DIF^>R=36z!~9!M_bOMhtJ;;(z|==P>%&>4@GqsUUxXjYCzKxQZvX4 z7E0zhprr-om@>k-{6%QX&DNB6S)j^p{F|S<2U>q(genI@DJLKP^m2I%g`M+KX>y_p zu%>|WCl7~EBVWC=-^i>4^P^fmY6K2!_{PFvm?5q?Zf^0g)A4Fqg-w<=Sz??{F zAyob6MahSx09R*Rrt5+Bgr@HG_Vn*ZDJ2 z$u?)yO#>cKz3~to@J;7D(KTE}u$PEX`7M?}do0|4f5q|i zZi!wI{mAIV1*fyXM%(M%}H$MVyR6a zZa#a`Gez#wWQ6izu#9~XLfybFWw#9;Nf~O-5S1W<2xlEW^M|Z_w<~CA1f9Jeqw3j; zT%r&;y_=DW_jg{O|1aRpJQwuM#rN~!5&Td5Sl{jqYX9VI;3@ulz}b3$v>e;|(3SCe zgBsGDNNp-YZV}dt+Z?X-s^gGN2%u#G3&1LaN_ge$vb4~VmF79ut8T`DJ79_X5Ehf$ zE}cb-;ytFkZ3d~+EOXCNkQ|z;YK76|Yg%JGd)6-41#U1B5yZrn!es+Il=EImJ{lQP z3waq#o!jewm4)NFgz^sP-GuexraXAqT}MJg+645ThtTLe-=D5b`iZix*{LTo04i4Lo{rUH4_@NOhp5(FywMv$Pr>}^Li^B&~$;W#Bje10)Vm*-N^e%!=9X{&V zZN%ak@$a%-$U0Jze*Ab;F~Kq00DBibeaKs$_zBk~=3AuZxhc-#5ma}lHiskZRi1TZ zxyL(Ev2Die?ZLw@!m}|+?}?CF8t+6k_~c-ZF|~)(_<>D;Ria%eysETq2p5R+n1WVU$$N?rMrMhtCcV_swJ8M$;lEX4^7kLt_Bl41Y~UI3OosNfcTGuZR&BXzYQ!SoSBTn^c1@&8 z%`Vd0MuF3~=6X*TE+jenTO8FeF{ z>Sf$Nn}Bes8}U0WEH_z}W14b`mB>^9EF^?4)Jij)7BAB>-^gAfZZHgDc?(}KPLwW9 zW7*##hP)8bRt7kCs2@el4iRgCG0B;hi&$f>d59oQEA*w;l{6R~WZ|&+XI3Tu!#ml# zCs@oyaQ+5u+|cL^Ko*~M{(cKxW?_JPIcCb32uDG~H$PnG)nXV+^&8bGNihd)Isa1e z`m45{@!)ANMp$#|JxwT;#W_A;wc2MC%E$TemRy9Mb`z)5^*^tZ@58|gC;Ll7Me5>- zk;^Lf_e2UCB^0D!WyKCJ80SKYwD008*ld874cX2i;J|SX&QOEbOS3?RFVT4L)^7nOdm=Q zYH5Y?PC^|Wq98Ra1-VmA!RBF}{Vlwcnopx=sGTy-Ncub0Oi?JR6?tay3d1&M0$lY{ zM9}?ko&DVkjY2T4h`J?a6D@wh*jsV&Zu}or^oFH-KzQF)p46&(kdTJ|2q|?7p9aEn4um};R757X3toh+?PFx_m+EhIvHWn>mC(Y6BL?V` zQqDj5KvtcMPX8oR)IDQNa?cfbX#W`#X~orBH1OpLb;MM;KOttxJzx5*rj7gc@;Z;G zpVeeXrHMCutjrl#Q?6+-9y(6v8rd}m5xK#-)s*SB25Wqbv@Sa06iiQkuJ@?MSJeFRdWpGEm6MThc&Ml(Tz6DTTem9d#S$0S`q?zA(+F8 z+YvN9N?R)bsl{>?OxZkwb)5eT=8OFrJkuCcIuLyqo@An8yh*1V$BiMQvu<7Lcco`1 zOH&!wL(hSF254E4d`x$`jTz)XJ@@|ecW$BH%@;}?3h6zfHB8Nv0E`I=V=YBfSM9X9c{Usv+|s#pH~S&ZU>J7KBWVNr&1{FBaMMrwk0Hv6k>{z+$=p6^vS3>2*@ zrs2D2`tgpHhOtm+Ogeszs6~tJC>p#VyQ%_3p<$1_ z>pc=xqYmXsO=u@4d5&$s361G9eqWbAX5&`6Ez0?U;I zjE&~)WgR|ekgZnOLndiX-tNi@v9xO&M)x9hs!7kc%M$l!=#rlc251@c*JxS``tyHW z|1aR}EEeBf+;5^=p++ot#mk3HSF&Jx_plAi{Xwmd_7Ypejs;JJT^uNkSg>nrEv(-w3{yEVy^G!syyy8?=^Zq-WKY%I#8iJ&QoSlE<* z#B=JwB>MTnL;1oz_9P(ZlOcH}E7@fF)GtO`g3qwO~wj`I(b_X!{B(Q{*Z6_IZnE z?|Q_`P-y+n{FmDBmUdmq?Cf8&hl*?TogELWR`ZW*=duvW8n~G%{~V}-O-%Jc71;!Q zXR0!t=AG2Bg#3s`_eSMm;)WkoQVHxdVm$d+2CJ+(DTItw^qN8^_It$ESL;-v=r68<>Y|9h)Narp?!^b{x!k=wWU1gOsivdj0+2B{U03>X zneM%q$Cgwh&m?z%A~Uv6a_;2oY06+Cvu$uk7mWjAbefqrfJi z0&c`MB*?EIm+*VL9yd6-Y=NVTvDDgZ7g|2^57wux{0d0uig})Y${NYhRuA)N#25-{ zc8YfGjZ%E5ivDsDEVTuUJ#V*lG_X|yXZ>|$R6C)IFL6*6reO504qaPN&5wLS;*_0g zjj0vuAe8^Xx7bg4C)TU(@8!QTCNW7;uqbG3-?E|9qnD><62|hlS4<4$sfSlr=}m7g zcfYsHd4|$~pY_hWi!3X#mLJ%?T%Dlrm`$Y77XC917w_h-nK)hY+g<2w+<#lsIu7^Q zt>-}WG8VC&eqdRORXQt8X)r`pH5wC?>mb>k?L(-|jpdZJ*iv;5<`cc;|2UUQbi6?M z9#>b#jmFl(4R#>5TnueZy+^H~W%Y9UNk{fen0#Pvlbo8S*K(UjQsLoxdJfkSNNosp zCt^#lfC$R|D#r9Y5<)GDz#6lOwfqgnoVa+mw(J}dTZTYjCvY*D2(QJvGm)o{c`d&F zqb{CIO{H%!z9Iu=fSPcIvNeNp^Sxi4N{8cv zstu;sJOq#U7<^W31jgvN)#@W>aV6k9p8wL)iXX;7WB12(42mD0L@yfdzWX8NyRk+ zcsqG(tlftej&_D}oI+}!LY_?>Qi^Wn&PI1zA5#Min z@CcmhXVpe=5Gz(6D)&^+zfq6L3K^keB#MJ%CwkGFRvMgx!Ea;g3=+~!+z%fMV(M@d z72$V?{Brwuav%QtUk@4F_kPC1nC2WpqmASS^XUtG`YQjpzX5ij@pt`PGwwGkj*MFe|n8H+)YC zXJ>KVX#BIBST7~jD~!$m#s}G|JuR87Rq`j>nkOm>5u0yEQPtolgn#W%mm&0SB@=WsaW2!5_*?4(Uv?fU~Cs`E&taD-VLRLCYz>S^85`kbswB)eeMV< z@OO-ux&p!D&i{A4#zk;IG?ipwK}byP4O3hRi!y;Lb&h6^U8cI1Q(d7g`@{9pw6t6n z+5VD%4Oyfndd$mC;d;YwO7wl9tNWR3J|eZgU@Q*;jQ0}{_g2cJ81@A+uyjlbndZc4bZk}eLk2ZFo1>vTeH4=euOn7<>4lEcysPJ*V}OymA^N(IVE?> z?R=0PM5+k@-OMmJWi9C3KUY2`h;Ly3Ha~qq7Q`W)A`6y+p>En6UdjxN(K*q|wO%@!FNm(5Ixfn_XOu!L7FZF-o*Kyh z9@wSob))(&IW_NOEHM{b5()4kIQP7SLir$jKEfBA+pnEmZ=ui*Ks?V5Yz_sj+%wVX zuj(zn)+P25chi_Pc~Bt_!di(;sEFcTME(vejy%Z}M?5LHbryAIXa zQauutGKWnuTH^CUx%EUX7}FgVoz};905zW|*UqtRNs_QK?FT$5_UQg}H2ipQ9AYJi zuZFGRl!pk0{6raY5Z6$8lNzNOn28s8tKbm?HxQMt{$e7%s3{i(re@+Icvxalric;I zG0N7~BLVi}dN|aG=;Ggp3Zuims>Pq||5`+bj+f71lw;}vWSD`eglnf+I|%7H0c=+CTtE`zRa=Qd0h#0FSKzRsV07cy0mPIizffRK|f$;ME?FOcq8RG z{fQ1;e&uYh+`xKmR<$&A=3sx(@@S@1Vn8e};l&3|4kh24vc&~s5|(-nVkyitO#enx zG6gK#yspB(Ra0_k@%4HM#ku*4)<4%giV^&PmZt8k-$rL4;`KDvc>1NmHtN)NhrTv4 zcAn|Wsr$TVbS&;0owv!5*gTFC^C(N+0wZdUs%vF*7x38whwMS6h z1*e>Ts@_w$3fd{KR;6zTDtDp?wf`E&SA=>yIZaFSIgDNmcN%}T3$h~eTiAIByd=ZL zv2?Z0H99q;puU-{MMPvC#nT*J;U#BwcA`UvrM0YAi$HlX5}#xPV^YMA@D|1$m93qG z)MDaxqf`hrYA3OiDEG$_9jz=>qOi|p8>1gr?*9ReLH>kCb0qCJo8tZ~0% zzkm%#w71WB1AN&C<|)C5h=L@JuoMCN9t2hj^;XTAE(bO_%>^ts*c2Umq0pj<$$khn3_pdnoLfeqjFzf^SC34z6d=zbLVOjDvc4SZ$UM_J2n z5ZEW!+SJ$6sl+&GEoQQ)fFu+IwJHCOkSwxe3=q@f?$iEVsW%_K1V&&AB3TTC_w5Md zo9890B{_=S1P1JvnJmP^>##>vHX6Yk)?~8aF)sctmIpE1cjGjtZ6#zQ*i05DKzs{2 z*veYE=N{{P5Ls&O#TBT@87EclJ51kXXGH$q`f3RE-MNjfem|y*y?^o84-ygZy#-g( zHJ=YOenJkti;698x!!JG8_OG!w=YOpPW2XFBbgl!KGGpjD}W?k<4_lx6~5{(4F@id zCY9p+dXhjVf7>FjTCu^)XRyOLuB4= z=M?wv)7B^2;-%DR0#to)fevR*B=&|f_hwFo*dpGEX^f)4@U2}hu`ET|X8^ka2JD7h zTD4o!!3A@G0sECz8FB+e+b6(Q8jH~B*~Px7@sd!tiy8f43xD$_MF4}9$0iKKT zKjj8FpBHl;Vh0x&J`Cp7YFu{l5{0SYqO`Q3^dRe0#&yWR;_`1ajfzH-Yc0kwaZ$0M z(50jk-bTix#155eWNn$47C+B<62IHB)GrINTX zNgYe{1pOA{9os~tN=9&h>lwm+SCBBNqsHCi(o##9Zik}N2`aa1dAU<7=q8uNI? zEI3x6`EejdH#*c%rW(jxw3*H!1NR7H{*=szB&%n63Fh84-eXnM<_+mhtES003otI$ zaUDlo%a0stzr)Kd^@V%+9MTTkbwzNgas{WtHy;d#|qdz|w2j%OS?{kt9%!N&@7m&ws( z&R|-RT@L1~Wb+Z`AZQ$cmqaPGF6e9RfPXjl>rH2dOQ|*EiV2xNhftSuYBBr3hth;P z2dZh}MR1V0Xq_CW)0|$_$xnU{9$5=9uc6AH5zl{b_K}h_OD9gk3{uv@yK!m`Y|!G~ zc4aix`}R<@G%G7>34#j_Va_#09LMM`XKc|hS;ahR4dH@2zxAR|n`Ey>#VxHDe(`be zZf$|97mXPr!8!P$XvW?K-HM)R4#E;T?*+OoakF2|xk%CJ8Hsw#NyR^N$EK5|@M z-GSJQBaLHb^qTZfnmp9{!8EavzZlHnX+!=J3R0^bxP&eqh$c44QZm)DLmNZH*u=xd zbRbxQAm@0T0sE|fitf_TvoX6^%()VeWij&ab- zAV&|Xm00d#mWh2#H0R#1GTiy0mnf|zAxeqMH+Q8ry~#K$tpySjnJ5H8-3->k1!4YY zJs80Jg@-Q*oylbjq4Gji-n|&2Q<>;k%#%)h1R$=CKrD~i4S3LwzPuen)MJj1nhd3Tt`FthsrmEPs&9J5v~qjq{zVm|&ngVyfdxQ(9F!FRdIfO_z4aFh8(%6t_{Y7g4`!ywb9D3B9SRHy^9Y z4SU==Y$`(wM$RCdHrrRVTLf`&`(tJgT9Zvi8&bq`f)G0PaF`(jt zSlYt{+ddgVi@Hh=y}-mhy2#4MLf5==wlLkqtXYjUBk7-Ezfs|fOZ5^cE#g(>_jT0f z?RzSm<*BvFxb;eJK7V^%@#MyyMIUs|>Yi81yl?2)Kj{q&1@%*$TQ6-&*^ClqT_*z9 zFb-UT^BItc3wc&yhgL5qu!SkMf(@TVCK`LZ4f(y4QySa(ePL@y5idmvNlG3kcbeoz z)s;8&YKpZ&+}M&;d_DOeI=UgD(YfAS{k-yT-e#GjA}iFfrPLzYSzB)I2Co(*H=yzV z#fKfZY~@AtrM?8S&?GnuRKf>c2Wl5eETo|y7z$&Fpr2I~*G zY+)^-h*_Ule_Gmm6KU^0`2`r7IRa5s>Ll(PHcg;1L+K~w8EqJ#+;~xoz2a2k#z>l3_{QF>qF_h(( z@Wqg<1R=F}kmqwWKa?R)eDKiOCbA(1P8>#~(wCy=vzGD+DUq)6o7Yo?HSa0bqQt&t z)53boeKWqXEJHD|B;m6%2Mau@?`X=HO*W}6v55)RmZ8dNkZ)Akdk&1!Q?M+Br6yw4 zIr?H8;pO2el;rKdLa6+=RA>c6hYqOS-_55|?257>Y8sPO_WrZWsZ=`&W~q4^lTu0c znt|4)R|2~{^XFK_U55hqq)<(jaCi*T%5kD{pS(PR&fSz?AATzIorR_As19RSA@@s% z2~P2C76>=zD!9u+fny6)r9vZ|)I9LGHE`Gp@2tc0__Nh}X~~99RJlt-Yl0gV?O;y% zaMEpEXnnJ-Vy&b(G>{fz0R`=shplP+b=j=py)r!G+R&8yDDDe6XJPJu$|oj=yMNtI z>8RD=KWOCS(Rw!(&#$1_Ac&`~I+b$flzGgDpD?%f%kgOnb$Td={&q4=q3=Y{H$J+G z(CI15G=&qrfiAPNjAi@@Cx__*A=HJC`B>;z2{rchEzYDW6Aj@X4nD6sP@~?>Y1;Dq z)bP?<>m-8FoaH&;1ua97Lx{Li zzdhaESByR$&8)XnHQNKkdyra@J|*dRoe+xot%5lFOeCt(K+FV<6yoLs=Q>v$C^58L`DaT`20>i=~FD(_(xC> zQac7O5$~vaW2sg0xZ!98O)pVPtwL%v5<5E!bGVBtC%~}8KCW}Cpd-Q@&^{ODkQr6R zc1(_Ki$bW%N(uH+xr7&+1Zii{I8dAq$yrJVSV#?f^=dD`$h4Fld0IgZpqbzFU?X%# zGo&?@pdU7lshg_?dZSh)fn99M82WobHR=&kN1vk<%9HkPcT9Nu>1Zve%!Zk?yxVp? z9zO+&Mx3KGafs9WE@$Y~n%KsgfK7SW8hdx;N@}tGF5v|48muwVMxG}6 zz}%pAL@(Ks&SsG=*wbReCYl@yp(FuvB^Jv{%6ueZ7Pxok;6)sI&(D<3FMUuRwD#qOwx1$IeZE-I2qof^Wz@Em2EQp7~`vjp; zXZljy@2lxygQ@xqWytB+Rkdpf>ffHtq-^bDisllJpUWMVc!xyZt=fmB7S4?MpYPU@Hu`R40QWmAq6*i6k0NsGnAZWk(6{l^fR`C5X9GI5c|aAu;m zfr*=}RUJ}Ww3!5P{*WS2%K%r|JG2*_<{1~zL}U^|#UJug9*T2YwupiiBst7Mo!hdj z>OH-(0vqr#oXY6VIqEb;>l@3Mez%+cMS;&DuDt^TjkV$QeeQk=+c8+br}_qpJ`W$P zo=d-fmg!xkPz$QL22Y?PZC?n^nYJw=iBC4l+&W6NdRj6W zEh)m2^6!@g7C6f)2cF4fw3l$S18*A9&`$X%{)NnXV`az|@zkFRQ_=mS#j=0_%8-9C z(@48TjfrYWL*B(@3z&HB@OeowT`Gd+ zNVLVSu%$XGbm~AIUdeHX9x5WIh9x**=Sttbnw)iVlJvZOyVagroGnIiJ0G!jhp=8U zzHOw2hu_oZu_vs-c?*0g^*JiKEWUw66~(%}W%&kE?rmuGrOMVPj@1KMs8(bW zC9F9;i)!3^D$Jo1S4_A;Q|K}o>`^JS^7$doDQetd9(4Hv_E@_@W!nEZH`OXvK)=ew z#UY&*=hK!nq+-!l2;$kh=Q&ZQP=p?(vBH~9I+H6)#hEeq)Kb%y_D&OXXwFg=N?0hfD)H4N3Cnb5E)p`*;sAUZMqn6T6gZ$XoZzxCl8Hh6S*TYts>YS=;n zmO5leEZ9X0{-;F=7Vnk(-{2De6YO|`a33Y{edr^%!!WZQd=(F}GtFC29iO$yt)B3D zpV&J^7YDJS#K!#3!8>B|ha9yR(eGh*Xhc?CDy{5%ESs#mI~oup;&!4p73EluzY+^5 zXmt?-x}EyyKc?lVh+=63jde!IjS?)xQVS&P;4tutOMqkIZU>8}CO98{{S@qoCZuL# z%?Iz}0vUI6*rOerkJ6D+ONuJbhCM2&#lARG=RMQp#lx+ElF8cT7SQpDw<+$bApNN7 zVAo{2j(#9MVb&Nr=PSF4svW9@0(&Tkr}@F#qc@V^&1$45ybS*D^~43dp#QdL&Sh1> z!vd#1+Mk-mI4)#!od2k>_Y9uA6$-sPq&(fJnS+wI{#`fcL))RbR0T5M z5xonQKO;YUt;obhvZxJgK}r$-Sfz$@ry_nE&G(g1$;!FBTi>>fV%HlC^@{A@4iyW6 zQ2R!oL|r!A6*4!2qOi#z2=&PEAv8RlTq=#70!76poAp+yrtF2~PVwCc1kjRIr@``g zH_kc~V^Ut4lyafYtKH=#HkD7q?7MvyPN46ZE{G3jam^f)Ei!+wnTAWp5uU{CE7NMbF2b0*9^j<-A^GFwF+!;z%Jd~ z+$|+D?MMl+%)hvX((U|2cOQ<_k0~!1jMyTIe9~(?eb49+Jqt{~hyfMFj5W~H5e#XE;u#E5a$l1&;qbR z;ij#ZR-#c4a?|+yQ}xSAp{v2w2;63jUrdAF%BfnIuPAH*DmDo1s-~wF@d6eJ?x|FA z880nHrc^39oiE7QT&ZLv=-xo%e`EbJw1m&m%y#dhep{)8cS8ztltb-a4Bt$v=D!ys zMQ}l3YYUjR`yN_^$;sCrBoEVEi&eik>YXi`5Qf`1PFPSqrn8U;N zd^!Sz`rD*nsxxw!DEqi78_NZ?m`Dy$mOTu_d*f!mawv1K9xAbnOflFwDY96$jIBhu zisu%a(q>_q3q!WBcjXVJluj9ub1>%~!9!InWM?~T7TLni{B;EFtQI0Frm{OWtmA1K z+^(J#C$RUSY9V}%JY`fIZ3=%ois<+24DK+b%GA67* ze`PBT;u52@M=G;It-Bb0=%90zi*=Pq5k(*3iM%#{FA{?rYtq6od z7a}(ogZq~pJ)dUQkl{Xz9a;p&P^dZ~uy0nLPuY`8@D|nDs|i)V1-9ZG8+K$;F;#FD zi}R9W?a@}WCgViPG2^?nU`c?#FemOsrN2;%Q@O>?arjzI#MI0vb~zZRRH`GNFDS=) zxCbT`dKbmk1p`W`#fDCC;s82vbw(@lA}SxRP)$0To@m8~qk2)a0dc+Km?gf^)Y=}{ zMickFqE~5re4{Cu&q1u_fmvS4&7b^aYFN;ylKOWm8%P?se=FCC;&0}i68O8h~`3H=m6yZa?mo`!1(=pQxY7)YJ@E!zK08kT zszz%bW5Bd1DwZPKG779I8{lEAF)?<3hsiqn`|y3pjb*eex16%%W}569yhRPgUI@yN zBaCrnfa=7T4_BLYprJYB*gek{O3o)C((~seR)Y**{F%E86ae;Be#Kl-+$UhBo=nM{ z7h$;_)6rFIoqsaIM>O&6aKBF*12qJE0>^Y;rI>yT{zzeYWAy47Kd3!BJ`95 zSAw`b^k6Fu%UUFF5$`r@5dc+o>s6!0Y^tdD4sf*`?6-^?Ld#0X?OmV<{L^CKj+(h2 zFAkwcd=40%q7{VywNj8;9o$O&F^;m|lHhU5$IgKGGqziEEEgZ;brX~sJ1?r70z;na zqr3|ncIgRJ=n*A|Tf)v;sqdR-gas^fS!1H!8%&vZeZGLgbkkBLUKLYnrxGooGP<;L zZlFuCbYqwa~9siz^NKzyztOwb0mm{V&#- zmHio4)3V*{O+^OChUi)*?$P2>Eo^)>|4Ls#>N3ryz_YbCI3pNM+=RaDiKxwIXJlh;T9z}FLZQkyAXP!1Y^0SyVOsyv01&= z&=YJL(-YRWV;S@vC2`&!iMu3Fs2$u`X>2rXaxW7%C%B(xUg}J!e5?@Y=7$TW?O&Ik z8U}4^8OL{u3b}XLL8Oen=1O2Z@9i%(e*Txko^ZioOxMl!h~qfAP{$Q=Y%diDO_8W( z!W@R81ci+O)0Gi4hH7i2*R-Mn;iugcR2t)48>D?j#TLxJf2o;MG?8nINsy`*39}uBcJGQCNnLf-~9f-vazQM^t z_}EC;FesSGV&BYKye=jDd!oG%OC`2u?bPtWG$&L}8}hk6vOiV|b=t%vOl*9e!qW^g z&9yg!X2v0bG;66$&==TR#L(YlGia(t5ytNGC~M(O#DcUxV(?9k-4|UI-TL0(xDPY^ zhDGO?y4PV$`a83MO$_IN6-RHo&A^sF6at|o^a%d@oz_m7Q4{t`Jp=0nYx z($6V2P+YHUjayti?8s7c$4%aw;v|x5OlqroF9%nj?eV;4!`5ORSXio9*f0>jkY(R+ zO7xpdvAi{$wA3ny)uRx&>?xMN;Qn7JmihhJdPVFD&BBmFLEItbJ}_8&_qr1`h!rdh z8C&8BnRh_?9Eh@2*z35ZtMir$dp)7*(YVdc@+);Xl|}TZt}CGqg4B3Hm0RCuIjqzZB zXP-!MUM=G+CqAqaS1hzNIX?61dRKK?Qq-TiFW#(+b3p+YgW0q3t8J;(^dj?3%Je#> zo>+yRw+J5JVa-iqm8G#+CyBExx#8-0P41%8gBsEeA|7rg-n_Fvqrb0j86k6|8gaN8 zpHvd$xDD16=aW}$wC0IEu)y3|(Y3komDfVg%TGOB=Pb@G4pzP0NbA;r6r7vwR14hm zB~j8NodoAa6z6#{AIjm0H@+*FhYC&@Ay_jxKg0EQZ)Vfd@|SS>JA!`|;JJ!(i@4(a z?>gt4yl=SYbuC>7YCy%Q%=Wz&=VM_uQ}5`*^N&s*>Xlb+*b#dvpQT%< z7_~n)PH@+J3dURXLTx<~pP!3Txe%)=C zlP>r7kogVB98j(J9NphdO^t;+qR6@|WQ_7CuPB#}NAq*$}C6>EOnakh0;F(uk^HvwOMBV&RG2mIj5%)oJTQ>e4}J_I@Ix} zoDW_U;9oYmztHyxP`}Ap^rI@=&ox;)Qk+Q3 zHC7xL$shcBUW-@cqp`OZi?Som`&WS**umZT%vLe)&y6D9u#Q_AZadh-j=}NXQ3gTZ z4mPpR!V0&+T{Fn-+LL%E#d&em$$%$CiG2X9 zo%6&V$nSfscTh6lw=<{C!?T`Or5W{TdgHDlrnWbG9_Cx+IFH>c=nmfOKr>V9 zXW!LMF$IhIG=)&xA)fD9+LYS9bWw#E3#|PeM3u#D!LenuZ{*)}^WkYHe^xE8N~rQe zlznAMB1+byqA=tVigVuj-0ipncdpsB9Od087ZsS%!O5AOM`pO}gA)S$$6S%ofhVN{ zt#B{OQ9kyt#4e}#Rqb7~2|cV&TPoVKFm0GWL6_-+u`-)Y=o_h)(2&QMsmj)_I)4!8 zd7bJUNb!BPMdaLi$vN*gT61!dFb9)!3%qb_fd8iAGUReo(Uz!I@?U16^1ZUpX`VhR zu+d_6@AYd#!{ZdByggs*eBzkNyrLg`m%3a}YqUR9+&Vv0!8C14&x#bk!z{shRv+tm z_^*x=UDP^M1`m_-cC0an6Nzf`&H(>qr)3_)H=07`Q(z8P(7sSI?_YbQGndjgk5==R z8!))*dQ}=fUl-fT;&d)?ZDA(dpP~Iq*T>M(ulor@`6*^oA$MvC-vE|qv z2dba#$Av@un40HI?#Y1Ov~iMbJ8WalMe|)S&>gi?jRSnay(~g58H3uXFSzp++^;)S z#TKJ)kQUb5=f-;a^}#hUHD6VYaWzEW;4vIHIJ6V>=_4E9d@$+H#`Z888^#s0Mh1(( zT=%Z-NNuyo&K|Fjme?<$jbyBSb1LV|tjW&!qN~KUN(yc8Cuo7%o%z+sF;b^!$p(wB z4MpD|6#dXYQSVCiXVKb+{|ZaRetTljvL@VXKI*L$iYdzg)vFOw6E`(wiK!eMP~y?? z_|?BZJ+E^_5D!+wEo?;`Z18IUM@B>aJooN#OZ?!83;^{R?R1t#qgs8dB&uY=0oqPf3FFu%?h(|ix&{qauS z8gJ?G^pXM_LKa*N1My+d_o{^q-Y&r~77@FK0kJRNw1Dn!>GDz!Y(YZYDr|U5J@-j) zt*z{xW0NLfleTBN zd%CA>d)l^b+qP}nwrv}C+qP}n=Jsy9PrScizwP&|D>JGhsxm7x&%+}tA0T0MV2CP- z)f+a~8!K{!D-ABriE<>XQibpFr1FzOdhP1p}v=aX*#w4?C4@7 z)fpki^}$3O=S@rW{voSKSyY}1zL>r6w(PTpqDF`N$O?ji88Nor+ZTHyu3}orLAeOS zEM@oW;Pgmzzd697w@R+A*BB>a4hsnu{`(jvg^C^;%tubYJD3fNRt=oQ->Fr9hn5bc z899$c{36t-yqj1kI(!W2+qGlcO}^{^i&GJg3&K?3m<5UOQU}0P@z=)*)>oE7I?CXu z39?%Hs9VKKC^)28%Zz3Xw|{R<&ZUAAb<{7q_rk~b%psoo=nCugPTiaOgg~AH83r|w z)6f4T+l+}|sSf;WO?KepVe@G&%sx%%rlr`kk`0MmTvpFUyiq6Xi0&8AKWniNOXxowOZR`Tbf^mG?sBI7QBj-V3h1=k6Rh`9Gzu&-NeipR7~j8a$o^c zImR{xfx9Ao;K6+Mte{8s_(vqGfGCviWMl>@_?nUPk7BEZ_c9QNlvQS5X0UoW2gR4Y zm>|6j7SrG{myxYRW7Gtl551_c;SRsPY&y!ARIP^kTvE@Gr=!Hfkd2WXBWf!vjPNW! zcZv(2w47(cR$zZ&BMJqJys>s>iV5J6n9I5!+) z%E{~`MaA7pPk1og-~m#a8;tRd5k{v5ImqlZRB_9mywds`yQ`Ut4@W0X;zK4S1Bxpw zsVzsx{ntZih@#yc?8N#K*2o$!3bd1Us*xv>-DkKK^_nqQ1C`*ynwe_q0tEV0fD@$(SWgRPHq?GHJh!<9)|#C0Lc&X7ah)Jr!^LrkXDoZ~7Q zF{g;X19^_9!1KON4XML$(p=Y^mOtK?RsR(>%LREvg#POy+G)*ZravAp(J4VkpU0$& z2~@`|xiul@i*7b`_L6CiD|hOW_;cUf(A=msvSuq2B#r?#S@@=<=3Qd?KnEI>i{aMHHKvt!fjhHA9cp5l}i5BKi z@N^!4!hqBFN2gwh!#^%J02Qi)rD^D|WlK_Rv(9ebuxynFI;r$`G)41 zMUsnjssyvC7LKp_9DWb+y@IivBXw#rK475-g{r!q6vZNMvNmM~5Uq-ANQF-oy|%f< zn7V|Vj1B8tmvbdxOrt?dW&K(Hm_M_Eu&(K6>~~4_gu1xqkR~?g9tXHq09U6xXdyJt zXU@6Zekae94GV)VGv)BQDE(Mh(L)vJ zx?#DIG$QvLBYXNioj=wUz%^aIu|HYLTRiAPSQs7F?=OR(QaioCBKW0XfO8X z!)f>v^$)X`wb=`WjX;= z5zeKyDOTvROGIs$rfJ(d!AEdvZj}Z-5uO#4riCaq`baR^-3_8+;!x{;oez?9B+Xd9 zOIe}#4{v%_c8jhUgorIEuJ#c0!3vy-%^a}5#TkzNF%c<=GQX$NZ(u-bg zJX~P*Gdcl;*_oTeXhFVgL!rDBZVc^G)K4(q!xKE;F<@`2?TSN+YhpL9saf=CtDY_8 zzu#~Qp@0Mq-M%7ds+VCA9{)-UzO_KTw&-5yzBf@AKbXj9i3Ni6cg%hqV#qZSX>B-s`wUNJ1~Ke@yQ^bzyw*TO5wEM z=-`X+hVvt3Te$m9#Gx=X)%o|GqeC`X9Ro%a8u>nBBgq{nRj6IKW~mOWHP+3?OAWphEp%u^1WnS&2Vv6~}ac!?-q&Ty}66 ztW=J{+iKhPv@c9RzOPf2@3t<$?uLg*sJoi2jZ76nPmTX;XR-AoeQ@@Zr zY~FYij};g(rvpAqx0MD8`hAWBw!J_5O9huBgyER3AL+V#NQ=vdUCNUI6r%)r~| zjz$#bpnpf7z2cl3jE?E4hH<0rv5hQPhB3D%%eLz-IO1UUud-`T;3$aUo=wW=8d}7+ zddP&gv|?{mZ`;wuP%!FJwRfcBuK+7D{lo2)mp*9damoEGz_mu@mUEH2biEg5^g6rR z&Bc9!>s}2@G-vqU@jGI0Kfs#^1?eC_V_+Ocx`uZ!H!2nFCsB)`NWuz~?WQMz=k}6= zSApc+-yxn@jJEQRA%HXvXn$_ICL?x3)`0}cjZ9=g1+M`6snd8*E2K$_XDx^D-Tmh) z<|KCRU%B_{c-MWm+Ivi_g?Lno=3VBm__VARw$eJ>*sUXfOPqr;n+AObKi0p57fbp_Gs(PAAmALXpWn?3b7S&JlR}Xe-|#KmW#PA>cCpP{ z4f@980+y2pA;N80O!Kktc%()GCBrb%C93X!+g4dGgnl{9(qC8!eiz}WpF3ZGL2Q5y zgt$uEX4qZQv-$*PV6P{#!Fu0SC$_Bi5;yD9(hu&;47K2z*{xThO#7c_CzXa9jC}Qc@R1kq2Cu3IE;B`7 ztjo78y>XzKge6y6+@yPtRffyadxJMf5sf3743bkaI;qK|@^Ao}Q!t_$nhd6Oxvzga; zo-EQdHt%M@CiUi?p#4min-bwm^>y-@$E@Yf8X&DKQ^S$Szum(p+@IezKqEXV?Uakw zuA*kLNbDc*;49<=qb6vlti7`z@qB7UU`rkP{p5@}>3y5koa9}ZqUg1ASuBpQ%_D4r zTF7h;Ra&#W(^Y`>N@FtCAv~eS$P#n`Y=9>I;P;H@v^`*Dw+A9T7xjDSkcatnTM4#} zFy(wC`h$zpln0xOYS}G!kZ8bLa8Y&~rzIwG3E>BkNQxzBi(~JDdx%BTs~2f_Nl)41 z-ut=W(+P6D`{wF6?P0|xdU`g%63modt+N~M2K9*?(GdP&KW>C47q}sRD_}NA3jUSy zPN#2JOIqlR@u*B7)F0ysIO>MwGxwpli4F?zjLfKUEbwk7dSj-fR+WBTGQHHqW*Qdohf=S4g}#jswGi<2dVb#&9U!= z->&9xbAT7qY;W@7s; z7FY5ef>00`iAGgk(J^-rHoCdjtS)^z; zi27u_5$z!*Ay_JTRU)YsIliYX?=M>k9~T(}hNs!L7R zj*S&a<%C*k9x$5~=_WGa>1hHo>KE!!5ze_*!2axcjd-G3KMI`BeMM96TTep0F)(nZ zE|jEHivPAK2^(FS)NH=F#)Lz=#u`w^UJS^pPUA@q)DN z!f7IgRJ!QPjFD^9_^wS!poHL4@N~52j{kdKLvx~EaR^RJIj6bN?pCtg&MM9*yaAs3 z*>Co&Rb~DpfB959S*%SXNK>0(@?B{U<#<(IU0cTe#5B1zGMtnH(^~wm7vZX+DDsA_fHn$zznhSl>b8Z4^N(Bqr+&7dIsT029y4G!fc-kPeA_^^`p2a0@v< zrBA<)r=Dc^OJ1MJgF<;;8!iyX0Ci!Jq+K`!=m3)~@94in10uZI{7}h35~zF`SfX2U zazwH&be}gf^Gszabcf z(ymOMi?r}YDkfwt4w+NBh3G1?uHU*0(HRdNcZ1s!-rL@?qshw;Zsg4$zCGo67Yk9+ zveWQ(QC3YuYM9P~h+D(OC!#ryY{=@=B>sIbeuv;cizUy#Iwf*fylhDg;!2e4CtZS< ziCx{qoY#i?3B@f4Re?!(())<=VQ`Z#b)-o(E3?QQ-+sBP7z6Lu68aNW8XKgmxBguk zZedED(o|2fl>qR_WPHFwr{t7>sE)|Ac79O`BKo2@c2hH3-4+}D6$Ew_l1^c|XC+;X zqQb+J;#$2BhJ9`NX~gOX8qf*oCs$NLFY7*~-V2M4u9sydqF)}eq_Oje z=GeI_DU1gKXBB*eFOyQ*-uvv=@yA&h%QLPE#qq~WAP+8`%Awp&T5s>$*aSZ;f6XK z>ot6@{qFGxyxQsI?@3p7_o6S}I%iCrH=LxHtRJv&r(2G`&qXz?vYt#V9N=>pRG}xt zYQcF*xih1$xrZ#@D%VhoNB;gX=fS=lJtG`i&6yL&*j36vz;S=tDdfR&EG)a{9VCp4 zsCll`S?}S^h=fbQ&|pp09*IcwJto!jeada3U^D*toVtAGzIa6nlWn0t)t>lN=2>1W z%1J+3P_rG#?{>l7i|ftItj;G`uf1f>tAXk5lIIYSD+3NsLU2E<1h22YhNj z&v{Is1`Nyj?nl9!BJ4VQg#pm6C`WcN%T3yo=RW z^aS%fzi|yKY@^kU!xyskV89!9@ovOF^dlh50v~Z|b~x%5>O!nD``oH2PQte-G2ksu zhg;3&o|LmpqPDo!4rh^=s40+lBh-+Y{PNeDt7Pzo$Jn23pgQ{EYo$)an7dhrQulY- z=41@Q_)TZIEOzurN|oS~4zl!)F27SBK7FZ-vIqAUBJ+C!QIRLq$EjZzD?MMcM1kSm zu~x(ky4=5>Omuxnarj$pL}_u)j#iyyjegdLWV_h~#z-ed#8Hs=<&3%!}tW z>uVrt>)Wk(4}re5e1d6pu}kyhR}KQjtg!^iFUIX|VX4;kj6zE0ouU)H6wnhxRtAPA zVPM5_29+zDGq$I!v4nT|R8E>jqN$BXV6!3E4h90F2CJU!Hox_=zU?INcyv#jmAooHX{$r zoL%v=KdSk&*ww=gIpPd92Z(P~OBsH=7v`&(MM`Dr)=!5N89PMht$B8Y=`m&0*m&LCSr& zvv{FskrDR3;0Y^Ia!7ijrfY?}&b4AcxK2dkc_ZFY1{kYMyX~Kta;H&Z+`I#MP^KSg zMcp&7Wk3-pELix}QEsZ1uMx_S*J{d6z+PTd;67g*xVYY`+=$02N! zFvYu-8WE+wtg1v6Zxaz0f2*kEJruX^VU~#~!CAo6T67@S{<-b<5Wed|p+E56Z8?H> z2c4EM#mZEgIN37Gp9*<*)uvgaDSVQuhL`pd8!K`{v(KpRJQ0R$oz}%z0^D5R>AwB4 zc1AT+6Hn45ir5GK7iHh;(0l!uNB&L|+~XZ0Rk(4R)I5F1G&F%(RTEx$od9M$7jPk; z$IEQcRw-axlBUQ|4dSzVf;abWM<~V}+ACfc3ge(ClCZpRUyS6uB>v=OfNi)9PXCP> zwbKr6qY%W}rBCHZXFOSu#a?k`de+S+98y(oDbXlSd7?%sYowvO*zoc$iPhPOFfP%e z0`}KQr0QeA#OW_~`hu8F{ayes}I%PmbFs-_UtaEa2Q3?>b?gEo|! zIkC8fi@!%3vWQ|SH-0Z}Fu&5sP6$Aa;*ziVCCnvy{)ZDZfpsEMHEv*QI3%Vgoy8Wo z^wxa*gfTB zfJW=l2Pt)$>VO724UuZQ9(+N6PWkpV443C7^jL}HvG}^_K!jtEwfRGk2p)y7I+!`| zucF}BAp9@@AU{g8ySJ-llRV5a_dsok^o~#oD=)*qr?wa4omX(8)!wP-VQ*Q<^W0(G zIV}*z&px+C9Xus4lP&GoZV1orrq7uLvz~h_|JZDr=}?_#9#K8}sG0L%Q?6p*7ZK$q z@U={jcH4})oT3`DSQDW|K4U|3JX2tZS&*(Qn(oQKwdNI5Z@QYFu1vb{i8?k)!l3Mt zCFO&Z*0f&-WO`6Wjnr|Hn=KWDBX@@^+H8)7Yna1SeS)c5^V`BL12KQxrJROJo$}KI ziG*BF(ndENS&v@)=>B~XahC6d176aOVM0%IJ)5y3`XXgRiR=j+4%kis;!!7ghDB7& zir_uK0Is%zXZLqwSWqO*sq^U{rHYVa{mrY}+J(bS=7ec=zzTOOb9?vB2iV$i#}7;O z+8b9=L1aAlRnd+cTnQ!V*&?>b)v-9%Z}UzIqCLQjssshP;P%lFC38A6!o6Flrz_|w zsIR)YN*1o6dC(nLqX>yq!8ZDS^mNq05pE^v9KW1 zvjaA&MC!aR`|l?i0kOst+6`;zxDBDloZac!*sln)sgyUzmi=Zv*lC}rcchClV?)8% z7KvR{7nGb8DV~6ZXWAkg$jXPP-*=DXV$m#AN>O?M%y-G_qCRbtd3lY2Pf7H2Bj%+K zHksoDu!AOul?YwAPtcm;)!FK~L3n5A;z*plQe?Bp55|c ztiI3`nie&ZGAO=oTkK_loLs&g&D87%hJU2PL#{u|xIF4IkW zttZgiA-H^PZCS0VoG8uS}58g+|_S8_^g3o!2_4o=S%;0l9r=jVY&sp zqC7(8^fiO;QQ-B6-)AwWVm`TZs~Z0{re0iqZ~8uXq}(keW{ghOf(^Mw1FH^?4QiA1 z9eHEokpbYT~9K=?XJqk7Jt`&rA=)` zU2BHwx4{@3YjChta_fO1hy&b!lAti4sFFUU438U_WszlMW4lU60lt+g6wp@%fm_RE zqAP4bR6`fG=NT|81&|k5X3;BKM7G#nJpOiuJ`s8Tj+btv{HX*mJEE?%K8OeE|JAF~ zU*Sl4QyG;Uvk;*~xZaxTJuKVl=!HuWq;S<~|1GK_uY)C5;2cnLrWEWv_xg|~`+j6V z`D3}{h9aab)H-%GRO&K~fNAE_3zn}BFD2K(Mkb6`vD3K|{v3DvH zhqFU4$qPTAKZT_+MTJ20fZUi7_3JKR>id)=eTG(R)>!wlBy2MgG{_}R!B+Dg zt!fm5B)IOZqDHQJM3~F{z-$nH6`-)Yx9x*se~pYT97Zm|VhXRet!8gYG|W;KA0GFZ zb!iF*Jx*{Qwbd@tnS_Z)PW(epLgth=7BAk8%0!{C6J_j6Ym<=K_YiutLH5pXkk zmx(nCz%ryon8cOH*4)SFJ_Cf)4dK&>T1KEAt#6vAZ|7g)n3!lR{(%Q6J9~x%n0hYAL76e?0F~|>z=?D^8 zF=d_x6{V(&U;VuUL%B$YQTR4A=RB3eXDadK!)04BFTyuhFl}yAoj`XT-`O~fE%zwB zYY`*C9pzKDrj`$X?z22Z zIoK}r2)@rWn}=%$Ryd^0=26)&B5Ya)Y6t$>Y6G))td=RQcJ{tvY$&d(t$ELY2|5Qz zuJ@hrTJjL=XVtUkWbe8qiOEF`!Df?yhM$wy{mA@5d?aX>B=R*7H4sxi;-T(=gV|di};kWaV)e?fE=#L#olyV9Tdj;8>e;Yy6m_zszo- zDd(%g1Cf`sX}CJ6)Je5hZV3h8R(@>mF&|+#3^wgbH|KB1Z#s!x+kSj4%#zh1FuD7D z`^VIU@|V7VZH&$MdW-*3!Ur+01QrYyAEk~121d;Z^#+|c!#n8;S41lGrjG?W_<~t! z573f)U!Hy=37VuSz>M~je|#(Z86Y8?$8$?0S=n(jPI|AQzo~gvu=RB5-RY(&D}S+LvuAOVqH_koSgZm%Ar-%!(?VN=YI@S4~K z^yoOUQLsf40-N86Xk^ImA?0dHkEF4!{S?|JlGSnRhqd5}A3kW+^CszdmYNYAl~nIsKH5g4pPs&ssHZ8&dV>P=CUDGZltjX4(mZp-PD5&e`o83IPfM5i zB-c*pKm>kIhAhF-tWcngV{{B1JR)Q;xlZ<4z-T+nbXe~=0oP!S; zZ{l=tiP^}^8N+Hj+@uFAV|DyssR^yLcbLFx*nTctPk4ADywu)_3g0R<1%TNs_pUqD zuKu^((T|Bu`~$IA2I~KFaPvgi$tBi~>ROP`LcVtSV|n9@ZTa+{qcR9P#paIw{KwD# zxV`$bReBN??(Fen*`WoN!S$b`h{bH;8{Pc=<40z0J)!achphGAf&5>{|2ucN_uD65 zzy9yyQuy$KCZ;?Oo#*Q<3(Izw@VtNHCC2s{%!$7M zUhWS@jPHIb(Tb4uBy)kNF&Q9eU+-TP#*R`B14kLkKI1M0x3kYfW`K3t3uYDbh z*W$r6$!bla`nI`y1-={Xs~B6%S=0J+qd7b3+tlym8>H<`>kuG1Sx5jYFJRZ6LbDKo z+panuq4GKV#k`cVmkhpIa?01Zo)L%h^QFi&1-sL#`8TVv7m2u@;|tC?@QnHav7Gz0 z2Ws`vg>W_-!(o~k-NFuO6AWN}S1G(z&w!i?-E~V8QgAr=U1uxN-^<|#ZGYVV1d_CL zj>xYyIY|2c5r+v(Y)PVo??)a#E*Kngz~da8yjFr*-XG4Pl7$eqEd)hjwe%us6S_es zX!-u5T-R8qxjYxBrfM0<7Y)^OSB5d()HTfl`2eH}>#KBctgjN}<4Ngn=GT*qP>2oX z(CYkZ4B(CNyMY5#$S|}>XG<9S&E-`__OLfmbe+4yVur-XE_vxjStIV;$r!4tn)${8 z1KR5#+U_))M`NM!WZV7LLxo4R(l7~YYw3aUDXdu#id_C^$(Z|H0qrtd8#H4vnon$+ zE3rWmu+{^QE0L}|>iXzFp2HBd*Z^7d7vWcLU;|UhGUE+^neWb#X?ipd)bRp*Z*WR` zq07;2<{6vE#=t9CZXPup2TpFi+k3Yt2!74aHDcG!o8F$c({Germ-oX4nw9uU4gdQ1 zvJund>LRpd8pdt$T#3X^yQR>IC#+@)L1;0)Mf7|0o7~(C`ewUfeG%nEMNG-2$Nr0Z ztI}u;t~K}_daXX<^LIz{Bcy&K8Mbo6uBQY{xG%rjl%I=v9#UqHH!>C|wM`c3V{HQ!Rw%flzvN zk2J>nWo}R$Tb7L8AD#k9SHaW&DT)=qFPY}9$nIn zEm+*~7G9#@isFJoP=X1aiua1)qpA_*D+%*V2+6&|hD>g_5CF zeKmg9!prAtoG;kC#cSneonm3J#@Uw-f{EG#XmNi!IV9KVXrRFPCd$s*t38JaN`5>6 z*W@4>aM;xKH+EB(GVcE@nB&`bTJ=&+BBI;S(Tvzne>9=YGrDd3%|J36!kLf@^r6Yg z7WuAM4PfT9vs@0estfFgFx!CM*B9R#Tt_u%L+}ni-Q%US%Klz%r0v07j=n5^|CfAD z?m(Ja1I9NoL?x6zFWlxn3_Z^r_-SY?DYChvFtnmF97zFD!DPq?iUjvNy@b=U2LKY% zz*~V`=^}SMKNJo;92E_PpaQ9@6f7@3A#=Hw!_j)^KD|qpfbH4d!QFJec9>Y5CCSHX z$r0-{{f$-BhHRUKmMWvD#(JTm98Lgd^vOW|Q+IW(Bx742gj{8ROv}-XI~Um8QVn?$ zvUJHNB-x@h1 zs%#kev7$EcG{p#JMron4bB^Wq&PdTOR89JtMwh- z%Kju^D8CmT_9d4qh#NGM1{}JahUe{H&ZmQWsl6m(Em*R5+wMUg@1)>9T1Z9~BXtm!t{_)~|3;8s*ng@G>oj%+ z_LTHee&BE2QFu-sP;egpg`?haX5w`jH2>M-AcJZD)eFnA|7AwteA)mCM2XsWNt*Z) z_{}ya!)buU?Xa}-L0yC8Y64+R!=6o7T+MEgsOL+Y!8Q?G!0>(el;yp(a@3-WCkD=( zeg}Cas~a`$HDt=PS7{gZ4fkjcZa@JAL$ZxwK0u0Kh$kGx&^G|lQ+dP+7AhMyl8qMm z@oU@UMtc{wPOHCK&|$FGK+_ICL9vA_77l@zikId0iehfq#{)F^Cyx5mG*&B1ip0U2 zg*c^(r8haHIZmnuNCX9{OO2n;XKFMad-kerCSN3mhEHgm1%GWXG%E{$m#GR(+t&YV zumL!#fA}4JLw`ZLpmOSC!7FPw8fZQk1NjSGpBEv&65~U_0!HAH-LrOfiDbn*+;$vK zwgRX!bu$EGR2-VQSHuvaphN-jNO7cW zCSfLsgkWOv1%$uF#NqhtW&H_-Wb=RIMTx{R-#MFPrY&JQytmz#KV3|g*e5Tl%g)Y9 zDk>`aq3C7utd&tY4GwwrF%c-pRV20^4fRFk+07cj+gfM@@-y0{7|(X6Um?O4A1349 zj>`7-LSNRy|9HO>1{qeXi}~2q`wJ(c(9g=7!jk;98jjUuvX{Hw5WPshq&Ow-R+&jF zrT+8Px0Zc~$85OIm}PW~L?$6xU?vBAGF#JiPp0o%mW_c6{~E{W>w_b+4BjTQC=-eC zcfwy;C>hzwBKfM#q&TEQbW-ZjCxS-0l98Qk6M`mr!T14170+Pj ziXP=yxghX}CP7t~Gi}k(13}@33FY?3@ED9R_g+nJj_afF9N1dsVIDAa62VJ*d^_ZL4 z`tv{|b?=U5oqre5dnkxPovUy>S+V;d@VLfL4fU6=!m{Fy{pDwv#s$wP3|yWpqu{*Z zfy@c!Lsvr8YXxy_hb*MWGNW?+*y8ccxG4C~n1R&M&k6EiWp~ z2r!D8NR!M7&ES=II6WGZiZ&y2%IgSwFz##&SRW{rgF(`;G&$OsXd7N^d;<~%Qnc7$?Y>Da%oLeB9cV%IONCKWCj6@GNuNl! zV?O+=V�FM|p1R5B0V=SH@)W<+%{wdx$O2rohm26{88C3c<^I3jUp3Q-0=bL>@88 z1AYt65RcQXG-pN|Wye=OM4qCjFS;+AObH)){TZJLz(rpC+&8W1ug>STBK2lp!`wBqk;lymozFL06{n}}OT~X|Tb7rkA^nNy%qk>I1(287D_mWpU7D!&8 zz~m6K#~4|Dj5q;WZW_nz17Bua`=FA&ppyx3cNR=Ma^PQ^cBe98MeUZ? z`lPkORs+Bvl94+~VWvP$(FTWOmh()iYGfv6i>O*or+c&og);LS-2gxMh8jS#g}VbxijQ*|5wsjjg!9SQ4z5G9Ta zqe8-cNt4%=$Xn6CT*vXDT@f_JP##tdjsvfXj1+>O+LE{|PI!Mbu32CEZ`I;HTc%vY zJ6n+S5sMzMN(Ugh8BpTA(d*!o_gp_HfCZ)8nEZk(VTFOH5rceoUHg% zoLOZ$e>Y?ENpWh3t2A45BatruFCe>+q zd>IfdE2{Fhc*h?67NCU@M!y#!Oa< z=w|5$=fXHMAx40USOr>quC%(?KZTFsbJ2AT)l z2;lr-rM1}FLKGvXd_BzS=b%AC2GYgYx3rD)z!V48l!_F1)et65eo-jYVVc&q85+x; zDvFsb4n}+q@?*Q!!pzDmHQ)W@!+6ly4ISQotD5ODJMGJpj?PZP4|hI}s*3(&p-TFsm!xh$$c zxGoIMB>6RrO8;THcaa#i; zKK<_uI+O8gvG za#WtvAP97KcLUVAz`rxzw+VwAWyLi&JF6hcsznXVX^%y+xZp_+^pe>_*e+%c*H^@y4N`(%3+Cn*m)4l>E zC_mL|M96>p+>H70XncrA1NP2@bp{a{;)*XuyCSK0Dx09R3-^e!emKhdn0@4 zdjf00I|>n5h2TXfr73(S6G>Yd*;%}PRjCUciF`h(WC4JS$m4<k)MG$m`rvTzX{sU zs4P4}gY!R*6Pr>mA5GTXFud4;@BY1wTi-v7Hs0$5eLOkdKOBP{nW^bMpAB$SikMOQ zo@LM&->Y0B;GQ#U@GPC?CLFW0!S{1ai|A1qMFQOMHWI@0maqz%vV0=HX8#5rL#oGB z-hs6Xp9+!)TP!P4>vhuR3}MKP3Y}d&y>5l4?GB|2JTTT0)fdVY&v0q+>(i*WDPw0f z%FpHx6w{XD{~gF_Fnn}SGu;I8*G&x{tTddfQo`DFP_*nsnFa^{7S>tCNSY(~BBe$X zS7RCg$?M>1aiaS)m6MYKP%bO!+XqM|VOD7l*kW5!Y^=7cwsuD(#xtp^3XH55@`5?0 zE1YF=d>SgmU!DKv2!vV<;w*$m7vmERu1E1O!#hG?8O2SLi@c{UY*Vrm5OI6bVh-O8 zr)9aj7H2LR&C5Cd>ExOV{%{$M4!|*%%btapiJo=!Hq1GY3C58&R0U4(^Q)B>x4COd zPlbf^szo(w=vF-mGqg2{8l+=9@U@JrtsqQ2EE34wOcTz4*yxLU)&CB_lLPIiff1*G{0 zpPxk3l+9n<(DhpNxPW0JhPNiv6^nnp-`@B;yT^>J%2g3=F*qQAAx+kME?A($;S8>oLGa~x8 zY$3^vj9t}|9JPgA|N4H=HgT}G!FMJG=%uHQn2gbhz0!|`y|$PQLYp&r z!8Z6y%GB-mMeM@$$pm?{m|_)!2+bEEI#VSpfFDJ4f|%+^y{752-Q7ybA>qcPwk{-T zzr9h!P2Hx^%I8RNvo;C70Q>q>%D?$s$_7W;EQi~QKZ~mVoIn64sBLKgM5ighL@d2g zibM4#|IYztA@9o{+&?65w9#E|$JE@E+L~0HA_Hprc}X}qmoAYwJ(Ad*^B3yJplrUW zCHCp-T_#!8J&%tTr?0Kizhx88vyd()r>ZC?#!ICZZ<1wX_l#3A=8C2YW>V|UZ=bXi zqdq{a67#a+hNxm%IyEp(PdyIzhpItg$Hj%m5=VUfm*4{tEWI67v^%0Bpr)BqOFh@C zuM4iu^!6x+Is6nK#ZQ+ZRM>yYrraL@&X{8Q+o{w?NKP4+_)ODhkVjd^GC(pfA`Sr|)yiwSf(kQt)?Ff(xJc< z>te4LBij9+?eDC|8c+JEo8`yM=ZG`LV8$qx_rEk#;iZ!v-147zd~L6iH|%C+mK4p; za%Iua2!}?zlw-{{zH}k7iVw6w`W`0>r&>x z57;Szd4W7s!Fj4z2IG!739_JqLvlb12+WJl+(W7X<07t%j5Pz&bw)-9)8;Y=rw@>O zXV11YKrXe~Mc_zQ*~SLoJTT40R2K3FzbYxAV;yw*lESN8!5!O;n*-k}3c-nnl5coV zY;r4OJwGC2DG5W=prpM(q=FAIcVdf>jK6{=@3SL3G=n1M@2zu zN|9_SLwN)y!eHqC7kg*fR7caTVcgv&D&PVI#ra-5tWlA-F?uhhV`& z!r}QH=dJlNRns*!H9gZy`nuQp0R@G==2tNw;A!}ivy80zyBzIjBX!-ZOqRPYB(-oz zrM(TU&l6M@Rgdki2OMe|oNqpE>H&aEYOF3XT99Dcv!uaERQB&y&~ ziyLlF)G&!bXUv92$f%L!O^H`!J$}lVleHc|EyO$=S~ObkKRYLogS;j{^n`n6{=1Dp z^=GkVbXQcWIdOC=7Zq={cVkes%$^D8BjuwH)0vkT?K&0{W_I~$x9NzB5*O)_?%VXF2&@aPnTrl$7!uG)Zn{A#2R**X1B@dov zN^JLfZJ7xzxMd)zR|#D5Fg}5W5~+pGVmWT|SaFT}No6wj$Qncw@uT=RNi^(fNb-t> zDZdiQQo{Wb_9lju2zw{jc?J5cgDIuJgH_M7uE(e1MJT0qRo<|)ba+vC)P~`TDP%J( zz-H!w1NFB@*0@$j^)d{JIq`Cz4jaW@4L^G1!3$%itPC-JFK*54y2T?3Sx8 zkO89v@TY@KKtB{U!=)~3rg4;3t_?bW>1jtbjN992L zTPn^qmC$<8B=KC42QA7I%THHYj+#;+jxj%Mafr?csSwpV&yns}l_ntg=a;_6L6(`V zO+QREct;ymo+~7t(P7X-%L0MNk_X%LBC3JQb`@7IBWhrnP}W{d%l&{GrN_~^rDbLW z&^8CFOjK>SZ%<+TRmHIB`A;&JWh2})zb`OZ+WCl<9o*0dm(4O}UOU{SPM-+vQfZ}> zDW^__rPHo^J$Vnw!aV_dix_(6Yjp}$KPMY3(6MvMw7xQELU-dgZ}<>XZ>Jt-ez|D2 zfTZV$B_%s?_uIlPozYEw(BIxx=6J+vt`(p7DAP*&fN#JHTkYY0gv&R?qmQ8U6e>3t zi;jamT14y7)%He#3%ZM$`25Yc zs6n5pHs!B zUKD{*>b~Y@4_+Fd_OR?8sC;HlH7=+wmN=Wm+I~_~>Oq-hF>2Z@|I?vQ|A&nJ0g152 zOFn|IDtD72wg1ScJktm8x`D9kt;(T}Lh;w5pPSZ2?0PHheX zZyrKp9)iGezzjnAyEC2H$7iet9@c_B^%p@oM<0cl!Up$g?Z8+c;ZgL)?9Uy2)v8V| z_XP{JXNRNo+Cup7NxS1y@O4SO{-0fD2=HWMdEgV$0Wz;|8 zFpEZd)2K^L$n_z}$aY(sf*HOiRE$}uu!5%*_=`7v#zXNMGVVi{qcR*(KWLm6FmK%U z@<{aypMr>QhPAW{C5x&k(z1#$^Ek8zbjE)r#`!-CM>>VjGm!=o=x!)V_fgPw!6a(8 zy>2VrmydA#8UZ|3U?cYVy#3U;#$lKrdVSDax9h-tw^L@t9`R0MH|?L_~py^ zX&_S=O|6}3TWkl?G`X;85i3kgn>#Sc1=_ZAD|s0+X+!{6{x9Ue$$`gdNRE|2$)mYf%zk*56cQ!1)-q6O@N}M8Tr$3_I z&i;JGj(UnT=q+m$;z?<`6qM=c8b--!m(;}NWETMMoI>@n#;tj^E+lp6ji%#o$Kkb$ zXLV+&6-HA!BdJQ`L>XsHqhj0939vlCE4-og{b~8mgU)ml1}HJJ22c)%34H$Enpci_ zvUh!y7BLq@KAZe0!B#xTKEH~T(k+Y}e|8_X{wCHue{GVmLh{rE853A+^Z*VupA7VPU+I1s5gqT)w1cgzL~1(j-0cP9SUrt zzrNg9TeMo)ezDPq0)cqqmHX`sX#akn@eqX4=U8U{mm+I85~y8@cujtP*+{c!#2^ag zX2EN?PQirrS{+Q68L1Oxp`nBAH6gh?uSEK@3ac?lT7NY5y}Vapx3TVs21G!0b)I8j zGQj%W5|#V>UfWYRSU!MWi(7CoicM?u>lJ|-bG^Vmp@e7~Rhano8xf8l7MObFOqHig z#^d9=4H7TTD!sA3OZXT9nC3&py#H=9?tpCE3f-ibjWJO5%Z{tG+p~BG5hUu-*agrp zbjrfd?H1sb4zsmutnwQPSiW8pz7DK|Nc-B+TGszoorKK{8_AJ_f+(wqgT@No|utZ-7= z%{46yB7NHnLBM%4Y(rI%f_r45vLC5BN!tBT)7AJ}GPZY403fvV=kQ0LJ~-i5fvNsJ zKaZ`K32^!&cTT2>w+t43ocS5ocew(Bt<`qFrRMvl?`^Rq?@hQ1tEtYu%Z;~~*#WTC z99L|wawKY1+6XQ*4mZL-71e%r7FK^vrP})5u21vcR44fb`C`-ix6gQ6rn(N<6OLpW z@TIQmn?Ro88yc5rwC5&U$~Nw~CrQM`(m}!y0`S%^dNdD(?GneHs%%ouNoRJ`yRBi& z&3mIBBdv;}*F5UTzANVzaHtst(*E2(q{GnDivh}CPc4!y?=fJ5kJHNPaQ2~Mi;YY} ztar=JiCJqXEZy;Vr!F5b&d_=Q-ERfvYcya9uYP1=;>*7Ni)pVWEk6#YL*gEgtmmb} zOxq)A3?|oTPo?G0^5vcQ-6g6D9?)}{R3atl5v}~*m@CbMqb=3LQcqI<9j5`7S>{^F zdk7;h=)SMlcfddKr z?p4k13eaZl4wa|tg0k<{vRdf}k3ELi`AN>LQ&CPW9(B5Uay(bb7ac6uNdQv<42R!`shbC{QD*W2^DCF?>Wc9;YvtXB?QU0>RT6y`G|e>i11%(;nC@>ilS+th-Zh@_+DJM;JowpHQi;y0xo-T6S@CF4$3C)bZOvIE|(uVJ;j&0c^EdoHEl=O$y=-> zv38IcsyDV9t%+Kj`%CyO#N)e#VSZXH*rSja0YWBt?gb&t*XY&r%h~g~c5QHO$FX?UtmsEm^bnqSa ze$C^SaIsCo(CKS62%_RXSC?m#=@|kKQuZZrJ&#=;@#NA%pT|qSdN1_|tBJ7;QW>j8 zUCBA;Oi0=?0Zthk_68*J{T0q;Q??iO34dLQ`X4J&>yM;_9@3;awjENDsIz8qBTT!F zYi1qgD9H(lTEX-Pu(@Z9THaSo`g&s?f|XjALHtY9wpsn_N6s01N7;2#D`adooBAQJ zl_z@D`fQRw*>#eyHuqR|c%J!^vJY7DGSZyg)c9Z*z18B|mTdw?F<3lw{4*c`(5NVF z$pz?-wV@g52_v=<#Bz`>=6^dc<`;~?!zpft{PveM_4G0&z;5ZM=&*j^15Ay%oO4F2 z1Smfj3UyN35`kxA++R4 zZt4w=Q8DANTRFCsn3HgSp7!sh=9(Y0qTlp4B=4SxPUm=h2y^c7NPy`ffM;PEz46{M05~x*o zKT*k&a>a%$0xT9vkRmD;dGqOx7`024I(U8*^ML|oh@(swft$J`BSA`a<4HATJ>Z;C zcbl1%h@if-j=$|X%0KRM8vovxT9bN*Zx~5u+u7hFvW||eMs@3j;?#-otY2MK?@n+~ zNvpBQq#+#@@mL}&h~=iL&V=wJsnxd+$P5LW{9THQRN2SqMEf520|343`V=7>G5G$2 z=DsG?q2P7fM4*>%Ai#_6>bG~Lap#P3r8JYJ1MdJxd;WM+mofF40CPH5D34MXa*--L z_6XL?%blYOz1p0Y@$qLu*;`OMLb3xZ4=7qxAW!S`isbuo4?E0YiPJ>qMp5y4(RYdm zHeE>HqkBHr*z5=xN}lvvXI8o~G7N-(6{?34iA)D`Q{^kUsCPA!9SNUMsp==ys_j~N z6^o`#VT*UDHEuljvNI9h(I1Up6MxthAcULDS}aShP@FVr3M}=ew75&*ytiF z*S`>aDnyIQ8L)^@)G?EdS#sX`tDg2RSk(l*l72OlY=u8^aqo!_7|~mW$|9xyL@Odb z-p9q3tB?5oR)=O45;6ju&T`*W!#cvRDZFoxkt#FGCRp*RVC_7N>j%_)=PB8X=rZ~E z>VezVxZssJmR$J}n{Y`eE@r!DmcG%ff{Rek+zc~?Oo*Mi#q!!mji1jNMs4f@Jzb+H zJA&3m{l$Vo_(Xq2et0_Ec$7EM_q^(Sk@;^+z)KpA4*&UOsW!SNWjq(+rFvZ_&c`-Sba&mFdOhv8JQUohBpkz8updg^GUX z#LXz{>oIKAsn6KCIEAqDB&-8bJOY5yC_qA9ho35y3O}nNe~Fy|@?r^~+DG%n{L2ui zP{WQ-IKd;=_6l&C~C~yCxUqn3teeGo4tDxsLW3lkG{C1%21Tn$AE{;T>LN|dRL~}MVeMdu2 z5)At$jpv>?q5I*LGZ1V6619`tzG_-(HW3Tr)*k$KLO(-Ws{8nQ5WN&)^ov({RAa?< z;|>#bYGLbW&nekj^NyuU-H-2DgnLZGY*m))7V*0q5qh+z-u~%0xaL!1o;<}y&8464 z9+NGkZA!#zfX`L-1fmgp$}4CH?&8)_4HciP`aWO~8w6M!J@=b^Z0-?~3xhi~?YI&< z%nsQptgbde$h5Lzf`A4N9AK!`=(rdM48ylfdL~jPH`9@jzf-xZEN;(&9{GX3SrmcJ z%j@!}9ZM0Yv47AGa+oma`;H=Y@dU}%)?`WNA4)hwpI{0c`!z1nPcBLKuy}+Q%aOK@ zouIr|pExIZaMK}M2*HuwX>E_M5t{2NZJVWvY# z`Gzo8Y8RmUmbDx|gO(HOHI{(kh!4t5csss_sZ$0KJ@EtLB3Skfo$PUti??BWtV=1Q zR4Jo&o_Q?NpBEqOBn53+@j!Ge*3;eTe3fZC1r)m4Vs_B*(Z@X5Z)dKR_0cCI(!d*2 zKhGuzb^druRQ6=)>o?LM<+L&j`Hi(k$oU>S(vCWoRMB-7Y3I3%I62i)$l<@|`_B_Us6Pu*F8F4q{v^-%9eAy?UeVk(VmhnZL zQnEfXl>|=TPef@ASph1eV8J{do%n2O;hOu}Ub^Tgow|Uv6S5XIF=YISc0f1QJlhBw z{uyZ+U$PI>^S3%-M;sEbTOvR`)RN;DV;BTb5%!P)e?$|f)IKb6`@1|-Pnw|a-Mu3KjZzzk{rLt2?J^6VTdHa8|QB31h){iD+V zG0ns9kwP=`EHKxIt1)j8HjQ+Jq|B;bLylo0k}cvK`o;-F#l*2(mF-U>y4xeJn^9>h z_x3DOS{n?!(x#)XRqC%A+R<@ z*FhVLt-Oq#4BJi|L|0nZro=dwL!a1k4T~bZS0s`Ca3u^DMy1hBYoDIIY?8Pw?&jedIpGm89M|0u70D@- zciM9|_j}YuZWg5>`Kb6lRfZUm zdCP;k`hDsMoi62Sh^bLfTeZlKee<2Kq4t) zx6QT!*X`CKJ5U}_hG_A37+bFjHcl@bj%g7YA{ZZi#x|7uAEqDZ2v5!+t8|f6g?7HY z|I7P#Ji*Zq^L$SjkW8Y-rOWs7h~2*jXsC+?;uGf3IizxtkM7m9-Dq+UU$c(VGr5_S z?`ZfI1dUejsT$7BGY}_bB=^U_b=rjmbDEc`Wz4B%rfAH=+_5at*WOjqJr?!D_a*wM zzK$B&T;w~A+3D9mwfH_iMY-@q^YQK6PIyck;R!iU-OGjJH7x#TM!j$4GS*ZV6?M?> z2CT1AD>8G-=Mo5+X1{+1{Ln%t7uVgi7krjSho|SlOP;4Kr4ON5E4Ka5aXamQZEpPi zUI?Tyn6l-%h8}lXyK}Z+fPW`dG-Bb>%#Rq2U1tJr-0e>=^Y;5Xb!-CNV^1!;6E5!~ z=XiGbGv<=V$pc@fch?VJH1QKtlMCB>0&3!I$PS(0e3OOedX279{!V{B!=t>;nLXXf z*&u55m&-@w%l7*3-0z)2rAK19={7daCf$0Cz`r`SL46FAQQYr+xvm+k*fs;#asC3Q zA<-rM%4wxOmxTR5=BYI4bh34MsgZ6erpI(;cN(}Diq>^>CXc9gckZ7+6pevE(~AS` ztP8}6taL6PNW>2Yi25wBaz2{kgNEzm;L2oU3mA7+<>%vE7f z>kUC4)bB>qM6hxE5p>`VJL5wKgX+N_;@w!qJK>NN1*30u#2Lg4q(V_|f05Z1KU;KQT#vo72d`6NOqd* z&EE5>s#@xbJjn*@D*Kb+U--mSxG@ax8jVl85A~7{07zvh6tGi@~=@j{KE7 z>hMnaBa|*7@_}-T{_VTZQO(y$t*O~AzOT6QmoWCB4>3k}j?@l?AuM@JVMb1cUv<2Z zjF#r`SVi%WahPm`Cj_T^Np+WMtQec^li$QDhScY7)sPfe^vWzlf`97?Humjx_JlbX z5~@?)&N)a!IUPjNud?H%M~gibCTQ7s(`k<12&k8giG8*=lL%EQR{6Pn^+RL@3-kyA zh*;`#eMAm{iA7OvT@tI{H4N?9VS`ob>jj{s#IWP{9!2C=UTVi(GPIHu8>=BJ`r{;u zGC=53MvlQhjld#9T{8n)uiDLBCsv>qM|@vc9=xEL@fCvXQdXe zx*{kC&e|yEFx@Yl4{*Fvk}UGN2HNQX)ZykFPk_$_p7fuHT8{>ib^-ct13B^zNd}?u zbNJBOT@&bWVTUg1U=9uxBXk%O7m&$UkM8 zv4ia^Fa(BJL=fa?1{>MgwW=0OK3NlmRBO_-Y;KU#MaJZLwUzrhgk)2d6-`-PCF}C6 z#O`}kg|soOOo;oxzFKlmeb|D;{*n+WK}-h8n>jxfR$>qgqe5MdCE=Z^nSJR9hpY+5 zHsT}q4Wu-NX3Jpqmon=?-x2QS-0z7$N{XzO$y zC?aZW_1%@2awx@X*n(29Xz@mZOv6%`nW=3s{m|e<#6rpM5Q{mw`uY>BzC2Hk++ZgD&ijq%O=V3o$;cvYU;G+FMGfz*8T zf>a`v0^~&ovsa3qhwE& zn-J9KJ6icRVos!>`RUY*4G<0vZL)xRriG z{F2aSeq!+>UW->~pk7vs;w>$f~UJT#$udT(tyR2=~fxB*E^IwABhJJ6k4yL=NFHwWk<$5gnGJ_s<8HEz}^3=20 zUo`11f)g9V+Y7`>8#YKHv>6$hl4F^~$D#RR!xjeD@ta^6FJhjf-C=UW4P7g>yJ^w8 zoO`m9v}<-aGtEp+dj$Lg%*J44F8hGVr?ZF#8|<=AT?*_fAx{pZ%^%e#P!&@!JP~aV zZk64#o>D)$Pe_?99fJm%WJ&CH>u3yTsN&S#IRZNN;mB=uGsFb1B z&xl&8#JBPwR6{0_Trjo&6;tnY+n3<%+qFqis`}yX9~tn)%3^KdqJ9ApsahLqP3R6L z=Rzhu6ZQA1=7p-}A*W*vOcxk0Beq|jlrM=zTQtDvl69A{BK7TPLsf&XkP&G?Z@E6fu7@yT4fyMWBSfuij4D zjC}bN7=;1W{aNi|-JD@1`$AqgS(ztK14r+=wl0n$-+>ohyqU2Dj@G)?mU||KQ6IsK zdCl>Oun3Mr#jg;6%dF2T-egU{L(k|zL$WT8TUesa3Js#rTg)O{>b3VN`*YX;ho-|% zTm|h3*wa((cZl>ZDXplRoS&banEDoK+4m_JD1V#{g5wFM&r{Ln(t_KjkgWysVdq zW(VdILX(Y-edhGHe~%y%U`a9i=P9!Hey0erFM&|SUw41mhI0t%Mac7}3AT9Xce+>= zH`C#opR2l~Jsjky7V%Q4te;->-A0K3X0f#!FiDlx#P*TCA3#o1fW5Vj5>uDT{t4iv69(QhPB*(k z+-S_!;^-MP1{8%=-;+O(+2Ewwl#Qp-^Il~n8%$P9Ao)<$UTx-l%DVWp0>GnH?^VT9W4kl$#oUPu_eNe#iKvivs7a3PA99El>2~wNADJwi$_%1c2!&6 zvG}R0q?Q_>7SrUyVg4%(AT#hg$TRW#BH@^*i+4ipXUObAo>9F9Injc~`AR3rmGQcJ za3K(Rmu8m)hDUc%tRvTwCU8lQ*|8=<4bd)8=j*O9+hiJ<2K@%eG&%PRV{OHE+=VtL z-c=QefCz}U*3Fe3ESm)KH$eHOY(%dAkbG$Ua+kzshD({>jAb%oAT3PBw*Yvkrp0hV z%z9-PoE2K#=3hn-sTl+o1DE~X~BzF^i{F_o@&*B+$ zS2C`mE7WNpzbA#4zayS&Fj_tR^7vB=EM>g1C$$i-;;%nLfu@lsghB*T3XEPU=*kn` zp_0^S+VuLzVZZ**EsiP@hbN4039@X-;ndc%@ti*r+U9iEC)=f@J$5L*aMV-YeBvvU4 z3^-$XPc?HC_@8bdt>|!i_@_BRdSJfw3YR-i#$LS~&oaUca;uvT@cr%2a~P6aM^3a% zYPW!q_dM%#<~R3BvV*E3#)M-8i9_;ufw3~T$Ij(?6MDMF;TJ`iddcDxvB27ZpF@8le*MMab>UG+*MVdB%$2hrZK!T@YPwRu2oAg?XdVH-DY$hWrEbyUqReygm5)$%dYR{AIv;Ua=A|deKx(_JhE=P{(V^h zmx+G*eC^i*C(otho31PnARTIR@DfBey((Opo zl9ipwYg$sLG0qi5v4<3WdQp}=q$L>BX`W%nmNivdwZE&FWhCpIq!%Dc>L~3>+4;zd zqrS7mi(mbO`Nf2j{fVty#1}*^pW5QMov3pk&KxPEHw|7Gd4Xz@U`5?d^gLSfaO$%5 zbL_WKZE{!FxKqHy4KSpn%B2>$GO^Ow%-`X29f|5PSFg&h39{~4Gst9F4>i29{j{m8 zab(Q?+i8VzOVUQ{bDqjk1P6wPZY47yGdd^JNb7UHbVy}5&Lo86c{l$HT-7v|l%nPx zgwO_Fq^}?teYSKlBJ6_O3Nvc*=CLr4UvRerx+y?1oyaH!Fc;wfm<49!<9N?)RdeMo}P$CEqx>zEGO=;Ola-Q4_y z6n5-s;0DfOuq8Yjm8<@%(&pC!u-m<7Wj;|7qoO}9z-e6GG?~=_0Y+hcDYt#J$A7*- zr=d5;>)MiMEg}h-d@5(6qG9Bi>Y_}9MoQ|X%s~=*^F%PBu}stu2nw=jiY@3 zUgA@J5dORB6iU(}CX1G{5NORtO5wT)Dj6UXRglqJXyPHLSA-#ZcSTN!O}VWa+e*y^zzRr21|c5^e!sDr5NII!=p^kNA-XlKR4B zZ&WTm(^5+E=KHTX31s7RGPNi`o9A@&CkSK%@kq~8=?__v_;rW7ha6HT^LLhl&boK* zTvt}N=}WyC0W^)PztgfFx>-sI{dq=I{2{r1?Yy^Bv5^r+B{zVp=?3trfJB)B2JX8lo|{l6u72)qUblVECg%Z`Mmya)Z}*nplX$tDs^E!c$> zm{*1?YJLTbV`l)=i`oZ~GRp2A9u_nKYp|4f(V0&o-=tdGN}oS{*s(%Y*YR)W453Nq zHRL$I3FEn^uO4_b$VS^xuhZP+u$C>zZtn**qLJ2=2z@!NQ6$w@IAodH{4yo>=07M< z$3LV&o_UYf+{@-4aUtdh0?|b)NwjZR)ohRFO5~`qxBrx{ycZ#m79ds|h+{=YzBASn zW|3iu63ZMh?v8TP1g7+Zls|c=%&=ctqWC6H-^Q=z_wV{poM;gIkjM159RUe}-16K} z@#Z$Gh7liMvtad>9PsFq7%MF^G#6d8h7!Z+X;OF}OCT}^C69@lL=Zyq;P=XsI6C;( zgEuJtG@Fp1bZd=bsN8-V6+l?A?hd!bO6D?*DX#R_fAVAyTJww@4g-JUez~YbK zkS01tuiD2cbsAy7)?%>n(%w=k&7)~MtWxaE0N_?C0TPJ(3yTz7hmwB1-wk|%+mu;# z9IMv-sIj=IwY*I9qAMx4dK1uBP@^WWJ(hCc7SN?%0|H`XVI9NYZt?3v8x`271uZ4Wf=p7E-_9>0peM z`6LK(S=Sj@h8g-1Uy>QbRRh0jvN31s>yXmBEb;Oe`zQ8?tOVwc@d}72mFbf!ZH$G`m(v?nk6&jXxkrH!HR5_ z%52r|upak^exCc-kE)5KmRjuoQbHVSANyCxWK{sDk$ok=;>D*GYEs;S6wmS=j*{m3|DLua)vtTV3mVZ21| zt>5_lYMxFs5N9vJBgKtj$#zL^DIUms^D1{7{7}4R&cN;QU#SXX!QiU5T>V@tx*}*^ zC|Sv(u45&~WXL*XNf)?0xUZKmJZHqAGW+)E@@MhI6?I^v2Iz?aXx%gR?Wlxb^zOT` zM9x09^{Fo@^?B)DdeoYKhhhcVp{KNbsm6~@&&|#^dg_&n4G4}L7O75;&RqT7Ky@rj zB_r1h+Y+_Hs1c!rk_V(?(9D%^y?R9qiY2O)3wi#KQ1X2+JR<^K(R9RWdwOH~!qa$c z=MD;)u&?Z2V*2AGi7P`sl^z=I#l%3}>#HEfO$ktS4sG|QguXNQ`K zvL!!?IhgXDR+;(?YvbQzkP96(C>t)n`W7*q)&d^61#_*`mcap*m`kqjKs+A%i#B&^7`9IWMxD(_oIt&c)r@tn#$Ka9-eaDS`kQ1Cp6{L%C;*b1(w7|t% zClP%?Q;&0xsk*Od_7^Ehrkfip9EXQk-i?p^M*`(cP}9#=#mg<2?1) zRu<1#X6*PGvXNO9WC`6EmJC!``=i%Pr5;8DUJYVtUEcMp~VIT)Nb%3uY(IaPD6rYG#PLQZQCJ7 z?vdv@o(XrAD+g6l01o#Pc+D&9UWDG<`NGt(UvkGr6NDLh^!-5<2Y>7}@AmJoOf_t@ znR{{!D%j?A9C|ycKVP+j?IqUd3OFx694_5tSIhqgf9{7Hl`rk_?bLWdN~E~kac;D6 zye7KIm)HdtrJ5OLTJ_`SD&2&M&~)e|zsf!T^2#Gxj8q)C-Ci$aqm|*J?*I-aHm2e4 z?7qLzEFenc{dkeWObc$ll)*J#)FBO}NRdB@mevLMOo{A&BrdRv=3Y<{5zm$1gFXzS ztf-b$q(e)mKS=lDR7oX!eqh-&nXLEdR?Z&jv?lz}g`X4{C2DF7(zVP!kf}Dy&S{vh zD9_F!;sqict$?7`xHu%A=rwihPdY663Cr^c)75Y}lB!Umd6$VZz>DgWmX_rVqkiA9 z1M_2qWB@^Uo2X^<4q_RKDn8w3I3MxNSXv0W5{%DG`^RlzPj}UbjQ44LRP{r)XV*CB zROc=pB)OGTK0Rz53%7Wm5@mL!;E5H(RlTXH*>>hqqiAf*T_zVk$08!Qs!TZg zk>I4j93w&*yp+}vw~gyQR~aHrz7BlwJ0G027K_Q5>3C7a4`>G?Ppz>n?$eo0-cLf_ zB~i=hYYB&%$@*w>n#eJPT6#r5GKaX#ds+~fZhDw#q)DteDtIr;-T(0(;^U;)#3EYv zuI)Ekw^ILVwhr3-Xd{L0TX7;Z@+J=w#sz_HdVaUn&y&nHsu;eFxK9D<)2CD`pwWT1 z+BW}k--+a!+;vPHNjnqb1QOZ~^Y7`n)3S_G%PrN>238NWh{XcLhgxn*tuQ(ln%iB( z$20h_nsltm=70j8m|LQ=pWh*)7J_KhT59C*nytW4rq5YFLl2iE9HjsB84Ddva56Ja z8Kkw;*UjFoKz(mwW1eF8`T{;hJD7=XnUY1M-u2A(Voj=Xg42*}MNa=t9-M3R zgMHK8nRM^q29)beVi)8>sXp>&L(;g0*NbDe2RIR@k%phB!+y*UR+aU=~n zV4vUNk5<*kJFpn}lO62VdqKse{$<8QKawg#9h^Tu$$5fh5zy!+FEGD8^3d4p!GOQc z+)MnNLd68<6E92iOM_sSo$$QDc6;2MK5QTA1=R+Fz0KF>-tNQ5zJ7YFC)up6&r2Kg z@%8$`zEiyb=Tf)Iu9eC4PdEN;>UD@@jf4ZdEKRJ3`6o;J&@h1-xjlkgo@A~SvecI$B3&C?U_b9 zC|^4>+Vw>7&QSrYwD?Hj>*>(ntKksc3hR7Z2>t_n5R2y+iLe&6sJ&o#xybV942B^w z*&}>GGy2lH4{uy$O6#|l`xafc&}Mx@MLwO+@`-A9^IyZpXyH0V^fFc%{N&DjAXmw! zek-#?H;(B4*y_+Sk}%VwH&VYfT2-RWGk;cnX@2_wlV{Ser2jLKh%|Pf$?ur4#gGE3 zZ~-k@)3?Fw$24EIqBaH3PASm1SaAYXTuyu0w98AgJAcAEf5$6?e99CMJu)5TBhB%q zJ^#{*Ae0KCK`*n^w8`CtzL8H$md7lRKRZw(2c|y#ff1^;{nZtVA0tRP()N*_qS|mTVxfZWqC7I`B^w)z% ztYD)FU(trSRzbRAD(X55B?P?p%Gi$T(KUVX3s15z_ImL&{7RzgH28Sb31+_@9$JWj zWopeiPN*JW;>fvR$k;9MmXMj?V+$BYKK)cd<3flD42l^Y0JH}Y2uTF7=MTyWTGGk{ zy|hY}M})2G*#1cupFSd6a6hvv9Cy>x0$=X*vBGpzI{0gpl@j=XwB%8w=1No;^+tGX zDi+=a+_^4%{fmIRf{^<}?dBPrF=#eFffhQO)xiD9u6>UyBWm$*S-u@6CG-|>&31@W zFBLJo;ro{QfQ_k6SjEwUWRTt07z)sDjZuW5; zCLqNg?wR!vNSt;=-nMXSIJF;XxnJzwgr>@T-+xsLmvdJ)N@W1qo~uP4ou`P&HiiFw zRd35}GyJ#{Cy914yubmGCy5}IbhJGvZ&pJ>mQTI>HJ~wndUmq7FJjkwU3lv}Q&*Ir z$o}E7^bPDXH9SmXYhFqHPTLh}BH=b|5v{5ReGR_}0A4_$zXk7EfHe+WR&@qARi?I3+gG<#Y4EUU zD0E4TK=H<2Ht%Qv++TK=KK`U(S7CvC|0`|k?CJ>t=QSCH+S^zl&(HISObdhIXojKx zaNNF8yt*uKb-~ak7z%4r4$cf6+id+E5tn1*o%Pjl7r9_aN`Vn zzn;7+oy!0?p%DAZwH6e*vGJ^3IhRSY=cdE$?2o5w0eefTu&)xNaAhyQn|&2>O7ON zYGz1v85;87wu~vIiR~h zAtPiqIdEg;LgG+`V$Cd-4>ggdyhvHoSUKbL<{1sMk?UW<5FLoI%^qXDD8;fWFyTCp z+dAotvCXGItOGa>>qNJL?cmTw2Wnqp6}XGV(|zYqf0@I|)V>S5gL7xNgx~F9*fPml zZ`iF7v+~L7{O$sB8I0B;6X!&qGn^jAdZUZPKeRzrdyK*>WMO?7GuqIUll9^WH;)!xdodm|!nj zIb4ou5j0^Cn196(;c%~VD$d)?gD(>_VzU!%q|-ARW;Ao6J+#gnl*)rAJjBB=Qx=`d zvOAp_$zrH3Cne zwstS7!M&SW8|wt*)l_oORvp=jVS5p*3x=yBY}Mu~_;T)xo!(Os=;^L>Dj(F z?d2E?m-WLpf12P;z!4utUtECaK79c=G-UbACNXR;35zb%xDz7J-0#A%rI+s#0HGvfzIJ*ho*|XLelMkS@p<_vLjhzP?%>YKU#j zMSIz~*fM5;YprQYe5fSKS_enwUF?0mwTB4_Qm2#=B;?c>^P;(-)KU_F^>r_V4{K_ zkhW0n%!AC~CHn7SoK%Erm}@Fb)UyrAHGvsgFx1MaIdDv+%i(7pxIv!SwDJv9(CR{$ z<6>x9*_04^7lwZ7iR*G*+O<99BqTm)a^@1gzZt9krS8k?2|zV;oxqJ9g+{ZseWYX? zF1~R@7!VPlu*wV@d3X{oC3ImI1_w+5sT}@);o~7+u&h7GW!}BLgk};)#21qY3Ud45)6l#N^Y?rur4!$+Q8PT@5YDCV zYJnslYt3EsZvE#~rj87F9dB1ehYjnao4}<^J4u-)!A1W3rdi3Zs_U?F5_?|EsLJzMGycP{nvr9flTQW&8 z@v<&DU+A@d4T6}K;emY!&YVx^HSzT>LU>*QQe!~O6(@yCo)$3CU+El##(uz_uJ`tV zY+k2Ae52UA*}x&hyC_-;Q~@2^w1+x2&JsgL+T2V1dpYOhg`(r>?Xx^M{yXcSF51Ol>1XwXLo_ z$h>q^Lt5eE;BW^BfK#pP>uCVftQ$1kHT9)cF(ePPO|IFcRoZy%%tz)Tf%6Gq9POk0 zHUoS!4>s;l+?@=q1L=chAaZIC@p6f<@WWV zl$VYU?&~Mn?T)@NaZEBB(O{@|H?c!zBihL^HBwVov@XjI{5RMeea^||Xhi%i(EL63 zk8hdw!H-+I`JD0<64@YPtk~&1#3jJ=C}u=?ta*hTJ+RaVY&?RsmH2j;Oz(BzFBe%P zXFwYWb5@C)h(${T0K*a}Dmww%Rb$AQoLrLqvO>@Hl{3{4m-%*AlG}=$OC6w3?8T3cwp{Eq1`Vaqj!X`Dys==_QD=W*(N{yo)+0XKn{k;g7 zWtS#SiU`& z+L(avsuBQaIgtQ8eC2x^n7$7IN$i zvJPKsK#XTqw&Vn>wcDVmD_`kve&#c%VVhy)+pRzeV8bh%;Nojr+PVlhSAKA%Sg}mw zx189zsPDDe=rT4@v?2+OR5)0iB|FPTNm4eHI_okzxe+jYD7RCWZQ|I0E+53B$Y0j4 zHyM;Z0L6M4kwQle`L}}EL-e1SSrD+7`zY(BEJsKqALYy*Wm)zhLKyZaj&|FNp|J7w zfPp;<6I^e{#__OmPbdm!at?=hPF^1?M00gp!`~^EPHVKJkR4$kf;I*@*{nss%vwMD z(;HYR)|DpA&HZCN>S&(nKy$_EVp z5fs(NkyT8_Y&~GeE%Z8LyOS`!Yc!_JHl31R^!0D}v!^UThsQBIfba^z@@H0Wu>KdgajAvLE@!+1P~ z!a4T!>0*K$(F>byPN&qvK9Jan`$owzlMfHiTsf zW18vnM}Uo&5U?EQ|JyINj1NU4O&6M(}NMVJF}J+gai)*LY5R9P`U>4BmDyzwU5at zIpZ;>9ANM39*8cELS&wwdG0g4rZq1eX@qHo-PBf1mS!Cul{XMa(G~kBO*RBK(I6o# zFzJ}uN9N=3s(r=oH`-Uds9c#^2`q=%`7xo_M5PtDKP#$#v)SCT?BUWTQ&Tw?S(8s( zYxYf6N}7Ytw(EV(OAS+7CZl!&N81+J!CZQ>I!;0fEmIJsE(>RT7d_sOGl!PTie6 zXQwSdRxo!hwcAxDicG-mEP7;XhfUSFC0+E58Xd*@s;nV;`itZ z$i{(xP^C<6j8=uU-jK}}r>66lP}oK+%qeP4UXAk91shA%i4@DK%qjNP9@s_E+j{;4pYmKxW+FIk-JJxnQ zBu#UWFqh2^2ss$aiHvRBVy0~!9m|D@ykAH8G%7QT4m;6rv}GdIJS%8z8f}B7^vj0w z4W@u4!Mvx(1(*%x5T@)6g|=*mZVwmBtBAB}+USTZfU}f}me)LMPeew4Bs#`wgzOxS zc{%a6DazN4*&xP+S}Wf)?Eqt7tc?imPgvU1d{FjdVpxO!EVjpxxCZ_10+|s)EVc|(K(>sQ~mze6YzvD12qaMdUf|eYcv6A!}<&CGv}JL z*%B~f$jWVBcX^k+1q({qLQpCJR!-RFnckjPzYH7s2SjHiFxo?+^4!jr=j*Q()o`hl z3v*%;TB%dO#++qgZcH=?Y{9U zF`4XK_8Fu>SeZyP!?*(Y^$C2EBB38(uZ2qMbWXsSgnr;yACRA;sHo- zVS3={WS{jqGKz=LZ%-MbzGZUVk5Z1PPF3{!{Plk>MZFsN|0=whZm((C!Mki(3P#at z9(Rv?F>Cyalc;6Qgyh442kG_~*NCbW+B%Ncb5ob)D;SlPurtUWDYbN>SyoaXRuld9Yt4!eApbf4y^jqI)9-xCEKGQ_kD4em>K;r z4T{nH#;nThoCim?(Hs^O0hrJ`-q@J7oUrfkQ9eMuh-T&iboc~5;B9d_ueKilvB+YD zbAtxOxN;FN|EaQSa^kgf^zw>Hx$;J^(S1fk!wA-W23@44~OzCXNSR@+FH8_;E2uj%O0vq^e_+fLoWMK=;PnE%8`~a644Tx1l(6L(Zt|?W5d@3YTXn zS&VSXT7RZpeu)-qs@2vhWS#8`fLP1Tn5YhosQeUwE}DLbqO|GdI@qM_hCK?q=Dhnu0Og`on6@DRs_fpM<* z#!mUMwQ~%FMCu8S-U9=ek2oHl1?c-5Xt|BSL>6+I`!bhN9|;@Db)dxAL0_eYaVkv{ zlN63*<5f>e5}sprQRd~X1-x~6D7woQ(7X%tEXc<3OdNlK7(K>#s{^k!s?=|_Y&KZL zO3f!JJJl>Nxv0k0J^O91OG~k~mK258h`OD4g>1Ika_L*anVbZe>@E#FeDi!2a5B9e z02sw&{aQ(I*ER(fPtWKdTLnCz0?9S3NW5QHI`Bt=c`HCXcqHjYAe@9%Em=VDMlXJO zd&{^x?{7K5iOo)l>Nvk5pU85+s{R-UzB6Ng5=WO)T$>lrV!N(uR>fQC&7VG{eE_2y++9*~BqW zTvs#iQNMJflVd}3VU)}V@X#PO$cD9T~J3Lrp9KWYnvIFbBhGb_sBs8!;XcaFGsy zwMJSUw&*}28n zF~cDQ6MX_0LyTlBYGvnDiW3LX_VkO$3|J4|5S3e(KhHD=2SYmzY3j^uZhzv#iGE117o*;e88)!? z5G{0PS$m8fv=Ecki;2Z6yhNpJyt<($&BDaua$fyPgADl913ryDP+O@h z4klB!;kMDZTZJzodvyjVpW22vMfKL0rhRvJT>LXFT_MSpR_#~s>40(i;$kRjCy0@Q z8pJ=`t*uZrEt*UhRhi&r%E^{%T({|iDBMNaH6#G$s+mM%HgQ<#rNI0K)BY}gFCJw& zfB`QPTnWN?ywq0SXe47ve98FD;^%||8|6;p_19p=tC*~(1EJ{?d3efnfTbYOvnl1Q zm&K}j8sIJy+PJKz1@lo)$GlZ@9oS;~&yi9x` z_8k`SQtLyaYl|GB(Qo`dUiiHA<2&jr<3E-!JL^Wz&t2bGAGj~$!4d{NyOR)Q0`?|) z+m{FNzOL;(Bp&FRd0ugsKYwVK19ta*ElOR|Qnat9+cnW*Wl>BMHuKuC{Ec@cYx4ut zmND#%2%@K&geU@s!0KqrCO53?bRfzZR-dI7v$R^Ctn&yF13BB)qTh{t$TBzE?3bI4CRC%V)JP!WLgX49S+R|x$U0_k1@+d(uTjP?wVxmf#=I}e} z;@EDc>hI zvE3S>60^F|+B%i8 zr7eY+W=nl{^VHo{w2@02YZQkN*e^3xeE~&%$%7r$ixfzFb1|$@6y}Dg6Oz~mMF6HM z@w2p*KpWVj>dZ%5BctAO8C7RK8VAGP-M+rD6^L@&=+?_rM(qjNTnQDpjJngcN{e8{ zIMg&Rw`dw|nL)&6*s#%ALI5ZDe7$;ZulQ^YxnW~(fL|Pj_LRB(%uF*MtCyMOc6)(g zlI;^p&B9Dn0pnSrFQo=1L$=1I6BwrHJq2y`Rvoo%Z|WU$^7&4IVHL{aEb7&GWnGqe zHZ8S1WMRO$0h#S^EA?BnoLL}Y7nNB6%=M8QAG6ZJQRwLF*KK(@W!OP#WX12(*Vyoerh6N3|{xK6j0AcYAM0f^-C&a2y}9afg7_eL!h?ol$-X zFz|n2QoPjF9{)t~F6B5u^#A)@CAO@u={M(LF`2%kv9KYOm5cu1aQ|f=D&7yfSq) zLm*CU(%=i}F(ia9=(xDVW``aO@GSJ3tzfpS2qSE~{8t908Jc%qR&8mQ^J+I=wx%Nk zpSBDa7>20ZVZG0R(3QYh9rJ4=u{#4HgvO6f@RbwmFYFFjMe;pF`w9aW6EH_Stjl>> zuaH@iL#x?Zr-ou zn>411=sr5Z=!E$rJEXjmaF|j*QGYpmJF_6nm3C_er)#bSSzJvR=Dl%ITU&+mds`=D za)Ui{?4k#&LZjXx8mhFd$971~V!bt9C1LJEsqUsjsYS2kf>2^tBw(o!Eu_^z712Tr z5gXPJ8^O=5!i%Ae4ycY!Y`V81O|9xhO72c~)}J!@4#SUW-ln;=pM1G0fv^tFIXehJMZMep2x{aBtwh4ei6 ztg?5RbA5{-XE@xQS#4cN_}1%>u>0B$aEIZO;e=ZtVcZfpmO~KH60s4w4J!=yln74&GM?BM)eU+VO zp%F2!Tv08>eK+_6=;&!mm|A;lSq^$Rn1952tHuMpUmr5>z5q73;e|c0EMCfnDi!^L zQUsUdW{nGlI^$&v#IXdxI049LloG&72%5*KkO=vhkTh?mVC2B#l~#AcI?pQpWwfy@ z;;A1v_VkVbGurs|cvbxbv!3e!%Xq&|5~$HbfWZ=m9}|;aMf#iI`S{#qlI>II@DivO z9h;Ce*ZG{XqkySx1@*4~Q0l6El{+R80yp^<0`LllIBh%#HahaaqR+v`S)KLR3Xgh# zUN4nhMXESTqa(RRM>Wn?e%NJ9{Dey7tT!VP-TT$gAv`>jqAarZ`rFp=lY+|@#d{+~ zX9ST&1mypGCPZap^sE3g^y-vNeF1`3riz)i9NG%FVy3uYsnB~3M0BTLJ}B8H@;^kY zwc8jJU6W*<@CQ0PYh-f@4%ciX-{D#LZ#)*!+cwN!`CrVicCTcW*a(k|!Ji|yrNkPR zP0+0!hj~8ZMert8Nu~LVi1k4EaUCyP(JL-|xyAh1oGWhv5z~VbzuR;lwPgZ!eGu^# zw87C!?*GaJY)^!BE9iIrQtc^!Es4FQTazRk`!;m~R=$q9i*~#o#bl#AJI!26gPt-0 zYcHOMc3i+pY@`sb1l*jkPTb(13D^gyP~pe(x$^ZYHrN)!=zpy@n*V04jKsG1WV~L6 z-daBBJ#g`b;@>+jf2w{Z4={=0Bh7V_nR2U;siUKnn8c91&yLPU%c}y(0Y9nOj)0L*p8A_@83SF-NQSACG;sW+6FguKCl#uEY z=#3_hH#d3JXk(BFz~<$Zsc;=|%vB60b?zTuAj})^_fz4m;MfU3#GCG9wLzm_sQJ)6 z17vo9?&?x4p+c->L@)rOrnKLN$gqpI^73NF?4O6#U`99Zx`55HGhWX0!#oSGH10Ni z+7Xp!p@tBEcyN`!2r!EW(&a8i^HN@6<`g<2lWgeoc!`nTZTh1RhMrBIhfyJ_ce4`m0cy!=t|pQ5!;R=QGNldK1rVV6-?QeZPxTjR=3!b?5iGtrwAI8)Up9LndW*KEAz zgK)K8PG>!ADT-c|Y7UN`TyxNy)ZVL$>06@*;7a^o*#4k9Hh-bz)()2Ho)_0&)>~b? zIy$iv)}EI+LD`E_$#;GJTo)-1GgP`8PJ=f8h87@VddJ4{!2Jg%diSe*MA$Hj@)jZs z?~mulxiv>3qylnYw zAme5Fl<;G1J;M7JXwcI-J}=J)J1k}mwgnPj#EES?B-cBXayg$V(l?7QEUceh+KXwi zlO%xY8yAyx7Pb~&!rUzevoyPqDUS_x&aFM!Z5J0a6Io*0R2LD&N2@{u{t(8)vRo|x z)k*X;(60U{=&$LLt}pd zZ#dKu`y@I-qBvU<9pGC?y2nQ|C^ImpB#AuP>JUChmlo9M*~KMtK#5y@loiD&N^jW2 zrJ1n%oBAlX!VaSaVdNEF?1qI)1y8g+?C{2H+r^6QoS*dH4=JIm+!Sg>TRQA9FQykZ zjXqCZ8yBYl5NKnz7j^Agf$?#t4B? z8v~AMhj32|iLgx-`9vh2tO$-PqdXfmFQtch-PgIT9s#0G0t^u3DgS4G!O|uobdQSK zvK5CMr4)=El(=yCz=7BH{ODvudVqjs@$hF(amCn1;>HkDvEG6@<(Z{T z6-iJeHXLl=aMmMwQ>AJIh=6qZ={xK4*^GS;fb}k`RH_o|z;6_p#~q7rbkXlrg<)Q2 z`bMW0Ir((+nFDVPjzmWJx$Kl?^nMw@_!|%81_urLdB1dJj1F@1zy?70fPH;lwhHaR zB{O&+ALjG&Q{Zq;D)drZYi0A-(%|r2Dnwg8W&Pc7<4?u%x}u-jQAN!6bKBR^=$F%j z5k_K~Z``#FU92gC^`c8!3UKqeqe0 z#L~h3^?&-#Xya{kGOLyFCLGJ@Wv;2;IVss0K#fFT%sHz4(UOvQfSox$u?7!9rPb z#DnSE3K-xI@?^z9%#@=AmqPz-%Mig|$c<^#Q)}y1^rTauD4eeG z3VK&C=d**g>P)16(p|GtfUqYh!Rr(MUT-S8PD`p9dmIs$v0&GO8w~t${BhHG{Y?or z{01*4aG2dN0o+3PPCC6UeE)*Ql*QPj*Df|{;25)RZK7u5yNj%JvLr5GhR5P zXJ7SDJx16%v#%SHgtzQWG3HQ1)L3wMIAi39>f#bxhsvW`cZy=*Le|gdsDBT(; zHWM8JgqK4AP8(_=H6QKAc+5(F-T5Rt_WnLoz&ZjxQ}xesCYl{R%8=wojdZv#eZjy* zbHUI=(n2`Mu#%>yk)rPaA=4TMub%dqsO<~=V&Wx68+fv{xn;efT5C+%csu=B-7##; z%Xl#xWtiXA+j%7Jjy4ejjZ`*699dkM~ z2cV4k}x5n;!;Dw2cY6;jG@I8Qbx=+|fuFZjgq zb~Y%!P!+xllFe!*GzUAXFr#7PKB(8SykE1=(ANGc8TI-?l?ZYM#;bHmJeo#P z>n~2;>82KKE+N1slKD@{$98tB_us52b^c2ZRqeMZ{(mUX*bHSMPBv5BKZYDC=Z`R-+n+ zh;W6771Djt0Z;j`zf@LVlBID|Ks$cS^I27B7nkG^KCsVk<59J&TF4GIXe$4|Um`d^fPQaWLP+^6?q5p@#fPA-ZITOo?r1CfOW^ ze{}Ov4m{@qxsD;bBRMzh#eRv`tgpa5kgeLQNJ3#hg>Pt&AUv==r{16R--Me?{`|><(-+bhatWy zS=Qw(De76{fr(^U9eH||y~(nc=dXrYwGLpzvzqvhMDb)7Xveb-2d1uiJtJK@1KROX zR_u&4$y7Bu1qf`=?Z5dVtFP*+$+9c=Pc4_Y8Nzj?=rT$jLWNj*hy-z+ujt$A)h|pD zY-JLS#Rxmkf?;uYDR4^GZ`H(|f2Q^f&ffak0i(BR%XqExO~7tNDXYRO)M1u1y^QL33FsBu z*)bW+Vg}*w0KOGO;Wovww@la={j`E8v4d?P4Rhd6%=+bG*?f0ttrfWerPx_%0=~(+ zF-={Vvbn)EApqNuJv+x7;5RT7?MKbFhRD%-fkU{V zc(ArB^S0ZuGaneJE_s(OyLUtu&|_HvSDTYA>ujK%*16BAcQ<(1ARrt#1c1rd7R3%% z?qv9`V?NJv%#Toor70WCv$|2_m-1Zc)&tekTB3Q6-G{Jofz#F;4xwy4@8`6UgW=%u=zZqxWe(IGfqS(YuSI_Xz5G0fp0d17CLZ z>V$r4Z3B9M0U0k-*uiMyT-BGnh8BYhI*7soW7zlw6+TOa&gTE;{W37^;l%c+_bboB zMV2!N57wdgNMP}gR&w$6M}V8C_thw}e*lcVr50t1w4g{A;5{gH7>MHN4_BYx?``4! zV$mW~l> z%UsDp1LiQF*`nXluhBeM2I82M;qYAGK9Gl}tY7{jS8gd~Bzh=y9n7TaDJ!)vb@dgc zWJagEyp(;rWTuen->lTJR5)CZtdveAq-_nS!ejNwNHp7B^2ViNNqfZ|m*}`T4v(io zNTy}nhOv&37jQU~3Y`vT^<~8|fUzDAELdI6T&LxJr^69|aWjt&+w5xAxob3El-S%$ zE#>TxLx|a)NvbBSl}h-7Zb zYXH1=`CjMcyh1-zzBQ9wefskOAGCaN)IVD`tAEXZw8L8EI;S>WMl4qxBpV@wIeo{m zK^v5@%ug%9dYl_&**;#9#{|x04ArcZLV4j}!}YGE^kt|%qLf3pkjp3oXIaT_^9R7P zpKbbE7E&bPLTA>oM4L4k)s<#0ra?ep=S&)WIw&vF%x`GW5yj;JmWHA6JVEEM0Wblt zj^0B0j0K&A&!M}VL+Ic*JQH~*gO(lfu+WH^6iv(WCKgj>@=W-b$(Xa znP-~00r0KVwWRiEmK6uee-kI~b=_9UAzLcB$XfI88aNA8@ZnxPDq+8y%EA1WgLzhi6KS*#VH^E=ddYSBf zNhNCQ!(YVuVvCV$D~-)p1%kHL(q9}+%}3eZ`NW}r3ZraU-j;w$^{BrB0rAOd?3i(W z8E!C+uq7wZC!FNM$$**Ns`)k8=*kv8|Er2&*}P zMO!*8I-=0Blvbm2u>ilF9v*~(qY-ZDGWO&a_IRw^JZiOe0NR;nr30(X9mngVyI|-_ z7OTzL5>!yh`g4=s&cW)Yl0~?*G*zsf*4HJfFp;RZSZ zSk^BQDG=H^+rH4UzGMDU3Ru4O0d#KkjNA@jdxVX%=CId1Dy5b}<-Hj;uVmrKnRIVh zilCFx_QkA>0H#4MT*P=)D!d*7=**yamd9S|NAOUMMKNLEnWF}xWfT=(BZFG5O7U;N5u{EZ6 z)2XjWci=utMKgyhnNQOx;P;sz`sCfn&bI$uqin)_OeTojF8V7UWd)mPjrxFIw^e5T zqHi?`xKBi@Wds8 z?Mye!U%66Y1$?@pEt^LFEF4A$0R}#LoP{C0iQ#ZJrjr9`%Pkczxkx#Vp}L5N3~4PK zEPvh+MJTXHyUj@?$N!kS5N1$M4N=nr{9IJ%rB3ld@!lvj&WINkas8zhHsVX>2<8*~ z*}f5kB^}!##Od79FVKi2=VU&Tr3ZBm6H1!J^9&x?dpS=ui)YEFLg$yEIAXJ)=}D<7 zr-d(`Cnmc-puK&?9?{$fKGT9&wt)7E*4tWp8895e%#Qtq5Roi`%8Ww@9<3RKd5fq# ztoJfKD$hb^!_=~xL%1CKDG~#%5w}AV*)@ak5%nv%PUIjf)_Z2~Kg%J^D|84IPq3VP zBO`-w9AmFrA6qTf2g~W~pj>ChUfhD8!|N?r# z+j5>m_%!{BXv-ng-IQt4rb&{_fs*JrROo;-eMU3yL2+1nz{qqy%57ihm*enCFSVGp z#RnA}z5oubC^!VdeS~WpWqZ3gMIFNI5ak6R>QSaXXwY`E9E=Sqwvc3CLOenulIWJM zG%X1ZWq44;7x_lvkDVdvUHUbEq2$gxz>q9R!I&EyZ2>R4(EC)iTly!Z9@n2W&J*K9 zf3bsM`7hBq;=mAa;8F)8Ez1+59ma(Wj6BQ7tJT5CvmA_19E?1RQ{$YlTC5HX%jY1^ zytl=1*J>6zFQK0sfB{Ex{`TPZ!C1T6FV}ms?cmWGFIRisvFJ?mIv59L^A}4_BGok5 zU1GerO{N_PH(&g@mcyN}b8I-Gw)3|Bkb!|hCsOxebo`yPvYXY}c8FDcy_v=o;c&u3J0DV{5uXG&Bq*YEZEQSR_|CADo zN6kxL@AV!Hq_d#n##^s=KaPm1zk!q9Z^kGta1(y17 z#3FK@d@mQ~5?`aWs#aUfLfr784XX4$-@GlS`AqxL-b5SgVVNVicPegGWX?>TV|y0k zrw%og6LEAf&}0ziA4o&dLbcW&R|26_n}RUEME_;N{MtJ4g$9=ZAwQT8@@DL>8}8f0 z?$*ifPs#Ile)heV)9#ozUZg31*Y+2L&D}7W-1wa^Ut1Dk&O8Z(oEfp{<|Kyl8Rk;A zVlD3DB@3R8uR3TJqe9h>|)h)(j>{?f`zJn|-MQLXP6u(LdgK|6vR~=PD zsyepSt6v_O{6;}&s-tC%qzAqlJ3|3Ln@zn!T;IU(P8>StOCMw*+CH^~IOxDRkS(@%5r|!~7wP$H9Q% ziPN}70Q&*P{(#{oxRaa!{M+2s?Ow;>{OU3nN48>vwe!nsIOaJ?5gjY~ing3(otQHZ zv(ypxLJ%9Fo^WaYjr&x51aOZ-Y}|#UZs(y{6a-01V3Qu6#YAkt-Jm~er=tXVmO~-$ zb)`G`*Ry)Cs_m*5TE0b*aAO8!173$&(zFVz=$GjMo5*Z{{ywX*?GvrPbb99Z|C}?P z(}B-&tgSg`KUe00wsb6*KZec$23a=vLDrVA6~G^m z$$9%yk8CLYMZRewrM(~lbmMp1>`^QwN8eVvWgy!q#P7=_!22NL2H4!wB-;8@Rob{@ zd(hGQNv5+gckz?$R;;WhlKnvx=4~RN5;)gL^jW|-7!oC>K38@&>0`V4!Qko&|FMa& z-EU7YuMZnC?m(|`@-;bqr^9rB;!im*^D=5hUXHl^a7C&)F~+^sy1Wa6Vzs(R$wGA6 zTYGo}@2E-}1RY}K#@Rpvele)-Ao@j|`{(Z*lbeG3sFW%ufpq<<-i!#XeeIL!$^PGW7f z$9_-)ZCqF%+#rL;nDGX>L{+F;haqgUtEUDjm!6Ph;f{MZA`AyxgBf#4V{EdmZ^o*& zv%Gx{^h_Hk#$iMF-)2HF@mfQrdK*C;##{6o#KZyNc1E5}eL zCpk{}mCl;58$$1HC94`dQSP$!kM*F!8n+{H&v+dS4nzO0lmO85Q;O*gLy`U7Xw@HI z1g`f0&-=HXOdaRM99#K|D=iRr)-jxbtiaQCw;?^Xng8&FbpbRV&b?Oz0 zWsgI8#dW?P8#eU|$g4+g(F)#WlcC#mEbI#>k-(EikfsY7n?9Rba}NJqPkBdxI16Q5 zknt)N*4VLwVU;z(GP6U>;sokoD|Mp$TNficTCD+=XnON%wEH9;u@g571^HsWAHqxk z3T-Cs8+O3C3nVgNVgE7wP=|F|HvZ$4p+P7XYYtzCRGG_p#OJj&M{AKKj*>O##e_}^ zR21zrOeP{Ba88j1KbWWuR<)Vj-hgNucFp!NJ<$DJg|92E$yOw&D@FBPrg#jt<@AY4 zyh%w_lluO5yX48p)`qzi`s0Fz~og<*Syk`CSr#Z>dZGk{|O5G*5r-c3e%1Z z$XiuOgWW6-B8oGzJEOgQCryyl z_gFFLBQrAYa@R+&cUDIq8y-6&4jE{9>VB}g-9nSzn78y3&qHeOlP6Gq zrn`?9-T`!#g_bbss$?*mVyK$9exGrMQ+VX>!e6ulQ7$8NR#DbG;#zv7N_Z0b*9ueuj$(;5bbm z-OpImNw;&q4wK=kPz}3jg}!mbG_0pdEx16BgcO-1bV!q0@ZEI8<%F1Ijc~D(7MR^* zrgf|Zl`?^^y>z=V!{ZCQdRo}nwLCSLWR!2{AP^ydw~}fuSez+m9M|mqa^cN+pHp4B z>XKvwMb1^?1OJg)cG6%dY!f%K5EW51fHj5CQd~e$Tt5{MAYzDql2eXAc&E>%;ighG z%;nT-Ao#@p*VAjtctWLD8nin?hc_J+hw4Xf*7%motiZ#`mbNfxvdHu3`NTVsZ>&WA zht8e}%VZq3w%#wppn}ZA!XTK#Zv@H9o%3nhl|PrjK6x>~z5S1oE|~iJ2Vx#hTeAH!%Igg7 zCnI6$y~|u2a?l18_R2Q8+~ZV_tbB+M`8l8esJEP#UV(7wCXnOs??L(ZckyN{Uq zy4wDe!J(H@Z)SjitFLxaxBVbG6~5>%L9cdD-ws)0q;_PY9o2ElcEOc_);*id=tgvG zd=+_i2nm-zSOi&lvD+{AZy}?5+5cRXBfhzo4)qQ3-!{bYk9^I!R@M-W%S|P@;XRbN zb0ysUhLqV+T4QOVZCQJ?Qh&gNM>K&ydaCgdc`cHG9ee+Xd(j`S6%DbbNam$_a zsF*H4wo{e6QH(jMm9*j1uRKWYnan`V&$HCW!Hm%7i7sXo%Jn|f$GoP8TSDhUYFIzz zv8C9i-Urp~Khi$>EP)Qgv;##8tE2_ZtNsw|C!-_lpaylcf2}5beny%rip_jAf84xA ze)|d*f9{fM?mD0uxp0(65RHIeLcr7lES$hnA!Im6*bdhhLQoOfhp^FQz*;19fvde5`rLX#upxHH<+nX1S(n z=9<9dZ(rS#mxhsNI&Hcx5K`j9y~^X629jn&7)h30jMnON6w4?WUtF}yIw z^t5qj844sU;WYyLPIr{%jckF>oASwa*@Quppv8Aw)^FDcHw&={*>x=E^ zlLlSn_8NtZ`iyywx5yKc?{6szd}p~n z9e>ewM4G@z+foAMJh+Dc9{mtcEWpUCo<>f%m@|ap@+^1TGMaRJOSPqM-*jG>G-YU@ zukByF(UZlLy0#X3hH_l_hIsY}QN^MMEurZ3l6Vu^sT{GB)WTYvJmWa|6OIAX^F%aQ z7Zh?a?Z?kQp0tIR%eWX}C{}`Q%P5|6WL2giY<{&Fju;WxE9DgpVb+E*Tq79q+Wj~` zHnszcUz&@Cn52>MD|#o+A&5~jywyotURlnF++ODwHc28gCLvX5{{{D@PP21bSW-=C z87~z;rdkhwf=T0o-KX*W8TQ7TPS5KXc`PUNk<0}SmYfWitQ zgq<9;oMX;u=yf-*1MfgEr>_)<=4Xq@?Q&X{5Ya()?x;o|h#Oy#7Zo;PXYRAm=n2w4 z{``shMQ&(+4rpmvT-Jp3WO;q&Ls!z5@0Xrz~M_d3gqn95`GoW*-PM6z$`UM|u0uzX@oToLu(1 zvY5&VxSm^fYC-M4;tMu0c!B2W${lN|ZXMN;Itb1IXI|~5!5bZ>-x{mbE>ug}*!*{0 z#jVw5rigo13zpjp5o!;OW^=)-X^pSEx-bRx%3OWT!%ge}zR)fn&~Xy+aGrBj#i5V| zHvCk!rsupbtTHCY9)&jvJYfp@iJS@j9ozSV#?}R`?(MPQxpWaZCjPrG={YidQl^CR ziR$5@@wZXv^@7SM#oY8tW<{I?kCZO`5JJtFAym|k=2xem*0?;owBup?l^p+|*z>^&g#HfDUb(l8VPy9+ z_(cZBJRL_etyR@118YCaXD^^Y+fHnW-W%K~P})+6MK7mQjD(j% z#qvGxVu%z>8luqWQL596mLJ>zLb{yAh~S>e{KoqudC?PQH2USNwiY>_`2nB^;mkbW z36HM$twZuOhg#KWV{8)5*Q!z@RvfykwKMzI%U-t-Y;Uej^xb60r6F10$ogP)B$=mV zN7f6MXhwf)e}wL|kw^%;5Tr?Rw`Wh!Xf+3vGY-6ucS82!onG%yw&dLlXn{6V>cIJv z1e4wWU;4Lb5&UKmd&~`Q+>Pf9BJV84YKAvIv<6n#mwxK@G6gF)p{}3jRBilRwxp+1beBXzffWhiVl~Q zh?MO1)I0beI=}O$#8K!@K41xYb00)J7*0-Fnb!B4F!MAZm$178Pj9a+2Raa0oGJFT zgoffbY3TnseScULNSJzjj>u>bdh76QU;%$b$NN1?AdT%v{l{aTG3dH(|1n1TeuKK7 zWr*Y%0oAwCj!+drm)`!+QmpO! z^U|n9t4xLILOhpKVj2v&zF6z$x1fqRJPY;6bSsrG2&vLZl*LUmu;N@f4R6zjY+65s5r0o~a7o7!&To+MPR|*Q&xJN#`1ba6212#Q6Q! zhsgEK;p(c;Sp3Tket>E1klaL9Ya3k++K>JBm-yE4KT^?uZ7k(kL^ND`ay@wbA3HHGmu*<4(T3dAQqUhGjQ9Ct4#Zv@TN((UP@aTlt}1YY^Y2VN617?g{pgM zNmkib)A-1agv`#gwPBwum&ocHl7BS88$$-16=Jcrx`-cmzRt)Ih7`SXgzTYipRmuv zB7Xknkn2c-yI*md$0Jc#HwX*9i$ojXA<;>_p;%!PMds(_w?~2uG!yVx7mfKYJ~eAF zp!e%{2-Mq-4C;Cojr0=lvAvbc4@VEt6sujSs1Q-?WuqeTOA!*s2LU{}j;3McZ%=sf zQ6zjQ|FHIUWh>-ah2aVQ!k_V?6YkyJs?sIYKwvhce`usz?8#S)N1j{s(4_p?X~E=R z-YV}y%VvM^^p3HtD;k6kWu3NH3PIk@>?`0WnwqUI;tXeLCPIX|bE>c&dPT7CMH1Cf zBQ(~t?fBd89}?1t!~@(!RmBDYL`OyNtqwYOxEIt+YyM~;2a4-V614wk!jg+akUR*^ zpMA`mG+T1E+ZZXf9u)@^O=y4@t!p?qszcJz1sg^tZQ^QE8?$4?S z_&Vq#X%Xn_s(p&A-+@DY^YiU?+}X-+Y(E<)xcl;01RM>2FpnT8t@nt-(AZ+Jnx-C6 zi>VS<$JJc1vm=&J$q|aC6N>3lFVlZC18Fd9o~feE-UDi?s%2K%O81CL?pTh z*9&J7&{=dTqZ;i4835i$yYj>CfJXv+O=SkLF?YIvB%g(`54c#N6xjwksJ1y|gV@fF zPNhY!hEtdM$I;fb;e6o@@6Wl{2im45N_R-+2P^5Nw|7>QnDMS)pBGH&B{FMd_8)%+ zU?HLMnG;RlTe*7$QFQ3UuAF_l#i65ZXDiy-$s}33TqPKHBVGv-Z@F^rXAJE|2^#)f z7B(}jLm;qv%^&ik>26mDf3SsNH7Ahk#{Cr;G(wwqaRYDgKRSH#Bf?;296(g{p(UOG z#8rr`v~7-*-x~-@YvlbJIlm%|k64}WNTU-tDn>Gk=nj@fJxhT~Gi2OZ`9m);gH9n> z94ip*{Kl|3HnFj&q#t-wI3?d0<{)@9_pCxZafdsBD`C7`xRqD8p>S zSiJq|^6+Pc6W3$MCmEQhXI6i{TKggib*FCN?q3j099!v1ndpjm&Ge27a60&@jqTzL zl};cXK6AP=X;-e^S(xsf5t9k$t zX4TQ`Ej8M=GX6)eor&P(rzyfI^4Pn~+|Pb<%sVUar>y&d>Xp`p)1CT73%|2XKHc%e z?2*wwhd)A^E$tO7%@^b0->!qwwfPPcyphL7|6)9|w<*+L+Z<2SQx=~mMC)$wu5zte zT=|YyXwFIVa7@Jk4VEJz4ke{u_w{?RU!0KZz;=U`KseB0P?4$60>l#n(IomA)vvHe z->1#W@ZN6W+c+)vB}sNpASIBGVE0I7>y-2=Q^5eRWLC*%TXl6ZTJOUY8^Z_0qs^1!z1w@!mrDwd+z(g5Ma|QDTGM#@(PVvH#f?#8~>J z2h$Vq3lL$wJ%#&dl+}r{5j35d4p(7qerE^NgW4cbF7I01oM~@U8N3+ zPECn&(X>asPc?%$`2rQv{WfXght1JxFC z0VcU2^k=jaeS0e6v%OLyW(2)o1$CU>8Yxjwm1j&ud0Za%uhBH<#`^@lX_{uX{QJ?d z(=324ackX3-%M1xyzUdDYBG3s1j{Lt?>m%PUaFM^^)?&m9r+gcahrvwuH3V~rkN{9#w6Tq6~UHYY+vwvICawp=I?c|>wJx?K1h zA&=frcX**5nHb^OU8(X101GT%mMO%pjPA$~yhktG=M68*s<&Lj%NTUuCWaU90qbC3fcYTFvNdKoZZ$RCD<7?nYC)9o2b) zD@5m@`!J&h^ULox%MJT|y=DsD*HSy&hI3Q}9WoS#=U$QFVw3gF5{BfS z2U@g-l7!>FsquSm+}!X|cqtG6FLMj4Uk`K`tsTD|FWfXz+pvlt{P%(~lV%H;u~S{O zl@{4!R^4kNNB?#v=5m}evdC52*TgZT^X!>a+fT*MU)~phk79$C*3EtY**L-s8%MBj z%miK-Q`$eGPRE=^Ovs~=)*J^T{O)&=IMfZjSDg#?))uvf{u3wC!4eN2x&u!7bYwBo zUlroaH0L+x8Q*H{RickoJ0rwpdDMpw)g0Z9fzw&|kYB~J3;cVQE-XNmleNCyLCd4p zMT&C;KB#^XVuIAyzxTm7IsGI7hd9Y++N)`H#PSpQaFk<`%#luSf+;TcD0PlRy-3FKF1kW1fxYNSMF6xTGw7N07%G>!y$aVZj zv&hwV-2qXxTlCT@;mNCrEkBCteD7yn_mb+T^vjwf5~X#}j9k8& z=oi3`jaM7_*J?!V;-AaNoS(pIwFf%wXuitYNYl@~+4R>hgb)9^{8>4lN#L8Fu;A+@ zSAoxJVF(P$U%R=4;OP)4jwd^!&e;ahk2fU8ZHs|_fHxYqr3AyQaAX@I&~bI^LA%q# zuSmq}0`A&=i-Fg3pZ#`3y#510%UR)wI1Q6Qh8m_F78iWh2jgJFn+ZSqQB6diD*;0D zD5!uM;%&uZ0gn!$U*KT!!5pr6uw&`HUR_K_{0)}B!cM3?WO1|bu#i8#(N;0h%C4ajbm0yhOLFtA) z|Agq`e8OcZ=zOBF(V|9OHQPciYWeU9H5 z%5qL^r1sN6FVe)ow(b`JS zJEBHKb}XfkKBwkr2NntGs&kQac%<+DMbC-OEjv8qF-!jh;NHvQ6sP>`LA?E*1bfRi zKFLQ0`)V=A%>EPhaq-rw;;j|IUYT z5BUnzj1`775TTsifY{G&8i;0L$xhNu1V4>?iNe!efNOI7^!|qTnp=m)0YUZ06n4)a z{jWcsL1|Ws*ckw&Int|(Ul%k<%xM5oRpc()Qt-KEeRovr>tS4f!7@|g4^M0P8TT$q zSA}>ngLPVd%8n?8hW`-Ku-nfIi%>}yn~P~Tc9$|bgv05Hlt(57XO^QZlw49k~9J@3MA9zsCDRpW2 z=pJBx8hBd+Bgii30QN!pw=gvNUIQ*%8K`3NOe3g(l*3$GN_aSo+~Cc6K<;Zd?2;>+ zNz(?(5S$6BSn-HtCZP`~VX8SR& zR{IFf44g@(O{Bp11?BFEwuM=jK%1c;!|8qqa;;aa*8AEw`P3g7t>3Wr(E&rblH9x_ z6?w5aBkLW=Dc`#42}NuTyvQ3iCFO@Te~~wvSP3@&rCZfXe;!wB9JV`C9F7SSQR(sX zquemOOHq!*-KdDtfV71A*g?%W+?>>D?2Sp?n0#h^eK2dmb*6=Hz&KH}wjS3CX4;f7 zzx5K|Of>{c2TOOrfpt^%1E-$aPms|qKQ!!irJUL?2*)^bAteRn4WV>E!`<<~Qhgl1 zV>xG|aYRH~SlIE*YJD(f&0gadgVu*_1lxhw zv6P1^W4$_q>izy!oES72G|7b+>Jg7RuaXFNMkGT~;};rA!b}RKrt<^~hcq%0FG?;f zl`X2xE%j{VP5KYiT8*Csgd}zM$Xs@O8sS&~GdnF?1BBmtZmy(^3(%U@{4rF@`Jn?_svbzM43XCYeo5yVHRCXFeO;+Gw>!{JwW@F zq_UApsV?rV)E05Ylr*#E-Spe3J9#L6-m+W9k-PY>&%NJP7?J}C?O9Cih2s~D0hECS zpW44ccvi^IiUNx9BzU>ck870RIyxCdcTG{*f@*lVAp#JQK#7?GsjK$?k?7te64nd5 z65-sEc$^CJGs=6pN{3|p0)z+B@Y;tgJ!XLL_}>J-3gs1EWR!gVh(P?CQF9r-4=1w4 zvtJuci9bk5x5v(qwNyYN%EfcwAPAFvd=F=0JtXHnq%5x)RyXr6lfoCikNA9B%e_s^ zxlQ^KN=eDU+h^z8faMslPWlW`P>8*GadIrK(0f<;plMHxE6p2;`Qv$JyLwnf>Y~s& z^>Rh0>pp6aWgFbbgek0}!<+nG@M0V=*8p9Wvq7!Ae1PH;)=a86Pt}uDDl&#kw;)`W z^F7LZqh^9iwhXEATDab1qA>q9>E8v+_h1b{S-u%(gOdF(woQX>LPXq{z`q*!Dr_$_ zFNN-8Aq5vV{VYW4OfR3^a%|@6W<6!le-&f7%Ao52CtRQ1H0~3HAI3!ΧjSaqM+ zufckMW`!>@fFgyL;vXZ*uSdJ#GWqqIUwkq;zxs7tO>aAO z3ZBcb9C%274HQ;LdR4FNaTjU~NXf+qb48_~f}G`Df3y;7q4-iacsKO>!FP>l%jISn zM)c%e2e*vCMN+;twkv<*9ffZ=r9HJabk_=(+#^Qr5p_=0%XWD^owq%TKr0>1g=6e* z8KM=!*aZ266OiGux4;c_ptDZ2Fa3fTS{{wBx=UY_6BQLgy5&3ae0Ql+(m~NeHqAys z2`|MTeg|K0l`_6DVa=#7nNym4qo35Wj!e6q;w|cxwG^H0_lijZ#6P&Epaozy#t&`( z4HlUrxE2%Piwa@$QjW)xae+HvG@@A-N5qQYOWH$j(3q0XNul9``nLw#qWW8^;QzIV z#YTmspjCDIlahi~0CVf0DZ+prkA>F#@0uG)KQYGF&2!=Owow`>4ldBTV|5C{0(x>_ zFMk5XsnVPf66Mu*uK?vF=fBIB-44*I?{IHX(+w3njV@iGw`xbN$d1QS zbauameh;;yukSljN;NEWqwf}L1Quzj?Hd--;1xJUaZ>-;a_AN_lCz-imJd(ACXmF| z1j1b(u*(d@qnSvB2Lse*?47h(|55*=E{!G0SO0@{RL_zh_QElRElLFK1B*=|n-KmV z3g=*VDIvV|>r2LM5s#1*2&%JMxczs@fNgu2Rv-s}sS*q%=p1R^=(kiRuunK^M^!7JUa<7V zu&=h=`AA?FgGlnsgCV_3_dT`GExLLYSPJmvAbvGU@ep3>kXDud)AV6>mgyI$EsTAT za@N9U@fM@nVr!$#+DNEi`;Zmb(YEw@voqT_}CZ*Bm(|b#`l&r3S5g`0rDTyDWT)AlD;mVOo(W&Al`jMg4T5os66zC>n zp@8Dunn(X2dYD~H=cM6cct%(xoUWv;n5mUXQC#*7UnHk2NF1)0cE}_tNA;E?L#?xM z#NeR?O)_x)-_j!R-YLEE@`20df*eQESd+$f;$Zd(LYZ-+jw7(rcyG|u{1*jp;2Ivg zfSXZ^=Z!-+O@QB_o+N>SLK{tOtXdy409`U$%h?%(^`V&uD7l@6?F|+u=x(*Pw^2ws z_$604r=_|LLxAMV`cLFf3!t} z>(zv}yKc2Iv7&2Ni{kTZL1%^OUIA0O0^EzNGsn#P9OX}8AG;>Mlxaz$%5eF%8FG7+ zZT3Ii(Hw|x%dn<}No#q(%6eedNM8dsxwn0jw$ybIywspZj3iVw$^JT|Xc<_{s?kyE z$nht%TA#`3SEuyJCP{ACJ{n2Pt5as!p$Xke!X}&VfJ^~U^Jlp#GY_c*e_U);ElJeG z8Y~V1j=a|uL@cHci?an9zGxg~BN|0u?-Zh&1z%wKhSD69t3BjJQJ(sQAGatm{lqd2 zJf8gwKZsnAni~WC`CoNFT*TG+7C(iwQi?>0CmYf38-11FUUii42a9xa)PEvjDUp^| zZ~SOwa+PbiJ6@YJE;WoVh#%yZNp`2EKQZHN<;b!ay3|J#J|yf4YJBzKJ-^1Xke~Yz z-J@=J1{H0JCOjBTGA7kPvog8-uTrff_Dg6dj{=uwxsa!qNLAype)6O9w$*5P zpXrnC3ptnTiiWB=m3c}72u>*@q~Q~ROok( zUcZjoxjm4Xr%mqto%5I?w^2%eP2e0n6iyr>EE(+I4t z_S9P!gWfH)_FkvihV-1;t@Yh>oWh1b8?c}<)Th|6z-Avbu&qJHn6CKe);MSk{q$%0 z-?q*F&*s~~;-juTAh!D4+F$>rOrJK%G3lOB*TQZ-nG)YViGdw=C&w^yjJF6<8N<-1 z=}>Au3X}c@zmz9g_5NvsTaf<2px)_zLCyB7v-vhm1}|^H$+7Mn+gqrA(P>TdKBbSh zu_YZtvON8@Zj)I)Kskv8ixx|rpsD|yHef%|-6y;6=KWo9Urmw;)=V`%b^yC`N+Bsf zE5^6Up`D}r`cRIW0yOlc0*q)|+bNRV_$9XBE09&2id|1y;OX}VpeHFJO zv`F&H^5dO!d<)7hD2;tr?XA`AbKDdngPP)!KFRy>U23$f769z$p5=Y#{HV|UYhQg? zwa3B9{%5#hf8f)x;NFKK>rNMp#-sRiY0k6Gi%{&ae3vywuI}xMiUjh!^hX9jUk^$G zZBglidr}j4YE)^}9Yt*tR8C_90f<_Ard^0o#ot`yc0 zyQQyO1qDB~j<$qrbU!V98N<$YJ8N9yCk|{CA07k>l~((CJIKH;tNjOaxX;~k% z=Y*nSY?2V`tda9(L1%7u2Xa?B0a>xEi)p|_a-NJ@Tl3?#S&wQF!*T{>pt(TEzyXAht3yp<)4{k6?2X3(C9TW^neS3GyGv=!vui95M zO>t;qCmVjlV2u7hcOTi{9lFGiN(g#d8{xN#-KrE;_VPx`jCxXE*qd1yTZV-V(Q-RW zMUtBgLq3B1=~`M{1; zO~7|q3$cIE|9SjLln}>z&3*2KDUxqgAr~7sjIOI$Uf&%$zj|R4?P8UlU z{jy2?a!$7M;B<$m^S((KIm4Ty0j|>JeR=3|oYM0-pTU4~!Cd3O{}>|}xpKlHYsWze z(J>Q7paIY(smE}=UqG`IXxG;@T^`l7kaszhFK6Tye)2O(*ffcuHo|oTWjy zEah%Jd7MzvlHW)XTI#6lfyx1QH{?R!KY0X zH~Y$Mxp9tkE4Gf~dfDG1JP3;}(D;PxOqBl=!57xvfORb%hZ5ZxKOUrkZ$Yyr&!w+E zOA!INc^k#C4Pi(v^F$7iogg<*5L6Oma|&XAU@NZf(NaQY`~0^vm_e`s`zn>8K9uwQ zKq>*>Su`m$U@hl+lKI5r3AL3HZzIMag)Cr`HT8ENF}9>he4n+$=gwL&N(>w(nu8=dLH@qRx@iYeNQY1(9Qd{Jy9SMt*Qf9M(NVoC2g0g)kFux@gG zZW9OR!(qIX=@i1{?69JaAPEno8k{gncm6wn95gHba|_lLf(iDmftK*Oa=QT~`%bbT z7UZm$2ve4K{m~!p6SAusIS@kZrRSzHQoVJX^8qgOnqK@JQxBy2xLz02k2?+;_TA7i z4%PQZpE<{FM3WS*_eLIhA&Y9Wi}oAXbRXG$dCAUwJ-S+On(1s2LRQGjPv5Ke72tN# z0^9|R4pcf-Z@i+cu3)Y%O9w<2@zc#yyaE-`hA3W|zW+MXvFRFdDmqD%!^k2$$@sp* zibYs7Dc1-(XNsTd>}04(T5)5!<%IJE>JfR-*53isL1P6cv)OsmFYg9ex zk~^#KM9+2$@0j=bLHQ(s0G8iC1KDfgLdCwUzQoUELC_vVCJ5k_ilCR z_w2#>732qX`87?|rLltIJLGHT{X6D0`t@CY%?`weLKa}?{WE|6__*~`E`V=q$2;Yn zJIblZnzJo#Lv5myy4{EIhzKG3%#ljjKbgXnjApsH$X`;R^ud5;2V(o+VM?>o>JOI_ zWS_iztwHedp3~%me}P}RK>Zr%c zp3b_ZCz`J6Y4*^(l7Rfeg;$+cO_X?o`lggL-&QuPCk?&yH`_{M@@BoBhitlsEq$M6 z1B(=h56D;9Qp9Yi2qgm* zQ7Ln@2u)N{zV9M!s4EdtXc=gY<`NT$nW7EOYjR{_B}pWRaKYo>vDVF}bHDflpUy7t z@}D}c`uw)LpZcyeAG+JWjAnPfwgX=2xUy2sXh9|mvap1w{Ae zKKCixsLIH#CM*9c+qi4Me@dM?JHwbjygp587Vt$j($fP$+TWEecJCM0=;$we+43=@ z4&|_X?#Er2t0!^c8+e>Q9T~R_4j0I`!q$u>jn{t*Ym-zz^LJFdXJZU(uKV#l=JdF{ zl$~hium0^#uKw8bGk3=PjoHzQ|0Ty==gw~tfR)~b+N*`taYX*fF`x81?4R-c1@=?w z5$G5CLyU=h4E&3v*{gJGTc%@aZl!MD&0BxKHSb|04J9DZWWDWWY&i;FAW|-AvW@oX zuC{pMW6M{sGap|&_3h=LVl4J<`K3!h0=bi1C@sARCd2yfbX4hCdvVrsRLOgN4Q_{B zP}rpCCdN!!=%R;*;zfR4vJ`T!h?hIDU!(7va6sMN6RzZsbghI>$rRxk!+t|u;~ew* zQ*MbG7|J65oAIyIM&I(&W9VY#C%*2j*`>G14+gH!)2rdR+sfj4C-(`&=85b`#|hpi z%;Nq&Ti@-8d-l9fn_$Y3o`-%JVL6E?;ogkc+pn_J8qhD zxWt)xD;}5P^vWqhLOMVD8is3LxC!qY5rJ^;$8KyD)j8s!Kjp6xGn|TDQggs$U#Lv* zjHUCrYuPzqZO?Qzl)mWOl;px7e)FQ?Qm(Tblf0Zn@?3x4Lp|lFXJ5T<{)KCoT|!P6 zkXY$f9N8GKem})K#_18~t<)&GA@l!Bnty3=VKCac3&aC6;^90PzEtl(Cv>iDo;-KW zmETc|Q!Q2^N(A_3uE2e8;EjEfIV~UeG5qqWCYPJP5_;;1*DKDOk#6g2g7t|h2ye{s z(|KG^K&XA);;?~&{hW)>LF1agveddZ@`-29zgw|Xb}GBiTlE>ArH;AWVi5Mt*<}md zrVz=|SNFAQnfH#5obA`XPLB78!u{}Oe{Czx%gw&>k{Uw9j|CwsjS6{1U>up{8sJsW zDn1>X@2fM=Ek~ZAj=Ek-1HuY5^EV-WeJZcoNsUjGs|^jD{i@oKLuRqC6Rm=9B+HZa zpyiVBm|&bR&ZRng#8^+7%y&vPPjO4}aDk#dqdEIoR}u!r2x&jXtP6FUrxL#Ik>a6w zhN;ysUtpNNN1a0!-U!; z?L82uiy|fP{NYOCzLWE)WD%}ZAEH``FA*r?QNs7y zaBq@|;JrZWTO)7^`4{y)MQC-SQpr}wcI}9hft;GQmBls5w772^t~U~679=zNgQ{Wo z_DW$`tse70ftPocdL#N{iRazA^(rAX4>*g+0X8@;FKt7~{jk^XBIN@EJ143(`C(Tl zv2|@mU_th`;+keZ^b`-;*10r_eHIijX{hwE#;*XFo>K-AY z$2&Zty6LXm7KTws6J6tfQ$T7V1t0b{OilR~BUJNXf=j7XS(=Nd^@e278PYjs|Megq zt8%RL;1?|(o~`1Q*s%VX;W!K?LCN3XIDjbG-lQG2ri(@C@2p`45)wWm z@T3?Ib}=3E9D63=quue<{*7%I$Z6efjU*KB_G+%rcgnewe$R~^DBg4CcB?*kDBam~ zbxb^&c<*fEQ05zqY3&S*;#o%&oi;6U*Ug?sQyl(|x|(*j#pR!6*H=0n2-_ZQZQ- zQhuyww9RHE5gJpGBR!`rAnV_>IkAF-6(WOmUOauTr^Ex%(+c{CLu+ z+M^^21zYnEnm!%%PXIHwoU@zg8vUJ#$|l_+TxL2*|*7OVY|T( zR0IFcWow$a-KWj*)ntOQdZdJqrb(F*+)InwyZXd-;jIm*>N?t0cM{{UruHXu<|hk2 zE5%LB;j4Gfi{E#>+ZK;bFAhJpJ@fJD#>+Q~zAQ=L23B8ez!$XWr{A8tC-sGQmCx)S z)LsOqzaBsNfi}6^nE)Ys66$`n%VXNbyKR$`&pa!<@j=Ub*|M2cqyuXRm$H1QQbNt+ z2rJ3#x?746@jc!VlvCaj=tC*ccs<6zPr;|!%F8u%md~kaI&gp3N$7kLdkr~c}kx#~N7&KbK7C-|tl76lP-sd0(8p?@hB{@uAI zpMPG3J-brPbEWA)t=+ z_N8=f{0ZIDR;562$L2lP+}YTw>c+9piKIVKAAQ()qCOx0~ z;nAirwQh9fj!5{rE7{7@BiC=tj(N)H=A&EA<9O%(9l2S^$GY|fhQWN}_0O!{1u7acaq+&f1pr&`vw4e-L!ITigz;*oIEkZ0LOQIJ0-`YG2a+L>q? z;!6HMqb3#uzxGe89rB#ewK3>pGBf=q7kwSAxM}v>thX6wZlcCQ<0ZG}QeN3| z-QL3?{|Y%l4JSJ?E( zt?DLNyI0RB8cCtr$MXJcT3vx{<*lnMpMBb`*VZ@BUN2y*=ATyCjQ654+fop{cd^Yw zhVJ5a!EotOr&cy51tHxu%~&F`x;@9R;`F%qf=QI-#PB^L)`P1Y{`AQqddy|t^!bY_ zbGgn1$6LZJiy`5}@O)Bu8ge=An{(m~&yt#)wsTaa*+)u#Cf^R55!^=#M)keAn*E$I zNF&0OBVhny0x{4X2&??O3 zYu4#TmhUVhw%nJ@Pf}Z9?rVPTYq4*WB_R2zbJ|G>laRB^hux+D6DS?|YN#q*!l`N) z`&_hK8T;AmdKD%lKq0K!1R`Lw?Gc89h(#Z`NukPV>&Oow8A)Z6AFd<*e0KqoB6^?PdDl{(|tz@*K3Hyuxj{6-NUi`=1s@wX*=Og zJ%->+pBY}Fw96>5J;6>I7E+5WC1%rvrl@_Kdf zG#?A)oGR|d4Ky+|I=t!`()silyIHl*>)_zpnE0vJx{KGX7$S8 z$-Or#a#3xj9H%;d=i;=FoS(r++j#3JP_d`36+fqo)o9E^`Ni(M#xLNl;iBmbxc~IJ z=dpA}%dHA(%G#2+4gaIV^J3Dyn0WQ&xTa!9ou~w$+&I7S$^YONHM}yjxkP<@QA-tg z!6IBI#M>W!v+v1qtnd3Ez z9aM_+-XZi7S|Ir1_rCXk@9po*oU^mL_s-7Fxie>G_Rjc+-O!xWgnn6*+$!-i)s9ZR zgL6H!!k~NLj2@a_H_TK#@1nrTS?FvAtzbTeGmq4dH2nb&l(hlm=+ zSF1$v!jv$O!RRpVIC_y=;2U%IAM(vTPR6W}BS&V~W6b9imT7Khku>#H(fNP>o zE7u+q;+OS8eZTccqADVwb+_RXMf8KBTfQ-7J(}n@a2%m20=7gOK7Mg{d8#C`gO{*e zv$c#Nh?Qn$#}ZpSBrz;k|92xSra&w_FXT94TJQ7V+i7a{jAKwm(bM(jE+Fw`Twi#? z%5F%`Jw5lu50;>Iq1(|D66hCqd(iZOkIEdp(~~7ZWAay3?cq+pgPV~t?Qbdk!vFz0 znmx%98-@Of%cBMl?Pf*T%!9}p8_W6u@&0+c3p8iLzQe)hmxZFy%^fd_gz9)4a7#RP z%DVIs@_IB#@=RSMxrJuE8L1G5mucr(Uk-boaN`Gx>g%=dMzIpN#qaxj@w)ALxLj)7 z>QW*u8^A2ee4#;MUrYw9gtSw`bnth~6_yL6Ke0<@tO-%skp~Yq*j*+{_=ck`?`Ih< zxiwH2Y;zbbR)*=MNIi{4U=$3^IqykbNw{sf;Z&7vFhd=krDJNbUf9t_LmnV^i<)|< z^wLsoSvq0w4SaA-H~+~K(0w&wXU0llo%x#Q4=fldjl+w4KZ+*O7|>P;V^SQtrGL7M zY;bH4R>h+6(Rd0@0b=6tucw`dg<)6!xt`DlVG)!mZ6BvtZ*_`5r4jj(8{jg(xe}JW z==XiiXKvn;F!*Bcav&%z5^n2&k5J2O`xZt;Mo`_*U3}9nMJ+YpWa2t&9TBT1548GTBQb?v=}qfe;DdGH>-?||uU^sge5;uNirS<6;elPikfDky z*|7w5rut$8G&U^?hZu%{nSjB#Xx}scFTl?s=!N_-$_ zTWr$YfULdk5ftJd@L34H^B|UCp01HQbgM2v45ek-S0b8E<~s$Xi`UyN9dbY~k+0_p zIqt;h$D1bXekfO5I}pkQ(7=se&JxLFKkjWI%T)lfG#1g{A&ym zFS{komCYH=XDzDDM4}W)x5+1IYFXVZ-+$KbjSB20w=JlKVvNFWwudB#CuQ5$YBI9u z;qaQvAgRR=tCm?(&SE z7_Z~$WXSK(F!7rKXB(T4@vwvNFw2d_Lv^H0G4tf3nOslSCv}zZIN7!;2s|tCf|c== z^&|e!N>PWsxW;zZbVdVastk2e){dn1$5z`f_@7FjF|GqkjH4EFZg$!UYp?4Pf-0Ic z&8c3jU&TzFPB6nYACb`1qgl(hi@2TGhG)FIglyuhd#xQSG|3{kKU9WOOp>I~1y`^# z&6jdX#Q0_sv3?WnoB1%@4A4Sy+KjU;`DDFdr(W%BR2kdAK3(Jm-GTA7ao?3K2g2ydsJ>i!mM%Ci0%%kL@ ztALKP*J5*`)Z}t?KM*Oq;fr@a;c}A@b0v^V5{%CgHDHAo38cqr9H`@iw9afouKncCj!=0pn&mRDe{~4@o;- z9CVljFQUf4}_@Og6cnB4xWkO04~ z@-_Z)Fh4D3#5x;pq-G-ZSsBXurFtTaI8E=&xE50G`30U_XYYNdY;RM&+V!{7&y+{M zJJS=k)$NjGY^baDmBxejnGRuAzfeJ&)9?Faopuz1x!gY{rDr4Nb#o-&Ksr^e1oZDUx(2MKXUS{l3TjF2IZg~0?y`OTH^qRZ(hVWx^#C_z7@ z{sAo1Wo2oLe@#C89#>r#<_wKnjl*k6Rs@Y4xpRc5cqU-uml z)3bzEC$qf+&^j>IB=hkZh``nz;#0LA;9^jynka9*g*a+1E5tCa#LvTiI!$>~a>e)AWJq}eSur1Yspf^4{;o9w_7 z#A8mow5x6}#{@`U=hH)Q(iseLRAuo|Eo`_!6&1ypbKX458s0osf?q+pMw{1oDMb5^ zXQC-hK}34ZYZRc3!yrGFP^2+PdhTlZ*a%Mg5px*HbDU3l+n~~!{L1+m8%o-S#6ccX zs{a1`;W{h!XU{LI3#pt@DV_ZC>5A(PH{}l&XcmnxtGnD|w^gs7YPKjKUKa5~02*(F z#5Lzc=KzGtc>&Ee=sL%(_19@_6?G|~O{YIh0}ZTkgN%D}RX<^hzqMRB%)r7!O+rVV zv{9my6v$C&E-UR0ucxQUx7 zKtU_nKc!U9GJj3j{NyhYbn_?Wx|&MbN)%T(w9KLeM)wU|ASxB+WWlCC!W9Fh~8{{BZ|A%WU~8L*LEF~z9mw8 z2-II3gc{Qzs`_s_f<9BKf9q6KURvKC1^vCjJw8M>-z(J2#Qa};fH@)EDP+@HuXL7q zc@PwL%#PH38$OnB8Am7^67tn82Q&tOh#)-Qb6xGI*{#B+$7UB<;K{9fkbMU1=PmS` zq7RspkWk))vJ-kAqs2OX*fmV* zT(|e2O1HOtDID=HocY|l;xGP}+_PxV2HpQ<#$z?Celdb5DJ8LFF@yfL5ccoM{FxYm zjS89sC5t_XSX;UOC)%cypYw%S7yt8kII%;7)=kU07SyDk-=s^~GNN_TgHV936C0`! zKIPH#mZ>Gj61dKV3A;U@|K#z&3>cS0k7|m!(T}i$uG{XOT>VCi9cx6LCgRHT?sH&L z!`Lv$IT$tpXD6MX8m5nNN;|@A+Ht7*+hJN!iTQI;K4q) zQ|dzu>K@Qs%}mW-mM{cFTnH26=Qq?bF>el_`YQMj7bcN{kFb$~{02TZm)*TYU0@ibDT;d)Z0z?G{_PxTg^BH@acZ~ z1;xfPHlFcXs{KFu7L)zJZ24Io{HA*YHL~kId?+6ci_;h!$DeZR_m2ZG3!4Qw8m_LY zY;uL17BAmSmJ-$$3zMT8sCOBV z<5QM-skT|j> zWR_>Q4p^c=dbC0mjGk+ZxA@taw;>&5+pQTdeYu(aS+={}tbzSr0u)26`q-z-+msdp z#K$=s!Us7Yk;b8)BWU2?*}GTB1@scAz90VZECDMVC?}$ChB{vDy$qGJKrA|a`i<3? z9ONjKrax0U0Bh0ykfPUdw%{t50wr{kC`33nNYfh$Fc6k~Ozs9J-C{bO?;*#3*BF{- zy`AcD9g?z6_nU(;ts$U*rdG!^s(@ORSqB*-ZO#dN3^x10+DS%1HDCH<_UU`}U!L|v zxr~ut`Oo5rxx4n9zF0=XS@sI6TjXw>Z9jCm*rS%rovIY}8q^)?IDP|uH_6+hS1Pt+ zDp|w%(9<|kO-BOrz;(7P`Y>X?}3$eD4aX(2&?z@;jLyqWj*LZN^oZ(^>utFzi|g z`giJ@j#?O~R=B@&?-~7VMR6vc9@dX-DxOpZC{q@-pj2c?PB%fr$>|iX5Z=Kk>WeuU zP?cT($%mhyhc(7rKA>#r(|Z4SKtECmU_B|^r6|WyLV$xt-&dLlZL?gAY}GR zo%S}D(hkQ>3xIO>_5w_h?Ne}SQbv?aaUGTaZErOO*${)I_<-3TpRVZk{rIHQykO<7 z=dIX*0;m9A-?Cq^qvAVNNt*NLijV8^aeJgEELvZQa; zQ?7Z($Fs7C>B4`}zh;Vv8N5hjH*on6D_+5t(aNv?4~9VrjLhmRDg!fTqjYl0{|^|R zZnXuv#J0^lsZtx{wmUFe*rF8@bIiES+g|0HOTvVl{UgP6|K(Zlp%hDI?5AW1cRQn} z%VckDrn|&%o6<@nyPUdPa}A7YWPei^1wmn?&)P@55kOE!6MaSzG2<1-KI~or_atfF z#S~6OI;S?Z&%GK}zC9fgSd9an&pgEI{2`j*d{uva%9$ChLCY;iJ0R~SK~3Ct0i`tN ziE9n8q#*{dnaQXjaJe3~Z^yy!H-qw?ncu7w4Wx0>Xihivw3+RB4~4c6G{?WZz}2aG zH+t?$)yEc*V1h#4IaZvT1YjkPr$UwK;NJq4P%@q5CXXtNl_H=5e67F)4GCTxB_F3H zqTqc&J*)t(K{U0tXoQ0vdR+$%;R$O-8pE53duMr?ot$Z_WrVe6@2ne2r!*H-Y1rir zh)s3}!EgL9$i@#H!m%BhB^+lK3EUw%IA+y?O~a!=#LaRuSeVPyIeg0R`qZl-icC-b zJSs;8*#+iGJFn5W)7}DR4l4PiDoKV^yF3|PseCrHW1@)u z)K_hf<+@bl*OVs7zLu+fg=4m?O>#0Zbu!u648x3v6UM7W+bL~I@7^@ABb+WzSwb|t zxX)I;+I9xE((DWB3A9i%b_18G*2L7w*!=m_A-Pxc1!43x;I9K~09X-(M74Y%32;R+ zB9TPw{!*VqI|wpkOy>q&^m`-#dJf52oFhs5>^5q}^{jH-aCm)l|C2_z3fVUU+Ps?aWNrVES9s-*CA&=J`J*>9*83Ku2-^T&! z(oB$%>kNm4&oN=w%efJ@_2beNX0;)&k<_7+T7F>gQX%UX6d>C|j35{j6;iD1A$RVY zHQ|Vq9m*LBMb0efGYoO9+(VXptEId#ocqXxm&-Z7SQzjT!4`RL9%|V@V8-pe|1*|vYoqOBECPcAZSbBCo0tp^~BWu_t zPkiGs4t{Tb{jXdiKRLM^^8y9J9$iRU&@8zc2ye=Sb)HTj0!R0dl-shCu4LHa=>pMw zZQ?B8m4_;Ez;3^!pTXbfMeu;sm~|?8p`aL1cYW8hGclqbN!3Gsupycs;r~ss5^blc z{zyPcLp^*~g`!+=90i{fzheUR;^v$W3BaDefLAB&j+DLU4%pahMhSQ}@FUDY1@99x zw9gZcxwdP%foBTA5C`a*iuzbbhB=M4?8Tp(l3&q>{qZnz3U=o~J5wuf5zSm)B=?`ceG^v#VCN zZ#$PyX}yJxJMiAjPOgZ)>)-NK+&oNE-t@FT5D89i)}Kwd)DF@u6YKvUI(MYG&8YsD z=fIM)bf4ke{}0xfe45n;M(qmIUDBbPbgk`odpD?79IEqAaS%TQ*l=Xqn{BYP#Mm%5 zOJ2(J{$y>fyZi5^$%wChEpU6A%!jebkCqp8zlb{F2GzyW+iw~McqNR z8*c^%OW80%qxNMx`#IHL&jRM=tj-`U`Yk!IpMNt|=E`MqF!JJrL2Q>#|FnH3>Uw!< z->yg$JGV4;?9!{A%YuTqKe^%i>IQ9wl5>#K+AP+vKfAd~(%|H%L`BHc8O!1TiyOYv zA3PO89JE5?7Aw}wP@leRTg)B99bo38@)-};4fpT(*eKe?Pvk8ba@b@Q+?_a9n>()P ztt-S$_tpVV>*mUcrlhUH*Ispfs$g|$wSl&zaE-1c5I$>N;^X&BfRyrCRNYxac__9l z(-apkO}OuZEl18PLW2|=3Lm>)#@+?uqE+S z^(81}0YuyB+%?O>FCbxvge@Ba(^g}Cvub@hlPqzcHy?Y9Du-kpZ~Bm%1bMlHtx4kp zHUsJ7``7A)B1gWqX0HZXe=`4tD``XnZMw0Us_I*n5oT}e8RQKMa;G*|*vxpQmdE2E{=QrbWqB;h_IU8-9~)CK zs<&V|GrO}d>F$gtXm;j-AZHoA^9^5I9v~LQdefv`K&;C%7hv5SiE@e8z zPk?`}6~Ccw*rKA&JqtkE^#JQFA(W_C{f=6=$I81$t}Iqcj%gJb@rTjgN}E$>o+2cQ zhej50W5-zpx~U{*{SnvZb`ZnOqoYo$VO@gc=@o}XWXEkb+l4dlcdfA}p3cj&hBXzc zO$TMI4jv+8cb+TzSCkI*jyNKUCX`x_^TgL?ct0xuR3_jI9`!Z8D6})_PK~d&J$~ z>ug=>whD7z;mN+Yd=h12(jNaM?#mf$>uNLQZ+fRVmZL9T*cBE{7w6Wb!I*E$+``lG zJ$9qn9?eNL0`G!`fBO|yBYCCYsL8YNF8!X#_0h~?6jh)vDouE-XXIvdU6l7ez39B> zt3^vqUV4#*S7MJmpfxRDe@J-2c^Hr4&_dmz@`Vp>Dp>yvD)z;*k`US(0DB&(a_>Gb z4z*p86aTad6*J1xj3hh~`jtj{EJRY}GOfk843bT`nPYH? WRD[5].CLK C25M => WRD[6].CLK C25M => WRD[7].CLK C25M => MOSIout.CLK +C25M => FCKOE.CLK C25M => MOSIOE.CLK C25M => FCS.CLK -C25M => FCK~reg0.CLK +C25M => FCKout.CLK C25M => Bank.CLK C25M => AddrIncH.CLK C25M => AddrIncM.CLK @@ -95,7 +96,7 @@ C25M => nRESr.CLK C25M => nRESr0.CLK C25M => PHI0r2.CLK C25M => PHI0r1.CLK -C25M => IS~7.DATAIN +C25M => IS~9.DATAIN PHI0 => comb.IN1 PHI0 => nWEr.CLK PHI0 => RAMSpecSELr.CLK @@ -103,13 +104,6 @@ PHI0 => ROMSpecSELr.CLK PHI0 => PHI0r1.DATAIN nRES => nRESr0.DATAIN nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -nIOSEL => comb.IN0 -nIOSEL => always5.IN1 -nDEVSEL => comb.IN1 -nDEVSEL => RAMSEL.IN1 -nDEVSEL => comb.IN1 -nIOSTRB => comb.IN1 -nIOSTRB => always5.IN1 SetFW[0] => Mux1.IN10 SetFW[0] => Equal18.IN1 SetFW[0] => Equal19.IN1 @@ -117,33 +111,51 @@ SetFW[1] => MOSIout.DATAB SetFW[1] => comb.IN1 SetFW[1] => Equal18.IN0 SetFW[1] => Equal19.IN0 +RAdir <= +INTin => INTout.DATAIN +INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE +DMAin => DMAout.DATAIN +DMAout <= DMAin.DB_MAX_OUTPUT_PORT_TYPE +nDMAout <= +nNMIout <= +nIRQout <= +nRDYout <= +nINHout <= +RWout <= +nIOSEL => comb.IN0 +nIOSEL => always5.IN1 +nDEVSEL => comb.IN1 +nDEVSEL => RAMSEL.IN1 +nDEVSEL => comb.IN1 +nIOSTRB => comb.IN1 +nIOSTRB => always5.IN1 RA[0] => DQML.DATAA RA[0] => Equal6.IN3 -RA[0] => Equal9.IN1 -RA[0] => Equal11.IN3 -RA[0] => Equal12.IN0 +RA[0] => Equal9.IN3 +RA[0] => Equal11.IN2 +RA[0] => Equal12.IN3 RA[0] => Equal13.IN3 RA[0] => Equal14.IN10 RA[0] => DQMH.DATAA RA[1] => SA.DATAA RA[1] => Equal6.IN2 -RA[1] => Equal9.IN0 -RA[1] => Equal11.IN0 -RA[1] => Equal12.IN3 +RA[1] => Equal9.IN2 +RA[1] => Equal11.IN3 +RA[1] => Equal12.IN2 RA[1] => Equal13.IN2 RA[1] => Equal14.IN9 RA[2] => SA.DATAA RA[2] => Equal6.IN1 -RA[2] => Equal9.IN3 -RA[2] => Equal11.IN2 -RA[2] => Equal12.IN2 +RA[2] => Equal9.IN1 +RA[2] => Equal11.IN1 +RA[2] => Equal12.IN1 RA[2] => Equal13.IN1 RA[2] => Equal14.IN8 RA[3] => SA.DATAA RA[3] => Equal6.IN0 -RA[3] => Equal9.IN2 -RA[3] => Equal11.IN1 -RA[3] => Equal12.IN1 +RA[3] => Equal9.IN0 +RA[3] => Equal11.IN0 +RA[3] => Equal12.IN0 RA[3] => Equal13.IN0 RA[3] => Equal14.IN7 RA[4] => SA.DATAA @@ -166,10 +178,10 @@ RA[10] => Equal8.IN1 RA[10] => Equal14.IN0 RA[11] => SA.DATAA RA[11] => Equal8.IN0 -RA[12] => Equal7.IN3 -RA[13] => Equal7.IN2 -RA[14] => Equal7.IN1 -RA[15] => Equal7.IN0 +RA[12] => Equal7.IN1 +RA[13] => Equal7.IN0 +RA[14] => Equal7.IN3 +RA[15] => Equal7.IN2 nWE => comb.IN1 nWE => nWEr.DATAIN RD[0] <> RD[0] @@ -211,8 +223,8 @@ SD[4] <> SD[4] SD[5] <> SD[5] SD[6] <> SD[6] SD[7] <> SD[7] -nFCS <= FCS.DB_MAX_OUTPUT_PORT_TYPE -FCK <= FCK~reg0.DB_MAX_OUTPUT_PORT_TYPE +nFCS <= nFCS.DB_MAX_OUTPUT_PORT_TYPE +FCK <= FCK.DB_MAX_OUTPUT_PORT_TYPE MISO => WRD.DATAB MOSI <> MOSI diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index bb1c2ba95787f542d25c24a4778092af81d704fa..916cc63bb735c22884a47abf1a4454fecb0e3982 100755 GIT binary patch delta 424 zcmV;Z0ayOF1GfW^8Giw4NFel)W`r;i+yP;amIF75H3FS6k*aq8J_A`>DG?yUIrnnT zJ@;qNrRqBzMcADik3yjWWGC7NTQifG7JlqSU157>W8&Uh_PtH48O}hSMG5$cm%p)v zvmf{u>~K&Cr8|)`N1p52h^|&2Pcq*6ZB?-0h~W&ijmYIH(tm8jbVaeAPJ#>rXG)vH zvDis2U$mGycGxfmCTOag_|#FXzsDC^z~`20;toTjgnB_?>ZSfhe|M^t`~axhy-k?; zm3%YHW`6^@!*zQQC2OCD!SO5dw>f3Hf~CQdhDnr1)8Kz~X~{ca9WRbJ&AR9_IT6w<>N~?!qb;Gmlgcb7$uuPj`w&foypFA*=^u(V*wY zY~?3(o3l9DMEMx`c`rz4aL393htVirz--#7o)g9RKvtlz_$! SRztk)>A404=*vHPWztJs>D6BV delta 424 zcmV;Z0ayOF1GfW^8Gj+vI0;Q2Sw;vG!5t9xXc=>pSR>FGh*Y)x_Zi6AN{Ij&&bgO! z?zz7@q1&#(L4c=plB*VFpc_?J*q&O%vhnv$l?A3#iivY?(|bznDNaD2l?41K&gcFb@7^N~LIkH&8Vur8D$Ob*Clp zy($46^g@f1b$>?r6qYo9nA6$A-VtOeC0W{JCYN&|9Gg05VLDaSg04jQA#4WYL9geA zeC2K`Jb3;za!4B@W78)?D5QtgOK^}iYpc+X}nvd z$?(_z^Z>h&vBKEn#m}4&@?H?J=w=a}lOeLB0<$W4>>-!+f?fygB&4oQj(^yMFdNzyQ8Inpiw diff --git a/cpld/db/GR8RAM.ipinfo b/cpld/db/GR8RAM.ipinfo index 6ff9cf3b07faba4bd9cf4474e826bcaf9509ce4b..fa2304dd52e67aba538ff1d8d0aba1434af3953a 100755 GIT binary patch delta 11 ScmdnUxRG%}2V=m*P7MGVLj({2 delta 11 ScmdnUxRG%}2cy8mP7MGULj%wN diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb index f46ce48737afd49e50bf75f2fe0eadf783b87681..adf85893697a16a10def32b9c3988ffe5b83d41d 100755 GIT binary patch delta 80 zcmV-W0I&a@1Dyk~Edc>glP&=*LP!9~nv*5vAQ2%TMreVmWn^S9U}RtjW3%)HQVfD9 mYW$tNT%94tF##o57&w4xCfz*B1LQDpLzO}lF#-Sp0RR6|I~+>@ delta 80 zcmbQsJePTcE+eDBWIaY*rw(^b diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index c2e4bbf70807652045ca8702ddd649ad4d185575..b9e18f158dd8a9bb5a755bd006a2227f976fe583 100755 GIT binary patch literal 21573 zcmeGDbyJ(~^F9u@#l1j_6t_|eMT=XJ7K#*icbDKnTUr;3BP|yXJ109A zt)#n+y*Vv64>v6*9|spV2RA1d53QPoi-(Pw1+B7)nH?<`t(qLImW3(pS92S;=Wm+W zp**X3@uFJgf6I#v)c;$*s{h~W_44pHR_3R#?oT_S02GxkfSB{2g_uOBNvlLQ*HJ3! zuh_}nqRnE4uY)Lf08HO-t65$JVn!;(h5z{@4PcIpjARSliYRP+8CXhx@#NQXFkH51 zP|&ioEbO`3Rt$5~S}8Wl657!!_@Tjp96fw~#1|D6ElNJ}yI?y`cTTBNY2TJ$JC1wQ z)MwO+YQE(Uy+_{)Wrab~b%(^16pLKgb@uds;V%ppwqUdbF4H>Xc4s*WT#LB5S^4SC z<4IWZiMTQ0+88G%78&BYDZX`VLL@KVZ{#-kE@{SAqeK2q5ZG<-d|-t&VX6#@m{V8N zC^%ATvkhh{fi5UFT%w0I$^_vqY_=}(W?w;X1vM4?^*6OY14ms;hpO12&D)JbRfPlJ z|C4NLbKL;q%*HnbVgE@e57IZ-p*ioF|C7X-^=?AHg1#o~i2NsUDYXN&P)4O^{wH}> zn?OSAfl=KmjQku02Q74ToZRae@8A^|HsVaNJp-{rw=bD%0`V64a@UJlkEzaInd`PiEqHh5aEbt(a(bupHB2Y>O%K*D?JT{D!3jw= z8tJab>`{-^>C!!SgEKzr2HMBWJUgw14!sA>1GUWpDuJ3$_32)d-1E2W4$1E)E08L# z2f7J^(DCBsCTTVReTjU-;Jhbq_<3^~&TR3X z)JBPS34lg%bY{tRYYu0pyM(ygv%H@TnQ*aImQ%>zq`7z;%9hD5YOX?NNU67|$%z9! zU7t(WGuRbq72?X287C|Ft*eK8{VE5Fk(^)GD)0rk~cX_F4=dook#^XCZ zjO}}XMkcsiHmHtdQO)vilW~LY8&>}$QPVTe^s$DB4lCjsDbcLoxoBxDkQ(P5p_@}4 z)OWpGIAJh+0--Qf>)AQAh89=DJrZqPiaW^V-6fV!Qe3qM)7ws5vz@*eYw+;aol3tx z6{D!5BqprYHd#O|G}wxX3Ws6b(7RvhRQ`Fxt3xe`T69dPVS}WOJ#G7SQDsTRqX?yv z)-U3n(Oo#4@>XIfhkPj6_@^w^f+my1&n7s_+=*E@HVvu1uJK$FWvhI`==_-H26p~U z%a1xL$I!JHk|}pCtE?f>mQR~QNivjcQe`((xvJ05?UFE?5^+p< z{&ODc`th^$;q9)!G#Qrrt3y{NKqWGqjCM>`cNDK0Wb*PenF{_dJ=>a}{A~MGbnn+Q zD;)#Fq53Pan7EwWhXSL5AT@FN^+j$f=@&5(WMMLy#JyqA+8zv^CGTZ1GiQAgVq@q- zI9Vry<|q2i2EQ=$vBe;nRQ@T^PO%JT?e{`V|+X#%Ea2E%@LL>65>m*L_cUzUb2V1J-Nl#anQBL&|BF8;?cCzz0f-3C3U$+Wdx^Kv zlF8f0_4$|*{uCJAG10EN+)4Uq$fVgzU@a8>LdcOmIW(j1HGranBD+>VdhQ`W_k{M< zZexUYP=i+z?fMLb9JOx5ix$qB&=7UqUD{XH3aB+N3yMmOFD&sgEb&Oq6R(|({xZ^^ zj>$AzAj3vU7$x%guRjrCRj(-#p?JXIDdwMz ztMHc46BZ%{M=@ir2uz&xYNP+#(-of4`>^mJc6|l?)3w4A?2<$}2 z7KQeiwSUDyaW78)-b(uG8-=#(pzyPC#9Sa_AmY5(FSM`u6Tjt`vS@y1YS#5DBz@(+ zyJb2^IDD1-=y$ClNwvOCADosYzeJ6cxit@z3vr=H0{?rUBXS4mUZ+T{<6!6>)4mK> zN#-7xrBP0;0=2`tg}fBN*utlaJ$gvH3SSm zaRv~lhrjzhA%Uvw3hAZq66rpd^+8tB5N1W)mjq${;Ue77=1~|?za?f|G^9kapys3@ z5+IhRCS9K0O3HWf%9TK=Tu`n}r3V8p(SqZ^uhu+qjo-f7CyV6;Ww`OZ+WqcB@eS|ot#)!8lqCcQ`^{9_ zD%#t3PS;ad*MTQ^RaGoO8y*{GPO`X5_%WlnwgAhRaCi0Ez;m!62NUVWv}rnjcMR@i z5i3%bEHmtZ5W}75MY%C4Q_y4rqF+fzG~e0ygE*f8p;s66>;}d%L^)*z0qpz^9(CnG z9rR+H0))2h7Z0M!YC9PmB^Jkb!UJ=GKete%@5TcYlsn9?WD7TxDGo8ZQ7mM=7=-hi zzk^>>jB=3;9SQU)TVfH(_Q1W?%&86VLVkOrLYb!j2KPtIfh3s-kORO`E$uz5$$ zocYHf?)0i;dB}@UlslhpCZby_9UKR;uoBh{jflWjC-R*k z_ymQ0@#ej7MxSrE>L5kV{%E&9{M2#^yQrIjFZt@=EBq&yP)p?`UCw`11NS0aMqS3M z+n-9_`oOPZR?$-Q*@df>;_Jo}YFqZ7HQ4tAHQ>jxg)#v!;(Y<{m-Z_swt@T`p9)Hq zbe)w7Dfo?u{C({$(7R2Bmh04tDKulTN+_{dFQ{-Bu(%IXTOa55+2HjRr0{vOP|Uau zk?)T|rkEzdhWtvE=qw42F~&L^8@_}8I?j_kuBN8GQQ(0#azoBTUq)G9ih0lhd4ey| z&tz=^o~%PT=^3+)GhpB^@T-&fFHE65GoL!SsL^K*k{O`;8{C86A6iYI)bQ7uu~7Nl z6Z22CCeB$&rr6M%YM=aB9Lw6COF6@zlvklV%B+kqimrVCzs=J>F7Iv$n$m?{ikY@p z54pdQTW8?PYV`^|ga4l!+7Hn7L>#&p`>2TADR)+xhPns9l_h+tAJT_RiH30;wHTv` zNNKZAygQ@A$Qk~*utsqu>g(6GdHY6wK?ZKm54v{3{AaMs*7$q z{8M6!O%6Z>>FA7_mfGDr6^)qi+sYT%Sd38wxB91PnbqDo3S#{HWq~-{*p(|H$$nlG z^;=A1iE9YBk_niKcuhRZE9`{OOKOjB4V(DGw@PwCp-itoc_TM@{=d$AhhRH0f1{6qE11C zNHbN#J5|hWRQ5#N;9}{Duzqg2#wi?mPF8^GZD2peHv|4Jx+`0?z*ntl%-W6?T%p|Z za5o!7QUJ&KtNn`GDl#HDgx}8tauH7Z>;4Ru(6HXEhp^s~yh=fEFUIdSRUWir$Lomr zpz~(aze1F|B=!*}U(DBc2!fo$PYxVW@ke4jSOK-cTWs>pyk^ zW@3s3$Nsos*Y(d20k;?AEonjJ zv!vm#e5(71v4}iO3jV7*B_deR$3||FJEWKTfJ8j%#f!0Rv}8S<=%PGLpZ^PA--pMS z=M3?848LPuc)LtB{)}FPsooa9MYULZX+F^b zvh}Yq#=*3^Mb>$|QY&aNb);ZpWW;i{}#_4vpdBvu|*kKFzbl=1K51=9RgMd2MUUxQb<;j6d5s zFBCQ@;>20H#q!(~{~2Ykp)&<^eDC%QW}6OAy$DtANJ*@lrQ^(L|BzD(Q5E1!gc%xS z)0qqiEwE@X1^M}%eJ8=5Hn4ugv-vK9njJ|@qa1tD6e zJ-2YEp9?h@*_hA$lIYQ8Cq9S~%d&AR^KDCjz9Dlj1yN8rN33@#eTfREd#dhr=;+#K zQxqvlXbAq0beh5*+lH_6s+0JM+=`8jjdasRXz=AycJC??XM29%KAT2Op>21uqUDT_W|?m=5q)2 zjP!D7!Y!50F?X!$U94sQWv3`bZoVLzh40JQ(GJNHp3Cus^pP$6CvzhFb!cz)?Y)M( zMF#(+jxwPAI&=1JLde28pG+xbs*cHNnbXSCW_&@kl@2#bOa86^G(e#&B3@7Yj08{* z4~&rVJu;#v=Kj5LFd0;VYXzsotB#Bys*zG{eY1=ngA9F-e``lml_7AQQ!NqmPiB`T z&reWPHFqM{cFUju4du={ zKUDSwxIUgg^7MA*JZ@TRU#7iPH4Ng9fGy7R_J%&Rt?^Dg3YzKji#*sQsns~nA%F`k z)5}Ya{kk`ep}_+s=z<1jEQA!A+6Mi2)GZxu90end!#|>iaAl~rENK~yDF;StmN+e2 zw=`Fhd;liS)Ff#diK7X@Dl@oXPN@tzFsGX8*bm7NY6xBF@X%e{>zGh6MlwoL6R1oAY+T&8+?K*K9GfA!pyJmmf>(J0kg+6lbRNC}IHJ@m|PT=*2Uz zOQ`Nnnq7t7!0mqi0TBKw{kXOQAf0M`soWZfI>@r|j->zO?)7Z+4&?=?G1`Tr_l&L& zSDzs2@y(6t|7zVJOP&C&ZQih5GcGsw=KXu??|3mIY=rH4DxG6XryT)E8ZM`X&usZ>dcrD?E#E5@K}Qg~hi?{ztW}scEb3dH!(EL^fqHr)tO+^`b^4vFnOQAn)A^mu zU0@iMb$jE#20bfE*6Rmst#UBV+3qvtj5Rqv8NShT-T&1+6TS8&QY%^`;)s0p1gC^% zP{_24x{HP^FfiuHOIABAg1Lb^ilzrdn-fj9BW)J~tu1{^0tpA57*~XsR-YI{(Lr)O zWpp#$o$uI+lXBholIBGM`HM^ejYa6jw7V(J+(R-Y&zbaH3%rbAJbN;e8-STApm zo=fuZh`YcgmA%;iX3a!EkKy*uaMJcK!ui*}AkvTQxbGx$5r_LZ98% z_(jq?lIi)QJ2V2&eq-NJU9%jS9`skX$VzBf1i8m_i{eGv`;wa5Bhn?}&!8O=#X|LF zFU4mK0^Os->c*knwmuY(CF8|_Fi1&XWc}kE8Z!7+8!3_v>PD>;d>n2c=N=D7NB2vVpZF+`SvLgjDwqeMysUx>6UfC?b{2sh;BG#eHP~YY5-DT(2)M(Wh zoyWZOZ_?vq(+*bg$HIm;(r;FGF8p&1zUw-kH=p}=o`wG*nGg!?>0FQY%=%?2y*w>S zzb_!XqT5Horb1evAtvB2>P=f?FoZ%b%SBKr^1k?}F^gH-leVav_$Qg~^cku(cS)sl z7voY4UlJMqn$_lxq`HRMvQ;g-VkgvQ=nf6)gCGDAyf)#$%Ui=z^*@FLMh+QkX?@t@ zl8}yg^8L&RsVkIPU-&~p;^x)u)H}~*h70m*dpZ3~WVo)>t^y={hk+<^Kptr-tGP6C zP!|)zjaNqfzwRvb54t3J_*JeyV|1m<=MCjt2iYmWDji$vm408y!$m(oCiDmOSE${Iz#YqKzDim5MxccDu(wjxEkYL4`L^!bb??2xwXOq-;o#Q zp_os~e`#CE4lpNyI+lv*>dENV zi@yIKIasxM#iOQg_4oS-K0W))lyeRruBo+x?cd60Kkk}D2nmBO{JYmD_tk_;f`Tis zkoW({8RwAnyEgUQr)i~NHA_RYM%tVnCs#EzMzKskt)oO+`avYgKI+^KneaQp&TCa; z3_^7b2016~y72IpKhGpq8fKHidwSfS5Zd+91lF~la8oN??NTzrhE_}|24X_XG=&~H zBEoMrY10Kmw^rR(dNTKX?-Hq`P^?AlDpRZb`rF)ti?R1V^&W(`!#>(Czowv|$v9Mp z$A&ve7kIpWS^FbcjP!jcgXFy;w2?MfUm4*y)Eiz|-+v%IB~DRKL#VWWLipuCTH9=s zn(%+oNz7#w%SpcJ-hGgTw-}2_&foC&=$Za3VJAGB9L1;qS>7x?HnJ`C%u0_)hnFs* z5Rzc@AI;rXJ zwlwTnr3hx5WNQc5S!`}FnI6cxVLVfz^m!LOn#q=4MjH$zgV}^iAb^2;t2+4;0Qy{T zv&M{{3i(zq#iUoUeBT49RiNbcC&fg*B9z-w=-oNLQ4eDUb|VQsm^tH?x3tJeQiWv_ z33sa?rKmX5g2PcU)0FmiuyC>Dsk&dLcJ2){XZSo5e{>l3)cBlS-RuNmz=VHT8wAm7 z1+U~Bym2vtHbxOi+5D9I0t>H%DINxAN<4J zNmZtQ&z1(Q_yr1xtd#)q5reSSd@Px;oD2<6HU_LZeYdb$?DeXYpU209G%9;Y&N4N` z_!KaVpN0y6eD7yeujyuoS>S4Nt!-{Sm`S>8cK9Ky(_T_@TzL1O1|=+p#Jx{_l~f8c zlV_oHPdur@ka>#*rJl?R*07CSy5vV88V&eoE7vs~gF<9^T%R6)x=Dq>m`Z`w`J;fr0x%8hp(G5TmmXY#vF4xc0fwZ%13OjM+Eb>lR+NEDq>fvP2yku8V$ z5ODD`*zBY_J)ZMz;H&OzUt2jt`4V4+R4jCx0?Uoa!h2Q2yjqB=hc1&u2)%%d@Ljxg0t;Lhew3E#7_ZiG7 z?n9bgIg?Uu3Lv)gDb0#SrJX-04zxIT0`00h7x)p}mJ53__YNN3QTb{ik$;az%Lo6E z$ZB-8lTqd<-e7(87-uhz7wyfCzv-h*;7jU%q|ggfB@Bo(UkHdKnC|yYGxyJ7NeCzq z%Z$7>W4xGG6paNBw`FjPuju`KAh;M~^!C@_&YDRCsyd~?9QKO>xWwC3)V6oLhnDJC zE`BtO{NQjHytjWA+70@)UWyd3xB{KhPV5Iovb{Ts4yXH5;FEgWW*OYPk6^77;18!X zJ!JAt5S;s|s$uv9ncSr4l=if!x4q##B+}9_3`HiBKQXp6D;Ir0nvljInJ<%Xmf_xF zRV=O>wH$s04Z}{KEyS*8&VRR0RQKWI`Y3BdZr>%h}z}UjH zPAN?xn-7&N2}PCyZ_l9kY41*+yb3}g^ehkyMki|S05-7(-CVkw@vj$gK)8`r!OD2F zI~$d$*-rkB&s%X~?xS@tT2JbFqYr6MGn)zdBVVXxF2GId+%(IH1FAOp7LT%imwkVS z<8F7q3@kOw%P~$BX>Q04ZC7ihXtqi6#SgnPxgIfXBmvuI=qld1 z(o~iEJf>PeyfET!825nwu9N_q>IpMfo|xRp3~rh8d>J{Id`5du{-pEA_1GiVus5#^ zAiru@;{fEJpHFH-Zh&&YLq>^x&9pa=N%J#Ml=r(r3E~wVz1T|cPPTea)&gQm92M07 zLO8HF;q{;S9%)?MLffjsw`AI}a@+VDD^V&YINXKyRv`4jFJV5(ViWlU zfsP()eg9^kT%s=c!D8mynYQ_r(*r25HMg?Iq4q=FrKLl%jl@+f-GhwGQMw&lnQKX9 z*3lVq*6o}80g+BhQ@bW90S=EOZCq(R(U)z5+K701)yQrbpGBXimt;_FD=1GIE6v^r z+pbqx{t5*z@7=kCZq{$EyRrJfwzY1f;CQ)jpZ-o1$ zQC*+6^uBMqpZl)CUq%A1qWvz?Sbnf-@6wV!P_RG0sz!5TS>io?YLJ37C&qjSLK>BU zdx>KSC$e;oUuY&Ev|wxH03SA^m{+`xdXCW+C(JtY`%QTsJquEuGD3{rm6WbyT>8Cz zhklvU+*HDxdcqCrz`ds0Haej~SnVV4fUhK&ytjE4kAmRbdZ5-yG%nM`nC0S2uM%A( zX0OSs2>t2GUY1`=(r0tWB;UqR&+jH&8;pGl-wSK3Szh;;Hi3=sc_h^lGrQVhLQQk( zNOs7?=7p=`b^xo`tkd~TxXITzAV@dm+O)Cmjr>aD(c+aPy{C;DY^_f|%2D|M%5T*j z;8=ZGFAM9J8l@zW8zLw^JT@8EFx{(LgM2Z6s_a*V1@*MP_A|#u-2MG3jHee|y7TQ3 zD7E$GzVW1}d&F!!-}Esg8?l?V$5}abVN4f5!iGI(JDraUfu5>2YI7&_Ouc2eAVT&N2oe~ODo|krWEL@mP zJuC9$o3BTWn`I4xD#Oq1OEY3Jqs)jl3QlJ4#6$;qGyrHZ;xjqI5iTOZg;y0FXEV)Z+Gbjq;VY1S}?lZk{{<+Qf?W}nk#s9 zyd7#*kcu^K{gpYDZu;gvJ{>`is*?w{N|Gi!iEamV1ttC62Ovz6uYQ*VicwK;h6Xc_;h&j?& zWh~}!tGm7=Z%k@QPzl6#>orMlfI3RoB5Dqtl;U%$_jhdO4}jYnG)Na1tz3ffGPsqL zhVCuYw)J)_2<>g6CM)}0S`VH?-nQ_it&|n*DCN#DY>V^$=P7yfyQuW~CqK1qg30;= zz}HOh4aI;6oAwkuqXGnuOEihgKhpYI*1%BEJH|eOS?@oYlO@f~ko@?0NrYseX=g2K zq9WXF(^=cxX8bO1M{@*T+P0PN8dZ`r!yszs1j^p2=-naCXahd|J?i+r_;~jmTt!+H z-V6SPrSpFW?sdD%7%n0U5!Szb)oR?wod10K(fu!83BIT|vB^_qI<>MeLWF*B(`LLDz zd6|9ioCkQZpknlIdVPSrx8ZYopfAHCKP3)R(I?Z3o{T3pu}OlWl-e#eeU)_oJdbIm zSA6Mmkvj!9rEP2I^3o?Yfk)#D+uMJSI3n00?K^xXuiP2TTaHMJx19LXp3G_xq%z#O zGbfAo1~07-A--vD_x1@lpTgSkx9dKq*@;eEFlTq5(skZ%#~00N;fTo$8!gxR1%2@U z#(lC}`!KK4(ZEw-Q!y4*ZgC*N&)sIJhrIEdAo*lhKf15QEthswc3@=qbbIt%)jHi+Z`+< zb;CSYnz;D&lAKa8ukKikY`>?>AC1CfxVRD3 z*OyP95%r4q zz5+_*6?7&Nln6pwZU2XoK=Umsck>(sLBCY;)dbJnveY(gl7vZjh1XO}J)x~aQ0Nj| zs?756rl5v5J{Km`WUNN0m+9t0bz^-)=t@-ift&HT>mf@QAIV{wCSk#vW6|d$&ZtF9 zfhHP6TP;rD!_6HbU(907JmK1w|7Ms8Y-ghY{YMxVYc=SsouK{+j*xX+- zq+eY9p|dp-`)yS(OXqz?388)uCl#|f^^_iLBxgn{!Tc-$e^%zw*K*2xGwZBl24A*4 zKfha2FO!JGPklo*#q=xgB;eHz<4A_SqC0~V(ZoFuCVkY?veUFQ;P>rA{U0lHmHQ`W zlykMnEZ6}rX%^k)qoOFWpIhT)?Md}lO(uIIIx(6VR__%hZl;p(O+YI629B*kzo@hB z^WipLCqi0V7TdVSqg0J8R)5`9m8GU}Z~di34_-KnK6%FzsdA4Bc_LTX?5 zo?pCG;i(nt6k8W(mM+S7*XS)mYqE=`;H;Q1ZM6J&M!h<*yBF}LbDhRJ<+ZNWB;B*| z-urQ?#r(wmD3jdNbbxfDgFA#H)ylo{WKNHd=Iy?^Qj|8D!+c^|<*rqmg$omt*xY&| zSWw*D6fG6p)S!FZfbBh*qsQnSnMzN^%x1dmaN!gDgI4OYcxW?BJtsKe`0uCExtw?C zKQh1AE@T{siPZCF_4^goH-4gG))oKTFibUxL?vPqRsY0ld};k)Oh-K18$sCMV4+Bm zb|O2ZFy~(j&QNzT%OUDV1n(c`R@l{@=SIQ=fz8$0Ic1Q@4$@;*-H22C7fEB~1SG&e-?fjQ?a;bRWM<|7n;|JhfT1Yz=l4n_g@%s>9+9fbbHMY{EwRVp`vnm*^SBvdRYL9AEVWWC#WK`|5lp_!rIM`d*@TF?kQ zQx{C7sP`E#t@=(2WzVdSS1_CLf=Ih?yhiBU#Xi=HDSZ&oBo%B>>;!x5vyr$C%Vc)MW)nj zI70p!k>VS4`Uvc=yX77>sxbCg^b6?ooxWN9+DW1W|B)@)lG8Vn*hYcA1QWWviyecL zP#v|4_E)WhoLzR4*yDJvTG%bXjS58{(Dy%U$Iq~AY5J2LMiQg^9m2m+`Gt4oK&K?` z93JokoY7^1a`0Enbyj%QDV}OSE89OIbzL$0vC-Lc>40D%dh%0z9`7tzWFaJlZ0GD1 zN#=Y)wbXP-d#U=MkF&cG9HEv#+`%6-EHM7QF^0(wMmxI^QnG)oADeQED7J!n+nyle z2lySqtfGUT9HpRNk6b67{+U3<7VvS-j|(L0bwAo>6+ChZ^WKF?cTmv_E`^90atq3c zmdN0CKa{LXil1xDh_i1}b0FNSpi$``ZsyqgFPueI?(1$9ja*q5ksH2(Pcse$klOvzvU_cSvERz=16pWAGOroO9pB? z^Jv~Qsc38A!hiHyoY(~3{}!`+6r%&q(LLZCBD{c2oKt$|&YDh5(3OFBq>RHh$HrbQOr81uqWBX#PhF*;?FJ6!P7! z^Y=$R(0;1ciukh~AAr6)kA$5Nz^P2YS=(oq*p8uTc!foYfei4`>R_}%Q|bE<4OgjX zUtU_EL8j^>y%8(*hqhDF7Nhl>6(>7x(Fc3#hI&!piE2hGqWvrQV6E|opb>?W68&Vi zj!&}B6}Omw#mI4x*ZHAf+dhuD_Z2(UuF<*(a0e4gQFqmbM^NB_?PpPbz3|1+hDS)c zC>%5-1%o4|xAB{4Odb#GqS{EY@5;vUiXZZ-%aQogpq?;`3W{`$JoLlnrz-r{^GCw?q^Ot$HLt^nGCv|V2`Ei`_6bui$g zXzg?a_PP3o1Z2ralO@kG>*oOQ@($*T6P2g46GD}z6ht*49WLYxyA=5XgUC+*40~BwDYt1ouGyCeQRWglpkrpbI3kJ zwXNcCjn@IGQb&+vi@rGhWDQc>dml>qAYB%AS)ri|tuU}kqk{N9f7t58X^Q$Jr&W$Z zTZtMO(G;fZc71Ui-|bXz`1NhGK?9v{|R~6CVAyp?jb%u&VDi6 z5bWdq5BLy81;s)x;R9E%VQyq8!>p4reiGYZrTf{6Wyup4>EhpwSK@!7T_p`~aiJ>d-AB{J4LUfW`qJeX zlydowu=@T4i0gUtIy%gNn*DK@Z*QWK^x=@=nm_DuccQ}1!0PI%_d#rTcdvls^i2x3 z*$Io+tqD&3f+w5mxw-)vKAX)O%4#u2$V%Rs-IX^CNke&Eri+-xc=k7`QUKErvWzP| z90*mb%$?`LD9*hXpnsue$xaJ_W>>`^+*h$KogEM&@G$iAVxiY48LL5l3|6xt!TTtZ zxxiAnCQLQ^(s+Hdw}Z<3fWRF#ejMg?7JFmu?mB=iIeh!XRUiL-gb~;$X%PuX8vWa!M5T0gWoFb$k^t)rW+{Ebs{k}HBB-Y@0;xjv)G+Mp)ceHYYL(}2V8t0x2_8o6 zc%FYYi^^W5v1ASwvXU{}{|E;e1c>RTp0Gm1=vH?Lo|UO<`f3T>jR;pPTVWyb)((LX zeh`@Su8ld?ou?5mDK}vUR!Z2^F;jPJE;=mCsfP|M@|afmMPrmbGSmC4cM$O!rf=`J z8WWo~2JvkJ`+E91<0(DVzhs8QZ*0ts)f;elZEPg!w&a3hD^MTC;x=OlAWnW#8UI}m z68Qe)QO2-q1R&ioyEoP9CT8v{^ha=SvkMJ7<0k;=fT`JHvy8C~R6;;rcwXV|aM!P3ZP9~+1Hx>9tdsbHR z9Z2U==J6p_>@fekB7&BNPeh_MZw)t!?zHknt)wZyQ?&(8E?v-$p!f8(t#L zJ(qEAb(o6pJwM;PBHXJfkEY#sLr!%IsMwQ3K-)VZZvx^CUej=-I4U{D$z+H?#q=@^ z#JxpmJ{)o|ITf7hu~EZHYpRZ}!%2H*ygz~xL>}g@E;o|YzPA)1liSJmQ$l>FfZO+f zXdL2Of!pp~zspCqT{(Ubg@sel;NJotI@7VrXOI4IIT0Gc=L2(Bv=i>5>is02w@!L! zvJR~HImwgSCk{fr7#o8-#$$0foO@Ya2a^zzN50UHn>{E~nCCk6+q#VNNj3F=9A_WYr9yw*0Hdi3 zwRIdZ2PA=r4*{Nc6};1t;2Gsr4=|@moo4!K5=R2{ugyyG*B!D&S(OHI19VD$=Qy;` z!YG^w)E<@;a2&KaY2M4Z; zngHiD^XwhXzBY~T7cw^=n|`(Pv6G|)ukEE7b^$#ChJ?iUFn^z7(e04(GmqfP{=M{o z&K{O6jA9PMf}HBlO~aurjRS`t9w5`jLycJ@k)Jq`32N zhu{5A!L_i38LacxMvuh(CiqEuKto(<&=y7ps_-hu+gZ?88544)z}nG{71Ggr+o{Gr zSNnyUJb!sOhRHqm=uz`xlvaLu|tYZ4ToyK6jA>KX&(-%7^uSPF}#GkVqlI?*It=j7#@H+8la}`5A z9X{sG9y4!mMq9xb)XrB&%P~ZSAJv+B>w#xm^lM@zN8}Pp9PJ{yh|-8dQf#%W;!u@H3LA?<%XCR zsUw34o)n}SG+Mq20bn*iIA%!VH^ZVGGG3BV|;Y!c5l-~mt9X4s>r(1_DNTJt4Cl z8qd~wW@E%jjt2%iW8P)0u<7(yZI0-Pgc%R(=wGZKTLl?5pf^!FMkzlP{+w-;8{HA9 z=z21@`URrq7}i@MaoqVyk(APa{$QQ3c_wMZS@HZAs|%~+ZYrkC5j~bCH6AqNUgJ)a zL%0<6F{KHFu0u#*E~9G=7KYi7T|a?&)Oujl_uN{P2DBaQ1u;c6{;kvcrQqb7NxARP zws!N9dp$9dqL|}}gWlixfapG?EIBflL9a-DASh)A$1|HRssQ3|gz4edI~gWFW3%9h z?d3)+gHW%;_lb5Uz!QLFk?)9;9)EwyUo76CS8Zw`60l9)leTc!Mgq%PJN4^oW7+0Qg2u5 zx0~+^|Nd@f(*SOYx*p}jkr4mWbEf$gcc=h{j1PY(uS~Il2CNJ)_R<$yhU!3jW`5|^ zC`E@6HlhJLO2o-mgl)>xAi!7syq&!i5w?Tu0hABb6CB0vBdYd*|W^{4E5B(FK zC1*InH8=ddH47iH9XeivJhyEYySd=(Dl3j8hl*>v4c);lT<>TgMs4bI;;ok z*=^+lkFN(zAx)eU9B-jIDTj=i22Q|71xl;dw6@$^*Y(>^z^s?zOqz})>);*Odi!SRIqNW7<>AJ8p!h64TB^DtljG=49U?x|Zi+Y1n%$jML9*@LOIO3Nr8QBaPrx<0 z=zN*6?DSbrBmmux%%x(PA$YN<`^4S*Z2w&V3$S+JVHp`kOwnPO=_|cD;&yqzpE_1v zcA$Ecv$7oQ^Sgf1JzBx}z=bn2azg{QVAK8c;y(7^!=u#ihn)tMdjdl*j1W(Pk&{_) z@eQ8HZVVf(Ov+W8C?>V$KS0e}t(?2Ycp- zjKcx%dQ|Us7{Mr(cMR(fZvRv(drF(kA63(;fKX0A8Y%PBcY*ELa>PPxAeF&EojYR` zqR;I#z)imQ`?eo^IUG8kR5OA!I&8ix9ee!X|4}0{R=(jK$AG~108yhkqfn2%DionXXasxp)mih(^+qnlzjfmLv7jOxD)g{^}`*Qw&7EIrJ;}h zznBFlsJ!NIQiGwegaACD>g)hPaZoZ8Y^O(B(zcGaK(>?Oc6m||GA{ndHc5t3NVPFH zqz1XvDo~qI={wtdNXCo*n;Ce@UoKEvw^?P#^GLSy+|89AZE$noi|SV2_GBwciBnRz zz_P@dfwgz^0NLZ120irvP~{WV^~;@+5)tCM$J6`AHv?7CA^EzVoB-Zpd=Ch*$TTy z!VlNeiQ8N6V$GU)zCLEzRpo!IOJG2OOZPpV3&E~OeOn4d`NpQ&3HII~DSU+wEiF)AJLTYI`z#fjjoK9xCV`z9H@^z9$Q0$~*WgE%|v@E?)TDJBiP8Q5+)fUjzU{ zoUJf`)W=e`uJR67t$ZQ5PQaDqy3`pyv_3B+!K3j}k%O~ghe6rx&XCO0>wEQ$&rOcE zshfU3<{AwuRvH_u8ddJ_Xubx>8+HKjyxZ!1&|5@(p5E+ljs0#tWc}ek(>Wx=bn<4v z+Z22-($RBvB3&Onh+}8J5xlgqCDyf85{q>UY}GHjMfQU{F?{Mqi=TW9(^)#SdRjj8 zSYxaDV}qInfG0YJtb(ob%kB?nV}E8-g6v`Vri@3rkjQ{y_J2JClP5*-o=y&np{})% z?~mfqit0}~^XH|13ZO$yj0f}%t2Qg$ZJ(xA%Ftv)ygJMulr!2^_C|2DPz61*mh5IB z82apK5dYdckLduNjOekfr>D*2VrrxO*iPV4JM$FHN+-<;w4MJN!c6cWMyEh|(Iyb# zAGR;XSuG1O(x?k+>&xg{Iu(lZX!_BnlkuSBj97W2_vvi|ru;l#SA2oj^H0mgPrvzk zqh4jsc3dZ|pHZ?iDHV{~mL|H|_KPl2Fh z$%k|*rJy~iddMCLc2xUcIM1<(Y}5}wH@ZtvtB^TYl+h>*4?o}7*I}(*|9HudAcX$R zLeu5NQ5QW_v)oP*|6c%@4`=Y=ns=Y@d|}Uy^b=3Bv=OC(=Ndm2&fZHKWgP)8_{s*_ ziqNiipYWZ+&~IJ+!Tj-P>33daFWl5pK^$i++4pu*{GlJ$WwiV4pr7Z@Hwd$nwz~&h zN}hBm<#B(_{J_KgMVRxo-K|9)`r*%?67IzT=fwlCzZI@6{(-qo7}l|7hi5PA8|E1s z=bjxO5r+83I+Z@~aIA}8DcmkCIP}90&d_Gk9Sv6kD8V_fTy=i`EfdyKj;#V!55~*}Jdg z?HuMnupVx2$@*f{ZVHEfpV4+$B+t{2@wTDHZ4!h_46|z;LBCm> z3tQn-@Gg(2sXtpVpxtxYLAtP6sZwfn(2( z!zJs#wY9DPbb7J3Hr7oRU%dI8Sa6SGa%Zz|n79qyMVKUNAgQd#&Qol_AD>;}_f;I^vc1 zDCNCExpCw1$2_!Q2M+zdEMD%e&E$FdX>C^6wJKPz-9oraI@;Cg1@pMYK2IL{Z7kjX zOZhXkf%D>v%~!-CaGpO?7pK(qs_F~o)vv2RUK09Eelf*XOXcrd#APXY*m0xeJwyI- zOzOa8d(98;z&%^I>(q8zJV3ugh2g%fy=Ub40dX9AIVa?$ zFK`^CBC0Pa*XJZooR;-qNGTk$J|X>B|5Ye|9)uTbyG4W1s z)NE+e??0p;&ycL&y#Cl#@=g`*DM7AuC^?MV&~dR*sP9_0Un*HQXj2?5kl|ggE*rF0 z;=Fc=&wtWpc6c~jm)d-k!l|r$hmm!YJ@58-K|lEGS{L)&0AH|mtmg;t%=(~>Khah4 z%y`2O^||Z|Y^kO4ei-YBHXQciFMk!z&iCv+Kp42T_svrLNk)MkJd^6e@f_;U!dbg1 zdFW^TU_5*Jfkl@2e@dRJi)YJ*Q?v=JKcBzPhkEYedpO$UT8rzbM+TgyAM+7%y88FI zlErhJF5JmM9x_QOd(jUcV0=_4Kd!+uYcV!Yp2~}7NfvWW8;*95({^ptt~bti7v@{q zcI;^PGpg^pPl$f6kgN-|b*-C@5%vYbVJpe&H+&v@D^!|i)fMy`oIG6{*i?UjW1aX# zZEZOC`>ZzB*~lV!`YjdC-q8Z*wTpgSzkNvfHk_@m!H`n&(2xI}{65LsDaiBIO%Dt8 zm9){;O|<)5ZQs+nX^XIK^48<~2=@f(XTK|*vO_wR?=xJjdx`fCzhCF!;Q1=y9?*hA zzb&j+6@gC!AWXDrlBoBQtKptEc6+$DOUFL(09#H_n|JbwZEB(?pmfNN_vv{%YY;gH2| zUS6vHu;07(<~QE)Kpt_QJS9)X#R-zf{YkDx!O8w|bRUkY(4AQ`$DzL`qXe< zyPsCO;B39&wTm6GUwQ3f2euwr=~~)=%vvf`R{o~Z#{w>;ANKC8ZCA;AXux^xf_+C1 zzk085r-wd4r&PNtF30!hLYp3rHu>KbtlO6btTzvSUG+Ra-!hDI^-FmV0ohS1-W|0` zxu+j%p=~73&h>Do3&XoSUGGO6u6BPJ+2O^54+_I|a@+b(Iu$?C#``(5fosp+xAe4^ z_act!VK4VKSUbHs$n|)^-oqqwt$=H@m+RGgcF23J>bXzI`h-65>}8#OPvPDbc=7am zk1)H*mXiW5H7?=#ZQ3>zmNrSA9bBid&i+f#&x_-X%X zdAhcH1$o~1SRqVhLACezd*y(S0;H!OZBuW_!=0vf`G0{=YFRf)MqzR+Pw@=y83FsT zV2{TOU>R6YU9LqgkzA9c0 zjPx6o7vi6_N$&CT(w@B7eFKx}@xt{l|8H^sG4aA2bI2HZ`Att=_6WT2yc3%}d&O&6 z4~FF7UaWRMEnapS6EDZ8-DAec3$eMfpxS%>A_L3!BMj@=`|`jG*Jofoocz432Sf63 zRqbH!jU(1-%)d6yC4Y)7PHLm*8()6klNWw}z+xJ0d3L-+ZNE^P>F444#-Z3Qjy+yj zgN>7yKhA@fLnEwb@9yFRZn}8s8;APxQeN*%KWleXf4M5M6&<{Bbf9cKD8hQY{6x4{ zXft~}T;DikaxC}!g?oCj54Q0lo#x(a@aE}rCGW10y&f;7m*uJFd8#XHeMme4>*@D- ztt0SzyMFWZV|{vtaF;1Zo~PgYXyBhU53b zY!c*Hxo7W}B#&xF7XZ;hxrlL%;7!w+9OM z_0`gRJ+LAUziJ{ zw!QvfKG<6Jc3p?U^N+>fV_SHZ?&bW#|JC}t799Hhuk_=c)-HRw55_$}!=>z{U5m?I zINCN0c}gCbuZa`ZFeeAR*B?t&&p5K@LMeG_rdTF_;(6%$;l7#9n_`O-?QW!PM)K_W zrPnU8l>5(izSr&nk_TqPkbAhjB#YnoX_JS3C(y3)Cx-Fi>8B}b`kRvXgpP3p?z_Tm z-+?2JA1~}PTkJqTe4c)@`O?$x2w~!TVjeFdq~IMWljq@HFM0efNf&=_maL!3R>mDz zPrt`Y-eua_RNI5JnLJNFV#{_t^xH?W?0@IA>BsL;^wIAe$=g`lI@*xu>GvMV zduhk>TAf>LB;5WQL%g4hJdeNIg!`2?-kSpFwY#6{|4qDnq{IJ~3&*{}w)dpbPfeB2 z8@I2HUHx{ItpCx5ZX{2?4+w)TPYd!q9GKHPR;Z`3UUfh9{RmlIOb|FB(Hzh6}FFl#|igvZC&F7{o?D|6wm0lr*OO%RB7ky$Ni%| z`tdw>(=qBt9O9k+F8!ESdB?N=e-|+9r5*GN*?VpCb^es?@@X}~h^RH{Pb-h3SLt%JMhb&S` zKicJ*mTS!WXam>g_q-d%S`1uDo{Hzb*A0f`;dn08M?da+? zNnWaJbAFE9;GNAM8tzvMT7`Kky@OY zX48ZOT$sGKGv9nW^SybQfI*l7fZO`rDO}(L+PtG?W-@*tLY?4!PNpxMj~{HW5R#t` zXvKBmv$z0n-7eZN|;b+Ej`CbFXLlu z|2YI5xY;ThT7V>L{7AEg3C+E>GlBo=*e~)lCur6yp_#yftF-Ga&ylAWjVh?9Ek#IP z2F9QdclVcmJ|<73$E*~A9i(OpQA&ZLoX`TJxC`GdogojY*w7mV7<+`L=I_RbbRa?Z+=s%1~`e z6Lb$0G3VQ@ojauHU@f}f1V*KVQq~ZwzOsIO594sQ0&;|BlG4FB&ABF{Y$s8zEh%-# z{`mCt!MJzAdrU3&%$2F@{t68@Q`>hN1_t9JT#q%*WAo*A@ejqj(1>2;v}=dg$i>eDT*UR<=NC8VH4?F^pGn%G Ku>Ju60RR7ni3WrK literal 21232 zcmeFY^;a9+_Xb*{I23ocqQPBSq&Sp9ae{l1;O_2HtXL_ResBP)uYU|`k%frXT&Ba42 z?QZ+Uf|i$$mzG-)z{3mR<>uj|)wFc+ur;@&{b*`#Ps>BAsYI(|X+|q&Ve9sEC92WO zCpFKWnSA_jcm{j*zwzYL|3+MkI8EH zcqyDd2^ESp5F0Hms&J-(Ee!g=_RMBsW~d`k1BxOY;SE>>rt^%9AVJ-_s5Zy zL;G$QRN6n)t~bqEUA58@UQ+DlT%~(p80xXKB-|tjKmml-WqUk2A-a(T9RlZ$-a@ak zmQSWWJO2#U(@OW@n`$oyl^A(0sV?_=tPT^311n2xU=nZbCmYc;hQ%$YT_{x?DRsF< za?}$LIWU)mQCOwOstcE$ONy)91d)i2N`T>}A;0&8Yt?8g*G}7Z%V=xqu;M?-rXkM_ zDB1P*rpV+!NzTDH7}w4ZW|n`FWY_-9LAeRJ)E)7E5|=9bg!Y`+Z#n-YPij+XNJL0f z^AP_eqpejU$NzW3|1;_TInDncVexrJm1x;^r@FqrJ`k|Xc+_Fy;h}$1Mrye%$MPt5 zx6SFAWWEOHd(CAa=tE7)6d8wH0c|#N2bQL|k2uxyR|qzGRrw1X8C81-l8dTEjR*l!&Yl{9ij=)`H0wwk~9GkVD; zlZDlrt*~54aCO1;=az1KJG$u%M)(`4$14|_n{eE20Bc>#$Z-Gai?lm{tAs1XK0M4I-TQ4F+9&it z`ZVt%!|LjgOX=C#l)aeK)q3_sGp)vv)$v~{G_NLmy*DdzexDD#rDV>y*D-!m+0^v@ zX4B81y}4<_W%{oUT|~8E((DoeN!Yr2-0s2B@5bG>v!Ksj;B_r9Z(`1uLwyTeWA;Ao zJd#5^0YgnauZO;dE6+Qpv9Ts4~*Y&Lx-c^NXrM zSbLf{*o(PR%F`SZ~}eu$W@9c#KjwlTSHm3g#Tko8F5BQLgML(rMp4X|`mHlx*C5naB7v7xMIoXVHGLFvvMw>3-y&qy9U9@LMWC;*gHv82_$Okq0 z$}XJRWeN9$*ip)IAg_a>`qlNqqccUnyz848&WbuK9_zu!lfvH9HG3JKu>d0_x37?j zidvqk{?v#CY?ae7mRdkQAAufZFl>DOh>G`aP_=L4q!u@2i?_4C;*+N>uWa9BoM_ZD zQAc`hh{2Yi>`H*WXszAa%i3AfmkOch7lqW$w5 zXXD~Ayx>S}dNce1EG?N~r}(um zH)Qsr(7yBFZdMv?(uCM(H{YdeHAP#$})(u#MW> zXoy$qbstV2LI$JO;P80!+-*fR=e{Q;(uJz_QLHVxbZYj%i@_{xPtz&`lZkIaIb9S; z8X=mbhZEn48#Hda?-je{gS@xG7VTzqdvX|IdSQndO3>+XnoIhEm~mJ{u!vPU)990D z38@(TO^i}y!26%Y1}i`g{Qgx?UVpP95hr&G?*lH`YkM@c{&Jmf_DgVDGpUQYeWk~o z5$>qM_n%hu3KQxP4qEuwD{l*(Ik;qB_UAOW*&PKOF+Z2>dryL1%6~1akooPsnSeoq zKi7*m5hno$e1(N_78789TJ8 zh+(7f^>?SK46pn|EJTy27!6s6ID`2kLJu>y`Pmz0m2KEJE@{nH?IYS?7gx0jUJx2Q zdASm|H_vB6tP7%QhH6CXR{tK!_jzmh{kN57l=cy2St9`{n?2)%UX9_c#rHV$j(^_j zyT;i^)JyTwnm(4qq7Ri48Sv>M{OE&S+e(!05`}l^pBKDNbPfIj02ILlYreLOJI@6e zog^vfCUO*i$|LNk@WQ`-SLPkQk)ZI*?BuH}h(cFsg_%aVuEJlC-c$n<9R#nRywP3` zh$|S6$sid^94YL{XRS(Q6l4d?PK=9EJQudkk4pX_?G#D5Ex{Cy`I?YY?4%1{n=5ZZ zG*$Pcu$!{6O|%|4OMxF+)B7VfL~p2EPUdEOOJQ=^VpHFI3{#UY?WA)U@4Q8!lphiE zh==NqAH=c6#P+&`rGJn=az?x6w>E&4l5}ZujV=mpyJfqZKy>Xqf6RR%2{9*dWL)Yy&pkOAV&(I|&mu*r+&7(@F zcr$8%LP~3o3Ba*5$U?Uz?9jbmr(`NT1t4Etk|OV`Sd zofAw4HjlA=QFLH6MJLc##w@7!F)H+C0{_A{h8o?S@^w%LTif&Y^^nydqj&gRA}>XM zUG%6;vb{8&jSbtniFq%#e4?djAfZP-@kte_CGwX+B;vhmFr#th5S12_SbJcBeohG0%rMkaSJ z*>>%qR&UT|i~T6Rs9MzAfi}Zv!k|NOp)vpAZwB(7o;~aozclgR#$?$3#EMBD2SJ^3 zy?!N1xuQG#NdFiiWi0vL@Jyl@5^U4;b8^@T@24vlbykIaL+LXk_03ljfr zzjRB*Qj&n@mO#^woFa49(dMsivcTbj_@?>&pD+e*7{_kL@K3dDrhm@j3wc)4ITq$_ zsAkM+0Bdc6Nj^63SxdjooW80xQo-19PYal4YW`X=L;s^QXk$5eIUb}d?uAeSc|%Oe zMPJA2^OXhot}Y?xsL?|ar&1f=B{`mP{YyhEKP?e1)nvkt*D&^Of2X!gva+dW^9vt( z#>`L2FlL3J7|@}Nw3plB4SL4Rn^J=0p6`RBm~&a53B~Il-MkCJ9(1i4p0Nx){9>+) zOUUJaQ8DmZjJo-^+G$j2!dZ;GaqMezPQWwPYL*3!=Oe5i`bzmwcr$h)Dn!O_p1hx9 zq{0xRtC0OE{y)e{yjP2jq8iMkbpq?X_&kIiO9&+7>)-bYp(H_PhV0Kh1w8Z6?T@H} zPXIx`pT#xUDp?dEjtUFLo1gY~s?Qm7K#E(ujFe#W;rA(FEmsbQ2Jx?+=0cVQ1d^Uh z_+DbR<$R{VxgcVMa5zU#tn>T0%z%U9wp#P1Y!PhtXFb?2f%~#EPhfYyCbtm$y?M05 zsw?$IvXlp|+2J_2-zeYnEb_k${y)=|u+Vkmly!Ws1b!0cZh(5vVW}TMtaRyn0qMdC1i<<0&Su)yfAX;rT6%Q zR`bpE$ZO?;SP>1L@m@Yn(17eu`XA~Pyo|qcY>&m~2`^{$OUvbCC8WT>Ik@D&o%6P} zhQ37Y(#~)Es(-*y=XUG-$n^A`qpttwd%_iWNTm-Y{@ikyu!6j_`0@X&E*X{IotHsE zn*P}oE?6YuYfOQ|ILP~6TLcsXH%AnStJRaeLx!)WhYQ~P)&6T(UPAr->W*j{8b#liT`ly>l{?UOaCK3asn7N_aR`}?^tP$NA&N2 z^Njbuw%V8kN){ROf0=r!2{posb8xasT2O=ncOmT$piMapiw~;ay5Q|yjHWUZeR779 z{Lc0 zuZjkJkPAlk*7GBht?nsp+{c-uH9zN^=Iw7_ZA(+gmnO%=l{ebSgCn;rvaP{UL1@e1 zm5+m2Fp8tkM?6MchpsW~$Vo!^q3y|GbD!xS&xHs*P~4${6D;IiS4QvXAe`qS$7un4 z{mc?S=*9@0k}rJae+Ti&hLEkv$xvBe-{nZFtaw+k9^w)H)l^Qp$l5pv@e?J-hh?(f z;zWB1FJPGp6fd8)#Cs?~vNjMH3m8z^kZqS@w83EBs>R#wV8R3h8FN&lJ;vp-rq*5th2U{M#VYMVKK@q3E!NuBQ(=tDJ$J=;Ohsf|Z@q zs27ar&U?>{j>#w^EnP)AMW#MTQ=xW>OSQ3glx|hItsp-H%?{PUKom!WfcGi+zj{{H zk+{(rm=8Qp5&7g(biX}|liKL4HE}`}(*k77d=HGHOI5QD%4}IvdN=l@W-I+9qnV>h zStOVCnZo(T;+4xKBKm ?114=#3}UtQL=>)hsQUVL52u%JnAR%J-V4_)TOMns(}B z3R}O@b#Op1g&=-O`wbd>jD7v?wC(xZk~n)^S_m95+Ln58HFTy#iN|C0#>a~=k1{Rj zzsmPj^KDrBhanfHVcNoX6J+aw!aV$!k+wujF#Jj456|4=Y?rUjde(WK-sy( z&1El@4c};NARj!w9QuKse3teDWW{R&`JV~!g^v@RwrFRK21jWucFn1o`>&Op-t-Tu3u#E>Pi4 zHK(61oHgu?BFI&SYS0(0jz^t;jk3Q+n_?iRc`3nj_Ff@McsJ%XAvq<>e)ME)geZ=P z$z+~s_3g^^!!#3WIW_tyLfwaL=@wFTqVN%#*4w3WMqiNd64#>>+EQQe&Ox+&pGZww zVoU;mUltIV8DNSl!yS#MpZh;qYzw?^hiXwSc$8_ zq16(r+{LXI#rM+fmIbO+ZcQLb;is&rHzZi1EMo^)P0B>HpW8%IH?Owb6rb7Y1={G^ zY{*LN{p&EEkQ-ruP&!@h#I27;t+{sfxy;7(ESnD9IuW=u6QNCkei>kxY;yK%fmyQB z%~0kUpV!JkT?gfBPre z$br8U{xMnf-usYl&58fF<;sW*OXFfu8Gk45o)3EJFt^YS!Zb>TR9?_=_m18%6o{5X z?IAhb(u@mANwf6KJQD}uO`L1*vcm7hPf(%7s#^YF4^yri`8Z~hJs7BP(h-XS_CkEx03F%9J>h%T>o{5g;d@*jlGe;YG(mXPdPq#BdcEGUp_9gDfLupFs>9a;C~;TL-YK# z9%Opj7~E`D0rSH3xcq(iS;X`=z7+o0%@c6GWa?=TARYQc?y+B5gHznt^rhIA6;MFQ zc!wz>U*tw_D5b^ua1ok75>bFdKXMRxidt3jYuX72kIgB@|X8GFXNc%Y~06kA3Oop*4b8#;vaXWY=5%VLUW4+NG=8 z=VAEJ3T%ueS^NP>>5v9CEt^q5T>CM zugS-R%7pHaxH)#}W0d>%L5cC>{v_=$ZD90~w@T^(#v|5*Mghwpk7@v8TZQEJt-7`+ zUK0?%=d~vv*5<~ABX;&;s;jpzx@&&H`%>&KUu*>+bhl_Y?fpd4ez#rcaIn#hdSxpc zmmd}t%7yQ|x|YOk=Q!l~O?E?I9T_r)PjOgCLKKyNSuZQ`;?O@1af#dtkC*miC^8pb z(KJ1Aq9fc;Skn?9d9tV7!Ye$cPI%8SW%YcmWI{jO;fd#2d1&H$V$8^M-XcQ$v7g~D zMU~>_W=8#WtollT2i4aEuvsO{UPMI=b7NiD$iCW94yKZI_S#~iKMuj653-^s8Bv)7arG%(w zZD;tW84t40jJ%`2XLCkq??qjUF@%V}ahi*qDcp*BNv}bL_ne=a9M*#MsCI7XI-kDt ztrl?_U~wNMn`y0ZNc*C+JB#l4i|m^8uk&mHoM^Qp+7~t|eZr3GlYZa-Ov=rV@sXB< zezi>R7qY5|U!uU^iMS7tU4U6l?EX73>`UIl2tMMALXA}Z3%rMyRE(T+9AKsRSkPb7prVW5%la_WrM|I>Ow=L^%Bk7iE4NV-uHE>4XS4R32sQpDut+_Q z#+WxM%%8d=DcJ-;c&XwQGsYN&Q0Z|=dDm5E_w!g&`#PpJKy{5X`#?Ajwf$k5O(>J9 zN}@ebt#o4lYDx9Q4Q&%xUX5G0QKaOvMX;hroIg7&<)Dq!waMi#`$)dk`TVd``H;%c z+Ty1eAB?^YPyXMUmLKvuV#4yjfMZg)5zWuh`8RJOndebZ|QcZ zlg?y*t~Ku2#Czh4gNDko_(XA+99C+7+$l)`;r$tHsml+!y+dYsU22`_;}2<~kI}!R z3iS8Pd@{tWN`#EWKbP9>JA$={+|cp*)itOw0i(ZOlA1jVa#1GJ^hYuw7T+_Ael9(f zI!eRdQs!Cc?>(d9rK!%?eZy-^m&GDr89==np@avCwU8`eMHHrZf7IOG@fr0q)7rG=ocH#rOu*DEeFx=R6X zN7H#8E0(QG0%zhTv9}4A)l9`^G-&$Amj)+L?I|7V^cl7Vgf~>!o$>NlxDafMH(j$7 zycE4QlDvVw%ZKNtBKuXpw zLpsSQCj#T*cl|eygc*67q%jsDqzz-%&gc~p9fG0&l~@PsQ@q8_F}b`>f?lyC1Aj+= zD;M?f#DM?=F0d{6C(tN=)nSYma_>oxpk2I)-xf9#fvlLjdd3&x3Rou0z!SgbLca{) zXAtxjMM0Z(M9E&Yi8GMPdpwtVqeXvs^V4*yp1Ejt>6L722Q)&2pPs)JBa05 z7bBxahu1&#IW1cb4B1FMoaKFcDT8O?*i7usslesFqh_C`XHeTPv{@&@#yRxBYhTMx zoOR#$*g^XU)ZJiK)YA8<_XzAnA;bR}?eKnXE}v7uaaFbmWzkqnDCBl{(?df`zrj_r zEaFan9CU^0Dxb++dKqQ1Bv-uqwt1aFb4S2(U>^Ry;9b4FqLNn9ut$JyZCIg})XckI zbj?#>Z}+qecUZZ2)EHHd-t%~{ul=-vpn=j0(PCDDhJ>1aCY~573;Ixzr3 z^IwtZ@92zIGM6}=k-b{R+GgaEwbwtA^6h-wv|deDsd0JyX}s+H=ErPRrOf1t2#UL2 zFge}&XscTX_&8(uPgI%By<=0Y&2d?5NNCWmik`5 z_NTG-+Y?3~dO<7>37?E4Woer5UM(jFJE>3pKN-TC$`p{vz0wy|*S3wXS(A^JNH85d z=!L$TCKu)IeBi|{77d<}x5xNzp=CSe)ANE^`Hr^_nf!=B9L(AFjb%LLqs~yt5|NlI z8@oVjeWcE}CDVu9HQ)A228*5Oj)CoEMnna(a=YM>IG4jtzp7XuYu0V#TNEn7;?KY4 zg)H|=9yB$sZi~nA6^kthmqg7v1H;bc@s(+u>fF4h3-|byPah+bQDq|^a8=Y3mE8E! zJ#6iaQ|2BznDhJoWQWRY&`7kfBE}lV@&zn`Y+1M09~R}4RV19uk+BMiJ?NxZ0bEo+~ql%8uV{m zlEb&9jACEJj_Q#~7mrCPY(LHXh-2@-+jVH@ z#x$8pxmk8;Ik}oZCee1g%{`mihN`;scz4c&L!k#C?YFW)9tRh z`wR*quUwZHp!WGc-ZLlrL5ks?G;;}K?(~WDS#6|In7q|VDphK>PKg#%FKYWObuAX* z>WK~VQ?JepPrc>%q#dU#Q+H*Lh!dkMK2TS-dZ9(ex62QKynnvq;KJ@i6)MHI@0XbF z$kJs`>2SjBly^O?GliboK;BM&(D`J~?+DNA%M`r&wAtimb0odnxJ zl-Ge2Q`Oy#I-vPk+nR|iuoudk7XDwWze{W&B8uUls#voXaWdo;|;( znHKRbEQTXx?^lnJPCswPzDq28D0*d>SVU4JvGl8qYCE5r*P;E=l_BxR0|l|3mE579 z$@E0N;L)Ay(!^0jcn`Svu2s^m8DVEzoL|FZwkO}w2w#|)P-px$Rtg2!j<{8|QZIeI z`Lx*L5H+c=%@P44fRe!zafaTq9}|EvbDy6vneAna>lx6RjgNOX>^50*7-QKB*Ta7BiMO*?O10 ze)rURN$=QH8LGMXOiLsieiM`xxla1d1Q$>*!48BM?U_tjPQ4SI8|}Gg*XimTr=*&T z;qKdGV(=@vFplF$*T7t(obM--?QZ)Dx4oyQ9G9)G&) zOI3XQE;=H3z)@^k6||XpnT@i!5^TW;ksZ>xklw&EmrNOSUWb)y+YEd8L`>gNa&)Lmmj_5aQl6H+mXy|ktzJL_H!g7~ii!mf_JPt6U4R3 zt9stLO7%PhV;Fd+s9x5rOzr=>L@F~{mAKKG=B6bPeEarl6O><-2=-w<+XPv+B#IvZ z5R0iL)udM`Bz0}kt~b-_Hfd*AyZy1m`QfIe63Bf}TFRi1^jrpT^9%82@h08q?a{$= z<0@Yf9=5f8pGNA0H?xl9^Fe9lYsKKW(L21CW(C^300HTqWq!aOXn3S)N_Z|+=Ch`z z5Zc_G&xKZPWI`m1T37Mc$k9qaJ}=f|n$0`)(!EBjN$j)N2+9)Qmf&4GxYc4w`+>~F z8hkIMcZDb~r2XtcWTD>`i_s_G3tqw_$4s7o$t&bd5$>jU3qwdwd=J$p+(^LCjkkNC z*S^!)xf6Qe&Ed(n_bguH=34^+S~2RcRWfK|i-aB;@h2snhXNgAA=h2tTcw+t&s`4qOw;wIA_+E++CdEVzJ?`#+6qY^!MS0BmvOm zumA?~g%T#P&%TIzw}kdw@1|G}R$iV>kuc!~_DU@CM)sOk5;k5{dK==#Zf|T zx_k71o%usN&*3O^Q48#+m1FZ)bTIauOWO0Fe$Bv{+qFc2j{U;ci{R74{@HK|@Ap;} z%ds!FQEr>rs}cx{6Tzgtog_Nq{kl;g+j$48ol@AD*C`2d{q$~$2Qkkm8z3o z7|zszkq6hvKE*o`53_RZ82D@de4P1d;GG1=?lS9|grRDH%iaFUnKvPi&18^-_r-zG zq_F`>W`H{NJmFQ^L*(l~@tjYG=eUgye}eh^wM)*39`cy=NbC;I=JRM>ad;&HNpKI@ zKzTH{6mhZID+U(Xl6e|_9<_*?kg?gS@>RMbt5DRvQ`n_~f(r#~B|#(k>&e;@4aDt~U4XKBc3dNnEh2+we$eoV9LHz~lWdw= zM|J9eAam-18%6j9*o*ahq{e*moF<1()U@s*(YFyj&h+o963Yn=)Skr ztaB*FLOpR<*ESBt^c~~VK?yu+@6Lmym#9=*=T88zWRBK>v})IvLl6{jWUOT^{ASdD z&Dg$vhc6Gz9@0q@b^}E;=V)3&OGFPD60YVhvw}3iYPGXU8ouz`Q^{fa$HjZ{N!+th z<;Hvase_vW`^ycol;#1G4$Vu&@w|`&&Ly>jD9zg=mfMOYnHQ_|)y&X~4Dr64MIzM6 zWXY<+JkoQ!+$29oxj;YdWr*8?^(5xlgvNb~#=N-l&#T3yq`hGS;zQmJAY@V8R#-i6 z3A6X3=eoz9R|4s%8I-~)Fc~7HMd{ZNYQ1sJ209B2CYdz8Thmk2p$uwQY2g$-4>8BC z1))||L;6_lDg7ZeYm|xBbL5nP7Nrt_-F^MOT*I!-)wcDM2(N#hF}6iwC*!z${Wk1K zAI?P_Vcgjye11M4Sp)CKyaO3KO?Snzz~`KE?75!8xh~&)%#1gWVMuqdiO==U%=_%%PZIQVzD#f7W1va(2&)y;@t2w zj=QPJ{j>|J`q{vy82{;j_moouA_ZLL`S+z`>v?|(=C=WDDsb)hzzly}%242qX2AUY z!^WgvDkt`n2x8}5}zk-4gqk~KrMPAp!R zWH=m_gp_rwWi7Dzhc6Px{&}W;U;^`GTa`R~P}l0Vl10;u{wI1p&BO zlI0Q|qCjV=E`U<-#W|ZgAs{XOa+x;|xUogov*5Yh07vQWR!ob`)KNG2)^?S z@5pAKG%wlh3Ua#tK!IDU6AfO=J5du?S}*5M_>LHTIfHTt-T028?1 z(GcnQdA{;qMSxvHa-<^k4=PyyD>SK-dtt0d!2vr;&bG!mnv*YApu^_w=u_Ro-rL@-*oQ4nS}+$IHB9nN^OirBAp}_ zg8?R=*41^PUBS=sSn7Z#qbi5kpy`%hk7zF`zd{PfFB-P2)u}J<)r6z@l^e2Q2pofQX**{nO0Di4sXX{Sph9_ElivU{B1%tgsR|@fE9ueaopzO1RA=PVNT&ghAPRbBMmwyFW42)`-Ib- zy?c!=2d4aPpO#1kYhj?5@S&z-{zFO=z-{45()73lZ1p%iHjP{{F}_xno|oL9Zm;;c zX$s}}GwR+fv2(r=z&vEG8m$62#zk)#Z0Ji<10>;P7+94Q6DImlv4tD4`0<~knul_7 zUGoqe)_MH3&NC}dd%*!a8m79u*FM8Znjk@ojUx=S^GeB^ zdUA088qNq$UVlg%LceQi+&v1969s zz$oMf{kj_ZnfOX$4_Mbg2MFuz2Q3}?%*JL2q4;0N(-@teMFoYnYk|4*1GIcNp@l+3 zfC>gl=9TyFS4&S_YAj44L;&D!Y`#tX0of#!AjD`TR;D=eUjf|yOvRos*VNQ>6k>R7 zUY@ogpemID?Y&NQ&i{Q`N;?_ryKx*CKCRA3w5!xlbb6<6Y9$c35XgS9y$1`CtQTWa zAB?HChw1G;e-2;IM2*f6q`Vx4gj_&X2E~U2c$*g88%wUpQao|D8U;J9O|Jrz6%~hj zCC{ds8ytT6JFj~A+6%7=;L`~%(~lypO%Ps)ah zYNvsJe7}1Im?b?qJf-+m^vmsTxUB(T2;s$?cy=FD};N`D8fofp9k^q@4H3MqCPHPzeZ0%pNQRoy}-djd3Xx(1s#{6 zErZu!&-|049*$Y7c}N~`&VHA49^8LRbS#MNA|bWPv1|tYbfY9lVhH1zxWYLD-_;c+ zJW2~A6KPljlRaCw$M}p8#31tJjFb{s|4u_VlmxI&o#2gm&&(GN6F$Id3KiYvJk|G8 z31}Feu05kp@gE@hq6BCeZz#)qdO&h1VI1H*{#U>$mwYqcepOWVOc(A^8L38WHGzKQ z1+qNQ>Gc7HPmUR!J{kpQ>$7H#s!!dWZmxO8Naboy7_3YK@o$B~WmpizkCmfmRYFd= zTpUp7{UL_-u}{?o3xa0N_JpCK$-K3lx1Ad z#o000`t{NKsz_fgVaNGUa-HFu9Cy-F>nl`o-~>?uk^=eqzVNI^JU(Ar92oGH60tA% zzM5om1=qLQxhX)gKi@44ir4xMd)y-l(ytjwGnq3M`ub2PlGBRkeX~rkx#szo%5P`RP zP3u%sRevz0F(`lUE4X@n-R1`TKE93Xza73xq2K{kqD6(g9p4@5q@v63TW5 zrdS_mJCIh2b%2$D$l9hr44>sQ$NU3?`YRFfl5S%_HHd?&OuP(+a=>4;zJW|V2UUKB zUc@ecI$OJbH@s1g5+`<&=f4vPGddk4kGmLXenGwb>2$CyOma^@Lw8jmP(EHNFLb?x z2=JimR0CWV-^2zyY#QHCnq8#3*SZlImYn%L(ljO>YvqI#s_W)At#>5}c{u_cmA!$h zHIoI)w_OL&z@!TIhQFNG2N%oHf8UA7b!BAUYV3+qLh{ht@ zrt{p(yTD?x19a{y?B=?ngn_!9&jbf7wav*~VP0m71CFI=^-R93D(%g#Z%FpwUG1QX zb=!{X1Nz(Dat=1D+^0o){#FFE>(sb@J$(*X@!ZrqZR(bksGpb8bNYJb`s}Gqe9#^- z-b`lE(Rn;3+U9hUXn@o_GDtPy`Yg}MtPD1|hY8X63k;FztZwdeB!PS&=7|T4LOXqZ-QW;uPrHf z{bVUb6tMB~ib!2{Ao-#FXnO^dhtsgkuI2c!_2&xcClE%0uBF6c#LHfvsOx$p6Y$Po zM)&S$zxjeGwzFONr1zlNQ;GVXB9LnrH+pg>2{#jPgkUPXT#jVIl&bK$|4_9fYB|5w zJnyv0HMq4%&6iiL~OD3X5=WMmZL=)AlA;f!Aj_H+o~BAsLFW~ z^Xx;k=0z+CQ{ycS*UIZ%=i?Mm+)K}`_;%$T zwWTk9Z#2vN9zBM|fB|k>zM~Y|gKzKYG%;e?&B8afum@gyGu-WTpYdI6COkQ0;;8HJ zwbV(EyWv_^XGf#_>H%Z;t1>j59jyaL!d7t*sqVq z4K_Nx(k(iR7@SUSazdFV-Ac}a6VeW_HyW`AL1(Y88lL_D;?!|E?QHmnUp;el0k=yj z2{bi$XnNfiU8c3_mA#qErB@4d>ge{dIrttp6MzI;kg?1FA)x&2tR)WPDozfANQ?6uZckMhp*7?#KYVO%8%|b0CEC z&uNKGyTHQE#qw~h*yHxqY#0+avLL*`eFX3H+C9?BNXdD*>4c z_?okW(abocd{KSK28jgyaK7{pAx!A>&pxl~{FC|iWRSuM^7P|_8U66Yg!R?emz^f7;WV>L|hs`w)>KQa~^zef!W>yT`(nsOb<-(*xpAOxvX? zX_eF9Ok$-2ShsK}884*Hmk%sG0#RK?Z@vI}?04BxrneX{5%`VZ9xi_zgxS*o@<=d! z)!d-Vu_SK&a^4E9HeOW0!0l0kh9jcRlAos{PYgo8KzHI_u{&Cvhl=q|_{OQq>UEK` z0ZJP-}!C~XN8^7z8S~+8aY5ac!xM-z~xC7Jeoqjrh>$7z$H0PBWX^g#g{MK zMvM<-sPFzN3kdpL;;FCbtO~A)d-L^h!=dr@i@v@NK504>#jUIq%!>Ss@QpDW2Bc3S7e0U zGPlQ+<|=>k_vMcu#M!J>aAG;C%cuZA^v90& z9zFe=YbMcsG|y@hPp{?II|-eE;!sAct2f7U^y-3Nq1{h7=&23}^!RBREZ^cHqad2$ zFeJ|P?iPEE4#s?%I$676U?xo-&Wvrae8wc%z0LWyHXuif5173I~S;9Z$FwkWafXN_XK;a^88~?&s^VMijPc> zinoLdwgW#0s@L|TbU4iuH+%bJUELTxWp|&%bns+2&#SrKZp{2bo771E1&H3y=5fh9 z`+pd9qkpOMS0!d-#h}MfZ)bf&2UCS&(%xcog0q-xfEO67D_V^ocuD!YmuGdzzUe`E zy#`LTG&V1Y9Fkc92!2t@OAzP;?5#iiKLIKZ)$v`ji21I##}CG(<#CU{H%J!i_7j3X zDZTjn8ezDvXV-&mdF?&Iy}U!7#!Rt9IQu+}Cy%^#t@?L^j`;(5KND`N4jjJxNw`0D z*hgOeu5k9*8;?J(?~aMCXHxvpzu=ywtt$?g-z-OhP07QDFNl|WYBPDBeXPIi8qbrb z_M*^c_tM*N%tQDBhT_>*#$FHmxQ|&13NI;nI#kXJT{w8*HzppW7$6^k_kPdAzVF06 zd~jMXCf9Wwi%aS{RL9Hf&^Jrgn}goPYGkXG^0z1Bwv;^l;JyaWQ~oo=v*y?2?{4uq z(Qga)(2lq#uKpmLJ!kTG-Y@93>xC2!`#vE1?A{Chc=3l`@L(t@d1@~94H#QTq;SZw z`w_Oj_pU2WkbUuWV@e)+_t(Zf{6_};9&S?|*K>@yc|V22zF!N&I!E{D3dwhQd4P^H zH!y#JOUYAnp%}8`R+m^Ei%W@PEoO}=dDwTnFkCy{Kgjj^4PJg9`H_+*UB%|wSf6ed z7j+UW+HgrTjoIGKsB|RD<k+W1bJS6*mVc_2Q55#yZD1^z7SQxbq}Www5J@L+@>p#r?lR`vATB8(W9t zU?`)^gXrB`+wZj=S}&~Kb-yV2+~ozrJx=!7=ZsT+=+rXb^EukpI(|o;SXoWD)mw1b zXX{sdrMTlwwjC#V4{edB)64H^a-Zgv+DD$}2RTSTggd=Ki#+UOj#(xgvM8Q?_}jG( z)9D2``|V=ndG@KF%2)+MN#U>$c_kpcwAmNqQu1`XA#W5M_Hj@C^I8ir?$GbWy^Tli zRfF^5em@<*K>e{_$NlkBgya7-AdBMpafIx;J?L!1;S2v8;yu9+@43~7Br{VRH8?L`!0sx&-wn7ny+L0o zFNH%Ex%AKKk99-8d3pC=gFfBWyYt~|Z?fv;y)woawwp;ztY|1tTHYQ0a!GyXnT+uo9A zdDYVk_H9vK^Zb3iaA$=+@${;_jJ?xTgQ2A4q4R3_dyDMbEMUES@EIM48=JShe1LuE zvy#%QW94uE@jST;_Z?xrCHo!~eD>s>D4ASaY!>u;`QYusupYPX4|?)0lAJF|_l-fX z=kL)$UzZX;HeV1f%4FcA)4}aexnRdP4`TI`cJ}8{&_440h;iRk7*`^mi zxHq~iV0GRUTU?OCwoJb48E{_QKUl{P>k;?%oXqriy!=M?JzhEOUxMBg4%=Ao-lomu zdG>Li%dV-xrsS!)c#dR!S)1hz4~K59LE3O&P8a6Cv|0apYguDmFMsIC3%@_rhZml2#eR6au-?5< zyc{+rUe1wT*2d%F#XcWqzIgG%`U+kc$6!5wb$)pq48_AeOR_#AUWzgC!hN69#>mUn zl2v(7y?VS{E4?;$+x&fA;N?Z3_IOd87qMOs7h~A>#t~~>)-U6V7oOY4x;mpy#-Np-ZrrGEDd$2g+o=z7pee;m%v)bc@ z`z7P#h0l`r)jfwXB&Uy4zthW z?^0p-Jilok)xMX?Ha_EKdPlYI=dv%pz8uxQ7t1!*+T*g1`;L5%WnBEdP`2@z|8d#( zE7`~QNycR#zo+{z+Du+s|Mtl*T;uhz&p!WYdcE=XQjN*0wH=}jtcT-X7@yZWv;$}Q ztoHJ*;-($z+~B zKpR*O2QPfyu?uJVtZw7wY{}ap=xyWWhr-!&aVSagvR4#)==OMt=Rk0tzw`;mpV`5S z;lNTndDYRuYl;_SeOhDkz4F(Pp1eDRy(N0S;Q4EEtWM1z=>5KQ9xV>f4?KGJ&3GQU zSCltW_92hw#5-$yN4P%n<{9QI{tx9*9oJFx|JlMF*nvadcZ7RH3l95u7QdZvUkNzx zei-+eo*{qV7kEkeD{hN}wHe3M9uD1II54bTUa8Hno_&m;e~EA@y~ul=FyD)Gd;M`Y z?+cRD~`_76mU_BhV zO_qlP!+rUSWgqu-z_6Mr8YhXVDdcsUM*RS^EP?d_hM}}CxG?rds0vPE|$CpYFkem@;v+AAbCed z^IHmseg7cbi*+yCKF96Zw@f%bSM`7ny)V;oego*;9r1UjaNMijxJ4fJ$;E=0-Xq{V z`wkN3OWNRslJZy0<@fjT#qPU%I56DnFNHbsu4$;_Kv;zu3n*C;ong#~=BkkA0^Kx9u41 zJ5u)T)`LIhRpx5?f|BxA&Be*uzT5)`fAE7WN=hEbw-SzPz3l_m8@fH*6~eH7*`)=CedH?Q-aaqj@q&Fkdoi4+7yH1i+oD%y zm)~9GUh5VuIP4>@@%@zD0?xDV`hlEQUT%=Az*{R0-CZ~^_m#Xp{9zxwAd}+phkd5I z4F{$R$MOGFEH94qdi)(K>@C{RNzu9GR=yd$6yFu*oJd{@hu-@L^90q5J5KlFp7`T4 z4Tej})9Jnbe;&BS+78t^8=aJtJnSQ19jmqYM%oAG@q#=)w{EzUJe^)J9)Hne{lYz; z`-Nxm=xyT#JHb#qy{wDbY_9Qe_`x#)%lRo>&{yUJ=2!H3yxbvKmuc(D2jsJ@g+mr4 zrB}^m?)#DIzV_MuA|I;+L7~Xs7p-%C+ zD6b5rIkhUG-r|C|5(~!#{x(_Y3(DHA+&>98eZE8QwVC=-TZ-hFj`G0%m+qG zB}^!^mY!pzm-8{Uw+^w?jb+nL&(yMmFKJdVXH%_lCh*@J|4pvu1kGxV2rQmgth+Kp zu5L6^Q0=x9VFYXe()e)U=QDEE`jM9+u!Bs#R7)vE2{GuzbNFuI47sR_2Wq1LkL(Bx zZ%nxGT#6%pTVZQcEadw)H; zr)(z`X-i8TvOhUFxqsa|;0C5v5;GO5y0=Qh#nji`hJikw^UJl_6v;}|L+L_Utvtr{ zolhB}YLFd`$U4gJdoZzFMoc4#=Vyi0!yn81y@}=f$WogE>+$h(aVZNva_BUkp01Q% z-@y;I0VpHj`=#W?|L{9K#g9ExAeQ^>Nl?jY1Flzx*k0Q=IN4(W|0%?eHqOc#Sd3`OmLt TEKw7q{+YBL3hN&L00960{3Bk5 diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index d3dead2d99cb9e75c390f39b86f6e6e20b5172a7..de841a8d84e1f7238fcba136a7626a14df325e00 100755 GIT binary patch literal 18584 zcmZs?1yCGK)HWI*xI+l;5Hx6TcMa~YA-KD{y9M{)5ZqbZVR3hN*IigH@Av)xt-4jW zX68KIQ!}T}=_5VU(}Vfx)2C`=s1NG=v59`5hPjiorM(>)D?1Yl6DyghtEG(@85=tr z84D*fD;qN#3oARBs=1S!rKvfYlCh~Z87rBpESaXc37LeMrOU^i7*Nn3Y(9Ou7Wp6e zgpBdO_(%GG(F5w-7crYuBGsQJADUvUWETpCF&$~b{+CmX$Gq9Eq?if$z6mO*!Z1C? z-w0SiWJW;&v{6w@?Iax#*Y`?@x*9)byo3a1yldAYxEn(m6ElI~{i>zi@ae3mz2XP~ z5pv4DQG2@Z)aCVXdVG2cd9Yb)wq1MUrU(h&)%wBdUuD0K8LDTZ=S}X< zb1YEjHuraN+*YU&3?rr6LHgqy-W}%Mov2}_vqRXEj9{`aaZ=AcDC>x9?Hp5pzcBlA zK(io9q(?Jl`YH#svk6q7o*0!gH-Ne~K~ZKRRaYjxrOb2X_@+osBL=I{LL9E&5IZ?* zTDyfhP5FtsLq}l7u&0AV`K$Bl(aGZ{U;dRnpv;=aa=*l zW4K9lEBy-mD(NiX7(LRu;suMw978WV3b=pb@>_3T+yVzjKWM?SH@S2#>6dN-v)XWI z=|duoC2sW``2iN?Ef!5qx!Bljin$E2MWLSlqRXIVtzhHEoVuhgFnA7T*1p&+DHo}v zhW>@VyHYQ9;ik|ar*tm}J6CBZ*(!G+`o|aMRwYmU?h%CAKVQ33l+*t*2BTB9f7X?^ z?v4XH1mVzokWzQ!MX^oLDE?OWQyw;()u|n^&SkE-U>K6fJH&lZKd|J9R8<(3P*m=Y zn0diso-M2~=~iH$B4d-NxMQ3SOzq^f_0GQtY=$SXAz9{Xhh=}91-ICmPqvDEF%CaQ z-`=SD?I)luJC9Cp3W>+8ap+u2Q|hKQ!}})D4%=gUpTyo-BBxyk#P=}Kg;JT zgbzt5xM(QPP;2FU<@e;Rnd@_(DHN3H_?=1;o9eT}I@x+{5hSafIVH}cQ_5uBzN_QU zDyVPX_`uICK=vkKMRQWFbXt*AyW26gphe7ly5Pyn#Jz)vBUpYY(6NH?)bPyeL z9c8&Ahwo}R#`eroDEDu$@$ih>u|Dm4nh23tB6IuMZ^jHE+#B_F%joUf*<{5?L6w<8 z&+gV`y4xUJebG?EVjY89aY@xf86Af{hQAV}c6^bzy(JlT^g!j8vbrHRxgHCu{ zw0xq*XxrGV&v!2Un?hT3aZh#5FBcBRtFO)Qt0cew*Kq%zf|1ly&r;!B9eNK?)hOI( z@05KJNbjQ%Y>%1kefak%c=>1WxfmhuupRv;Cu}>k5T^|^B8gQk!TBcQf-#iO_#^#X0Ib}2&K3DEUvQ@=x|t@l@pMFMavq^) zP>Aa@bnEow^iz|kBQH~}%;v+hY_!}_u7+~c)YQfw?VP6rf}A?r z1t*OXv7?LTi^_xCzcw?r;`dzdZbID{{aRmgqQs8IwPe8C!DM+4@SEhld!dC=|tb)FB;y-^38&R&lc`&Md(|9y0;;1E#Z zljp-HbQGB6@7#t1u|GQE|F`k>l%RKWaFYn!e7Su9!MSFn_&>aAXZ!OQp7{LTQ*0T$ zs|BSH=vy9sk1C8O3$nCW3m=UX)Jp=~5Y&*Gcp{G~Xc#z|@OEhA9+>SqzWm%zWh{yv z-y*rKKruagY@6_}0@#r@Z^fR1@M}#}P@DZ`f3a?qECR~Sh*rqoip~_@B^-}87Wts_m| zL4}z@9t=to@#?Jyu7W}nJUE`GR^z3n#r5xk(~!uuwcKjo;d1XYlibKcRCVu9*_t(L z%@+?h?LAX$6jrY7`}GDRT4|z%+m+Y+X?~czHOngZSDQ~WQ%;^Ke9kS$XtN$G&}H@V*P9xqk2g?! z<>~VLwZ?fas&UrI6t$_8n(i4{#~2zi%CG#0ESDkgnUP-M3)h6;NbHiAZ+??mX+yKr z4{UZ4&CAIGYN<3E6kPsLt7)3mQ%kHrbiQXWJrL+0zpA<{ZItQ6pKIsXzF!%rH_57a zqk6Jp^_8Ad^g(5M#5V2NlMa5sZbM<+prql;5sZ_ov#=+PsdMz7ajNp=hm86Cv$Z;s z9`Y#eja%bZU(9Gy;bVTl3mcYyp>~1H-+i_ny__7|YpSt5TF_HH+m|0WO9UwX=4+#g zbZobOHGW)2De(ph4VxJFH7rG#8$_E2XJEOOSpEZVXjcQ5MAec-xiO38 zoe9kNBYDhZ+Jq#0PNKyrhNYP%?nes9GgFln&SlfJYy!vV`W^YY%`CefGZ#hi!8NM( z3}ef!`vI|**^(tD(#OYqbji|UOekn*b#)m*F^pz0LNQp#@(ggdXitC94>FXrPC+!U+BZ60*koaU7L__?$qC_;3V z9D(Kz9uKOy-iH8}5$6F1L0$~0X4z)Me)a?Q$_Bh;I4LE;Cvm*SZ;2Kgzxxv=E$C6h zSkp#c6~3iVOXE4w_WCb0qW@oxzB&TK$Cg7$3Z$158bNdCY_8;C4^3@Mi za~VX_{WE}+ewPzk1SqEa{~*X2|L6#JMz05M+h|@*zWpkL*Z`hETFvnX4R2?Mikqei z&5(JZ|L`^J^yqy2SLY^2au>Ci_?Po7L&yCFpDCf6*CDn4SedOe!Zr6A-z_)Wn~IVr zvh4qR?Q-O={`)FKVNd^X)MObgCNysG{#s9&$6@la6r`~NY)gGhHfFmM8b3mIz}5Er zJ8OhTX~9Kg=(Im42w@yIC%tRX7&S2>B+_^{6*+qaKF$5z^*7LEa$8n>zuCIAfvUbG zJ$n}?a(#R=iF&+%e@o{*H+>-z%5&eJD`MO_@E;?MDVl=h$Ghd-5&hm`h7(yq#;vKJ+&=Me#nC$y>{-=M!rIe`Lr^_W8z7CtDVN)!gA0;)kqQeluHd`rqrb|9MX23xBI*^%(BkK-xt# znCtERH-n-J0gBwWa0p7r%s*gxE`?@*O# zFKrn9uKtzr+wF%x+!shveE5%yIcpBO<5_7Eza72Au28wWw#k8`aq4Swl|PkvZ+PoVML0BKhifQeGFcT>KirsyMwJF zSg{|oR4WcO%);zUQI2Iy*Bem!gQ{#1{D8c7kI3LFbX{~eG}uFrK9M4E#Fg3BOH$hgcPJp<3dqJ%5%p0~wnTn*QWvU~3KChfO~kTYelX zy+8?HZTO#fjplyja$iHLQ`A z^qCTqHKqxV1ek$y<$H$~?q~n&>olAwR*bldqukwKT~pEV8+UOT3_kn*w_a)SO8hfQ zK{SVN?!<-1>D=N!oe9*=g=@6zei`v0!FRYXIEJ)v-uEmEr*6KB$5j%zMX!A#`?$^9 zlK+Z2?u{0Nhh|I97pQRNk$rTBQpwUyX85&9d}e|Bm$!b8%1|Z0Lne-UMtF&+?bV^v zFQ2!=PzER4uY`}z`;#V3s1zSk4TM)MN7d1fPl?4fqVnYpz}t5IUIDUVnn@1GRo(=q z<^UrpG+1#_RB^Vy_S9V{Moa!0h8I^0skLvUtdD~ z>(HjBKfWZ<6N$(x8QkEgvJ&G}#I;=Oz19nLYZ*5sBTsWE7>%ICOaf_>-8@ri_LWWRhFtATH}AO|AqbBF}}#`>(Ar}?a)ni&Yj?mG397uo45!< zyKB$y&t^Ji$wTIyIQXcx=5L@KwafC4oSn=%dN1555+HI<#C<;J4E}@|vxjwJC$+wI zP~)W)P+NR@%0aOpi~54VB5A+U2+89U`zF<%Kyj&{r7nErY=xXpY?A!_6OMy-y;^|8 z(${=u3zdL1usUqxskM^2Fbr-190~3T^fKiTSfjnvS|6&Lz^b&1~8 z)$st{(_ntzpO>8}`S}iS|4@);>_SIr7t(_>VWm4T8RzuqhsIT|7Q_70e;COy@6M$` z$~m2Ex2|4muytE^WCU`1GEq1UH6Y@op=$o|2P?_oyt$+=ITtaIe$Y$7va`e0-Be#w zq+>XxyYL^;dTqNvkvff$d$LBr#zrOd`Q<5&(bK=mPvI|2<@sx_IGG!&rm)Kb<<=}& z39-AEO6te|IKONd$I||GXSfx>|6>hfpL#BtZK3te0USd$)M0y+s(fveTh~5_xIsY< z)xn_|aF(Moef}sDdI-wZpVr%IK;Hf+d|G-shi>h*!0mYu(Cs-_{4JsgWGd`mRdNaE zD9rEIQJyxQ{Ah#kHXYW>%dp*}%vm+vI#j{`_x-l^QPt+Q9?<#+eD6?Q@6Av0C*&V% z6?PnK#ThFbu`W*``7c_=zxj*9ZfRM>8PcIlCMZ9o!9Oqyz0S#0> zzY#mxxd}|VbE4pJLjzYN2{lm)hfgiPOdvIQTJWTSN;C%Od+3}e))TPIp6q|`ttN&& z54v^<6V=`q#dBCLd6FOgliMW+XB^p}i8SN{7zBmu8v7c3j$eRrZO|SD#fMv=E$Oi& zVU=FDzz-~L&Z$U$w#mak8z$PmVpr?NT$UV@n&M&pof(aKVr?XC$S%hD$fbm4?!KtMN=SbcB zMb=FYy{_oK<#bXsy}iVaJ^_?GHm$L>TOa*($j;Sk^FCdwD^B*in(QyU{wpkz%Y_9z zz82td!u5=cqyBR`kN;gXwTLDX6#V2kG#Y_5^bimy$kuNDy*;zFhN0}8n$w-b@}?&L z>k)PA67i!gfRDTCnn08L{uzUohPIpdYn1*!uf035e{KTFH9Xc!>}(9v#A18HjXEOO zaru0~I@t~QS0v}ux!*I9B~?t24EbcYd$GTv)^pMJ96E zEQaff{<~k*+G?K*UQOCAn2DU@3j5vslZO|x^|kOcFtOWV;S+pCb5}9Bj|-K{#_uNd z*qrHsKXrl0nLXi>xbS_p)-?#9*J=bB2R8XZG!@Iy!Q)vy1wJM=BGMK+KO(X!Fv_a; zgpJ}4l(v!ET%(}sdLJ)uzGs&`?*a5!+P+{x*AO35P;IX=sAB4Qn`2#5V)mYUJsvJ( zE!FBcgAUc3utQYo%f6P>2UYYN4B3W!|99@hDqNNrG5zJDQTj>kQHI~WrwEC*)<8<5 z=VrS&(vAPlQKM0mFISjHw+1@y$aqR!0+vYeD4U@li8~jEcPR|VfxpeA25oQabnrqP zu(l+5;$A)ci!hgiE0F7kukqv9{`rdLfenD!9JmVjuj=Y|bj4Do>$rQoJv)2hCX#f^ zM7zRX6aa3P38BTf8l6ODCSMt_X4)nSHbJxeHB0nFI}hEt6_uW4?D}hK|EIO$9}vnV z(f(>?72;ty5A9sMc7d1V9EiQuS)(kB&mxrGqh-y_PE^ily?bOhU1mG?6s;7@J~=#2 zj(4KqjgZ*W981dQ1YN7~&c&gJb>;HVda=YbRpqD^as~@Eg68rUHx) zswyA-e#JN|%&pE%eR})7VP%L#6_^F*coq1$1*=lxvjY8PErY1gayq?Bn^e0-UN2!A zVB9x$x}zxaMk}F}q0-;xkM`a@KqJrX*VparUzLOb6jP81=Ael5fxjB;;mzv|)|-(s z()=MDe>$LpsDD<1$8@;s)twxyv-_k6LBY>hj21!A@bO!yuB+0xx< zfQSWWQwD7LVA0VP?DRQzcf2bVg$xw5Iyycb?#jkrW+*cIFYHS z9JIxLoY!-YpT^A?5bL^5Xz2d1{3*G#3!k^kD{Q|OQ^OWd(Rr!t2>$?9wsQ>$dzDyy zjSHGwmy^lc1+iPYY1*aIBg+__N2k49CH!PkKg#%9;@}tx-hX`_dnS&{KhooN7Kxt3OBbx8&u?4#130oB zZ&ae4y|Fz?RQZrj+v*K?yGz)e*9u_8zu+%r&+a}tVLRJH6tbPlvwSkT`=O2XMd}r`s2U|DSH~#ddO!Q{_!VN5O;~0DjiOo^- zZ}Co5)s63=%U65PTq|6?g@*Si@606JS-ovPmU?$!Ox0}QC>Y-(#8?5BWs^PFwn-4g zT6B`ZfbV1MX8!XZ+oPTibX`f1fz0y&v0?I2nCstj3c;nWi*ot`62S@7ENWLok-Orr z2<`k9&>T;Q?PxZLg6JoW0&O6#${VN9A@IN>^l2W0GnPmfB@Jfdgc26zIWYt?Dfze6 zLPUp{rT=uxYYDwZW2$B<+Wy%ZtQYC?_l=cQFT5%rTK#fuY|r2X*QKvo%5#ZJMw;r3 z777{)GPG>41!`6X-_)HNbSqjkqAJmuf~fux&N;6O3GX=!rXhbz7ZpWTd;EhIa32Pv zJ`4Z-z@|$i!sfFn@H8cgZVT3f9LM^wQ*Gi(K;Wo;>*gu##v5J!Ajp{BI&Qy?HTUsf zdYX^XOiF@q(X$P$O`QCU2p2CM?{Bw{f~slMkZTz2d2ZPK_CCRRxv=g< zOFTj%UV;jr;upv6jg&Rz+}}s0<_maviQ}*D4u0FiTU(x2&DFpSiT9%UTk7yX{bnq^ zirFZCdEJifW0tGgtRw{FSKH&M0#^J9#xg6a3vU0I#`x{_`TprGq0|@1!LFW~^3zkU zPncgz5W`QYOT{*sA-xxHr!j-%gqH|Lwpiu^h?-y_lZ>lN1W&GciKD03i&CCK{owI2%%Y>@WSRQKVQ^w=7P$t)x63+bR80Mohw z=LPKnKl(*z2g0Uy39$4eeyN}9)D|#?yaP8PYQyk;aG^62phbMxAQKWb5oonHwjSv; zV$LEp@I!%C&5X0`OZ14@*Rv2mE?^mlnAs%Gg(OAs%YcE-nk`a#czMi7c9ppk&xLqz z7f*PnTuDsS(&*>DIE_|YoEbXJ_;L{QXoSBmp#Uvxwo3B*nnGsm(u~}htMwPH-QAuXF zA7`)d(v<3KBuGdKX^!Dm7%p?lYMaf+8T2aoKCpOnP@%qz>I#*yl1ht|L8rr+RJp3J zzC5!CP4XzZ*U2?OS11^-KU$ClXtpqhYkq^vGWAdhD%etiWfr9fg{=@ArdLp_l(Q&^ zH^@twa+;*^R0v8$IGIX*ZtpInsU* zs<%4#xp$G3S$w{eP~C%xB5&A{YqttBeq)+9+u&DkZJ#$C+)Q!;gz1>Whza^|JpWD@ zfDEFV&I=+z@nS!QFV@Ph8iKw0-1D)-w3zHKsvF zIQ0FyzcL@QXbIypq;2BANZY7qUMR22OzVf`$iOmRL_ND-McT38X=K9glrDFqYY(?+ zL(-{jM^{o^&9iQd_@uL4Sj~hG2KUOg;e~00YoYbwKz`E)`ByOdP#}Y8Y>{`;>=O z1}J2%OQW0d6X;MapFLv12>W)>S2y{F5^zVuwE<2=d>!%dQ+EF~i$sq=6ZuktLJ+&*c+;qL)Kcxzy;4}jv_?SZ{ zG%6$>y%ZqCdw#S?Im}^riK4XxK@`iwE2zQZ;k|9wonJ)RS!KcSR~`5@y&^=uPwnCw zAfy*vM~BTrLgUUu)wtJj5g^RLdhfjnk-a3*z_!u9j-z)cz#EMV9G;kgs;mTp2JWed zGr?H`8(nli+!X6kZrjO=3>!$orh_V&cGD~RSPt&30SCl;_%}DnGgy&kcU( z%uv$u^(RtMi_9_!#aW?^B4RsZU5=+x@~JZV*FpeY_s}u-nqg2Ja=hA}tDdQgo@pyw zQhs`AAEo(wYGY-0lA)BVb~{tHSmpi?$s=p9KHf%LyS|IQUcC-rvA>SV?f4PLfOx^& z@=Zt5^oQZFtA1lHhIZ`IqjfjaIF5ak`^k#-b34#F3t8}J-SVi4%f$Kl$N?)!au1*8 zkd_F34NrC2PS_^1n(YkB0I;?Lb@PcZWSo%+#xrX`fd1A4W|SI^*;X&8Uhca7UR}@n z5Xyn_H}f%S{&1jE+pCMxrW-%@y>q^A{yXd{ItYInTbL&Wk0g3eeY%Z?HvLM^WF5Ah z@OUF%?{o{fo#FT`zd@whIKL>8q-@;{S)sTFMth2XjHT6V^qp?3nap)JWuQlw6A}3N z(hs50h!8SMP0!5A`%^oo`>Q1ke|P|6c+?-0OgS2bIn6*pWVJKhl;Sp@{cS5JAD+Z6 zy!v^bm0&sdU>N$syMleT=g=FIR=k++h&6w>Hcqe$uIY*NM4b&i1<^oCOpf>1YlH)E zaj?zs_SBo`yl7)wqD=BELXz1K&+llbp41^PDN+1P&eHEc7r=c~S6G8E#b1`=X~)Mo zZ9zUN28e%HygorPrAp;Ap3}+t{7r#=L%OT>B3u5!?(VUhcS)e>A*hj@_`Q+{XLx7g zUEFOWf#)#VlVpcN#l-a?YI66~Hbq~g-71D7{BD^M-7MU_iyAx{}SJL&$Zg~l{Um-if86O1mP=ehq>0C0@C)&M6?Px9pKg$#Qu4~^z4D$aIR zLom>&inwU_cRg%9N#cSr064>jWbRP3M+pbqRZRQIPBFP?V=q;tH(kQ+AA#6sjqy%t zh7R|JKg$evF(|@A;xjbmt-IQe;MgrNauFsb;t$=9lk$M`%Hpv;yKDP;6XEQfqbskc z*a;2qD3>UReczO?XUGpNe`^^0qa`3tIQtIKb5A-ibzgws-q0~75FV=w9-fsUL6GoX zJwG1SSHI6N?8g$@J+dPWr7zksgNR6>`yMyqO=&gqV~Ntm|4> z{mkMm7;_r(U{C(mFfVuyvNi`Sl`91ory5-TVW1uT_m%?yw7okKm>ht9|Hl0&@v4{) z4uIgh*iUDPlt({!eVO%#@})Yq&G!*~`xq<(&|vPB&6mu#qfs++Vb0eQp4fgRz_46Yf%0j#&W)#j9#~zclOx&UPojo@})WB=>$X^03&0W=nCWyol z6BjyNkogB^K5uV@oR;<`JJ(7McLVE-&dZmaGcWJMstn5IhFph}HRULMopaQ~c8=k1 zV@owWSAXuNmK`E|DVQA4IjVRxLzdxp(MB{vH9f^8epyU{>#9jfN83;T32{}q9fRIR z77N;mcR`L@GqWzC2V&u=H6nrzP=?)TT7g-elAU1wlxfM=~oS->X&N! zdKc*@KBnd!!_79dO$-OSevf~X^tylMX(mk`sj8ZJ$Od(#wXY7+@Xft6HczD|Pe#8@ zcpud>`xzb0Aj|qqtk#^1fyg`KyX))MTbY=v7~Sh1s*)?uo?M+SI-S6Z&3T|9pxJ{8 zlbvB&!qpzR`Qk%tduOZtHNJ*^hItm7_foo91}@N-OCv6pJHE^(BSX)1a~^ad=S;PC^7=21E*$s*7mauQ{KCUy6F+w-MBFXUUUW7a?vh$HfyKS~sZ4vPkh9NL zeG4~eR(<=t@_jcN&mu3lNOoP#Ts=Q@U6Px09XcDaYU9mu^>lSDOU|+}=p3r55!2r^ z8)q1~IRIAU$(&{Yzc)C<8n;u#Yv$$90H-i+WEQUYd_sOz-0gyz?yBHZfUADxm)L-V zEWlr0d#T%%$XC?jeg(n5ZU-E-VjBW?Fv(ACW1zw2KVt&%@IzBphF8+f150s-Zi}On z;s!auZ@LCKQ*N7aTQq+cFE>)1rK{$;_015Z`_Q~L22#g(fJ+DJEw(vAXG3D0-8GBa z>6)6GW_PD!Yl*ktbOEDd7t*f&EX!;wZp)iauH7|+bFQ9i9cYl-bL2nYGAb{!M^;9d zH3cjLmJeQS!C45o8Jzy0Czs3?v5mW-(ZfMg^Y^i?kaou}M>$F-4cxV$E_}e072sUY zNsI&1Xm7~}T2W4g#DMJ{R)@A~;V;&ERI%pUYrN1RU2hkzZavDZ!#&W-7xBltiW3dB zOjohi?!uGo{{Y$coZ=ZaV2%y|Y88qGkX+dp`S$=Cz`Fe%sPc}-PTw^Bw)=IW+v-hq z68I{_4q^uj?po%};NSRbKqltx!7ui|&hsk#eID$O#$Poy{B|Jaen%f!;)9ImS-m7D z=UKhqPR737A z2n!V;uDPJ}trhc^ZpcyPyV_j?XfCrIG&%8lQR)9N4LO!q;b-`A;nN1Fup`a$_qkyA zeR+k*xA|LuwlsPC8eUI9FSouAc~%e@eaMs@0O+qe`Jb4#8NS{rATDp4&RQokOM9wu z1|V45V8cM|rDZOqs7oC{`grhmg)%b8>#uf#3;G@vM;q(;5!dfg5#muXeq!B86PRja_3^ zBT`XvaGdv#c06tBE^dalxFu3rtwSo~Pkc9ZU}m3dbF&{Vk_qqWZ2t1eZ(r6fkvhK2 zh_$m<+RDk$(Xhm%6RD)=1Pw#~naSm<{S*pWURg~uKmSy6oJ3{I3{D1~l8B^PdtA(t zA*RTY@N<8eI*JvW5vnpk)vs)PTbH&S{;Ux>HL+U5nwJ7=I-x1A0?v8;iu${@so$i6| zCV@{R*HiS@1~8k8&{x#k`fA(ypEoO!JAZCJeD&Z8a5oNgr}Kcv^$>!&8in0-@AZ}q zd?LP%W4i7}-DHK`Z0X@^?g4-E$bsE_4{(jA3-KB-;X(O!G?f}H}nNIMCUJ3Y7G+IrRqJ2i1^1PM6-ojv{URqWn49xZ|H znj%|v)9zA%E$|*KxE|g?EfykM7t<}?f$lUO=nU5ov`sOjPVb(zl8@QFPHk8czdQ45 z#UrN+R^;Y&&Fu^5%?;R1vEGjAo;Bo7UF1%wz^6!PdxTDFq|U)@-})Zi&znuKo1)i( z>f5M6Pbbj!pE`kGJl?i_n|pLWZHmAGUZMR?Xs`XyHa!B}aXe~iuMH43sbM#xd)L&q zy9hedaXgrz(cgnvmq?C+p2ncBh_0^z%FeCGJspib9T=V1NS)|GTsqsnc%9=&op-(c z?^F}1j))#dUp>-;TmDlN;fLlIkF4H)4vH?goOC@Fm9Vb9-yc!qe>0j8m9mIif-{0x zC;sg=jAAc=qDZd_*O)6SKo*tZ1i|b$ddvES!>x)|wPZ2o$ygIU&Nslpvs&SWR)6!{HKFjF8XhC}Pw}jw-qO5->M{Oi6$6zj-;=S31 zUS%@7RU5|}+Z@14&5iF(ck|};MFknja$HI5D(623cUUR+O6HeK2+tx5iTM=Wmm|OD zBr-K&gNw|(%Jzk@n>f$& zTNA3jtT_=FM_Gq+QTvAxpyGI5iOkzg{6g}Rif>=p^HeL_va;8$ z-&lO|L;1O#l6n)Gwr)G-S}D!AM(7%vC*@G=A2hi)$hGciiz)b?wN1(|U*Pa5oOI{u z&pW{ZDXL%mi+91+?4V5o6<%ZlXRB9s;eJdM*UZk<8N@9EEo94dPFYqr{NfubXnAU{ z4wD1=8y~@I(*a;N&FEu>3Z#}xsr!q%&oIhAEeVyvoz9PsNp&&f2in!GI9LTT{-5M&l#^V)SnVt`!Z0Zj^*d{VryP zsWERUH+WH6q-3`n`=hd1L+oa2=|uug~ENKVb9G8$nJdD z-`D#riI`YRitz#p`~bZF3xA<0t?8{#gH&L9>6UeDs&4|>3YD@{T~amU4+}YFZ#vaBv~unE5JJD&X>c(JfoR|D{z!F2P#vX6=qg zKk|A>rs)FD;qI=qbb>0jkTx=!Ygg?W5@1CrE!^a*B)l<8p`K$P+=Pasq;wHPw17l_ z>ug<}VX@gI3aP#lXFu|C)sB*S3YnnhuFnPb z#oA5_8P?O)FG2HYDQ;;V+qjEm0$b$!59Sf~dvN-49(`D>=QT?X&{V_~44NWCecX-#n+4BpUtdZ6<}=2YdmEECp`DPCM_ztf zDl|^J5Lu^~EI8T>K>(|5zRqk%)EMW4U-3==nrC$ zQFNXObRMDv5Rw4;0&Lm*IY{N}6nmmY z%sSP<6gKKXOH0J2Bvlg2*7HhnfyfPOnWVIDw-yl8C%7sw#}@6O1L+uLnH4DBY!#*u zwxEp+r)Q{5DSi8i-{d6I&`_U#qTqbP7qcO<3k@mNd|iN24% zwE_}P1HVkj4GXPM5;WrZHe{e4z2QxK`{;niZ+ zY4{ML=Q3X#s|ezUje8;Y`Mo{E1~me8Ubsgrm-drUpL2$lg|jgm#(riw$-D@Lr(fM9 z!;phS$NO&*j_{968U-7A2Nl)8*A+%2+{8~8}{T5|_7@_YeO?Z!ro>%OX5Dl5Cz4|7! z|Kv9^={G|9nxOldAOs?Bfl(3aYIAgtPOR6*n*F#{Yp z?n*04pr9zd#CvSe(fwP>cJ0veq`?4>!2pi1$6>gpcWUQQbnC3)g!Nm((hIr$y%=Nz z-7}o@mPu&e-fx6BV7vBj;?+Gv8#2Zv+jg#QV6)i7*InIh5(Nu!FL2BC=44qT&vD zNEgnr$}BavuQk0;@@@R3RkVz^RLDtAHE&r;u~wKiP!YBab}pg*2tc!V!x@oa2COiA zx*Pd4QS?!V!JZ9&L>#yvj96pFXc2OFt~`^8mlW)%?x4BRd?TTbe-J~v79%+d=O@8X z5TDYmrTNrz+FDxx59LUOanM!NJrieMv?m7-4*!aVisll7{=FiDo9}gqBzt++?E=$} zTIz*d@m6d~QGRq&ED+vlr|)y3fH2UU^2UUD*9kzLcPl2i7w)r&{=zJ@&nvX=955dr z2Lz}3^rE+IqQ4Z9-ck#nR(JK*?n?@Lz@*q+C zanD4^Czh$kO5lpmFXBrL|48`ls39&_9sS`6o+_=lVp3daFMi<%h#xdY)y8pBT&P%o zaAB8p9s(XpZB{AzU7BmF;V7oxNHSExAU4f+;#2op!uZEbXZfIGr|u1>D%=^wkYQ%$ zF4>xU22We)6PK%#F8lzT7bD)WJ{0@ErhX%)+MxNts* z3IfmgiAJLLRZy)aW_UB064sd2r-T)oXG9n!2S0>89`yV-k*C%hJr{V>vS3TjTpTK+ z#(QQY2g=VHn<}pxig5>o7SvuW?->X9+nGLv0I!~%`U`ick*{yZpSK4-lVtFM=WqfV z5OMEg(Sy{&o`O1<<8Hb!!LtJ+^~N?Cx${@u^Iho2QDI7}TUQc#2NFI#(a&TUBzgKr z;d)o$KBOOG-Fr{%{*m7Z^J~HujHs&4)LLm)SA-DNpo=*m4Vnh`Qh01{mG3P9048?_ zlRrVJT)lxeWX%Xe*kemYU-k7|c?!+aYGlZ9YDuHwHa0N6 z*!EKrVI=PdUzf&rQVH1JPsE-rw0~mc7$rvf!u)sqrf=ptNuqMv@>VwjE<=VJMQh4! z92Xs>*6QK$kXcFggdM8Np$Su>HB+6EO?VzYq*Ma;Q{RcbLTN`Y2%AIy5_}3(`#t!> zYxY7vNS=i7^m2Qtjo5;Y{NF?Eg$NW3RBz1J{eaF9&WPlT4Iq1|J zv~1$f9>+P>JgFWApAKK3@O2q`wzJi=aPV3vlS-=Wq3K;1*g;E&TWp%1i8AWpb!7FXZ;6k`_cv_VCj)SuE13bPHy;@{yF-O0D|+Oa39S zM{T(><^>tU4cpbh=y!&*u}eoKqd9P^avW z&BOLPQjgvd^QkkGl_0u&XuNt(_qK%Im4pu_g5oeZiPR~W^wwNxUs7nF!EZzps{Coq zG*LgEyC>Qs8`qH&g9K=BuI?0RI6D3@w1LU5?!{`L2kMTBc@N-<@uTeQ6O~T`^Ak zMatqo7YLq8W=!CaIv350ds2ZSO0#T41YH(7DH|7}TSuZjcrbj5x!FSUly7O;D$`=x z4c`H5Ge>@%uV3vW?H?#=6fiD{@6c%wp1j%fK0~XD?w~PS|2_7S2dyXL5f1==!fyZ! zz|;;8lh+K)yGsTG_5lHdu2&SVAHzpZOLkUg#FjTEmp7*WHHF;`1>{)bbu{|90oSn- z_Xd}Fmy>z7R@g)5$*ndC;ECz(f$0uHZ5yxLw`1NVVcs=h-W4R{7xadE+&v9Hyuni; z+ONJe<>ebnKO_w<3t#Ef-d5Dr-B7%+6lf}KC^9X!ukv@4vYt}qZRc&cLWfF1e&uOV z1&HPbb9344(Tr6p2>AAc8q_&Sa?Q%OD8@1v0A=b+aa-ic3sZs=k>X6*^exwC*Y(4~@**!FS@pT-Tk$!po zVe@L)tFWqrYe$ibEo}7fS(won{cGpO^*{UApVUKW4#Um1x=--tL;?f+On*72?u*n( zz<}*mA2TA<=G47-$BAm)mq?gM=PH?OH`!NZ`YBDF5MUmN9Wv$JV(aUH6_!GS3}}>^cg%)pueNd^q7Z)G-y?Rc*rEpaN0E zc{Vh-;pDLYeBXLodnUYW6ndi^^mj4TcoMVddCF4*-Lw(hWl(Kw8YN_Y@t+D88zh~}d_Oz7_Fz@X=lRP4t;q0P0kN$^+~ zSb4Ee?Eia$|7Ak)Ho3)#|2d{;4{oL`{a@f{@2^0`59k}w&9OW&lRmh{Ny?eDj;ivL zHBqI`vruN0Oq)0Pc6=aw$D93-axv~w9N(`Dks(IQ@%ffdPUE>QPIORD`)K>1vJdbc zjs3&dw~F}(KCt~OwfX-800960cmbqWYitxn9G~UcQeNf#tOshPP;5b^^g&^6Z|-i( z?StJtsRn%wb0UuxR(-!CycD_B{MwL zr0SOExZKS;G+5oyzGdCo_SLPib?r|z<1%_`B1{WltTz*mB*bz}6%7qYeLKF|2w&qZ z`5ZHAtHMianSPMYi;ynqi&Qmr%QS@H_AT!G2y??NnYrU=DMRlU=R*aBO@a;iFn6p>jLn>D=HcVdESd z0BtPmgxB@&=Kln%*feOk8>h`Y%k?w^;>-YVYPywyS^s&2t$-iimKpRp26|j&a2;?) z()9F{zXMJ$V2?w8pk@C7{F(p_u^1c>c$P2{!caBg;3PeD#C=*{l*P}{&=9XVYFg+# z!aRr_hS^sx{V@VwOiIC>lo6Ez^<2o7K>z!&OO#boiageFZO@J8HLPZn0DtxRyIt^N z6QPk^LTtt%Np!#h^brw-E!4je>>?Obfl{Ef*?|vOUmdkR-ELcb`IwOJ*l+ z+OBUw)V9#r^%NqN9TQ8DLNaTiwQ-c52C5cA4&CU+PiZ-bLe0&QMSK|au=7C1&a9s* zP==|{P*|)gy**EhDxoG#X2;?8o4c#e;UW}<7Ns>ynNFUV_4Bu9ajGns^6QGy$YS7u zqjTDI7!MWhy^+$yEC3|`%f5s_v_EbT$e+>THn(%0#kG8FEh5xoE4RIkD@Fz@)QqVv z^;9$O%@TG2<~Huy^f|mj%M{cp9A<-|l`=HmYhCkyDb&Q50Wp*`%_Qiejbm@%h%|IU zU>)(YhUO|`$jQ_HdE?|oK*(@&au}IGLZSbZQG_$sgK#B*9`x4Ty#l``X}@4+YauZQ)`%7m7QQl>lhe zxwaz+mKhg`U7R2z%_QuXuTR?n-Vc34d5<-MaM+cx=b-=aN<%A(TLBF<3w#rndvvE4 zHxoIS_n&br20td(A3Kffq(!(zBKw)-2pdl}!lMuq$yeWOGq&K643}skVTvwcv!H+H z;FTW4Nj0OU;FOi6%`XzY^jri(XEid=GlA;h^-s2cF$KP{p`Iz*%=#izmG!HfGM>C$ z^X!@0{h*xG4ULg^NC1tKx7ps!B*(abHk2bk4I^h%R9@UrXsnwVnPkOo2pbL9@~-k1 z&~)-3DPE$?q4+7?-BX8)EAjH+<)jhxv zri;aGs9dhF6+vmz-t#r;5?1|S@g(wex3Lxdkl!Cpdsj{aON*pD^%H5?jM3jb!LBx4u&IfbqmB}bmWhF`Ke z=OfB-fJqlcMgTO^dYxxo}-H;fzXB;)zFh|8~?>296`$RP zcSREp`p!ssaqfubSpIKFhOAw+qjL?iV_p+`yagQRsT}Tgce{fgksq_am;43J#e#I_ zS{M;647o?K7I(eRJyh-LXYVb+$dISE4(FPg%ol%hhpGX>kI<>KYR}VcrG2k*6qD?A z_P2wpaJ@WUZnlSFQFeCjtDT9^NKI8u!{wS0q%uw~>)Q`S2h*MV!S0_Q?s}hTr1;C9 z?t1Gp&ck_HD~9cIi&u%d56LhfJ=(dN(B{)39WyUMKP%nr9}(l L02uxs009605^psz literal 17975 zcmZs?byOV96E=!Na0nXQ-Ge&>4*`OE@Zb)M2Pb&YK!UrwdvIOc-QAakg=Ih9-*@j{ z_ntYYpXxfNrl)JFpEJ`{jRyw@SA_xp*1Er4GHqed>_pAO$H~pfLoMTJ>tIRE z%g0O2Ex^UY%f-vh!$+-Y<>qB;VMVQOW?@gwL#?Syt!rgYEoW)#@n-3G^WW{@;1VSN z2XGj;{}%=2|1SdI&ja55rIgEfO^>2Q8)HfDbqPhE`Zb4Wmy|&-%d7M|gWh~Gu5BM1 z_g@~fw3xoWT-b*8g9NPNjJ6bzyIxw^UM-k=nNM4S4xo0Mg!z;|Uj%k^lvnsNzQ=z# z6@+$aJBwdwI8zu0_;1C=$Hz;A7KGm;kh@1(V}h_Rqt@rP1;k6OxX6M1Hw61!C;_}P zPOJ0sZ4{9YfNcl+gwTk+?RVR-9~QhJU?FB4OT%QBeYT~mJ`Oi^$7biQQaNEIILCFO zaLXpT^i_TYd-Hd}2D0?_t=&bViE2ufsbos&UK)2v;djKG2F;1N6b6>uP)5(TcUkvm z^L(cgu4EM@AZ9>9;TZmxXFf)RSi|!h?%IP;fsvRZt0#^k_E#9IqXl~rtZtciilKvL zkH(QU^%FFSY2iTC%5dmCNX0~R(k5H!H2LeTQa0!CeiP+rN9i%^+O(dzhxpyOtSYkU zp*^%Rxjw-zD__N8F#cN4+T_uq$h?A`%WEK_F)tP)wJH1N@FY~C@#5yxY1}yj?;Djf zC-8pxgLAe-heyiOY%{OUo`=caN7JL9zv`zG|89p3?HacxV&?>(lkOMX;=>B;iPJmF=6KzcV@A=2~xSS(R}=$qq_d*C^OA7TxcfK zLl{$c$~Y|>s7~wbS7%JL#G+ERmPHXesGT!~Yg}@5G7&0! zwKG!Z?x{n7XUjp$1e(K?yYI%Mox$+;cFQsUpT49Ot|B$AnKgF@@%jO#YE?Ldw`G}ZSr`M~STi}ePXzHhdR=eljO%zQ$(7ufA z<>eb{&XoA%b&jBccJxiF-)FdGUGsNi)7hilBynlZXdkAJ5B!AItIp7n7+afoq#iVO zdv#L;dm#y!@6lHAzW;xO5jq#`Gptse-kjK8 ziV#mWHAB&|`}f5DG282NOF0PU&L8$A1M}?9RgtAGhl?1UIcgo8d4BNPQc{z>cX~=L zeOB+<_)?}w`fdCK2aS}-$;ZxvE(pC}r;zI2{_EN1{QIXins9C|85+=Xp?^QsGw$b2 z`JF_&4u^i#TH_b}gvPi+kwNOOQn=No~fT<;PVP|pWNk*srtlOY~&vl%`M%&lpb@3t5@Un@&yD{4b zr6*UWP3P=!pw&OkobEhUp!x{s-?2o|g0oefVqm%Q(s<|S5ZyJ=xO4>)+dj&vP^ynj}L-Pm-Gfs};HbUi2HMv@VF7OJ?620g^bN7eio&i+8kINHsMfsRcQv6$;0x?=w>S`j|2 zNh;*L_rVyItn8ep_i(EcyV&3T31z%hPFopz5RB}sH*;8X8rvIwID6eGckd7hO4FfP zlQ?Mzlc*8s9usIVwDk9QCe2Y5?iNsZPK{HB-M&<<>0X*%ZfIvFzAiw<_i7v@shoYP z&wDnv>Z*-$mhZ-$9YG?24yl_tCl$2xP3FYq@QgNU+PUs5pA_Y6o4>zTmrg{9$yy2IkQf>5j-b~81@q#$XZ!E zMprU~eu`u?FpP1NzRr6l{T^3gj+%<&%n}F@Aio4K);STSi?vT4OdK;`qO~75IW%#4 zFC5@i4)G;?<+pCkzeqg-4koz&)ebN#EVco2Mn60GCZ4lZbh`k9cuzC%ecjAd3pV}L zUadlc8Nx~Rb@^e z5&Q45*(zaXtYIspIbe=jDIY%|ul$Ve8JOmGzKh~ZPgm!52$*$V5L$k1wx@R&tG9IH z(9XIL8M3__lmm8DMoza>Sr}9Wd>vA|@a<8vVh?2Ncm?`l(KZL&b-6ZiYMeP0*fs^? z%>{~62?z2}d3(m0R^#!OSR3|#tw$D(FnkdCYtg!?>zF)Z8I;jjU}V!&0&QhjUA`PI{hE%F_Pj|2yN(u!Qo5m~J!Md8-+VN<>>efr>tF)glHWC}Hi$ zp-n40l&FD8g3~|DBDigz1)KMCbC*){ad5?#;awv*Ur9a)ZZ;~dZexq9t$hnyFO*_6 zvl7g1HOj5qsFRZJ81#q5EM{9=F|p?Y^W=Gd>6R{L|vM1&{i6Mxme3Q zTY0tI*IHw;0oq?YCMIE}?UX2XF4q@YVnpQpQ+iDePKgG+1sS|q6fM)yp>Qo$JdyaW z>qiU$8@?Cr7qroaY*;g+Fi;ER9=Chf0(D8LQ5g9-1AM&vTx<6ZU0!INlS$IhvfQtd|eODbRgHlYJPc9KV`WH-Cl+>$S&L@rD|bD4sVOB}10LXiv` zC$IajLqDtpU0F-(%k-f!wPYTdpV{Wv!!jsgrN55GaYEY9HM95Dh$R>AzEO3o4vRDg zq0MWnJ(C9!*ZYR70`H*ul(6qPz|7abhZGMdlHOJpj*=i*=y&2$70I)wE92L^;_Tn_ z2f`^>KKBWtKO6G;2~8K>aeNy}vOlaydLH$x+hvFT?lYs)Ig-3^cNDE3dgampJF>bD zrrPVY<%)K~Inm zP=CL%63O{)b@7Y4t*V8=^efIdrtS`@pn6zA6Fcc4;B~K!MZYJBLi9;7f+}U1SRpG& zlC&hKLmIZ0*Dv@gEZMzXX5(+WwIq2DgCqBRg#rG)-c2^f{Wr-yvh;?jhnb+&nmZWo zx6_xN_qQ!@dmmtpzyaaym@B-}vbiAC`=H^mxwW^Ugvk2FuLY*nTmCK>bk4iPU}sj-;Lc3$VN$GCjmSwk>XYyo%{^oMVT1LqY9VqM&x#B9!7 zH59Q2HkNV#bo%sPnr?pK^B+O+fJ<{Ls4p50}TNJZ7m=k$0{km5TZ1edt zezg8(IU{koLE2*()c@bhOBpHpUvyT5J~G$veEhofUV*F|GFo~hysn$`<}WxhWp#n0 z`V@wK^0Qlr7;1ALo;L8&S)q0|EQBU9K&4`<>4NQIYxcF|#T4&6u?B<#?Z?BpnZYrD zUf$%iumYtgw$Yjsy4}wiq5m7O=~Zq%W|&w6s^>r_FM)^pVKZ_s=Pr(FJyr1Ua1nrhjl@yDu;Xhr9UN@gUNkrDe$MBeHWR zRC4C_oQx9H5LN+Ic^32LW2mZ=3M2`v%{CPit}4zZ0YlQjS3yDt3JSunZGvq?T2n~^ z73X(xKfHww-ou!KG6?gT^m(sjh_t`!@(`-mHWceQs-&poYs(ZYy7bYWW~aD?fU5Ny zvenU>4MMAwMx#Wq*z1`Nd$Vfd)Hz2L<;g8Ph%t{iq=M)LLtsdRY%T+>gWN9L&a#ct z@=3p91zK9a$A?rcG6)UK2Wr?w_+ps2qnRg{&quWb&aDqx;owA&l>UNWKFwF!H99}m__PHH5i?-ABVVoIOZ@=%44nq zxqn==>ziZZH^9aM(mIw4zMDuUqwMMQ=bVB*OoflXf5oGy-G#K(s~i`;hjMs$#z+D< z8+%M=6%CReVyGrc6m|u?cg09Kt{xI_FO=hLWIapt*CKM9{Eal-p@Fb0NQbq+U5UNC z?8|)w0Q(Qh&B^X>hmeFnFnzI(4-d||{jV2x%RR=f&~f*2iX7LCKYe??f}z8nPACay zYnYTvO{8MgHhci1Ve9MGz{zdbjm$o1*BJ6w9g4%caeYc+FX>@9<7roEVh^JUWC~)p zx31Cj>yNuw2E2XR9st1SFCB}}R06@dcPO0Fgayv_m4bvLan6d21Lj41U%*3=f%KiQ zdPw&-=<0hB3nB%cZK^O%#4P7ZeksWTCVM!nYlV9A-h6wkq~Mwg-;O!Syq+NO8K1?=?BNKZEq9@AJa5LXRx; ze&`fBo-GS)e8aOsS?K&5VpPaNQ~twT*#I>Qkn4MKilGhAsgH#o&S&iy;sdgHQ?2t2AU4*5`YNTo$ z<99|>?f9({9t^dT9`WBF)ugd&Vf$r$e_787U<00cE0LFz=@^q=K0A$#wZijHbWESi z2|@(*o|y*+RKEJrO>qWwuIVa9FxaK1>_PVuL~;AvU$8(Zi;MWnHTr%@cGXlX43i+b z4y6AC7w8CQ@R@?wJ0r<@m2Jo`V}zvy2Y7eO0#ro0j@bA!*%^wZpCR#Qa?-<|1-Ve@ z$kMsh42|ziXYdqgV{pZ+;2S;BhmD}a6*e`HiJ*qeH2Kn51SE3lISmD?m`;b^BxxZz z^tYw$H+e0aV{0ey$60X(l*w@GZ$ic4>oQmzAIK#vE>3q|k$Ku1bf%caQ!SY>B|UbN za~Dc-npx!=Kt+P!!LH7)9r2I7*BZvg$2t3W3H6Rzo7O%}jkojey4?rtV#zq(Ogl

    oaN#%9>M;q&#Ax|oou-} zQOJF4@CfpTx&heq>YJ(dNx=fQvGFU1y;H@pWvjR|9Ih8tQ6= ztQTZ*&&wmY6T=S3J-_{u;4S^>U*_yjSxiXeO{^F~cGd3y))R@UeQ`sk-pSLR3UQLI z1xg9t3Lt=+OpJk|0e;Zoa78C>;5_-#vE;%3s8j z_LldgCuT7rSibWIZ0zXpg)1l|VXvIUmx98+`ymq?NYF`k_}R@{$LiU|pD>u8xMMCh zJAc#ImkYxJn8IcmU6`pzGYR)F{BYL}NSJL7)VDH|^CNQOhX1y5kko}D_Q#z5g&SZr zM~&d>ooz838;u%uvt=AytoEnvACh*~b+k$EYohzo`!Y+&xTjC1=^C_cL zF>W%=z3l>b>FbzKo#|b&`0H>03u$*&u@Ct`r$HCoWZP$5Zs)OB%nQee<`J3%1w=sR zc3diAybYOZ<6d1XpNPjs9tFfUbwR zwg6Rk_pkxUt!p++H?F9iO6%~>_};yL1}Lr>-@2Ta2^CKRD}7a=lIP?pNv*+OoSW5q zd-fI)MkoKC+z`!nNKPVmx~s3#Sdk5ccoW&dW2>63U!D6 zj(lp*IQ?iKcqhCriqnMFl0EubRVKKpg0RV*GSC^|6VK)HO~XAhxkfh%iK0Fs)Ba21 zfTFpC56U3JN>z^JclyVV5*wN?7YoWMqMI{A!>8IHlU6Aucjr!7O+VSz0QA#@qBYX1 zr%(1r)1O7gvuQmD0~|@8%Otd1IxpD(x9f2=ct+C)o>5;E#}sfrasS;DGym}eyh-_` z+u-kV;v@J`Ayh~HP3H z0+AkYHq4PEl<}mbAPp~K+{yac*=r!+qu#|IojF{wE1Wc zTKQHCuh?2}atRj=7aS$`sZQfoP_MR7KZZiRt8q?Ajls4$&ctHGq zETt#SQuc1nNd_XRWP;%60WFi_icjY8iU+TLbOYigh4VD$PAh%BfqG$hf2SvC6g~V( zq@Kn?)><;+5YPe13=}TT?%qUO(s%IEurArmo+!Gnwo)A*oN#O&p(XN4wlA)2+f>;Q zUm7sV#X`yZis@^2;y6p07$~ma+k3hk3JM#$07Z+Ef00!(;{+A& z0lKzbW|I`R@lnR9;bJn4+Y~b|@hyr!!)+(!?>`2G-Rm>PO@ID0vSxA<_Av&R-nWy^ zAScBQ)`d6gy?l!{6XJ~r4UfiMv#8PR_`^|PtV#M6Oxx07=W+N|kkg_V5DAhUW3au~ z3gYUVw}m%cVmU?w8_n6YuCq9_6ay4y5yx1n2Oi!vg00it%2M;ls$zfn343L%vqa72 z`0P=9TJ?Sxw6DXX89#DHVwft=W-TG>2i7y7l`V+**X}+{);xFC)|{bg5^wR@q)WF} zf-KN{z%m9$LH}Wz-wED4^rqC$gk0oL$M0ATL}@=uaMk70c!Xk7u0Vu>aEiURmwqJR z*VoHLVjR=y427q0hEEmRZK&C6Q3i$XfO*^l;UC_?B91@SRT3UFu8oO$Dyq#lQ%DEa zK*DZ5Q`b5@l)X9)ra_b8KOdA%yuC7pcx$fFf{gqf{OGROEFpfo#l2J4W!JeSwtwv| z15cW50_8YQ3427K35<;tkw)|8`oCh%gWd!oml2!%}w@8qxp&h7A5QRDG{z`)nIQ&GZn`xw#DO+7PTAsu9D zH-4@Xxc6Svy)pko8TS0y+U2?ye2}zSU_=g%4v+TKwZqR&nxW>7i|-8PHg--Wv|8fC zG4uCE%7Ows-x?_ndteJjgj=FB5H$X9AMk)ndGXSvRZfld zOh@;!YSs=-{8_dv_lf4cJyGXpc5?BqrJdG2Fi~>)dp%bA{;!)9N&wfRia!O7_=qvZ zVe}$aGa5Fvm=sMp6VnOZ{YnRRUuK6Cl=QIn*5`0X?OUo=R z2gZeZD1RFl{&+a=CXDl(P%FsEyCzbB?e<-=WYHOCWi`}AAF(*L&_wd;)d;w)X~Yl5 zMWJ3{j;tSzO?ym%X%8DU76T|e__}R*ZD{ATyvcg3fE`{ihb$=r1 zaiPJpAq+7SCAEhxOqq<&J?nz0lZljD8HWas1EM#(?_uCCic9WQff5i5UA)waa(i4d zP(;?|UCILaY)t9dfnIl$* zCn14UQ>Egvw>7(R9ltPb>k?mDVhT6K{;EIghm}RA`4l|ls?Twz{4+!wkt|EncoNA_ zT>gcwTL1yRZ5LYU$VX&wI6P#+XDZ~!95%dsPNvy7znuPl_@xl_Xc?tYl|-cygC|!* zshC?WT*a=rHY5-_WzrTEE^1~pnNz3`NhL7^j$c%gt zpV8nCsaw(LrgJv;GC8-w=Nup}Q2_cblpY3A!R9bb4b5$j& z`29**3vP>giRG`x38%JqjML85n;A9@m9qbldt%og*6`#L(YVvaNB%m3+pA*>8G3s8 zz1xLY@W9-7YnZzfx`iY}PdObRMFk)5?szYEErhp=q&`8$OKtjDsz%nB z>a-hs715XJI4>6*a)}Hfo2HP;Xbzv)OI#tn*Uf$Yv5Dx5c5Iwm0|({&&U7p&?I+cP z4dH7H_r~wWp&*vtl0QaVdrj|J>oxuHqT36j`JE7Q8RAQUI^=Cio{*dExWWm-SXqo8 zfnjH4N#`IG0V#6R^PMPe*XVjU?rTs2M8{r$$;|PAl&Hh=?*{TNWlKBAo?!4$fiW0% zI|@NiRge6u>c~j6?50AWpS=O_A(3g=Tm6u*AD#RP=?)=<3t9aAz4EkR=qukbnKbOZ z2g&_hZfNfL+)Az~N&wbzP-wlWz>h$_;fxZP_h3mF}?674z zL*Ylt{)aNkGSvCq(klXPQfK`sPSga8;JuSR0w&LtXa0DoddtVu=b#a)7_nD}&T9FU z(pyhvHO+%H^~=xWDNl@JsO1n*jX+z2!%e6SbA#H?18LJBtj#%FeSkmnjmmYQS%a<1IPS#%ou71cv%aWlzWbrwLUYDq9=W*e?8m%Bt6ikg*J@S|M!Kd2c zia&xfJ%!+4g$dzkZ#=*~)c|E|&%Tnv52zp-Ma)nDk%z=_Q!a*-B;uIs;7t?my=HEV zR1Lg1>OC}fT?5L8Jr&76Jq$WVIyQ*K_7fY zzn-h){?=nDl+(e&se9uS&C9q0^7ggxMA< zN!_KyCKPLqb05_*G@w5m33*VQ3$44U6v! z--Gpg3#rQ#bK!g)jM*=YD5Pn)7m_Us98Em@jYhNL6DRCD5_c+ zP~idXak%-t%GiN+dN|j;$UG3C8kD7&!?4z=xUda6Mmh*oeGvJxomX!_V-~cocBZz$BFc)P(&>>38$bx_flU;wiZGq z)ViUMnG-+M=Q9O zLLN-D{L~bX&p5Tk2-r?Mw{eH(ke}7I&dPrD0T_C>u#P=OZ7ExdxdnacnTKz6rI>>4 zFz-5F3Y5u+9<&emfD+z+ zw~_hl%(k&hdkyjUYZ_cfZ(kbY)$dMh3J6F%dijk*?yTZMHuluN_u+HO`0NXDFN^@2 zz%ad}lT1B3{AD!vIrNtIL22YVn;NJoD^x8*;d%4YhT=hj%C+=_f(LA>^dsj*{qaim zcoaOP_*7|#w)saI)rY$0{V`oGYVa#bN_wjUU7c7&uP9#1QORE77II1pYpWyxZa8)1 zeG9?8<#Z3+LH3Rk`F=y-yZHXZqfF_|8|EBzUtwl2dF<%fFVs3)3JEURjP!u3VQEsz z@xHwct)b8ZLgpNJUlwM?Gb+~?mfIR6@%N}a73wB9W8<3vQd{8`47Uowv#92a`4jF) zOPrfJg*o<#M^+bsLe(XmaG)eyz;$4alzQk+o_HvA8-;23g- z&6tz3;nBY;issITkJ(HIiPFJrzs1WxS*L78@*V)D7ni<@lYJE@N6m3LM(S|gm3@l* z3N^n|DZ7-IcimvfvDh)YZUg4MaTUcKEM<(^evadjKBq;k8u`E{?LaL*V3?*~49Drr zr-N{yIMDwxF4Qw9=XBmH8%fPc7LP9dRPs}xANh0cE6H?Ff1ThhIQSCTSMoSJ*E{rU zyvT#plk{|Yhy+r*=rNa+H{u22am-ka_YbwU+Z&y4K4fWrKkuZ<(%p9>%g)h|0OL1% zLYpCo+86Wj|I5;gmC*Hiy5Tru=(DkT6B1M(tjuLGkDoaNan#VR|E%v}8yQnIGvTl{ z&Ef;Y$#OszTW7yd;ZY2GWrG-XuIY#0hl+v4Yxz%*lP?&U2~|#|thIrw85L2s)|1y@PDeIhYUfeY+inSb2pjVHOi?qCZ3xbM_FE{ z!4FEN(b#zXY3>S90mxU;4q6E1@ug`i24{hmYr_h>-2&&&u{}=X-=A?D$=18+FP=@k z5_S|awO|wj`eub8K6c9cuL%zQ2_IS75ZT<4;dcQ_LlZz|^T%I|nw48z)K^Yw`5pSk zGfvuGn)6%XUU!NQAq!p&3I5QJCeIEU#zy!(fdfw5FN(>h}Bv$XcXcWkbOT-|k(LIVjJo0{bh(z9vqf4zoRr$mHJ z4H;PvJ-c7(7Q+vL00`}gsR46*6UiS>i-Ky-lVL|hfeMKOcY8;EhXG4OAULLC$XZr#DL|vroqv*-MEwe+ z$LaIJ;0rd8Qf&S~B+Jv&^QJPnqT)H&v40du;;$N?^^37XQt5Pg?xNkxD9Il5@cTP3 z(t~r^GuYnP?{nkuC%@0jXt8IV8RtLOEo>`2N85VZrYzO=(<MW(QU3N z?-FvnQr0oL(mg=D*V!H5_2N+@ZkWX7dDk@s6lwce`UlPQ)T8+L zJC@S%J)tus}R>Fx^6Ml8AR zY;!Ga*i%v`+-{5UVclLaKe^^f=in5Q_r-=5953%`7IUJq3zSV=uFV#B-%(R$gh+hQ zV`QtQ@v2nfU){r{Zc5Q22fg&2GTd4(@-LMD1FM2MK|U``@>rSx7yM;@O z$_@rN%W-@*?11Gx#OIJlV}T#t4A>a1?RJo5Ae=a+9K7m-6f z19U%XfuZjbRXlE6zLvY1tv~+Ze--gHN>y0}Zzxj~{00yHN`2O=!~t1#1o|K0$Ac_8 zgZft_eFB=CS0tZI%1d}Tqd_!2-cTw(*x`-muuUf@@A2^N&Y5*Dlv1O6AyYG>qf%ut zW}lKCMmUe9#?(XRiq20%`U8`%*>;lTfzz)ls%xnlj}T>;N%K$v*{nyj1dmV+*!UqO zK0S?;5btRwdBUNH!)%x}%0jb&UjE>2=qJ^l^tJbFrC187^}{~u*F~atc~-0C_jIxj z=#qs`dv*V|+>$NnWix&3Y9!`9B!5-m4QmvzP~782vEv0FF8u?FENZp-~G%Mw&=lsv4p^~&? zDLjQuqK9?O$8P z)Tncl%5RV_BkYrNE#4be+m3W$YMDTBNY=3ZidCi3YiPO~0M{ABa+4O?(mwD^cKupB zV2HK(tkT)g*AEk5T^2{~TuK471TUHH8l!Ih#lOPXp5(ZZz}f7?zjEKkN&(~`UoGQb z8C;tf?rxxOo+)=4_KLO-bdYvhD0lwp}3zLE# zr;<*XZ!S@spYgAFu1)lI#j!UrlslPv*J=iySpd%|07+Em8vHAX>j&l=N;qdQ{uRx& z7spNDyUi(v&HnAK!T~>mP73ADt&kSgpI`z&vP!2-uW0MQGaexF%^2xw8vly(8k_Cr z66xw1{|fs$p7|yaZgX6v^C}b^jeq60J;{F4jj&mv(#aL-ZSXS^R$}#9J|K$M*~59W z_ye5Iu&J~iP&gn8->IqG$=2Hs!(*EWPxt{QX4o9vHa6JJg5M-%*eu!(XdLk41L!F< z@TW=kPNeXhvl@+W_w1ODePTV@9@B@kexfCA>zoj(ohW^*v&S`a9)U|0)|tT@g=3#{ zb!ScHq4j)=@Md(19pk^E=85Cx5O=@1&phk27E!1KRyq&9V-nFLq7 zKWz^-Cl=?pEV8gBQ9d8g+Q>|70^5R9JP*Pq(v@v=IU(}+f^L${**mp_ej+roc5Lwq zgP^hADr(l)u_7;_5vomJ)E-B2^TaBu9NWOmBgt*EF^{k-s|YHg6~ofiI_P`4Ho`Uc z4`yS2k>hjKSgkyw!{JOE)mj<^V53GEw-g#_-HRsM> z?Ia&@oPI~s%%i>9Tq7Z-SSs@2-OTf5MX^NNYe_7bA*L)g;yumTL|9hdpn+9HPh+nR zDZ?)UeFXA1DbIlN^H!nOB^jR7(C;n}-@)q*Gwy$wPG3qA-*V)N4L5Z@FUtfv%_*J% zf`keMx2oo>-h#}Ri!^>JlIEHNA1h^@v>%~~E&MN0wW-{+>uTU>8I0&z`b~EF4vgXza6lVq~z<>i+pwp^F0^FO~|UYi6lz^jtQZ z{TAyej<+Y7k@m(s?MjAzowE*F5-qeqXZ;iTFpCc+Mf)hfwv?9>!0JM(^1K{?bh-1~ z+W-+)aak>rju@F!v+j^mZcD6Nwp4&6ntljhMCB@J|En|JZy?E%`nxRr;V*+28Xk$G z%fL6Hiz?aw(>R;NflX6YJJ2#)IdN3bwuph$T+>0I$h34t4|Jkn%|Nbs;-H+V zwVs3`!pPQEcZ%lsAv?^B;?KXi>t?3&VfS~g1s~3Rt_s3e&>){gz6HcX|+t>{$#T`X!%2x|o?c2Qpzm-6S4+6FhmY@?SpyjEsA7IP9`T<1!? zT9D;PIms=kc)pzElu816j)hFvKMpCHXtFf^YU$N)YjZxxUzozFb-?zkq$LKGsQPzW z7o2KdO#G+y1ayPQ#ZMSlI=G{SQO6izqTu zO%(LGyR9tz>QdtWyg_+C>=0RVOBdyk?F_2KGivyD)tj8G+VfvBc^WwN^6jnX8-MGs zg=bW+N=&G01ga)vip|-hhUxvKTo|%-)rgB8h%Hr|D9sYj0K3vLapG(2+52kI7Z3YT z5&pDwwV-8v&@AxvQ+`v)4+h%J>t%g73ef5-mIpn|mr`buE(~R8IQ}JC7-DG>r)Tp> z>B}w+=3`(jo!eE{K(tZTI`*?eq`~N&FFg?&6s3P0r(Dm8Cl|D-`-@+__XpVyqlJun zJ-pm8Nhn9io;+7Rsm(fD&KowxmT{vk`r>5frIYG7l5I zcd!|yuB4cM%ADA&J772CJY>V!U0UXi`FwhwS?5f6L2p zI>hW!icTn_X5D_F<~Me}$5n_)NvaT6z7=S3!jbLXX)X|Zau$@ycq%5#^M?}Q>isiV zu~jJSN$!3CIl_4%yYJ-Z^=%?kG!SEPQtC~M@~RtH#*Q=@BuLS6vj*-3GY<#iMA1#O zk)Cpo8i0JxK6rKk(|Jn=>=z6QE!t_y4*o}wh(7k^aWSo-7{M7QAnA@4}Q)zXA+0WofRSU?8o9A*ON@y!wwp&k+9 z`)#LpBsw^pE+)dJ;+OgeC#Jn2K?G(vO~2U)8nvHm6t*|J0dGBER8e7Ty7%js&NkVY zH1_+cG6u5+75Fk!7yo3US2|qImlq4@$mTxzz)L2PW>!6lkK-aqc9D^EKjv-2?KakCcuF(a zgr_>7$zGrRgSP>~(>7)a3l2_PQk-&C+LpUea=(nyn>1mYD;yi6ZXrstE{>f}X z_}UZk7w6M}gw_+ddEQ|%d~l77t&6(S!-B+(hI(gXSI$iC3U%O8tPsbSm|e(ZFgI~9 z;U$8xA8LjcNF-Ev(8rA=Nl!j|8}o+Zj&Da4c!NBTPSkOnOfRh+xvFf4TfOEwL`jd_T$x$*!@ zYq&o6mk-4_#P7(PeMmfyF5MEGGJbb?pW8qd64ekIrSb!<5{C+n_30a~tL^ecR_nbtx?{dUYJwK zFdGd@uPUY=|9pxL0$i@?;T|h)o>;U{Q5NQ;8GvwobF<`y(a9_p1SHLWNf@c(HwU&E z<#rhnQRk{oOk#jB^Nm<8j}>Al>Ks0Sc9kAmUujHmb?U2hf39-|(BS4xg1qp4U(j)j ze){GW+uJFGaF>KO@dfX9R`5?!*^W=UUU35#L_gOkgkBcn3e)qm`xhmX9-${clEnKH zyZ$A1^(O|v?a1tR-TRWlImE-r+a+-$5jDEJ=R0aGM*r;$LK{#IG4H{im^c$v`a+`r zrYI93yEqqSW(*2pG+a2co0;uNJ)^;B1hZ>jKfS_rsmJ|W3yq5Dk2fNY@MpnnlfZ1F z!EEEfY*UM=|vwSA6RE*WOJUu?~;6 z#Vmd{&(HQn%rBLhYfcn?Pr2jv9IIq$hw`1_I)+Unv6QwhSVI$sW$oAPCQohvTzLX` z{KvO5BF@v_e6_izjp--dKl*OkJt2v?RkCk~@D4ChCNP60u`TF7PB@;Y70?mxmjEx6moe(mZ2>H3O1Eu@$kr(oxc)!NHV@)rBCN zAGIoP3-C-lP*0nn9)Lu7_0xXnuz4B{#)G|D&Z#c+!bK1l%{jQlo9OKP@i z&9N>Hl}<$78Kt+8uNy>)d1kfxB6r`r$=`MnYv))2KXK-s`Aa>%#B&Kw7}tI$G6^KT zq$2I3)4T$-Be{6(RBrAB;@Ut+T03@0E-4zhR53aAe;5`I10QluC9oR{=u#eFXtWY| zpI&TmT?ui6e{YaPtbcfm4aI=aD@?XFQOqYLl3O@xkD_tEr~7ZXH|lo9qOb=Xq40YW z<>2X83Ct&1lG{%tw;!oNGeJW&pPWLkTaXa3c9%ley*`c7>-$23|6rmmbt{9T;*Y}N z)(Yjx)!xYsdj+C>UTZ8sHJ|mx4XleVDO}C*UZW}T4a zL~0ke%f7> z-~9p?FUaH4F}oG@o-Q>D>2DSi;Mn+BSX)RK!Ce^%MY44#^E0EMX9QWEP9&e^K+3tj zSer+ZVGgY?t8)m(Z6&q2?DW_Q3oT5baKULnzQQw}EN|6~6Ibkrm_nO%N=4hl%9OR? zG&Q=VkhOT zVjW~ZFAdt~DY}+Kq5s$p;~LZwXkpa#Xu(OAHIP)=C_5?f`x>>E^od{tRWU$!^GYKh zasn?UGx=Ji5N8Lfp`91QjO{l)m?)51{!nvJ-cjVzdr@KZ*OX0;LFDuim`_%9aFFU>%VNN%6F_eG>0do5R_ zwnjP$Q&qg{z=O5LT=4iG`UF3HbHe=7<58*(+quj)l<05Y@^b)ru9>+xzTBa+}J% zUtuq>+hJYqtibvsp?1P-U6!j^sI!F)oZ&i4Ca zr~Ya~a9Ykh&s3nUtB_ayQzn2F9b_vxwx9PHOI1WP*3To4(7%r`FRME#Dnk|;g%KJB zhVN5|4n=(Yz|fP_VLl@RJAl$|Wnur&jEoh2}ilF#KS_99H zGa+N~ms6MdI z!Bh1tGuXlxct15|JJ^x`48K9Y0W=-k{+{rei0UW9d0R+}F8G14>}H?)i$OwMywf^G zicc(ZgSU)h_Cb2N$mT6EIaqn3pkKhPmM0zRe0+kB>VVcB6=q% z&fk6`mrJ|{K=8SqC>C4h6#i6`Vywlj`du+$KGMVP?|wMMQWhj3OqB!iFfOgE<9WJ) z#F)*tV-5Eu-vtt8y;p@nGc*E~gvr!`K0ld?XSD>Byo-V^rM@~H!*UweS9%vgiG4Ud zBzfJhZPF|Fm|iB$rZ*q9!$4QVUptn?2pqPHvpM#doOoXae`H1T?)3tqa%6f?Bm#c{ z*Hcdi)L2NSuqOHz(|hSuk1P^*VI&4(i;hzPCS->Q zaYtQXq{|k26I&S00!(Cq_@7eO09K9cst|=q^ipz}#CfqJ6w6kBcbMm$an({Wfin{^ zuwt~Z#L4imb# z1ijAzgrpYl?M))yekXW%2fhnS2)!G%6$2NPVV~MkU62S3!_`CzNyu1K7y&B|Y+U`V zBw#^rhvQ)|0DPgYp#y<7i3B2n!C2LLO%t^ax*R%f5ixp0LqmtAmvvf(e&K)+D>6Dg za!6caZWyiuH)Dtl=1s)AG{)nYs3hh-BxHQ^-f_eTRD6DbGy|gqN$)WX%4gHOhQMK# z#5X4n^X|Ue0+z8+;vt6N{t4Q6APo3K7^fw6$mBV`R8@JxG;3FH!pGNrR%WtzFgn(} z$Z@vVTp`@b3|BwQsjhxG#tzi6vGmM2ey%z!g_AshZ8 zJvd=ezbfpr%?!H?jTy0j>6~$ih#u1oP50go$9WiOX0zDr+3Z&FRb(2=U7O-=nD5Ll9gD-PcNiA|%*K>R?mb0)@3?clrn;&%0M~tJv zeu=n&kE@;vsaQ#)AFFzFb{!H;45xtwb_P-Nm+Km4890?SnYp_2v_dnI*-hDw7kCiX zc6D|Qr$~9*xFS$UW+U=8X8EZV)#9`X}?D|PMbeKc~ z%A%|Ej6Cq7oO;p((L#T{+g^Ddi=YfIN*Nht3P)nb&)=Sds5le_eMPAgRWy5aR;x+# zk-%00>*KL8yP)h&ig$8rb{vK8g3s-1qTU+XDb}pKQ zVlT-y?%wn{eIn0v=~I{%1vHApQ}lkLW8N=aEeUiWK~OXeVm|$~Xyd3`n30Z->*ynX z)-pV0q?kdHDgV5E>Joiry17lr%&?#kKV`U>PI7xqQyp?hCWB==W;b976FQ0OCpQpq z0uA`a)XkXgbc2py=-(X$hhV~8N}4rTEXoMg|KvUdHwr8&BXa_*|`Fiyqc=Ua{d zEGsTAcOl@;GL5lczBPF#>QBao>mEIVad;JrCG`I2YHc&rEu{g=!q`OZ9^v$3cD#w? z!84j2KcVLM8LX2YQ8TP^P)V-t;ACSwlo-e9^38T@3#Oz>`GvHHBum6-dcS|*>J8we znfb0z*}S5LmziEhE)Tw;EY^ALTHRLNbKFrdO&Z`MtX#(!K`g*`K1kAopn1W6G89{6mQZWB^@O%!FBQ= z>ApmnCCW%#)PAFyKI}K_pF2}Yl`z<+iANKKgu2qUejw1&3Ej|sDx-QS0Qf239oAm? z_!xAT{V%tMK_H*ax230xKWxO*C>nt(RFv{O4vrc0t_p52KeW|MgyW>&sB@^?v4h4< zlA!lJ%;?3+^K+qtta#6$11~@-Gb2QQLP$QOJLgx3dMHA5cH!ZRU5J<-A?gVsbB~T2 z!%E7%W<(fhBQbG18>2%teKL|++0)p67&zF0B{J~Y@fr&>1tiz&>&GoVu%nSEICrp*uy z4n>Y?CAnc>QY>Xmdqwp^{EE|9=1~@CzMH1@Sr4wLNmgdq=gHu9tJ6hA_4Im72Ej=B zG1b)@%5wjPq`C1`?A*Er?5JyIk2TVj*4I?J*WK?9W}g12{vP^w2`(Day<1`BSz*aN zx?6GI`#d1Zh`VOy-a=%CJiSdi*X%^Dt#ddugAhT6PU2O2o@*)UeU+=&+=b8mc3>6O z%j4yCdng&M$gTUTrU9DQQ#IT2RKp5O8RBKV$D!N7bnkI+`WA=#-e)q`@T;HgK75QU zgO5x%>3jML&yNm?;BbZI;sOnq-FklR!KejbNjJ1o%8g=d^PlWd6g5yreq*H5O#BZ3 G0RR8Ac?gpL diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index f411e2a..965736d 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,18 +1,19 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618161736158 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618161736158 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 11 13:22:15 2021 " "Processing started: Sun Apr 11 13:22:15 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618161736158 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618161736158 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618161736158 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618161737908 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(79) " "Verilog HDL warning at GR8RAM.v(79): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 79 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618161738205 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(256) " "Verilog HDL warning at GR8RAM.v(256): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 256 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618161738205 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618161738205 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618161738205 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618161738314 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(20) " "Verilog HDL assignment warning at GR8RAM.v(20): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 20 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618161738314 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(103) " "Verilog HDL assignment warning at GR8RAM.v(103): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 103 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618161738314 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(111) " "Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 111 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618161738314 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(118) " "Verilog HDL assignment warning at GR8RAM.v(118): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 118 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618161738314 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(307) " "Verilog HDL assignment warning at GR8RAM.v(307): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 307 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618161738330 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618161740127 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618161740877 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "309 " "Implemented 309 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "26 " "Implemented 26 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618161740986 ""} { "Info" "ICUT_CUT_TM_OPINS" "26 " "Implemented 26 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618161740986 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618161740986 ""} { "Info" "ICUT_CUT_TM_LCELLS" "240 " "Implemented 240 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618161740986 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618161740986 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618161741470 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618161741799 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 11 13:22:21 2021 " "Processing ended: Sun Apr 11 13:22:21 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618161741799 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618161741799 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618161741799 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618161741799 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618731919693 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731919693 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:45:19 2021 " "Processing started: Sun Apr 18 03:45:19 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731919693 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618731919693 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618731919693 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618731919933 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618731919973 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(273) " "Verilog HDL warning at GR8RAM.v(273): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 273 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618731919973 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618731919983 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618731919983 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618731920003 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731920003 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731920003 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731920003 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731920003 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(324) " "Verilog HDL assignment warning at GR8RAM.v(324): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 324 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731920013 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618731920343 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618731920483 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618731920733 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "322 " "Implemented 322 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618731920743 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618731920743 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618731920743 ""} { "Info" "ICUT_CUT_TM_LCELLS" "242 " "Implemented 242 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618731920743 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618731920743 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618731920773 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "421 " "Peak virtual memory: 421 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731920783 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:45:20 2021 " "Processing ended: Sun Apr 18 03:45:20 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731920783 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731920783 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731920783 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618731920783 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index bc998e683a784861eddad61b03584ec1c8043766..90b3b8b326d10b2fe170385f2670a14d8b1f6400 100755 GIT binary patch delta 1149 zcmV-@1cLk43El~iTYt#|00000006K700000007{iWh95ocrLIq-4 zaRABzad?-uiL^;(CZS4uVjDG8QMX#M;p+nO&Uz*Wk3I57%5Z@{iNCG4svqI!@$BvZ zEk`aelI3Uneg58i_FP_GUcSQE>c7!wD*;)v<_E(YZQSZ$IDe1c!s;cYUPS3%A@wP? zCW^_{3QIR>-YR#_13mY3%}hI#U(xS@=yW}Yo-qEc1`ZH7l&iW@IU>ZK;Uy!%r!*%h*wO~W9>x2Ul;i3DSG5sH?0xXxufml9m?+9 z$#(NJJD`bl+^IliQ&E_}_E zi=2=X3Rdd4459F!8^f)YEm^<#kr$2vf4TX(Ig~XyrhS0{;Ma(VLO!<-(&KqF4XjgHBq(e)$ zmGoEyY*7cy!xJNZ3D^tkj97T5jol-fNzP!<@Ddf$W1I{^T!+>$#cq}@wK zTOXkt&a^{wL?^lI(A`|BPeZ$eHzsm5LT%2z$A1O8^}+h=LKx?v=}$br2c97R)yb3= zQWrl(`4P4T-<#hfK<|5#Hz6?hMS9ewOcdtv{bpM)NP|8@{0X zv438pV0ea3(L_NNJ!W-(tGtWt2d77;lWAo87}J?B5~LHvZ(LiX=( zSWhS4!uzQ1dZ+G9*}8(${4kHKSJ$kYbi9qdqYyH_4>4j&|DLw+ztFPefZ}EwckKsK z3=%*LG8U@F#WBP&-qpv|*VPYUDl3BmP*v=Xhp#{;!W;r|KyXNqr=L5D7Lbt~V1sK; zmU5H>IdF$Sv_Oq#{d&U6gLo_2`EvT zC<%Ov$M0;7=!K`4hIRA6{~+Wyw=988GrqG_z`x0LF><`{X4X- zf;3f3Hda`=MvF$d6CTzJUscRBef{4FMrzrqp|JH840si=Yl!DqLt2l z#g&Va&=U%$RB;d{?D-qG?JndkfN1x~(98^F! zS@@;Rji`e#O-sXhIDE9meTLK`HJlDRcsH6oVR#wwW_2w^&X$rB=Jo`(v3ZWrh?2*` zod8;hRevJJIzkN0QL|1yu1f^o24*!3)*clSiz4Ym8&elCw^T9Z3zj=?faS7T#}Sea zD=jJMun5?q4w#20Mm!DJ3+s$ndZ&%uC7MglaG>D@Dx||W>4kV6TI*=xOEuS1-N;hP##tH-e~syZ zNBek7ckj|9+gS=nKXPMHNl|2sDV^+&cT>9M1;EcH8I7;+rP*HF`inWGwD^I3;!C<6 z>wh&WhG*yqO%zPgeO7h1$|oS-Ir{!+I*VdgFdgf9NAgoH>9%shHUA(U)DKxDWcU7t z{cQR(ypQI-YR!EpTNlC2z6&AYyM*y}$}WI*eLrvqgD)^5|J}5O|An5Jw=&m0!DHG3 zGFvpJZ)p2(00030|9Ao7W?*FCU|?W~GLzK<7+r=7K+-NIP?HfzO9C-SQE;fQuVYYT ze2Alyk88ZYlb5SAL<=iW0UN^}AlaLn@EfE>2&%>1G1T2P7^;?$oxuPoZnkmPejvpl zfvU#EF~l+6)yLJ>)em7RD}w-&PXris3_L)x=444Zkb*k|q6KO^BPYWdpj;T6r7uX0 zAP|H6hwLv#7KQ^rVLnI4$sqs0l=!&1JNm%9!v$7y^xHE>pbD@!w#UDNjHyzoDGio9_0ZlgBu7@#0UTY0RR6NDBcmNRgYrkBe(iyuXu|t206^Gs6y`{G^*ld4Lq$K!_qn00000|NqkACD#A| diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index ccb05854508ddd539f91ef61036f8f313ad8b443..ee4aba85db4bd1e6c262639a6c6b8fb87fdb395a 100755 GIT binary patch literal 15057 zcmZ8|1yCJ9(;%+F-5zei-Q6WXaCdiim*5uMAMOyGpb738?BVVX4~OIXzpJ~ttF4~i z>6z~89@**b?ZtqAfT%@={4Cu*FY!;TY2oT-&mY z#?Hdd%Em#aX5s2-V`f37Vq#`T#zv+lPo`yIN+xA)xq^kc--F5j*JPLn4$+$fviB1Y} z(dqkAW2>^k_(|OFbsXe5Mr}yy(T9)eEIzBLT#o&&hYf7k1FvZT zxBMp&i+mr;sa#*L%Z+Y7yjH?F@@3q%gSHdOL{S^s3JV^2KEhS89UeM*9> z$@0hiP;Nybq7IT&cD%i#*vU#t6KMjO^+$z!`^5?}!p<KCIz2D9zDp7-qSh^3K%Gz7=5UiLF81!#w~oPUd{Jln#WDzyNUl*OsNw^>5#0|N zgHD70ilz=&{t&zZ5!B~>7kDgW_3!WC%VE|I0uQ+@#n?e7cZfT~Pl;C7-uw;0hkn`g zu4AnG|M@HaOE?6yw|Y9%da{z5_2Yy_w;Vd=nh1JEmLu4*tTo<0jSNVI-GvBt|497B zww^GK*wy?ez$H3Fk}3}_%3GGaZZ^;g-6JP8$z(}%hfPQrfS)`v3>V}ZqzO3B{{E7d zS_}xG(JebNp_7fJY%M>7o-mHC&>5QtsG1``TDMdsgD5XFhs7|;o4uqAm zoF1v(C~1^KW&&Y)tDw-Qy4E0Mc0_Zn+vt_IYeyaoHJ$B$16AWtNQNhfWUYg*K-?030= z!HBWmXo<3+-k^*TtO)qrp!-vxwTaBR8;Q}xF3}PXtwO=j)9CNr@qN&N!)|yq(m)b&xnvnOunNiGIWI=2?usB1PzRF#JU>eQ?&l$-IGy)wgz)4RoJpOrqI{>pka zJ$?Td1p|k%7f8&93dLdG*vL|98e-3mp*mv*e5{Q}_oG;1hxREpO$wR3aV4!6{HuZ|iB-p`l#ER^LFJn@SEU-212=ZNurrWQJlQYod@tGW69dFV0U^Hh)IkL! z7HW@A|Mu+X&c9JNq+T>InJVY>y1jQVT^M-?QBN)8&*a{Ir}u+dHa#`08GjnW@biaF zqMst=cWA(~!{~J}>NqSN2OnMkbwG||zA&30AMF^iH=;rq^snfcpi%p!bEn~<8Pvz6 zribV2&pNE@OF}8K+41a@zx&!Zh!;Ip>CmaLss1lZxZkD1nfQ9yTmDmBTV?dYwLC+f z611Ns2l(iwo0`$gBgY0OyX@eeJ2Nq2h{Ezyc7YZKCy^4`}g*^!#v71Ll zgDvBLFbY5LeG%#JjFPC*_9dKnKe-rf{-o1EwfjsJyGJUgGelp>lBhSxj3VY7cEG^1 zQ<&zPLNskbyC*|eHKa=1&L8<`eJ~0bHO|>YLAoCuGH#$A+%K>$L3#fT%a)IL;2xN1 zHx70vUAEqyv7uDpHz8ymlq_c<)YpxPtg}u?@Y+Ih_SlI@w)Cq>jkwz!(eBjAwpsaU z=|xWys^^hsF;pxTQ}C=s*`1<~@LdE0`I*-kulc09b6PRlCfc|xO2k%Un!Ol9UkK8^ zgL80kcS&<#ag{*N$jbgLuL0$8<&oaeH(Bnvw?u|YODX-qhvvQP z(+3mCrLKhb1FwOWKhvcmF4%2${bjY!j|tFZZ}D@c`a65`g!&-5L8^mf@;r+lyeJhU z@HThZ4zV6%n^8Vf!xH4Tl8{=ovqH@sDMtX^bvbvm+6$_VNKG%yb%|<$=!?Py$l2QW zG{_ev$u*UgC@g)3aexki{rOp8?8j57rXM@EUi->X==V2C#!SeCiz8>)Iwe#sa|VJQ z!Lei}vcL~}V#JYy0v#k`Okj0zbyx!wvH(8A)`@Y=|5WON8&tRAQ4?ThG;U=7Sh)I` zc>WqVBvNJ*C+T$EFJ1i_YG*QoKxc{^#e9Ae{s^ylUKBPuU)u2Rd-E)qN{aD#(K*}3 z%bgWcOB4&q-Gg0}pFi_YpAwv`3_RA+iFvTQJFAV;TC25%r4B~qIYqJ4%F;Dr*aotH zw}LEPXQ(Uz^s@n)0dqbL+^NI5d3IU3?50T;Luqv3P>g5s+Nje6k1C7b9=0?y;n|;& zgQK5~W4s=UoWuOKM9V{Z$m1`KEBv)JS$!GBl#`AAeHpbsNdO!kbC9)#8hJX)N5D3{ zcu$!z5W{$$;MEc5_V#Zny(S1|&1|C90>2BX7SQq9lG?x0%fj4Fd2D40{;zXh+Lol} zzma*c3j43|=Fm9ndrbNl-8=fVz5x{a?LNZe_l{StU=~nqlj&7qE%0SdodwKrHy!I1 znEwvdeYL`!!N^pPQ5Vx{W2{c-HmEj;{#MDKUK*vIMWHb>*nBcDj5rlnANEH4BCq%) zD0{9V?cfi3rKqq-!gZka)004J{t>hH-dW5SSvYlB>baWsaXsQyDX-RMd!Sy8Vxc79 zx=mDUI!nhD$#PU>#?8_Pw%Y>x&Jzf%j|=TV#lFkAT8p4hQ$FIWL78m-Pgf3G{ z`mbOCRNWtqJ(uq2>j;h@y;1cyYj9`rKCZGE=2p~}cfbX5E_gdYozzkW_^ zGyI{J!Sn8mtcS?ZLKpTg1m0c2Cayo-m2MZ!_2VI(HK+hTNfA(f*0^+ZLjHwh{*Oq% z%2Rwkbbf2ZGyAdN#pC(S;1r&l1*0+0DR{?B!Csvh`iUORsrhG~(+f{LV>`9=&gAFA zE+Q7;i}X@$2ruD|x5+58Z2+bQy{stPrPhQx&fC=2@}7jRa>QEC z;GQ{;!#{C|L~`I7fqL66zDhEM#j`KQ?4I62BI6Q}B=4L_f@#ka29c&c^mlqA5$0>o zzVCP^>i%hJOr+soMEkAKSW?TF*$l_^$F7T+Es9tn=X)AD*mWy@9Q1UXkoID04%%{2 zU>?%l1`ab+Z1->s_Ev6VYAg^K9t*>-4OuL^7LR<5PD+4nT<+cDTKfQDMb!D0+k>r3 zXeJVTw2?YAf#y|)P0DRr;vJVc)AMuZT+Jfnp7)lKenYqoH)?pUv&8$wT%Qb3j!Hea zYIO;9&3jM(**5vvR+*#F6(~PdH<;>_XliK5Uc_s!lw_i5DxYLx*;Wdy%;!s?NW0IS z^S=KJ81mJ_0STAgQ6k-M`G&4@bXX&{df7lO0}Nq}{VMQ+&-?2jhz|r-L_1HBs8-8| zpne6c*M+S_oMHQk;uQ8jtBj(aN=CFk?2x)xhy13vcGp-ZY$NHi{w+_x;V6)Jjx>FN zq5Sv*A;Y-Sd>s?fQ(%y_{~`ry^kRgYs*`dR;{OG`5CY}v`9*SriW!rDPssa(?oU{+ zm;ncNt!gJ-6TH-Ra~I6`J^ux|yn|bEYEOMgm@bdtO!(kFr#jG%p2JtZaGa~5KK1Nf z^(X|L)9I0Gm0XrT+es_j*hg5h<`9!!MXuiM+Z-X0O+lNB5WpAldmlcw3ws;3uOa=N zKVCMNW0vc*Ew^(jLSvQ*w4%KxCx90|a#$IEC9gb_gnsaEyj@5Gos-oYBN*>4J=NN! zio%+c9w)Db!W!W#PCvC?gY)^otwOjPvJeLD>-Dz3xdj6i%|9tHbx0qM@IU+rQq9Wi(?mQbWsX6!bQixZBQ&TLiX(37GgHDn)k1}1ALmQA?v6^DIPA|$Pm8xt&lok zF_x9Kw1S`kzfL&Tb;>iFb)R}3C|6qqb57ld%+s?n8%C`>gUdn=Q7x1v@n0$sI?Uc; zrlink#z%DAOC^b(SjsyZYnt%Kv$^?8gcv-mG!s=KmpIIPh~Q#I6D6JE(VxQj(>D9% zcCHaePt?u7NNhPyvY4k`#L$Y3qJ>w{i^em$(S6ZO)WqFb*bNQj_n1HajT7|IHb@xA z+B-AMHyr3|?pq-qjz#7T3|L1eVwotAZp#R|6RoKmhvmp`AI$)L#7)CcwJ?d?Ykn>R z=`~HmXaLbDClb*fp1>0)C4nm)@5^lqpTmJF7&l%_y{d;tnm}u7bRtGU0@!Y`6vFLs z(7A(M8{G7*wi7(8nyuW7d(Cq>Hm|jOF*yT|!!j=}_jLIcnHqlBdEr&Dj=fycc3cg3 zXwn{yH=8Vdft$J3q3LT+ZQm=Q7C|5E_?`xfw6CS-g<#H3$U{(@<@ zV*{9}`g8ow+eszSZE&PicUfhNa>=`w!W=cOP(cDn9Ov&qi9OD7gkHl-E?-CLfMO!2 zBoTIC5^;fgat&i3?b-Gcu1zvka(3|xx>P))v9nWP#8GLW3rN@wKGeWQL=)DX^LLr# z9w93lOgjK7nKIs_h?4&mXQYlawQ)m8FYeYDet{`8zDCJM|8?YjeVm8Rd5&(xj|8d4 z`6pzZxu}FnFtD6;0GC`S(1Q&h(2IuuC2@1}OGxa-9uI-|AByHdfqe3#<=7pMC1dYb z8f%1H4&FMU2vI4brjOb;{7u7@b6cv|I6754)o?la8^&uVrKa`r= z89U=VJ6h;deSQM%!?Dpn{CG-mi@zOJwS~*ur9D^+j7?yR&0Xw->%O}t9ycr_NX0Df zl%IWQ)?f8Cecz9SPbUpbz_^`%@w()_0A@cD7U7e_iVWmt&QSu;X^4=~F|KjsxNOtB zGn;o=Tc9VB)qr-5tv_ze5~43snp8mH?E{CJG@gSj)i;Y)!pHfKX*3asc<~mL-EZr= zLhI{cnIrR5J`}1ISuB3HLmkYq9&JE)G?_X}v8m+3TGjSl4C;R-V?6|oD+=sVhs8ke zs@D)MGxfLMLHD%742JL%lZ_&OGXt6l8!}tGr5vlC!II;G*Ahqmxn(JC$(8e#mqB3} zv`p+$U70pFN}eC){f<-1+WSkkn~^ug+EHriLsz6BJny+bjPrj=99F{q*ugH=?6krB z@ea`?I5&cAkkDr?q8#O zhb+jw289MYV(*(cuw+N=uw77Iu25ETW~|3 zfH~e=%@JF+OR}btCPpX?>UkBnsv`xtyUd5JPqRjJQSkU(-md%VnJ*`*d zoyKx8?5uUG>KX?{9(P})qyc$FmyEMsbl-HyH9OGpr)9g4Gymz!vSaELXWVMh6mo`K zznCc2>}AvA{JBR>sTk!IHlwq{v@wd;F$J(!VOK)kj$Y~)M#JNIQQ~oh5<(jC$L6Co1_<*7 z^488a3Hogd4!pIQtpD+ee>xwr`S43>LMb`U$>xw#ySFa~ zc^9U8bXkn}ZiMd$Cz7lk*7{8O;^TEb)uSZ*8G3#&DfqB=Kpq=GnvWC{Qr;Qe1v!R? z(n7d(z-v+M25kMt?>H*2r~DA+UMRoIu{FbBv57-I5G1fr+Fbs{pVw1lWSDTSZ{KF} zkv8J9s#%&?=!|m|NBx}e@pX^Jz9YABKSOk?yfh9ns~#>sY&|_NDyGplN(7PU_R`dk z!F(+u{&q+Dj5Pk7o7b4b)l^;a=H83_f>~I8ohcFW897saxq3T4tnn*=D z{65$?(X;0ttVmUtt*%SWhBunacB^Rr0S_^RVHVSL!qc!BpUb^Xug&JPhDd0|#RbP# z&KiJ3gu-5N=Y3FbXLT8a6~o5D4M`lck#+jq z_;<~h2wE2IGzuM+-`={X5qhmVVjH~cm~ArWnop1ikO|Sy)Yt7Lm`k`-`uqP4999Tk z1wTM6X>b8<9S3SV3oMsh<#waDpA@;1tBlqrmq3a1dmc-+iP`3`OC#e=1YwL`I^%9r zI|I7;kfkjr-xc!9snH^2{0pL0@6t@h)MBSp*$p3J74oaUdLvaUT_)C(xQ{5h%qjl; z>QTtr=5;>Q#65LxI1{wk)gnZ+2)>)hTWSh4+LlJK-)`Yw;;Haq=6S@Vtwnfzn!<3jv+=HFXqW)E0W$JyJu49o&d0H<58tYyA1PA~ zGP-)~jcUh_Cl+5GV^0GbcB;xHwR#7Ir%pYt8@@5;_4sC-9ar5xyyUE`e+tKZ1H3r8 z)fw4X5nDM?3x4X4v8o)*gD!`o64GNjzLe>*h| z=#t2EDsXtGE~uVb_)!ViToImlS=CZT&G_abFo;!)0sLwc*zK2iA5`vgOF2%sw&bZL z(s82y{;A8!a%9)#XsxDzI~HcBwnL&>Q(B(PukrG+p^`!|sJ1v6V zDiUzm;BB7+ktIny=+RtMRsD)-WLIHYM2P0f80ABrC-JpcJrDy>kwCJOsT&_D#0J>$ zaBiso9F`@&eT$*fEmPqPMXkJQ6y_eKQ~Sfe$Jze2tL3ZFCQV^PJJJG)PxoJr4gyo_ zi#1-9^Y{QetwD)x5XCzk?ExBjSHZYgX+|+-J6itI0$=M^GyFZDnf)eixnKUZ{PmdX z^*ZTMS?*a*r}ILcHe40(#2p^*F;@@_N^hGVD*1%-`rwq`ymUXoNTJzVNN?eEkk8+tnThd->Q&(v*9H_gO3|YX(`pro^*szIp?floxwn1cJQ%d;ht;t~3|{g8E)A zrSieOuDYO0qJnL(Tg#rV2==scrGFsX$l%9xJ{V7={5V#`=!I6VptYXkrOYb8$}NV0Uod5b@kgp_8>(s z$=77L$B4k{1*NHY9}vM(L+at@?(fzo>COZX)~tCjF1|cBRu!x@gf{?&U)qpfXlth* zm|kcN6z=zTDD*COS?WY)UU=@E8iHu_E^nRqg*UTe(R3vPU&F4}mMshfX$O|bo-V%v zFh!Jg)kq=sN-)1ySrgu1!nmZZj~4ZW=ORpE;1?)*hI^B$%j_`<8OhF=R|F}gAxt(@ zpgmDV>Fd4z*hioK>B$<~>gfj)>nPE4!940XLRZ+>Lbm@J4(H!D5%B-lAq zE22Qjdsc7Zw&`IPB9G#E`;&tXsE2|28h)T%76AnPTyEf8Mumzf{YmK%+vsj2MisC2 z;|WpCq{Aqdq>zG7EK0`FL__?lEn*W|^E2Rez(){zB8X?@OoL3Q%#{!!toDAc_S8WH*M+k{^~yrf!GT=Ry zd`-rZKTqZqGp9y>FSdbCKhSoquH`8C&8L7B+R}nZQNGVxuktrEQ`Y?T^?QLgS8qRQ zNSa=*r|Ik$>w#|YbVBcBeEaFxDvA&^#U5ItP5CwJs-WMho^#7xNRa zZabR*EpavB#uK$OguKLr_#ruOvRSVK1D1f3kmu52+(gYeUqFmAJytXMUqBBipcK)U zDlt6>(9#!>|BNH6^{wbjhgc3Wi02Ck!g`a_`VAN`4!jQm8^P7$H9HYC17jYJmAg^fDWwIA5b72xbt&hp2N&Li}fLXb6arh3a}fonTN31O>EVA z`4tspKeGW1l0n(Pxaye)*215Yz&TJ_J8@V~L!aA*al?T$;A&w(X>bn2%?w{aNHfC5 zGfMF1Z*b>dX5J@(LPX6Egw2P+V8^gl+~zjI=5N666`&Alvq>13ZAKae#06yHuqK79 zwV0`ca##ZzAcBy>JYoO$0R0g26R}<*YAz1}TZDntXA;;dR6=Cy32-rRh>;xn+nYj{MI#LM(3(Vx3&1@ipT*JU( zGeciM3qTUH8An)$FtHcB8E0?VgoxKAJVit?Vy3+CerhU{(b_cE>dN_W)NkWCihb8K z{N--P0=Rm`;1%%t*k*Q>5iVoE7 z?81`<rSqR2y|F9p;CvC-GXbTfgrVG`YmZ;RE7@3(o3h3112OV#dCms0@@<)v zZ{95=M`91*w*p5XZLiA*O5iN-BUzS+u;Dvv{rkBSMSsxwYEYP}zUvZ-pJ`!=hopHO ziSwt!WU5`)^gt%ocBjgmo80*0I4b9x- zjJU~T=zw_)9yx^wnrK{|B267znL9iGWKHgWW7$Z_8=*i2{`<&YBS|YYSXHXPedW0} z9C2@R`M2-)sVYu)Xnrn~B6X6S90LSQzcSvx^gC5zgcUAyoPRI)C69eQaeP=mv-8A)6CQ9RU-)flRks*2Oj+UK$v7o;z}4* z)Nww^1mFQ#r^OzZJ3-LS(r!*b?T$WcQgTV8`MOFw|IJk*~B1sb3x) zs%i^$>SIDLN(0Wfb2X(m^9ieN~245dW%$soL-}Nj@z+cjk9lx(|&>kDRtK zI=4Njs;e)-7KPcNBB0Pi)-8t${~Lj>1E+;`%WP`lg0$KXO``uxAfHq*8J1@vleNwv z`4T$&x1?l<13`9FS6O~Yifj*k1q_+&K#H&e4oUjo==>}CTpeZ^^8^<0h1+~QI9U?NoukE33*DspaWI%wQF2fwB*Ia+M|l3no&mu553=mdI- zYs%x3*CR)4StgJYIw62&2AjlmSorXPOIDW3&Es&i5D|aMiPj|t zq7eg(8_5DzIALb_W94_{j+!Uvs-cLJ@0Zv7=O;$!6xD44Fbf) z=1DBI%P7-~4?6T-`c%XY9$x%RLR8j z>4fB>Y5;+YuzXdt*7_43x}^unPbPHb*U>d(r-$%5y=2x`jLx3TjdmeTE4AAZmh?3Od>mMs#I&R)PK zdBU&?D$k^+AywT_LT+b?VzN|;@0SD9*@tkMRH-ufA%HoI*#==l3F79#Bjl+W3D+5xYplry_83i!L;f|IbR1`R z6;Ft)1-L0+NxW~M@y(*~A)cw}v4`1a&qG2O9xmGNUn%r8@a1S2 zrjQ;L6`n@(sU*E&7hPlOI~?%O@BEAQq}h;9=P(n5L#ZlyzB-67>97eK8b-~>OMgMM zzvoX05gEqIzere#=7o|F&uE9bG@JvLJ8*RNhB{0P91#j`UsvoD^0j;>p6ak{^MLp+BupE1b4oIb0HAkZAZWBTvxqP^?vc!nm;7)Pnh2|^cVa`3$uw; zLju>C-bQ3_@2OSY;Qi#>y|B;>7`Xc02QpLrVNu&KSo=I8>*nr)$LwE$oBcHZmzudb zVM!+Pq?WwN@LYrv{Xua{FhmVL+=-pBx@~n5C%(?Jc%jDX5KxNqBo2H6*akSSp#d1F zeJkRQr0Hj474^Mn({{aF{_x4%IrV*8u6cydZKuXDBf`>)(w*A;5I!NPCsEH2;77RGIZrd)fi z{VNwZ(rRFe{t1Slb*GzYYotRK#50wfc<8{bjn|W4_;vBgM<%vqH-UEVuYWA8JW4z0 zq;pwJ`a0_TXxWd~)zX9)W?%d3vTg6|)Avp+0z>+6AAV`c0!3;7)?weqaSbsV;TAFP z)S5;PzGAX6q+<)a*ObUXVIM>e*^cb;UgQtODf9qzA&7$2rtMFQ4opSYs=F0}>Do{O zlGW|^5AAnTk()X6kl z2d`m0)*A&q|7p9$ki7nu!the-7EWZZ;4SHM?_AzUyf2{n^pf6KiR`fiZ%xmRK0HRO zAtThYZ{^m_61EhaZNd}NUguxbB~zdD-WZGIydz;JFmRp5hxI}Ri$qR76oatT1-ivO zA^-NzQIym~9B()pFT0v%&Jh;F*oixHK)G;)gq?r{tB1m%s7byMzq__Ua=v^heQh#o z6C7aK6VoI=aXk(Txnim##SHebhB(-U%#?vK1`q`Ms6!mgLS{;YAVwGVL%Br4?<61$ zkRTGj>nFQopAfWyWq0)60OX1H5(!`lz+ogYGy*NfY30%`q_NxC@C+@K3ljR1oy`4g z-G1@8Mf~4`B1^N1>UI6IOy17dAposILnqk0H%aX+Dd=;y)5}Vx3;#f8-BCo#IsvV! z8g5Nlc3gYO3$7)E4bM?7q9T7nbBaVL^m#*ZDk4*4L%HiYtL7@?j8| z07fk}eAY%hQ#aWhdKA93%54+Z6dfT~j}~=WBd2fT8)W3`U^`zY=smHBXy}U5KRow^}ft=o;kHlWBOFC90#wZJcpQps!X_ z)|m7$!Lea@@vlRre|Yo51aKu2gSAiX64~-%^zsk+UskV%WwJC&)Ki5ET_ z_-TFcR{mN7Xb-=T*F%LHsFE7$7%fa?0?%LJ8OvYNIq_I#N3wRhe`TT`9iNZSwB#+( z`90S_KP1oWxtNKRV1rA`5I-gw@u559HTk^bWlhBQYM|SV%_ZffYg++>Mbb7BW?`^J za(qPS03jYj?~U}@)kS;Y2B%FUcZhBYGwc$LN?A?QQvF{$xx>MFTibiNYyVWiMrO$H zhs#Ij{+;cH2=B+zb$rrcCN(?3Za8Pp?LObmkQ!D4$dm15&^^ZW%)rQvi9Wjlm$k^h z0Q95KgqaefV=4cU*!Hp5M^IzTBSvu_d>|T!N zlA%7I)58QSPxA)r2UyzJja)m7d|g0WL5x~`>HJI}u|S{V%!j+~ZZ{Q@ANj&{4H&l__B;eet4~^(k#-|%#7Y9jNV3$-o}Rh zAh_j?0iD5c9?vVFvP`ozK=$X`rQ)=Wz#)urK56h@D`Ua1V?mZ3|7h9G^rbI2gSD8m z8Ge}hUGM@%j!{5a3 zs0{~VyQ1*?Ffx2G>glB=ZDQXm@spu!>zSmjhv%0dw{z|`RT!n3_TojcNsc+CoW9}~ z5xiK&qNCj4itHiP173?C#m%^cNLxjlX@Vx8X0u;ikR{db5JmP6UU62 zj%Eq(Jdh>rW_TFUYsEINB^;r`PxrTnVuqYOYwQkQ(dk-kz{KBtEv4SDdaFJl2k_QK z((spd!08YZ(6dVs5q6P1PLVxZkv&3@J*?n% zqC2_XB;_aa0bxv0LyX#Ult}!Xr?_2m$?8%6Luq{U@GF zH{P`cnPA8Rj>ukh;KJO|xJWzN-ZC|f&I5SNNab}pxx+Fc^Ot59PZ7VQthtiM_?S>*B z6()}np!l~I95Lx~>HwbZ@Ap6cW}IWS#of`b0c*w8g+y$kRtX{Ac2o%g6~+;rRKrv7 zv$B4{8=NY&6;iO5^(7Q}s$NmyMqE(>DLgY>AIZRiQEI|URcpn@)OyEvFpu;pawNI0_c5XWa|s(i9mpYTYXrte?AX(ko|YBSpDg_s zG;D#*p;GS$$0J10#p$Cvf>POl72?!pkLPLYIi5X;^O!_QA!w!BZ#a2GXUI7bfOl9l z@mAc-pCB8tD~B?U4-oKHHztsICCMdF&k8e4Td~y$Y}P#3li(LOg%=V1d&Bf_xpB>^ zGZb4i8%<}F@EOG{7S0}vcTmsySq+YLji66?*{kp^P$VfI``UK>eB$8G&A)%_(Gx&I zq?%vT%`Q#3hq3#8|FJD!peGJr+-lcp+VSJJ9ZxUsui^U(Wd7c64u_Xqo2$1-&Zl-& zQUj)k>y>=tpk5-u#~!&7*PP9b;$u~OM#XS|{}!!w!4Ar#5!1bCVb*L2!<}hRUfIi6 zXPr!Th^B>Nem)n z+_qjruLJH~F5l?y-5HBzMGmVd_-zgaPV5D7x^IQ^nK!S08b#U1b;1m%6rux6-Gw*y z!Pm#$`H`{&scH^sXh>$khXZkFM)q0v!x!t1^7ii^pTG2ce0W2aXxZ6gYhd@N8Gatu zJ|d@~F-+L>8uS4w`~rSnUSm^XSvn=JS5@kCnb-*E3ZWF_vaB?r;{P5IXmqoI(JZNw zh%|Ib=+MG}){kOEaHJIj1EgivcexyHR{VNEYDL$}v$fmx&j7}n!4&SV!nlTSz4k9q zhBa`~+-cYZj!2FND9KZmSu~TsB2Fs}H%bRjYV&fIYR~;kgc|DH6gV7<%V~yeFlz1( z!x`v%#FxA!pc{KIdL!5ZFlb#k7`&A8U8VGzmebXq__ zl$V<==_*htV@{ox`pbek$C?-+L+B^}-_sMG7Lh(?ZfLmtvN@AJaJZv*Zr0Yf^8$MUh_ZENXnp>``zPo}E<`v}HeRwTd&jc&%HuUD z3ZdBO2YNF(fXHy4XxD|Uj$;Mel*9@-u*Z4(VfM;Y6=`8I(}iAT+IJD^)`^luMN^KR zqUMyZPeGb+%1R9)=;>s2{mL}OB*{R0BTBh4KSsS3Ng6}pkH2{!B^)6`SO^g#Q8$gI zGm6#Ve{M{OyFCxPaa&2jP0FU{oIH>M9IgvNUZ2T60~51#cuB8`ILl{W<fd#P!ip#xpc(I7)G6mDQJiOi}->*hC8bQ!jN%${5Z@-=-cu27LkXA zfec7C>|Y$q0#~Q&v3g)i*&+;CFbG0Zuu(I3|zThatl^a+*BH)R*}yL1$m?{A=J zUD1&!=T%nk(9Gdr%`pV4S{pk-NL~WOvVDBmKd$Mp!6`kiuQ=Yy5g|03?a6yeknW7v zuho9bB4TQC zR7p%$Sm0YNt24$>oMUnMZ;EDp+v;Qa;ZI=-DCbet!6DhO%yEinhIn!e3vliqS${CE z%xvFg)hf!Gqij<~dN`TAem$WBvXp6z0$SGB;uKU%el?<_Hd)hGhdKgf+@WZcH?W0hIp9@%6y}#oFletz~2+E`Qse(RjRbyg+(>RG)6G zNL}qU_3U^saB%PG`zmw@v{eC&u-wnxoyGfp45oOMU|b!&d)Bo#D}0-F@J{4q@tFnd zjPoo&ocy+Pv=1$+(ya(?p3(NGEm2CB~vmF9NTV!zmAE~O;X0mB0NgQ%!(&`HmSVr@XBKS5wgkktTS;7XADDMuFo`a z1mjF9^K=;v`3l*hNPgSMFcrHcE~WE*z;=3USDnW^e89#XnNIliAI|H~1-=-%ZLuzA zwCH~VybZz~S^|j-a~nNHkeYHSJ?HyqUgXk4SnH7bb^N-TjJw~j38jed7c>rM!c=iO zreUeEf5(-1HkTDyEg?LLm(t&m$T$>tlA2Z+SylYVKU%)3*B2veRBIdvP&^*`CQFD2 zEtgC`dq2th>G0yQ0o7zA4?cN)Unc@oq zMP)}9AI6)SHMO1A`B`6wOhkM;t=?Z3akcFek7`=R*wMt5P33ptslib9TircV-OQUf zaVE#7To{ec=ie_eZ0zO_+`xLBTHeZQ}6)vda9s;7I->FMe7 z$C=afn{HGP5Rh7U(0{GVzf0^N*917bSUK2}u(C6;FtL(|xmnqok+89|k+5(vv$8R> zv9Pj}r~#batxN$V%0EqQNLWeK>J9v74g@5V?SB|Z9rFKj3f}+a zo}d?gupI`HX;-o$k`e%Qlpy#qGw$7ZT!QE6Uh456{!kZtG}GZs*{E;`vBAG0WNqMb zi|f|?&+GN?es9~W*FH1G{DNtsqLP+!7i-P<(ulj2JXqYv+|bg%s3j)lYNAwUgm`dA0no2% z6k#Iy1ir>5=TRiWfWMaKFQSsdo(M0(`0UrdXf zOJ9(q%v1eHbHZ~r^vkk*JC#PDDmK$}r z3{K~|BH@2$cUcQ*DO{ko?)-hu-tuvGq|!ov3u;~eEZAD*rCTegnvT3CAjEg|E!YQF zI|a`jZCX^L$ zTFoc)m6(B0uGeYpM(2HFH3LT#1kaM#kG!mWLt)h>6XK`N;h#;h1F}+U{OKyNgbCa8ETUFsXU!V_y~0aVW-3`#8G6-Likm-gID<`_ycjUIaIr z)dxn^HuazH*zX9^7hJEWO^^P0_UKmB?02_g?__0nnOU@d|Dl9`@%5)r)8e*kfJx8u zq9*UQtKgugVBpZVG54-1=Qcc2{)m()YYV!uLH~$bkj<})CJ8AE+3VsCErF?Zt9!8( z!{|4=nD2~oXs#e$)|?K-=+h)!*gL*9iIHXTSW< zVyqarpC2^-256(rz=w}AKg$$+hp~&%YC>}LE2F!&w+v>*wYyn4KX!k}C8mUXwf%r_ zTdECfAZhUs5U+SqxRSO>0`ToAH!`UX_!4;k8l7DoLL~gB;NU;+;-?ys{jH?L=H%;b z?@#tcxBv08r%blaa(nM$YL72q^DWHwOQC8(1>m1aZ2{~x=+_j8qCY1dbTB3ZEatGi zH-5T*Z(G+O*XT%{mcKK^Bhx%wt3M?a@~14C5I29e%qlGIG^i8?yhcGc`&}qC2Xyw^ zgj$^%sr6k3xPNB#18DUETs|k*x&`WHr-c_M6yF;)j^3s>d8JkK?z?ZN zbT2DPL>sS9bIGc1P3vak+SqZEj9yvT8pB-Uf44>ouRA-{xG*G5R;uaubFyK)PgQ;M z#VYC!5dZ}&dJOWu_nV=aC8zGDbaf`QX3p`Ac&Arrl~#F5?}xtZiJyL=rG7F0s5fFK z7VV^qv2M+)6D-h%@{0E5u6oZu1YAx$EU((H{ov`T_TvZc*}eb3HtOzjOc|qfS!p@h zvl&vL4e6Zxthw}8j`dL~v#1#sukK>%yX7Wyj}ox!m}ifW$Y%rQ_dT`JH($QRwr#k4 zYb4ygCft2>Kaf0U=0`tax_Xu9V;dF&3Ay{8U@?Jt{G%?C=>|iMj8-(g{tEI=NTd#~ z=;r{s*~#3sA9_0%U39b3e#i=RQTyP}*?mr}OVsr#6S(*7TUZ&y4UFEi4DoJ1mMPX} zs}ty4Pr8sN;o5FrD2BVnTH+pK{SlV*WqhNHsA2v^E?{D2E zCXOJr0ace)GdXg;ALz@5hSh{QC|ByA0k%JWurUo;~NVg(5>*MMUhq1>k$T6s~qn+8~z;ifmJx1Y$8y5wQ*IomwgV50nkX% z9B*U*oVL!mi`;LK#5?m#y4D8-)eOpvZT2tjMB0p0{Ocro?sRjdPSmSxWorJRP(GpM{3hDEqf%zkJa}I-HYQ^Jl)D}HH+C6 z7bxSd`^r>1T}*`5 zQUb}LRcwA7YfWo>Y#Uu!CDIGKy2%`SYW~fb)-nsnkkKJ0Q`A$>){$Ypg;W8iwttx++eI&YW5_0;e@K<^z zn+ChMnR({X8Q|X0&#T(-6V2(qsL(piiN?V`R;yP26s9feXi&~h4a!QBLuN|7@h7WV zZ#JiTbN1s(`sGFycXeyg`e0h~jd{@V@kl4GqZ?t1|2rhMeteAU(KL!1Wv3C90>`hv zZ;yJ-tSsGJ=a!INApI0dvq2WH?iJR1K$-GZla@;vdNug^=6i#7-AfG~&3zb^7;+$$ zK;j7uEFoDQOSN8heSB=|`ZABJ#wc`@71B{ld^?dn_pqhYE^8tx#0ko2Xr-SEkCvUU zp9@hUN3dVRh7y*ZI6Ozhb3JkQbpu{p8yf4%R6MTi8O}$4sbrst0zG@2b@&EB+&R{0Imge$4jb=XEY3$^I zEN!HUwj9?2*}C}9xhXIb4vdi}<957B&NY>saDG4U{oQH?GBz$UTaS zo&rbrndjG%tbAEB?G5H1#;pc>y)ZcMYV|oE!$-KyHd-rDBYez`?y8dDFD|-*<4gnb z#w=z%KbAQuNSA7L7OkqloDW^v%a1EVCKvzq#k9h$uNs834~nk~C}C`oTCUZ6fvEa3 zH=l$`xo~6~%YS4Y?s0BZr8Em>v6{YrtTjAa9qG}n+bLwf!#vszZOYqc@@-->%8503 zsC8jvs~$FXtSj+qq5WKT)3B|$`!g?RaOjOIEW*tW4*7fygJ`9ZR-nd6%~QL*%+aIX zIe4iVX;GVS4^MCynuBlZ43E&OxE8DK8KqWN@3uq zH-&LK9>mE2N4ofxKZWvnZcW~iY`I1sL7|r=Cc_}OmW96C$O(O$y{Cakq@63I{U~Qn z0sV>4tRCpkPOOSr=M26uIE;0@AYRC7tiaz}rU1-`7d>T7QAl)A!e0{RkPl?7(*!1@ z+fiD^^+7iT$}8y%0w52f$)DkFYithHG*?82LwQ7;XsDUqX*ux`f^Jw8dmr`J_47;6}Bf&mugVyU?xi|$c*u9q_zaWkwMtU=+=qX#eeOSd*>w3t%lnLqOYt@tfU8pp6W+wW~JqKcfCe%>Rhn zx}}?#m_rJ0-nYifrhbyDC7&omVwHKl&phQ`_m2d%higa!0eFrRvyTlWr#D?;HD_ae zvaLY08InMDp=UWM=Z*+Wb~`41EeeHF=Jf6SN*Mmi?tCOlyn0G!+MH*2r5OG3`y2-f zc%Io-I{eC8!}_N>|9Q-Y)p0P&YmdFw)fW0evs~UUI`dgNm^=M^s7}4!60w+Oy5LO% zt$9QG;E~8WlM)kpth%+2aJZ{Y38hfp0N*}BgL#BoSU+eh*#gHnWDTi(X|O|=11?<` zZ|@!=h(x%DaLybi1DDs|qG~^m1Vrz3ppetIaYiLHh~XQ1&dT2QSXjzY1@bXm-~PJO z^-|_D^)gIEzKmbsaQr;`VP|W|jkmCLpm2JrDhO*XRbMrc3|9rANR&kWFD$zqDqfWIQ1P}B+{8Xo|)%R7vnj%Euw1J$k7HZ zsrL;di%G9f#6Zcp2;9yl0i15GGEZY#=+IwLqOr9^C}L!5i<&26$X4j;%IR_oal{|C z4T?=kM+WMc$1vAj&?9O=eV9PXK`fz(c+WtNj>-Wj?zF-APtj(HU_?G0%0C6{8o`L! z#^|b z^=j>G+Ms4fwC$jI)yib&4r<@a(ReJuO33KB>ULO&+%?DkidM}*>ka)(v7zFVaq`x* z8KM%n@1Rt0OCgoR4TA;AJn$Lz%6v89mc(-(%dno>;aRhAmnI&^o zYtpq%0$fP0e(-cqP8dD^UEso>2QEMPS5|TQUVAWfDs%X*i3g&(&Urzxu$GkJv=uP- zP&L0LN|;qtJ$6+`(cR52!$nPJ&k4n}(CL>n5b~bdcHE@ife5MIRZ`}+1ZAm=AE~*~ zCfZiMabrVDDkQ={8DUcC0;Acg)Z2v_tm%i*uYrY-U~~f)34(9-*P;Ldn)vPiz)OgspHf&ce4|-c@!k-Ir>S|wS%@2Aj z#o|p;lireP^|aO+9RX&U?Po@%lEMk&APQmToj=q+iGhSYT{(De7Yz;<1I&|z3EwzA z#}~T8w93$zw|oH%ED`4jzMnKD7IMI!zDHjP_A9ZTzDCXb{-?3e_R+suAig*1rEYu; zjri6#b_C}aw**7S>EL0NB;bHQ154d+R`O_L04*P=k&g(2uccKQ0a5v;gl!kaq&dB* zp(kV%RTEc#pQkG>{(LfTToKfJHakQJn)6N)RY^0LGa7RLNMqi-%%si_;R9MMjdzaK zEtX!h{yiiUf<=8cwcQ>+x^8_qibkMc)4h~Y|01B;L(x$A==>XJ^haw7wVsix`oxbi z+6332notNx= zZVDYWjVr!I0?@n)X|$sKq|7Qli|AbFIirr~$P103=ASthHj@QC7ZNWdo{cAeZs9)| zz_F6LlB4RB&<+yfIr9%=meAv zB^w>5fspJ6H7d#B)er@xNdu1^(8xyKlZw=-H8{#QD62qa(YL3IC?sORvlE*|2dj%{ zxFHYZo;1zdRa7|mPiYp4^rM2B^u4UTs1y*t%CmOUGLe#gu&h*rNvf5*8)O4l+@xuV zJVrkHeh$|%5DF}Cg#PpN;A}kYfX!Z;pRyToji}b8Xe$et)5|i7kylM^(Vp9~lLLnE zrRy4<6ccL)b{;yV#mm9wUH5}@hI>YPceyBcnNt#IZ=Rls3pa4T9!pc9imS$h(nx0in3Rzd8%|bV z=Qc^tlorR4R?F#}LBU?nzx=T^rRdXYR8m?!Qi_{rOwh^BP0gj&z>LR(oDg&uwf)@S zkORM-t9M7Qhp@!zO(R<|bvzZ@`AOWU9U()F{SHtWb+2-&{}>=Yn+PXY2dqJw~G_Mlbx@lDXLn zZ2Cj?LseS*+XmGoc^^W8_%MvnX!6hv#0~Hz$WzAtf%fQ2fY}3ei;TLsp39E26OyU; z1!E%UmRN_JQnqLd6!HwFOy`eaIPOgFnwgyXratI{VJ#9g8W!F0@=K;xj8GzPByu%y z(D9`v$iVKehRi)Gjc?&i_zvC|T5`fidKyK!Gm=t37zJ_gw(4uj^!vfbyoQrfk6^Kg z1de2V?S&l=@3cLC_)^E%-N%+aIp!FYkOz3`N`3Wzfx8N`pvYs7d|{-a={Vrw z>}@59$&8E(pJ-L*W#OsQl&WFGK_wq`1|Ii5ry5$ys^ER|QjgRmdvj{_PHYvi)IOe| z-vP%SzjmrgoiI4uwFV>unVQRuh60bvo}le7 zull_1GM`^vZd>r)UGJ-mINHtT_Dak|4RJ%q_T6v&#aOK%`(y$CKt#0N^A$s`<0g2n zJoSywhb<|24pXa|x2#pU))(S*X{ZUBV!wsf9Jv&<`^#^Du*T&(CT3iPFIwyq;ppYQ z3^q$|f9o}M72;uA{KoTlh5s;+0>_Rg>kH)+tc;fS=pA<)H6YpeCQtou4kF6C;MKcP zGUc8UT9NPTEm(k;&-!h(Z?9yhn#vckC3nKhMCBj*r=)5im7cKPL9=|#s?r+bf8!qx zE5rH<6f*i!Xzx#P-#4Et4F8+xOZ$Rfb?<4K)-I&JufuQWC+C04e@eBD`s!D(&ZaWt zKMoI*>t7~l=T{vX<6<7)Uj9%S<*9ZmJ-Mche}0L&d;Bv~;h$jFUO894#Qwy7wSM^e z$A1NT_4N(Rp)WW2?xUpRO>!^q%K7Z58PE-A%-d11j^SStcq|Oe|yol%VNrTclByYm)*Bu^Fw`RwyBW(kQKvFEuZD~1vm*iORs8KsFd5< z+R@xJG33A7GZNxE;i|myvG?6Ijlbr4AF!B|%HHI+SBmNrUxQ#ix=!~W+^4;+lRT?& zb~mhQ1FL^j=C;1NMsJM6j~4mu@eyy@d|uzvYQ3-Z5m&oNcN+NFJ&!-6DYhy+?Iz4Y z5p~eB!@t5%5El7*&1c*nCSbhL8`Tjh{@eZyr+-91_-}JgoJ&vM``g#HS+CCC8qt1sLXQ**KmD_i45+A^eG#!HAJWpEYn8BfJR?_uhira;zPEM8 z`{j1wwAPJ2_t&TLwr|Rl1$*HOJ0W1LpXs_*Tl)sdYB>>BF6h16D*Bj(MknIhN6osnRw%{W>2J zvPJ4T`_tB4Yuv@EoBDB8y)RM};102Y3|LRR$CWx6`?{?=&ScB#7WLTKZ;YSbJkzm_ zjeU`Q{Ow&R==C)!|H}S4B<3~maDO*B$!l+5n(?9PRc6%rF`jPm1SERR@&}^6S=j1c z0GEXYFE@Oia)>^uZHOyB^PC0y)1Hkw{3d`((=U_%nhENEAk|08BeRviZ&QZSN*{Zk z@MeEK#*8q(`N1o7&-XS%%QxZTg1?n-`1$OzD&xQJl1z2*^%Vd~{JjHz$s9nXbSGT- znZh``zLW1q@yY(W$o?(=D1VsjpXcoNslFYM(weX&tfn;+@Tf}ApY@TD7Qa)|)AOnN zYIaF}dwu>!`B`%3SKF`tZ8ll@q|_#`m|)aC@y;#CwXMb5+rXWihI#y1Gu4i*;X9k* zYpb-@U8joMPs+LM+c*VGT@P~~(wc)a&G#MwC}3XVwqL)8vFWm&$FRe{Ycl|CjXYjH zkICM=FRs5e*M)Vw8XeYz*L17u|6;#7NA>r7jBf{I`AuH3uQ`wRt-YulAt#(r$VOJ6 zzTPSc!<%LRZgWwtMep`6|NY?%KT+A>B4v~n#r1;<+=qJ^tn0TVFkl{(!duqC#CqQ? z$l$UKODJ&I9{R0i!?3g;r1exK3K^SBAR+p{%f(C3V;6N>h@$^ci3OZ+V@`a-k0qkD zz+$RkQVP?W&^^pe0~HuY@z*7#_-taj-W22}P`g^gMoJ+bHL=Y~LXT~N8bZ*jE|bX0 z!U{H$SDb$|5JAu>qQ}=T>MnjlCUO0sr$R3ibL+(77V~kAo+JJv59_S=g81Vf3T3RH zSQu$2fzQx1H%l(*EPXISo1SP?L?tm%?$%lm72z4emRIP}-Qcs!AS`UuBns2f zB@3e=g_0&Z?$>}m$o-Xs|Kdgh_uYP6P(0Svx8JhQFR0G}zKhZykv9Gs0V%{za}&hd zf2DmNsCRl=Begl{Z6@`?{T)}+#+3dB%vfKp1t)~8v{(WmE;;n^GCTNhy59BSpBevd zTg@{;Uy{Wm~ON5OrYW$D7pl@ z6p49)ute5-B#PxDYP3{>zEp{EN3jfOvs41VWQ_?=AeKtdYbg(W3YFwXX)(aM1etT! zYgsGk{bN1KX!#GCvZr_CXIR%0^{JNJ0A1hsCyMxVOZuQ&Ubk3ggt(_kc4M^IFs}1h z?zCE31U*s4XhO$jl$CumLS5ct)q<|uVbwynTx+-Z&-DT3kAj~RW4MT0>h)T%gWQ`X zePAt(S}mEuFQsCzf}SvAxFFUo7}t3V{6>o6f}g-+p0o-+=Zbn!T8MR8*hStOCHVNn1F8B$jIE$U(Bqk{X z>u8L*8A^^O6HQY?4M!jEVpmAq0870V8cZY|3G-Qhs`?v_4GcE0l&vrOrX*AZckXHY z7n|NihI5Qll9+hPCsQk^CCY?Opn?tPMt?(wMyjNUom5j36u1u=)cf+Ns=mAwnUWY zpXHtN56%B>sWdE5>6WR?{3s!>V#iCBRP_<)6nNDMSCWwOrm91D!d4PmXfW;OTJFZj z&|ng;XWWYH-5>X^9;}VO^Ut(i1o zPh>OwjG!Q0fE~e{A*yG6AtN`C48J&c?pJ^mYx|?Vw2)M|SrVKuO%M}@M_#ZsPtxvQ zsvXl8AaC65J=UDMP2#*tc7BV*Moqd9g=d@iHah+!l*gqUO@s2Xvw^!A2^NzdvdOSc zL@TEBi&k`YomTV{h^>}zPBUz~SvI;?D_F`H;3@;KRMbH^RZS}-pnAd%wXao;7v?Lm zo0l>dEs@udY6s9^<#ZXP{$0>Di#eUikBwcE+Db}d>N+&DPJ%AnT(6u{H+&lN{-7ka z=g1X2_iJGxL7*hizW6&^l%kKan~fs9_Y?k+&MP(ziAVmQ6Ldo2lb3<7 zOYpa%&`%CbE5>4UkN(o`P1 zD;(sJJAki;=g_rmD_;-LEbd`<^CCwa>V?bntdmy$dKBuLjSll{{du^g_xR1uYmAAe5w*+$lJUjU7;hD%gsR zl~9ES&vm*p-rElP<}{E{&P7ibx}2@kR3-l$l7s!V;Om)I`g%hrf4{4del%3gFdd<# zlZ{+IVYA)j0}oN%E;Fufnvk5l8kgGAYl{Q}DPibCakhxS>gA9C^%R=u7bo+-dxI^=O~ackK$xaa5oL#GXdM%hu_tiRK0cH(zr>crvz$>C=&G$I`{3h$EGCpg6JDr_Uzj0+U}`Oq*xovWNh zkE6S~q(gLcYaRQc%pDjSAT=+$8!i500NU_Nra{rYDawGR7e^Ng3Z8}ITByI1ic9&e zWK(88C`15fJCj33ST2MCq?=MYDRUxe`Bljqa~9FmTO6Y`q^%5k3TDPZ>BQ*PA z!yu(Uv=n#tXDH0!7YsB}*nlpX1Tldl2ltuXYL>HAA zBHQ5u5lL~(*!Qk3_+*!^=(cnKt_WL0Y(FMs*G^wmmg9<9L;3we&eB(*A@~Bd0CIL9 z17`WGk#!|gdkZHA#{m&HKT$q@eBlOBrgE?h!D>7aRwTYKrmbrvLy^INYo2q{mf?6n zZxe*Y(rkVb^j3+IKCpsAsi%l;Xrcywcn;lsufMNNHTz~`w`>OxxC@lxKT=7l#}iWkWAJ8U zv}_0JxX#%KyawU9pfFzo8?iBFq%nXoXOSP0g4#{%@D+8KaZ!{8^k*T*u8hC)=dvaj z1OYyqc0ni~Ua4DDWNk5#)j=pn5jGN}0n}vM^jh~qxOdNN2O(?+K)_f8V61;EQFuHt z0`wQKJcT$ZOaKnX1J_Ye%OSp#`RN;hfciH7s&1b0Ex?5Yh@1c#b}u*Wwg1-%)%`Rz@>uJc!CGrW z)L5jxqU!lEGxEnK2JBr1hE3HE>nENe5i%Di7on>}bJfJ?rcEPp?vGoQvO`?V%5!#E z>_S8T&_34fR#!(8*=l%hm2St$R@N@t78{dOM}=u8eB6&Aj&UGT_~#3#`{ssny9KK~ zH3DoW#n)_}@fCUsoX=LgTQY(dH_1ivuO!S6dOcpu*s1T}BaWTqkv&Q%-V9$1JQtD2 zho{36sUz!JC7{b+A$U&Mp(bKgx%Y*N%Goeb1L$&se`!Ue&MRWZ<71Jog01U(6q9%W zgt$kfNYX=J5znVh$F(rMHhaC^{73U&iLIzhO=oP5cI*qOZeLx?o~MU{FTL2s0*Z#A zsU+u$fj3`-#P-?(Y<(!m{Viwc=pBHwhw^Gr3v4C=+hx?W@PuG*i1?Mi72cL6u4^!D z3R*~=N^Zp9T_xlQr^MK(KonFU1}YFttYIyV?Z6!O&Q#W9&IR`l9{0{hq(Uy? z{_a!=)hR$#(c$R{nWpoG#W~oDIEgeMoit%Q(ID`pwts_B!Xe~_9!V_Z#ar1+Pnkp@IlX8hI|0=w|8Z-YiP(qfs$P{Fk_LS08kIR8&1(F0e zWpiBQ)~t&FnIJBf*$}aO+<{bzMq!Y4z`0GY&&<=zZ8jM46+M;o;SeM}lW!rop^Qe_ zEO4C!MJ#~{B6M*_q`iA69F4X2>iq1dN`^P@pyh3mbLf2jZxLmk9fP)TnnPPuRx+{| zvJT@lR$MkF@$`vA7k~^p%D5w=u^hn+%Xg^$yfbc-Sj{menMrA+XK4-0S9{CZP!eFK z^tu{kcWgvFVQ4b^hDW)V*BXZ+LqG}Z*&4S=H@rtGyaqJ%9Yz}jF&?p#*iaWgQV%;) zk2rDzCDMn`adUXUy|f$k7#eN^CKnH)<23J_m;C+>WC|aQ<>hZUOC}QrDmG>0*8?Y! zxJue)Hpv^DQn1azZ!(h{?a3#Iq+Z0z((@NIR3};#fn$EmUIx^p-oLeB=Q!W#22m%g z5d0(Gx>&YfRH#AU5e#Dk0oGV3FT!(RduPrPsjVjtlc=}_?uxvFJixtxm6(*T_lZ)R z>>lFCCiXm)dFY8SoC*Iq(&5055QNtX?b%T?QlPU)EY){4xCzH=Gg9Py!R&bAEH%pP zq>B;waDe7lSus(u=*~YKD8CI*R=kG*z}RJ=W3Pa!ksV#w5E-$_#<&4vwQL7^YzG^GFPH8d(Vvl~62tcr!@osf(tA}g z?znWuy3NMk0b|l;W7Hr{7rdt`;V&to6-tnWLWH4RTrh41C}-@V6#;I=MN=3+x8hi} z^V1vDUy5}iyk=hcsOzL;?$MDRpuhB@ixGRI#Q;^ju zqFfQ)pQDAocV;nz1=0TU3-e&7^1G6aUB2zI+WLH2&l`B{-pwG}(<0krA}MIR)(Pzf zj0u_uK1W9y#YDbK41bdCag*(}lkI_`-twc~7DT@EM!tkZzL2AcT?jiTSkBBzCf=m1YT&A0PG%Ym^$K*1QlQ1)O!xp zTU8QEvqxh%`&MRK1s;cHBV_pdQtmLj9<=s(!Llwe5uvYzogKjdV`IbF&Az_Qd#Iy_ zsmrVcQ^TE}tEy)Yq>xxvr@sF|XjGEmxo?^J|b6uhKtDbK11^MH}rZ~cV9(s5* zt&V`EsZJ8s1X?!=rk)pnF~&H4IPmkZpKw6;{%Bt2^Q%ZYIuPg6H-YYN8+d^2e!B34 z+N*XHxEanTERa<5YA|@4b9U=vSjnVoq>#>#XH(4masKBweOmX6zUu`0WJymHqO6kU z&M-6iFsh&O=nHZXzkLvL9xwm7gTbon=(o=^QJ*UCZ7qe?k9wru{`$WB*AqA1-R*11 z$q~hOGMt+MG|`2tG~deG`mIH5mn$w7d6m&EyWQvetZc9L+0oemR?Eabz5wkfmGSK* zH2`{D{Je4>UpXF`NAf#=Y`C0}N7MQn(@Rj(b&55lh{O2fZcqgW}AYrnUq#!4dc~{4HNZi=c=rB=(WjIJ{@p^0uxR=g_#a^15C6cwM$FuF$x09xY<+UcAna zSsL+h)?6o6Lr>w^9bU2sR(bF!WA1vz1V+#Av-`NB+G&@tC5bd26d1OpIe&PIjqK`HB_MSmzX^dh)nK@ z5KrL#kMGN_L#_gFXg97~!5(C0O1rNRlA5G5e(h#^=Wfsc0}m0r5r+W9vyEkOP~B~p zEGhqW_&v6fBmp>NOk(J=N*VqHNUluz?~WN83@IB}=P3>#YfUZ3JylRFkYa zti9^u%ZzEm6N3o#v3%~}F*rOjK+-MIg9~NOPma7OoQPOWD ztO4H~3)7AnLLKXcU|AZg2-($I)q0CE#fGLZ$qE;EI6OD5&;LpXGWtsd9dA$uwj6{n zwjjU_i%^2nKFN(jl)V~4y+7rh1!^y{QDGUC$XwsUDMD40mVd_|;ujn3X3e3eXmFXD zOu+$A?9Z%WP6xP}L|39J`eLTz3mqWl@8?%qIM=YofXK8M_DC6Y{W~rF7Tm*MT-k79 zt$+`NDq6-tJ2c+J5lkd5;z9CzRtyazdv@-^G`|{zn(pDD$0+vUq#I5LVpIvnJkL{KOfez8w+xm z5aUq!DMFp2N!mQX$Ag^7H)P^bI3r7HGoPl>x8mH$$-zVZ;tsGZOz=+vB^Sr_X*P@0 z)jm=$uBwLY?mjv$gJ=qymB4`*^iWHO(>Bl~x_E^kmlk$c08|kr)VzkbJLv1e3jmAq zkSB6b;_Ihtp;ybp7M4C9Dkv!}U=o23^e$7>?WBA9aev-X)t-hWH`-cRF9

    >Z|8 z*{cc*kmxtGSV#>i127Lgfrc4J9?ILJm)OEDjCbD`_ozo<foQKton=7&YniRlZfY=vFQmBmaGR_NzD zVx%oyoVnq3`%diqJ}XQbt7!l0mDw z)tT_jZP47LF_kkizlCWCNT_+kT@d@ z)+XL5HXO#Zx)zy7sWy|@X}cS3BawOoUV+s8jn96>j_L43q>6u=sDeKct%Tb;9z=`Q z4{vz9G1PE!Jr>CFB4S#EuO6OhfyE5twP8W5TOYcBtH0TGF4KXGe-z}4Z z1jo+$k-4Se=6P#kg(EKz3AXIvqAZl-D6URXZTI3FhNUk8slVAlo$bi#7=BdVL7z>( z9{|Uw{!-;`s}r`#S|8<81xavRO;Bg(;XSw)`jPo5cyN8B&mhxYFUeFGMmtNhv=={H zX_hw7?LV?@5{t0bi+EzANyT)2fTRH}fl`QWxtdSCA@99&NC%~{>(Wo49rX_I>72)n zmc{J^7)@h@Qdw77XA!MVv!o^2K3+uxkGQ`3H@qK3@C#X>9p1nbU-NxXTZVJ7=}$io zJI^L$OU&u3xNs3)^o34&_dOj}K>SSyYjNhrjGnjaPmyG;L@lL;CwFCk68=}Q`2GEV E0GV8me*gdg diff --git a/cpld/db/GR8RAM.pti_db_list.ddb b/cpld/db/GR8RAM.pti_db_list.ddb index 61ca8da4304b6742ed6ab43c2fd0dc55e7764c60..89aa9b430d177793e191e5a385085595d88cff2d 100755 GIT binary patch delta 12 TcmdnbxSw%C2h)UviCv}uAQ}YQ delta 12 TcmdnbxSw%C2h)SZiCv}uA+7~1 diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index 8ea0c5ff3118d72af2f667b7e1037d977eb64abb..5a362d91736228e609052ae4614f720a3ee962f6 100755 GIT binary patch delta 178 zcmcb_bd_mBbo~(q2)F^ILm45A3dUdm|NpOdV`FQp52!Mm@LBvs8gth}CI!py3f&6s z@e}+cUI?TmFeFaktZ_IfrO?NaG(jq*Ml?&r=30fO|Lreewy#zjX delta 178 zcmcc0bcty~bp1gF2)F^IgBT%<3dUdm|NpOdV`FQp57@E zSl>gPr-Z}Upuyk-i`{|*9f9M_h90~|_u7v>+xi7Gekh>qbCb diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb index 66f799fa2ad16abb8226f4371f190eedf0963111..24be5cf0bb4edd96e6d983b9f312f018919aabc2 100755 GIT binary patch delta 1346 zcmV-I1-<&M3ycepTYt+000000000#M00000004{y00000004La?3znY6hRb)nT?4H z7aDb8Vnlxc>F#=T_s#@1Cb}UK*MuNWf-?k%kht+jyH&?(nhABjglYx|My5!H=|gqb zz4zQl-L7IjpU?k<&)WIVXtee?Ty9TKX5-1h`ls>n{`!aAqknIU@%Vf6D4^fr;blKR z=kp&y(DU&4$LVbMuots48y}9p@6N{4Ni1b?{UBV79)@4Pg2bWEy}B{j9Zc?A^fs{e zGJN-}ZB7qQzD_cE$!G{{o&R*?y$IJmdE3*|%-j;py$a0j9IbDKg0R=6XwKS!GPDT0 zK5JhGxHUbUWPbv$T$+`@Hvw*s55CMYgV$uR3&HpMpEH5;YZCaZ3qiZyuOIIwv;E_} z>0}bh;YFlgT&;4uvS6WGanDKXQ#X@#S>w8(tY0mj1{fmkEL$^YSL3V;w9TBImyxyj zy9U~mp0v=|FHlE)QOjsu z#;`7J3>}`eCLV=cz^bj{n{BCb75XH!jmWjGiDx#gm;g15SGj7Dt6e;mHzLTX39b;i zR-YYE!>NyMqK0xstqSoz*r<|BIyK*`&hU{Vu}V%Q-iI32OPG0$D+Dk`eci?`s~fSm zR-GXi5PyOg?RS+a#)w76NyOSpMr|X+f=N>dv6Yeo^8rmO!Edb)3%TG5H)ARo2)TeX zUqc1tl2m>J(5Eda95WpC{#Y}-#{|Z)`-PTKLxK@I{ zHVXAwZ^cKxkf{cWxs{onm4x+95(gEjE=3UJ!heM2)G%sMErp`?s#PJ$?kimf725Qg zqBGk@$N?KoCCfzJ3UxKKQXkpmn)0t=^8b*lJz=3;AJVGS6}HrMu)tK>$$*VY`$?=v zfaw~GvWaIQ7ZA^K@zN#6DLH7E0WlTi0oa45V0I1qIR6%A3yP3IBh0wPl|6(R>+kkOb#89|YOT)(~MKgvzr!}(fx7txOXsEQ2qmnvmO6g>rc0tI{MqdFSx4~2@2x^qtBN>?B zMJvn<^V1L=)PNdlAo@BnztoK*z+wYhrGM3cRx1uskbNV;kJLbjI*lBo4h1ZAX3&ne ziMr9A0ZqWMrm-;cl~vJ9})H!rY(w-}H^AE{Qj8B;Cg6lk^LFujO?_WVX4 zuig2LK4xRp@+h4+sIj^GibDmBO&X@#9yWoxSm*95rv1(`6g>l1c5N_n_Z9mHb$*KS!bCOmA2Xh0PKl$YG%)$MCS>%()INI<1$s_X! zhaj4v=*_Tuna@)sa`bcw)r_?3VH38av2~9ci-jIApQpr5fftb7X{W#ofcZQn<{1H; z&r@P@(qT3@`WFBI|NnRaVrO7v5Px7`V2CobOa@X6+&~=U;uIg`8sHxk0uo_h0%B$c z3q}Tpr2C}|yg;5L5Q7wXxH^XTItIjtI6C>b#``;YxjI9%@BL~3_AuumxQrd z`hwI5qNstmfRTwo1E|E<$1NI2!HseA_i=Fzg1VBCoq+==IqBw69*{9GUqcN500030 E|8UBBt^fc4 delta 1379 zcmV-p1)Tbf3#|)~TYv2Y00000000#M00000006B800000004La?3qn#6hRP%vlqdG z2Sq&y;_45Ondy(2y$Q)h&`U6Q4k5;cxEtbzfERzXS9R^lWXQY^q&6D}hNJ_Vo!XwB zs($OOkM3D6m&?EDvvK}68f`pIm)rA`#dLNs{yaV2AAj6E`hVV!55Gr`lJqA{Uib5B zx%`>}2$gP3gtAyOn(ReE*guOOIbJVU#Lp|-f zsC}Kpt@-IJ1Alns)T{u$N#geO;OinIcufRb3VzuCk^x*^6ToLJ1^u|IAMa<2{o}p) zY?jjD1ye7s?sL1+qtI5|bI`ib&7fV@xb~2B)#7Oq6VuM3HDh))%vz#t=IFc(tp0Z; z+LI2n)YxU3^$77>5o<#;(d?|3%a{?XUcSIAUA3jKcz;_IMfYnbx``W#p)N&qQA8c} z)kH@1W*_}<6nY_6Wj(yvS3$%{H58*$n?o}k^^J^rj25yYnxTq(6sv+78B|e#%{tcy zg}s&q!VFjFiqxv$Z8SuYS49xrMBEKCDl9=^2hLG>6)+cOD3XBTUY-mh0e|*tA~%Q9T2ZYDIjU8F4&sWM;$#Wl z$$IgqT5pC3=zJqjUMrgctNSi$n^$PTtxCbU)Ph@>b8TSDT4Dhu1`cJO)O5|cTIp;v zkol2Rk=xNx1T8VPXqy4OwxU{{g2slT;=G<2N(LBt=esYI)LMCkvoI-Dg8Ce`gO5V{A(a1acAsRVS3^Z8i z_0$E~42_K~q7cvvn%qzq(DopULND77Z4qrVP;pQQU!y~k$()i z`#SSz_jcHh1&}{?UpEvBIFrHg4vwTSic=Tdj1wC>V5|J*aS$3fe+H6-T~i+%$|E>E z%C?=7-#p$>(AluBH)_5U!BHm5Jx8;*LZ&?FIQ)X7Ji@t76t(z8N1`*t9?KdU|JwbgF}Km{oLbSeOz39UHu?h z4g(djGVlPk)SN6S2T}||Kn$`4q6Mm!k&WRDP&|yy(ifyg5Je5d1&mA#CxF7nK5o&B lKpJL@o4=2XYY^0xjO+|MfO3;=9tGJ5_chc200000|NlNLe6s)m diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index c4816f4b6b26abbd162d2ab7e0ab1dd3e1631718..57571df96ce099b25d5ed3186099455161e25c16 100755 GIT binary patch literal 14982 zcmZX5b9f~|({F5VjE(JVY$uzIZ5t=HZQI&lW81c|v6D?s>=WL6@B7_9?sK1+s+y^1 zx@T%?db;{o-RNLoVAY7=U)=d?6aA9vW=_sl_5c#rA51JvtR$kYR<@=jY(LmYSU8zk z*_hc_SbvbHm^rywnV69%8kyLTu#%|AkZ710lSr6axqOxMS^l5uz`&5$|0BS{QU5E$ zc>gOsz|Vc*yND!GpX3Z33^cKnd;%DFF}AaYo9(x|f7W>ZYI~w26^~g`8x?T5xOb?(PflJ5EJ?WpMN=;*BIxbhzN{tbM3 zQGfXJ$>Vyq^rxgUz13niPoUFr2@PeSzNx!TkJuMZ`6)>Iz95C%kuc!k`?W#P^Ht$} zK~!ieJ)i1F-?lnPL`3MB;M)Bl1M%P;ixri^#@S(rd{wW2igpa;yQa5dtPdSn6VQ(v zUW!kdR!_=MmK|*jIl>fWlnW1HVLYJzT7WDV|j5X-HE_vWyyz-Ig4e@XD zly_crD|l=OBb!mpAGd|y?>HS zCbn=+wwBE3Jf9@C9@wIvsepP=LdkV9?pP{Zsp|DL_SkZ>8djky^+mHy9=s35w)w%F zJWY=_Z-9+%3mgk{)=Wap$}RBqi)B_j&VMUChqZANFsZ1jf-K2C0nXA`bcm|h2F|YWk9wS=D4;Lp_1e8UqesdGKL_#)gvZyh zZbn@|0T{1!ZCMi{3Kqf8QE5YX)~^VQ0ErkF=lIh6rK6*ekQku9y9!r7CyMo*t$UN4 zmdNMCC(-!f;Qu)GywBX!R)zvSdEWd}6L|Zg5HH8GpT7EMgIDdX z5V~kb^u6CACYHj43X44Y)@I4hFrAVbHZi5PAPzWeR`lQmC+ z#xbN4(>wS?9=6Zl&0@bJ2{-bJPK_UnuiiY}n>a7#aTAeR7%P`&Bq55PY|%Y+FieQU zr?`BQ9?^r#ge`@F430u>HlqxhlIxV~n9s{vQ73y}D%##vb$-UM=2_+#H8=j`4Ig&M*(uT)MSO5pOIz2G{3^NUb%gU zfXxuAAy{~R|8l&pFnm|ZAR`e9Vtq5J#tI#HL$}DtNPNqRGxk+|6}1&w*|FWRX?_Tv zpA>&r%?`Z*|MQqT@i^~d;Uu}P(Ms&=X1{9Cs8rz#%EZ+ZYAM_9=~5^TwX!nM>-o2s z^_h7z`hi{M@{xgG+V;_WWaBBDhX2Wqhvjb?(?%)#`O?7Ycg?mog(`7)2L!!2NOQfV zsNG2UQY`vEHTw=cV)u&nQrmdbo9mOn-RJ)GcQ9U}&vkFcrEUOCOkh^P2`n6?PJ6Qw z>R&i!UO2H}JJT_zF#8R2ypJcLjhrz|;g~QX6=$u?0j3B{I$<;G|wEGV`r178=OWEbl!uK*nXvRA-lFN&z6?iZ%sSiCRx7s z*OMU_+j&RvBOj<>*aRQ03ry3GC|!rw^L~RE?%<19X+C`w=gfVpE$djMZ3r3l(OP^X zF*EQXV6yqX-`u&b0B_=&W>+lw0(U({@T$^M|NPwm+!XErRzCYGv?Rjdq=RN?hQBk* zwJw%45N!61cM4nQ%1*$}t`cbc*z7?}F0g&(W2F5S^-loI_m?y~d3)9$UrwpPrnZ zvf+6>c9?05e!+-G;vLHmSpWRrEgwnAmL z$2P_|kQTGZBxi!O9u>x~PIC`2o7f3(oESmQcO(n0jB)2$NxQimS!dhc($pF0B(RzE za5u5Ty8=`_Tmv3zk^Z_39NfKV0)QJIY{!|%*-M6AN^dNxB<9EWDi6QhA*`4cVEgu zd`feO6g3B`UAFc{?lr9eU@SFWO`J;m?Sd=TO!MDLSkrn>kMx#gk`HhdY8y7s3e_|- zQ=%mS1aOrOPC|3u5~^G8G;ruw01UG&nVA5S>aR;h)(ARru`N-zkudf+w$}-NWFeaf zY$mM!GR&`En3t&<D>oAG_#NR?m1+CczwJglR`+-w z#MQBcVmS8g#6Y~vNGF-Fs7QkQT3|94^h$%jQzGEfDEA3RLcm00vS=0s6p}$BrP3Js zB|;ph(-?{*LSVNc>>}uTeqYW0XV7*#d#4hh^6!mmQuM?d%>Yg%%b^PQba#PV0o@H3 z3odNMtuATmlD-ex8^`0Vvla}8xv%%NC}3a#~Q2WCw>0fo9WJNw~+x z984TE{)^Rhf6p z)1u(W2{8YU!cNg9I2l229f_cS)pT0^mB8AwkNeEX$y2SZPygdEipO?9He;{htFh3I zl;G;kfY5suSI}!S0P4wR6Yg@g1%0#DioDHWQqrL}EOyuL0>A$~izDcym2Q-u%#%3 z7-%Ky2fC0hSkS6z%>gR*$p7)M#M15aVrqLfDn;|Xd7Pd-eQ;%JIOMC*hgK85!droW z`?VyzsWhcRtrj4xgE*(7kpag5VoXy5tD!~AgoDOpNfh?R5oX44Kk#7~l7fN$OP5!x z3uNq@r!w$IF+gBU9nTkbv5_&uej!kadIGX4^LxeE>-@X{iJ65xw%sz&2>RPjqs5oF zi`;;M9m70yc`-5bg}f{xMoSdFRD-r(s*bfGVEUo0HWCP=KV?TX82vs1b!rYB_QgOQ zrmD-V=E}bp^2G4niEpErGCXv~70xOXDe z8iDk+)*)G z*NDc`2$TA7plAauVqv(?T-V;}*)xfiWslxo@X-TWeH^25L6Q1N)^7p9bsqOSN&fqN z6*ynT=kYhuf6$?Gh<27|v%-tEn{G2O1nQoxV|08D@&wQhHBubO2lAR4eviB&ob`L*foXZ--R!N{++eNE0oJU zn&k~(ZEN6#65piE=53r!0fCKPXu@Q31S|HwA1{crPx$l3CpY*^CT*?vE8H*@#~JZQ z50%o+B^*aTr!e+Q|V3AO2M2L{!UF!1Vw9C!waL zE{s*%Pp&>}W1P@@kI&AIs7>AE0_pi@KtCj%`=+X}R!-7gf0u)H&W6;p>y$hL=1|u_ z7L}Q+5S$>J3$W4T*_pm=OV|^9RLr@PK(W$61S#7jTzEbHShuPjIS|Q6L0EloR9{H; z01>qmO*=qc?<*%=<<5A}W3H!OA_Whe2#*~Swue7~?=6Y1Bmds_U9e-LNbVz1)@btXcIEgTXvb_3#c_ilr}l~o;f-viYWk2Smp%GE0>Zs4LGZ0x zyw0B%mFvPRvvB3KA8stzcvD@)>q^m(e8T^U`M2_+d968tO5Y@YVaVoM$*^`_DzH0@ z7@CRgU>s~5T>WVh99@h$Oa6x z1-^CrK{V?^>z9KVdSPC%g9ux|<$d&yh;#i{==!Xg-{<f3YBFqelA%7B^OSES<|f?aubV zX89hN72YR&P2iG^VYLl4q>Uago+fZ&yiXfP9%iKjpsXoa)+W!vl5c1P(`{ms z*FXFf8!sf8@7s1(k89tS&Z%bi+Lq(EA5L?pq@76^J;io+-;LhYwV6P=%lUhJ;{pU9 zw(TQ{2E5b0c%^xRqZ&ZNRCNMgKO*MT{b%zpUk$Z3720I3){7%xHa9> zI8_k$-lGfBP@hkJZyI$s%ntuKNHUQFcM^zW+vyjV9}7l`!4@<-2?Yu+Zic-M?o>qi zgVY~sM3`q;u3e|bXM)Z;%k~~}HA90J*kWD|4NK3qFZ@g4Vf@M!`F7H?9L2__jdtZi zz^_PQb$MR1be;E!WBzKD4%70Kwr*oQi#z(CU-yyxqBlHfxg8D6^e@5FPxyF>BFhIuK%o@Z@>mHCU+wrwhadtm;+j1n zW6K4rpkg}I|MO-1%ld^BY~vEdz`cl}S9hfuXbSQK4i6rGGqg}i7C%sQV5aVmVTiVE zBQzfPL267hd5Vil(qCg@l2{O_hMVu>saf1RFXvOsu}}JHXna5Ce-^DrO>mD1wM(wc z_6b(+G4SJ0PknF=!NVp}wR(->sSwkXR#odX@cwM!0PK5fFfxOE~t*=>V9sTH64WrHOcHgr_5 zm~P4UksJ?n_~#T=Y5>8LpT-tu+J(*T_Skg|X^P1h{`}2>F`_;W*QY(s~Mzso5x+ z_%>*hZc3uIGbVR(JWG4nd}1A+N&&q(Hc5{Y%%G4t$)V?F$W-gLzX>}|Q9E8F)e5OE zkwrh4QHGuK$F%Db2S-51?Al39Z-Q8bZ?~X*A0jhI?VpIT-{;G;1t4#y)AD)>8u-dt zeFew%!ieY}&)5^*IPbJl1oVSuwHhB(Bq` zjHILZfqn7zt?(fo4vsw~P3m=OyNfvb4m6=ph?(_Mb5!8JyYq4IFi>C zh_P}-ttzw|kEBf$S1bfY7i=Yi0P>S@>LbQu?=eyFaRx8a6Ww?VVgUCyg-ZfS@jW*J zRYoqyofe(S$UY^PvZXvib85IYXlipi81p$A)+m>NQnq0MLTr|Ho}v|uG(Qw}0JpYY zVS-P36*$*YFw?|~c2Y8$y`Gt_b*VTjSJ@0yUw6;aTR5WJuokPBGVO^L;@df*Z}E|7 zYSytlaB1fhTp3p{rQf0Q?zr8&_%P>m|9Uv_&hVCvENhK3yq7f{c+_WdvA&nauDYp4 zm9B9g8JXMUq*W%S?bDeu0H;pcG~&hEgsje6Ev^)^%vxf{A&B#0_o}#dhJmYU@{Vni zQAdHmKwqzP@|aWaag|@=^R$$4;L!}e`O6I41L$AU#~W{(sW>gg9)zq3NARF=uTs~j zBLKEXZo22*Uw1q69k{y)nbpCx)!f<0a0^Imx?tDsQa2;dE`p4eANFsQ`HZvb;eRf7 z#yMp-Hc}N(vgM5gh8HWr3+OD}x}9sA{k3}ANiC2sD>|!*>^wQW*Pm~1|Aq;P6L~CI z!_M}57T`lTCQK>3I`57Y zZ!W*3>kRQmPE}BS7kPwcWg0S(HcOvi8h}{dBt2fL5IT?jK&uIEwA15c*1f_?$NM@4 z*|OPrw($Oao;W_zgB`WG{ZpM^Xc17;i0D_WH~Qv`A*AR^Drc=c z=)Bd_H~p>VO|#b)v~@iCnExGA@AnoJSnWGfI{e`XT2$%nw&*>b@6~y2J2N<{ykYEo zf4fM2pK_YY|6(~icA%r@&Ijhz=eFJxcblEHnX9WEX4fg9PV=L;gB?F+m!;3tMP+}D z&->N5AG*^Zr`Zm-j$<0M)*su{7ytE}Ef#ncDE8)vn+==K#&? zk$(gg1P1v_7krG~d(tP0u?||ed79&geKd5`L0i+5_a}2@y{T)0j(#0>Yl1i0)+9$b z<32e4ZXX}pJ@vkg7mId1lRb`~J{A)~<>;Ur`z{sVuQr*1b}4depu$h$lh;%#2sS1{ zS)i)KF$4`~oW3|qvSY>E* zEa5hbMU1RcP36k!#gYzMIdV~e9l3Z#ib;+rG@=h#(#>7C@BZ@Ju^*WFccV6(Xmer6 zJXb%rb4IrzqI5goTB4hE15V}!^2^@iSB*9F^zG+no5hH69!EWWcAxiY;sFr8Pn53J zEdCp{Wf?)!Q?Of+NGEvH0rGlm0SD5$g@}&b+y`RQBGNjnFyCT9H&IjerQF%-k5+71 z+_uSp*Qh{m42MsXIWLjdI1xVRrYrm=&jLM*IeC~1Z#5{3eM4-{}HI zs0;1j7Ol9dBtf76!ESU*??HjMqYOoAz-y6hP0b1(1Tw`2!2GLTZg%DnxjHm zH!G-SvrG?i%My77``k{X~1cz0CNF1hzbZNwq>!rg0QO=c}1Peg1PunQ2oR5 z6K35j_z^J2iQnWN8;KZuyC_ZUlo&?1GHKY1s>T@nvLpK{dN2F_hhAfgbp} z63m7994B6rKQ!{97(34GjxLKh6swLle7KDCP?9!%iMmQz6kC(>wkbv&2Ry9+iYwXB z2%ci1F)dyml<%$gAmF@Hq*JFsU*!^_TBSPD<={o#9+sc#(J;Mf8S2!AtHqH9H zTY8S8I|I=oJKFqho2F(dZ11xn;>u8LZPxueYHPg>tnfTA2Al$*T4@iZ~}{3W<*w`d>!G4 zjYCaxMoMxwS$#|o{%(_B)zJjB1rfM@;}-BPG4a?qG3o2_C3tIPbLKRByq)Z-srjnNTtN5i>#M7GW8DiNl`ku8!!(g| z8Cst1eH1E{dOBFNvTE0;ekf^`Ls{jE5HCA&$D<;cR?9VKXAAkgWXRTT+E(1r z6VNo0){m!ZUsg}0b5KgCNVOjQt)zLq%vzp8JT|t4J!!6<2#A}9O6>~ZTKTP+1@n82 zl{9x*N$3EK8!wNo{UFELUy_4yk7&$j>KTjUjUdUhY@A3{X`0Oj4d%NIQH%k?bGK_r&d{AMSPmp0T z*{Xu{qhWr4?UH5PUEYM$uW1}ZHDgQ+7MQl?2!YTdkPfU8EEk5XkZ9P{3ao-rBdJ~Y z#H*OCG?;3&E*VJ-^`oi0O=Muc!11!`9jm-!Xy9yGut{t#Ax}xy_tj6st?P)Ta}3mQ z*W0C@oYcR2*B+a!K|Ml!ym`~ZIZ5fzOiT!MKWflq2|7h-;d=%5K7Wiit zNy@x|>Ipha$bZy-#J{z^Ag#sLK2#f((09$bQX3Vi#Xf7DQ2r5~uKlhbE3kVM60DEB zbVXUYGkJg4E%QH@_|?`3{5#@?)Su=))G)3QyX)lY8q45z9Y<*1evWWs4rm$-*mDhP zsZv$MB1VC$g(CA;!lM&}Z%<+EF^we4WbI*5KS;iZyn~U~U;fcxZ7iyrp2?DTV50aI zq!=UL-)Q*crUg@r<5&3D^|H7d7h~?a4#(TzLe%MQBYhDXbLR zI@nAYZ6_V=B&7y3uAN&eXA=H%ELmB8txM5BLPva~&UHx0b?C!o3QO{cptp-X;J<2$ zbF18%@GD33xutCo;O{PmA{pLH?;UaeU>=W5q8l~}Iq*?$dL-RYsZX>cdp?O?Y)YcP z8`iai?1@M6h`;A-%rvP74D+Gy%ps|n^S2;37QWb6gPXS90T0Th%*w%ha&>a}U;+yd z;^y4`ULAjr6dzw>8M{QS4GNyh-F4ueA>K;p1gp=^^x~VyJP6~L6qOv&sI17onGa|S zI~j!-Eb+6XQVHU{as)aPepxHg*s!m50}sG^t|^^ldnPO!?0WVn{{`1Wt;q-FRejFx zeU_W&=6pJl=+#-4$``p;9MUW`ghao7@N45?etzZe!gpJra{R0tm3~T2ZR#_VSg%f_ zlBKc@Dwhh>-wH^eqMo zub-s%3uI9Y^b{RxaPKjqmfOB}_G9P;M0tVszoV8-Y^pfwQQWUa_y)76DUwV8R$YrH zG-$-Kt|A_beWcL&n$<)wG6ayY#Mx*H#@{#MaWkr+>_SdAsIYnbus>$~IRI&|YYMBzGI z1&rBmCJ2EWKUE0;NKct0~zl&=@moZ)I@Nr$@O~_nP&uBr=GL>+l^gmcNzI3l=2wi+tw@ z`&L8vD}J`=F!yHoH2wtaaz{KpJjO7oe5S&lj9+sVu+%O&*%Q7IfxT(D^uVs6ly$7q znsTA#q@|HiaKy|$f(>SU8$>(7rL{Z=&OV6DMkI`?VH{(;0aN%13lZMCWCteq>7NjK zbfxqC5ZkF&m(;rR{zJG8dlmJ%kADvG&JcAd zGi}=w?+q(cDZzn>|0|5Sp1%w^9!ns{}JjUXoH@U3I~rv!wonpSJ2Tt1g*jcOnC6Sjs})KcG_l zTOYSc5rk|fdMczDHyRO<9=H8fP4V_)(iZ6--Qh&PjX1&qxdmh&o&dQbn{{)nw7HrL z5I@_ABrBy6Z121s6y)4$w^I-JHW?dCWrJ9*H9Wk#PO*^Ux-#PG!FalPttQ7PB$F4O zBDNwCaQ#6<4AA6d>%m3sb;)`1F?cS=d% zvHmyBwW%x2{aBJEHO}1!&8>)??eQ}W-MjT!;ojaT6+aoYqix<$th?dM3ATtNd;C0Z3S~J z0#~sTa?f&pIKbhV;B4By&WN^D=(D3^Z>c0RcFwwsf}n8Of)-76#Vx)1DCHK3+IT2( zml~EZjmTMSWGev+F!l!^O@NlZ$J=Mhon!t1?=DignXo+LB=1bN!i`?miKRtPN>1;b z-ZKjoB0zvDz^RUM;8Z8Iwt(X-cw9E_-Zc>f$Ue9a$d#~@8s@E zLNG%|TSBS08~cw`s8h4QdDGlpNfI%PAlMG~;j0YnIhhdbsc7#Z{fTW;Z|`t%HQp8IcU5bA%mYxbXjaaO}&EsemzR zuzHPu$pF%PCo#c`FdrDSZ5FL9Ygq=J^iGWDDxHNBFh|d8weJ~~TN1wgVpBMQ^&8K> z_fBOR07io}nizC(zvp*UwU%u7;V=aW*<^|XR{@e8FZ$-Cqz zT_6-C^_ADetY6?3z2PjaF({-*n1j)F~%7u zfGZimnGE1gcIiOocOm{Z68T*H?S_wfU!HpZNq&epCqf8f&vHwMC-iMC@;UmOW4caa zFK_7EbmVjAH^=yIj?8E%*#gMkj*m6%gnCiAUG|3h_6imfx114fKeBNUeVUu?cYg-^ zD&U`AoZ?lthNnN=BMa1*^>TfDppiuOt+qQK*^K2%J0Ea%6?a`uG3s#T*P0z1|MYpJ zr{Hm-#A#mNbVOv+x_Bl};{F-(W0^9}%;qNh5;3E!opchso~s`ixck!ofjcaf<8*l< z&W{C$uf?Acq;nCi^R2ygO$Zh7u7oSlE;nxDAGV5_?y>_8cVD3>Oo;is7z`8wH3aET z8$sHy*#$Rew2^&~Li0cHpe%F^GP8b%W<;67v2wKIn%&G-^#p?6qp=^6nRMCz3f0>C z?F>Le`bNKCKcFBD6Jx$HxV%L!C9997+v*HXyZ$;Wu1@5jE_QHS&hxv6SKJmpYD77p zr_wIAEO-4ivH=|W-~$=P-n-|F-5Q$i4lh1txeiXARoA!0)Tf?~0>^}#ulu+5yoygJ z$u6N}tMIIpc_k~?lf0;1fs&^?gq6(S1OFu+0k2P!kN?({yU&10QH|Xpv|{|?$rITX zs1Lbdeij$Fa@`OLe5yN<9UaxH%f`&7oxneN==yd(O@xKGl>2p_VPPF zU5rH5iIk=1c_PASG=Ay4fSaSbA^wjKrZ^-CxevN-ll@L8sZ5YmGn= zwpbjA;Df{-sL|L0a-ocgU{}@fq{^8_<4{_R&ckw_bXlUZ1-h97*Q1l0qj_3|S?64! zX1+`7iwrR`8tlCk*1?X~pZ7`K1JAeid& z$-vw`o(o~`3^QFAUO`w)AIXWK@W{q9l2P~|{S&~Ww6-)4#z~-{zE!@eQItQO` z>m>vq!DgVGPTFuAu#q#};f&zWyt6Lbm`^O`!-GzzNH2etg?diuxykh-ROLKWA?EcD zgtt=~f=O?sk5S4`e$SdS@ATg$0^|<)2`5u!gpc(W`vrt~2imr)thYIC-CFxXbS4a& zAvwcrA1%LkNKHzFUWq}=o+LNt`M>GkQ$E~(z0I1vX-29%o@h!4+Wv`ne(h7*F(6TQ z3$R}Tpn@W4eI4XzCuteUD}CbBv|JWu8V`?dRPS%?`1M*2((>bN?>pf}qe+Rs8@mW@ zAGzI}dgzBs|JJav#Z<%WQPF3F zmhlt<21PMq(yGz=Dz@=?ySq=!3}$MXg7(T)X)-Y3Fn$G*kxH{r1c@#^!%=Bx6+|j2 zVNhli2#X>;KNs%7M&kPvV0`n>D4KVknaVx24NPMh?{0LSb)OZua$YrdZ!CpIhXB)T>Q*(f}6_d8=D>`q0y<0it7b!0Gce$NCp!@#2@RkG%L74BF)Vg+>=tE z({Ws}8IrFe|5fCTZ5x$BO{oCD;&yD_88NLbQSOQeO6)$7Tvg*o?AHvUx?uzp;OxeR z2sbBd!ZkQ!x^jE&tqb5frY>rC_R+^KgU>~>3?j3u>RD&d)AzkSC`%F@FI?%--l!k(Ay?BtZYJGjkrJ?$F z>Tp;ZYdpAyC!{gC`a*hr%$!6p5Uj)bu&9_6SdL-PnwWvK8?1@VAE3Xt$j1+yw8f2KTY{Uy>%SXzS*Iwp!FgH<^dVIb26 zVVW9@TF*A4MIWllR156yDgCHH=#wh0C4t_*pGG($qZqTj9pOZxSbzk1ihc~ZUlCyk zuY9{$p+Q#VEe@=yFHwA9sSxG+wxdQ+la}rcr57)m=`v)2G3?QG`MsPbQt(yMJBl+# zei$faPLJ$U#JbkjQjV2|1?GYF1Ip2t_etgzU@o7TeHhm!)(7({Z3@~UIus4evE;Vr zbRkltRLQkH>*ls2l(fp4Y;fVH^Ai!s5UYeo69u#pHt4B_;|*0mlviqVh& zI~u6~{GT)BS$7vEzOk;1+L79CJ7?dzV6k(u2)7LO#T1wE=-)(T`{dnX}Z0Lb@ON1Wd80 zfe9%MI4_jnJ(uR1k6#&M7wi5>w--7&RHXUMLq!?99;12vxjht35i#$o6luIkoY+JI zPvtla-;=+=D!7DG67YSVE1?tK{7$9~Mrwj8(`{~gsO27tn<8nfY%U0o%(&}p5z%i> zQeE2bN&+^80v;Y1tx9Vpng<it*nE%?estfELf=mee)E5!@xd5th^;+#|*m@_9J)b10iq$+bOY zluY;T;fzpyOydOiGCUrsBYyMK!r-iKKeJYDam1}|1kN5=VwPx>Ch=Br-YAxpy}>+K zCEP-5_iZB6(3nTj@g*FfQp>(QB5IXpzr4DJC;WR##he5qP2rdsyEo1;hnfJNR3AZV z=~%0se!(vyhUZvrIgWer(J=ob1c=1kB+^Th2`+%>f;6slLwn*4kgUdh{Jhf!zYePo zGv-w<0%Fkcy%O2$zq_u9> ze>Ud4bRUN(YLFqsg^O0JdDOfUFxy8oJPoUv2B^Ye8BE`q}Ptct9# zNe*&pGYSI8*TQnvtuErJe$ZapY^F$*(C2s!*G)?d=R$7GNmObL4kvtXd(rWI_$b-K kERzop19)2rT(zoFVaC!2tQKZ6zLItqOIqLUe|w()1Kq=V&;S4c literal 14521 zcmY*=19WCT@Mvv)^;cutZf)D!t!>-3w|2X=ZQHhO+qU2S-v7LJ-aEN7lT4DCBnB1UF920BI}A!l*;@l*dO}#gh->|#~8TI zG>2@Dyx+FiuRX7JT6i(!1VuABh%~d60FqTw(B4UJUmfu-6=degln8Cw zr9h!Aswd-wNDc=uiaKS>B~lQN=6L8bH17G+eRYM?aG!bzhO0ynte>!9EOBq!a`NZ9 z0UP43YYuNDcoBP#M5*l;1;L}vD@H1bzW=0mezqRZ$LXWQY z3!8;OM-gtt^O#KpQj)KyWu8SJwzjd7xC+QKBdZK3dk zYi&4Pbtx=iVgeW7`(9s5RWs38dc77LUr9 zH7L(H=rT8_sLKDp>;EHbisoi_C{)nAOAsy;e z*xm(}kkqgRc^!5`Lr=$=F*a0h9z-6Qa)4~=>(#8ogy9G#i3cW=#(wB!=^F~88VMhZnNblNBl=L=QK)&-E5u2fH;4zp^lX8^}YZ@q^3#VqweJ}nD(-mSnP2#vxlC3RWKB7~ob$(lI0iv1R zg*zfoNou2ZQ&jDM!~4QvLr<4wc0==Q195dYbF+kCfl5{$?L8rYdcTFE{$9f%aAs!eTE2~I~_Sa zMLfHa$D*>Uv~I#bbSw{y2@ZD8`TT+gAHBy(6~kzD6-gw=2%c6B$q2M@xLwIDDZ9c= zA&1#iBr+o|?Y;9&f5}IPMkteE zbW?`yugbdnjQ;{yJKRf`e!4Xp29146>gayo6;i5xsfXDPRr|Fa+Ve3#Arz2)A~5fz z@iU59Zx5iG8#KQU&(F1`u3bKwmS=yxy?V4Li;G|0Ptu!IEjyRIemiA`?awpcqunm6 z9V4H!N;`<&V-}kx)!h$U>;5{H)lLkfy6@)!zF%CuMeT7_Rrtbm2gx6252-4?*M8c3 zZ<$mgXQ=-^HGQLrejb0kc6y2_U{5t%q!Z0cD zwSKjb()>DJ%6`*Kb9iiCuBLGu-+vo>eQtDE_pwUs^r?59l3$qpxEhPOFa7+=7|So2 zK6}3af0NkpfUH!HjwL#q{2yWY5H+u@K1&r@vsmCp={Jl44vgVIHe+XjxdquNTu z79Iz^OMMpIPFifepECS?o};=`&4drk`zN!S#Iu-`8fuFA8spF+PYB7dR^A%Rr z(;Ab#Np`(Wjo>H|ni6iw+|YuV8G(I0e(1Gj@-*4bV30c26m^c10^u?qi~uKD{Be>FD)Ig?E1da-*UA?6=4$YcW_B2p{bqr;TsP^!+^ z$*A+_y$Ar`i_!<+NI*G--(-@>ipRlP_=*R&;rV%FWjAvZXlj&hccCb-;gecJ#8H_g z^r*}Xc~W^6FO9kf$3@n%sn3X*A=RE6I0Dixcn*c{n*@pDPms8Cs9 z5~iPRoTf2*h68EP%6BymfX`&UHJ#tDO0AdQ&Fz;pC=F z;ltHI)g!w!_mn}vvJ;{p=lOW%}~$Nx_e3@VhjxBP3Z#(6e;qLj}?)1_*&`QqG-(?-$Vd9^68 z%=9s#Ht*a)u-@LR#=2Z;@d9NPSk&sV%CVufWuww9ve9BzqG60ThO4=+e6GOt$J)U_ zzp#liKuU?_*Mw57KBHoN8mm%0dD^A)dXtK+il=B}5Vh%U6xj51g(LIM8JF2-Qv_Wb z7}n{;I`P?DtF8rknx5U~+vF*B%4Ujl0ho56?qAcRo_dINW!76+qd76gHJ5_SvY_SF z*DB5YTPnPA`@oXHI9aqSS*O52*f@!mMcPRf@gcE&4ZNbV{eS@}1e1p7W`e7l0c`tS z##jJE3QB5V<>!E1(b~(~5f70$#Is&=HceA7W(qdl-*=aUgwknMYh&kib?U+M8{|Lp zDv2_B$Cpl8;2Z|o;+L~@~Gr4JbyDKM8+}@ zGr`UuTCIfl@#%)4<^w|_CFm8*E7F+K?4NSj#ONqIM+ViizSBlv0rz?_!}TWcJAdBwQBr`j9W zu2jo**rp#!MjkTo8vGhul37)wNz$$Lt(C_h$+iR>X6tXJ_w;+P`-eE&fFYl+Ll7)A z!tzv@qPeLJli$?%Nbl%xruB?_CZZLjI;RYsp)8*e^Xbj#tFWIi#qqJA~;MF4qGP zv~ca0F^lQ8y7!;A30oOb{dCo8hb{VZb>D%3l4S0`Mn$hkq3SQ>NnKN{w+L2~@T?{e z$@_(gt}NFpA}7uerw!Wq%bGbvEj0U9L9g2X22XA%gkwG7eEM|!Az7v91HwR4k(!x* zBSph&C3JR=(LiWZJ%T=)3cg{Ot2yuGuC-BsXXzAUFj;m8a?d_fUf%1qK%IzSS>iud z{eP@uv}s(7CPRZU`ey?j&Q-n0zcrkK?dn04|4=I(q%;OOL^#WuY+8_a#K|e6nWdl2_1;J1*q#hN z^QlP7dflgZHQP=|E4EzF7wnnfPw7*j$?4IVdw%1xf{;6R=cKq3xqUK}ge_irLnpnE zxK{=GJ8$gm9KP5Dio)*QSPOos!@2>RP?gFvNU5{G??cmdHhdU(ipUOSM&CoADlK8w z9v$5Nu+`xa*xQD$;S$XMy#p8`Yi*+1r%p*ME(j1zEaw%2BtfjLZP3$dzSM3@|GGWw zf=qM)@*JZ-${B~+A<`vVS?2W~M$3cHHG6}u@N04+K1{11{g=`EwmS6ttWN}gnpT05 z>W(6`Lp&7uY3{shT&NU~3;u>v}#;SbOk9se4VZ(Brn}Q_1bKk7mXr~x4P2>tt zp2$)`IcViUbZGXF2*NB@hG=as&8t^|hyo}M{u)%F(W<{i#Mp2L;{_aj+`hUv4T^-y zrf~m~1Pj9TDq(`+NBx;i+Ps)GKfnJ-cYAi1{AZsz413T|zVY%Nn8(Vb7T-Yo*`6C6V zvy4iTssxqAy`T&t6WfV8fE;oB&lZ|SSHK^oU1n;xWts(|{B=5HF47sIe7AZ8*#l)1 z{Dn)Fk(*%W^d;LByaKqW$!0~NhaFA50gq=Se~Bf3^e%gYpJw(#y8|kyNCD99M5e+x zUOXk4wQB(w3j`HKY}r|etQ@tyY!c#WuJVc5q#fr3*s_psDqcx2nlC@O_dw@%iokF; zl;Fglp(jysaGmPrR&tmVhoGcuaBx{J&8*}IEh?J9rG4*}VAF%133R}pWXi=Dpy_K9 zJz}o0L)xHfd;8+$XK+~{QM(#8x7th`Z>%C0U1FIF(;%d`ihQa31IPua>h}IT;tuss z!BO)3!g$m3Ufm7Txfl=7P<~1W{+{xK$o*GPKflc#_Bo~a2 z0fnCAgTiC^#I{R(rLa=780}P+TE;z46mv)L?pH1Ib#O5YluI+qg?e(VQ?=HxrFqU7<&hLKo<8=%URQoH)sV&!p%ZIFXP15N z*AJDqm&#j*@EV5b?sO4;8(wJF;{u8W&?1M^KWcmMESPQ{Nn^KE-w^hh?|q%z$!+NH zFE6jNE>5oq`dH$jHm^d_`Mmv}ZJX|k(k)~5^<(-4*bTFpg_S)&z}QUjt1j}1(w`QI z+aSWq>MTF9fU=F7-yN4WM-Z+P4n909k!lm{9ee3?x-IQSS#Er1JZoj~j&Yi%sa@g$ z$>X;8Bv%D39g36N=O>em=u0^-?4%_h?otE9WqB`gJ5jogsuO@}xT3O?Psnfs&|szjVaTV%;b)2i<3 zm?w88mRRMAB797}3?p3u2V-Yfe-H`Njs7?2{JL7($1zG&j zHVd$K;%C{?mJ+l3CE~@nv*zTYDW3&8PX3987?jhf-2KqaQIR7W%mZiSWaPaZV@61| zkWy-*>M6=QFhA*EJ_PURtLc~oup#Mi$C~nI*wa~kadqz zg9;3R8^$CwsPTeP$M8+-;*$({#^otd$g||H7nXsx!CsrhAp!RN$c?OW+?COdi~OWU zuf{GKsKQOoqe*DT64ZVUCl)80&Mocut^#$!Qc+SN4C|@nSez}`3s=oIof7sar&n~z zBU=)U|A?pgGek3`O7W)tl`6tpk{Gp;Y!W|@Jh_{gzR*cAjF?)8uhkS=yS4(w^d;pQ z91~q)^LG?FlEj7r@@^NPnBtmN-r&fKSvosczUCoJeAzC~N?Okrucwl^1cOO|C*BKo zy7}x+78e{i`~{5QcCh}KH)`vkM7Kw zY$5B885F?J4{;VU<})?Ds%6e>fc_{$BIDZkLjGg)J0ILCrHe~`Q4}f<;^*J5FpdYqj`< zL^K+TcLJ7+S8mcW=tAP_^G?d>4gA8rz0wWN0KdpY?i6RhZD;7}K@1U_BJ}A|jXC+` zQ)&Q(nnA1Mh(p$U%5lfUN4M}*1PbZeqbS}(=JGj@Ik^{3*kew*N5o!szrFiyyG9RC z=j>Pd{Yy26PY{>QaPJqk(ARiq*e>=?xma-ZPm}EUDM_}W1M0rk24TN|wf3!`eG)uZ zQeU8fj$fJ`H7X%=rU!m>imu)_D3Yp5;s?(Nu}@c$BikG@i8kg5bi=nUX_W%9ch(EH zo!#Lh2ojR=*c$RJmA1`Clp?|{IU$au7rP+cw0V=&)E-`P1G{bW7bfFpyo;UT+9!KX zJEJYWO`l+^c-}`8*C!G!cpTiv^$+q6A;Yag!#6lAG(rf!FJaw0zHQMiTt;I>*EdRQ zah~ao0_JHqVx%byDcuk^YJ)rGZv(vVP?kIxXyF2%eZqLQT8}@6b<*j#v6^^S;qKB+ z(Zzb8Pn(Klc2n&Bj>!_s_W#%9Rwppv$T>|IvL_b26&9)mJCL!9x(L^|wKoDb(r+0} zvv_cza6Z(oKgZ}kZt9b?wrr?wlH^dBuFwi)y5qs)&cO0U#*ko8trj{*+_o^n(e62J ziI7-K?il7`VAx$ets+FZ5=i%B>B(Acrrl*r!1lXic}jb}Q*X2F3X*s8^BfbQLY=v} z7%QfCXxFh>_nluZLc_{lu-oTSUE`&#F?qW6BM)BI>cZ}Y}wySDzb|-_%#peB`cO?R}lfePBm|L3tGBzCaGPDl=r8=_l<6a&VNGGzEZBa z751JcDXjvkyIQ=rjamO|eDSxMeqGhl(b;62>}$_qRMqP+;pDt|W$4wz+si7xTBdY^ z!n0`Xz~`H&o%`S5%C-&HwNuVj&w%eh*ONLgEB^C{b1&~`c1;-xbsvSXH_^S!Q-`yo zdgEf_+RSZvvj|_s`;&ABmjXKDE@|$-_Y+UJ^Qd(PbN$ZDrukvjrKHrlR@vlYi&Pe$ zqB$)4@48^I0Ydz|ST66bUFwMUxc25Qu6wRRLrdZ4WaHZnSIym((DBf*rPMo&Wa-J{ zh5aA^^`bS zb$UI6uGke1;2xto;Jj{)Zt%+iIs0@C+Upd9d)!-x_mbJ#`bK;EGPSO{?%d%r!a2-g z+4A9mH{bLYdp+Ihb~1pE*V~I(o(-+-#c0WR(c6{$&70h4$a`ItBV^#QltSO(eq8E= zi8Yl&5o02tR!Udhe*4YoHY&vJQ=MjB^{Bjho=Ml+Q&GM6@;t%T*5W-ofG+c?|LW*| zb&b8q+qk_Q(ZTynzt>x@i!O`w@JX6Jd!yNvU2eZ&RdMUSWQ%XRvsPw&uhs#A5P!09 zlRbK`&Jo@kGZ}+_pLm?6X}oK2`EEs#S3aZOnTKHNXM^cQ`=BN6YIQnd;NQ3K<5)HF z?WeK(*EeDt;o6Cm>&nE7K1{`AwQOjICVkHH$a8P|D;$pT?Y?K{cCQlG)QVvVddUz3C4H*c|%>{?*0ug3R8gYEOGt;s`nnRmg{@}Y?j z^;NgsJ34=M+8x$ElqB2uHT6<_raSlXqD23%(X;cH-FKG;$G6os#Wer!=d(%f=XFZl zljJ_LmTy%O&cE#w`V02>SQXvt!* z@$l%awB@_Vg0R%Ls5;|2mW-k$b|I6`vSr(=K`K9G1N>z$ zeb(U+&tv3x%Gf%y&GxgSaf87cmg&(`ts38ASJmt1G0B_9#r3z=3ctB~m+dP5sYV(7 zG1jU>Sa-`u>6UM)cf=+0qQhv{>5GysT+GQ2E|G=MkC)|)*4ptpH<{39qSxFf`aPoR zR~R6q$d8gD;wk|-?mZpM#$_8)2Z%QcVFhcX+^x@g0H-v)JSq+FXuIx*kR#1eJ}oTC zGNyVh-ko&i+IO+EoBxxPRsj5x^RV!4%O-&>lma3+BuzITlzmrd`p7 z7TAk1rZV7{jW;HcMp96~-1~P15;X^Hue1E8m=hR&BI?vb0-p&-!VZ~EFLuZx4P&7k z!-e+8N+=*Dyhuze$f7v^nY+Zr){wIK&7MZ+XY=VPBavu{DIKExFcnpD95?B`WF-P@ z1TgV`hCH(RfP@uyet{S9dZIznu$-v>wJiOIp;8)#qK)Qg+#Z%h)fyVYFeXE8iNG8~ zNePrVTbg@@W%#eAb*Dmk`aBD9LKBV}cfahH9CGr|lNE4yiKP6T(Iu{N5Dh>Nw(9OV>|E;QXG1nUU(i-WK zHm7qlw-dI(m8*eTpnWJ;8*1$l$r`WWnzNx^;Qg<#<)0x*#r1E@fG78mC9q4EkR|Xn zS9}eAvuzhMN5S_b;dJCRcD%I+f%d`NPKbtT&W2=vmwMp{f%kA>T(HY}q)WURzWo_7 z!FPY*cla5flNlYDwHUlLV9w`CZYI)NCekI#%-3XYCfu4V-Wp}j=R$7hzX@?PmNeLaa&RuPqC{xgsFCbjrcn?>jQKUmA||aGbfaq`c!l|2so?ry=B5;arwhp`jLXY9 zq|cH}T+2CY4mFr-z)N#cHBUEOW4F%F%2hr)Vp%aOTzX&Kq{Q9+J-^t1yeKFi?%GFs zuPI{QQ+Ti8btpT=V-FbtIIKg}#cg+Ab9GzbS;bDAXIJ{99e0Bp{xTYQue+OMZp62u zb`jE&(1{Q zY)ECi2^W?OHxlFKACM|3{o};Sf7Z6mCM^8IIL(HbwUv(mlxg&v8& zb`HiPH(#ujN>5%viDHa1SdKdkT-_SgE6Z1fxZf4vG2*9vHy0njPv_#Al24xldGCX?4m&qviX9Vz) zy#^cuaAGn;C)Q(o{(xbIq<~K^2Poh}HR75*pyTbCB2Z6OjqB-I8q+~SFbQWFVv_)I25Wxd; zxFi!&bXO<7v_B1NUw?REew`mQYW5OH@D98CY9!Alum}A%^&5Yf*~mJia~tU*|Ek|AZFYuEE-<&i*+VcPSy&d7btk`sD*0Vj;|2exGO~%jJrYu&{ z6->S_gt^rQNwlY*8RM44m!KLD*_F*YbGQ8Ei==&CHsqbrCdOiNIB0c!drjhxk0$bR z9i@`?#5AoR2lDj^@ok$g?g}L}Hp!H;;i}?U;dCJcSe?r<3w;4hdg)#zeU% zV~V|Lv15yYN)_Y<3arEwPF0%YJjo2(WHi5E8ukWeIHe8Il}Brg$)xRA2z3t4_&UaB zz1$M>UvH?y?{}4>kNV5&(waB7zs_Ep8rv0|^{f1IINI90X9=cAGnQJsK{1P2ZFE6)8-}jneW;5&_0RJ#k~9k% zlyu+bhH`t^NLc+|J-&u^kM3NUnTy!zaDEf9^baky1j$L|NXgK!I3#R@DcNMm1`WKL zkSK+vm^HCmucrZKb!J)Ir^s;kY2r2M+g|7}-TOl1#H^E>hE%4d3H*)*3~jg8OZ?r% z@Z?=;}KMgE3njF zM-KNmSea44K+g6VTHSzXz0{rYUQy(HI85sF4@{A(s zHH?1Q@F1h`)o-vDUr!urla5nREY@uVG5Uh$cx4?-3>0cDd@qLHGByt!3={cP-kCWu z60uC})Jfd$+NZVJz^f2X_brXOIZXKn`r@Ea$g^97aay zFzzD$LKl?c+=DkB5oI!pKv;zf?G{WP7kZJ^{1B>23eqBl+x2YyvzZl39HQ6rmdL9V zPBF^t1D#(O~&3Jc{ClCS&oi4szRk;-Nlb&2*3*aUM1Y z^(o0n0?A@SFQ#VlPJn_(Gip9;x1CFI>UCkHf^&)yJ|K6$34jmL&@kd_1-yRRs}${r8a*i1NYux4v$d zm61<^oE2BsCY}f$%vjCyHh5 z8bzP3)n-*^>6X`+0d9Lc@KRSh$Ji)^*@=hptyBjV`ul!>x{Rr!V(ezD457S?sRIrn zWEj&nYIY|ct&({?J|KQl!LYlHYy7OtqO*vqKke*yWu?Y27lRT%eFid}enXc|a0e(# z_q)zd61u?HJ3swo8j`SePnkHxk?7Le&XDd+WV_HFY3EJ2W~pFdqm6 z7RLG?dUr-fewX{F9(2}qyc>;tG99Qg9e@JwEP;2pz&i#apXoI+_*@A6B6mz8JmpPo z+*W`bHWHZF)sv~EMAv4{@faLFjWALiamZ+_A;>Nd!z>=2c_Z(APiAS24FR@Vk!QiV}D zN~TSn7I5rDJD4?-I{uf}*mcAD56Xvo!X_0-Q&^~_KgvOCLuu9@i| zfa!qHXe7jVgnumd-y;svn_V1Fm>9$Z1>*{|lU~lirtV((MzhNp@N8=rOHTQo^!H-p zK|-IRj+(=TmId+SkC~FqQ?o1kXC=rKxM^sBAuiWXTZcr@ltB>I*el49hOtp0vSG6; zX=AKz82SVw`xnyo<lO}*Xh)kbpwEEJk>IRzE?6>)9~rH6#jgNN?t?gDbe z37T^JuZ+}#2PPGYjE@t_O@rdP=LVsI~b2vI2tq% zP5!iSxfY~`^YC~E&5r{=^kZvwM{T*T_G#`&&QiXdb7Rql_DL#3yRMGHLVHZwGmYi| z0eB|_yc4VZ{q_TzCKlFqDf9u#qX;{-IW*MLNVMyRa2JWNO#(6dXl%Oih$`r9Omy|A zP#2xBO&oFaSgb9`-ttp=UFKQgWwNO<-K!oAW3P*!1?Oq!p@+pDU+SvHELZGHv;6zn} z?75EMMgUY;O?OpTt6{{Tb!QhX3hUxL)YBsDFfnZ?eQ3Qi^aaMXco+#?e<@P_5;eb~ z&&BlwP9Ua=3=0&9=tc>cmlG(o%`=rJr^n z3*G1Q0m6M{U9w(}-5j43D24icEW_{|*4DS>Fw7+p+<}YYGP*Mo-kW$C38!-8E9{tN zD`9zy8j&Hv2NlJcRl@EcZ@vbJ&mW1V-|0Sag6wWPn0+px6(^%B3EmRW$em8^t%^ zIw9yoDtLoT_zF6(>>)vi@AJ0^Pfq!%^!67h85)7048vtU(}670fjzJe9HslwH3^<^ zo#-PxV1}rRKuIeGz%(w^c^I6I2y@(}^^6UCm+<>^CWCZ^jfyl)5|(R(o2K&@X~Ub9 z_q$1W_**ND%dU0~7F!{4Wt8K_q#X?%EjENz9XxN;j6#ZjW(QZ3ahXI9Bv9Y1*Ask# zFoyN!^n)yljwGs}3=V+kBI9BS8M}4E*c*FvDhXbsGLb)Q< z17+c0Q~J7@TNBu56WDkY*a#NbIQQEphial8$F=v#se$)X3;<#8Wac$B)*}`c(YB+`+QQgGemByrd`OdkeIu*K`hPFb5Z(CZKn^qxhW@mk)x{!J5ZG@s z2z2Hf{I0;F_iWlI`?~-9^0lW9k!ThmLyUM=u!l$#d-KP8o~Fo>*Sf!+QJ)+ zc9zT}1RZ3X5qbUjmvb9&UB#3bif9@vj*Pb3w*e6 zqYnBE$dl}u0cs&(dh1jpgto}x-IEdAyAfVP5ndsP$UJ3C5{SQyP|g$uOJt#QtB{2@ zQ3BtjP|mW)k`)t`7A zzU&`DA|DDO8;B4rPrMO!F$k@y6~}x4`|i+}#85W@kq-@#4>1v*pCmriBm}5{ZZtqQ z7Qh!o=wvfQl-L&?5EB|IZY)ZG7Q{nGl7#}04G+Z^fMN3lKJ9%7$Ln$&5?!CB&u=d3 zq;(=1Y)%{vg6Udco_fmrPDi}8x3`HwQv@eDJtBMPjfdVvddAVRa- z>~oKm>w5ME;WnuaMu{aHT?zhWR&|lL%;dfjB~H)uxk5>ma;t0|xV577QSI_`PetH_ zM)Ae!z=EkF{D_hF(nz>R0Ng4NnHfFm!Pzv@TS+HvnREj%k0fp3);-8<@;#-T$^5)N z3cI^Kzj}H)vRb{p9gh(wPE*&J35Pqn+&4EJ>)Z2&!6$pDZWgDOQ6I|il4Sl^%jV#eMDs@=+YBj9c;$vjuJh=jCpmRZN)nzKQcpHprSd*3*n`pji zfWQ(=J~}QIjtvB3`Sq=MYVov$=-gE`mX)c^Vum;NVJNI7b$Mnk*Db$@Qc>w{YAPsj|Cel$}Ns=qxG~&&1UP?oj;VO z3{^NW7i*25FauJCE#l(CwZECadCDV6=XP5DnBw#sau*8}*?X){rS=wZo=B%vvYiH0 zvQQ8TA1`C3@aYd%T}}&mAx1-IZ#3dJm~kODjpK<`jbaT#DUu}}q&P?vMKPPBCExx& zH1;xf8mk{K=!im;kX6>bOa|QS{Chp*E?eAmdbuylqP&} z4pp09*D~w9r_;W^cquqVOm!CsX|wx5b8p6lMRnPH0L$qVg9k&;R6i!vzP|#hup~F7 z@K^q*xO)Xq&0VWQi!FovQPXJ?z=sLu*yCeSJ$lhbOg)h zO3X3F9w!0Nv4g=!YTj1Z3+7H<%2a(gL?_01LRW=-*h_ttEUS(wD{af2a5~|#g&m#b z-)}9%Fnkyafq@m@7Ed$2Grq#xOIRK;m+faPvJY-<_u3g&zWx2%y0gGw>iGQ z-9QUeEv?X%(YwIWHQ*BNe3l>ql<4LFN^iLqZckU2v8n!4b-gfqB`S0&IEb*=oIsQx z*k}<#k++brn5Yji;eUVjLO`M#^b8{-^8Z@c0Z%mh&<_`z=45tls)y9*`(aw-Iqf>l zd+lgh|Gq*rv{IDa?2SaI0-qp3<}IDl#o!p6J>^iT&T6&&*plpMUTY#beB^A&O<*fo zaqdRrQx96O{1Gb?|3=@?-|iib_n#Lw{tnT`L7HR45Zq6!{u9n{xjX9{+-n7$DA18> zqM28hop@R*w8&;feFth9+zRlqYnvs;FW!smnz{j!k=o=X3@;<_BxKU>>3c5ue!I*; zG+^K$u(#SL1*^Ci6d~ntCh-I`v`6xdh7jtwDg)}@q#Oud5btz(oi^`=CTQ5HGVg2T zWJaigZFM7ciYSvc{?yZ+zU?&X?ZaV1xKXX{o`)o4BMALK9ToEm_rzm zzVlI$<=Pm1XIqB1w{+kz+^4t++gr^S-(jK# zr811^d0(u0<$rAWF$0P%*vD26d9%ESnE&02kxD@6&w6nzwW%mptKO6P_KaJ6wnHs^ zdBjNFXEY?GOtpfrqiK039rBN9r4cO;QSrlH=51|s8H57><825-N|`}&^6SsnqOt>u zbc-lw98`olm>jt5RsRmALNAlDxSQH<-bH-D%)C9XcL2n=l`C`7K4fC@Z<2sA4;+1d zPwz3A32z{78E1uT-)?yZZP4?(D$csxCKXWwO{DaK1!IQK-0wqwLLS4BTQuD0>|XCV z(hc%NaBHpI9VKEOD#on2!|}@_6Rp2gqO<{aY6P@a2p!vec7?df1*!I`G{pB~8d(&# zOb(0)lM)F6(;Q=0=Y*FpPLLm25P>ch(TVU%MMzjq*v2&FpkE!PQ%f=+`vuk~kwOAO zCkkUkORZrK0-f?!1>&JNzt>bIKaRq(rrP4-1qE&pOj67fwG*dv&yCRNxAG?RVfB(P zPpd+ZUlFJ2Cz$2P5T49q`_(CP=xo*bv1jLCMa}pP9q9u=Jbs@9HYW4CAv;%tgl7v7 zq4Oo%P{ky5uZK(KlM~pR(dtffMU_K)9h5{EGjJxu71JA{tswVbNxfH0n^>3Z6NR7X9}J zGk06JfM#A5p-q+m&~;%W*#2h*a{SW#ya&gpe5Jorlf&LRGT`SU%r%ZYmiPfm6YAFE z#k+e6{8?Za3JFG{ip=#}J_L3J)08u*;7EqZp|Z!ps^yEM%Q1oaiC`BDaw-eD!jHEX zokx%{7qJfE~elOd$%k)=g?fi4D#s=i|w0gEy3v=4pGum(lK@$p(QyYBhHP568#;L zaTF6-XWp$6HMkQ&` ztBCd7l8np;4{Ul>>Zd}Q#dalJM1K%6rP`nP7Il`M=~PD*u=t+G{TEwwqmywnbFr1; zG@ln`#LX&-%aTs*HxVR(t0vp_KXIg*38Bk=h)DNdEo(-v9ev=X%cloN=$`JlB2hbDz&cf8)lDYU=;~?Y;kPD*vdlgO|56=p(0? zgvc`yF-{ddF2Ojr96IG~mC3uaw`|)hI-(8~<>iBq zS1?wqYAa9Bj+Y0!-X#Zat(7J)E5&+~5>f?6rQ-YM7VmD*>}le|ilzJXAmeM=5qXgr zsKBzR5ajr3D z#=bVC3z~k~IhTKRx|hH)JKIqDx{*cJd(%5Sbt*sI#a$>aSoG5l-;wa;3{=HBf@sz= zXVkhem02I2eOyU4k<%tO%Tnz7$SC-Djc!-OL5~#tRv}|Ztbec8gGF*bjGCwWN%7FC z{8U{~a33E-ZAT@<>VaZOiKwmQ{YlVs$JhIordbW?pydF$p}dh3Rw*IL0Z~~+Uny#* z3ar)vg`*&kM?m0T!*G)1VA$>})sZ9h<3t0SDftI9jkhFc)kTb8n=(JlkOd_oU%gy| zgqu{4Q9qZRO$NGiR>@HnS?k?A-=k)IPAyudllBg2kb~1T#6Y$4cP1gO*)>?t@%_oB zKZi1h-m@Nq)($zMG|1Ixr{W%i5P4`;dK4(6?F?=g&ytd)TS21WUMI<2QgfU0jYFhw zkIUR)v(8mmz4<`QWK>{vhby2PE<3JjQSr(3a1Dn#iAw%8jx9PnPPR59q(l>QruQ4? z7yIdUsQV8k?aRn1kr>n$hiCaTt%E~EV6?& z2~#(HD45^HS!3$gK;euTLbNobDTdCo`nA$47Gj&oxbGUUL@*%4^wxGN5HW}HC7Y5= zuDsNRxem#TtXXKOY`VRn&c$?&z&-#O&Vb=Y$wNq;XT_C}rWc6>@p*82vi% z+c^7=pvK}M;~L$2s^|ZS{eJX`_7{b^+TD+8gpGikoK)+T6t5{txw>9bHn-@6Q@u7a zO^>HYG1x8{yj(nN2~jo6gMS z2_hdyM(YTKp?Udwyh{Ltmsq2`E{PUj+mbI-88DAO6lzxKK%7LQt*3|OjrQ&W$-VnvR^OcNTvx)E?2V3|J4);&=?Se zepWxiKTi9KUQmj&F#L6Q74ol@(co0Y$1GX98SDG*Irq~|+_SSO4qz3dWqtHvLaVG3 zVK91%y&Rd`kRRPB9qrAZo*Gn%zkJziIWMzMH5DB5A|s1sEYfUq_7RCmg!{+rqq|;P zwi_1zy`4`p0n+&Yf#l|_wiKiLSGDAZp6a#~*7`#BkVbw6P02wB`;5{_uT6#1RFRCD zdnvW=Ey$bel21=qGCEyk+-k%tgv~m95ChbP&OZ>hl5Zly00K{hCRnb%CYF>^!N*2L z-vq2~mBu38ilQQ&Izmzd$mc8j-wii=jNF{pWl&1rtI^u7j5`diF+D2x&fQ<=gyG8N zh6Y{fOKVZ@bQ(lSjxjfXD9BCRwl>jGMQi9S^9PrmsGMEt zKw47=rdWyLHWd&NiD+}s5Iy9DO2zk+ivQA}(9|mO#A$r(e}97Q%Uneu?e7AEKt9O< z?2j>)er0?{%LbyXyt%%)rI`M9r`Y{My2~GNJ1B=TS7Uhar=4Z-e&0z!ir5uNRZB+j z`He}loK92r6bzt98kIqOVUa&hzd*86_FG&@`Q3U&` zV`mvml1hOeQok}ds`I=MvRtqiW#`RHippPpYLjq)p!?iupK}0h7}B9Kw+G3+xU7#J z?ozH(v*tm*Z?}(~3>&vpAGH*0t6MLZ)R*Qm>b)8p`-|FDJe836XPP^+m4{`<_nNq- ziDN~QgbP0(z{XRZWh12A|*j3hst{Rz`nALT2TitHvo?{ax=|C+Jp;aA|UrgpYR)Wj5mF+q(ji3(0X{ z&$JOm!-A@_c>#FYR1SOO2RGWd2yY*$(%h2vj}_&zI~?R++U9MGZ`f5bIQk_sXxG-4 z=YAu1(uld8d1k2BPc-(ZlE2x=``W^c(T#H}Wfgfz>(_1*>5%DUp|C%y7b-G(>8k$BA;JLfE?H7Tc@HAV_PU zD7xb?0+=)-^=iS2Yl4rUF>C7OYQ{40X|WidzjZYl$bNo$-AoNZLK?Xxb}w_e+pe7O z^w)hlOixbW4G~0_={qFf-Z?hY7uI8$Y%NcqhS(M8?t1_0zfzCCPYkf1+enX`G*)pK zbgs4tdv>b0#6i0&`}s+AG4|LmL_w*G^ZPN!ht+l$DIJ}wuX0y@DQQ*@j^DX4jXW`> z*ib1o)sgp5adNEz_ z^C#Ge-Bm!7!(C+avHfmenolkySRrK(z?v--y196h)O5kz^zwBy=(J?R&6X6w7!7mj z`Pu!S&N3eo046jfHMM_9@TGQfAn=@AeBz06qWljL6Z$f3cEade}l}^OF zjBmO|>?>-;hzb?+ev}ou;dHm|)WLG)Q4H`XL{)ukClF9Zl5v24e6_N(>qtLeO0-In-iJ zWc~;${&85wZmmV`B^}#l^hgw}=y>opZeg~o7 zE#3Zcq4ri^A7NN_n)2V&L!wVl*Z_>LsUSc&jA&|oB&DZo|Hg_`{e#&^*ie9)As}jo z-pbGLARhlVEDT4}g3;xX3~R=|(TLkT~9L9k{2P4{DSvn*?`4 zl<4u6Bk;|4#0%_f@>3;`_lz@6xsa2jkF)YAnXvse~B8r&WmPVJ_mkj*4u}DU|e;>sruO+ivo5kB)a+f ze4l3yjnjR|J7a!UG7)eJ)50z;uC!&DftwSFOQPtY+>n!bz)w5Duti15_x|uYg+4SZ zM=02$Gz>Y2By_jfOGshY-}P4&`rJE_>(a?Ae`|v=<1U*+V;{VI0MmWiEN5OOm5~Ce zTsaSmz|{93`T$lx;`U6v%JDXZS8uW`4dN!OpPS?;f$pGFk~6r34&*btgf^a8<=yA0 zB4z)TR#GZR(nVr;05uLY@QLENBH;Gwp0y<5IV~s|{dM5`VA!Ls;TIDYkdDe}q{q|w zBJ|bY3!#nDn8h{~zvM{hne@E-wTZVKA8~C+ znfbQAf(I${CV?ykkdSTpie!Ans7fInd@O7+qk8E@dUQyBS@d`Cw@(k;<0Sz=+ugix zO&AVUtDy6M!7*q>hdE?_UQ-j;@KXLRAlMD;dPqxh{i<}%yc1_Rj+6Og@$fx_scYan zij3{ylU0E`;vt67PgZOS#4RR}3zKLkI`v4kUtyFGH?~jBr#Ct9n%`YMyAlbF*qw*j zs}pb;#p6j7`$^**Bg6I4f2jzXajPrx4m;Ww!s*o~5T8D{qjP&B4gM_iBqcuF;tJY# z<|^k)xIv5DFszPky|o8xr2bT;S2l{fwr5o@PdHmw zdq~J+(nLR?KUt62Oh*nAg_dRmeK00^MU z3Ii&)*%#)=ed7SfBtb4fLZ$^FAY@Q+ScRiilW_(y$O!z{w=_$a%@>sW-s-W_o3((( z{giURUFgq1$9|~Z0)vik4_uWpWLB+Y!jfHSzm1L`#6REF`8&!E4c{%VxJOGPe#431(V8Z$AC(8&wVKmcBl0qib!y z`+5JOS?Nv}xcc|3o}RU$v_9?AAxVOhY-^Q7kEHb`R@C<@K&e|BGVH!(rxcLf2NYdj zlog$O&q0E+V~^#yASp1JhZQ8W`sVQ|X(fzQM~@2*X%C%fEW)92+-DeUQ;JwDso)`z z$$J*&gm|~8)zaVAvunP3w0slE7Y5NrAOzw3VSO=oL0uN#gI=Gg`=g_JjF>j>1D5no zUTx7SwN@$_kRwxqG1)|{I}kTNh&C_;)l-{W=R3nhJo2QSWTB7ewzUTX^Q6NnwN<%v z44tvKU0Of$^VBPxYrCu&Y~;|p-~1Z+XcPk~jQS-Vm})_W^bNZ%ex|N8_zT!b#KU;B=P(aAN| z5xud$<&+0VFTR~!x02tS;3wTK<8(z(_gyWwbb6_T;0d|(_4EA50$hMZU~O2I5NqVAm|+3;{6J7f-U2z~JyiRA_fE5?=((U8m`^k_}J=7bR{c!0y$GK9#e@ig(_vf8VglaD0mpG*=k~aas8)Dy^-t|JG zm)8}~pik_qjhe{k$FL#D;sMR8z{l(L6Pq~erEvI{lid_+jT1HV9LoaTJD5Y)>(k10 zhwD_ad8~r50y}Iq2D;bLB{}(t^wPse>r|B90=X(s1svC3Ubx(sbGkmwu9yZ$|Hf4s zJ%ZX?r=o8EP2eCWF>|jMnX^KUb@x+{epvTwHG&G{$;x%WC?a_$<@77D00p|99RF^> zN|H{Ph+bFi2qsF9+jS9bsPkLK*BIflST$jfb>%x2%khEY^tr=;G&PI4^$@(4F{FWWyK<4cS}>0Y~ih!;{iEkc7m$XuUnB1i^#4maBw4M`pV|&URu2TDCp9r?bG3*=wu@ zSea}oH69RBPff7#8wOT-`+1VKn`-yxT>pyH06^630R>LlO>^!J%-0JCT(e%Dud7lR zVph#bXMPV;-bMe_MM!_$zl8}hBk3bSI~vGLTAG#%c~(FO@FuBnrZ_8+Zz&JeDyv!F zGx!ket@}8t4=N^z04P0$VBf!@g$7KlkwFJV5fQ^@DM=HLA}T!}0Pz7u*B@ZdXa_F3 z15V>uZFvwRreTeZJFTR{8_24DsyQah6R?8rM)sV&Ebv`0J1l)xD#QP+(s7o!$OrDm zrxR?HY==M3u~w{@xBd;*rTU$k_n;D=So*{Iopd9yql}N0T)Fz4{CJ2zmdcHEV9oKs zt>d8l$LrpIyifehFRQ;zwltU59m(|r6!eNy{Y=_RfIH_=|GBup`9t0*H0+Z}xD;@$ zBPQ6i$0PofzT&yyF^WIi)!vg>D8T|U>acS;`~RP}QH!DqyF zj>e8gWH!F2weHoYS$en@e68<&(P-!Jd0N3)B1A!8BhbaWx^#><)0VR*yo~t-#wZ

    2C|Mr*b zo#n^wc-~{#nOD9A&e%uJFRyU|qHGL7tgn-!R_>uX#WyG3z@WPGt)Iv1mtG6wNLRwZo8Qkhrf=Im*(|O>m<*9bL8TRm@-U zLH`8fBglC^a57phw;dwv{+*j;UetvCCAIx%MV7Hyv9Vc&cd!5>5uMo>&M#%SZApGM z8YNMd`I%ldq_T(oZQonrBwYb9P-%_Tq$bCJ}XN- z3)K~^9|;lr28C!TL!67O?$^4JsfO8xtLwp$KwY+~0vs^`+NZ0D$kC`)Jno3hcSyfmlzKM3 z5{D?2c#|q{X3Plis_y59*i*GHa#nnj#f`xNOcrdH2 z`|tE9_-X_$8gH~(F|>@W$}(E^-?$vKwkCcRX?7OTI;Xsg+mHR&@$$Lb`aw&;@VXjx zLHVO_*Ikv6owT^N*|@8S$~>TrPnA$u2GioJkhg)T;!#J}x6c1+r?-Aevg9!&zBs5b z-`0tpiIRV2zq+-Il{uByco@vg=7l{Y+jd)4Rey~|Hf8bu7pj=QOR}l`Yf(fP9$C>` z99R1!LUwgi3M%ogn^t9G?J1+vYsUZIH$h@@DgBR(ag#F_YwVE7bvn+8yR z@h`)*T*UL<1^?-oZ#+*{#vg0(u^CA1zb^&R{iOCjP(6y8f*KsBhenH;JixX=Ckskd z!v`py?YDe}JfC(nPw5VX%Ez zKk;4A>7uK;?;P{8aZ=u|ypFFpv`hTI8@tJZmsU@_rYwC$oa7%ZwRiAWM5{jktIQ>M zVO{>|WU``B_at~uYGQ4fv&Y@jvG3L?<{>Ui{|xb$iTw{Z#aBk>9pPi+IGeKxxAzV= zZ50Qy^A%NPrJX~Yi%+feK^=gehz0=yP4y?$F7C52i2|o@)e68@v0*|~`Nz#eIyX@< z#Y53VVwwl;^5PeT>mDOr za}MxYO~=k}yjoYt4oYob>pIJWJb)_C)(bdzK`^NNw-en6CP41`3$*d!vf0V)vrGP zc>@yd#pE(cwm#}t(?W?_eVfGHGN-E%kX__D+w~{8^OI;TeW?&oJ~fvWbIgk zD{RWxSt$N97dQ3jt)*`^4WqJ=^dCkumwWAX@V%S1IL>7e^=V|{P6Q}6n%BDSeGDN! zK(}K%O%^Y}H#9ef`+WGli{h!6r_TK!4^HzB;@`<%+XUKoH5Je9nmtI6vd<&(e_1*B zJ5H8Y>86=zR@m^-k-XSB1;Ni4U{l^WvluybJmoLivB%;AdsZAr-RxF@EJ{O|(MWigD{;@O%2Zyib_4xbYdPO?pDr$$s#OLp` zE;`* zKatGDOQtpV zKXE6jo$D1Tyo9fejG-ryk^9=PszrITE?bq}l!BZSGTtW^*-eZ;Lr7FqKu6nNRE6l4 zdNH+a!}PM(yuY$~aWGZ4Oq=a+c=5vaP?d*YLcZI1^RD7nXw8!ZyT&~mo{So|TX!mR z1pCv8r4J6Xx<_vHTl1TJtq0v#`zVPfsWEJ-XCJow$jT875l{H}yXw+6 zLb>Fz`(3k;_6TwJALL`(^WVsn%w^M~s*p~HB(*5@6&y>uvLFq)|hwgh` z3GN#=T2uW#kH?Skr4ze8PNjZMOX0k(DKNgEFLpguq~G<=%kg2#DCmnVaI%{!rFWkL zZ<;;QlRKshx}q;ePES`T75X?TP1wBLjfqu|t_vBt2m}_sTlVKsXW|%)(S64j0InKu z%GQ-K2n~IyjL@|C@P#GIor71)r@Xky6ql|u%V)97S}cqd%i*c_$|1U{$Y9@y3o>d9 zy1m7jQeo;Fpx+&kuQ5<2O-Bn(Pig5AW$GvH!LD|%A;y_Qv-gX}d4oPmCSL#IHFt7K z*N(Dzq?h}Im0>X?Lw!zyTR5qvT_9)a!@2hp>d+>Yy#*$BIX3piSQ|yl{1Su;Rf17Q zyn7GR`!^8_wy#n*7uLx{6q;ivv;(va=)Q`MetA7lKZ@8BePCZgU)(rArIVKZ{=$%9 z;@i3W`%rv!HCa$4q2tKG{qylBbI0s~yatww6r#*z5s;&WRK1BE`}|Juk-Wm zzc!H$913cvA0b!EiT7zMI6rz(jr#>&@cP*DD>6sVom-9NgR4bqppB)6ywKVyDocU5PnS6|y>Tw)I|{Qj5Zw%xJjJuGCP02ei9bsiFTUaSu|4NEC+ns_ z-U1o@H*A~s)gHd0u8gP`%#3Tc($3jd$G@41E+?KzKh9v;vQxTeVrcqCURv_miN-DFeD_$=Gg*gT%63W?RJr4&7k^UC0jb5#V`(!7@THQ zxRCnpef>u|vuKOu&ByzmxX(j~6?yZ-Af4z|s_!q~n!k?pt7yH`Tr6Eb2A(+J+%*}0 z(xg{m!v#@1cl{n|J(`&E^Y!FPFaKaOMZ5G>kXk^E=TqwMnf13OMN;+4`hgKUTficu zSNOU4o6n(Ws;Pa&HPfDT&jsM(&aoiHUDz)9+&Fvgf^OM?+$H4%K>@Szqgtq{>6ml> zr$|+UfmxEXjb@qJjX{MdpvadWrT(8c5zoO|Er4#EyPx8mIU}gBU_m*- zSPl36Kl=btp+Z$l0NaW+BBT9V56JUhQoA3*Db+D(4R@V9{Ji9C(8~@jRhJeF{VPyy z)gjSj)b-;XG1H;+$EAMjHi}lj5-zun4lSOq!V2aR!6%(L$G1hBx4atdOS}kT;^60y zH%W6T+k}#YmD38IgzeE+8CylNaA1$!j#Y+6TUo>p%9am<>jJr|h=)&e;pS2n$6X@1 zfkIXB+vX)NoiuNCEyg^4Jbe3%BJjJ= z&UtthHHzRjdDV*^4nJ_`9ywdfN1Cp&*X941^Z$JQf_ePcPa~Na*Mv)tgbFRhyzLFuQDfP-jdxKr+QekFnQK5|Wr} z?OEMbl2miaw=_`sCHI2Hrh+PVF+XnQMrSM2E&IdghXqY4+FcPhAC@z2dQpXGM7s~Oxj zI3##hJOv$O=8l`Y0I1yf+w(X{wkn>B<;<^?pLJLrN2$EDqyt2|KgT?X)}{7g9*3># z%IX$Qt8wpXj^46tX8pD=qN3@0-8F5LZI@XiE#=!;p*DA%RJI>fvOi^MS9RxeNvY<$ z-;xFIAD_~cR+0ll9cb?uEOn@9F(0tqW}@aGJQ^BLBgA(-Jiu?B5cp9@P}< zWgmw;cPNJ*n+v7qRYU0|VUZ$7$`kPQQwy>5WhYXlQ+tV$k8?bK{@!eeRrkVC=f=HP zAWJ#WywqXW8)(aOXAnT|(cFUG0^?$FW9u0WwF77cNorT%M zsgqB-yoV1qvP`eikzpW(Pfp|ebRrXrVgCG*89_f%_UjsAkjqcU6 z?dFSM)=2cL61>T+V2rZ*;q8~7^=wdBdw(me%4Gpktr4bb+_*9D>G)a+rq5t8HOa?HkOs-;O7lG_Hl#WR?RWar^$PTUYL6__NUOz z$lX7#@%XxHx!i#Uqa?Oq?Q!wEUG$mq3LxwU200Lt=^Y)zJw?MB=~xRaeWoeWdRD&~SABDhoW4`#M?!&f9~@pPv` zvA%MSqIwr!1O%5UXl(tvnalGATb&g? zrDHG~oRXQ7Kj$~uYTz^8k&#tY>1SvHvlQt!*h-={8rR3&nm;!x$4g~S=;H!2SrK-Y zCCm$HY>O&eT$vNb^EJ6#&*W=Pdze9-{{)&_$A&m#yoIfT+s47Z`kj|+HCFiid%CGX zK>fJf`mH3i15E0*0L;D6&iycP_i>Ev8y+BETm6WoQB!cWyHw8HjH@mq7xeG7SHfJ= zpVnjTihqT=J;_1XghnTzG9+?D_AJ_pOkm~vl&!k~J6sJB)Z83Ut+_12@26khx#k(* z&ZzOd&vfv3{++qV%dTpC64O6r-oUShFtD=DvqpY%qu}&=x>-TY7Gjd*LeoaL z4flFW-<5d*GK+!_D+>>PEQoH>`b}0}XbU-vxzUDDE^e(1I&1`e5D*t|s=r)w+`Y-wL z&?obxeP^-ZTl*{i-8>ST$PqiP!|#1o##BrFKW8i6E%30Wk8s^6PFv@%3<`$Zt9^P% z2n{!Q71O2$(+`*u|3FykZ<9)xHTdzUxlPToVPcJ?d4x-0rEfzaF{_A$B3-ew^Wr~V zmbFxY8Os;1R`>gI+RA^8{EWI^(N+F-860b?ZuI3A=kuwEmsIf3p~BT)zwS{Os{t%} zi4?kdd*9yQ`$o3klXk+rUebQlcq^Dc%+u5j#lO~O(F&OLz$J@^-EgX_RY_iMl50ZC zFUQ+dQ-;^I>zI^n2?dD7s>9qVC*PX4IFf$>#os%WnpJt{{ruBvo+x$Yu29#8qsl|& zGJ}$bEwcN>YQ^nYR7aG#pIfcF;KBt)U)juzmOFUnU9Kejwg4%d@P1HzDw1i*7sxj zW&u-+Npq|YTF*2RvbfMwc3G$Sj!i+HiXL$5@Nq;(jD~UiVT!pbzZ27`Q_uzL9Wg*M zjqm$}LUkkQQNgrIR-;Zn&J-tI@B0+4l9el5_5FKs!*X6Pbp| zEO4>(vHz~fEHnvEXL28f@CJN_h?AV zzY|8ID-UaHHT8K05?R>u~Ru5uihmb2+(|3FhYCR zQoq4#1Sip|Y0P8IcQjyPCEd*Z)Pz`iZy#fWWuD8nC;<;n6Mx8?^sFMaw=FnF%~pO<_XX2XRUg}SH_>I?_Y zvx=q!b+St3U)JNevNAywefUsf6WY5~(~Nb!2}U|DA}-N*NEy&oz73Y6Kpzfu(q%W}@qv9*Yv8@2+5 zDthnuR zp}Oqv0IcW};4n19{i(g8Y-S`hVe>DYfcI6Z?G)bNy+cAP%NT%|>tW*is0VXv)7PD6 zv1o1bqO@);NF9-&ZxO>*d4XD}qcuew1_uaAd*b^>i^c$YfzG3$ue%TWvxA&|q>jqMdqZ)nIw!8ZtPxav^Fzxv~%+XAT$j1iM*#WL7>mnw!|MergJP zb8jU@mq50U+9Sp?{zvj)N4+;p;NFmV3$TtTFeOk&uTE4gzT@0zT0(XY`PO8hGN-Vu z25i!IIX<5w5Hsa<7zW&#s6eTiMK$WEK18aCS}NdrjCW-6LiCz<>gLrO0r6)qs5%mL z3BQK_w{LJ~#RvlI0zY5qmhnSJ7iUV4PdhaYDpj>CaOZ>2-f-*ej3l^0KhXWk) zC(F{^3xDc}CMRMJZ8z*&VMcozc-n}FR*krEBPfVb~tW%su z^g0!56F|rZAo}`dL|Xk~szI;J$@cIqX3|+I2u3;uxxjq;vxbA0*pnR|F-bk8tKXh1 zPF^9g*G*X6>pr_>zSn@U$JKuG*l1*z2tl4dyP%55bWRgs^tp~<6+YH&njPYJONQW$ zjZ=^ps!Gc+xVggfk@OfDVmZ-CO4{s1pOg}E-Ew>b_jOpCwz8}Px7#wk{C#T#5c zxQHxYQR1LQS!-07^h@N;-V6B?+U$6E=ILvrWx@UwUQc=D?En=0D~&x_*-X+hGJiq@ z%zy%w_FKR=5~QDjfwtgElxV`f;r49t)2`FC`Io`TsV&!aJ3We8zQ;~|y11n$AuG$q z1pl;zf?ptiy`wUxZl|w{h9gs+F0YEo&Dzq^@(|VAJS^5-M;<`*n*LF z62V^#?5uqtyH~VZMm<}I8cs;?Scp?*0nqx-$SF!GD4AqLi`<`(VK6YElgov zbs_p1ubk`b1n{_Y*Ep!C6?c%?=*41fU|`KUJ+Z~dMLdQYCFgo*=SPSh@-KB)W>=G zT>rZs3Wc1VS5*mBn3cWhBEmk#F?Qu@Lh@k>ZBW7LxmcpVKc*VH@&|B#G!&p=rScK` zl)V5c=6-kloy0>AY%dz_>69P`U$s38QE-EK(-{#V1|7)G8nypXP%#jJ^p{gnlCtb1 z;R>ZrI~vanXGwg*L{ve2s9wV=-p|04RB3+REcN}M5E!yEjoP!k`O5rX^xE@u5cn7L zzOE{T5-|HAgeA2u_)ED{#a@vszM#K0g%g`Q$I#&C${7+%!8@VWD#t64{Q)zVOW{Xr zOo^t)x$CEc=(@>#s%pm2$4ck0h|DCYruE#~Wj-$|0mdSB3Ly)Tq6w8pXHmX*dokjWKV zK1=;@j_hBV>S&4z+_nQ!NUK}7?B#n!TEZ*s2Cbr}*#EYd%#mrNNA~@&6v+6Wpy~{K zga3Zs-2m7OYr5#(Ohkn$ogou0(Ua=AF^;=@UrMfm-q#&vpLQYKe{%dSbWrS;pmqJH znhiH5_wm8kscTM$S+e6CE4rNE5K}Cf2{bx;Jm<2n0ia3u&#xqW4~#NkeCF2GMK{Hx z324}MXYeGOaNT7*dGTN_NpLv~i#JG_l$|95 zO$T)&p;um7!D9@>@p$P1-GeEsq0t|Ow3WvER2{v0w=maH!O`l6i=*!|EYDF7DQBT6 zmH66|klFt0r?WWTzJ1c|9$2iL?<6wdiNip9q;4{)eTfd7an850lGe|PR2FCN?4&3< zusw$MJ5dhsT~AG3wRNXE9Pe=!&cg+U2f8L#q3Tg-6xTsgr=O?dBH_Ao!Y_QdLRBQV?v6 z2%QBsSj|MwX8nA#dS?8Ep(PCe^R2QtHk7a#Y!%%uHAq@e3f0*M6vAp*-i+M(7oFfu zcv99bQETXl=S}_}Tv?t5;#F?tzT<6N*8I>FxZ zgnT|DZ{Ya%9&1A-u7(?nr$TlmV5Qm795s+^7T++mND)~6ESe^QUJ;89MA-2@ZN0`D#DA3NJxKYgiHlZK;QGK6e)J)UGF z=G`*E1Rc-HBD-ATZw_{t*drOGh)Wx%gtemk3mY&hK$jN95%8;MEa3ExQbmRdxy;1% zM<$0w+0}+5NK>45x6v))=y{Sv3cPvs@7-Tr+;^0a)1hgrTFaf3tE-__3I&;A5ap8r zDmDN_??mk();aumb5&a1eBxfn_thZjXHSm8JW`Hsch<+I)F5jkH*~uY1CyyoVD*cl zpRhZ~Bk+R%_drbUKBh7QE=tJbg68>jB#bM*dT14$(uu`YJiJ;dSOk!dE0npHts6bW z?vs-|Z(h3ht$*ulpmb` z;Ru#Y{sM{BEs%JL0LfYP-$uHVjUO9Z8dM9zJRa zr*R^1Oz~tEy*~QN|Opscu?zMpvqE@0G6eL>(UdB zhk+;Uvc-v<&2`Kz^I8^4rr2}$z9fg=kHP)WsKyv}5Njnlr?9{wp(BXD5KlDR6Me6b*!HMa7}12Wv48nJUI z{4rJJP)+GX48{*Utb`X)^3nOUdwMwY+f@;~OqIRuAiE*+WwwfCq+%A;K0Mj3+ z{6u6hbgN8!fqTNwZ%!uGn>}tVg~1i_?E1(6XwNCH&^!mJ4}%hu&dpgnL#i&)^e{nI zf#~mz)K?Z2Oa9#ltDjVFQSWCMRq*RIOMP=2Juwh5yw%wVTQ&gf37d9&*zLg|b^7#- z$9M^715(**pSOrS2ns38=Sl2-6mOC;>G)?jSTk}aWzI8CPF6<1jB)3*Y=wE?Hv0c! zZsw=c8sA{G!7hys--0G!`e}BzQ@rAThH6HY+>{)w;&qJZuBIHtORJphROpNRJb5(y z_xWHr`D1Yo7~55E+Tm^0sXj*E$FCIMz3{TNFxH#%>1|js7Y9w&Xc=AxJ-Vl9XA;%- z``cT%h<+aPcgM7s*cD3l7L)rrZdV1LVEF#FemZ79!=pm(-}@?zU%&T?^)-Zd(Phb``OK~ z=c-sUv!W=pmTVA6$-TNNn6du*lW~*cQ5kQ&$+A)RyniX-i?fJ+iE3FB-Z#7FERp`^ z(wE+r;Zje4e{9$YvNp$p8_xaJBp8m7hWK5{$I6-JNAQ{u6iS1tBD(k;x z!1n!yO-pziDUky_hc(po(@VnX%|S)4Tt(*af0E3<+lT^->PJD>Y^l;xeg*vYn7#F^ zgxh(pc^>Hw=()0$7=XO;KPo&z?77V7W>9-ca5=QgDE|TRRmDTldKyO>_szYV=P37H z{Gn#g^PsmJRikE6jsL@!j@J0Tm+C%F`1@eUyjkF>O7-@T*GP)T5T$eUUs)paa(@b6 zdOS<{t8?B;^Cp*Eg5|f<>30!n=f}ezE|kT@9!((GwySr3%DDZBDygRP*1Txj{QdJ8 z7bx!uJwj>d&@VeFS9s3Fg4`P5ro{57A4tuyOIRz$%$Ky2KOEZSOgtK3oy3#6;=iS) zPaK(5A}=swPtHI$N+oM^EevYB95E|J`lIC=zSUdP3;hkX0T_!5cN~j)A*4* z)ZEy==t3k=c5Xz2ymsoG~`r7<0Hr!P`^2~L@cSy7%Dp z+@k9r-|rEtm!MvIQcmqpMHyhm>o%`r_sFqaTe>GKNp~!wuUotiZ*?@}-Vd(1i&c^0 z385odv$=caMqh~||9Rbsz6gkKfPy?^~0!8wnS@3 z`IE4^k&rgLHaE4h#F(-k_vonBgGw>IU%DOaKc*+C#gku;c9heaA24O_?Qr?d6uAAq zJf6R)Tb3(R>{ESR=^I_f>mo`qd#jY(w&xltwG@)0H^(mMsrr;nWII2*po^sQ&JRvh z8ve)>7R**$iAOM(Gu8FmAh=L%*7_tgkl65}tA+hgDnO50gtnots=<3CB-iaYj1owW zFJk|@N^3nzz~fzG>JMi8k>0ot@--~GHtTv9MdtSxYG&WTM>%2ygB^VY#kfCO?8eXI z*l@4qVPI#!G|hM>@<3IJvJJH&NRFN)p1tc2uD*Xz} z{d&aEG~%p~;wEqcpbU?`Qfuc;X_2dwW*xpu!E17-S}oE9RPxa> zKd(f3DS{pw^EI=5ytK4fSEd9f)i6T;-ni~14~I{SYgKmS*udT-m9hWC|Dt3YvMe3= zFXE43rx`|38RpJp00Ygrt*-{g;G!4;bDDl1=aio_wz)d|1dr5w^rDW)K{9ew*F#yA zqa`oI3wwmV`*@zG4}t=A;8QFZy;&A8KhbJ7ctLE+=26QD5-TJ8rOaw@pwyJ+f1P8* zu%J5nKmcTDg=_y{bKzj~G=d{-$zHK(y^cPQqDviY-)on{odzwaeGRERB?laK-1d7| zu%(@0e>G@TUHkyuau5g13gy z?-dOm--#I)<;HvL&mThfbRUmga!{#ro*U^ayX9EjBqiWz-d&NiAv3>(z#3})K-Zx9 zpF;o~pR@u(>U655vJm+iFYvLXqySIe{3t76Pm~|qeS}j-xblAlluhXqC~1N+h!hi% zO9)sJd%=IF-dPU;XK2ORp)4zGSK_GJwZyjTTI$JQu_~ME_1X{Y#tN;-gU=&*iO_7k zvKmmjggL}YvJAEk8?B6D4#d2@Wta8NjRncz73mVpRXUyk>Sang1tVt{{}LopHh* zI0xc3d@>0?ut8n;#HRkL(4meJDs{BtFuZu#UVkNSYo!?A^zw6#JQ9ECGN2tq_d=;$ zYsTK|jCX^upyN6(ZSN1GrFm*%ro(|~0OR0&=l$@z6>|B+O_%l8Yt6EeoUd2bmHY_x z-t5#StImxAZ{dv;`SCp+-I=4?Uu~C9Ji!SwM#9Ln@WEm0r=e${hemK8i;Ap*P3y!# zPt}4jY|QVIM+@JG6#Dq}33y@f0=^-O+|Bg|ib%W+{3m=TGXNLrpC@DRHbdmF3|wuJ zXh(e)!j%)m*lwxO(&m=%L{mLBQK0Q=T3?sy^!td$4UG$pqsZ#PcMzX@bu_HTUQcL+ zpPKY@os|sa4iSMI@pfaA)4C><6HQ$5<%~TKrC)n1Z-2jqf+t<$GtqlIvq;QS4|YVV zi1%KDrgV`3?%SEw_nLrQqT>SmU$wmZ#X8amn!YRztW9@WLXqSClvkOo)srVGTE97t zqM`Y=8$Od81qu$ppzZQi5%!3!MamIKOpF-`rMUMF@FfBrLN}N@lqU|L1jfwl;CWa> zGBb5Qq7&8pe1>00i<0W3WY#JBIjlUoKl3I(!rX_zVYv8DfE)B@-A~Sn<;poV?IsNd zIU84L#LY)Q2@h_IlS?(+EG$S&DE>iK*yp{*^BL1eD=TBlB${2!Bt&L-VmfsGdVzxE zi-GFDm}^cPm3#L?`kv0|+4LKfjfy=ac={7DGi#(L17>w^gTh5;!!XX@{lMTGsKBa8 zC$&s@ht#>*XKHO3drec5x}J)Xu=I$cF~?ThWd;3=h2lW0^VM3B^DcQ~Laur=;6P=F zi6`6|!N>aSm$(UKjiPOu&@p4pi3-EHO>QkaoTb3;{a|yBfl&};JfwaE;obqc55mp4DKxuaibXCc6m9KR6i(y;(c}wEPfc&z6|88 z%?$%8rMBvJ4Rfd~MFg}I@_+7t|Jy`0HN^UfrMA3W=1kw+m`+GU!lo~Jp0-{S0;Wlt z6GZP~co&~tJuws{${#SyzePfT6YEV&Mv*T}f-s4`Il|bu-zC5fzF=F^6KbIOh7l|I z5PNvluuWWl6cX_`P#Pl^pAd$lWoP}9c|subo|)%0@dt-=1(W91DxF?!*Z*^>Jw75i z4te=C3LD7pO(f!VY4(T8^2>q#>f@pg;SdeXGXi!&>*^?0)L2+B!A*WLj-+o8E`VyA z1(uf)gf$0$frT~bR6BE6(A={lfLFfL&UB-X8Gom|oiE;qCBy~EuNEkp+^NGdMq06= zaa1VCwEM?+BTh!ePts39O&`qmu7dAtcvv;0zEr}!MV<2$&&!akCtrF9_JyrUR&Z~HMPD5KP*4U#0=GS}yC;HgS z-*KhpF}*&o8BeLJ*I9Qark&2wh?(d$B&#uAq)r$)U<-^+%$3vQEgBT1zma8)v z-*|Q0vF?haa=CM}yypr4TUr}{+jVA|6lUpv>sknXIXf&@Jw0nSEeJ0B4F7s{^#+|M zcBVuUG4tbDH{l2$36Y&>_wzHido4wq_=NjZM&q&}(~n3$RxlE#C7p?v`#x)+3NsUo zlzsj#;g*0Je%%rR=}Qw@o>1@$e#Z>zu0htbf{i z_!8!|>0dP}Fhd@DkxQhp*r@nNxV4a=UfxDNz1O(nAy_&chWt0xf8x>HrQSbxJR3ff zij(BQUQI>8+vSAOgIfdBM%{(nB>P5XxE{3Tzfn2%sp3`(7j_BL-EUF~iryXB9&N`I8FNHIexnWiarJ50lD* zouQ_EJ4g!3w>}Mt2JppxE4=bCQtScNr&A6Gl94D=;9SRF7No~Q3&S_gX`msdc_EA! z>r-!>t(Nt9i#!EiJwS{&T>E${%3Arh78$bvt~CM^S@xITaR;gR9;7uguq{<Dk&JkCEX%rSCtT33oZ3+gRJ_Sei*+Uf3tbsDOJSYkcZ)95A( z5eUz+bC&Yr#_(d9X!|eztA9eP5JCGf2nFj%zdCc`E|2?GHBLK&FJ3=nP-PGI{1l3y z4rodsuZ+1;_KfZ*lD~!XWWv;M8xEazDIFKTo=qm>!Zv(|%=ywe=t2^|W#jl+mZqkS z_0n*3JlMa*Pa&243b3u}V8Go;v=*=G`UTUK9ePaeV({QgaBvbI;$YujgwFS;pO z&K2^UBHlPX40;ISIi2&Bqy>BK<-thuCM{yXhX&c0{3~(`R&E?*0R9wR)W1sIM#K9M z7=OAP3g0ERqa1KOfxA~LQ(#FH0;}u}K@`~Izg-j@Xz)sW6U9JsMkf(FEebLz0|hbP zwAm!iaJCJd;)dn9ydO{#sk^8G#*^1z93iD!v!2?D1i^ectmx(LYg~!^ zNldgt^)tZ0L$2f~z7xPE1{ik_L%%IE6#K3@iv;%|2x55$?RiIv(ePY@)=7U->`@i3H#MH}`D6^;I?R0(%FWoU^eRm(HrV zDp{PR-=O-9^o2~2Ap>&4wdhBOJ9IMJ#gL5Z>x~u1_gP2O-tgF|dA#lo`dTfB|7SUr z8fbTbjYxVxjWZZ{mmegj5ejD0j=A^gny%^wAIr=>Pw=;F`zkeVz`C1M`raprUr#Xcyabp$a z*Pxuz_f9dtKZ0qRb2gM;?Sg)`oI(@+0?%$wSO1`nc?L2O(DA$OWlF|DG7q96m9267 zcaW%WcaW&N9&ces-JBvS4x|TC22h)u>-#&GLtVZoQ<9)|Ag(H`V-ZL86?F%uU|^_B zWZ1qMW9ysyZ zaT(~UC$jveGUO2JrOKs*W}tQUYWVCVxg_qecHYYS>Y;`r+G0sfq1EdOP85TnT%5= zX{(A?X7v1VEEfHH4K)1@vpl{h2_*nm!GH>DOF`Tha<9$ylPyvZFguwD(|YcMa0H}< z!!^kt>(6k1b!&F(`grm=0EQc&xgLb?-k|{}NnsH9C0+D#W;^zv)z^jR1T%L~4c@(m z+rGf2dqu+n`Z=Cs0$YZmlhD=8N+fjg5aj%kK>UJyV#3#hoJm)`2j@tF@p*waf1|p7 z;P(q(Z^_r|(;-wc!UuQ+Q54zGXi{^z?Wuw{e#W}8!ViNb(5O?%N;?)T5(D0?1TUcg z97bWdj;A??=9SNTHyh>uu2o6B`VxXuZo)bRbPkD-;0h^k`!`{`k30P^x`{(@I7~{} znHPQDo;03vH_L&_dDuIu2>8Dd!>yT>?6%n?=` zT{VR|fxl5lWe8>b-4!2f#nxt{XKigU7_lA$5c|eJiqeYz&JIJM2A~sGu-Vg1Y&7Q| zsH7?_9n)bo01oI(zOpK8TxnG1kj9iqz0zER>0|no3TJQJ=bEqeVLe9Z!PAy*IG&Rz zNOu0${`cPW_|%TDhlz-opH>*3p5rcD=1}z2hS|)K4Yq&@2FpGp8CE47uc_O>P72a;$aE|M%Tl+f~QnC_|9H$_-8f-kruU4g8 zoqXmRE3>JqSWb#<>-SZ~rH{x2l)cz%yu{Q@a$mp)798E_bxqWM0+$X~{_fSaT`UMU znk=E*vZn3$8>+6MZ#YZhN)xZauN$iRxiD=Ppn%{Xr`2TG=aa!X^!uUWom^hq;vE`2 zfK=!o1*^+b*l3VW(5dD^eCQ71SNYBPg(-hdqA@1R)Y_wQ0C>Fq48Id;w}kFHe0`ez zpkcpT6yvD*@9lpKDfmWF8MrVLYw#K(bp%V5xZIZ>np7DNU5Q{@L`{_DPVErsh8Rgzd z7WC6ryA@7Pi_GIW#(#ErOo3&fI#JfY2?%%*w1@)F?bT)MOpk8f#&SMAA0*7f4X~ep zn6I_RnNT)nUB*X|6$6xdnxL=SQj^vJ@WvzTuQ|wVmv%nZh6)!61g&5Ay&(x&WxMRQ zzHXeCIZKR@K>^lLcT6F&tOlegDXt^x1njqkd%b{Or^LWBPe97yxbl%5akz%G}l-20m<6-!#aT7VS$~&U6Yi1^M+zQoHAAy+Z^XU++80jVJ* zn-vJa(&(09L6GZqlOB^c5mjy=U} zDo%X@nCu7^0apcjzW0U81rh`|QKm&FbKJi0`pC!Vi3GVkzIN{Q)cNuj6j zz~;CqbjPf9GPt*5wiC6JF%IdynDk>Mi;Y$&XJQ6P%(g&(!P3?H<|d?QaZ({*$UHX+ z=QuK-yPP?r&ZXW3Z0hy(QrYT5H;$sGXIa-A|B1cOX`G3M+zd(r)5LFm9SQCCkanJ; zpe+5yka%FXv~IrZBH?^VPupUH2^*LN%_MpN&KCLay>=7x*R(5i4x+U4-mmZrT9;sU zKDoAcy6kSxv0khfjBxfJ97KYHnGtZ0zH~?8T;jkz5|>^eby#MVkYx)`2k zgyg{>Bp$A`7&?2|aOv^$%Ox9b`bBNvz)|gG?$FwZeo2(O$OVcbz*&i) z69iV@!JnIcJ_)=)9{oh$tzmS-%v8*-`4o3TlktwZX-U!$63k_#!8U-Rmtu8-1#r?f zmdF9Bv5F>YkqQ#XdAG9M4-}6_#R2WU@>%zqVq~0TpDY&mwGDvYx(VZ!U|P$ZDE;l$ z--VKHi`B$4-I<{lK)b*@_#K_-t8EtL;Jr{+pkxnMTHd3o$ODnDk_#RYy(K0-(DxtO3tBjg3qp_a4%ED@lY=S>C6>9Q4C;LXa5e ze~*9M>Tq2tbDbq}tKu!+{28whMEOs`>ct&pQtWt7GI)BHQ1#tQCHAa^fgV5x!> zw%}#4pK`v0%T$(eGHrZ(YZJ_;yo4TQ-Y=5FP3TszoAoXviCo3W{gt)Vrr(jf(h?mn z4+o3obi;;~3{b(#lFH@!9w_I)FRtGwHO@;`Kzj>J;c?UXRvg4xEl=zi57x4B6iDGq zjzExG`3YT@Rf8EVWP!O&AKB#VBvIy3U0qd!D&P{onu@b34Fh}Zi=Eo zqL_sdMP1tP{ltNk(x8UM;$R>uy%hHhT{nA8t^XuIM2pTMnFsymXLa@CX=;cL7EG)3`XHs(#%^urQSj z;ktjU>C$v<9Q!50-ZwW-zk909yWDas?!q=6vz9NP9@#85c*Rq6Tt@yArF!9exUEp%9lMET;UcTxuI$A7zLW+OcD;|spWs;Rx`ma{qa|6t>u z9--jS_;s(-_-lcyFKYv*vf&@KB$13Jr>k?}Oo0|}r|{V*g(VmJ~JL+x6w$Xs_#ag=>4UJTVYlPQEKG8UD+Ug{ zX3tI)Sp{T(m|nem&tS5_XqI$z$~e6nznqTf`Jt?A!`Ll4Bs?in>av6Po2FUKLFl(< zU|gitxe5<&#aeJ$Wg+i&vxOA6u#ei=LO9&&=;VVJCGm>x~a_%mqAAe)4Nr z%`}?t(N%T6ylCvxK2%=~^Nw8Z$E}%Ke0GweG~P=nPuV+)0+6SG2EA8_o%llIlTCdG z!i$ChoBQefJw{kZ8))t2lk_*C6rIu2#;-YN|2|`yRg!qaoN7^ZZ^5-Ye_5ulIyqYR zYafV}Ns8JTxcMn=_rc%@pQQA@0)-(kypI-<|Q%8;@3jsI#`~QChh;EvJIOCgO9HO`3zJ z2C(;gP048EM9CZUXnvJiRY;JhzoYPElsAV_XFip)5*~VDmF^dnv+|wsZvy@(UqEy+ z*ct0{71bE^5hz8=hG{15a8;21Cph9c&U;7Mf2Nk<@`?q(z0J+5E*NbhvQP<@!~3S| z+@~r26%nsv`Eu2TtxuEUTYBrZnMp%SLbxxsj^U_0@0Hcp*UmKJPZw7q^8OYC9#TXt z2jWTs2HMV8b!k=Po7Zn$5UQ^nLuMnEt=i(EmIZ+i+F4ZA@6)%F4LqI=x?!8U9o8C92s=h|ZCm$HkXW$JRq?Cxi_OP@ zl}ucCkQ+qrNsH;zKrL;20xAT#`mhlfDHiO8@nY-#(2rHWD~zFsnj}uBEBUiptQ!_N zs`O=7wXf9NO;IESzTL9I!-gA-{`dnso4*EIZC-EM+dN&Vi+0{!ytlhFDoK`qg0Ogy zzXt9gz*6cP9LBekJ##+tYMF*_YDmZTEGfjp-E^A;@MCbd3wK^!++Qa8H!;WdK`VuK zey*mN@{LK58qxgg9X4>ValQ7J;pHn*ozl`wW*` zgSy@WQSrF){g)S2j^TF{Z+AA`;*WHw8eImnaKigy2Y~4);UCXQF_PKJ%iH7YOa8Qh zD?^nRuyubX6pO`!?jcn=)YQ92*w%63z8{KDyP>1#38oO>olBN!EZzU#6I5(07uDPi z1-IzZjmE#_INGt2P+;2F(NI9S0^^VGni1=7%!TD{d-lXI`AXTR$uvoqMP%U1_z^}& zd>lVJ3N9g5Gr3}uuAGY~Q4R3>S^fH$w{|E7suyIQz@`~U(O^H_>PkDki#8i2- zA9XOCH0aZ9e73MtI1zwt8DrYImNrI^>1+T@R#-kvT^|@wc_*wuIe(t(_^iGi^;YwY z1bZh+(_!Pt6rL7)p3QN!vsHNVQ~L0{21}XUK}CXHs0?b0gZSqae_M%!^UZk<0IeZX z6ivw0q*1!nbU|11I-Yq$OCjGNWx@4}<0bqA(8M*GLYu0_L^=jOaU)Y1)B#y{9Au#C znm>N*YjIP0O!)9*(_est?9G448XOSk_X=|nP=H0-+T4$qjx)hD6N>SHu_FIbu@r-nl&yfGcZzH0o! zLdNq}|2sn}_$O9y6HgYK7ywfd+hmqioR=IueYv!XYU-YDl)(%~!qp!9rlLQ3q)d==KcLiFP5+qJ)(D5omlhR#f4VrEn^`OMIs)@#eOTCXZaz4IdB zhIrof?wYT9K5Spx=dunHc=XA<29t{;S~oRkRF2fSEdoyRimQ8z4O$FSndBm(^dSyz z9Y~rD2G-RI%*MQK^oV7v;)X2=QXUzLlCykUufU6H6<55FW|`sGhNS<=&#!f zqAFF4x6^#PypnSw1w1uf;_$jO{Y&LfCY($@ks928;qv;wM0&1o7SoFfu89Os+WMFa zKS>$$t2ZEZlbJWe5oI6k>qQY2@IP!DKATd)#{X7mAlD?ci_-tH8Bc%YB?p3`N`1Y> zM@#fz66#c^?U4t`e-Xc4iOgfKHw}SW*E%C)lyZUBcphaCMlwg1jW#d`Neek8m;6gUL+ta!&?x6S0 z!&)PG!E90veu7H3w&hb=^J>ySCq}szsf^9m4WDgrYj|DR*4*feht?C9 z_MdFu*U_nLorpPD2H%=Q@u~k!;*>o5WcInUhTACnBTqub?fJ zf1hXJ@Tq9|Q-Z_!k$-OS3z`Db(|}rbTe1w>+J7|_t4@XY9tCRBiu^v)1h2dOTHNZ~ z7;@<(4ws0>Cz5y`T$S)usq@UELFcz!VW4ngTa|+vKK2gk=*dDw0aIVY}imx|= zR9EWLb7?tH%8~B$sqObm!Gszjp$2~ri^6a0O;LRw>7@x)qp3<%Wfm>jC-F_#a<<9I5G@;SK}(E=Wc zPe&Wt7eC4{j@gKn&I6-Wi)~h;PL*u~L#+Asu+U}BCDSFc1k0CdQC7ct=`Ime?z#Pv z#Q2l_SDhXTTXx%!#eAgv-la`<;^gVM;S?aP3{$JMIvMz5pUQ;qDw-rmURM7}r$DQf zA-Hi(LkMPY`&S5%8yBqDffD%ZS9-}cQfG+EuKlDzCzes-wp~K zmyS&N>z~}{-ai2%16R2wit4%#gA6E+u;Z zUVmOi5|v3pJ737n4Tf}&YVFWDH9AR8xXy^=-f7q(sYE}I!Kn-&Hcpsdi(;uHl`s^< z_An@Xmucyuq3oGY>Bz0;7#o^vyJ&xYknuESlZxC*0Lm{vFb@=xO!x^5Y?19j(j^4< zJH>5+iu;Inlol^zYqzgU1LQ$=S=cx?#j!aV`a~bWb8Ue0X-APXex`YYArE6`1K+VfC zqbXw?BujF@p6PyGu`r8RH|Zw(@=9lh|!_oKJB_kg29a>PyC2A^m&3zt97)&ewDs}bXLU8Lc!tt@Ao$kq%%-wNDX@G@(EH@|z^mjC1_A2&dbKzputdHcenAf(;kQ7~4<57xCJ;JKNKoqNm=w;OFn_ID;0tw48N?`jO< zaK3n+21=hEK7SZ&oA+`IzI_(`1Eqf4r#wlI3ug zt0s9AW;Hhqk-ZoEYWOneOv{B5is{`;_WJ1Q zQHyTHIeGk-FP{rE}e| zj|Bj<>fWjYU7lfLW)YQGj@l%hLx2QZVap0@_lg4o$Kc@_$}B&!RKQ{Kz5n za4$BP%*;%?(mGU*HFxVKZ)uU=#f4ptOaVAGLsYmP(_t8OhHgUsBkWvYy1%9^s_smm z2qZ>+`E=e5jM&ZNWy)CvH(lPl9rreUH$cBb1IvqwkzEnwfTL!X63BlJam+}3#1-tp Y5-T4gk6&f#O1fv8#=!3?LgH}$1HJpW$^ZZW literal 28296 zcmYJa2T)T_)IO}D6lo#?(orlF>AfXCsRGiQw9r9%?~q8BE>fihK|p%%B%ugMmo5Ye z9q9xJgb^XP$oHKLg?mc_=S-M-dZq-oU{&)BNcPaiuV+S8!XHO3< z5ivnwK@l!Re`hy)E>SU2E@4R_5m6ygVG%Jd0|%b~XFCTjT^l=BE)gyR4K5Q0TP_uQ zXTN_VJKXO4Gjr=!klX*lttOKH!;c>She5Xw3MjhSRWga5Purhtd_Nnq?0Z^V{K6*C z{n_oO%ECG-<6L(?ez4VLWSU*(N{HeY>|c*a`C_E_@xOTA(x+zQu<-JeZ{g z(-QR1=0KT@bKV71Pa^$rXH0#GU*bY$zP`)i9_SV!YA5IN=UP;oB2lh)!KBmL_NuyQ zDBORIIX#>5Qhod|;}BNJ*$1=LzX|v5e58VsgWNH3p&eT?&`+QOKV^O9$^sCG39!Tf z#f?<748FO&(T52Kkh4}N@dljF>kPbJVW&U@H}QN4+1{)ar*!hV_VtmQDR?B^E$`;v z=c#dMe<~~&CeMv~Bd>dHz+Xy3T??~BN~UPW zrJk!dYYD8264a={=vo{yXORJQFwcO(vm%jxAL#Z$?y(XCjsBecK?i=1Z|+#qI{L@m z6HtFs!R9s3TqVY8Y9iBcU6LD2d~oGeyTIo6swomCk2G7EmOE;Jy`wSASGCx-(gyKl z3CUwjH=vN{o~M#b_j-^7!3aF1M%;7urtJZ)rx&QeT|c%`=-|lbrr?v z5-yN>hiIODGb7Jv-B@!{bs-hrI`)?Ye5dLBetIk9eT~kZ0;Ui-3JrlCQ4pkb3yux-+S8RrHWf5)fcGq-OF5o`tg}v+lL$4UbPmR!dOfMktE*QpM17Df=>x`>*#`<@CA^5lNTE!8?RyTYxA!}Vf zHnWYF4Z=fzN6w}?<*FIq`<7N^Uoz+up$L2m*VIQ=Hin=SX2w42d-{4xnA;gw^wQX< zyj}XP*{zXiS@HVSPb)feW%!hwCiuT9)6vDHvk1O@N|1+)8^`l3!~-S2*vInHG{#%W z%s-+(V_zyJ6=5kGjzrC#M;SeAQwLa5aR8Wy5qo@B6pb5)+TKao=?NXsqMwYv8dc-H%Z$A)W=PASc`s`kl4R#7Jo!k<4&|&g z;E=ej!xk``HcD$1@&K7hQsehJs3QY*@1=KmNXN$URq;V+ccZ_@?76?vMSv&I76ec$Nu9J^s^C|DrBSeqXJmP*6;PGqhNUnw7PbyUi1;{diMpeuw zS%5mxgp@l7XN@VFV0HM|E0DKuD&fK{x%&voqwG6w@KzP6q_HklXHaAQRCUsL#j;+mGhQW{~?p)1Rp;qi*M`na`C;8miH-L!HTEZ zeDmT9W1W0sD1O7T|2S$IkoA8}4b1&wF?|Xz84qNvzNoH}N>e?p__1*MGq-W}G=BP) z+#&3JVdYh>@>U$z%<)Gm2_1s!(s#ku0acTaCg#_h_Q2BOt17Lt3|t5&K;z8!2j5P% ze}h1-m4fnF{z2t-9b56$sG2jOV@%kdI*S`%+BdQiHuqpyX!H%B*%n zZ=-V?C1uXf|I=&X!4#R($Esp0S>^NB%zFuc&z{LHbnQidS-JP#WT4f1O@(<;vRF&L zAmX~1am`=jS-8>^_40>Sj;CSHs@Rl=CNv+j+kV#4w;JYuLDa01rF_2WXI%8}V_ZyS ze|r5vuaWq4u~;bTxbWKP<5a{1yZKw>eCBFJw*B|#Zq`19qd_h@-Bz*fe6nw+QkD&l z^Zvy|Kt7lf8hgN9?#Hk^K_(Oo)%(##m4-hq`KZ_XPek+B;(}Sxl&W;x!(>8NS0x`M zj$1UqD?GMlfBro_*87o^r1pwBj$&0TYF}%^r$MMxFV{5ua6r(3;qm! zdYapf%Z=n4lc!0la59j1O{qcAX+v|U>hSJ6x#2AYZ}0HV9kVHMK7OAq|Hs%u2&@|n z>%@wEq+cbD(%^jS9I=+?kRC654?oW*u=EqP_YJ8%bM>89ap2in9VOyrJ5Kb?$7U}U zsq;3`y(+>TL2vt8#CW@PNJE8A6&vfhy-fuwr?`M9Ln<;FLLaA*;p?mMyu`Sg5DsQYpk=?|CmtuJeO?&-Z>AGEnG?c0ihVobKtZEUZY?*Qc6bZ-uBafVIH zYou)^Z#R{^7YCnLKzGixoZ1A#0^Wo*OY+p3GHlJeA7HXWVlM9Ce z5)w4*64*|pTG9*U>D4KIK|*ZsUfuW__96Ag85wdtO`0Bqe7zDPx72H~Ys?iGFpcT_ zrp-bV+qrl zyJ9i1ic;D@54>PIoij7s0BuSq0qr=_yr)y!tmwwh>B7nTvBHk@8$og`&;Vr*ww;o@ z8=i*_J_9wPoQqgHte)8%O`{G(kq!iw-}yj}1sb@JU9O##+?4`->xt)Z^tv4dHK1L4 zM~*Ec*5s)O%U8pA#5$5-J=CZ(^a&fMbR7=;fyntS4*dlHOvPi&Gde!;O4RgfR%?5O ziu4@V;j)(t{;aww*gt}!otyF&QD+gv+-KRcN#<6mMBbfAIB0eza!3kNGPV(l7+))K zVn-c7`>lo`zfisx!;AEJT7#V3L|*_CAi9&N#&Za2wYL$THlLCIKE2+o9j382g5Aah z6UEWNGmo%2JNk7*Mks>Fz4SiT9F--ZSGEE&o_Cbm?>VWvsOv7+$8MZL<>7k9Lsu2^ z3+(|dlebVlRhrPUbt1>4aGnFo)o7B zXh7YL(@D@M{`dl(V9S${^gPh95ow5l3IeKz3WsHfvsyZh53FqY#0mlmQ$mJ`*Ml!7 zUQX#ee07kne3J)W-+vAfyb6Md0Z6Wu0c@MX9fhY`!>#VMi>O&t2agSppcGnK?`LCo+Jx0O|x3y>E6kf&)=^H-mFL2;2m^3XpM{mS0 z#u^e#C)Bppdb)6_m_T4HDct!cOl#c}kbUNRY=S{Ef}(3fR1Z!ZKNqC$FPcR+hQQOp!@;A<+ zSva?E{axBzYN8H`kh%}iPDbo54nmG{u;!`pi18dOQWg*7pY)~Nc7vrG1tCf;XAQ$a zr!9fAClElcqGce{@;rl;$;wih2icMfZKe*X$9|jXx{Z5^g*jqZNo5O1E$#lM-n-}h zQ^VsV@*!&u)I^He;@;rpKD9X_INU8?Bz$aQcHipr4O1s>TDv-e3e{FpGt@I#Gb9h~ z{3FkayXTI5I~3<%G`t!7Wsy4P)FJN*w}cznfJjbfVI@}@YwIx#SPaJK^UP>X-Nk*Z z9=B(n6ZO>00u!VR&cPWjzkJ|)Dd$+i2Bj#Uj2S8ETAbKm9ox`M{Q%wY#7;7&BZf?1 zs;8*Xw*(F=g8XOV+0f=O+EmIm&gQ~Y{sklzqC`fxw#VkxZ7yBL&_MkQ7En04@Q<=+ z4o3LjwUh0wTDJVlL3zBbCFH-fz(sjBg0l6m$B^y2o4Ev1vTSldHx&&Bc02K3TbdpF z^Kl$8_rvj!0%&1~hHThFvJj$iC8MM$p>uEYzox&OzN|Lt2E?{^(rd_32hdg8Os?L=4+C8OeEkx4#bP+)>3X_26W~@;ckSG#EqFU+RIEVi9Bli zWFOD_QvOYQ*(3!sJ|wh2fbATdt&d6xK}a+U$n$bP&smm*>QKaW!pjHMIO$$p=`waS zpIyCO_q9!)>`kF{D8YtFqGdV;cU0nO{S_)mpp(x5<+IpT+TXVnc*MfNHS#B&;S*Y6 zD<&IFvvY}!dSQkfgE|w!R~L6uZq#~VoL~X8v}Q%JShGaf967cm zSY1Y&zqD5qNw-#OHvd`n##ll8@uBlZ(n5KSmBGuwFP#cPMzA9R>6>_52tm>#Ys9vU$`T~GN|CEPEhnF&u%%fQAj0N`N?x(!Ibb7PFgI}+=+^Qty5%AuTD?h zJ_&r0+7Jbw=j^y_CSYmGQvn_Z|D`K_-#6kz@sS|(Plg;;fsgR$NOQ>Y+hA9vO>+po z;`Dq-%Sd}Ax(t^gPMTdFOTe<00$J&aJ9g_$3+_JqxS&uo2)?Y}b6;qk3C9gSydm~? zmI3dmmF;-mOpWk(ZcOId*rBhqlY#J_uw+DN2PqLm$%dF%+d#-*X&)}{dy1kNu9V4> zm^uS#T(ev=r6BJrIRlgyRrye+_{us-0n$DvR1X>%gRrb_7Iw($aje|g{TaC)Hdq}=jt z?T(msSGTsmnG&*elI8c(7S&db<@cr*%8i5i0Gp(9g5#m+{i8$Y!$^y%xYZ%fL4iCq z!^cZ1qEQx;LrVjx$U@qXJd}HPG?64nNvM6cOZr^d#F)I2pYdV@tx_Cy{ZYc{BngEV++JX8$jo- zRZQ@#Go`R_HsD|3$ev4%_smMW2W+)NCTp;CK@y_Mge zZ%9r)me$d7@vld-5g?O2Ym+&d8?;ba2v#?h4L~UDCxg=fQ^;lnV^8+md|94}0|2K@%UmXLf!*;-Zp@j`|7(JGels1gllt*QN*)tBH> zf+C$27qE1~eF~{)XXQy$9&Njv0d{?`eBNz%QA2D>^o`@ey&e|QY6h!LO(q6aec9lx zq_vH{*8!044w?Xzxt9LX!?h;WLOF`}DU}WOLgM{y;@$z?->X(EKMvRUvGN`}r1?nV z2@*n~N3+Zfn^afp^Squ~d|wozl%JV_mT&pOK)5dV0EN(N(prY}q{XoV%7=`|KjNEy zo8A2m^XI*v{`kG7a)GGHh$EFTSEY@f)HdP`snIl|;7ix|2}G_WJ1|5{ELJ(msfraQTyOn` zP}+ZLI&vODHr(pdF-=>+&H{U#MtkS@>(3U85+d`!0$)nyq22k!9dW^|4W`;+h00$D z!!A0a!A_8&pG{*cF{tszTLU8AchMfV2#yXZm+Sw!#e>oQjNNzWv2(~z&wy)GNNtli zNHh8|@}|@A56)<@C&r#|#&1&vQojj*Tv})ngGa0XiIbMlp&y&&uBjSosgMe656O0@ z9&*LhF(DNgVEYB!Sf7E;1kCc`YQ5 zDoH9Z8l5un*t3=o@-`8T6Xm!GRu?^xFO|>xZGi^!!WE@m5cA+F*bA|h*8aSU=zpX`XL1J4flg2sbahL5BSOC#Y^3HMuYebukv?lKH0o5Y^{3=>S$WGdn93)F?ju# zd}H_aM`q8iwZWOcx)kY{?&rfnU3@pc|LHl`P1_3OHX-d_vpa42gC?{81)(|-H1tz= z(r6Ko@c4K)A=P-(N@_Rw>5k`L7?t({chRe!RNkb)Mv9^h#_6~!;HWb8GX!=_W=#BgA zliRqMJyWJlMuWf$!bI+!WundO6k}hQ%#+i@bF>j-cVsDFpzJ5eY8jEi@ zn*wHomM$eWlHZ#->-|qG2A|Qbx}6K;8{Sgy2U({?Rz8WWn2N5rIwa+zqfsaw2S3ZC zOYs3UUV!4iK%zye-7)B9pBhnH!(gp}fOM#bw@eu_jxYQN`Th;i2}Wnv_y?SqmF~VA z_ojwvB(nUc&#S6fQyp`$QjF>=<9|OQCW~ALxLUUmR2cRYd}{RmQQ0l_${?YEmHS{@ zFt_svo6~-d#hxj*Pwje0Lf1j{;&ep`Wn^K8_))*j^@mxMRMHEzR=BQ4_dKIg-N~1!Hm<5KHoDYwtfHD8JcKwv_oz1Oa_jYZ`ifa zfweeyhmwD=I%q*gE_Q}4{Asa$?#9#L)j<3$WyIgNKZuN-#9OHwZD|K#H~ka*s8ucK zG9}5T7+s)$0<5gM6IXP?XMl_NsG~?{LCSNoN8Lu7{xesulZ;{nUGamOSHFFy3MqGh z1~S5YuXhyqS|#)#-o=Ey(-$e(<>lL}tIUmCW z+}l+?kbaH$(BT_P`P=BH%u8&|*sy4iYbre#kIZeNxL>?rzw}rCT#f$N;hl7E;fD+{ z_V1!;V5Ty^8qcygynaV$(n9~tY!p0xFV{r%X^28B>jx^1H@Gq*Q!UoB@Uw>PqP={R zzgFuWM}_+HhUQ|^Cf7acsEg%%yPV(E{mB4U+nw!M+74jW6mLk~xoDDmMnoNQ6sevq zok(px@BM`6RW=^*Xy3=)sc6I>xi5M%gzQ^j3P%*b*>996gXC9Rl&bsv$$Qd)akqa5 zN>>if!p_t1l~l^H2d%D+I$_`yqd3Hi?k+rtc+@2-*}MHzW%=Ipy-}KXJU(|qr(`t0 z_IiqDnQ^6+mbOl*tgeS4&ElJD;iTksgf@~IuJmjMz%Wn}J!$rp#}*e&CvQcnQ(A9w9`J z#0@t67JS?4>vweEC^Bo|pL3X4bB>o(_ASPUZk2$VIicjItc_2ySJxV$zn@+wcr+qb zp0b|)Q5y#PBpy_C4ij7w-F`ylG%gIx?X8en7un|aN^lgP*AD zqxa_Lf3)qo-ojg+z%ZYnZ=ZZ8h%iPwYk4V`l^3VN@H$=92xf-qjoB(KgvFk}V;Ge8 zR`~g@W)@7DVj%OxD8A)5TGzTCDfQfym^8IO55V`qhIRbt+UH~!>&*7B=1&ZU;)6pc z7Jdlfabd+&bP9YOe+^n_RU#Bf)ETuezBz z8=1;tsAx*bY+JE~>6T$YO^MT1N24Lkm01lhZ^^Gd`9ZvWu)fy^_qz8QNqNQ11=Yn0 zIrS%>>MM}}K|`fGTe3$E!@4JfOc~Kc8%X_W5V|<{Y;$HQ`R(W= zNGE;O&gyulQGT4#w!V=4Z|PUk0Xu>QtD-Aq-6}`aX?vx0j{sZ!;vB}t3x#s<=_B7b zBzx5M0T`V4$Li?{NtdtWGpQ_#Pv4Qv7c3hq=N95Cp;O%h$PLujg3PSfRyJdTalnP* zo$GY!X`h^aCCrxa$W8W7nCK?r+uyAIu3-fEC_}%Gv<3B`+q<5TCY#p)tNMsi?O#Su zsp6Bd?l=@**sIUyz_A%V&rSC}@0Ey?z!q}Pl3EJLZbmf1$))cLF#K`d_DgLo>=RJq z=>`Qb*rks)_w&i+Kg~2RMfqhgX~}`HZ##*6&1uQcsugeyk5AOJ)F4}iTcXcm@fH1& z?r=1PQF^Us6k_}cV{@$e*^QDzpHUGLLw)ci#5jQyqc`Mq`SczaL3Q%<5kl)x$G}*r z-F)D6&r2Ga#2t@u;jtWJip$#9)q}IYTn^7jqufliyoK$07Q~8vsV=?E&$FC7s6cbr ziV2+Ual5)QZ%IkRZL$UfVX?BAKorf37yfk&8!&m3E&b^ZM} zZjk;Jm6-(}_pEq*B1YaY`05!^&jF+o@|r2S6AX#r2@aSx4$w_DWgF;*mb!wVZ!~5E z@g1JLl#3L1z}) zpyE0f)O>2E;dFk<#>H9k=Xl?FD0@Pni`GtqXrLOL-%_&BP_g%KRO+X1%RhZuS@S!S zUIpyXGm?QgMow++?#W^AH5BaL;1wYAEz;@Owk~jAQ7Vuv^3rn2ATktb^p2 z!?7maFgM9XNx8hRp;`3`iO#Elykxwzj#3zCip5gLK48Y7WD3FK{$hsFT{b^|=_70& zx84jE$f$p(QZdVTaH~wXT3|cz*#hHn;86!`KG02I+3ZjQ#QAs$|Ou=VpQ77_!naGUU|YpTcroIC&IG7nXNs# zLMEWvetTM!uimg!&8>G_ojow{PEhMIlPM=JNqO~-x~t95g-@FfX9%+lv8uc;cs<*$ zjlPE#Idcv}DrE<~e_qdh5G6A}F>$-jKlpf0$9vmuKVOfv{O9EgtNFoY3RPgbq8TUe zxlwt9!Pue*G6I>u4$QBX>gXO;nzys8$v22*W%aE1WVNDSVJ9}G_0eb~^^w!FGZFo0 zz?@e?+pN90609}qS%JZ^(!`tIUdI>E`e_Qw$~F47%HL%47?zin?qAQ|N#Pl6Z2@V= z-MCbl%!J%;B?ZqsB}W&+*9{n;zinPYrTd0um$zWm=dEtSMQ8E#@kas-r^l`pvyD`~ z^okm#OJPs_DiSIl_`m-og%vqtpMCxLGM+3^WtaZ7p%XMHjehH9w%cBU4BC8QC(G`NiXJe)%g>5MxCZo;dj}a>t`3}23|f+4r}d!NfL4J=1-gmDO$cD*I(LvcDVd{nk1j3$?2V}7dQ_F zhuLQ?RYdW4{)eTa%CS-a{9I5EPRD!`aR?^azBCIa-;eq7&0Y4*(og13?vv9W){bJd zEh(J-@&$%`{_4sfAGJ#7d@>YO`zXKH>Ugw@)~f`He3tv?wVo$I>xZ{B0ng4ZmQU-L zF_gqRng>M4c$c_jrZ=0CsnhywJE7XdArIz+f#n;%J9)xHrSvOKT`^!6=~~##ki_#C zCr;;cK|?Nzm{c%dC;w(ceTx5iW^{5keTJx6Oo>EO957MFDyTys+iFENWU?pptZOca z#B;*4^RGaOhOj4LIH$2&YUlDL;n(`OiT|hb2Rq~PYCJHRBy+pqv&&~RcX%yyeM!@+ zel(tMckMpi84qk;01NW5giNsubP3W%eQ`qDquErJxHyQM-ueeIienvT@$4)s(TznL z>ODOLXGj(Iqp-ezUgUNHjln|h-wc_nH`q|Gr1w6uX;ywr-amSL0-$ zeb+8dEJS^L=v!{dHdA+QNE2}X*@F~)gSL8`so3;`qK{375)IN2h+Lh{(EX zI5JXd?!L*AesyrrjE`OTY@WYde`}3LKq$Zr;92AVe%s@UG{bLyEA>Rrz_L6&rXKd` znc*rnSoPOe5)uPe!ohe$i;}#{5H;$lb6WM88vVK5*E;99@D>#V@}a5oJoT9qfD@7o zoAS7Lw=TWxB8*xq@FchJS21t&#`_WVTW+0hQ`+Y1JQdn{-yVP0J00cAB{b0PD_ zt(OI?BUQ^Ky`lM$?Y&F@*dIl>*78s}*F@6dgZZ!oPxrvRXFt4^eQjSVB)PUY+`KAM zmkWODyqx{qS*}^rRcuRcC?mGynKQCYvkF2!yLYiYtck3(WMMq%{l+dA>>QFiKToz4 zf^~fDp;S-PwKr+qq03$*lU>f7Phz1Macz6#bqt@|ErMg&h?ch?c}N~69h3&hb-NeWTYT;#C`oUu9qkd6 zsQnbXe(W2U@JV&elGBP(nNY6G-S<>O(xtC9ZQk&n)#)D<+hz+Milh_6%)@fguG(_p z!B^u;qjyZ_0_GJbd?S>MTa>;{Xg<%a`$;gatLCgee^x5{bQuz>X;r;XoW&?*5;S&) z^{3~cP_dJY0vc5C0|&u1aN zcp-cE2PoZp!lAI|CO#BIJwi6GB`|F~caiq)n)Dc%Z?;W+{HVks()B@o9Jzs^JJ9HN zdwOeSYy5qkjK9Rp`?R_^dpfXDmON;e-(qB_0A9V%8WU)>#$I-Qw^W#8(nZeUpzx5? zWSAw)?^5ewRB(9sPtKyo%c^RcwtcjbTt(GfE#114L-J_Ggg)+W#o6_0#N7$aZoe05xOVvQu$DKjYGJ)@gR= z_5`eoX}8!N)Nrce$bMr9b1*eK)Y}bdowmD+GOL)NI)~Qyg;k+rvbBvvKRmb^JBl-_ zZq;>w78qCAL~B=0(F31j;B^_aHa?_>wx%SgB~4yq{u(T@Hsbh=UK2D%> zK0G8xq}8OetJbQ>FU%@54u`Il6j!bGA?6!=&u>FB)s5=A5>zPw=^J@v4=l=R$XQ{^rt$Ydv-0c)5^7B$(XO} zU%m(1>g6Q<3PlHX&R&0=j^mpH*%A{g7vwTUm+V@G_!K}D9EOkTjB(FODKlJx6)390 zEe#6{uVZJ|G&{4~-nr9fT1wRzBQ4qFDgsJ>ESdOyS--Jp{_lmGOLa{BIjPsDcB7plw}1d z-^q7$HlY?2GkTT!HZVpW`h)6ZYo2-w^SA8b>sS}a=-7w7OKvKspW}JuWsF`sO+czV zRjE&^y9Whfa+qr`ONZke342c3-{S%kaO7f>EYLYLKemHkA~^W6|LBl5=(I}XiGxpy65sg@^HYA>u#SBn;k!+qe9ooU=egR* z(_Y9@4CstBnkGxHN1#267gQeS{q2!`vb?|%QjuI3ahK_Z3hSPuSz4ce{CZE#+NppF z+wF-y9=pBPwOrA}u9XOn8+qsCWPH}A^F8!E)AN$pPPY}wDc#h-@k)cui2ueEb%xj; z7*kPm{Zu9UV?*E00Btc_}cCHQd_fK=Yn%f+~cE(fKb>{Hu@ z_&RxOf4GtaBW}{zR~Z!8{Qn#(_ne*6J)}M-P_;DVD3|KWd+e%<;(R-}e7QP;Egt^sQT)%&E_24qm2M z|1`>eT?m+)(U6#p@tNx*zMXw2TB$C?gI$}|CDYWa%h^h*lF6i^dHS?uz-cr zz*mDJz>cwaO;E!`K`=i&uz(@XEN$xSsFy!fAv9TW;&ESAR6oPO^Z3zgdz7oOh|4`I zLB$t0L17Vnj<;t{_kQe1o?Q#z-b=WAt*6@Mlrne7j&X2trsmlHH+6P@+q-K8ame8J zV89D#O5O$uf8THKF*B@t7vTuw3!uT=nIW8YhIrEPvLa$uI(GN1{Te(wZ|gk1Ckm(* zsAEw<*K9)lrORvgd{)11VEj4GBa2l%v+t$em44#?jpH4wuJf;#WJHfxAeQMFv))T} z!^bbAxWpj|FL?etdU_IJb+~oV-8=H0;b7N285=+kgLejvSJb6#Lf_-4XVizOkw*CFC@0=q-qOqFEWv){hf<-Y|jIIGC- zv>ANkeWYs{i`W-e9uj^0RriJvKf&+8W_&|ueO3gRMp`Aq`gFlEUGsCQ~1RyR5A zJ`tIj(jmPVyRxOp+VtCm)>qkCQW$PSvvlSH_VF`PA(sK3XOsRH7EY77_tqWKVrpBx z9ao_T=G69T#M!ziYK=^DvefPGi%*v5y9(0hHLGig=#6fLh31=1%raQ98iWsTMlxA` zULq7|)Ojq%onzM@T&P@`eLjq;IjfrPRQXcnDBD+J72h0*0FA=;OUOifggic_`at|F zSxDbAK@edEE>>O)qxEH1HN34jyo<25E$60!$>hDawbPN`pVF4J2Ps9Omv8@fKW6$B zAzN*A(rwMxskdS>gujGy{$_{6d0AMx{qC^|)F|9!Bn!u84ADBPqP76y>|L@u311a= zo&N3S{80u40R&NW95?iGa%NCV{#8rN<=JeUegX54hvxOl`Mq$O5=bYWk3-C}$+tO= zswEa#t_5-CP_p@wqiq6P+N8mcZ&Mx|BL@c6S7qrL?;KW5x}48AIIsyn-o@U0|0XzM z@w0i?%69JL%nJxLgY}%BRrI2`pPg)Q2e|Tg%uYAAiBqqBSwukaS6wA_cHG6su+*&xMEoqX9&mmV6!#Zk2@m z?NhV5y9Wtl!T$A4x3L)Cjq(h@_@;P5pM=jYnD0Am$Xs0Io=E97ca}95rG7P_@tFK% zVJfW<=tv61n9|S?*_P4gi+xt@c$VA8>uoq|OCqZ*D)xwwPf@p!pLsCv5O%R)-o;+# z)IE|sIYrA2!4K^gfo1WtTr9m?6LQc@RWe zIe>GBfMvj*pz${yf|JDOeWldDhBw--%75KC;x0uTFK|HIcNbbYW_aihV#aNA3cdT= zc?B%sPWx{+J&ut~)La#lfNl$RzlKWX|uZ3^F4o?76?wX!j6YKt!_rBd%OV`rzw)v4y~$^nb?+cKWqsZe+4QZ6K!CLJ6L4vHk>4Z!8Eh z&TdKo`uyv4SU5u*r$L?Vlu*qkN__G}Rk6c&h}(<=@XhvBlTZTSA8(13*mi$`K&-li z8sr218BSTHPCiCt%i&u}i8%j(D*@bb9q#CByYkjX9sgLAU0$|Hw;sd4m)mTK;s|pY zx-#QL+?_g`FuRn^3uxSF$F2}i&S=gd--r9tpF7Kl=go-=sBPY7A;c--B>Bck#~z7m zE|J)T%be5H();^S8ST|_+fL9uU9tDE4xB$f_(>d!^b&s)nkZx?00!I;#&A&F!)~C^ zL;QG!#HSb7B(J2oVgwb zH3~UE&HJx6c3tBOAoXVFuR?wGwiO+5Lx-(|??lP@or0kGbypt<{=}t$c#d2|O#Z#z z5L@_6z{dacU{cGdFbZm4y588|qJ1%bO|jqK@)ZcSZ}|H;Z@|NWyY7-NPeCL3Uw5Jv%zr4NdYB=^tq2jOWn#ic`4 z^GR~~*g}E`+=p&y5ZK24^`Bb4(C_OeM)0!5nPVh&=RFA0#NfzIEgqF4hBK|zPkS^Pb3VMWMy@8hlQM9?mUBaGatc7 z5JF!Y6z-}EF}7jz3fCCBcna%duk#=tNB?%)zG{Yg10p2BUy4gG!4c)l z;gSxoyc-Sp|3fK30Htif1MjSSvM0Kos1HbFtNivI8y(PT!i#7%9#rOUf zG$=DtClVhTj=!Zif~K|=^ap1Q9%*7wl7+C?Ix@VB6c8HX>;FXuk~vS}WU^D8TQJI8 zM=Dh6A9;=Gk<>0+fGXrMy?J@QTMVgqEnzU@6movt$bt1UqwNm-6H>JF6kRzl_ms9*BGo{p#C`F7>uYX`_nXbb!p zmN<9038};l-mqa(5{TI_6|e1!d|(sVhgACcLV{c;91g`1LQAeu-&}RV?XJag@9{U@NpjXyeiQYIW*~yBRk%oJuY*#>bpewUsyRoScw?{r%K1b zu$`H|3xnvhixyo-7wRhS=-!K2$7T94Ut$$U`}pX$08YP>)ovnTb#@}JEOtgp*#stv z4vxY8z9jA4xiP-ZS|4Asf7wHn?M3CjH@=bX^L&_pSvKXweTbU)E#F5h-v2^DknZJf zULdIrLw_=K^uk6>`r{#y-hb{`aILRZ0>s!O>}LtBBdeTxOz3vqR4^M zyN?%tcq-spPKDbSP&41)`b8$iwDF5%%lU+tbLY{-l5hM!%D*3Y@5;fNOLB{E!6Wpq zIqJ|RzJ}O-wI?T6p~)tSq$QNPb1`{BSq8l&i4*iF2O2*a^wqye|=F zkazXH`slXffWA22fo`#mu2AFKY#clH17DMZ3s<}5S7-O{^xlZkVs9aIPYT!xHPiP9 zOq7CZ>*n|;cs;L`nS=cTJwKRp6Zuf#1v`R!`TETFJ|u^t#+BevbX4>j<%w8{Lxyr`0-o{1Qukz@p*_!hV&Nyf(I~ zSqS@=aK@645j#Q6t=>^VHureaFYk>q2ZRE~&y37>taX;|v0{(!-eMw`){7VgrS60w zc|QYH9pF}Mb*|^~I$O0?=c#j;u^%s`QY?#3m!(PBWbU#KJ&yXchKak3=}SKOUas30 zB=JEFfTP|pq5*BuqfHWMCnXLcC0P~^InTZ8i(aDYg!6L4Pjuknktx}Hp5o0{agQR1 zZPQQ{OenDgU)G0ETlXX&;I)Bz$|gZUh^^2^Cts73fM8dGL0lD2;_=!A%mV90ctAKW z<$R{_CAC@$%ohY>|FRGSa>ro?K<12zlB$fdNW24$qfs5~wZ zp!25Bg!6kFK6$5(kX5jJw@%+BadaHnM5AWn$41(A{3K6disaf9(o3^#Aj1B82tR^U zyRwF&UM?C7mG+YPdL7t#HPJ3K>4#s%Mxr}Zf}3c)X3_(G09L=i<70OoMZjr>05W*K zFkLJ7j`ht?{B4Cb&9SR~s1Yd6z$(f-oXFR08b1X64Ep=xIvaD(12Z55eTK({34AWH ztEsK$`{e4CJ}T>$^;9;u;6GK)gSQ+k>52c&d%CVRBM2`iDv9Q$i3~PjN9wAypyLNM zf2C7(c_P$~84_54A)_xY3K3z(x18G}+Nnf6xrn(x5e4srpGDlF^hXtzt*xxYv9dskll zkN42ctFy$o_A)r;Ge?Ml4Cd=fu>zBJx7+S*`m;-E3zKB8SeCn4#`S5UsEmYH1$XCl zyDN3_(q10%(`7*rwaD4wUcIR$PyQ|cA41J2d^Tsx<}VrKx!?7Fzw}3>&A%RrF#GFulnK(Pq?@1oQDuvQ z2^wn1c#OAybujSkE%Z6Mnlvm;oyughHu8)Sy`MzGb4!AkuGdkjTZfD}ieBZIRj}?l`vvc+ zsqWD`U9$0zDtX$;^}jzNGapL7e_bNW_`bJjo8;BIpZaumHc_u$1u(M3mB=X9f|0-e z%c9(@PHQNh*C{3Kq$1@0tJLa1~Y5XC|FEYK5o2d8{2uySR&cn6;hLEWY zzS#UJq`7&_sznIo_3siZ^w9_9j~g~97A#ZNx@pKQeoSrQ<_AmtG@cw1 zR>gkw{f0b(ro;i!O)sJ3cQV1?m7K^W9-AwhXK~7D=$HP0>yMi8vPve^L>9M6+RL3& zzJb*$=lkMT7#1%iwIF+_RXqPff!y95vESzot^hICw?1?*9W6?Va|NrkH9g9B8&^Q( zeL0)h{}7>de)K#)IQeQ4&lv45@adoaUrlEn6~+Jke?m$WP`Xt>kW^Y~MG=q&36ZWh zEv?kDbP9-|Af3`J9ZRExq;xFJlDn|LvNbs{>aa>wnwkT)VeyHY`5+xZR? zy(SHK=c@^K{pt<*c@@|ZZROnc$bN)uFd^rsU+VtJsEB}SS?uqQf*0dfG=46b!-bpl zhWIH(vZ85kOQ|eX)wgClkJZ5H{ENDYm%ybLsRg#`r7+3t{LJJ zy$n{??xZsgK@$^e40y|z1k_jr-`Z*8;hg)5o4SubqACsPkCRq z=0&P6KF~8}c|ylU8g>J$&FXZ9`|6y;7%}lboZUVg;s11$N}Y#TfGo0aR#@e7{`?_f3wl>-74R3marGbI z1G=dnU@0B z@Ro}@3|#Alyi*bHiqNpRS6$JR*+4XKat+paezj0<{E*&lWW@%2dS0`(5Cp4V7RzH) z_sui(dS18xZ>X2u^3$Ik#g&&GG1Rx}`kEzLMXo{G-4q&BY@z;{3#OI}gIo0Gj6#uX z5&sjRIX)W4*&O1*lnJ{&&Bb;jQ z$~sOCNLZhY!G8~HsLXgnMkD9s-tetaSJjy^`x_qi{j>S%ZTnrmB%Vijc3Y#vt9G!c zWTPD_6G#t`l;PsrMnxWdF|==1QB*h98;IW7Gb^f>URbC9dj+N$2q7mCR1$x zoikQF=l%VeUE|Y0m){QTg(?}VO#>b!;nc4-h+{bYcyG-X-|OZrjuG@uuB*;qB^l`t z?YaZIq{;FXb&DjGak?KDpD|z+^pl!^A0QQA@1rU8wcTD=WY`WQxQ?1W3c8FS)Fded zrH9vkkcXLQX|ZJdn7?Cj#eF{cuT+=eF60uV&3q{42mbF)pw=MwhgG*83LqxAI`{3W zAllff#8lQhU=Ko3f;hvhd_N+m-7po%-G%+9j}9(*#A84t^U?tLfU}yhBtiJA(Qh-D zn<3E2ex02wd9tnZ=Uh4y#86AG;{GdynHl2HFO+=25GH-nyCv~;G}5}gSQ259DhU&A zaA`IF@50nU&&he$gK@ECvMx|Mg-q zo3Og_+*l0e1U`wN17q1RC#HhXK5**N5&dwckupP|%T{}*$K^-qV7ld@ypGbww|?@q zisv4`WHH0$Tc6&;R5ozgP0{@ngvJ0Dv%}PKa+K}KEE~5k=dbfn+H=`<{r}GW122szwT>2B@0!zgkpc;%5DmL7w+_0TPNfbiT5yfN z3*ino&Wv`8wDvb&?rN!ptk~_um>E$&Sv%=tTg0+-0>i@I-Ef}^P#+T0DTEvfnd6?K zkdH{_tb&r3TJCSoYxlrsdY)(9;tXp7`UdjOF}a|Ns83y%vsck7^lgz8>fi&jn8O9b zvfAFR+~$y?F|>LY!R)J+yu+&>^XvV9VDJogE64|a(c;CZv|me6xp+C!>ns*Af1xHb zqE6*9oDaGVJEcL@_Ls|J*gLf$BUA@I%8-9WX{?%?b9L#?o~Vglhyd5Fkh0l5Sd7N) zCE~y)eZE+eQk;rx#$? z3{1MJS_HDmW}@vtBFFp2{{*5UI9g7YCs;_9jiOV0P~ z59o9amHu&W?A8x$uIdCRnxk|Q7#gzu0Sr_3`X0EXjiz zk^n>gOr)pnND3g_JDOA)bl}v^$e^aGsvd9XaqY3s23WN24Uim{isk*wDygJ1UsewI zrXqgWvtez5FD-L}$vSgr2$P>}cm@>7K-?Koeka|M3m0G z3V$IY^}g&vGXMVPr7DUmY-`|FamgaIf?79+=knjrV7+5IKgK{a+ptlX&75_fmPQeY zgPx88m|u!XSy!fUkH|}QEB~=*&!E#w+IZcb{PF)}Gw%UK|4Fzgre*Ak+fr4sbn<=& zHDw-&-z!+u(Q!W(^=oXo*RpJux~><*?y$DDo~l?^m=USBpT4w~f>dBtr-@DRJoGkh z&?$H>N*IXd6AQ#Oc8WJxULo|`0&{fm?jtCrQi`ZdpOna_B z&_}I5maxijj7d^2d093<`2ORW=5tG%cAnyvKTjP-N~?*&r}FFAmHuiRRXbI?%D9M! zAWK4-f2*kZMCuhw@`l*K0;ka8XNb1nNF*+A6LCyVjRW6Tl4AwID4qv*=o5XAEjFVO z?W3L>>iFf6G|zN<^b3aK!F(x&GUCh|VwHNA69+Hf1{3L^o}T%&rn?3{nqNL9 zPJ)bLV_O@wLmYB9WH?6_y#QGTbmb4AFd3RG)aU<%viVbfbqKlc#KZ8>dVI z?XsNWYd_cmsCJ_^C)D4!l+F)G^WGAQg}KC;e0ci61R*|){GnhP>>u>~_sBLv>R%j? zZ%N-So%zp(g6p@qYUZ8kz%FysjWeYVnj6cHzchzObRu>CJHSMqiV&V=Fb~v(mYgV! zUWc(GS`IP;4kFnUHYnKkDPclXw1@&E`$8!nfj=P`qUV`92db(pXz}9-<|_6JKRNB= za26tgxxtnd-HL52=zM8fk$G8zfngIEaP99>1F3Fsk;z3DxuguI&YRhN#I4sS zo0pjxvfh6-D<0xZhDqvSe&kxdPcoBF29pmR{B>K|$q&LeVotiZ(+}c3HYij@*0H zBUZAM?4-AN_S^I6XlRYbSi)?>Z#B+Qlko5o`r=6|NLj`TS#Y@??K9Xk1 zRl88yJ^A6MSOKb5C8bB1E*9=p-4039g4&PLEICc)i>8wW_9aty9kWpzdopIdl|gUh z66tj72CKE6?1EsWc|oe>ZnHIV7aopxzRD^3a|>JCfvS`_3=Kk53QtGY$!jf2lARLHQ^8sv zv~$ja?kjx4YPnsKWLv3XC4c);vmO1goOxoIdsO6@5E+dJ=r%U4VO09*B$M3l(fp`T z-5ft{4%SJl2D>~QjC&*^L1K#ijv!O%=44-$+A-^s=SR0>P?T+67d&% zeN>g z+l#s~-GG9Sy6AG>FGtx8-1KYYQ_^G)*qaT!esl`KU*RuP6x#-WemUMWZxttopF%$2 zE+PvH&XdoK6-YY`BgDxratO$Lu4BV#y?IBy(tx(T{Q};(eBAr@42+-(l zL_LEmsN9wZSL;i2Twv${2_rV;HC_!izQ+Bd5>gn9nA= z9JU6qpC_%>Pi_iHETHQ^r%~$AtH)WT51di1jNzG)-Sn^X6(Q;gD8O zunnSZ(ymQ?3LVqHEu-c?I#ABvPDYM=Z*a#fG7zhVk3vGLHM?U#MA)f*1w zkxd(>eHNfaiA0mT>^Wc19>ObeG?;}1w9p`+HB}`O4lL6{+{KtD;5}A{OLYDxLD5bp z(&0cBJw%5{v6d9#%3OVl$)g*$Euob3Pg6oOVyz{rYZHFN3qJJDTd3pnq&_RU-QBx9 z4br5YfZm;eWfQSbSm7On3GJ&~3DDi;dbDeoG!x|FR0pT4LtY3im9n&vX8%6@Y5o=t zpZZkE%N>~{wk>%{<#*<=PAE6;dRW{UO*hw?WBc=6r6@7I6q7C5{v{? z?atQyweYoxt}%Zx!W^l9sofc5JOgJX^B9mA+J^3B;A**2fyZ`d(4P?i7PA zW1Ms!@0VQ)-%!^raJ#PqwXK(@iI)wV;yGI=N9`h#!l-CM`g5##z!iB&f9{aFGg2&5 zY~d~GPhUd;g}(K#s|r=$Yhm*v-s!GVO2?XjHdvO!VccjMGpe-#vA|yUQC;X@gAyUyvA*Vm85|tY`s4AExS-5d0K6bg=)~;I8Ls zwyKXK3MP2W0r8NF*<=&i4`&_=!&A&=lE?7>8R5}@#zkJgno-C{r?7+01sM0)VkY=K zN^JC}8t{d(epLw!#^whLaA%zd6*YH5jwew7dG{XVUk2`qEfpBF zI|KP^5xBWif%s?F&@NI4h|uYPpW14?ior@=%M9)Ppb7_YQkakpwx(y@)q6vGc9~c5 zcL`AKF&Jpd1_wlL0pRQN5dG4*i>yo6h$Mtn4H7rurFHsi4HmH290$E_s|->!+kY0g zll9Ioa>)O8q~d_H}Ka327rus$;!vMFV*o;UDwO2=r4%ZmUkUH2u)d-4y0~u zBC@xv_x#Xk)z!Ygk)8p^{~WwDSBaIw$y9o9G7b-Tu4ufz3tl@#0To+$Ct?OtAiMBsY<2JXolz5604o4yL)!qy*H^N2*)jfDTzLc}5Z~fUShvn5 z3ISAVv5X}2B9fT-;T1wp0O#%}yW(GytT`zJI8rM2b5yO&fZFDAi~`(hot|)HXk|7m zcm2?H;+5lV54+X811)>yu;{R8_fYJ`f^oE5xIM7r9ltmFuxURa%LZP6?fbQpV zU}k6=GL?bjmVXSKCjS9K?9Kpu2F^n-s~jB5Lwf87zq$AHCjss^V4ER+8O#LMG3Zo0 zU?7aA?z7?bC$32D;P@*&6pf$YE)uiIMLmzHZlSd{IPae_-iEa_!QOs<`7pctEQ(mQ z*j15WHB{x1j$zRG(CP-uBQF@~aE&^YiYD zW&G&$00nT}Sa@;OOu%mZRti?zvA(z}+LC}76R>gw>H&b+8Rxu}xAHt#YIlQ;wpJVc z#*GJw#;xy--Y`nK9R42%Fe>R}`NhfgqzvJD;Wf?af<|#eY{h4Gx+8RbY0d zPf+{NcJR{D)zAPpB&c~V=v0%ixC^-BW-xUh2nY( z4jzLTn_!m$-lMU|f&zb%PSKL=9eB7Hl$IDc4fhCHW`vj%=1$ws0EiASy6tfp@^<;$ z3zYkmaKoP^G~*B4?*kbBM>1}o74zSN57BYjJf>gKzJuK9KxVWUh-Rb4VEvyv@XuX0 zXdSU}z|X&ri@?0eBR9l4MC3?Nxe8U&{&=e_sd%Vj_L%5Y7i@r*;m674S{!QW?|+h` znBBW08KPnu2$U4ey{6(OBr}NaZT9M(o4^K}$Z~jSmG?H?J-Ug$oT#zks=*uJ%#%+9 zMfl8+)kJ5w7AhcnsM2-jJobU)xsPujP=op*5?sKLUxKVCn22H!#0kSE+Wc#lhx$F_ z!3(t=!f>9afzn6kE~OJIG#DI3A7fdqn^CX~(xY*rk^>0fM00a5rsJ6T34fm6#k(t> zi~PITN`elAFW2p5x#IFb;m~T`?gxa@PcYy+8_=bO00}O}TyZ}KgwIHr+%eE^FUgK* zJ&fvL@7Pq*{hM6P0NhI0DoW~w_<4%3y$=tfP(4*$_R$rk~IDqU= zo~|+0Wn3>d+X-JbHIC}Grj#b^7sh@;EW$DR8BaPY21!bJfLb0Vw3dHl-?`4NiMk18zr z!6B)Xz9&@;xmvJjJone5avzStu1t*N(KRS;3eI`$k%nOPfR>_FrigD)Lw}RUU`fCb z#Votr9Z1f35P33YW&6tQ?)h6P!pC~g5;fwoagclPq+H=yh}ANN7fuD$!LoPo;#q^l zu@4h3H4f~cwzW>9`Ox0O^2=YZLZRI2_gkm#0AEpIAPjF#RTmqE1%iMcpx%6R+DQgG z*^SqNeW!5RPKc{L_Ncd%F-})J_Cm^F>01YxfA2FCL+l|S{d#K5h5YnWnqIW61UDW$h>s>Xb ztg}b8INqXf0ex1Vf*++4ZRMZ!+pz9$h#K0K=Y*)b+&cnxXf9`2xR!3=sJ9x~tkzYr zArDMLFWmoA{3ececy=b1LRHdAjmgO3syNuoAfh*%C9i!FQ*E-t{Gu!zv}847xZbHXM{?C;Bwc z7ukZp&yNOKb(->%Xk0oY=2_?Si{_RqgM&)&6VZerBHRycd@4#=T(rCUA-%n&aF+xw z(c;OA^;4>_%T-H^6GUQGCX5|3%0>L~2ci>CM!p8~IDEBxF^1`!?H4aC8RI_3u)qJ| zqJ5v_>eGG8{qP00;#ZWggJsz$(VL#2-EcimlN-9`#539bf)WAJm24My#EX+Ya>jr7 ziD-4iS{FZi6|XI|ucZ$ohM?ZaU8v|fAzJnv5GH16m|uw|j%rF(jtM4$2VZaA5LkS} zjhPGL1XLy`*IB~y;5XJ6>Qick8hCa}sxL>O_;|i}5_+@#AAfU)Wv*ooTn||rp)AZv z0Y%*)4IKP*V!y`<%l7aoSHpiAe*303c57e$$ujyz0>c~tgx8;Qo#7UA6zAmK>o}o_ zyQyDtfe?#!drU%{82mWM_cMq&{_$#m1|V}(yQf9`GoYi-${NN8!OBp}P!oRoMi(xt5t4(y)y4u`Bntl zBqT6e{Mk)e|Ar0FExpUAKZ~U&9z#G<=pG8nvt8r-v6bhdEue9s50wzW3UxUYt5Wn1 zY#?v^XrJit>gR%x)!+J&p|H;Z0>_$}l~D|Y=TI^b|5fk?)@v-IK6-4U1xef;FhrAi zdUUNeksM^DesT8HULt+xU{Fq~bdO{Mi&@&c1U(2|D{56KPfu~<7@9~ZqA3`WUNdk^ zJ{>koo(RlFHYa(w^81H+Y*p{V34VQf)&AUr4-7$UkdaHWVHEJ~Z2cPnp?P@z;_DJ` zRhyg6&z{3mq&B4d?cWX9xrN2d`qun4_oGlkVEZs_n1UaVgB4xA+fq zGTwH0<1EG{d(8vu*y^m{M) zZOy4{2T3aGV?8o&BQM@Q-2KDg!cY65VjPr#SL5I;Gk%&cW%T~PTWB>02lt@;yhhQr zkh~oVE^qWSY0?p~K)?C6fP&L&b;0a07s=so@fpDz;Z#BERrAX)6KQ@($#rTa&dLj% zth(n9eGHIV%bBIz#~`z{?v4<9P1I$-T?qNd< z43epa;U50%@()6yGBq1DJ*IRL98cdG%pT}@u^&e1MWmPQX~hM8ka4MPOYOQyD{J|2 z|DySA;o1j{*=60hz?cuSBF9}@p%^1nKtOR~kW0y$16!l}P@QqnAXmw6`ayKE`BC1K zlWoNvQBP~d0R`G`wgtRs_Cpal8UXH33qWd;nVEjK5p3^xE>#Y^poe(a!c#hqj=KnD zT8cH_$*T;lgRM#jzqd<^Fa?Svu@^?Ml8Ag4oOVqY$*ko(VmMkQ>rky2zp*8WY^@da z1ZphhOYK@Nm_n;lIzmQsQZ+_kC6zT~Wa^NvM_Z!}0q1$HfXn;zE@p?Lm`i4UtozB) zm2~gFzOL6n##N4XTt}1*@17E|KP$6qdO$1MBnzucKY<2+7so(A;cwG?h&_UjbL5yk zA5RwiGNwn9TaUfIY#<8?q~=((CBA$yIqLfWQfO*j8c$}KQ}N(4-3uw^r{1?knV-|h zKGPM=&cW8_(7I>$Xx_}LNeX}w*=b@`cl;i0Us*fIFM<)4tCnQWw|-qQ2aBUUR7$gBa&W~(XZT5 zEHE&CLk*xW_7b{q`N2%hnLbt(@W|KfgVS1}0;%g^HN(vPfpq)Wd$MWha1~tQAG-5c zrawG!9w}{Y1xV?L4CA_X@%s|g8!H`0ogE=|L5E{j{g#D7biw+G6qoI)h=vi+U& zx&#~8$&p3ttJ1FvhW%GTx?xJcu5T6{ub{biUbxs~;G5KGXJU`Im$)(qU3g{D8)=v4 z?rOvM;zv0?Q-3=qOz!uKNNvzPkse}73-@1qB-0#v^ospiE_2)`wmVuDahiYRb;DW8 zmCqv09{7D5%i$O}&u#Lg<$LDKC*AbAGi&OS&{EX&{B_T*o?F`|p}wJo_m_?mk6zTk zM~@X_od>7dzjVMo#J6>>y?!tH{aA3W@1Q=_NG#%aX(65b#@5g0(t>?T<?RzF z--IoRo3JcNb@0YN%eDTEoSDnBJ=bJo`OQP95o4N2IxQyC%;B9qy!W=!&EV6JM_#2wT`f#}#njrewj8_euM)DeW@DUQA{n)|kxShqD)K2}p!C1k zUK=Nx7G+^UqIZaaKmmhioL{N<>KDbsE5Cxo;}>GfsIQk_>;2vwxvxe}C59T({67&| ziNO2+wP>@)I!1%W~Z6$*ei zwm!uveKVw&|Mz~LKfoaWf?DNr50rG5L!4w7+pOFm(qy{9+9-|i*+whd7{0CV;vY$iP8x>*O`;hT?9jM-96i9NYlDd2MtRCfv zmy8EiXYR9D96i31H|;!Sty{~}>5DPVchXI!{Y<2E$a@AU`(1lhnyr`4UQkK9W-KN) zf2LHu+&eP&v30k$?_hIgcK(JADlO=?ede@2@tpfv>vVYM3LR(!^Kd8fvl1VKi$%2x z*234_OiE(z!OLV!lgB%;{T*!qa}U|UY9`Ts{M$R_cwU^BW2Icsp6l*CZ^gQPQ=b?X zU(RrHjpfxh$_nH|e$R#+Ak1Xg5%pfA_k%jfaS0Ydeyp@jZwxaQq;b-1r0u<}_D>Ct zwl01(l=sP)vp(6`Fc#D-UB?tj{i{u(ydpF=pRZmcY#WlIo<5B^cw$C z_)ko91oIK2C*tKB$>BP+;-3No*`>FWAIbL9m0jO^df9bLnb+_;Ni%n8r8ll2iK9G*^A`_W4ZR6US4_Z(d%=RXyUXiWqd3Bg>hfPY#=+o zUO!YwD&$95&aOo{vPNaqi}&o}<1D9IA=5z;k3O~Ea7x+DW2g&I z%!cy^=xo?*&r7!EI*>z4Xi5c6_>*-5zepOepL3K>nwT;-J<`6$Okoui+SKuO;b8l_gZ2#a^?}gYGKbe+# z!-FPd*fZSar9j{hYeG-4TXh&6YZfvy(*}&4(5H6{P_8Y|?v7%N9V4>zS`6g!r(pRC zr31cG#YPaeWD5IhX#SG?v4rnib{!ODxkt*&y2}==9^2)ir|Q*+r|1U)w?rA@5tZB) zjxroQ^=*GW-^xjM-i&lcIoM`O`m`I3Qpg3Ng{H}5!U@)K!^nVwC`)^pBtfCz07Ym! zV#2_0F&L?aLJCw_vkORON}G+EEKg4#ag$`d|0!y(S`$pn;f?TASo_RgFU|g1$<|9c zex>@a9yM8Y?l!pYwm-}DiRXnPFQTkm?U$>=D_AC)&H_I=c55K|R`07=6HHXU{(*iV zXZ>WE{^SfoN-44TKb|aJpppTv`nsB#^zTCrz7mfV-B*fG@~Q+Uf~Da=PUSAE2}2>;s;zl+}hOq%{}}tCk9`n!uUA<(8oJcDQTQu?@_95348M zT)izB=&Im$3)WlZ9PWxXFnz|F+LP{w*dm^0D=3p+tKeiq@9Ai( zs@jn4fpv;2YBG(%dbi`(J@Bxv!^)ZTWxy6v1POZMzhZP;(pj6n)3nF1bi~?Ma01oa zPEy`_hs0@F$+SCCC+pHLHkD+>o+QSj7NxWDg)X`*Wv@&EBMd6%yZrDn7Iy5`iWZ0U z4zm8(}xnyY;M>K7um+a{pUY^F&$EU*s?Mk2q zE?`(uyJey4Io0m|r()N0i}RF0LG0&Epgg-lO^~b1Kg{|Uz5jdHC; zMoD3T6!C-@3$I<)`1Gxea_PLEAUk`UNA_GKn5s(*8k)H(_RMkQnS(Cl_S!GjXtN|ofK zt#BG%KhJ32%Bdk=jig$ZrUhIJ4la)LpL!48Wk^X#UaLq8v`r^vOx7oSi#JYgp*C)@ z!?P*E?7U2Nx~hd~C*<7m?>mIZj?v1m(Q~6y^ehgKuWSl!IAo1NEQa^k*b*kcsLfNv zCtY9VjzoMaD5Pm+PSy{GZoc)^?!ZBAb^!wbe`4RaicSp53?W6&kYnpRXK$Szn8Zf? zY>nOYn8p7X7hO5dZuH3rt&4yCpx&TYM|j#);E7~@R9B+(BX1U!Iyzn#8yg44q8ul7 zL=ex>+T(V_?s4Z8_;ftTD0RAOL&Yi;iU)hzihgGG%o?D?Z(_PZ-C2(%l2Sef zd3skE%lBIgDo2;cUo50((9TMSC~AxW*JE^sLxKM1Mx6dCzdTNF}97WSV< zPtpjD9bkPA`OGer3FGmbFP`;%{W#4_uoR1$F+Zf_<&R34O}gw;c+CtZ+dQkhlw(+$ zjY}%o7+J94NnUuA%gyQ0=s@yS?b5Iap7FbS)Nquwu2_e~0cwF_2yAP>WhJNaJzF`7 z-ip!}7D;mDDwLbMmQN6RmGe%XrHE(j$YVu@=+lgywedUzcM!d6xkI5L+rD2^S(ntj z-Yrj~X#S$?ai&cg6RBZDKmM|jI{xwlX^D1;yjmlyV(WFSLO5!&^T$ z;uU@G4P~u^+Ku*YX?Q7%nTnd@9rOArUbw~eCci}KQ4S}>a7%y4Umv{rR;|4Xo2F?; p)NMg00|y4D#`b9sHOuVA)_`&`U4O|%t%k}U?K+PJx&QBf_<#Pn2pa$Z diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb index e6e4232c97dfdfe1870d9f766bf413d74198a966..bf4c983dbce6d8f9b2f2cbeb84db2bc3a5c01dfc 100755 GIT binary patch delta 12 TcmX@ic$jfQ57UN(iG8L3AvOgI delta 12 TcmX@ic$jfQ57UIiiG8L3Aesd1 diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index e66ca7808facb5a1498b4081634710c6ebff7061..aa0263e3c38b0e0a96fd330e0ff4f10807d73a48 100755 GIT binary patch literal 22468 zcmXWC2UHW!`#nriklsXku^=iA|MEn z8X!P`03p<;*=J{WX3x&-Gk5NNW`>P|f})mz^6Kn!b!c8$GY4-UXAgJb zCo+G47nYWh7Je)z^+Z}q`tcJPVG{>$e`k9K;a7I{F2YZQP4tA#9sU#6 zdGG9dRWeYR`sy_l6bWzsKSQBN^M7{0{Qqnq<(>^~k%;Uplu-6S*p$t})9&GPIo$(^ z=NO9*`WzNH5AFz1BJSusoX!<)3-{Ie{6P4*)bzEoUq+Vc%%7Ud`w1|qk&(QS@g?PR zDBu|O@8 z9*<$uzsnqF1*!cdYX{$6qwvh7O1!y3{h`glIGh*!^*zk>U6GK#T;xc};(y=ApFFpU z{BqZQk!g7)8nV3YHqgNjD_yNYY zGw)~(2nK`tyB-g+h8!;a3N1wo_~on3|9qHxwypPe{WVlb9rVRyV&^OB9*3b)$NPLF z&F=AQxE%Z2U7rp^ zE0qEU?;BlJOp_*1vp`Lm43hOz;aXNPq|xJfWY|y*K`KUGSu{+r^~WcM0!d4_<$~VB1{Z!CI8wXc z(rJ;~%wPQJ`*Bv?cj;QYuj!K->?7*aJ60QShFR=-^@6h58oStVqVdh}@Zlra1LLg8 z)yarow@){76g*9}R1=!Acp!g&+9N|nPNE^>?37g<$dB+!9{=6s@e$>NfPb~J6%Jj#=~Z^OSs{o}9XUJ|nsf z6xAPpRhz5-=WwvZrsWV6n5Wj~q9SNq^^seD4yUR8qiRi%Epj4Bi&@FkD-p}C3%`Db zSIA2`w%*V)W$qye?mx&^?r~L=p6&mba|7a&>_vXF!;LhcO6IsDV;-+0DL2u^~NNB1if&l{uU3ll|vyd<47&ly9BROdZZl2@pO8*mu{B4YI-f`!(-D z#_Ew%#%-AIWA*mNz_`d+)EyaFGPUKXq%?PNh`OgT@{%EOc(YJtu&&SZ;Xr|wb(6Oa0|7Fx6v zt)-WGxFP?|=~Ra$h1DbNrK|vAhnEReSreirX~;LpwfJ2JJJk~nMMr|dAI3er0=4Uh zvoW31gadyAr>4m`+5v{|tglYKdug$VenM@_KGSvhKFFL|^+!xosf3tE?~>FLyY_Wvr%RN%6yG?-ql&X~J8z&5dwk-=PCh;X~MJ9sL%4#~9PyKe&b=S6Du_aOaRjTC7 z?`}z6n671*?05<7Vjf~@J78omwVB@-A6?+l1oTVOfQB=87ks7Q(ueqE&76 z#*dvpUUPZeY#;a2MHpl~KfYl%tgf6#12>vk9bIqo0ap(o)m3fp+CCRD{$bfqU7VsL zG7ZBrzKqQ^PQoJ=h+VV+s+#3&llQQdU9 z4P!v%`R3;kXX6ZiQar*kmYWE^GoCI^HVJiaJKBz}4epKXTxw!r)B#)1hzL&V`Zg^! zKI?~?sJb(1C&)}zm#Qy5OyP_a9P|>TEjA1a*QoaeX#AJm+E>uHs)xDvEKap!|vQ<7o+!bhZfnS$DXr2L}?DhN6iz9e9& z?fFA($pijl9i8j5hEb)T+JEz8u8u-R=5nNe_DcUVEpd{l88e~H*to}%^_XpRde7wW z_vgJf6IpNM@rL2-zakS^$zB!h>ObS2?W{jx^2rwAF`t=+e9-!?xUP2%OFWk6+?f34 z`SQBolX%5nx3O+8&u~4PJH-MIU)$yHvX3;s6<-)$8vl%J{+q5|>BvMa$mjM+{+x>9 z+X9nREW(jx&Dy3$LWC!7W=7C1O_ERTRGhSZ6Rm!)_@2W{<5myX-2&SO+%MB>MOrex z`~{l-=6h?;?_6(D`M`>CeezD&7oUm7KeQ9J%Ejp;r5)y;#Jya>wICPaRk=lN{$V$J&PE1?Wxo%=)lLL~yt!nWw>Wf z4)4U9sx`F0hhGYh26$DcJUeoDV;;KJu>kkg`WTF)z1NL_{TCPXpSZ^stL(VPSnP*NhrJqO-uIc`j&?>m z6>mNIT{Dnv`n-Lc@k3(_Y0xhAsgv;9qu;zVZ$1PaQ=-NtLF!d;Rb1*e&?8 z`9)-r6CkF5kiSNiW=z!XKXYRLy8rU55Gcur9(ixH;SaHL<(kgJGU2}bv|Ike_x)#s z{85qi!x7Xc) zVE^dUzOF)Db}}IW@{Q{=qr`^nN`vJ zk+aj=9j8FlNpr2>LHSSy0Bat_6wo&Dm@CaxPanN|Q(r6&teVHd2~GBc-P9w>Bl!;(9XX$8c@A6YHT0SFwvmn* zAl`9tNs(?C6N&+%*s!LOBe2_)-ct5AzFO;f-Tbd5Nh*V@28DR9DQ*ZYGZCFHQ6R zZ?_r5?)h#*8U->|oC`-|BkZuOF=HjX^(38&#>M z{~FwB^F(J5_9S+@Rtw#Hx6}Y0{b9+h0v6E43PMy1#Ga`%GqYoM@Kxg>1NZZMo{ueZ zjIyu?`YcZFPI)gDhv3FD43fX^b0~thdSBvZ#B<{L^j_4lk33EEtT6ktXUjcjhlk}` zW}A!UuLq^(CFtDzv)PqiJ78s+PGB1(nw z{bGehoNLILLc{)|fcZz!hD*)8$)i)lyNjJ^j~e1n@)a?LU%HV`MC}dqywk81c6W5D zpTvd`$8F}n%hZ$OI)Ax$x%gH75?Eej4P|hjuGn7FxePzZa-5gz`xBuN_C{^*T}k<( zd@yS=^MRKF{zU+Oqeu>auf}Yq1>(n>OcUdzkn=?PO|`R;g;hyCPN5=mrU2|etSFi6 z>+bL5D|4ED6S$u%n=aSlTDf*sa*t17sjsh31Sl}qZa_q|81nfO)7w$=+Uuf$w>u$}y!KT1i~=ZJWX9{mm9yk!Z&5=Ab%GYwx|p$I##Gt_@nJb6|JVo8oJZ8VfofA;$c`->QOR zu4r^%67>n?Zf!+yyH17HpkBdqnL7Os&-T5$b!9%rqQB;d`OW;uZsUbCiYY#vT2h+b z!X<4#43!sf@^O1<*yp=@zclZR9Fl05GQ@AMH?Lm7bJC;wb}?75*u;&+?#Ap7|9)#o zc;A#f|1+fIL2^e}RY=LPF(8pqHc@;3-ermsh&R6pgb zQ#A0Q{jgYLm#jO?6#K>K<${+W=HAYhj=Zl(=Mz$PxT9KX$YrYlWXvXBjcI}d{ckZr z`(Ro91e>Zj>D->|Ca5m?x45BHK^<<*RXO%sK#MjlUXHy zv4@*+bg=|EI%Yw=2F-9IU*9pI{cV>{c=Y0SssiCJQ}u3+uxWu@n}^rk-FOQUJ>#pL z^x?r?rHkL6%fhT_mD90#PtwG8(HSZ5A0AWL>^1S_*E7EE);yc?zO72f>H9IaC-lp; z-$dB~huu_;ej)wga}FCY5e4d>;0(Yk3{7Q*JD z*g=hpG$_$()svt@cfR6(v54%xK_yaed!NKyzE0-tqzREAIp29Sw*T=npydrwMl=FP z)YN}&@A6sbOnW0w_nhyA*{KcpgZ8<#5I)5IevU2|0r(f z0|+vn2Uab%WMqageeGHMX)XmX0YA!DXxm@v1`&f}<0PJ8T^5`V_Kbs>7@du96? znpBu&wj39u6wBsc>HQdcl@kB;Zfsy4Ws6jBly2HvLPkE%f1#o&_Z6FvYb)b_x})m; zJW=&O@mhb36czR#W5SXMtEc7S#R%<9DPnKmxYO|SF6`+K z{xHvC48v4$YceP}Mc|TkS%2@x#HW3!JJMR+T>JOe)5Q2f*V$3E%RKEzA{$|2tg4|fUJ!&$+yO4B)Xzc)g zP!6~|J>Ki{mUMSMN6mmyW&V3;J40=L!IX6FeEt_?gOTH{8#trU@=u)XQBnx(fSG?dDB8D7IR!4v;MFS z$8voyo=$YZ)zR~HMYBy__jr*n34#cfXdF0aiiB|8XAgeW+<+Rp!#MV@pq5uFd7Qm^ z9h-80t_mwKon>u5@!OR*D_z!gQ1*Xx>*JfwCyE|Q+(MsEYk#-D+-s@4W!`tP@!$u~ zd0s|9shuu%js59gqnx+#<89fEe_E_vb=ki#u#7KO{2OMien?`)=uJDL@{wqte+ zKGA;O&$mydTwxUm)s z)cI26=Xm{&4`H-;f>+{(BU|ktcCAbY)|O>%Q5YW z9baZHM}SCP1H`c{E&oWp>^IXNezCl20>k&*4@%ZHQ$I%Kxmmnd_?oM)D11y`5^yH` z9yJgjSVS&3r@?!D2uzNm-LjV`j8dN9`^z*TZC+u-M>6jc*?X-d$N^dYkz$+lpAdCQyR^aPBx^v>Mkk(MEm=P;>h8LIi|Z|4>2K@o4}9au z{SSG!1QJ8~c!WpUD=>0PY-Gy~SR1#U{WBgl|LO&8qNxU1DMbhvSyl@URBvZ2sLY+A z%~M&V*L*W-nvUZ$Sb6NCAmo-;+5h7swMuRrn8|lgD$OE{Q?ITHTR%I;C_(~lSIhTA zQbnnzD>@%t$_l)P^%1n9ZZS6#(UGJuw^a;19(;22<|48JMsp~H#c70pFTrwMBfWK7 zMY`-j`7Xr8+g-$j9ki0bFgVy|pH&3#EBnHpONt~S4JuCq{6k4njGwM8Xux8}E z^xxz;!1XT|9I5{6APF;Y`(YQ8Job}yRmn9n(1mZbDM5Xw9n6>Is;_Mbzx>K1p-`w$ zI2t|(g5C=rlpcL{cN$^W5M$Xl>0z&;SYSRvrmU+>Y0XL*!OQPVR@PP8N`;ScV4pb2 zD2y#hvw=q+@@?S*mP22_N=x{R_7&NI{q+;SK;q-h6S@>ffkUnJ`_CPBbuW2L6R{_h z()6wvsrwl@!U=`UCx;)n=&5FsbUi{Y| zLyHEC847}4FiasJ*TXJDeVmsFE1U4eW3%z(CRKS#l8)P|2NSXJ?Wz#Q@=rkB!0|(V z>9J$+_}XjpzC}ku+wK(aGv0WXG7x#Dfyl@Rl6?I$^;BK)DLB@S+DShFbJ?X{*p&4g zHaYU2c+sHHIyj0%#p~msq_dMC!A=u5{+0g79$XbY`)wF)`WBQ#1`93Jf6&fqc#S;g z+?0BHQMP737V!40>yL{zLBJS&c#9$MTRTAlWPby$E9pY61ww;TS>B0yDm$#Rvo^I4|t6;{;awfigUV>+FOu z8b^J=_O*F~AOsU0^?%Pr)bCG%8fSsMQ%b3K{{4Rs4%d zQlm%V$X={jPTlJxmFkTj3uIyK2a`4Qz*Vn@5C(QI=LTQ5is{i<@C__bhep*gJ~o#wHv_k>@cMK@T`yYY5I?>WAWDD483}G{){0o?KPD?xERG@mF27 zL_@sLty77AP7gik){$b^ONFQZ@uyQ{imuO`iw2T^EU?_Ijfd<@A+08cMX&!Ni&^me#^ji2BdgF#8epH*5IwLY%q-^RfTj*lU}h zG6}3*f6HF!rNQ=_Z)FHcwZ(8jpE2#F@{hkDmqE?zp`AUtY7f-$jk9gA6^o0-bc7V+b<+jSondw7lI-y= zArw1g{x;=6BHe`h_4*S$@u0`%7Y0%HRTuY#)PER#p$hFmvcs)&;~)?iYvrnPhXmHi z59<6>r4F#O!%9|9JePRI?!+8xv0DE%auIzuv>zv169W{b%?_PDD-0(oEiE>NZ|9DP z8Ku+OBl2?3CqJolz~ru7>R*yX0FzP;#&|;-AgQ&ITu2|XE;xFBwEvvVvnLTDBi2gx z{;Y<*N2&7IbOdx;F=aZG5=#7xmLYD}pPqh#@&af1x(;Bfuexd^^DTQmgwA2r-b8?6 z4o(sm2ZnXU!mtg#fC;|!K&8+8#Yr)tXbREslf%~5F6edbSV_=_OE<<)6nl!)@tqX2 z2hWAhziJ3(a}CDrarMOkIEl2TTN&}VFlKm@HVqXP;18X<36>B^snAz^dolUp7r@X4 z*wZ)#`&^+oIVdQ`f;7!03m3d-2=rDD>R1D$MKU^1tF@NE&V0hA!rfH=nXbbI0F-AZ zPmJq;Ppq^MEW2r4zz+^adz?>92!Vq^&SD|!)XOv<#=jxi&V|1wzOk6jTmFf9A*>bL z!~&mrB}=m4tm%jfcuz%|=uz!Oi@^nY6ZEHbg?M_h#UL=1VQnJjE_rlDcX7I`&}rww z$Fz&{P8kqIa`7mKG&#_D>Vw<3REYc?yC11x`zJzeIhgT$`#=0DkKi4HFeH@2j@VEoL&_m-RKg z#S507bWe`BUj*uioet7PD&F&wP#dj?$N8_+lQIN&BLh}D=RG8o-D0}a$OJ~q%8CcWG z3zO<4>$b2#Dm?4wca6(2^jb9#L70&SDI^SR`Wwvrid3=Re_

    AX2u>S0>^7!#}f_ zV)wgW-f+=Xj8d>^FA!vuE*rs7zdbGeNUVQk@mqAAMJJRH|5ewgtfO|F4>dX5Vn@En zuZsz-+5^n95>Og?K)k4S{ZU{GPXPT7_I>iP;6N+KLYzk-&82wINWe%=YMR7O=C1x&mK}|__4dM{7iS~|sla^*8>r&Ks+(fMa%oLqn8A3IH zu-vb#4PNP1S&A}I$9mA$$L;hwAb5 zo($6kl>EfAp~6QJQvti=c~X#OYZ1f&w$HLJ2) z_a!Mi;rp#SleOC{yw^hjR>|iVk3qmwWImSZ>E6F7-#5$OgEvF{>{ziQ&vi!K6VEf> zsF10AGf>|^VdBVx>;jbj0*Y$;^JQF25mm|X4B9#1t{QoHnEZ$+5~@56Tb`e0eZyIZ zF-CrIS#t^rs;ZOv2XNuoK~!w}kXDVp-5PKjda;$^{QXeg9Pcf4XlWQbijqz3zrwvs zovheXc@A5oJw(5)z^wTXu8~S;vQ{E2Vt9WFAZJ`~{fk!*|CwT=ZARQ=qR+SlhnG;K zILOF!^Bf(;ip9DvhtABPhz745O|KiSiTPc~K z<8D}V4GL+K1?uHeG8Nfj2_W+QJ!Wom`T^E7%KeV{6xX_s1DqN1J#`fPihZ7~p40Ad z=fHCq_Ttq1TOYgAceK3ANoL~Rb)T+qNuOOQUX5}?BC3z~(yv%3pbVynXAsYRdw>00 z(Rzl_66WD;$`oXKIPe_2%zN|!51%cPYWNDuM_KN#8!_~~ECV?GQH$mdsBa*zPX)MC zYHgDIVJQ>SfSYJe;2CBSk4`|j>-+>?Qx7T_A^<-X+HJUOn_TH1u>%RS)5%QLE@9Zv z@IQ>My%v=k^No;CuG3gbdj!R=C235_l~QQbPKrXiq^}P>5M=>)yJe zNd~+K^9TyV^LG_VoyA%e3?S%EoP5sM{P}g5-~mR8 z{sfyidwz$|CLis-Nt5J}>1FxTIbz3T+xn;pKa5>28RI^tXtzT6F) zotJvDFu#&&G>dN;Fe@tOTRDN43cus-lAWS0R2(A=IbCFfNUdmDeZanLzGM)!yGa=lvtP1|)*VJpsV z7u*|4lO}}9r%m0cOJ6>u?S^5)W`U+>Y%2;LSzhDT*+UNrF89tns$6YX5+N7q zDyB%63i^=O1@8Zjk2Dgc>YB%whHyvq^HX(KPa?1IgYcN|Vuq{02kP_gphUwr6_YbgTz_p2dH&gQ*t48d=I28n~K(aW6Nz;oZA zP`i}wdv`fAo;u0zT`XQzYeLkckGoXGZ!67yX$ip!+YN5rWJPyI?;;FbaFg$b;6L1w zA=f`9Lh@t(spUQ-)ByX{_Vn+^nWFK2StS^&4j4si7sw_Gd-|FWsSi%+{-~xH9Je1d zBs>IXun19TO~PJBJpOs#XA{S(Jb-sE!=Ep@yN!(g4-_BXn{J{fzfR-|h4r)As^4RE zHa52aADh1I8JD<>#a88`^KBrTupH8CJ$VZ_qdWX8JW@S3~97h2%jPH1)eQ_JOPd2^m47mTnu)zI-oKXcf zH#zE$*?H0Jve2yG?|RpI5?F6eG5AqyVn$G+g0})A!mN3*zv6E zGL0+zZ0+0?7;w&g78aV<02PsLuwE2mEv(ll01{4pl0KpX9+3xRj*A^??c}HIB43Ym6$%T;~KKtRShfh#m zFxat&N$k7sNF}%TTV9;{hZxH6Y_e#B_<29=p1^I2$RS3B=P3>^&>=sjGm+(tKro2ev^pdF=qFDpF2e03d`lxp9r3#k;UG11j~Yb7og`{Z$?0` z;I2BjrnOQ<^pV>&;e9fngV5R{V<9Of%r8d4^yqoWbnI^e{1j(;;s?GgHwgMgP0YH& zS4~H1?f%dhtPZSJ2k?I1B+HM^56o3fmd4IGF^p8k~tgX{S*ZO*gSXu zD_rC)sM!Uo0^r(lh+X`1!MnuE=lQ6+x@|5$zQrP|T}fSG6XMN?SGXu7{kolc9?5nF8+f-?W z(3k3;eZ1bP3{`t|^uem5?(|Y+BYS32UIF$<-wO2oAK3#SbPP}UG?6-ge-wg3t*B|% z^*kDq64s_zM_+jQc6dGy-CjxIsPJsKHWjY|k~GUDQPibSuN3^(%5E$kck}`Ly~9>N zWSv|c1Nfx|Ue6rp*Cg+vA8z*gX3Ev_OLegvp_qb-Caif_|Dj}>U}L}gdb6zBPbXu zuh~X}gRUb0xS%XncBN7=oL=564tJ{y7Z)Cx=YZRwRL%)c{Crs32l)a_%-x$7uaqcs;LhQ76hVuHo zY0K*ukHM;GZ&mx)e3EotgKrGyotj)fKfdh{EiSkfu22+~#nENgV(K3_HgUhkbex|X zn1<}|zp+(Mo%Q_UiY~Kb_WsAwFLswAUNP5s-U(E^{7Ce?uj{lsEC4){0Ezu6SiD(2 z=R&hBE59Xx@&+j|o(5m36*Y$Lp>>`G9}D@p;I&*S$9o60Dd7`^O{8frR|la>{IL}Dm1OjieVR&$pg838;n?WxhcJ9k z%OI5>6m&j0%u?0@yjQ#7Hm455g{`SL-#1r@JP9WRwTn=+G2XMMB(bbc4?vFK|4YHENth!K#@?~A9pP*K}LPOIqZ_OOnw=DJ@tB-fl?-au6xp0TZI zygV~~+rgVGn@)lS1%Kp z@{~97&$;MNJ_wywIVOS=?+z@MI>Y~K8$NGqhQ>Z3_HHZlZ2L?~pC>Z5fPts}GsxEB zmCFdC1oG>?I=PZw2>E1Z2paE>kqz5O9li=`6#jPPOJD z1MZ#7;EyhScHT&UP04i>VZa{QP@@66q)Ci6Lw@fG23UltkDG+s)iy4ZW+!##Oub$p z;7g424^iCX2B$>ed#Cs(!XwY4H$~S#Zho^sD~L-G7qoN+a2Icu6hK z5!aamV`JNw9l!U{wjml)L;XRXAl!-hE%~eYvK4scfz)WLHXb?Lz; zHlHpCRG#07v$mw=2V^) z&K7fRB}78W?A;1N46o1BrRHT`7Fa<{g=r_5&Y<3}cgoL?K6HC(@XiHagvHM3G}!`$ zwt{!Mt*jvBvF)}EPRfEneP*9-oT?={3Rg;Ssh8Z=}{`1 zbFsBJRYP?2sRy&%9Ac& zo(}64#QJmx9f}*En=nM zt~Z)}(L|p*^njxXqxR`^37d}2tZ8R)3A|_DeIoWa}x$!-mv5w zDwK*xHajkpA{TZID*w*>!s6la>(NU_ydND7W#pPm$p#-ka6aULQN&*bbTjQuGv>o9 zf0q=XWJlf0B0VCllv;z-3NnJ2aVnUU^2eE!WQAW3DS%drnc}^ubG3{|5yxuoZ|L&y z2-ZE0wtt|Ff-e+aoP>jkZHm}|=jpkG6AQ4!QT3+Efq0uCR)qr#UanB(!b+=g1S%`V zULdRAfFq(6c~06Pdx44e-7A3&!*BVqLKvob?I5%3Vbn|CT)~Rn&z)XOtr7l(pa?x@ zvtN1y81q6gC&6Bb?}8~C1cNEqKyvm=!Im`{S6U%YdWwu#hBki5(DrjYwFe8LZ+Gk% zt?e(oXY?~761CGBqpbJ>8Su;XvZ`5BSkm%BC`R0U+zFSc8-+f1n9^RZrlUi#99biw z&!ysB(lxApN@9T9eh;U~xA6}2xSG(#>DDKrCBR!TdmMN0XzD_kY$di9+QS8^YQnUq z8aAO8Paa-8B{3C|A8&YJSd}`zkVr*F!1nL*Vvx3_pE?6WNj}Od)PRC-%WeB{R z6Ic3li7VL7*v#jFr>^eh7-=1I?fcp5W{f%PKL{OFLwPohn>TB~y9tU=yQu}hH2Q`V zBDYAEP3ZZ5cYvW|6<~*LP8){WLK7!l{~pdBAj8FCY9aK2`gA*c8xJ(^A+&l_W&m{= zbTch*boH%D1E$LQR>+(Oj!$mU{pxZXtEs+!tEX^wqQqyjGndx`)&n*N)=Q0 z##E7Ll#^mnOHH*~;`wA#|uEJOqUQoh$WceL%R#g=9&SYfh-R&-c`B)sw zr=fT~!*vf7HaYoZGjvrILtb$A2vIzs6xe6+CZFr0nO-BXiE350-^>ezT+atnlBHc}-*vplC_8H9TGoQ7 zZ|NyjB5^!Tb}#=NCy9lJu^g{ncN|y_bs1o5av{gMQ$@;6fspy-Ly(%)OI+HwX&mx+H(LWY{~uJ93Y0q-8!~ z*gv3{^TS?Abp!8SVpA`hOJ2qt^V@Q*qopxM7m|a6zmj8T&abbI);}^R?3?jUrXmLY z>d2iP8+j2VcqHA6cVcbgX?tObYy#S;+mh z<>OI9N3DN8dN?|WTX5F{ew*vbhSc8g%GkfL@vEX=U*qyDhHYv`VQ|w%bwgRV?wRJu z7I6)ScN#foV#?s?3i@udlVAyF$XLG-B=e8c*d|0`PcB6DKX8ZI_{p7@t9Z3Anv;FA zpS_!bMrM%ybIL+^jE*t$upjQNDa@riLklJp`$UOm)AKL-jJ_Mn97YQF>B4X)2QM2J z!yBet?uB}`?Vh&McV|S{9t#5!j{D1DCtYaS6FLy=7g3^P<;jqELfgiwB>fBPDh5%e zx+mb-FdydMjp!S2G>Hz1Xz@}uDny7ZzMOxHv!zfLvZO+(?gRB#%Q`4rXoSn2ym5w@DT{c;b1eV{;7Vy zcp{Vb6cQzTIo3bk-RAW9y0#~i*9E(ReMmO za%8jC7NDu$WooR~YvXIP6HNeaU=u%S(*v`)0OVK@zi-ECj*dtB$uY%Y+g8() zZCEwbUPkC$=y1V_lc^WxNe|9VbovMWYBNb6XCQ5$^}12SyMApx>uY2$IiJU5_(Brq z-=%SLfBRBL`A0j26#xbFlO^7S`s{`@NX($H@x(|gSLlJl=2~00fWe8u%Y;eU+ucS{ zIBO4UwsXti<(R9Lq@X{x2@k$x%`d}{0ap0dCJ=jBGi>nCW)<++ACK=lEk&m;;|oi* zpw<{D?WSdh?&BsK4;A{!C`i*GV*ilZ0@4M@>6J z?0N^l{qp8dJ}<-7CKgOLDIzq#B=$JQ%lZ21dTUeSDu-I-Fd;)irqfHpU*J8N&^J9W zPOCzyXr)$=NtG(pLU;Q@HE?b>Zud-&(peg6=GIb4B-lAljEVl<<6Sw@GY9vn0q%4! zYUrm1jXRC0kV2qI7u>_-fgp0{;q<`EtG>~rIB&jg6os%|t3TtYQ^nkv7fL3+zqw81 zLn$Dl_`mMqA0q|bt^w#gfBgIl>N|%s(_GGIFo4z>lUjI%kbUeWPy#jiz^pct5lHqjDSG$@1O&vDIc%B6)nj7aWV~WE>s^{{?huJkiSDsvS00n zBvl)}BsPAr0w<@b=S0C0l85tOeN@;vo@d|#6yey(5BZJg z5Q!LC(a2?xg=V2wu5VTod5LmKEpL&{5`X=HqvF(szyuaV>Fw(Zwl%j^zaQoXUie2J z3Bcn?Dyx-uIRN(P0&D?O5Dnk3B=vqkm&yWjqJ8KJ*Wz04PwI1-rEnipe~SM#v}m{- zh~-uT3dxPq0iK4QD&$aP9oEVudk6=;ZZ`aVMG$b-iE3z!C2Ow1H!uts2x`I=mMCKVk|!q6c-0LEj~ zTkIbFdDxXm8+czOzBL|iwr~G(z%gn zl*%B(@av-!N>CdX+|aMLn?XDW@&t*aJ5>UkWSKoE6?XshSJfaO<|wwb=D%}YY^u)> z#=PJ1&rMcqjuk0W+A&wOr2i5buy-n-SAX2aXE({oDX`AxS2KJnQnVQB(?vUXhPZJA z*!L4iyv(GuStvYdz6@IC{NWec$#9sEI!pyX`!7kY;aPqf^DD+1;R~D(lc_br1 zSFP%Sh>(C%=PWS4rNHEd!@DuctoxhU?kYyB&2cAwp;_Tb{a<_z`M949o;u+DRo z;!*tBlP)5jEBoT{1n~^0o29l~^|;o)%! zvr7QN5`rb7)Mjv0D8(eetJ^G|j9%GK)f}YKfWwwalOXZ_$}kCRoEk*S0Vgf_@7WBw zhzbaq{193P$ox~-pjmLPqIU7|ii36MKwBC~Ah=QiY5&l9`e@_^G=0D4G?1*a(Iuer ztRF5i5t*ULi;P0hh}ax*v*MvmI{9b@w=>fXH+6j=(4Fe#haDVI2jC844w7zhCqKg4 zhqZ$n7}ee~C!0w|6E3qRjJ$Crbp%Nxp37`+oFZS$DaK+p zL=6@Sr?K<4sqB8(<9%`dD`JyL7{+`j_5R2qWnfh&rzLjv1zA>Yoq)3PcU(CRkt+T* z-7MZmHxM%6=+*S;`(j2klgA>~Df&%6(Gji}22xz;jWGouiH2k`1qaw-Pe=n<+j}~b zZ1HIl74w#g{OtzVIK>F`C>ujP;bA0HR%enve1I5IZ1*^J+atNv!3RC@V4ghC*It;GJz`vJSvm&kzlL+_RmQn*#aLXVRtfWczc~E(G=uYgFH>rO`?bqZfYRQ8;VlZ zNnN!^pJo8(n#lPT9<2;32ZIDJhJSsgsXhztZ7!2;gThZy5^6i`NJ?i% zL}UlIig_bS8X8I(ldW>8QQ=WL7bDU>R>j=4SqDa`%uTZ$t)08`S;M&=U>yXNSEe&% z4lcOhORe#T&a_8K5SK3S#!~vZmS*%x`*1NM*|8_6d*+;J07&^$n)p_Q)N1?@KSoWq zc^`2x?MlfFAL0*t_3X}NS}Mx;=`{pE>IrysMmntLlJaPTEw5?r8L2Va>9S8z%1t{s zqZCEpTs4L?i>vg5Zw_0>Zh&q{C45W6O$tlZt}1v8U&r1u@f_7u=9o~k-$@H&$qSVg zSoa~SF$XTCKq$;&CcUg;CNCo#aMclpJf!BHN{INU*HL9E)n=>UR!zaW+%)JEYuHFD z3-+TUC++jX`bT}6#XHsEjRriRPEQ^nn*<;29d4FL72w!03yzKaf`+S8H3t*<;{K#w zDw1;J-{fSJ0E9Yp_E$k*jKhaRXU@EqO~QXsfSWsm&GPu%3N4~yYT-2%LESuL`dUg& z4~*(ins};SnW%QY|7{I|#{P)Zi#e=JMd>OFWOB3o=#N&Y#PY!AbfD6oOi%`?$iU~| zcX__P4Y(HB1u4q5P7h6&d(#Ru*ubpfE zXTtyf?w8z>+;U6qrCf8JElDo9B$Bseab9g>r!J0+!aYPz=bt=P8WL;d?l6RFj7u{*21tK@y z`yeu{L<0eZZ$J*p<0Ygn*A)#A>ulw)`OUWCV`l8}VwchbYZ9 zRb&mWgC)vK5p#YP0q*M3+`4}LXLe|OOm1>m{X;Dm}@2^5=F>pnV7p)LG4i&qW?)A-OgUwIfbrglU`0F{*Y{cot7>Pd)JdUj zx|jP0K=ytO&mxM4K;hyGgxy=qQX4)jPu<{!8B`xw^XC_}6oyF=c+T*JyLiP((!Co% z>B#R~CvcVMP}C(6m5tgEwv;sSI$j5|2T?7_SXvDt-_qu86oaelpQ#B0vW{-6f8Dng z)|}4fUetI9maH;R2R^y7l}9w41tLDejID`J7fJ>ipY)*#^P#X^*kcDYzf>eTDJ8zP zHDpijwE=PVL1VIX%AA4FF4R>9$X6pdKKTt*X%V_!_Km+u*)O-wZsehlc_(mh-!1-| zWIXA*-d#*^2pay(pl%?Mld>G*-x-H|f9CGe(ymp&l!}LPHA^QIS)p^8ui|~>^>25{ zi)6WwlX#2-j<`VW+8rFpgG&V?E;rnt6@dAQ;fA`SNk}=p0ps|UiccxtHe|W!*Us!N1!cHp}~~|=BMjIxW(aaJF#%#Zv@;}Fszop z;%_oW>K^Ne(EuarBIX}VC?~J^pok2ONmjC}NTFLF!Nv*AOv$tCVac@}7mheKIYI)r z`2IoN{*9l07&*p1du0dG)udaEky>+C71I#b^B^aXZEVnj&eOfn)hME^VqHbP5INO7 zP&~{k9ibR_;$b6EG=7KhJfodUIwrbfB&Zvfhovew9O`|WLGyzoyf$DzW(rT5{re^8 z)`c|h2AT;c*?4kxU;!c`)=Ft_OWugRkiav z&fl)|A%$=5SV!NOORVvuq=c;KxOZ|Ozd3?}CBsKjY?K20wL$AHPh)n&gYZs6{GUFP zV3;aMTnEl{a$#|C+1^i$RNepz`4*I8U-cafnS&JYf%&TF^PZox+Usm;JG3se^qb8jUgB&o#nU>i}`dyh-T({;PYu+k|VN6%k8?DKOH z-3?!ZxfjwIoJ!Fuvp*u>ybTwa%)YRHF8N1j+RiDSuYY;>fxp zzay9;Rmr2U!;tn5Ma;c%PHqQ9yW_tcy}!KER%@DK>u_pF(PNsawX*5IND|=&cJ3;v zr>}D6IZj>Xeb9QPgi%P z+l2(1on$;D1tLjBc!Oh3HA}V$2dTYV#^JkNgjgl{w*cWF_c0i+;RlILpD83xb+^hm z55i%YF{!&psqfZ2`_NxFTkb)l1WsF7f%{&@R&M&~FY>30$^89|5_I-i?9~DO|2F1C zYY0(cXrC4l#!bSXfX{$sqK6shx>o6gC!LLzn-2Rl(-bv+74Js2mO>ebdt!^s{ssx9 zt3M(k9OKZX{Ti2l3#$Yy`V>7RkJo$ssCtHbpfUmDK`tN7sAJy}2;!QtZn^ervd^<> zo&p1cx*Rlci?O58*qPoF=*;jGRRgfLcrx?!CVm?+JY;86{tLkaD0{+Q<#uw4&rYcd z?wM=w+b)zgvZ>?V-a2|zFtP(7xh=m{x|1SAwhDT_-W}T&i_f7q!CTJYvzZ9P70@G> zy~MV)w7^FJg`2NfXxc(>IK8ejH7EhpCbE_JOc3BPY;kDAV2&A8$cFPK$7eXSVibr$ z623bGfz9KHdGkL8RUw1xD)@yA9LoSHIUO2mG^3`()o}pBiL_1fuv;Fv#@{U)$8?7# zoE~R?XL1ej{;K3paAxF4$8gm3-$-DS$(DUSC|BqSL}Qe&{TxL6FYxY;*RofOM4z@@ zh;w(qR+}Q|Ov)4^h>$&w;G-`k$vo9rjcb;)6uR$dH$_(IWU`DtDXZ^9lUGK_!5Kj8ZA1v` zpQ$kdn<23IL4X}Q^4bYew3nF!dY6j5xbon^C01F1>oOecEIOU7j^mqyHe<}hDmkpP zbY4;`W(7w{-5v~kw{D^q4enp_JgKv$p>2%df$!0RpS@Z)k-BM~CU-DZz@vlVZ!+O+ z%n$9#VF-U=I>R3_vSWr1g`Wvm)6wP}+Zb4)$WI61vV55m0(jEb@`&p7?xg(g)U52D zFA4oVOtql-2OkbM^rah3l;I*I_Tqs2d%w|8@a@dGT)Nm2LMXvJmGUAVaEWJIbFs$t z-6HRQkw2L)%AYy#;aT;57M1LGfQ#hWyK1WbHJAifTH7I7*#t>rA%6+ryjNwjOc4xY zzRg4SsgVQk|Jre@c;x$Djfa(~OO_;lY#bNI*<>=1&`Wa|p6P&$yY~q%#?GxC{?&(q z+;4e}{SEw>v0Vt9yQe0&6GMu3AnD5wcJ$FO)*-{uTn7Bu0X(jzNctPx8;e;G0{w(y<}bu-MOU$pES4#^W|q=X ztEpVMXl~>}=D!)l@c!L;&nzZ_hqJLciK^uWF^O1`HMWOqv&j{Mqd#p~SXqScmP)$!|J~DN?l%V{!?r+=rJbC zh@U>O+FIg^i7>ru2N++C8g2GVnplnUCA$CKtMI?Jm~57Rb@e+`WBnUhvfJJu#@wQ0 zQh{yTzCqVob=+?49TYjck%<8ITBC16I9)Vgnb9Hll%CMyz^mn+=a7938(6ED-DIm( z4`Xs7?8Ehb%lWZ7|MQ%pZ1g=LN_8q$GM{(|$Qqs<5{yu$DKakF&u+(e_zS=%-3QHH zHi|{}z3?*iISW_Seg%qRpp=aNQVI(tBX?o`ahF0XD)r9*4kOx{yM4XQ&-oc^zz~(; zWOYT3*$Uw+f2}y09e*T@J_(UjdpUgjnfqiQyqYpC*b&Ny-p<`YZTZ3#=vEd);gD;a z*Oll{(CGsnP5@sf8_wV7?v|j<&GFyfZ%^*XUYEy~T|BYMH1Q|>i5aArMI4%H13j6~ z{e@(6jE%_xQ^illh{u<$5`N}J6n<0D>Po0KcHz zo}_X-`yq~+vAn65D8`KE<-EmUnUI71jN1W- zI*9h>1atgsB0_J@L~?WPC@7w)vqsAzrc$o9EJI5Vmh)*^Db~L~53T8KKd%!5Izt5L zmWq+e9gQYSx0C}h%ITFiwyAKdm88&Tq)jKXBYxK;+P#^X!~ZARpW*8Sn8Z*0Gtl)G zk*k%)=jLnl^rQJvP%Loml((Vx5A{46Ve{S9@zyhc3=JOEcX;`GL(XMZ_SlHucN0d5 zUA_i*KZ6y{Hu9)^ZbP^LzM;aihB4`+dMUaN2&K()$@>5Gm=dIXPBKOG$n)zBwY7PgU4*xsEctNSY zzo6w9w9b{PRLayRsC;TpYTrqzZ18p~e`)uX`rE?9_!e+7iaeD1vPm37pW*e`LQ zTNeW}7>7Q~9$($>6lz26L#wLPQSdwh72avq!?ho<=+b*<5Tn{iPR+akOU_-5#m}0& za7QR0X+1LghrzgRFWeNoYK+7>Vdm!C+!9+VObZ;@$}mv8WX0|40Hc3Mm{{(lS8B{P z;}-i;Cc<;HqdN-ge0*4tx$wRC)qe?p{kg8=fJ5tR6r&i`f5SfB$=QkfiJ7=yHS9t3 zWjO#OdSm%u4YUu9>Rf^E4@~1;uxYIgPM@SiOV3PFs4;bkF62PG3UatzGVRIeprs^y zse^!Gl)x^zkj!*(3(x8mH+L(p|E+9St5hK`?ft<`uBs4HywA(QMoEm3^@$_@o?idz ztf)B_Za^Vk|1~<`WYxyGhxmFOJh%znaIMTDpxm%iGYuy;&O95kjKMIbj;A)^WKy^) z7W3pDGTaE0+e5MHZ#j1=i_7CIj9ZCD{Q6vcF{M60?p(4iZtVB2-6eBmIQ0^2uxtNl zq>Wk#qIPZH8HaR#K*8~L1V&4!p4qAOt&@R+4%nKXxSq>#+>O)}s+9$dKFHcln8o_^!x5#E)exk*0*VxFC(ac7uZz>KYMB5R+{VhAl{fNqP|m$13X5w^}JvDLu{$Ljrj<#SCr zUQbRovLL|zv8geTh6zE+lo8w#X7w&G{R|XYZIjniWUwHFY|aMC#?Xji=Tq++2M9uDH$g#1gqc z=Ck4vk&_(8c12p7R^;LAimqiV8M(&DyJ2ZccLZYJ#PT@DGy-)V@fPWW9G{EH_|7)@ zTRj5Y-nT92k~}n1gda`i3;gu%x0P$bNzK9IHzKk61Nq-u#MVL}6t)swjKk>#K{Lwv13??A^&Dj_7v7M>qcY zD{@#eF|RlB1~c&D@t(Ax{B|PXjp!*mx~)PFcS9`u3LnGKttH~Lh;Chz@UpboZU95f$ym!#r+GERw5b-KO03cGy_RolU9ezz zSkwV-wzHs6=F+WMR(40+cIcKW=3rJgbs3_JBY$P_~vdH>NYw=aw>WPkBIrjS9N7h%V)7i)2*Ts7uXHF4da-*YpqZ7*-en@aO zIAee6@%H9sOJ3gSYem1f*x1tFq!>yo?my>(2c6ItX(q}2+54E%X-NL3I5sH z(1!kSkE-ux86TG!Rr{U3hwE&ShT~W1j!KuU+1c3o1K+5|{UqJt*%rN3*X4`RJw&Vv z`7YCG^u+pYe~Q}5wYr3y#8j%Jd zwz7Fmj&DGi0yKloG}XMUv)VEcZj!3Av6wr1fRCVYne&WhGxmP{=c7!eAW46=sf2K) z%>b}cTf^}$H6LZ{{VQf)KHU)_nNE%S+RHiDH7^(HgQ*zV8m%eVc zfwJ=3Pp^d+OM9PSzo`+nLWlyiA=)ahE)%{AlT5C=1MHu-l;1^}#Pj=m z3Hwf0B|0T%t9HpYTG!0H6L$E$r>dQd=%t;g{8MbV<*VR;6-JS(HuzD!48n!=e?$mI zhVSYGsH^?+l>6Q7Z8Ie+a*FR&L1gkeb$LhX2|j9*>6$-vD(3TX3{iiE^&rC^(QP(wx6)8TJk=nT1AFbMJv+{SE0ox}iapD(Ytyyx{n@HdG zP#K<`7Z>ZvS3>9A6P3=dX|>eK0J&ceF;T1gwCV~DCvJO(WYDhVNj_5Xd`#6*2SgRT zzP6O?BE!ctNVm1D98aER-N)mo7N++r68@se1FdfMja9c9kyQS zS9oEuHYpXDLi^j6a^n zUR~DtySC&ZpCHGz!7!mm3H`5K^OgG7kzv&;Zj*z63D z^<0zzn?92__?NjJ&9nKNyM+|so;WOevTDkt3 zA5O$ma@9h!+e%UeptAowC8*oVY3H(7qRvobU`w}eTfV&Q)_6E;pIrfF+QIrE5~i@G=ei`jk@#{Z(+hkcVahWp5pj@FB2_fBR2?ul@DTK*F}&z*XJ{uXt1E5}Th^ z1a5N#zw^K8KwzTcd8r(pDorTuQf4;<%B z+tO+*>t0N4NX#8i-)!Jz*R*_C9&-kG`grK8;OWK6x4c-V?&)L36=%zjv0>S7RjJql zSY3m*N`malTa!eZ0&&65EUAB+*%|nU)oCwn>m>1zqXlF~lFQUl3`gzG6Sw3)xM{zO zROgB5o^;tVFtfCyG56g|{t5nIG6gysvU?2I`}@cyQ9REE9O4G3VMr>?+!8=ID6}mguZwF75Sfi zeC>_aB$d1mE4M_s$Cs)k7CXJa3%$_{6>fKbcq`93-oH-lLxyxqGndPAi8}sA_0#o^ z3v=dWmqPbhD?e#K-Hys!TqpVqY~1pKn5|#)%b3uNqr{Dj4?3Db11q8d^Hr7ukwUfG z60Jg0sq~8yivgx;!Tm6>6dNACgzr3w=-peL{H22Gm!a%J6Q$m(p1oG4dyXz^2Vb2`&gfX!Fg z4{(nDEQm8Z5PaJ+-_wC|G&26%HYduA=gV%jrm-K4Zg=PJiG8TD zfnx2QzAK}j}k**$Zd$+9<^LOQF80V$3)h$nFg?ZD<}u_@!*1Fm5*>& z!O^M&Q&d0L&?zzIr!u`|U4&tqR*U+tnr?s_*Pm4OZ9T^%Pst^pBdgckyWL8^rqX*+ z3R3IZzP_0!-yE+SR49y?>oe6)c5{bm9wvpI%=VXwKPIonuBkQtR5cZo`%AbOzAvr9 zXv9E&Zh<4;W;CJ&vm2e11ZJ=A^wEQTKOJUHcC#4)#q!r(=YKxQ|E86+mHZp+1_y>I z{RdC+_Y89JkDFIfInp!ej_tB{{5oB~9$0dtlhha;6Z1IS`J;5~ug9yqZ%-U`KJ|3h z81!JYX8AgCO3wC%DH=km!yB(8w(ZsEh1RRTcB{AhCHH+~o2d`cdx|sW3&L{$c`}<2 zl+nHc0L4B2fcv(2;|96PvA-xYO|~wl*&+xKY8X9rXv(8n0*?;S^f^dsEEYtVrs zsiQ&p#l4E9mD_+9U*(P+)bMQ%d56Lz>lIaXCsvO9w+t0d`28J1{0OTTZx1pxqBZ`W z;bA=lAy8im?;jDd$?8A}HN1T{`TKsp*FaHdr&%lBqr!+PNFd_crI!UL1^M?qH|XHB2Vg;b2I zww0;*NU^$?<8(EZf0@7LERBA6-DV|EdB$7op|KC?%CXLB9?xS7KI7O^tPc zLYtSAk{F|OzM+ODZ&KB}NDjVh42*%jrnQW=Tbi}`=9}+wHFQvcY+4@Ky<&pUc9igv zjnV#J(XNaYT(0Zz>J)?TTy_2Rt5t<*Mm#!nJc~Ygk8>R*V{JY!J_xrvj`#Oz7yi-P zR@pzj%~4vc{G?k=31rH+OQp}e%oH=oq@Ta`qyCcsomByVb!LOSQus>ero48sttcPe z9#3J6XR1moMs)q3l`L%Lj`E(jf@=kZbfqVKr*jrbRC@89W$Ikhz7qNDy#8-Z{_B4D zK9DTvuwKEgra?7C9?0C&6O~|& zK_DoJ(*1SA%i=EdP~~ae-){LQzV$(`e)UwJs~D`=?X_PO34kjx`}@A|{kSuJx~Q9T z*%r*uG}hWCF(}315tS5{~E50%~KqoR6lQ*pq%HV*>ktOt5t=5{S!oE3`X$ zCmB076NgovHvtD>keEL+3`0(@zofDo!SCG;0s_!`ouv&4pOr|?8C~r{P65FEsF>xz z88cnj=6$Mh--n<_tGi8{t9IG+D{4PlIExRRC9qkfFYIP=p^wZI46NC>Pc(Kl(!?LF z=0lg0?5vT?XV7}t=y(3#8TZcu`BwSv9||n?KCy5yR&S9)(+|`>STH!i4 zbfj0+rQ)UHMyucS+ZOCpS}*u?zh1EEDyqcnfZnIiX&|_`WyNyzMb~^m$34%cWRbv| z-h3qyO1*6zBO6iweH4ocWTR9QPAyiLx6DH6E&j+p?-4=t#idLoKxby+_pIf~G!Oo{ z;$)rWna>r5j51G#-(P=3c2>N}+V=?lT4vd<={vsiReVfnty04~gd*})Wmm3cTo8~l zG^Bkc#*B0x92ILnRKPOx@ztZhHx!+Grwma*Hs*)AeW^R%?{%FLX<3n9H!M32W>oGR)aw2z zm`Ono*M)Ou#*R4VRrx#5&WY;*z2X4Uf7!iwV}LhFiA{bQ(sNkZen)O|L7~KZeEost zx9m~C-Dt1<0hT@AaI0E9_|Fj8sU>?bjf!$2vfTqAFYj1fmFl`Ndymcklk#)eZv`6~ zsu(j=+EaST@9W5t1I+{BsFY~vyJi0i9=zDfC+=<$gx!vbBUw7`Pc0PW|BLzQjL7H+qU|<@Lgn48h}!b9F+=LVarD#56V~&4JpZi~8?1^5hT~I;b@Bw~Ej~-6_TEkyC|&I{ zWvdQ(c57_`6Y+x&QCHIt{LVgn?Lm`Ezt>UWsiBuWq1(Vr129p;uY?rw#tcnC!*pY- zI(oG~0uDhFI!6l%*Z!j3PfL)$&9$&bVA7ptr%12t3dVou;m%Usa=MrK+nl;sLC-hh zVK;V=sFN78WqNLcIOWhax^C`y!GV)L1fa8SPKwG>qf`9;SB3-YDiJNLY*9cek}l~j z7vn5>KRiP3p_G9OD`{|`<48OXWxE{Fy-@3grZ22N8>jGK?5n8BGJQjQt!xQC^G~zx zlU|B*EPYyMGC{%ULbBdQLR5}bFsF>Ys_5M94^e4qbQi>!BjjDk+-ctX@2xdrax`hX z@Ez(h2+y%W!QJpEZi?p5rkrfCS2k)+cq^PjL0;N@D3P9Hi&E8GE^%}>>=ev=YeQ`9 zotsS228XAb9>nd_U4kpYomhS9%ZsTw4j|U{&v?J&uYd|VSb07I?Q1^88$n6gr>p)t2A~6@f;w< z%B>lNs}$syBQ1PaE@)T9*&h`|WIB1U3^RYyVOWqrwRw{4<+N11{B<2*`r|Wfj5#}* z=ZhuM`thNP5~fG9t4=m3DJ{@&uIjG&yUL@B9BnoH(P!vlVU7PU?@kBW=QR*7xL|Ir zYA8O4$v-)}I}3_^^C$j z>Y_{8@i{3!3z#Vmn#BeM95aNUb)D`Jy45k~BzqC!x6_^f&4DvdK+`P=Eql|2=9dFa zFCc)wra>^&E~A?H1Hy{h>?$+PC72k=$=d=3un?sM*H9{1lRlF1FtHu6*~kcyaFV9` zooQG%Eh(nA*A?#Ob42(Cf~8FGo0aRu!}yPn+#_Ma$6j8MFy>>gcadI^5PMo;N)*I` zmUu4;@|>1<(nqmk__xa5QXddXOIECgH5UEnpfB`3JTKinZKP>Wziomn$g&6z3r`rJ zp5cqA97ff@;1D=#6G{V^J;KJl0iE2O1&Uyf`oCV$!RcRdzVkEMMOK2`@Ikq6-Qtq? z_|V+AaD?O_`clfpHb2V(!*GY%Sjh1yFmf-NDodHJKv@!VtZ^VxDu z5_L-1SW{shuAN5gjH$Gm#h_S^xD=IEDElr6l9xe|-Qoa1qfA1sgZJH(I>;~a8`fJ3 zp1oih@2$%KUdKQE=J?+Lr<*{vDL>89lUVV$aF8C^6-xl*2^j! z@N~UYj@9+#!V+%t9>ZVXM>H0g%PN2@$tDq&&aOrVwJoq07K1xWO3EYmjt{Gx*spE#wQ<)7ss7$9urT{e|fii8#|wXq&;a zRxN1X1KDvJDe~_=&>#~2{1Ubbx-WpOUX>Tc$yOe&yStT6vayLM@f&6*r~YHy@;9g^ z?0Q*RVV;T|CuI|d!!78RKKh=pgzW#?d|b6VYCx4ZmQ#oQ>}@7|`LY^6M+ zPpse!e~nX%7Til_3P-c2$su&oC8vzVj^^2DJYPs5bg}|o!H)BA*mE{;Od?F>dhI|PtBQ>Wx7i_KZTVkw1iVJgxB;;q&;Y13?6K?4O{@pjBboFZSBTT zOjs1ljKdt#$X&yeyS9yW4BfiKY@E=4`}i*})IeVcRBk}O{kU|L3Y%gkXl@*ghA_Ie zw4w!5A^qXbkp!$Hh z`1KAfrgsj_VM)@Px)IfK>Cgf>t0CKe8dE4v}^`J>MyX9&+h)owE@WV~bK zb03UmL=!;%m4L2M6^$*c-U#U?S@#~dgKk3xZ1hKhW#7&LzUVy-6cyn<*&BpwebW4T zo6tTNOpy+LNZcgx1-GRXp52C^BDNJFdL~)_|7OWRT7lV8@Yh917hn+HD>Mj~{-jm2 z9VV@9QTQ))V#f*~KVtGQuIAh70fI2|0gB37&W6Lv;v+%HTDf_S_fQTUayJ;l<~n2* z0*YzqyNGDc1baq%5S1(lnvB)0BA|+Hfc&NpX?@25Q?zy==uU*axs7;G=qiN&aj?iSt_gw!iuJ4?WER9~7v zl{JJ8iQet>CkIeL6u9-O=n=dcH|#RRfIBy?M~gczuY~Lsj*&%QE2WN@k(K%OAsN_q z^)s}}+Xa_i6W!9SC{?W!6%r9cvjr^h7`9h365x$xNYuPL7s#3Uc~DE(4=vpb?jf-p z0QMyc0xSRN((FQvLo^sLsbJ~eBUilHkl223L5qFWgCfxV0O-5~?f8R3u60cz*6;*R zHi#`tEOzu^l-f!iA0AMTA!YALkB>A@cDQffo)g1qvXEXA+Q%Se0w)aKYk6@g=!qJx zlg_|%XECwrc_pb(nnC1`gRT2?R$53q!8cA?CpnKx)HE*7Qg-C*IBKB*8>7N+dt2TD zIwj~%{`Z=#T?2|Jt74fE7y_U@M;CfbRXU?zEk7utYYn8-OSY5)wOemJ^R#Xy7r1~YYoP2ZC zm477c59ugddVip;mmfrsCdicI$NwjhqlID2derr_v6i%whIFb!Rp>P;kC*24O3>p! zjcbZ#=q+No`?tb&IWmL zUN^?~o5(8j|Nr`qt2z17FgX>gB-%cBhP(v#Y@9hCzta#!J|{yuNE08?Tn#DLItf|JGaAufCP=UZ+-kz2#{vgO9K_G!M;gw0f&tTf#7F(m z{#i)jx;Y#8M0jYLE?^&yl4xfiP68pX&sC1JLs6_RvyWA zz}z<|&8ka6T$N6cQ>wdZsRv?6gheT==>8D4k3$f7{D~ zQ27T43h4k?VtSjHTtRo^__o0yk~?nAh5wQZ|LfKUjE-^{f0mFH$G3^Vb-b~-rbk1@ zTYWUDcP~r(Vq*8d=oUhiZseSyYQ7BPBNdBNM#l=8b;vK5wTgS>?AA(==9i4yOB^^t zDRmrE+ht2m35403vW1R=!kAC<_Hr%B;7DMz5bbsIDDt;Nvd=VD(Hz+Txwcc-utQ2|G!2l3a#B-U;S-M{1o)upuW2gk zRr&z#m*ncEG@>H!NstAm__jeM3rq>g*0`d#4xpGY-ChCMcFi1xb1 z=@3je4#OQW13-ju9-IYW>tiimP-RPPoN3?t=d*=>TGoO7QoTZ`Fkn`c>{dV-=!9P2nl*ZglbJ(fDiur1BzGia^ zYZC~fNsny?5ZMMZ5WV1FFrAMOi_H3l*kx9vBk#UV7l7DmqMKx7_s!vQpj{^((>E+! zS-|%{zUx)`;i6P0l_m_g@q!7bL4qI#r*N%B)X4**Z|MpDumdb#Ir(IW#0Bwo$Fvq8 z!h46$zIqtGK-ybT4lM>Cu}V;Sq11+<&yeRS4faM00F66@{bvJ!jZ9%%P4B5vMYg+m zL8i4-lshQ7K_LPFLgUXr*Z`}#A||!^F9x-o;;n5!SsL~*06Q2m^I!NS@=(5Pl91Yj z-UW#{f??nASi1nq;h#6lcW%tSh=Fhovs}~&^s~g%UEjst6d5FK1@H0{3N(DRJHn96 zeg`j0a{qD-5jQj628P|H{P{i{oHxQk{hj&LzX{IAS-5q13*o3;dJx{%kZ%jHB44ar zZG(G`0VqCx0@7(Nxju0K_2Pb3RUrYkwDD82;7M+M5ZM0Q+QfQFB`UYk1n<&M*$OfG zyoL{{oc_;Ygks(o&V}q&8Elw?EmCUmLAtjp%O5YA^qx|@s>mx(|IGah)EaH~aAl^I z@=#Z$vAIzSkd1;Yjsj!w;h(`5lQ(33&Lv$gseA$xyU(-CKZ9k@42!|CF)-51iqiu2 z#KMaI2*m?%imv6mJl6x|I;-4)3_l3sd=T5(0&JQPq^tfH#;6AW2g9}Xh@?nJS!R_D zCp-spskwJ04QVXCff5}H=P=T+BlR-Evb;`u!FO{rpb?-3=Bw}}Ju#ag*GeVYx^m{4g1O>;64 z9(`ff#Ng3Z$KXqc+ZPXbyp7j94JaW(?*rh z-H#?`de|q|5Wy;L5AhWmi?QlX#hdu`;mr{1_G9Ot+ZDn z^Erp1q zD}-6rD?HHW3mL#qNO%leO%48W1Wf^MFjJpshOlf4`9bi@KX0F0UU;zi1MTjNmD6~W z_)>F2(W1b?&K$w;52Niv21$I0nu7)ZoXqMvfHaBCS(9e9#`uiR%5?&+9dBXhj0+hK z!`RZR>1Hq$Pst`{Jn_=%Wu(|dDd!GBL*h4-`>mU4-^#~rknQ5|fkV;RDeDIXpN7~! zG2CUBT|+Ps&&!LHUbiLSLIFnylA9mGe>xGLSXhWd3xL)|SL9F5vEjM~2E{@l#O29n zA19)WDK-lcDa5lm;Z%SG^dhc$ue(KpJU)b$d@6D}y6Tkx%q3j3F@3&yW$h2oxaIq= zv{xzlf~W85H&3u{ZyDWI53yM1h-CPGtvdaXRSsOzgI_`GvA9LCzYBgX8}LIpCgKXl zTHezIbLQ(M8`fKFe(Cj^S+!5$Xl?o4jg8Y6G)7b<;@;cN-W0aZC%>5}v&_)Ul|_%J zsrS6$TV2v@AG|D8_gz2GpLy=ai#XW-T!|jM;1Xpzlu6&87SfoI&yn3DUYG#evYG%M zKjsS+_*D2l;MTr9Y@tLB`T70?^(V-oGbnNcq@E|wTGh_C(rGs~Asp-}kIu)4Wn=71 zw+THNlRcAM#{<+8bz!zQ0rJ=wd!WAUqiJ58uSk$M0Wloa?I?!z=uBpZ5KzW2a^(pL zoKB;rt`beYhyd$b+2Ea4dV}3}BCPd#gM~jRFBP*PEW;JycP^vbBHf^o8alkPOSH14 z``y;&?~gQ#C^kc?;K!Bv{@YBO`FUwkQ{hEQoIlY{QrpEk5qISI(9Zg z0epDE)2pJUDabVUQ8(534004EFztLsLw)Z)&Er&Jo&pI&t$apU)s4c~c8PY{5uD_FDdol`#ygAd_g-XQ;g`ZdfE7BG*C8ER0Om2oVY#R(& zU)i(}KnGP#@7>sGovcg}dI&itaMV%a9n=mpoU;c?%WDK3$F09zO9KJd2PCrq+ z;8JSQz{E=mP{nL$CL-ErO0t)qD|#N2)%BBV|BEQW@XDWHKR|u!Pd{)t-5}7V@%unj z%~l$itQWIo2zD2awe-*ql(Bwj9QGicjND84ow4ysrnl^8U!3Z74zE zW<4Qyzf0NVz;)$@Roa8P?;x=b4U$Cz%rC@VXlSC^+Zy*QtWlvKQqt%Nbni+;aKe7> z!YWQ$AP|iHN!$@Hn{0G=%U}p;`N9kd<9j7yxYv3gj}`qzYN|PBb5S8afDB2%!JBy9 z;J(I~Z)9#8^p0!qg3J5za~n2rOhWAW%FF_&9Z&vB4QFq47%znd8h}njYdalYRn@{e zE*9bBuTy&gOvCx}d)(9RLOZ`#LZ4a4trlKbHstg;KvFR_*Fx)*63@T>**njE*x+2m z2Q${RA-F3yAb^s+S&1H8{xC4?9lX34v11hgf?lbF3-x=a*~Gqme33gUoz-_q#5vvchB|e)GHH6X_YIj) z9ojmmguF88Ji53DVRh15vc!8!Buf_S5}S*%^J;n~=xddwgA3qAGPttlY#{6g61c5D z4dMzU2n>IR0@VjlA~Co$5>YvQs;dYn?d{S`x)T5R&4cOnu;z*_Lf=>?n|B!Uvv>YrRQGn*yxvbW`T>oB3~FG&K2th|$%B&4A8I9p(x((xj{c#mKphIxSMa zS#Mv`33Og+ZH922b_9iqt8r~1`G~mGY2~b=k1?pUgN6iGR0LvToh97hr`XxedsBL@ z3}8rTRyM_x^IdxboZeWR7fN@q4ZXyL-S2{3qa!EY z3_W1SaecIcn^z&e7fB*5uYLP~61x3nm#a6mYC7rY2YJbXn}>Hg=c*C=hS`KqB;;z# zwfE*b9VuRVFS@#3S+_hs_W(B>qiA79$ zZ?g=dNg+I$FWdpqPE;dos+wIf$SXvTXw4jAUbE60()>J|F?R^^#gvB`Gz_K62|ji$ za<185M%Pr%on8C&fpliHO&E#bh`DE+sm49dB_(o$_#o^(I-pT0B6^hW_Ho*|Kj`U& zes3#mZ8xH4Xnb-n`@*~)c9rr7qv2P`I)bWJ^$*w1f643LMt>B%JR0P1KQGh~o_n?A zu1c6rAy8K?eD5hDS5JrFxl^`VWZ&WNF?K$QKw-+|-#v#E97(1-V3xML2Se}CtM92y zKZdUBt=`Q67r}2%@8zaD5M?jyZ-$PxGd|SY3n)eGxuiSf#c9zliqUgSV*l%Np^=&2 z<2v42NGU+P1a9Viai7zTBR!yHSF`RsKhw~mNc=2aZ!aNDCB`(aJf5A|9cb3_Sy-^TO-(quh9DQ64YyPz--oJ9Cb6c2oYK>X5q}<;%j@KB*oWI_TInIcx7!ld}d7U!I$<@ z5C!LP&pb9=BSXv0>(SX zCL{ZsztqoqBbBh~l-a)@&n2-L+%fQp`yvU2pNt1WL?~?a6-x%>9IwJZaVMYrg0LQS z$#Q6>Wy>&2C}czRdhG#m-*2s3aFLNSL=)6*wQn@m{5fN$oe2viYWZ_ClYAi#=2cBc zH$@V=#G>1&(w9Q2)U#iegTTD^hdaEUG0OTiKVXMuS44e+IAeZC{d^$o?3dP*oeE^p zfVJ*~+IxDeHN*f4shvtM&-f6aO?M_fivDpeGO6+!*E&P3upKhZ&a#*f`8kBoDgUf# zrV`Q08|tX5%#4+OgTi+)_X22pF9uGyf2C!SXAup?{Iq!*0LKQFoI=0ashE?kwH%;I z6n9Vr?&PZk;58~0+ex&~F(?UPTsqbeO1d2u-JJr=baV;db4a_xGJ?f1q}`6P{5v$0 z)oCt~YT#Z=T~POZ@!GYiPGbQg_0I4)twiebMtigz-OC36w9>LWVAugxq?NIdvL*E^ zfURO%0)AvyF88nFs*lCI^Hh#X4x=j&Ae~tl72eFi(K5&SGdj-t;I3Xl_yn^}Lxp?_ zPJ%Hw*j!p*CIKt(KIL8hff0EguQWy;M2BLHijGK^?u|nrPVf3%*Y4gYj_tfZyrgje zgn?n{O6rH@oLdu6Z$~9gaSn zSVaJt1##b8J%vxY^ROEoS!YoMC1?`O@B@;c_YQWbfTk`$2Yr8YZHvEj-zN5=vT2kk z6wo85!RiaGU)3w5ZHz9K+lAc!7=@%l0&;cEsk9?(e${oU_v2fGq}r5VFV^NuTZr(kdv$>b4HzW78G4@6IopwWTX3b7{P z6=uPlg;>;M$J!l&;Mk~-3djDo_t1oA#JGzntU2QPr)AQK{(7Q z)q~olmDF;)6#X%M5s?S?3u^IK3}5A_<$eu6E)8&$=G=wR*{v=W3fRLsJSCsH1M>uM zQm8LiP`ZTmnm#msbE}fp-ci`~^rVNBIhEK+1?mLSoiCLj1KJK z*6I7C%)hzGU%u%F`LVs;6eQkj1L>Ot_1a!l_C96>)n`MRA~M#`!mvc>nQT${fs)Fa z``QIQ6O@qB4Xx#<$kcPK=^Pf{45(;O92yfqS9Ns$F@5B(vg{Sl zKyVb22B-Fy?ooI>VL1VH*MQ?Z)+Ygh9FkN&3)93Vt2GND?y?V_l)HxCVe$jtc z#Uor1>yzOWM2MGbNYjV{Aa-NePga5hIS-_~XtPnFL2G+H_wVgL7R|HPWj78aeeg+B zeqTt}!Slr(xUN2xcgzjVW&--e8rr6$`8YCJAW!;$yd&(_jHYehnB|!>;C@x#JE@s3 zztg_GK0c4dM#>4l_o+B&111bz_+T=Tk55;#1Dj?ze%g;j4vcOR|!H z+>sRbA%?F4^^!iO1_d>iwzI`z@_}b|JEfc#uZ}f%bN&c}Un#l~fDC`oaUOv>wjLC< zA~6O;-l69an-g%KMet@>t* zj-h?K?MTCyYkf+%1Azh6VP&gRL_#}~x(*hMS6}sAPSji!BVWNWiGu#73q?mGero(@ z8D&Y9@_{lOqg0j6R}0IGgQr@WOZ4!HqQ;gVUv4knfM4d>t^J(bQ>6e08*$L1m%mOf zADqwQl9znzNoa{_HGxyV)bfKguOCA5rVKdmeVFv3A29>kMu}LvbGoxf;Eqhv%vsua z`R}%eXNS)|*EA(5+h{3@Jlg5|4{IOdpl-AT2<&qU6lQ-f^wzDSpUS$YO+31sTr8t>`#^I{k zi<`DaWS>6X%kLMS+*>fgIN;=gr9D>@hi=vb@k}TX%#Xuv6daii8rSwdR($#&*#Ye3 zxI>nZra#B?W9)fH>~HTytRGn%YYbzCGd9XTcb;_7!ra?Ce@3KZQ)_EZ9&GMR>3*aVRS-B)z}AlnCu@qd1}0%yja4B z!9l=Be&rmYNUS`Xy69OJ*AoJ(m_-Fz}tir;~jl1@@q1egddN zPdYC;RX0KwV_hNCsh7_*7LDpzemyQf?p3_vH1kmoArQepY7yZ_LaA)u(Fl7m01!(o z$6Z*kQ8B5_Rqu^D)Tf}&{p#)>t`8R|@t3iITMWuBDE>$)34H3G@qk7yjIyLT2bwYN4~WQy;C z?4qqhp#gYo*gt~^2wwy5L*yH}7gn8;{w#;8V)Y8@iFtV6gR^#3;W}1GFO^e@WfCrL zN%4?0IXij`i|K+n+W(sIfh16vz0DilYr4KQn$JAvDum~Uz;6)7!=>@Dj+gS7s5k`{ z*dL#$_SOa#pS1e{!Wtq64OD4x8d;K=`cf%LDbhSTwB_nha)pc1{|r407)UdGq%ecq zBK=e=fF6QULF={^5DqFfxU@7;{kXO&*GtYHL&q~ZpDklTV$DLNdSTrmT~u7u1R ziJ)uyxQCLb|6~8ZXhI1|X*G?|R9rn3O*q{lZv>a+Gd0YC;;0d4W9Nt$E$H)CMphg> zYj9jj=XB~ZUsMp|=Ib7w&<|(o5nAm10g#zOCdyrQ53xgz&G7v@I2RFuH(BAD$D84W zIfQe)!3wRQo!_sEVjuw-S$A$1>7$34IY}hg2H(Tqe)W7l<4^27@=TeRRr54BIwC8p7%2JOp9+NSDU&_EcbD^(Nx1Qb5PWYsb5> zI!^ybp~{&uubNtr>YpX#z?YYhYaw)IgozZrDJ#zx6;tJ|9`H=sGsKr=veN+vgErK; z5#h!C^Cs#2_M43Mn{El|Oz7pa=nI?dIIqYqktady_0)^xa6r?&{cw}l5P;EH%hFJ$ z#D=&40YUizuIEiq?y&e1B(A3`GX%cozs4Vou#_OTBxVhxJ3fZdrZiw1-iFU|16a8WLwn0r&k$clKGo-Z!gS`I`({{Lez20E=YE&meAL2HS81s7w!vWPplhmq}us z+>1^6O$O27Y1k{4(yG-HVm|vEixiBL_A!F?(D^0~LPL(|gFWb{)Q-4>eV)js0@AAc zz#9ARaA2j*I&*8gaq8W`oV^2uJTi#BqVTo%lfY3tgr2XFa4^JLfTge&tos2y1@aCFDMsVgYiKWZ=~lo4DD`m?HN^om{HPfQnAxKi*Nu?^>A*24eI7^XbN*OH~2y%#H3 z&BC&N)Ew8WwYUg~EH<+iAf%gV4|3KuG_aFR21>Qw95arpoQW^r27yL@-BLK8PHD!%_zj1snOR}Nq@D4Ln9Ic11TiL zM~caibbkUIO}2v%cHUq?hi;2p%_Dcpu&)L&EBX>vN51ueHQ!sx0o;kMH>5~s#=_Y) z9cQ+9w2A6PU$JYmYlEb;bzf(MRjhd^JK)CeFG(A@j;iym0Rl)0%?15`W>c(%1nvTZ z`~qyc>o5NG@4JXex&H{=1XNs=gbshx9!a#8(u50*QY|6B=K*G+r zFznOVF`PCJp+VAoj|0)yvQom+U<$sb5X-f>K$^48mqPOO9vb+fWPLo}#)}s-oZ*z7 zIU>M(oRumYiCNd|M=3UwraFhkWFqF}_^ygE?2d*0>tFX##oEY%$|7? ztn(j#SFjt-<~$C$DUyyZ=&_?N?7D4pBw)8`cN58Ql%ttBa}=bVwE!O}LUxMcUc6RW z&b8H;s$FZjS8&G`mzeHgr%?fOQy)Z=JAYHBja)^mI^*o5?hF70junXw|J&3ZUR;kT zH|UZvX`4Gz>%%~A5Y=ec44!->_o?pgu)f6OoPrCR zXncc^pH8%dV1yf4%pdd+vF??s+|4&)0ck z)mWdC`r=12i*g+U{xS~ZHk-S`glG9cnuG`ctk!_GDhj+D4L%lI56mHlkM%&J`&iCM zc)9Yj`bo4+7u<0GcCAY~h~KLA4})XOZIVhUBYROJHzY@v9>JKw%H9hXCq$}35v8RT zUij4$*I7cUh$-S4lrsWbw4_8aKFm%w7KM zgsEA_a2~6o=jtb~+gsy@j1SF=yGP|I*LBa7z;AMo>>%d5O@XCkkR2wUIwKj3edUO`-?1UcBCeVRnp3NVn(;xhR?D50J36? zZPkfA9WXfqG=;(FG%8y5c!X^vTNULw3L=EBhJs1V0_fb_MR-xOoSUR5_{;?&_*8;<%Ocwm0O39_z9l9PLnR%YQ^&jBfvpI2~|Tg|^Tx2V)vZD_6N^S<-8g%ZH z_}ayC4(PDrU;AEGwD>^x$B$5!7CJ}gz<~bDSQk8;68>~Me7k7AQ(qI03w+XUBVKMi z9OmK*dJ!wWzp~;;^o`a2LFO+^M1#=>Ad{|lR&*VOu2p>Y#ED#i^*swhRY^8NqeMsr7Ac!@x5IyDb5vkLyOo>I z^bFAX`CGAm>r`A+m)bz!QbWsg{SJa^{p8_7r$=8cBt(MPqVi+qvu!HRr_Hz-_8x~W z7i1z(493W%UdIT`l%n|pj|zO!y)p;b%G;Ur?A$m^P>VSVQnv4Y|Kp&fQJ2lLpVte* zZ%7Orjj(z4I-Ewc-_n?^q4p61NC5@8wKF70=+l{C&dSijLwm3N6Ug^X8Ow5?w@#$Rb~dFu`TwGsQzaUTgpEzTEf zV~11&5mKQ+{C=r1aV&2FLbR3kAbs}Lo@T~e3uFHFmgXv0LKNaIpr;aDl4!`3D5zmOI;Jq()-iRf9_#PfGyhCs+M7JdKy%F8!xw6Fo7i~CO%7Z=3WpL=!)lA z^F%x8WQooxl;~;j9-DjjF7o3|4N64XloG1NY{DgaYcOn!0X#_~TP$J>nS`p`7%R+6xsNUpnyJZk$TrR9$tGB;!xGTtgqh!qk1(G=)@q~vJ>uQ zOJ-)*fyY_?)31iHR?A-ziz2D9qO}?Xok-e_WtneOo^el%)@Hb_$B4lJg1smm9=JU6 zhjJ&)tJoOXO?4RTZ&%0D02&EM)KU#128YxP*%Uh5)6NzjutRZukDc1Un7p%QdMqmN z*8GP_B5+$TjV=RcnFdP)%X9HcowNoWps(~j&jY~!V0BZI^&%B(C>PgAaGG@=+=uuL zU-K6&$WtSEkC|%mJ`LOsL=5>MT2RuC#jZhUlP@E6h-MTUY$?i`L7Xz1qqrUwoZw4r zn6sac@b<5GaDe6H3h(V?xsYzRhp#)JT3<)g5Z;Bte>S$U3o15p86FmoMUn2D`ngDns0tb5WIzlO` zKu!eX2O*$}d*Nx|yArSDbUVVGlV%C$x|Vw+??F_4C4T3$=_jlH>E@jwkcOhojG+yG z>O((kGo~&wPKUBtoab5(z`pn7BX7>&gb*zz<2UnAy5}+{ziMNz$i5i4QxLV20o@BB zlZmWr>1z$RxC0b%U+*lvcyv&N(OdST?$xZ&gJ((Nbt{wFe`2{i>#AFD$W0dC#p`Quy}{$g7>`!OY#1 zrDL9u(o=i7)XRe=$qFjB<~9nt-0i0q!YTaSbfPS%OsX>CTi@l+1OEP*Di zur&=-4(U8mycZkg6Loo<>HCH9R1WwJyJho|Un+rNLHd71X+5Q)Vd5gqwXlSAqG;9z z|7pS+u#!p8IoUC^UrEqiku(0e|ns_H+o%q^X`^#cBTBX8-vUchUv< zl?1(>^&KY?<;t$@; zYIR1Nz31@6BcesXBB+yh!&o*&a4Zjj;WzCqi5Uzg_9BrC^xQh z@v1Z>4B}p3ate^z^gOl(K`!;W_PgweaSj#}wOl}mLnr5KB()5R}SU3uuxYt_Yp440jt+2W7 zYViXREU9VzYwI|>MJr^&#@78HVw!mtM|lGTO)f;Y6&{mVh8#^7~UR2Q&c{yR@enfm}`qe%IVylsB=i!6TNOj>*i3gzh;9rvFxB~bZbg0OWSTBa?iQS$>*)Vh-t03 zhy-PF3tD$q+SC_htSXu51oHJ7HLL6oR$=?B+8UIl&f;_nnX~yroMcL$#pL#P23HXt zbG+QKb>9Z*RQuLDRZRf4QvH%vD1- z;+4lis-P#|qusv89tbclD)U=^IU~kvLYQ91H_^56gLR58#*@K54%eC?Q5VvDno^8t%jA^% zo{7V;fDkCMVYvHxYG=-4aDe|(tag|*@LB(Tip}(}jEU-^X#Eu%{JD@^8xdZw zO88c0m{9CAIb5I;*FwEl_I~d&mTb8ATJgljc&F87L;l;m69Lw$`l3$S{y~6kiDqWxSWU@dXYS>o zJ)mgg>yJC3=gWRA*#+L*9ud@i+FsVl_ijep=}!6T8i9TuT(Y~@z(`-dYg?S5Vv&jq z@k~~a(}RE%wRM1+ z3NY@;>2qhF?a2OX=N4yjaF;^Zaff+ITMX-#iRr=o5Ytk;#ZuIV&!JCA1+mO{CSrA( z5tUQ;CQIqq4{}6@LsV)ey^B(;TJxbpf(`Pg3i*QR6`6hWAN3$(jyC#7n|XGpsKqk& zSI@S(9=)$GsX04R`!6iuV^8KAb-&}f`FqU<({?9~^c~`D2Iao*(N6OaKfVAi z1^=VznUhXNdF5ZTZUJo52tG(j=zCbN?u##y{!)7s=P@3Q*I%egdIc`!{F`T-ezV!P zCoFd^{>xfd-DodhsQW0{{W;VYUw3=^p(?oKo}ljYm$s$I5C6J7(gTp&8P;sQvZVTP zD(||497-r`*Z4V~e-UK0F}nOTSc8 zo|K)jSG50;-|L~&_5Gc7&YP%@rZT@>L)M7>u0Jld6%{_1l+Va*H-f#mcT38|fU~{S zLi&}Hwc(SI=fh{454Ju_jXB-V&A;F}kaGWS3*RF?`}d>pw~sK}g-hQ$b!FrCKB5sz z&q$^x2Yw0jRsU0Z*IdHu+~F5FA70J0+nM;A$ppQ;uy3g_?@GK+%J0G#|9X`CYxg!2 zv!i1zFS>0ClwMO;Zoh8?wME#pwrk#DbJl?| z*xt*#q&QWbHL>#ZqdMiC*H2;UsY2h0{jbpsGpN!dq;vnytYepGmLKN=QJWGD+FC^& zK?*XZ$5f7{e1ts@bVdfl9MxRiu4&BeI#CihjZ)8i153ob(C|mG7HZ?nwARCfTRyg8 G@&5rVq8D8N diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index 9c6c7f29f37d5bc5d2df5b0a80978fc9b30f2205..43705398fd071c9b1c642b2cd10228504ecbd284 100755 GIT binary patch literal 16393 zcmZ8|18`tPMBZjqygCY;4=MZQHhOQevM*wWtgh!1}djtjX7Od;&$LFH*=HjBZw(=EcVtQPQ z&%CWF?}KlQ$7%WL>8T;;aJY2V#mvp`MGez z!;YDWQWu}WMTNsa6f?%t?mUqzN^!6=s$A~+7^9E@ak#ymtQINxV`IhiUbsxXg^Bro38_l-m5RCUZj(M zC|*kaXi-cp-_H(jKjRQINPIxV1o*#X#UX~6N>JlehZZx=Jo8n{%3d4%dZsxsrHb`fptUUmpIWMxMuzX8kQkNC%Fx5oURS^{|!G-*lu<2WJw>@U|2%d zX^^xcsODu??*+;rJ`MGrUz`z4a1b85$!2bWh0%ZelGlBy8DeR{T9Qkr~hk4A6 z7_VF)Wcw?> zHK98x{<917TOBYVnNOdG|5>*PHfXLaN+?KbB>YC#-6Y8LAASkOuLkN)Q z;IBtN?V6X|2To1)fwiN5gOHW>L>rL)#rn(bFMsiu(zBP z0dmOuGKF}xW{a$X9?N#qen&MH6 zIc8bntgTr9ORQbM1;Uu+eg+mAZ>NHZ1Sr&L*A#0c)}pd#X#aQApMR}NVtqG`vMR3d z2#0L6$JeFE=@}sLA+q!sU&T|!S*At=_X8gnxChpmW_^7%Ox%Xo*CctYm!U!K9ZQnCMA5PL>PI)ZARZl zUNSE{8(4iAJkrZ@EgFn`%Cdl)3cL57T-tGHkTiky_C#!eFVf>VlT>D09W&7 z<{E3NseqS8EZ>q3+O7Jmzq#^>KaP5o4>WKa=%RfyqL6)0qpHXzpI$myeXf~SX=R00 zo*x{1lu8JE#!s(Hy^C0UOxJkDJtKAXw-FkfzBkau6l^yC&V!n09XCLL6*zp@^>KAq z-zb=*_O4F35b3RaNxyI?d#dHDL(X!{PNGcmbp91K#tx1w_n1qT#Q7biBl&LvQ(OcG zGm7T;V5=2Xrs8Ty^_s#^OHWeMp`~5Zf=Klp_iBah)mHTq6Bq}u4 zY%ffsSTIei?7sQ{TWW(?AQ%(b>xhizIhn?wE$zCytGe$sWndiDqkA%)iZ_nnoI4sH zb@TzUcb~9pR9ez)@!!j>~TKMc%oam@n`HDCUa_Id%+E* zG@~P|Lz`O#A*4mn^lyrsJW(O^_xl7+&`0k*s)VpQ#DwP+A7!L&KS1hiOCm==;tg$R zk*S6jc9zj}jImpoKzQPuVckC&bGJr_f6X%$o*TEyq^lGYJoA`KeM`=^XMu;1QSk8wABUu*zAVKDu4b7n2HKmE$| z&fYGTK6y=AKLVMxJ~d5SKT4a_KQ&EOJ~T2xzUCnpSGMyXfXUkT2iA+8uk6SijKbO8 z_R&`ZkxY=OWvKwFuffMYR|4;Na*OAO|EryK;(SV?tY*cyX-2MXu<9yB1kLGV0PAz& zS1Sz0T&6*Yl{f12~h2=fX=qrfD-M)mYd}dg;1{)~4jThvwm>WxVybr4_8!)z%sj z0F(G9tcQ>TG{;wZ5p;lV7j$rU6SOrSYnr(jK(7&|v!}BOw+zpe^yQ>3Uml)XzyHXF z;sfEEuKpV7GwuAm_drd=5ruI|Vc$(Lc0w$gwQQ!FrSdhrMBpL3#-)&G)d;`z|6MKg z*qfnJ;Hf7~Gs|6Dl6*|4HZS3XQgst%^8jF9bs^n_5%?)%R}IhIz_gO_tf*Rl4)Vek zYL25RoPS~&noI{@v^sHuu2GRuH9|nt%{dr7MCF(%bDH@caUVej_&e|?+DZ!&@Wk?8-vm!RHmF=lz=rqF@iwQJRzpbmddM4 zMxL7+D{3trexYD~sd)@|Vsu@~DNJ+tP4P~*Ra7pTMalKS zvDnWfS(Ya^y+8`7YL?!o-qR)8s+O6xy$2J?@r=rTdO|ms45#ajnkjWKZ04zG&S~z) z0!og=5s!$D`=9#=l|p001%(Fhe(ue)-I}d8modEYK6&m<(fBRK0|ec@9>0)cw}PVg zPgbv+m+39ysZ?-{aXioLm`$;k6AF$EtgI>+8vJ7ViWI7t+S?D@ovkh?+aWR)oLL+h z%bA9#3nOuXXt}@sFssA9qQxYqU3aCf`Dd-|*FP`HO%T(q%h)Z25aJL~CcXl`FR~*V z+W>yw=}6GG@gU1=D#7^sR2?NUrJAZiPpUcA?n_pO7~#$DTjeKH8H4@y1G0t22j z!3NQD54^7C?7UY^U%R|YUYw{K5D<^wL_*$x>YBB>xjn{jKz8&a>#wTpJhk3+5H|RB z69=%(fTcU{eMdsT?mW<4u~ql1#I9#pddJ5)v;RGVHTbp33jWb@1@m&bhH3+-uGre8 z%zjudAL_29u3x=P0fV_VeFlGVTlG^k$$(ip(QScA(ehUKv4O)X>CR5#dSpg4{chO)%=^&%u>LK$e1J>p-;cYg-XLBjwPC5rY%T z&XyZ4w*?2(#%>)KTrD(QnW9`fXFs(9E)tw2f3>brh2Xm6CW+O=SP42f8{z*u9cArZ zjJNiy{J9RMD%uReoRd&m?VTZ)|GxH>@Z|H~HNH}YeE!8n*z}KmawD%{A5GvC#eI$s zQ(110<0jUbmyYNJXS85!D#Dw;{s=Z7`zg+QnEzOq|9D8*Y;9U-*=oh(@`bu8!bX1j zrC#N&6D(b*ovP>Qt+lJZv_`9}(deIEj0kyUrsxATea8N0(BQ z=_SnfC$^C6Er6FjJGKh%G5lN8D9=8X){b|y{FA=y^LbnJVP{UxI2?r7FOh+EI-r*8 ztP2p04uKH3!xZQ#X&a@VR}uT-ro*D>olcZC07rOy%fV?MWZd{`z?dx3j?{;V*8?f7 zLLNU6U&O0|T6Qp5x&o$e@+7|m5jt)6x0_LkTQ-gnT^9_}RA4eiqXDFQdcRIk>Ytmc ze4wm|i_WpLg5H9_t=gt21Hq`HcN&3?z5pz;)mp9dUWv7iON0Fra!Tx~mtexm_yLaI z+&B1O*_cPqsbtEm72+K;0$`XlVmUO90s?c8tifx0oZ6L!iFdVwhVlqJOpu<|o z+~*cTofO~?wPZaPQG-B@Sliv#5SY5H{&}65v)^TC-JPo&bvBuge`24TRT+#^+>k~p zyaRf51o4p6o80$G7<=q_0zK3FajcM^a;MNGI}uHdezRHkHRNf&OD*GeMybj?;)!Ff zR6aB{SjLg*7{=BQT3*ZR0cS=1yF!Sdk#T+B+KZDm@ORsFfN2T|f*PI6l|$@?`$|(l zn(KJW&dYsf81k8U@4WLUFY5v92^uq^s#ao&<=E!u+M?8aoxNHCSI;K52;}%pZEyZ6 z8B+z9mhEtb{J`_eOHh3#BqO#G_tA%zaJ=1B1KAX|WwQv1@-Xden!?3QIr$Xu$~ z?i>I_A!z9|QQ9S|n6lB%<&OSA9C0&J;PG4JWvzFz8WJLe5c-Ig>Co{c>lgQf=_ z($=eMD=4r(Uu(D>C=BO~n`@CI&#wqjQ{D;)gD=k-HmUwG-9ya?+*;@? zBBHPZ7(>%n(!bX&B_W{fH|I&(t2>u-*iQ@R?a%7^O2fn|%if~UqFZaBVorO%R*p5O zHT}9x)cxxrOtT|(wJAoPsEl!iRhHBwA65a8s+>AE&~@t?Mjkj)QCbwu5PuEIw)#0{ zuvoB6?(7;ym^fBZ-We^RWNeM8Kyz?~`X#?fhRizXSV$5Xij;TbMjEYn?k+c3%h{gAzM+LS@(ov#3a0Hgr{pJO$62{;@f3#b;A3&`qdBF^4pimz zE3I}3uh4Rvva4T`X;%XGMct0()Q{R>6_c*?+GO3D=$D*h=!YGs+~rqV8ROpA1=M%h zlBwO~X$}v~PVWV+RfM$5-a{~IGO-G=DP7iI-Ug3x&^p&#Uwz6*)n6yIV|r#XZu z%NZh65 zyQ*=A6=lp_uO%~xnSeLs;h(eF_76+jR#NU#2@e(Ta9fuzd4e-qTWkV8F%AztQq=vL zJVICWeiplWyA02{y!6?~nog*4m4bKI+oSImw0sHdKDw?N2E^FpKb(lXDuhzKs*INS z8b?fvk}J8uZsQ7~vD#B0m#`wQ=-wPm_dmJeztEZ{GYxq?`BZpqTjEmK`2Q`Xi8iy0 z5iXI*?9v4X(@e?{O^t4t~wyn34yn7l~V6^O@+=Dj2bg zfQVQ1WE?w>h9+CYRWKnHQ-rJ>dq0a3rtNG@8R;JG9LiH2H`&jnLqvJ6GE&9Hl-$$6 z?Nh9k2QK;0Xv1MMyT5(=_)?iS60w-QJfD4?9`$>i@)JJR7^dTud~g=ZFW|~h+YPZQ z(**Kmg0xt}ZmIe3kOoh7FxRfBwJ4vA zJ#%OiY&}4FB~`^Wzl`7eKbK9|JEvK8ZuZ#2qE%VFwHIqlO9VKPi5tsE30ock2am=! zUCg7Z;Y@&egd@Y>btQS5Hg+E6__|ox1(c9`NC`!iuyhtE@}KCsPm+@Kzi`8=ZqA-) z66G@bpMU{rYNPCmR9XjE|#9hU^!z@rS`C{C@=|(<9@?j6Jkmd5_t_@iIOBO`54WSxmFGyNXC#hw5| zOwk{yZ9N#CFQ48k4M<}1Y`+f^OU74aEcOJLC)^qm4m!h!4Rc=ICPN|qSPWEky#dZ; z`-X3QhZ%X}>b|?5OU#Gz9Wc?{Z1_YNCIiFuQ=&P&W{+zAFiWB^mjc%A7be}7|*6-Z?W;bDu+F>Z<`7qXmOvM=|{gnKIy)g zx6`Rl2ywpOS(_K>R(vrsPqD2y4@`R#Q{|z8`G-L_gJ2t$Q!^C)OsMcIh#KjfK zo{be(i&g)P{K};tWV4>^q_qQZpG)@it%UzmQT#K9pI2kf*Jvg->7EnmML*$#Ch)^e z{4hMG2I-1OC)*>*~kkEY@@1B{@lskvS8GU{n zWIZ-=^P$W%y|Tv+#u@)dQN_GzQ0v!Y)XlunmguJ?aTtQmIStp|R)irJ3Ypc&NZcTM zaO}!Wl<4Y)Tep5Y48HUAHk1QzR5S)k%H5N~IbblyJ9Q*Wp$EbJ+`vj|cWY^zoA%?u z22n~%<2WZEE{HY@(v^{jT5xA9Qu9(4h3U)gH1+JkpDNA{l2ptmfhrfeJ?z#&HY3sRT?)w0s9lC#j(sltUl z<_ip?5F@>j)2kgHc*Bf*r|N7tctH~wAPjnjZ9)lOz&`~WZtD`r*rP(#zB9;* zf7?b8i+TQ;IP7ZWZ%fa2FS(mZlt^|MD`nsPW(Mo)?yYg-sbedDsg&f4{cxC~)rEaF z#aiE_*zuxXNZs?x#on0GR(06bE1yoyq@g9do|-c;?Ny^ZTO9W{_F3G5t&TZC#XZ?W zN0U-XG+o(_kV+ zpd$eg-p~WMK3Km$fZpDu*@?u>OFL&ha7FWsTHa*J5-eBld5_ zN*T4}nmm^X{=^E_%N<7~tFgCbV((cet>kcbrsS)Lf{0Rg4z8}dx+fzA;Y&=p5_vU> z>dBt=zk+P#qoIoW3XazG^6|e0>fJ~K6JzqmiVCtf3(is$V@1yoY_C=Cl?D~#N85Fi zecH~z1a)PVgK26WP2BaT^)kp7N)9LF7{f)>m67LL1KOjBE4oJ2ZmrHAawMN9$Ua+b zlk1|qcinE7U1rmFHx}UFOyPed#?6FFtM*cjs`D{0vOkDl18}hG8H@H(V|0UHIgXI+ zWiIYRy=RFM-0j+cUnV;A++j*|w`2d>y#J4`N;gd!DU%Ck*4Y|E zA4b?C9KzJ3oAZXxr1W=^@>e!D1O|G5IZIh7txD(Y92dIqs>z|1H6H;Mp+VLnx?JiZ=$g`>N!!|ADrQ@Zv=RJ!~d5UO!q*RKh2VQyTvnA#wmACXH zf>-r@oo@PcIm1^AWO_UC&bj$^G48s$O{Kc5dT?=e8(ZmJQsZT8{yDYpo7ebha&YkA znA~x?ZSyR3w!MAf`V8E>b$Q?0oj%`Ne!4xP5V5MSaWK%g{zXF*^Qx}CsG)STX?r`n zRsWc)ZM>ZU(=Bx9D)}zZBRu;pm`9c~k?AnvL+bP~S^&2zt!YR+*qJt^W366sYpX4& zE@$vnRbrij2GC5mPLV13@O_t5_i`5i;GJ1#yR&WcU88e-W;@IMEJY=1411GjQ9JJV znv_9CNC}?`2aIEPMe#3x^bzHx$_p%3U{MFDJ;@?pG+e10`SiS)R?$wkD~iGbijOEr znQ%{u%2w4ELcJ7(^66~`;KQ&bIfc9%y-d=Wx(I?^Mtv+^;uVx7_ zy)K}bihuGnpP8&xyA1V0t-=VZEIVS!mjA-au+B3(*Au&#f!(A;??vNv@Vo-&(#Ghd! zd0h-CH0UNe)FfVbfpYE?@-S_%es5OmzBvd<{buJBmKHs8iP|MrVa&!KdzjQ}s2Jr@ zMBFE*6Hh%@2DJ{7bA(bE;L+|2Z##9aNAwHD3Z@E-+xK!Pg3-|FojmGw9FM zfLRabd1E#T!(5om{ADmBf~Yp1;elI&4+MeF3?r^7=SAZ+#`?L0@q2^EZT&E<069%V35@^}Y z+221Xs}3%BFXk+4;UfF{g>M?mx)h-Tm$*xcdob}jYQ-4z*Xlll27Ihe8iN*m&SL{k zf_v$R;e-0;qSnW3w|xV3m5_PFWPwB|yi=9F(%Iv7K<^G)xd<}X&05n`4t3Fg9(25d z?x9xg3$+4$5 zFk$Fi4ZcXZX-m%tv0=cB=K3{=mL8ZC9Dt&iAAC#kQin&n>kO$ICsXX-sWON(AIdd0 z^U54>Eo{t1rGjNQnHCg+H<2HlVhMJZc12p>cbXTfjkgEW+C_5AW82<$L|VBIc|_Yh z1J?~NDV;Pq24VgaDZ89+C|@T6EIt{~5s?lMoY`=eK>QT5SL4PRqNL>dWa zENtzTU78dl+he8lDqUJdshaqVmUo-?kc?8ORMO#i#P;^s4>__OAlat9$2N}jYK*P5d~e$?eEn6GAB3rz`av(;RaG7| z$M`sMoSJ7mc|>hsg0hc~^f>xC_^K}d8x^m^hH6HD({#O*!>mWlVkEsRr$YNfsB)mS zj(g6VEom)bVCZCU_bVrKKQ)Y00;(_W&zxBd6_y1Kt|e=&WV9B~!m$dv*}j{#^y4Z# zUp(WoC|~YTe_C`=%9oExiUJu0Ct83R(jA7xqdkRN~?S3 zE&S%6Eng390)%SFjP+lAW#hegh18IiQ5A?)j1^3^!~ai#SqMC*ia9vHz`T_nY7`7P z8FLOlpTw4jf&)E7zTfCFN|@I>-xmw|zf93T?sqbSvw40%9!_&c_2Lgo(x|nxYG|xN zRufBb{RI(QI-g(V|A{42)7dd;m;ef`>wA_4Wt=p8QuQ73y6e?tMMHv=aHPm#Uo$Jt z5X20f;QI1BIJ1AR;xHfhF)?kO%2 zn-O3Yjr}}!d%G;qgLQj*Y5)Mz*PH{(Dc%ZZrZIHr8b>EEBHyYWZwCc{Pa69Nuh2Tx z+}jfv2%TO%y)-J=PwH0(z^}5d-pb$_tYU}}{PU>R^f*E&-f)1{;B*{%BTYixTEywOX3hpx76@r?Gv{~UgAKh?4pQ(RE> zUUTqgs!*4$5rM-29`Tc>ns@KC>R4<)Gh!Jbq1$qVbc&URwY)1kv~|mwHN&BEZE#Z!U z^5!bND4=tOql$Rcar&@2HAvW! zCX5wEe$a#!RuA>s15wm2qS_X`aUSATtRviy05=MIm?ba&nOnOJBk>9nRPIQ`d8jzyf+GxoIrVNj*C?hYi?_z7a28 zau3n%L;L-JDO6!O({3_ZcJp41*jaN^9q>T+B*Do^VWcG@(qz@a$2E_lKkM%gRabO= zBee?;UJ743=Ck(Vo1J({s=nUWcv*H0kejN`_qq$(0UWcTB>Sjv!l+W z2kTqgd6_2O)jd0AOb1D)`M)?O@KJfhS(D|Ji0}g%@^+YEe45ppl<3%M9D-5Y8iFdj zNS$wD4|4VJVR`nulX4-8`G}^$OjX~Ed%NC_slrF_3!R<@-S&zOg{%u~oI$yv4OK|L z&x-RA&-$Y^h$;*rar&nbj{GLjKmb=k9dI${CmVaxzs{BEPVlRjSoH%)t0xPN;HWhx zlQd){J*+y*B7s^U0lg;@fKF44o`@L%EC3?zVu5*@qul*F@nW4v)QH&KAHJ2!_73tkRJja7l&Fr?Tw*fiY8dV@>zTyOIKj=h zfz3Emuzq{JP91z37Mfvy({^L-2_*J4B==_gxr2}&dm%s0Lbyhdo)y{l|FG@5vF$^# z?F+H(xB2}88l(icq#UL}@6Cc*GxlJ}#Sr_@%e4i02Zb_;!Ob9h+sm~I=}5`I z!|0SoacL*Jp~z(~3KrT^A?86nj@t|p+tqwWCJ}8X5p5%pbrsA3hl3?OD_&*>*K6&A(I}< z5%h<3I9WuG-{hPAzW499%wVyNAkRgJ*Ai0O*ZUW#Z40RlJnnSshP@>Ye={y~BW}8b zL>H`RZ4J;KynzS@@SB6?VMboKp|er{GV?Y}knBI3?RxtQcFtv*ox=}KKl>u>7RQk# z>J8fsyx4x+3)HaFlkAp%e-vXmeA-ctalw>dU(@+&T?!4g1EY7^6KpPXp>Ys_c%`w9 zryN^!|M?%>{bqK<7bo!FLGF9vGLDDhLcoWUl*H^X8{!{6>c^P3DlKs>;$kh{(pTDk zTnIip+MXL!Cq%&51yKr%0ZZ;nlAd+zK2KWI3)VYR+Bh7Qv3qsC3dq|vhoF)}qm7Eg z?|otylQklO;#jYW4TU(S`Xkw8)5fvPv~f(S(STusIVpGtdzX8!l@MN@@kXXXQdev8 z6R%@$R1nuDhBd=qS&0+*c>OGBd}vE{*A|DcS$CFExRbu`)7;Lj&pa64PUjU3^g z?3rKt^}Z*amA+9*E0!o#hMRFc;6c&~_&Um(o0I8z1aG`*GLJ~VQ;^K}wLm;-Qn2^; zpo8PJKKx{E@1>YmAv1?od!C}C=Him_=@4*jvdSNwB1H^54oi?)bIb;0KOs z{Ng)EaTC^$-W*Q)<-5!vY<3t;b{Goy&h_ep&8W1^XbX6G&9&=>fQ_r5&vD2GG@SHF zoet0YMtG}c#mUrG3Iti|WncV2(_=fyV+RRJD~TsqIp|}+g~S3k=x21HfES=fD;Awe z6sgN)WC}XSP&eN_DJoFQFR(Zvp(99Q*zg>+4C~|@mKg0s-CkuZ7g?8`)7d_`wGLPU z>nJxYWsa2Uu7BZ9ov|ev%QM*^PB0SzEUjoqvLNJurDqE5SZ*Fmekr)x?y1_h{;Yx@ z@!$n`s4-aD@Nl6$(3>Ebvs43*i{(W~8O_rJxa#4+FTyk7msh9kx{7z8uq!ngIe~^t zjORl7GzK1ODoTf1J#2^-W-{);wvWhW^aC|@FQYdJD$8UdNOM+#%_v!MI$dVaf?}7p zg~algkz26y=_@+lO2f^}UT=gC7qSu&(d>_eiJS|p$0M6j4YvJan^6wF))>EUa@LL8 zAJ=mpvKuh68zQnB(nFenHs54SeciC`m2kHvjGGJxp)7SraduKjAzLTXt$m@+)o?ci)EfRz zYZ)qA*n)GZQs`68@nAE0_fp}o!^EBjJ{Im&&Ihkvx_!YfyIhRWqE02nY7%P%*SHAs zkdS-_OmD)8J*YoRVsUE(+tl$j!h5em%u?UyVuh6)pZVE!b7|?S6E%Y#J|QBUGhFM* zx!DRoa)gsZK5hB5X5S&hsibW7q-HKyrC`E+D5n_L#qYLde+_p2nq}Gia+r!+=4b^c zZ3w8UO}^%6g{!wTD2zQYC4)LsY13U5p~!u> zC4+j>qlNyI7zO+(9VDi$B&uyBM0I>DKrJLO@!&?Rry$`lm3+&MIN5fRT$ms>DV5(a zzh?S)3 zkL?UW;W5b&OiiC|Xs+K8Eh8OK2T8N<*9br7d~6E#CmVbFqcv&?s$ zvMUA&l)p{hXfiAWjeKrXAFSE}de+NtxMc>F(e-s1Kf{Dpaxub|BgRTe0%CLHCv`xE2rNEdnlB&O<6?HP-F&1|+pPn5(TEgQYRogA) z?xG^0KdjH3DH9xhTQ?IO6+9yn6`FyD!#Ag!*{YoG;4Vq5$4T8zBcH3zoM7%q?1u;1 z6ZN^x7UI{uf2MkSkHy*d9Nk9i$3P%KRWwV8YA)2lZbjGAF-Bge|F|i^aEdI8$c&sN z?8xwP#DnW?AO6tQpZH+l^4`$=&7$RNs73Uw)W~tf?w+$zp8C^28(-_Z%L&p;D5)yK z8buC~O0(J}+KqO3(6XqdWGIQp(|yy^y|{Oy?!r1#Z~V90&bjPCg&0$GH(6SJSa=@Q zX>Oa(qie#Ctc#~AgyzJ(2B@PetrqK%zZaQoE#AHQCplf7t_1$vcgL4E^NC%fcTeRS zxSmD*Hw7W?PO;itGz_uKAM`PZ)YCG++p4B`{@txf0Dxq*(o5?xr>yB9Tva-!y!z`67z>(lgE!V39 zG>#Kp7mFKf5$k)_c6Jv=!ovCix&U3FTpeJ;g4(uL^X{6t)i-5xV77hb5@VBJo~YfU zra^A6uS9);SDAwrws-5VEZXpa;o&oZC~t0Oik;oB6Gb{wERGzt0)Uuh%XT1?3&hAh z{&Azp4_v(Ij+>qDh` z?gXU9ax$i8PkAgbZqk!ac0(!ZKKGNusup*jUpFH^Ki$BKR4uJAl`*>&b?Bfa+>>0j z<>Ce610+rY`!`oRIuu<9|4x!lpokU=^cC^Nsi9+j;}2j&!z>sUWtg3ViV_hPPtps3 z_=b0VBRGJ9{`-lKjQoQ^xbP?|opXK_f=)ld)AT6kD#vr{sAlNWC=k~W-*@#Ex>JoH z%8L5LIB4hFPoU??k7<_5_5*f$*E?joC7a8YMi=Rk0qgK4g4pJNtR9C$f9Qgmy0h%a z$WkmT%&df#miJV#_m-WcePYsEly{1oHo(&U#xiQJ5h*S%(9NTUtoO7c7-ZoC$I>}t zQW)>SZ#rp)8$K$y+A=_`*<(LNr|HyoJy^Ru!4PLfW8}1_SYDPn`mm^?syR(AmnEOTWX#5#docqtl*${k!w5_NSxuWOwa}GQ*!0k=^*nV%0gD?7C z>eKuSZ87KYj`7EJ#npn%if85K-plpd4?YJ^du-zALFuW`BgP7ZgqTJbk-(`S|M6>1 zQMOa|H1h6=9S>&rx9Ajn_Q)R;6Ij2O{^xDc{z!4E)eguhb|zThIr^=LS478;ax1bZ^c276nGJG878P(vwlpk{DNTAM zEn`!Czie1W7mH0y@SYV+9Js-#OG{^BrX`l7I`MPLHxF;s3)~GQm_SzJ-;(JfDrpb% z%cb#3gmG_KDtKxTjl3+Sf}sy$(L9&RcOF3OPufLa*ieKlZsQL3B~6>W|potuD^8(_<}0XS|>w#DGfIndN!K=9c^Vi zF%gGAG^aypclw<3wvrC~Bx4Be>dbE&$8mUQ?9t`)!9kr{lmRa!g)@m#Dh{waJQbKf z@=K&=mC^)2sMOPfo!=iA0((8t5gViF?~7_9^9sX{bhQPM^Wc}oDH8M;gUEyi>bfqj zBLw`UH>1B@Fv)#qK_Cnd?^`9v~gR;G2b+qTR_0yrRrVgXAx_s|1 zM8z0Y)wTZ3x|4uOMT;9WaBrsHpvTksX@Ej6{(G-PO+dJz>HyaOz>Pg1mn(#PuOyGu zemmPNt2*##gi~fZ%zD>7sJUJMFovw7H&_wSW|j#{SEXp3Js^8(%!e9(-Lc z2JClMmOS^}x~K>KnS}_sx;@^w2xN18<*hkOHtp_^W)eFluW^S*EgGY{&%!j{zur{} zKNJdfdMh2+hhIzrXloN18$))FxgVk3`nA&uIX~_SrdEL2TZWmz1VwREK4iqln{J{R$l-;Hr$7H!Y<3EJ-5>{O>Oe8VsX*w)G|r6hrlU} zvH8tmtb0Kx9w!Y|NlX4m(K?tqsLAo!E1n=#N>RIIxXM4Ip>^2$HKx3i1AwG(>R?|Q zd8Jcm3YWKV<1FRja+y)M@Wk@2R6?&)CZDm>AHqpT0-bQ!;R~1wgD;~t4?k+eJYOSV z)P&=@t&>rlNkxV+e!Gg=X-;`e2q|@npo&^6PpRMMDFxG8@P8Ag|GCP^0Ia#(Vnp03 zV-fDQzJt&{)$6kzG_S}XSzrF7QAG_qPeQaOQtsCOnGiC-moOC_rHgI<2ddQO~Y~FvB6yDlmUAz5Tj2q)Gjkr{+?7C{jpQgV5F6HII nD)%+{kktRyODAkroB=PayiQ~!FJ&QQe$%f8G4TocALsKw4kC^J literal 15974 zcmZ|01CS<9&^|b}ZQHhO+qP}nwr$(9qunuftR3?moB#QJU)){9U0io3I;$${sg8=O zh{|kaARwT6Sl}P-`g4o?NDXrrS8GQHB4$me_ayxdQ^a=KLQ46p8r1(n8>Wr5Es} zKXe|xc>cGnkdRon<1jD=nC36MY`lL9so9x_!+6F!WXuwHF4V$OzsHDWNC;?SI=`N8 zTCW=3zZ(g~(UfmR5Wv9`&C%W6m(`PTkCYA-j=w)OG~G2dJ>Av&IaAH1Yv=kjmj~az zW_fygdJN~*el89_8Y$m@td3qQPIE)B${R2?r;#cM6PBEQ1)$&PzYF8bCF}LXy3r#w z0{>arK86kj+`!!^9{U5ejig=SZd+$fvPBI@OL<>?6)%L%N1z17e-C^3~m2YUD%PVy)XC0U2^6S*- zj!>Bg6v2>8$)oj{5z7;BYiDPIF0z$)5qhu&n9l!4xkP^PJGxJwyz={t#0zGdkw0h? zb8AIbKvJdG=JA=E6fGb6P%Paak%-N*od^JPpuf?wV@`@HTLMBxq#kA8ct$I^N=0G0 z#F65Y`IAeC9Q`iH*^!;7)KZ56$WiImoK#M%uGKkQfW0B7PdHUP6+KQHj+9A`O&b?e z&XS!$o_g>$T+8wIQh9`G^? zWC5DJ?JgzSJD4wy@wm-n>lwz!FQ8K!>#i_9LVi=Y5)Nz8wfZw^V61LykhD%?5HFJ4 zJ2%_VuRO<~=+bOjF6dIt3{|x9{~6iri0~ zI2XW_X3*(ZGymh$(N{wTMVY_*tBIWL=iJ}Ir_MIBjmAbpZOfI3x66;zdV@s5{>4?t zw^{BQoSa?x*IQ?t!NH0*sv0$f41_U%MSSQIEWx)oz5D#JsE-oF1EPc^9us%+{mdA> zyjs$gt_y3`x?L>n5Pz4mK@8K>h4~4}IqYk+lkbR~Gd{K}v zDF5*qtTsc4mN}oiq`Pla&W68O^5Z=DqAl3JCmaKKE*Ly9^Amg>*?G6DVHlJa67U*! z>l{{E&fe-Jm#;dNh|0L#K9<&q5hQc=Wcz4O-rY$(FST*@H%#6w>}(HDp`G+Pa{8Lq zw^7=raxES^E@A2l7BSk)(akO=&mYDaR`ZuEnp_~%O^sEQ8Qz^3%Q+7`KiQqocR0hk zPM$0AUoksM5sqiL^CJ&WqVRU6{Y}4ZKaV*E7c=iWsGiFe@%unmH_)%fqlWg3eiCHi zvbg2!(|*0@ zA*%c#itbE#AOXP{f9k|=fPOq!XFH_ol`LUYlg7U9;-K4Y|8PQJ?P%gz6Y$9JWpUxz z_D%nJu7})7Hb9!e!cXGM*6`Tt^KmUTyByaeg*@ngKv+1;dP?-QR83b)A4NDt*S&%i z@`64ZsGIts$o5B&Xxf1=kKBC0hTY*OM0V`&#%rVZIlILGyBRk3 zFPn~UQGeApPd358`iQ<=ZAolWKVa#Slg`}Y{1m@v z)L+CXwGj@+^<%P(f zOYU<|j#je~(PW=vXlsWx#OriXs9peVmQAe@oRvPy&=%2z#H&lvGCRcIlKy&CNQdex$xWs=k%EqhWllf^&QY zU~v%WZ6cO!_5n&t(hUOoLkGm&AS1-xd?m%5ZHGB~3C6&y(-B=3?4#_PGw6(5`x?hv ztXsYk>V!Ma@^AR%V_jqxVFLN)FO zP8bhE#GLB6_DKX?m6+V0>TetcciHPP=KQmiF|95=72QllYcA6rNww-Ph9=M>U_d*y zF$-d28y@*30U;%d%IC(;A{0XDwO~-oF{_`)y*#m<5nnrj8wtLh;rZtx4arAC5u1NQ z|GGzSzU~ZAeL=Cr#xMQ`Jf+uPcUH?#qdCHeygChlR~={WuHZnckzIr8NZ#WX9*7Qt zgM=WnfN`U6Bv*#$U+Q2ZPu=e9wbrMh+yvceEdt-myvjVS*>>C z6ydcQo~VuY3b%LVMj|3yE`{#q4%>46TdP_xI#I>FEi-GcAa40~Wh6fbi+olPk|hKv zokV@Up73g_D!$Qi79>TONzm@;Aa92WWW-5#yeb&D_v9ovQc^Uv7&T#b zZhT$6wPRVOQo4gA<)iMBI90`QmRW)}i9bhXh}~Kc6NtilO!COFMpOTh~I$#5EO zndmc;u4#5U%Z3x)YZN+~QcQJMO(E8)DbuqQLz%{%Zp$(8z%V?bXl@1Q4MPOEAc#FU zN=A+~AmB^5N<#&uobY26{_9quR}g5^P|8drNUBfwrX`UpG~izQR)Kow;Q+$Iij|f`s*z3i|Omn9(`7(am0+X8xxE z!?;ewaT7nIcN5zQ4vg3%$PPx18oxtVdXl$F!+Q#+!4>&`0r9y*pBo&(@9g#<&$jDe zSF26XTaEgtU52d`PQJA+A!@A%Q(E{Ys8f}QRBeWT(Ki@RVE~w};ZLyLBJbjQ#Q@-w zeO2+K)Q=Dzj}w0os^I_+siti>5H%C*DPmtQB^txKA}=g-x?lX7mVRpj z5{4ZC%eb5VCZ%X=ybV_&D)Rvv)gZrE2%_p;a=E#|LG){c3PZvnjhZ{Pl0y<)5M$85 z!k~`1M9OH57*RH-1(5giy?`0ukqFzCb%R>tw*wRmVd&$V3xyu6WK1nyAys3ZahX;4 zB;p)BGxhML zuru@2-~eEn;U^}HAOOG+sN;DIq{&|oEeK%R2Bb$|#X*r87r{UKmYr*KIh+=$z}^Lx z8958||E5jR2?^$*CsifjwE+LJP;Rv~s;A&7NQ*Rly{2g2tb~55S;81e>!wcMV2EkH zD*#)$u+CAtsKpHzXMfjckyje!lfoZJF*KbY>xKD^m%SM_-)uj%PUC+i$fbySXVI?N zv(oC;M2N?>a<@kSD6JGMTlc}g9XCsrHE534x=erks^)f<-S_f>Ug*jneQJR0uCc(4 zd@o^#j#|@WirTvUI#~Wwff)+x;hbLW%!8&ZX~8W9;|ZM}&j?`vs}VmG^+Pc~6bEDP zDEU#ui)}4--+cU--;u@SW21+nuit6C?!97bj8A`k>SMhAy7JH9>5Yh$CuH83!2|ry zc&XIekSW%kCr-+Z`+JeMZnMU-@Ld=;ADhyk(J~Al&fZ9}77Hm6&pf|RLyWpOsy8&sy>{IgGg~viaZ{J%TQza$YWi?1+!PF9wXYC23S6tX>-ZCwTc&~ zQ~+V1=+T{~%f8qQ{gz?dNon51Rlkg1{pG6>Ov>tz{v?b!)jV^E)7D^#l-~IQUHOwc zD2kcobRH`cvX5Pi67@giu(d&D z6wKejADY7yY7aZk_<^!CotZSUf`GCF8D{K7_W>;!%*+No)ax{R9MwD;R4}c5xW^>o zf1qPEm-|KzkzEME7_x3%v~v|ZnS_Rs{xjfDY9$60I6Shn^H2<0=Qp;vKhxd~LcPFG zbt_9}sJU|$JL`cQMveBT6_99rEdw*|Q30)D|)6SI|JYaIZ+wYJ}D> z>94?#ezXydrneVb-r-XxvG}TwECkL}sFh)YWNa3$pV-BE_kcI)52q|GTC#;9^AqkL za9;PhUdO7nZ=6lj*=P5zeS!w@l@DSwyFE{@jrf>_q28K8dm8pB+&#>Rd1A@quN^q& zYs?l4?$a;0;)Ur5weSk6&a!Ims!1@*CsYJXxVNOC$uibLm z4`>Z^m%+h0lPEjX2WVU8N>!~Xf_JnTVy!K9FArrX)I)T*S+F;iS4x2D`dF|vl~YQD zAEhRhio-XH_kK$<1&*@x7%$zi*K#BnH2KU_X~t&B8OWQmc(*72Ib7DFNY$*(mNS^K z{4tdN7>X!V&tf9sr_5N;8~i$;tlr2YD>6Z%>Cz)J_a-tBccouG^pov<|^ov?KvogAu0?ah+M3C~*V5zkuN5zqdi zJrIYS`*1jRUC}q&18b$u5=FPs%#GDqAbKi@MJKCb5S^eaaJ&ebyg5? z$G_71g>{%Wj=rBajT@*sH?7LU(;}61BKyBhD(HoNnbMtNJjh}wUi(g==ec8GJ}2x<#LIDU!z z;`L~WCyP~&y^=?{LgJ@LRabS!4X={fq#C6~zebXWNL;S`spmu0_FpYoW8Cml>5bpz z)m=aC%Iyyq)scAVIz>z=wSSplmQY6L%c?qG{**Mkp3y|%Yq%CSr_vcW!!D$b&X!km zc@Wnuu64Vik0Qj5&96ykG_C!3GdWpQ!|O#-x4zWtfia0}x3an*B%f2~gHsfx@_e(Z;XDkZBOoC$8;N%e3WxE%NZCus%#e%8}D(?7CBv zt``wqF@#7|PYh7^B|^Icpzgi@d(X}bzy*6hS?^=mfPJY$IM-L^j$#-itcSDK(g#Km4elgOE)jq6;kepY zro|i_wHq_0yha?1^nVxN?09Y7ra>lbRg!-6a_>WA>YJlfbz_1_El)J{lX#;g>Z(-L zXPW2k_b)5$@5g-pwM6!rAVrM0bK9A{6pWpSNlcK3xV&~Ujf9gZNP7I&crrl1jCFuT z{6YyTefwAEF`--T$_MZ4ePa&lH1pJJaxGpqiGVtff$0rnhPR30DW8b7n64`Wi~Gjq zHg2EasA5G_rV52)>x3eXhbw)!J63=w+)-o`QYF#IGYBIis9DU*p>b=3fW8q`fnT+n zhwZO<{-G(>c)101DmGiI*g^K%Qe5%+fTq}IP}5&3snclF+>us&4>oHHi)WysiaA>07JLk-3_>dEVF=Ml4JojvggMN?lCY0cs1y3&| zZ%D{Lz&%JMr=OPBh-F38h}E`})<}6DUqJeCr==iU+eUZ|C;zPixuYD?q5lZu96#gZTnh?_g#e4U ztU}^ZN{zrje3wOh+LJ`oTEWC>yaP5IbTRz7Nv0yII}$b@^tcGinT=dCh!sTvqB?{S+ZaTOg?Wz-QGh-6Mk$X1?O{DS7K{Yr8-Pf zI7>1+G;UE&{&)z%w#=f2qIdK>&gy;G@lhkIB6jxl&nP`9fLT9i+%P&}HG#xFcgTux z;8dQtR8$?>dxhIRTr-+QBevG|52m@%$1|6V(SwY7$tmh%=<6FWI2Gg=Ii%U>J#HX& z>R&xM78LE$!rviWT@kx1(c4F1k;hEUDYT_(IGXHoB^0PHn#p9Uqd8(5o~9XD{{cJj zb*iVBT`iQ?*n9wZG#i$c!Jedmsv8lkBRV8Aqc$~KccBo#t5f()j0`j~TCr}Ye1}Fx zb4Vmk{q&(A*rh3GHA;8`i!b6+6j# zr0e}*;I{*WjTiDAG|nxZ5g6lAN%swOv}E?WNi~;zbfMhv=Ppy(fM}{v)VrB35awug z>Ta;4PbT9V`6zShE>Za^<7iVkAf0YE?2b?S3uZF3#SeZ`Pk6ua&N|JIa;i|wJDe^M z@5t5swUnwc_2{{{PdQ^Z^iI6x!;@-IJ7YKaPELCO=Ju6Nz*2N|E-6%RFK^;Q!!JQR zd*(^{(B{oF|Lv4b-2%575fggUq>eaiT^q%~e#jau>SL+gUJXj+cB+k^3H)u5uEk4GuTm4I{@d%-|W>n z?K$&EQb|B8gHS9xv+JdHEA(z#$cpC zDNtr{*^A>ImpIWD-NexL#)X0O(=K!X&TV$$lCW>)3(6(Ix+6EcweSPkjrD~7p#4SZ z%eX4Am2OaWQix))P!0Iimh5<&#d7zUB!$IKfDh40&na&Hitt~T{v8VhUtp7>(iQw~ z>ePwplYb+w&{Nlv1IXO3-hMa&-@z;`P=pmyeiMc@buPCU;jt?X6R{fm54~BM_#Jl? zBtspW>M0&04JC~fp6K7Y0UiGv)#%j%BWd9FD#(q&b#alTXE7C;mZngyOL?g8p$p>c z^O#jxPVUtZB&2TOqGW8uX;E_@)iJ2Wq+Gd^A+0}RM?!6kOo7ZJ9k_^7c}PcBW)a7v z!LICdA*>-mRmQiL6RA!X)kW-vt7QNJ!0+Ut(@~4i8BYZYSvj5ZZ8P< zk6m7wPg%IR%_^;3Ty@HbyyufpgW>yFR{}`Vtle;V*kB<^0KN+^G#6%!}-Q8piI^?b|xC=WM6+&O%6t7%(VuUrKg+E^P(kL~QFqd#*s zPDQf^)&>dDCfjb!H*LHXEIR}o8x{L&8`GA1H!A27I-V+K%z5-58FKA>a`#%>4QcL8 z!vO@FE(Q(ye=wh02j_m;J#67Qb`+%0AP<@?9&h^P$9k4rSSxGO;nv3n5`7=^LpNDbrj>IS3LF+n?GBabVxn{jCLo+dUbAtl`+>{K)5Ms11U`{>k(~(ZNpsjgc&JKx-{;H z`J2}Sqod`zcXM6CG^8hQ`g(SwX{~ahd}VC`%9LHq=V7?1fI#!CWT)j z{#J5g{?s!|wz<3c?GKXWX81q^!k6Lv5^AmlAr+TUwr&XTRy~40|4x`Qqe*u-rBLb5Oyxy#TqHT1;5 zbWRYQ72pXGZ*v;58W(!J04NNs221Go>3`^IY43~U{O5w%gKowAfY=}qW9kRg(yEny_CS7hgYq}d(Pp;aw{27Tg2&p9pLsI_?vlCt z<|bsPz-^Ne*@+D^r3;74J^Gw>Ai(dcqrWobcbqQYwlBdRK*__g?q_6mc+--St^=9z zrCnFkzp}>M$L74c%rPd+U2d0U_Nfb~X2*C40x8kQS+9D>Y;ipY8%#8QciPuAdLcxc z-!UKY8EW&U{fT?s!9c_Gk<&HtC72wGc>Iff!B6iApLg`FFk49X-5UN;{UIRfKd@_Y zgt_XvwK2}{s{dH+KX#W}uXrHHe5d+8aB5XJ3>_U<6KL|W8E~76^`0A_IQ6a3pR*gY zIVUJEHdk5k@gIAfId_a3T>m=CA@qEFvpC>gfBk%aK1#TsJC^qTx^*1v48X83II$@H)H zasO1?3YZz6SQa?Y9ISHssJLsS!5g&pZ~kuh>FZ#Bci~O{sr%fs?UUhiW~KMTu|uFe z(UEnkpm5Ffz&{<;)tA&-KVV1kV)CivZt$L*@RRzjzP2{u+7s7tKP=LxQs0=KkT90LDeG{RKwgYj>1C|JAi1on2v@z;1_Kp&R{j`UU2? z4`!hAXTwf_wO{PPl3hRTz}X9$V_)K$v{{T5@+)n6cX#ttkC#G{Z<5#GpVf{8dx}OM zZ5(hhGN{!gNTg8)U+UO3+f`(4katSe5{^iu!OtaRZrN36=$trFIgteM{x)*u-1{+m zErelD`Wm!qkbAM%7EvTzv8qf}N|9GXs%(@Hm|~(Bn%8uq@ezch09KuZmAcqAm-E=J zw>0)Ks3ZH??l7W&WpHfhy)hZ0eWI`$FS00TVk$!vdP}cO;i4urm$CsWSx*eH{XCXw zc`U@GZJkS~iCt z*+^T&YEc$+>MS6C6C^JF$teN5n_koU7?#nZZfU$VGZnhhvtP#Y_k zsvwD{f5;$pXYE*%xWwn1Dl_WKhI!<$j3&kj%OOo}hB?LkDcQlxByzFAC93i|3{&wX zlSk49`sL4IKeUJ^>(KtFW9{$*!03@f_^=J{0F6d#o)QJy6X3h;`-<=gRrfJ!P5T9k zS%jQ;KFIqt;4&&&$bX%B6!pBT-`|GUyqZ$Dt(EXH&FI+6pQyz{{&-a1FW7%FqDrew znDH^`E&{-A6vA!93ca@q`x09v;+ol=nkuIzYwNVMbVKOgZKA#cfQ7U`^ z4DbnEf!tVy-_V;Mu$ey&^~e|I#cyN8ZVQ-iV7Ce_(l?#Q2=Ztbt_^+qhTBk?|Jp9f z2j8fN0I5m zZ9#dCZket`@TXMCFvQ~wEr7dHRwBFtY@WRYCk5MZQ!6N%S;BqZg0H+c@ zg!-7fm-7%y5T6nDz(7v~E3Wt#R%8JPBl?<)b(m;JE8+FrVQl~~nOEOL8q$#KcwmIx zWk5(w$~X%pYAXq2hy_%qy|56($}cmZ5m3YL3$5=ZWZBpauN1{q{|EDaJ0(4yWGi$Y z0PxZ}H+bp-yx59tmAOB)+3y)It~O?v3KHYVU?78{1<+jAwcU9|{G5lJB!X^M{Uibv zEko+~|6k1GN+9T{Ldvf4mWmd;zVH9e3%Z5UV{hkZZ{dAz(O?iXUytBy$W~e4EdLv@ zFwvMi*n3xHceX ze(F5~C*zr(o$gvUr@hai0|QFJHaR6{9D|(P7MD7D&@ zisb=<7>=j$d0t*<5mrZzz4G-GDV*bU2T<%@{5pmZ*3PN@u;f%6g{cGilY(5XBT+(^zwQUsD*Q5@WyvP;<3o|=PS9!zv%ZL|`x zNtcdcueGU!n7^|+zAJ+^Dq&04?FTHv37$zA{l@Ktaz#6=O=u}=3S`kAx9$$FRpo6~ z^j>Nm$(i#fVRx@T=SqVp=zE$j*%vsx7F9Vcy*z!FIXlV}vGBuQ?rpK!S@SCC*-bRV z)9&}ZA4&$tp5EalQ?xJo=aqWp0<%w|={ARW<4qY5BEd4aQo%Cs@gVNi7}PeR;56`6 zAl}|5=vCO|Z-2e=k0NQlPPMwXRoPKS=hL2Q7TWO)3f>4U)6=Rw?^Tb^*&9OZ_AZ0Q7f< z#(@ohWxr-$Prpv@W^K5I?!aIahCh+pquQY25WsvMw<&mHWreMBZ|0*THvF5GD=GQNilzYk_dQ zAS8%N%e|<#y^?)}J9h`>Yap;d^j`XFH&||r1y``2AljkhR?@+C5-Je2=c!&7tWrbw z0u4<+bT~;=jbuOpuFC*!%P{;plvKAUW^edSVMiKl_ZIyjOeNA6!zyy8<#y61v(Z#M zzfgjY>!<`0!;*B6li#%dS|NT7XL)BV&z6aW4pC0p6g`qT^j#;Y%GCnqmWYF=XnF5+2St{9$#ipMz&DVnl7GNL2nifwTpHe%JSq*Ai7K&$5QENTMyC|jQZXeK_*apTD* zTS$8c-vm&w!1Tkqy5`t@Xb0@pt)%s!w8&?*&ZH$EZXgNzI?YX=bNi8HZ`gO=h)tW7 z)HT{6C#%UOBQL9+pL9+kdnW^2hC_M9Qo6fN_Q;SseS5{>p)1Vo^YlK*L`SNBOo&2`qgTUN=%CHL?5Rc;l_FMy_lmgo z+l3+g%(^^W{L3uMY~Y_RMzkeFXLxwijJ3Ozr$pWBfJ9%s_wby!B`&%he&+&FUk{vc zBcJpx*Ez$i|HEimfL}lS>q?B&a5OEBvb4#nH#2R$F+4VOlP=w7gFh5A&x2?HLbO?J zn&Yl~Zy_{^yQf0Lw^E$r@0e^e0}Bg(w_;SB*&XiSA=&}7Z$NKwh4Ek@G&(8TF02^% zjWRPVcPr4@_r9G3xs?RDofNs1B-lk9=q5@4S=MJ6-yNps0-i7G3Loq$*Fw+39K~<<7?rWyUPzQFe?Ea|~ z#9m@TS5bkzh>u*{J3@!whFeLLK*NU8q=IJ{cW6Xg=0oiI?q2f&&EITJe4d_do$%9| z-?^X@>kn{BT#agVoCpQrK!M~Of{`|ol^f@1E$~nU8OJ2%^m2*gLB%JdOy%qn&?_(h zD!le9Kp>aXvo+#-y=6A=xjv2@K&_qx6=_(X=-EdJ9Eg2&!m9v_{XtIj4Taai>zkmh ziIB(P1X-BgMo*7JmnXsNgjj_FZb6h+LW*0$1zn-RBZk_FJYbKP9Zv=pUNGGDZ7aoT}5~3_zx3!FLbCcQ3$q z|AFtuPP$vTrSD8~>?Q8(BJS)V-UTk(+PLls-$H=m6iI;>XeF#Vxb8OJ{`t6gNCL_| zdwuDpCw4jwVY#o2KnHTYc1z>!=3SE8IEWM2_J~pEr+F*>ebtiqgnOVoC`ET(gIG{L zoLql7I#&$U7iT`GhK#({`TYA-SD_t<8Qn#{FpzZkTFiv&R|g#U)~#pRqEFidwq0US z7ZAco8Cdf~1WqyTh@q`Jug^Y7i6n^``fL+<$@@o^gU|m-DSlT?@E-=c=-xQPiuKO; z^@F1f(_{}oOL<536=M}wbAxx*c#AX5-{*Ab$V+3q)-IMpSsG8!k2#hnvbxD;-$z*P zAz0IZms9ckaNCU#5}NCzsVMglr?(;ayrR5qC~bxmB)>j#Y>C;A(64R`{R-|#2KQ%X zAF0Q$gX}C71jN7Gf?sxZ5vO=J z(r6!9G4?$tx8i}oN=TGFsL{|W(VgRN%xhT(TdB+fhgr_4V;VMje2y=q|IMY4U$Ie& z{agNT5+{xNA`iXvWnl{Z_S&HBt*i@yJhDy}c<+-}V2i0S&!fER7MqvY3 zo;+7&rGdCcmH_B^@XKFch*42gP}uio^PaNRfSO*I(~yYyvcQ$-u8ruIJk(onB0T2a zpQ$qj|H@5tgz)`~{;<>G_w~<$0#r_9V@DXw7+u z&1ptUbhNN*fmzZa{DJ`)Df;29)J#Bb7L(%x2+oCpIvLQrr^4>-2I`e12ls?dk2~2U zR-#{orB9MtAw596=#udo`?tYf+vW}|wL#{Ir^J`d@C#J(Q}kY9!Ma5^3Yo^7hd^uA zBlh_DG0|hXAfI2@TEr0ENVtlW9LhH4za8)aXwPbaJILwn!l9E>s}XISz#(0c8Ug=hU9%1JvhJpI2&Z%?r*ufCyow)kMg`qW_n@4; zMeD%fVuo^B;$3pt815#>;`BDU`4wL>j8Hm3RqzoG(=*BFM#2CRa^hE8j46wJhS-)QXEKSE%o0$Y$t-+0C=R~Y;H~Iw#sX_W%RDqfhxa3r>(*18D2JC{!9dj%aJ;nC~ z$ijo)c=ZWQXVRQ5QBJ#Iix8XYfL*W^g8oT_pSXxj0N=B{(B#}?r}l#tg8v-I0^ZvI zcnSA|Ax2cise(|K!Brze&6DoLTOg=-VMTt4^juw4pt*ASULbr_t>?FdiA(Gz75alN zE=9On0ObtxrgZG?Hj0TsidD`PXjvcibtlxq10PV-6hKgC)T)E;lK2?e2k;cSNt@eA*WJXskY#)hk;OfD&RwX^VW`c( zP?Bbho-p3My1#q3lM=g#3*AH;AVynG%G@XCyo&{{!+XYIAHf;_wi{G2`VgnA1}xu2*oI-)R2sxVrrFlwqWT9_9l2)bvrJ&`Z+ zwJ*lEC&qWiaY$X6WSJLbnICnM7gaCBW%5LGIhKwnKd_e;+E;3;xmvx%tB}95v%)dYy2U$KU;2JzpAX2_7Wk*OKi6GD27it+v85BIvW7^9$wXapN5gptCj^rt z)cO=Erf>X4- z+X*|!@5B7<;YoXYo0ZqX&3z_ooxk2xVuH@k!ehqHu`qcXogc@FqGm{!*S3Fop_?DH z{fnG($_kC|1eEF;<8J(GJ|uYqs17HemyM5xBlpjQLb5MU7~KawcYrx}pIMt{fTBIq zGIJNxHyt*%6@~%B#^#ddqdD^C4yo*0ZCXOHcJ`*~+;*kXlP09^@q?(_H+HGloTPh` z=8HY{j9Ca@qnluJ+Ceth4yx>0L&)4q_cH!B+zx30FR#HRO0*;(hx&H>wMTwx#c`|6 z`0=~~k*R@3F)rR-0E>GH>uLennPHMoK&+!GBuu=;^N0MK$ShP(}o` zc#&(YnXWmkmUPh*-HJDRdDJcitkNglncX}Z+_V1Jc_op@FRODR1B(~7ov@yfdzrA; zHQ%XeC%>wF&^h@|>Gs`9kQ*(_`Sd7b-*AtbV+bc0&D0Hu?{VP*71G_%1q)Q8PPEKs zn}2;!OAqQFj{*?i^12GLA_QIJSC|InIVbw-9rUI1<157-`{F5SJhlk$lH>11Lk`Bm z5D}Sq2pyw)UflRrA5_ow6sVjA6KqcVxmSO6A3{PNivcH3&%Qu zMWrLNl2c0)gQlQLLIM>CuzC?Lfgr3BJ3v%r^O?==ZspZ2BA0Wq@VI)t>OS$eu=n7^ z{f)JGbDuG{H+&w*z{W#C#AX8vl)6Q>kmQt3kO2 zJZ}T=w#4OI!y?)W7%Y&l-jaTW;OwTrJ8a$zl4$maG1VL__y*-$Gb0Lf<(cl}H{>Lq zlZ&cwSkwLovD#mU9Pol$xp*Pfb-<8{8^pSl;b=7s{oCNTUDvg3pfTY%``+? zM(bGYf!RvV>G_~4Yr;Q?ukEQ|d~0MxU?$?vPdrT6xxk-BAQxeVj+ZsOvAHxsR;m)6 z>C}he*@6M?5ZdX)v{lyS(aR&}$?N(Mb(qtq=mzE4eFa~P&v+mcpnJec!#$bLtv-u; z3Ok+M22r-K-lxOpy1S$JBO~}WD=L|9HR?BJ+?$|%?!2pkAc<53;`|_)+Sp3qzED?; zo^#*TYbipIMqtpJlNdvd;ujL1p|mXgDj>FNbJ;zWab~Q+tVh?Y3V6YlaP7Ghbx^6; ztm@S!OTmuylbwB_ir6MgE^#PE?9gtDnhAp-L(oE}xT09nduc+FNDqxyEudDv;3uQm zv_?^aaGd-YAv%*_GOBrgji}IQcyhVN)U@VDBGI*O&uArJsu|Ek!_}Nuhkafy|Jh`I zHn*!fZW4fw?3C#MySg^Cxe{`>4VrIZ;!v&~b9$4eO@|C{il>-RwBqrBd6rIe%2h*X za}Ezx4Zo=x<&w!{?99P+maai-hx=vh-PXL|6lnWhPN0`!F|Oa7HC=1vn=v}|dyI*V zQW-?wl)ASupbfBebR&Qa1^A0ci&-Uy&v42#iKPMg(XE)@5Qra_+EL630}Nd(OBK3S z0AB`q9&bX*FY*^@vBP4VGZCHlLPr4tc$huPxI*1iQ!un4Rld(HmL-=wNWZxj5)%0p zevWaPRf!z#Njhmno4bg?L5CM=VG)|#O3>7mF%+n0@-%E2Q_u_1y%8j)P>2XkAaX#B zpuw*bKT|?nh)RYVHWVX~#in}Lud~{kgh$~Go(Hnh0h>+!A6UO5L@_3<;;bmX*_ba6 zTrN=cbPN(G5b=?5k7_`8)fw`+Q!?IL6%4YcSd@m)@2yac#@rmfiw3CB4JoG%v|32ZoWM#X_nU76WBKL z^S8a@+cf9M8G5Y9U$0Eo{QUKiON7ffLYA}>1D{C2Dv39`r04rlc+*WTIBUbu3)aJumh{9*cyw;lnu3g5lE@zixJzK!b{SO?fADem+^L( zu?kosww%2R1kRB}L%HM&xEH#w47)=Lgq>p~79%wqc7y7Og?_tqRV$ASiDwW`H*7>m z_{joqp9o`A4djMDt;gV&P-t7G&b9xWQW?U;eiox;fEb?tAoCR0ExExIsvOts^}nY{ zOK_K8Oav!Z2`@<#w7_Ps6AdDBFzD7rOGw23=NY`%W^m z9zJjwHE5rS=vF#a@eqZ;<%{)t2rg(ZJTqwiQNa|rpZZGgGEB&{%`e7MOU`{>Q53bR ztE|a5bKZuN#a_4Ab*93W<0OQv4Rr20a-~p(7yQ1R4Gj!2KP4Sm_EV&L{;-k)8$qYH sjQ{7EyXE7tBdZKML{Dy29)UBqmazM$RO@fl;z2xe1@=4Pf7{Og0Z@pK3IG5A diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/cpld/db/GR8RAM.smp_dump.txt b/cpld/db/GR8RAM.smp_dump.txt index 8062576..365c18b 100755 --- a/cpld/db/GR8RAM.smp_dump.txt +++ b/cpld/db/GR8RAM.smp_dump.txt @@ -3,6 +3,8 @@ State Machine - |GR8RAM|IS Name IS.state_bit_2 IS.state_bit_1 IS.state_bit_0 IS.000 0 0 0 IS.001 0 0 1 +IS.010 1 1 0 +IS.011 1 1 1 IS.100 1 0 0 IS.101 1 0 1 IS.110 0 1 0 diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 8cb6489..4a67a64 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,23 +1,23 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618161764909 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618161764925 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 11 13:22:43 2021 " "Processing started: Sun Apr 11 13:22:43 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618161764925 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618161764925 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618161764925 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618161765159 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618161765987 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618161766175 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618161766175 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618161766331 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618161766815 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618161767003 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618161767003 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767018 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767018 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767018 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618161767050 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618161767331 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.908 " "Worst-case setup slack is -9.908" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767393 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767393 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.908 -697.920 C25M " " -9.908 -697.920 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767393 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.302 -1.302 PHI0 " " -1.302 -1.302 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767393 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618161767393 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.012 " "Worst-case hold slack is 1.012" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767409 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767409 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.012 0.000 PHI0 " " 1.012 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767409 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.288 0.000 C25M " " 1.288 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767409 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618161767409 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.389 " "Worst-case recovery slack is -4.389" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767425 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767425 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.389 -131.670 C25M " " -4.389 -131.670 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767425 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618161767425 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.835 " "Worst-case removal slack is 4.835" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767425 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767425 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.835 0.000 C25M " " 4.835 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767425 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618161767425 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767456 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767456 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767456 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618161767456 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618161767456 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618161767706 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618161767815 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618161767815 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "278 " "Peak virtual memory: 278 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618161768143 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 11 13:22:48 2021 " "Processing ended: Sun Apr 11 13:22:48 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618161768143 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618161768143 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618161768143 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618161768143 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618731926284 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926284 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:45:26 2021 " "Processing started: Sun Apr 18 03:45:26 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731926284 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618731926284 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618731926284 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618731926354 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618731926464 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618731926504 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618731926504 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618731926554 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618731926884 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618731926924 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618731926924 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926934 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926934 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926934 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618731926934 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618731926944 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.691 " "Worst-case setup slack is -9.691" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.691 -732.295 C25M " " -9.691 -732.295 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.358 -1.358 PHI0 " " -1.358 -1.358 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.092 " "Worst-case hold slack is 1.092" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.092 0.000 PHI0 " " 1.092 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.418 0.000 C25M " " 1.418 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -5.009 " "Worst-case recovery slack is -5.009" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.009 -150.270 C25M " " -5.009 -150.270 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 5.455 " "Worst-case removal slack is 5.455" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.455 0.000 C25M " " 5.455 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618731926994 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618731927004 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618731927004 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731927044 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:45:27 2021 " "Processing ended: Sun Apr 18 03:45:27 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731927044 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731927044 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731927044 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618731927044 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 43f530c8687f5cc6ed4c31a0e26fbb696766bfdd..852f1d02b98df0fc254021bec0742bda91b90002 100755 GIT binary patch literal 14688 zcmZwu1yEc~v;_*|Zo%DxySqzpg1fuBYw+L%cL*@JGdRIrg1fuB`F(*h_FilE>D@Io=L;AZSQR2T=;{jkh=J}J<}R+*jt-=(>`W|7tfXS@)^=v3Z0u~L zEL_a2Y|Lydtn8$!<}M!Arskwd#-_HUtfZ>4q?+a?q>^UVZXnBVMsz>p`sGReRg*adX|Egd+ zy|wn^g4*TUN;q7 z@Ro4`W61rLlcGiLxPbQK#%QN|g=aB0y-D$9EBB@6O}2oyqQ!>aTBrZ(!(~rbV`F2) z*5*o?s;wbnAk!o24z?{BMywjl^*p1}VBlOebb4=iH z%*Q*E-mb9x=jYa@<3{JZ=x}!h*u}J07ynOU0)^KhRVowy>O0%C9^>rCXvU` zEEz>IZVBfw-t{+6udnzM#SsnGz(tb&Br8V&z8}<1p3D$1(;HTVm+?x6C6|||z9Gv@ z2A6}2A{f)#UO?ds;-BPrq6ROp4MAv1!GcC!*%yjbg$7;xv7UwN%8njrs3mNa9g8Fo zX^=7nG}qA)yIyQ~Yd@(P=r5yvXOHgOC|n?;1k!)TJbvq2@0<%CEmOIr%qTfh9^OLZ z@bmHYFrOiKL}hh$^9Upy8h%4MekaFd#8e=(r@PPOuIf*aE4#ed-p=1VHNflt@`gp& z2BT_wB=7d(6X=7bhB$)qqO!nFD(;EDp5J>J(guz@FMtQWwT;9efj?la+gN{8^p|>C z)RSlR^r5(c_2_04IS~?(NU6VSRu-pJw>IPg$%XBri^P31Pk!i~zTwzPfL3xEAi4$} zzUzg`AaVQzq%6QzFmrR{!scow_}>2BQ{<+j78a2o*8yLxLT?QeDQO>*VFA270)#yq#baznUKCt zpt1aw@vHWvKy|JHlaa;}}_nM$y7H3*M zVa!3uc@-C+@^7eoB}=7-C4D6&cvtZ*&EBvQp*?z*v3lc-KiGg zp6(FlbJ2mGQ!h^v2<-Z-yEY}%!V4p)=IKj>B6c((A5BrFt5%w|3p<4J_UVvv_}>hP zbx{4M-|{V-_Gd2w-bA4IgpMA9j!>1s_GN}x_uzL1FC=!1?JrTPJsssGaMJ+LtneN5 zoeZYQQBxrfm)`b-S|+$Fi&DPbcv-ATA4O`ghz%9ne~Hrxp2amN9aqA=5Dj$2nY|IJ z(rlpL6*|fYNhn~)gzi(WJo$6~qUg_tzuKbq2=5k(?ox>-9W#YD-A8&Ydf;*6We?|H z5j~NZBYo!TctIM7OqUAvQtmx*EeuGpj8j6TH1{4-8Gl7P@^>h>8vXXWy~Jf>bh?2>B1RTGM)NUmTN0SgvPR=BB@TybrZWFulHc1 zfMM!(a<~%%e;%fZzF=(k!U;k-v{C3_jo9mZMpRl9Bw0fUQ~c8O=6AU!L-6>bwdwuX zSo{3DNfX}ld#bJ8WO`rY1z3AlPA#;=eaRo*c2LIdIBj6Kfz6YSIs9FWVIdkqp~gFldpzT+$1DAmG4HSExu^oVwero8RE`t>eh>kYgs4f9OJT5EX^2hVO8U z-l$i$*YiXC6CM}{_CY%@dI*=rM>X{@lteTQW0sn^BO`PL{v|eYsLINpFY)~CDC1p= z``OV1#X*pu3e{YsN;dijVq`EvFji<3nxCjs z1Q~f~KJE&1FDjHL?wYYvL=O>+XG;fvsI&cBuVmrCg`rnyAA?T^L0f+sajTMnd$aD9 z^ts3G?7+GMrrcv`lFy9Wcj~s)Gk7RHJrAEf;j$wk6turT;bZSeoV%ffVbLO#oJP4z zIMq536#azMZE^@s=sLEbBIbe-A@yyzpaT>|x}2L^quLjS!eTa2=-}vnpnmq;Lt#PD z!(oBzko+e9ujxzPgY#6@T`@UvKs0lQ>ZSS_09Tz2j^UfT{RLLRijCY^r~dOjHi6e3 zg`+LyPLmp8eQ7EQH3ZLCpd^8e@k)reZX+m^0&~WA`B{JQ!q3PfHQ)xN><86&Zz8<^W_AQrlVluVB*r5P#?0cO&CEa zL8YATt{&oGK`7q@F0?zeJEPSf{zPwkbuThU5EG8uwW;-?ByD=GsxF#yjfK{&o%;N| z$~f&FElolV;=v248C&Ki!Cil(QK_keO!sSxNi^ejqXJrS5UNQm<@!lNrEKh;Xb4yY zplWh@e`Bl`E-!AA;pg_h8n?Rk_DTF9)V4(zB}>aURY5)d?JwXd50u! ziH5);6LWYeU1f{Hi^2~gOyO(H<>!MjYT9*yOSit0*u}lItd(_?TIoZdVZnbf(zGNM zZ5C~w!GMIsGm{>))Cgb>$Uh0XI*frR77!o(`m;AI|haRjl5=Du+5((H%96OpA z^BE%ttF62a7$I+==djOv5xP82#oW@E5;ANyQz4Eg#oX%-%pSfgB;-|d0?M`HcVkj( zOlF?0EkKcb*q~xKzmYW{Ff2NTXH8w&ZQ+&NTII?ZqnV86vJkZ@E+NYg3upFxmgG`$|&xZg< z3>1-D2?xtYK2#?U#q2yUgsl<~{^&$*juM(XRxE8Ou$13xJR%aFoH)Wm^5hnNj|X4= z{i0Ys7*g@Bi`fOO-up4M0VLUSKM?0x#(m6oiT_q_?G@Aar6#<~MPHtVWdE1SYoQcf z@Y#*_Eo0uI*z;6w`z>`v7vTw-1ATY0b=ssJuKO6A!9yiHPpriG4IXBd6u{2BL1p;& zQ4Kh9-sbuDue{N&rX1Nnn9os504nhBQ$SyT>hQyxwHsmSGC=dDP&4>nd_@|Pi`aP)9Y#~=2#o6Z*Q9JI9J(PKLw(-O}@ zZlxP8=$UQxpCN3QM9!wbX70$68%2QM=4zc~J!@S1EKee_E752C7yygl8X=-f5fxoh92dsxvQ2EmH~z_9-aDVj;dBmMvbo0V1$5_7w|U zH^i0874Ys$@02`1lZNaA1F-(`@5z22Jr0(2cgryh*YjN@lRF$)=ENT=giIcEhontg z5d2X*W*tEIm|q{~=yYDP-*$!mWXdZ?%D-AnF*SXXm_U$sNcVGqXV-j8^dJrz%jT9> zo2dhHBdZ;AhTVP?Og}Hmgk#AI-cu?rcX0g|S=$y2+^9A&p+O+MlE??DPqY?iBy2Sh)_0sUt5em$dXZ%-e zjM8b`o&uF=kv>dv-BZDStU@r&o#T7l74Rp!u0Z5!s@V%Kx^OHLTpb=lQF`|qR-@iJ z_3`+H$HA{Ql44`1E4g2>-tt?i^UA{`?1&f4@I7kc9xE6A{*i^P7sOb}XIoT#=tW~j*&x{`LfVRK0IQJclp0jh{5!XWd~&G4ZgOUv9aSsi1)MA};o zJ4E%6bHp44(DiIvapwxu@<>VXJxrs7#j32m}dX8(xXBg*Wvj~32Jz#wvpS4be-{tlR?kCO!FIauIR6} z_I8$hYCh9MM+@&9Xg8oD1N&_p9Zw591I{W9*LJqNwXr%`FfgDjmtI9rea$LAq?&1e zg#ANoGK~qlqa1LHT6g3Kc-^_9?vWeIwZ59^k%MJE|2Dq`aK}|ZQn-zKVtSY%2|L;Y z0wg2yc53mP)mf#Ln3Ia{)UlcyfEYS6@Cu((i2-2v`4#pu!pZZcW>`Gy67QMAAeSL; zm!le>f7V1d$2~OdNGY1RQ zzP{}F99>3i9Rktssk5fy25SKD;xn%JvW}%!T2!;5EExXiQBF4wxGCY&TI?jV#L}pR zGbimcYnq`f8`o_3mrq`aHK`;XAr%2a6Y8$e(BFx^3HDP+y{{_-oFf+!|GG84p)r&* zOjM44%^$3IMqcO$549%SfOk$z;)m?dDJ?ia-GwzG>rvg^Sy1YPx_j?rrFE^x=A6>9c z^{bto#k@jvMCO6!A5`0R{A$0g)V>qI7#x$V=%zz4pJ;6#rzl6xfV}7nRm$8+_;e92 zFNT$;8(1_WRZFh@hrLaxZ0t4yf^Qu5xHSfbP&P|Q7}T?OVc3y;jkk#T=-L6sh1OqU z*&*%hKUPH4C+f~NVZ-KuxRJ3&WPzm;iMaZUOb%$T7Aa^B50naz38U4sKj+ z%v6?zuLViS(nndypdl_N0GN%bxIDD4%Tn}^s-BZ8Brv#LE>Rg$#IX%X9Hi^DA{H%nM+1B{8@Xbd={UcaiOf9c( zWNv`FN8Hz^%6m3b{S|Yv#@qK;0Ji2!g-1HBze$A~3*GQd8YNN3V0KBMws$PG7b8Ut zStow2V}@t=tXHDtq6hw%Iqy@<7JIGtFuuTV0JyJ6DHcFu&x4Na8R^~n80C)OQs$9h z%#!~pZi_$o674-UOfEs385#!J$=eT40R7Dg^@`e}cFBP&l1cApZq!iH2i#&>-=*|Adz9DQw2P7 z*sGk~z~T!Wu^`MUHfiS?!5)$Bc~t`rW$egbQ8uvEGvYf~?mhc8vAvyTPjMK^Sh;(3 zwvaHvh1)bHjnn-x()DlRRFV>np_k;9KDhFrnOS}f!e=`S&`&ZVxT!xS$h|Qla+-|g z$X|)uR;oTI0?^u$A$fuMXkT_lj30HHQQK*ell|bprKIk5MxatvAi#w&59*eCQgAhA zdr>uWDdo~KI@zjKJq|g-`==N>A3|vH;r$OZz1r6N5XSP3 z^xMx5nVwAR`7>5a9U&jo+Ct&6m%vf|eVuTKd3?wCyET!ah_*RIk&=}RS%_=xxg;>i zFmz8al!uz@{AV={oZ6^lqj^MUWLsBgmg(>!3w3;S6)Qz7%b~Gw{E_m~h1iCe!_ESi z!#>&NJNT2UG2B?2u_27Nlvd=@V&BxN2|ub#An#i%gAkRxQl70X&CIt(=WDr4AFV?K zc5T{}vP>hC?($K9i`(_D$LKrTbSMWUbQ!MEec!2req(aBb=qg@$3M&g*x-puO0e8t zG0ED@CED(W!*2AM(4)}S#p=vy?TOtClamQ6f@5u8OvF)cNhkJ52oxA!&wz3hZM0%Q z&O>axFf&VF+yH%fvfqy)t$HRIhMQX!FdukLI;k|0RiQARm0mM)j;VXavSsB)%xK3y z>J_QwMEg}&l*#L|hP3ne_Gz8(&>gP*3^HhcA;`MN`A3^ctur_l!{l{qO4oR-e=&#& zBbO=@WvKtolP%OMZyJ@8jd7OBlGCm&9`qWaUG~BF7I3Id^(^IAj<)$hu7xREufRodwT$>3 zo*rzpvIt}=^xO=o-jlZ#@C6+H$n%fIi09?MEnhMc`4fm*WXq*SX$&s{FBRw(1D@dov+i=)}6gsKO#`CAF4^*!v*@7A4T zuC}WVO{z8t(Jb}v?+~r?DJC*aQRTVuvR}48yYxw$>&q3QbpKSg2>))~j=+A_)k#vP zqaLVQP+C({fa3bBQJ;i^mk^K|k#_cWF>?W09-1s@s%*yu(gZjkn+#hWT(}wo#3_l36zzC!8g( zn4A0dSC&g~&Yx4`vCkrmnNe+(Qw}Ml()!RinA`LS!e{*I%A9;$-#2$&|uV!ma4J>T+7v8l%pi@nX99Xbh5o*;x0VbCYQoFtyM&Y@R49l~Ax-%~O zI492Ahd^0y?tiMYV`+UD8IQYdVLrf(m&?X>0VpdlhsU`RJjxW-a{>Vw>d~g(IOSv( z9OxSHS3ltg?IL~F85(I4zBFgL>q@qo zK&i2|-{G2t@V~=t(VtxtazR?FwsqL_L|QYLTkCwpZ7JIzzk0i3by5{K={^@9>OL=C z*n6yu)2%36p(dGH>ueBlu)p}^Y(}+l*mOo(>lAl=-C}imRh&3qjSpJ&w03BY1Kn&L zNO)izHbLYcK~P`ox^LSxMigQxJH+Dk-oSR7>=a& zx~s!6i>gJ`jB4$0DGakLRN1=zk7GsaA1{y&-(A}Skk*6>TJ`y197yKZEa>+ZG~Nw& zn*ua$_v4~eg&ZnoYGJ?rZkuiij+`|#Dqx(&EmQMe?NW3=x@8RX>WJ>xsdpNctB)F! zpMGkU`M65J^B&md-5(nN5Q4I)EMyb9`bnK4fU`Fj%Yo40V`vWu6ZH|tkb>XCBUDn`c15(E>N!U09q zA`N9ClnLW=p^4P7-m-y2vWCo1^+a|4^3zZZvb{^sXw!u~{Sq79`36Hu16#>P5>d8? zoO)yo3CxDBlKp4viB$SHRRbHhjOmD^MJtO<15$RW*tun{LTJf*i>uYJG5OY-z^Z+3 zrLkeGAzEfm4ey_2D(pR4yTXfhyaFX}>CT&40L6lX+J}h&84c%j<;7!RTWrIMXBTar zeaehk_wtz`rpmpWh+Dh8_&(Rs2<@yF^F7fc)k~a4Gbd%~5LjUcUKkY(4#nwTU4})` zlwEM(4cN@=f;c@KD$XuxZ_WeGqjIqdOxj^Wamp*b-#rcy|nE7)~-7H3>^P=CpRJDiFf5KTEa!k}SslB`*ecQdV_3e3=`2SiUTF zPMSnY;ZxojHkD>fWtYr+QOqh}i;{6lxA9x+0na`_3P0}aw3WpVYn+Z&5evoNUmCb= zMuf_0T0qM-Y23Uxvp=(MMHeAChC7m;<8WJM;yePcVV|KN54L75rTNV>E0c%;|3j()!Vk^EYpLLF37iE#p7Z5HbTL44pxuQET_f zpEVWF=D|4khsS!3kL%dtf0O{9EErOwuo8!d?XE6=4kZ{x_xiF&1rCA!0#oqh(@4~I95G?N zijB&+#=ab*J3EUBL%Ofq1;({IY=?yq>DKJGGFelLM9r*kjRCr^l#E-wAF+L7WEB8} zny)_fhs{<7By-~}6xp%~wz--i^Ft_QbADZ8Q53~@9bVHV=4E{-jY$(;`PjDJL^En$ z1JN&B!bR>@_&$2J**A&N!O1(4s;U9n(S7GrR_8|@IN1TLBHbU zdTE7QTQ*DSQvxcCf7kHvm4HYq)g?xkcMBVY*-t%{_1UV*nKFU&xk-}qw;?x(dYeue z2E>S77i>>Z$MC#FfgqS$t=!hP!wQ)$;tO5raF{tCJ}N4v$ovbGT)sSKRV#_O%JA%e z)y#phqNt%e|9iu0CER-UKfdPEg)3*^5SX|;0||3f4LXLEXxL^?iAIK|~AZieJv&L@Le$wsF0t)8+$P&<$*qRE`t8s`^nzz|olpe6Y?pe8f2| z2n{NJ(Pu1PRu*+6dJ~oC^ z-*#@5wScE%5Gn?G$^WK$q6f;P6(yF3`^=w?}^x z`Dod99vwm<;K(QQp0{RMiE(^uOHC*QZ9|6&FSyf)7+etI8|9b5vhZESLi#*-@O~HAfor?3z!`~rB7>l42UwV z%e|(b1}pAu*!}B(_D5d~2BPJm`&B-s^qi}lN2MZ&T+ToXTqr$bGVD{ub^j<^XS_nA zTrK_bT|2*@58hT%n?NxJ^D9FIf*rA%P4F zCTC9}H^^KYi$Wkgm!uq{Cwa5O_1zc8UuBV5)n)C5XWu;H?q26OVc`dAqtWG@CTMvG zb(GIdQ5w*>Wk!A(IIfj#U`oI_^Hg}poP}Z0{GT;${;2u`=Uz2HCk^Kltvx2beB~W8 zIxW($;?Vq{uO@e~ZWy4K8kr(FYCdnyz$}^he7sp)6{<^I@^+@=Eo6S%e~QByLB7A! zG5nZ$i2{97@6UXcaG;fb9ZT+geM7!!E1>$TqXosfes~o)#Q0Zg{%nLiUmPLF2)}$n zgMwL#Nivi5mSi5?h5j%(eqzz8M&0}t7=8$=NruDNMP+dEdc$mOAgq$Fr{N2kNisL# zr+CY!N?4Q0Sq$4@Re5vB!Q7&x(JS{;)nMN|ULArNKatbDRm1@Jp_aOMeWSwl>7g$> z7~%xVxm*M=RBuFb{V*_Ju1thzCN3VOCM7v-;`DFUxXt;5y}jKhkZH^J9*bE;@i%dU zXN!GuCXll>J=2o)l~cdhPyDzN+uXszqOCV?;orGJN4CB~$6L}KBJ1~{B}YS7qt8ea zM+1qjAiF?~?#I*Ld3x3a`<=#zG)?B^hRo%l&Yg#$GC<`uh7NIqDQ?8!oXR+pt@lUb zzdG26+2A-kK5hq(GFb*l&u9z^biPkKw;K6)Imr+NWMsgb={bWO#!gyW_>DcJsM%ml ze)OKqFU=>u&(E{_Hd{P=t+4cvWPgnW_2Mla4mBq$OETV{PBQ*zvcI}kSTPw;O` zXF%?dK##g%ZckafB09JBH%0v@0meO6Y&S~1ylNK8HS|z;4D9!%gfDMS6A2{uWG&m0 zUKbXgO6@{lmTvy?+Q&E}-T}m=J*pF4ifTD9LL~AyHa13%Csn=!}o!1KMaJLzGk&KzM5zZ{VAO2zV{mAW^$^9hJ=cjxK1Dtm|bvSnekn| zGUD0$fNA=T;Wjd|kxh8gq`#jM^RR8!A@r1{NFl==|A078Jbcc=lf`K^%bh5lw{^jk zej|G5x)x`w|LJ4^aW$S`lp$XP6}5u@DK{=NdotKtHD9Q+>Wy3F0Iy&{j?p(lC|WOc z^bes_7^L|5pLGT{M6BBe_lJz;a;#B-h8E&th*hX5swbu#=oss-B23ieUspZK#HoMq z6rnm$Vl}IlPgn2!wEZR2GB2S==G{8SP;pM9zXIEu8iy37ZY^rCO`X69>gN7r2wUVI z;s;rM#cEc!StW4S0kzq&n)Pj_;PGatIF3VBW&73ft1xQiUP+s0e;J>4xAEOdlj;Id zU8srh2qEoXnG+cK5b?4gv~KA})W3@anO3aASWX*EjWou)Xjj0grZyq9Qmr8J6+&=r zX{onE=m2rtz9EO|HO+ezP(c5isIDZk@0Q<&n`HZY)I8!Zugh_zp6UDwU)s*yweg?1 z;)yjPHbm?OG;oLdbuu(UZ~MF?BM`TdZ`96N586hYOY5rYG9+te(XLRQ(xAI*(zE?6 zxCzQ}NS2)~TL>qg)-0L7QxdX*MQ!h6|00NCTYF7fxmg!mSLR)hg2y95sm(bnirvrg z-U06BhH^=aGufPyAJ&X)h#G2$-4m7A3j1tdaLS=UPPOL?RG1-2-zci7{GDbi!YI8^ z1dB8g-Fg>N*RYS1Ht%e}5ddgKcXA*v7LBD^7U8-->1SZM|LBk=+!&Ux{RBCz!EOZo zeeO81B@^V(zO;Gw_+XY6TwH=!tJhFny${e(Ti1spbG3J}9o!0}_VOVLx(M>$OOvz^ zHFYA+<^P;}@YWkd6_y`=H6`K!6XC2=TE@2?(At5O*g8lEC=_;J1LblS0^U`vdplj`9(PTruTY^vBicjtd?jOYVM1)VXxwQi|w z7SEG2OMW8y3-I}Xj-gKW?7J-IGCC z07U@G&#NT91v6|X7t;M<_bc1fL{PeTDPvrY5MrXW)%(c>f6v?Q^;8EnIbc&CUjHt5uV!j$pi!z9QYVJ)`R5KS903;`?tlhdTmVLI9= zHkTE!P3uGt_%?x$uhV?QpvOQ?ZNlG;CVte-L;0h);RkCKLBnB!ty^-r*a8r>-hR<_ zm@nS@#*SYVwpICLYS|cKxxoL%&KJ~$hNUg{Vfy#rEqj!pxD?J67b2#lmI-i`-R{i@4SUE9jWmv zfS+SV@$dQLtrOf8S=>G|!)k>BO8SagF7)yt3)5OD_=-|%ieuXRQK6kbra5gTFxVR5 zR5nTZ-CO!?V-1=4YHw5;&z?i=tJy_3j+cu+MWu^6vgr8wFa(YhBqmR}`^86xe&a6E)Jlz<_1^Emmi<;-jC`QdWV@!cq!R-0?VOFp?(# zpm5U{p(xlofo#X4X7a!2jj~UJ9AW|BO$$7LjaDRkF;T(xsH;Z|AU_QSz+*yn0J6d> zsij8pH`V8WnSZl0u*_*I#!6{e?le{VcF8BPb5aiX3>s#iN<~Wsn8c(1_9`B8PX=*U z*i&@nF;c*U*mO(5gngy9E{l)#E@om~}i3t2Gl8z4%e^Y@ZSY!A+(`K>74ZD8W z?S&NhqxYJw{ndI}d+Le79#_Y_PQB?}sR1rb=;=n(ULY+O_TaK@UFXBi zU&d_ph|ugwL^0$B6wP^(<*EYzFs@~5=D+N>Tf;8D(3Rh0U#BE@Mf)@nVFb1r&^In$ z1xdc{Enf`t4jY*e+y4F#`3c{xS$LY__{~RCsVvTWjIhJix@&I~3zo?(4rRAigBVs?ze%N*v7kq2(Gljbys5>p2}{|HyG+%A~m z=H7t*>|>^FU}SU3&{nVr+GzkfyThw~72ZjRR%+(ja5pgHBcg{!xS0&Nv^7NqXE zxl;@rU(SR~1`0s?*ZNrxCc()5UWlEhs3~oE_8IRF`=_Up%tWCU52&q{9F_SGm4Ah= z={2vfaRSLH@~)@RUwA02?b{dKa>*pn7rTcoG%YqkWYv-{TU3uoWownpNm>q3pm|w_ z0$pGdrUFsOzqDJ@-A>mW0+9l@BV>>(S(Yry>`~n{8GOE6c^m}8>A@IrI{z-V;zQJ$ z;}vNtfl6nPn_it0^#GNhJ^YfB>c781_0n>_HB%4~mg@T#P$e=rTmc}cvz5Vv_C||& zUO_p99h-l3;cugHa2aPtA87k*IMM5?9;@XG2BB2)X_k!A-{;Ga=(YFtF}A4NC^f)3 zGydC3FP!w0X#9zjpXWh)>Cu@;tZ5DrPKzY$skD)T4>C8$@T$t$v> zD3+w%t##f^qUdVN)~Dcr4yrpTbTC^CHO0ROg$%m2>8hL4qc}k&#Cw>~6E@JmdKpoR z0mSX)vC?`2?br&xZ7vj4?iw(a0ng*;njjUmi!}f#3J7VSNEt3S4t%CS<*wdS)7ic( zaqQ;Ohfpeo5c%|Jk7vEBPk>E@cIV%*A~mo^O-olHNxS9AuEp7d;G-Lrc2PUEZ2N0t z{zzD97C~7l3u|UEG+DVMlf?SysBKQC@@R*R3#*NbL0DMNu_JJJ0np$yt*~)XhExO>qNv?PPX8@eu@vsP43St}TF2%G75&kvGX^D?`W=H@K4A&0 zzwH{-KjFCrk02A)0S*6BoX-rsxJ&i#fI)nte8mzIY})L;fZue)0Bx#Wgi^RAUg3C@ zpk3P=&_{}J6wmR?V7HevN-xJaHd7k)3yg9RaW5&t+}Epn!5)~q=4!4$niQVe;2}VrEp_7 zN~GVVUX620@+)Wv4nR+1FPPx3`2Xf`Xfe<9`RVGgnrY?=`0#XyxzOzEK=cNa*rY5_8$5N3#(x<_(SBG-S72XfMk zy1u{S9IR`YQLX3$rT`gQ=b?qhJWi1VSuO3%UhF=W>PCSb7%y~Vo91Qx&S4*lSx8vRF}Hl1Fbm`_3FnJue26(8|y zND38r{3<(xRKESVeD!$Ba9#@4o#MEGtIRy_onpRVdy@syupYc>{oU6!dojm&bw~Pt zDd|kFjKT>WDfe!~^r6lesLmSJGJ_`~#_q5D8$)aB3Xt{xLJ@5`AX2ZSfmCFAp+obv zy7dNg?SG}z6+}(qcBOQ&{--1Mf(_;REzAEYDNwI1hzd#qR8a~Q;J(CiF6vHWvX3-_ zo?8LG*z_z6SWILKQjYbXa=!l>Phu6APf>|TKYEvHc zE+2L?oOeffsb#|!c+=8qrI*NwuBexgO1Gb2LRo9(1e2bZtD+U@yCVbxD^McNx(~!% z4b7)gCwc~?Gt!2Co|X6IMIF1?q==;2vODf`-vhn*ljVG&nGVx*e6d9jSneegc;)@S zn4zc|LS^*L;r#y%5vzXhL&_-#Hjaz zNd9ELGhiA!Kn(^-sdmAB_l$Pm6cCerwumz3;Bn)VXj0eo7xH1k;!e1kIP~KFY2umo zckA?Ae=>QExZ=X2Umq{N+xP)q6}^tzdM*FnA@Oqy@Dv`Du(hoT3cT6S=)j5JHU2IL zmlw5WkxtXImgjCK+^uV!vXMd#3Z!&lRA zndUc#vu91aM4IMDSgrQ38^xR}=qa#1>Bp%7dy}kC84ky-WanO%X5DlFX2VnTy;0ac zlD+E;^PUYAan_-X(AJtnD0n`S)t0(*-S>^5rhL8kZ^3yavrxtvA0Ls# zUhz*Jc)6iPqVo4@S8iX&q)A=mR8<|Rc>1`T%-H>1UtY$}M3=W>o!&4aqh)%^Oh zDirwIwAcIjxnue3fGNjRpwRH?dbQb6j-#b^3JGR1V)`o|*E5w_pO30|jZ92FZ!^P% z(1d>o)9Gf5+^COp+@~<;HN4MHFYp~pBU3mdGou!tr(P;v7#J93QWO{GC{*W%NK|LH z8|TqYbdj9(Fq;ctFs4iI$O6v!( zs}4ZOb&8;Zq1nmlMXchGUpSR26J`c{(h<0sqi8LBinVP^M5u;>FnpK?jOp~j0`F_v zvd`Vv3)u{`u$kd-Do#h@M5Du_MqqcYxLE*Z5G9E^Y;^IMaU^sRULmQWkzrXsy>%jg zBhfw-4VSxBb}6Od5OP>T@9HmPELifONY@929HtpWw6*Tqo?ly9V0z}R*qy-U<=ecI SVN0QitCTeVz3lk;`TqdXdVS#l literal 14300 zcmXwA18}8F(~fOhn`C3#wry-|+qRu-Y}>YNI~#9o{O8{9{&lKO&&)ghbU!^kJ@cNb zgA4=&R1FIZ_;vz(gaA^_#L>yZ&X$OgnT~;ukx0nJ!rGXKiJ6IrfsLM#iJpmpk(o%@ z#L?Bl$b?A2(8!92kw{sJNZsTYk*KkSGeGkc9|Qmc1mw*2uK-f~Ul(YL{eOLT;7cE? zc)W3k9ibv?7;-W`k;oR&a!K5SfPtB5FIS38t}PI0|E_LOHwPZ62WY&hC%O|`S5r>J zJprVB{#3F=5))|>(Q`p=Jja)~$3Z)4JlEjeT0(@$WZF%^#Y95K;No}*YCyob4H)O^+PzKj^UF5yHLAe|ayB zwwBJH?U>W!%-RkmnRD&rZlB8@K-rZaxpSt=7T#~F*NBLBk6VL5lZ{xwJIZy<<8H@} z+^^4jV_YGI-m+}uMkDS+Z*9l<3pVlR3_%(#y`G6f6n@$Fgspnr%Fc;6vtSd-c!m{7 zjKrt)?|V~#B^#K*$1QfBId2g@nBM9&v0u+39?r{@1V2Ok*mIa!cJ?xdA|99xpetz*EotWU2%_>xcH!8ubU5+_>H>#DYi^?zSI1fcF;P!0xc;w zo`mLX09?1Ga~6yr&^d&G%Dpq3zqnH!k_B36yQRszWVU?a;Es9E?#1j0>fG_;CMhHa zS6;YwX$qY}s{v#;=aDhf3&XiDL$)l$>Yv72W37k+>cKWhxSzLt8sQ7K7UTsOvc}GS ze8_CAn6sWi`%R8oDj}nJv0d0TO4Jrj-26P3vbIr6=e_I$yI-UbUTtha6Q9IYTdO7H z+k~NiO7rBZKl#hTUurc#`b5PT{_eu4t9vHx*kd8)ClV0A7r{q?&G=C`)N_spku!&Q zd<)yRbK*Vc=H5k%3CR4iXKS?bxn+{I;dA3J(2+LS zy9<1=|GkG%fw29yV)z$)m8-Syyk^J0f>H%S74(rKrln=JS6?OY#gwNVAG$m65G6&O z>%uAiDUW>}l%9+1FEpKR10QeXd(bEF65s2JA`f=-bKM)Xf&nW*Kn<;TTjXAQtC zGXqC?OGB3K9!us0*yxh_(X>&$EeOvE^+vrQ=U|9CEqd9(Y#u_5+G1u@h_Zv&^8G-M zK*S>luBOfMIyQambLaR(8v=lCJ(0_>KklpCkaA-LM#H*+k%Ew6^0p31LwN*^>ey4< z_tFElt9P!-&9h7_1cgEAQ1w&c<1Agny1(u>IO>M#y7dVEf|^CkmIPT8Lt~6o?l=1| zusb@crF0F#-_mupgx35;)2h`bL$#x_gBb5`kne{1x*lnKO|aUq_q$?zF~g%b-!eAm z+8lhc^r6~0raO!U|H(w*4f!?7>~47m?+z?l_y(00f9R!wNpHBBGN;sqnnOE&1Mj^& zK@UmI(^;wquekxdiJU`3JgGg|7+vyHueISS5J!2ee9aD-lNWC{_>`5n5YwB9YS;D7 zLa-)tTG5}l8DQl4h^ZhxM36Nf2JodqG9WG;0dv4wIM z!Y?;q%(A5?!Z78$3Mvg&9>cd(54g3#^XJRustElN!Nyj|P8EAM@@S*Ec#{;~jUrKU zS5&Rc@cZ25cMxnLReeGu2LD3v`A3m#vr=5 zwfWs|()BN7chck78e7|IkYc~+^A;FEDimP#+ARipP# zFNZ$^!@87s!Pj#|BA@+5{Df0^`=dF~Y?*T_WpYR_zUC+Sqaki}=t%iwnV^%mGuK}6 zabNX#@+Gr`Z%0_f#<9Eb43eA>&&a}qxy*oILe|O0IeS5p&}!JiCQ?vmJ;xEPGW}|0 z{q0YDNBiroIM&(&H}S_X&WghA;K>QCeaepZdgu#$AjD+J@}!md+ux1#HO^k$j2X`q zM0`2K9%NfVLC_it_g#W+m&Tn9U+V5qKR>iD%4NYgNMZcNIRx@WD-wt%8rI}cKh+ReAu)I$2A(%s>LoE{y-2XU&rs;hu#Qx;mdcdMEK(V zM)`t`2!shl4~|6g7PJq`7ln%X6%HFr2zAZSHn@in#0__C@8Sk^xbYGaB^I^adjl1w z`QXm(98s&&p+nobN$XzZ+If3+XUiU0?6oAxYtd1P%4_`u20};A&3l)t;+zWvWi}Kn z_7=x!5SR-ZE=<93oV5soUK@y-E1#l;8QK9u&(TlZyf+|_mPrzji#lh=V_kEQ|L8z` z_zZ9i#=uq0o>vgOA4W*j|MZ7Sa9*GRcs_}NJn0JvKf3#4d$mUx%O~j0Qm=e}3bVn$ zA8Z1rxnS}iD5dD{ySvaCizfnw+LC@Q1#>a*ysr%D$RkcL*b5+k4;k@d?%!R1F}7!H z5p2!(5{6ZRLstF~C*17S@(%QZPt^-A4trXpKY{V2Qjp)nO6y#0av_kcc`6)P9xy($ z5^tkA+Munc8nR1t9wI`9LO>>Ygz{37k$RguB?ahk zJzhY}SrAbWQN=>2JAT(Lh#-)lOm1)20I{DSkXHg1$`i_SkFBpZD7UND7o|fWN}Hpq zm}WnWZgn7eE3w0sg?6>o>gUh%U#keKD`u_|a~n*kT_Q3!)RPbPjwl>z2v%vp9Af79 zd5z&Uy-lj_CoLckS6()r6^J$o3#D;K)>n_ z32(}{VDy8sU~HNrw*nDi`7Vd=4Wn#fW?vP8YB0qQNCyi>^m<`4LT00iV+tU*A>{84 zJQ6Vn-}>^)2<5K!lA?nky(}~bq6~ck%x+pMSD5bf?fCro3jgeage?p*&ba%YYm+$eS=H_m&h9_x^W1dDi4zU3S6&=|Al9i{9CO|l z-pX{f`{Kt2V*J@$G~)0hNEES;zwzea#(aEhlyIh$~AYw%OP^~;xe<-d^q0;**u960N2qfhkmMl9WDbiL`t{+ejU z&G%MG5!w~{W@`TZO-!-f=&c7UX`#P1yx6M`;{uh|qn%mOkl(u^+?x4fg;UB^mQ4{< z-~vuWcDSpG{wX$hGu+)zlgJFuK3Yimve0j?R<1IKIPKY5)1Ps3E^x)JV0m`sMsFS1 z7^>VP?uD7>P`^0f>exNV(c$!G>O@&yr!uGA>lxo(;`yqO1BKnvYzO+`--G`syQ9si z(v3MZ^q3*>FYD}BST(a7t{>XCUPyF5G)f1&dy3J`_Ps9U!op1yuMIZ zlnS*Ztb?zI5d(exvPsCWZc03-S~=vj3~QL8Gk3l-D@DtA%mi$Y_l=h~CkH<^GCG@- z#n;QH=C7+Sh4D=c332v3{A7>6k+_GSpB6kxZkEf;e}}$?Pe`w)47kGB523K z7fwLfd&4K;pPC9W2q#BKS1a%5c1JLKB5s#90ZIAT(^_8zAHS;}`7waeT+afl(@2bm zf-#E}i64lg=u}4_Yd@=#62JM`us~5FBOV`goSL9jVi0m)2=*v4N^QUsI!Bf9YcdJl zSSwW4;~)%0HBE%$SmL1ciHvA)xycuF=BP0xCwY-9<@|-K7pDEGMp)+0_&O`j=+#xq zh>L%+^aX)H<1!0>#Jhs#&sLkO(&LUBl$S+LX(H~;64JT(^ThZpr$1ET#~ewjlY%ER zmMRn{P7ONll-_>VN)oe8l%UQ&73hV;gVb$7b`NmZd$858bPMV;cQ>aioBjv1`UBim z*xoZUTurqrPknAx@ZOYQUKrYrjpMb&_h7DKXmB6N6tjB-{TEqSqdEaQo^PmdOl)3* z#1GqtW0t5C=FtZGg-~-0A!93^gbu?LeUI+0)S(V`qMg;$r-9r_IXu>F6FpVT;gG9U;)?`@VR?Ma0fbtDR;uN4`N4#zyF7MEEL*|VJB^2$r@bRJ%jIiuTc$U^NFz7M|mK{iM zX8R|JHdq-!Tx2yAO27?ZlTr=mJ2P?~B^(VUQ$yf?`G$4BmLUpjbLfPc(*K=4T~X7z z#;jyqJ8LYc!XOkBi0^ zM)LUdWFR2#JVLrVb;pHxYM!lOdV;TNZ;z@$*QyA&|69hwP?b2a$+w(Oqo}Q-ZC)UZ zS9f9&@ddV9qeJ7SB9)GBsGv9NI%+W&jGor3q+c469>1$;vjT#?I%|HY#9|(qe@O4m zXpW1szUlNvnY(JlMirN|EKP#KN4R-Ya|1fTS?H9IuK!<;ChUR4G^Y=Q#P${7W;7p@gTP@L53QcIvqETzUi_bhG`PEmlhCyaqF2 z5@J!9qQ#@G#XhwV)?at%7&mW{arH^W&VE(?+>;6$aBz8iG2S6k@y~5p-z)e+f=X{% zA4M@<`)_vE-hgQ+amx_3G3F;iz+c5)HJDi(=P97Nnuz?ANF3{Gk?i9yt*fBvPB^(XY&<|MFXn>LQq z8EDkl5Y_wrr%}cEY*O&4bBF9Qn<0Hb*b>HlE$a@3qY_fmqM|^JQ(iMfCq3W?AG#pz zst=o$=`#L^uG0&1cB3KhOJy7B8|B7&Y#|6*@Z z*NE4ZmV}4fsc!mY-+j!uzTdnhb`;O%m zIh6NEnby^fdfraBc58OSe2z?~%0z}+LhrIwd1A>O+iW#@SuvdN(}2H73Sgy%i;#Gr zhGn}NN|X2^>R!zl;PAufPUPchDTG7aK9spNviol*hf47U`v)Pv-8#caQwI1G!rUKy z+;~P;TI`2a&XB?XKw@s61)?~sTkU1UqWo>=&*CBjcwfzayxM{N^&9UFh@1Q>;@yg7 zn$iREi(S1e=e`c6!wpH?X)RBZ{8j8lxl*MvaeyV*W`PD+4A#>#vaU`w3_}g1KxxJR zlt5hGDu+T4j6a*LVtlC#o1#PNF}es7l?vZrz)i#;j*7TKGqxVfNnpHY0D}|-X19%m zjVOVIZge|yh%j@su!o7s8#qdhTmWWLLuqUeR^WKZil@;ck%}X|5~Wfj$ocm zeN05Y+we76qA&PIJ5^7%j6V5woZ-SZQ{G2(I!bv6?l`PyPa7ot*ni8QUQ+58L#fgaVXjTto5h1?F zV&1iT3zw(oNu8xg;ztCq668Xtx_{L=mflCV3rj~RLb|6SxpN(>GLAAt^6@813AIVrr9~Fm z|Hz#jH1r1IhKXEIsHS1lny6SizSyUO8(f@GZz_~O;r6rM&z>wSe1)U&V^XFsV78=+ zI#}gc+%PrML+7R{d)7xd+mJN@!wBdPh{eS zcw*JPW&6*eb|UOf=UmpK@erAA3^VHi0c1oAGY?@4oLBUXd@gKPxJ9f(}-#DbTpT zM(nX%VdUEQ7{`KzbLUiACLkQ2l?N=)4iQrwiPfs=!*5xcqoa?@6Pea**3=fuDx4L2 z@C|nx2Q2HPn#tDFsRyRUgSL?$)im0L)hwlLtQ!?uaILfGrQ=-7^am>{wr5<>O;2es zM=MG-N>0jZ_kz<`r7%Zg1bPmY%gJYdt!#5CW3`)WSW4R|H631)KYThQY$x0(Y?ng| za(|dw5zNfy4H7G9Y0L}L5;I+nJ)Nc31obMuN$agmo`w5?{5sfe zd7MHUC0k93Eyi*8e0-M?;oG+NRD73VxJB#NtIF4?j#|sQc)C_>tlT~k4YC(!WlC62 zs!jZ6q0Z&9vmhY)!`4sDsndzD?KAJ>Y5%?NYSzNG^)F~E;lh?)8{(F)hEt~tVVjSN zQ>O<&$T(%CjaDx!*tB4Cc@G_!dRmUwZaq@{-LMwm9?i6;+?If~7~D*b`&sVdQPiwB z-yM*V`X}mbBD9r~-gFtw)NbYOqxLRiKRx{8a~2@605T3BA!hELcPGt4^{J2lssm&e z)5PvS6vcav{`RJfRL!dr9Ayt#U8TVqso882h%kGJFu%tgGjmsFe1_d+bjvco133G% znm)bWWn?c-efhjjt*|!g)8uN3GQYc#F)0_ulQYHAno^67z?YWWI-|Gdt`xunc;?P+ z<@6$M@fEl8x&a7kIpJ&S(&v~h%n zHxuQyj}?*8y`r$eX44YBY+bUi44bEG{S~I6gs(@nW%V?tN~Mg{zBJT9nzu%p*i(^& zlM@DN9~x>9jR&I*OzG(Ssm)_mE2^LZC7oV1ZJsM9`kic4&G4*M?}8PkR(`|3T9l%6 zi|O5dRS~u|qdl{m+xqG2ugeXVbm@dY0%N2vFU@_6($3MNjZ`fv^1_g5ru1m?Rb=?+ z>aRDfCC3@#JKhgP^F8_MO)68yYAzG_Rjn+u59%*X?WkcjvW3`_YU(}~duC=>V)}~> zR~y7uOSKxZGc9Mr5Y1ZI#vF)j+CONhdVU0&-a=2Wv_NOw)yKPvOA2`wd8n9j^|@KJ z{KJ{Ck-JN^0c$bk8xmY<1RrAx@`4IyNU?rY`|+RD^pG_5=>;`qQ9N5^iP~LO|5xSE zWF&oG1pAemuE(-fDLn;QznF>oM~{?=nwWRWL{;<)Rf;C|ttwR`(?O-EX@wHMRBG>2 zTx6x0EL%Zu?4u0hyWaGre(G#ApJ7$?XVhIrc`C%w`=4WGuTHf?e(K!yXLHlIf_PaIJC2I<}I#H7Rq`trL1Pq8Rh1a zU_5pU);8{0cSa{eL@fAs&00Br8hDLb+cKx7i>LKhXxz$t49u464_?1zX#DtvF`=1b zWo~lv9zBOM6*hw-khbhrsaSF1m_RN0Rk0e?f-oJ>aiE8{qV=;BO*aGMxpItUwUmhp zTnxmNF~0@0k!M8GdQ~$3#fMd4O3MZvI#Y3O7Nr(_vI=B=zHDx!&k+m!+c{Dxsc436ngB5q;;-s8x()~<}#G_eD zYKmvs51U^1GhsF8k29@yfv8vsJUf}qJISU?o_Q<*P71mH&|H=C=ERj`_J#bqK}$vB z=a07uvDR`hJp?O_b`j-WvRbwnc)6mcm2b8V+1HzmynW8$C^h*mRj1dekn!bWaPUO+ z(h{NX`V$~bsjL=Kw&Ne_t@*z@51R82I{hk--}VFDmkoJKah{0vq-qeY^n6_PbcTRf4tS zusBw_&%d;t&0E#0tr!M{mf`CWr7}Iu{bj9KRBuVyMC-YBcMYpj$D!osC_PrvH4!z+rJyH^-u1N&u=0ae zitc>>vs=l%J{0>{Nzh;KxPrCK8#`Xv10Us_N!FFL9qmFo8d7(I%vf3PSd>?FxUz8K zLO(5EI5VT#UGX{BSX295&c{OfmolFt>)GM&Bh}IrRnr%hMy+;T+r$J^dev=TwMK?* zN^O*^yTm9pS}Hx3qC2C^wD!7rn~5mQM+kg9(+#bNE*0?ybvRfb69AI0<1!h>c(J=E z*_wA_%G6}=tyb0Y)!cEhM(+$Dz%47*6Y^mbitz~O^vti?jZ^}3VQDbXQ%7+i9`jrH zWa`TBnt>K*1V-!@S8TURuI7B0n3QQYVNJ%*c4;JOgVMveCPUq_T8Q#oDlNBe6Y)`M zgWO$HMdKioll!7IFS?;2Jq=d1vW9BeK!d~=bz}*;dMe;j^@H#xM^a%!7qw}MdX!!E z_CufRT8)(S_$4DMv|=6ijR6Mt^fn*s>w+X4?P{e`Z)Js51PYV{4( zN;-B6y3;hGwuH;6bDGXz>xt5?ji#WGyHAft@XA!$q4%nl%K1kj{hgBQ3wu*B;C9eVR!>RaK8BU7 zbM$1EnTD-e|8J_vf1uLBGoJ-JE6R|*myr|7Wo*^MYgCRO&2{^>`EP{)3>3IJ@$ zky+fDmQsL=z4LkK5=vpLS}jHg@TkC>r)+S9@D2oz}07I>XLU;7P3hIbbWEkx5|55EOXuIZ6bBJ zM2+cF-pa#QOMm@_tr?|JWAYwz!i;TY>e8lHnlavIdMY@h<-ppKjFrH`G?!LC1~PL! zE_L=&ICI@>!pv2e`Q7++iac{&K6TbQFTIG$U&Bl^!k)2@PFGczq%eaK> zUFFr%TaUSMwt-?;cbc^^E`>fL0{2Q8R4U;zHFe5QQ`Q#LLy+04v8N$s`yZ$1&lB&y z>2kCi^jD^4lIhp|=BH-R$1nFaPA25;-?~2mHNWXw>@|Cs=G8*|q4cvC}Y6E3-*SZsFe!)MA?&&f#vy^cTYx^u3= zvk#N`WAcmm+xLG%zpiLMI#vIhUit6z)t=PJUgiI6_)z_4L+L*oasS!iQu$9Q_dgrg z$^WzQQ1Y*hlv3vZhoJlqLh3&V`TvJd`VS)RKM33ZLG+XvS%2&O2j^Vy9~>e8u4pjI zr`Qv{Y4Xo|Bj@jh_bCIrk}bX&jI{vaHAqyBh_vldx;oBI{7&M_C5M#J`@;|WBb(e!P^~rbj-`eAw%8yxQY*9+WULD|@5;CS?A7e>?QDa_ipDeeOo1_X@`BBo;e- z*^fCzqrzzzm?69+mu}Eoi2nhN3U{Q$91&=6x=!Hz2U!X(GJ-WTe~3s3M`qf7`qL^e zh!R3s1~D)Ne<0V0mG<@5FsfB#?Xo3LDh8oQ>25T0{?u-sSHUQGXNM(Mb= z=n|lN{-{b@)SvrcxPy610-LqC1)-gO6U`lP^>ioxvF))UC74~^F#)ya2^dI{M_ z*bAbvPDrRnIJ-Q6N?ABKM$Edq#Z)uGmfNOrUpF{USm8qdEWY$Xa~~1$$iP3lMV6Us z!pB&zcJfCvI=wCUK*(+-${rtFXK=P^k>UAr1){uqN1-}`?QFUOVd}TeMLp`@(Ioe! zIApCH^LihTXG-A=a5VF&7^$q>@$s=?nsoNt^(W=dv_(ZL?^p0^sdW~M=`@|8dPN(0 zvm8S`s}y)H$qnMhyGTj58NWT7*-SVr4IM;fE457LnPO=(V51GF_{y`fx`xo$Le#P7 zC&3yrl0pGp4mG8#>yvoCwnq`2gh9({$eO10Vtoi_L7OteS1MXfKll!q z79d+w0yg(vuT)q7<1>BL_tRqBbsbVyuctwql0&Lw#a0c{??iS*KMI#Ccwmgh-ih2A zV;OEF_=O@H0~|2Rq4%&SLViD8`-Dbzsp|f)FSi&S=b?!MQP&e)l|}>iUxFmlllEXiN!# zwT-*Ba@jV_6Vqin!F~+3sD^ZUUD^^rS&Ql5cN3n9)@^!ibL)9|f*q8MzY4^br*fXz0doaG~sEZB*N*8Kc z*$8}zHYh(D9b+K7?l<&mL0-9Mbk#NPHCg|+)^ z7_5MF05saETO14z9l3;H8)qd5b*>|Awmgdm9k9=WCUxUuHmjCiDMO+k`j596a51Y> zL@obmXEWfo>XzRvN?~P$RK81Ym9O``!fAk(YHL+4oG?=V=yv>VYiU!4!&=Aql3&ia z0L!txvXMf^-yfEs*Vnb(>atbg{BjEUqubwO@GpqlYnwqOAPM%4LYKs90_fJ}(0??> zD`zTVb_fsJX!x?)Pn8eGp2$LE+d9!?p=iM-q*&qE5b!!7e}xf}v*;ifYgyQqC7I$e z!9ED$2#uVW;v+3Cw-%rlp;@PNy~zjaAn(Pf83 zi5@krA=nQtp#V>v(iq5O@y2)UR5`!y!I%2Px9f6yKp3B&h4V0PKxS|+f*-LxN}@HC z0G_bB#BU-IQSsBpV_yYLZJ&WSPER=D2Kp}7-U*(3EeS%b$|x3$F&Fy8Cv_^plwxBzCbnp?|3rl}t zB0y9*3k&=+EtYiu4L}BZv2d1KB3n4Xp)v}Fri}weEIK2J+jn{0Mnf^Yn?rqQaKQ{rvk z=5P-MU!j7}Tr6^|Ijj0jk6%=y#E*vyw>F+LMl*+L6#q8h)k-gjuph?RSV)0YQMU3r z#U(~RVYoAF=AU&7-zDuszk~o+gOm{xNwvG-jrf7c&)paflBCgD;md7|LBS`DD=(f7 zpKjczfZYz~Vs_7q2@K?$zCa;f!1fYW0Dnqa+(G_6J@x7l&&_8To}if_+9*#bk+6QX zw5Glk6A38C9>!-Bc>DL zllA(LGdsl)Y)4ibj2Qgy0#dNBX@BG!MB6yN4D`}d1vL~ptEN>IZ;R6?cZpr z(`^ZC1t^}C6EvXc4RaBAQhpS%vb1D{xv-!BEM$Q2a)YS6jv-jUh41ge? z?cfi5v1PrF0ggMGzdPj>wZ2Tf{~T;j*EQg6fK8w3x9a3-9LowoINoJ{8L~Y^1SCMz ztXS3`<_bM}Eh^^rV&q6I1TdpCjY6Am8&R8%c0QE*v3Ei`3 zh&V07{;3ZdcTPY@%yd7eE&#QBUNsb!=|?$(HA&_XgSC+A`uVDDx~;8RN>cSm2x72d z5`*!y$D#E)mR}O_F2sNH3CFWP*=xM~SDAg()(*rD+FdQqPG`?Z*VQSm&16 z)YDqk%tY&_but@|X*-dEnpr3k&{~;E#3gb%hPJ@=VvJ9%W;Z-3d2=+qGp$jfbICOB z*Hx}v%z=U!(}9*)`xUwnem?l~`sZdx&bbYMOkc2M8A}8={34@k_CHSR%ZzTo_B&7c z1eH*wZ)H#?$kT{DO7h6Mj_$bp!^E2rKZqV|vHnGffi@|ud&4}iK4DDXeqdK2^YBr|7**eGdk5ay- zES(JM1;S#<#MOqsAU8CdbU?EW7l1}q=oO^}PVszZJIb4p1hQ6><#{*x{bhT(9q-|xkbRrH6EtH68wGr!kZ4P4Dc;8TCo5R)!(JY(HYm!~g zHTcL%RxU)g{y!6Flgu2t1)0=Al8Pn!n~cc9k11*Zi!Wu+zye*LmUBU~fPth9(6@38 zrEPfOF6R-t>=p5&dGcc{kv64m43=Fqr)?+?*3`dM`Q|?K$61rGV5FD|9P<3#gP^p0 z_d8vsHK8{nwH=4N*+}%45<3|%D#V}#Z?Hac0$+KYJ}LR~BMBp=BQ;9WMm^XR`K4>2 z+T-gPsw|(&I1FDf=Y1316ry6X!ugB>wIDg}hgAIdQ*1nb9WxUC+E{!l4qMk%$RqclBKqPC}C{MG_| zk-5LYqQ_g66OW0bGCfSvrl(^1)>_oS(aN>KKrBg-xqo)rr^}hxt1H1XfFMgkb>R{in&U!dYvIp)LbNnZV zML9|gU}!AGhU(g)Nn_<%@Cf=4->@cU)TIJ7N4K^%Blh_G-GtWJ;p**qvs1UaS&h@^ z1rUXE{9BHZQ>MlLca|rXaeb;rXp+GLPZ^E;`AYO(hu3-E@zbktrbp(B``?}iSwC*n zrh7t?O4-5=zwYOUyMwS$tE;(Y?SD`h_P6&g+)P}Ew6!yO zT)8j|t|B(skM^62c_B&MZd{x)dw+7o(h)n#wLI$>)|h_-F|BW@2Oim^P&x!peL6(4 ztFjqiUt`*p!vxB(J?eA}r3ulKJ_yn2))Y+YYEL3OTK}|$)Z-`_i`n*t+4TDJaW`1K z-4EA|G+JL8QaAuB{AxdH>l`6R)V$aYm&ol3nqeb0$0(S0cn)vKTU?``W_?yQ5i%;%@VkP2lmzm*T_kUZ=9a5 zx0B56`{&?7vk`;Bo5g#e*A46}Pgx_7S^lIB50HZbe{fSOJLi*(`es zA_5ASvBJ?zA2S|I7!8S;$=+WhGQcbm~B!&#ir_S1fn`k)_`>l#oi{MBrh3OZm}NaAy9F zhSsS)M76LWj2vqTzrqtT_JI`AXXhQ58c+z;-NXqs=IVk{@IIfWkkRgl=!0Y(VxR)b y4SsO|qp?0YM419F)SbHAKRY2i36rqa!^GCby@BagGvFxt^ diff --git a/cpld/db/GR8RAM.sta_cmp.5_slow.tdb b/cpld/db/GR8RAM.sta_cmp.5_slow.tdb index f3c2c0961c45a42776eb95732a8c936d0680dc30..66a297df7ab2501325fac56036247a99529d7f17 100755 GIT binary patch literal 56017 zcmeFYdsLEJ^fp?%i>0QOc_CABx656crk1EFY-^X56_uHl7rH5#8JUr&TuKdhd0}_+ zk{2p>npskrcL9~e$^^}f@`gx;%2q%?Ub&vv{X5?o-*^5y|DWL)z5@qqt@*AspE;lT z%;k!C^X9!YnGgPs2Y+^e&ts8S;-fK_*1CDPY;kd0y8|12@!VQ>5BIfOJYC(~UER01 zd8`eJymBr2Y~Z*55AnYFvlMPCI+y4WrPrID9}lZL^!vYG zi1L~K$(r8+x7YN?mK^Z>mdsk_`Hhhn|23F#^4TF^+0z`+H!ZEQ{Tim{P9IHn;586J z_=hq%2rFu&apRTV)Cp}6b>iv}@+39JfSjJiXc7nDNS&z75TcY%IA&>Rl_4Ukx{f32 z?d7`C4u%vNniNA*{NgfQsdxzWu}3*^wO965hYF{nP`qH60i)7jW|OssTHR;8p9{52 zNx}{i@Oosw!IH|nqPxtW_$jwgp~hX6a$<$Bx3^4vb+CUHAEB(2&yoVEYJI=h-atx* zBjQBto9z#35~k!66G8eAo@i8}9QU1c459W%RA=}q_lgbuj9#TGByn{at*l?{6M6B*9K0@596it4fZBj(jYZHnqw< z2~oZssZlCtW2qBObZ(FODuIZNZoI0KsGkiEaMtzC`lu3DbDO>zFx3Toq9{a#i4|#Q zV9bWutPUL>K4&tGQ|1GAMbK0;@B}zhJ{v1)xC&?LwBbc66?jD9R8k+OU*kKNJ%PhE zFrOm{KNAKuvRQ3S{Gg$sNTuOF)XV@{+KfD*U)M|g86uGZpmn<7L4-0yGk^v7KS&~M z9JW8$&VUldM(BdA(O6$)0V=$2id3z~w5c$fPc%OBX$5FU@f4L5s^ZVkT!tn9R2JxE z+MXHGwxOd242>(9`BcF-@bEt^CEBlso=J7tWJN}m4}W&_b8td9Cmd-g$6)(q%#q$U zi7q79oJPA8Q6%9j12ubfA|5O@SKc{kII05Ori|~!_*v~IpAHmO90c7{sM81W6RTCk z&xs91hO2|RAgG9hjVMB5wKR1|nE|7jb04jt8uuJr5YCiwX)co@NlhR@A0oaDD~45s zhWP5eqj{P{*XfC?#kq-{Ju?rK2$7EYzYcyH=pk~z0`^%Fr0tUf{)Ql*o%$^2RrK*$_=UV za!E!TKk@OF`xBIBp*Lo;GPI8o3x67T!W9VpW2Sjgowh_j?;Cgj@QyG1k3O9lCXVWL zr$0*;wwI!Aw1{Q2rPOoy#YPY0)x#E98e)Edp>K@8RVSN5wdJK~^q<{Ar_rSHCeEMO z(~ndcdY`@q{kJ#B*SKvDLk^H)yK0x{m41k8L>r9SRja*zEx_}qo8IHN6$xQg{YNxs zD@Sp=TmH(S*tI9(;d|Iw-OZz@;NRCQQUPT-6#kjR^@$usR!2ML@vG1-(i(-&ZmOGZ zpFe3w7tgrAA}o8GF5z@C@=P-A(?v`mzIY2^pfa_Ke?jeZL4POx*jPXT!^C!M_WOMJ zN3R1Ni7}niB^zm*R+*(G6B+wz64J(Vm6N;r$>V}d?&%g$$8bqJH?@>zi=X*}#EkQ- zSR*QS=I5$eHBk$wBe>9%;I-kzJ}P+T=GU)jT4G2*?9nvt1HF~RR{9z>s! zCa#Wk?E&8~dtHrw>!TAJuThvrV!s2>TJc37F`D^m(*X$4LVHLkiRX{%MJ-%U#%kCO zPI7DVIlSkOlQZtT@aKkAXxFdYEriS}SW_}vlvFqMG|$q0Nqbw{iv$lwfS1e6BfiE% z7jc^rQ_6=+UGj;v>(oL)fxxhX&2&{;c3SfOIIk(blpizPeh$D&OWg5m zn}W#vc?e&Y5o>!CupDrJ5KWqPlKEzcW}L6<;8H$}B_T_SWcAiVaF!%paz}RJFAnjw zaOBfvE&MtW)`QwZh#oj*X=kwH*wceSb&0E4{>>^BUY18qTD3uOSocnZ!- z6XrUcxEQMzw#qcdx=W|x*fNAM)owp@_B^g)Kl9#BEi?@%6Ape>RFHx8k*Wi1(SzlN zQ3v7aT_&+SOL26dBV5)rq@NQ_QsLJX*G*Su~@05fj4!5zO-k~?~Y8Qiqt6r|uYZVWOlwY=!pL$#Q`Y~O$7+6HsX za8>sBS^EvGJogBD#H+pgW1@iV(q0d(AFk(qh^28s4@9tPZ-BrJfxMCeHIBKcE^1A@ zf*4`0voIX(gSitj4Qmy(QHq`}*r%~FR)~AV(9rW80+Tw??ESydW{o4pr4rj|-)v$5 zTCH0}P0A-C(s`W91xW(8b*2a zNRq}-9b*q(Epk^c`YXWv{4yr^iH21D-Av+M1wTqr_K4|QLc2-4wi{&&@4$UR+5w;LSJ9nX3CRh| z`YVKE@ja9!{f(Q|j#-!%_^Iln6R)M^adhHl8MqG-BMAn9Kz-$xvvP}`TDjOn-Ftpa z{nf!f+^DQTFI$e>sp!dUpNw5deJH@JKvwOC+m=u-CRug#Z(B{M$YgAMqB`OylNh6W z_KCPJcWUpQ#uVtkFDH2@Fc6B2wv6P#;@xBx3)1iQ7r3j^#U-R(g>z1Ag@Ypy{oCrJ zHWQkgqsvy%FRB;BGM&4QJV)GaKd~mr`BEA&W!%V!dSKWUg189BKP-Ww)zk7L4Fjh7 z6d8+9*j$^2hza9uIu`hJkqOdP0@S=d%(h3i)7tV0sf*XCAB7#932PDx<)i&J@_`xyN zIYr1nU|^BLAw!nLXmUa-s_@E4c}lV`|0eG0L3)>>dWSFi33IIy41>cWG~g|b4?4)q zO(UYo#0TkB2T(9YzqwAv&iw}gZlj}#UnU6|DoQ;ub{-#{y+TAk?!^LNg_wO!x6!Md zx0v2eJ92!(JGs@1#&M>ZtdLzt|J~z~E4!>oERHKGbZZ+Kl+v@zfBf>{Lis?)j$~oL$~^gL=eL1)<6z%Z zb6H^MXA1-6T~p(DN1@v2M0A0=8A`6*>#aAjc*}QvK#=mPW{N!Y6o`XZHNTO5d9{+&Xi=!Aj=+~#xu-N zJm7{yF-#DH#t)^y0)c_4j17-bJ&FZ8n3CoY7_m^f9Y#&)rbVgsEodM7dZFJ=t%Oxz zLv8tXs(K5|@L|d70%GK~e-xX7PMkl7_i3+{M>}ubI;A08(nFu1zAMU%43yzznJQ01 z{VYC{xT}X^3a=Wj&VsHQDV$Hkgh_6`_Tl;BZ$By?w@sJ-5J?-@WtWG!9U_y39r+A@ zY5r2$S*>tZI%}+RFJ-R%S49&9+DhD@LbRv)WGT&hWOgnza-HobRT4pltxD&lUx)8D zH9#1b$xeq&Y`dyy`%OchZgBr%7aLZCOot4||MFVW)fj{H-BWp)x zP0O{p?*e4b#)fX(fvMq}S4DEV@U4S7nr&ocI4S+k zRuXTjLcy5ml`)FTIXh}1M3Uu`Z=|kSwIld{;aCB+4E~O9I;Fy_+o4S|=z@A`m&$0@ z_4mG{*R5_we7sx~3jZVx9)_L+o=Z0_rG38}@1Pw_<3d+NHUE8T}EsJXt+}8&F%O7wAvTR9$)e*-ZE9U4SMA0}L+Z>H92*R9R%J*MJF;B0F(< z%@i03W!?JEF@gd8#Ge-v^59dn1+w~1oG<^{b^cu$VsS*cJvk5l^YJ=$%Pq=u*HZWv z!AI6C#(iSRq{MR44b_l< z;GsPSSZ;I#!<#)f0uJ}izTW{ag<7!DA&T790Y~gZLOf=&tL3*ixqXWtTpDxrBy9d# zgv!v5WiqxW4I0X0Kkc;hByPBI+jT@(IO@@*a@V;6^(1o~Qs|tc@hf;BcW*L|hzz6N zxlEN0qtOcMRwRrV(xpmMZGjhSR;k7pA=U|dY=`_tgZ~Y!qlg0W$=_XlDjW0lZ(q@K zpGY18U_gSWQwycuXK%N44419OtYFJWr9qhp3$`4LjVqy9XulYxtR!}GtZUpvgkoWj zp(T+`p@?h4rRMaS>Z);+xr)krgkS@(^ZWFQs*P^U^FqPStsL6f+24C$XQ#qcpU{1{ zAQZ>wiR7Gmy)W_SfANPoUneNdP1l%`6Si{BOPi%3>VIuv_8>S5I z!08tl*^m&@?ZcX<@ZhlcVK}kDQHX~2pw_Uteq*YA_zN{ZAl`Ti==VX+LnasuzGMrL z+|myrd1q1u(m@Mo7B#B^f)H7RhW51kB5osNgh{Ub^4TSLYhe=UTYZG>)cu*j#`a;5 z${q(i*~*A2jjtJhsBVaM4ZfHW&KB(jY9RVmc(EyI1*2RRxr51Vu65UA$5h^c6@&^> z$Vk8oCpRT6V-(9C>|hE7wzdW@g~lDv%H&o^l^$9w(6Esqrtf6d)r@yg z<$p5gt>)2e6!)(CaF)`ghwBc~vka@*qvINJIB+4__id3W=3*H4Z8*Ym=sn;qz{8gf zZ4r9dF^-T)rYJWSx>;;XL#`Q;prxZ(7DR8o#rGM;#j=`&sA1G26i3mqh{`Y-N$F zs{6I{Rh(ac+>n+26dRoYNKG+D&>Vq=mA;yn@MeJNr;QLoPcTj1{<-{iI|}5ZQ#bYY zJ!1P$gqa)s$S_p`N0rJyJwP}|n5Uh&9}?$kYLv_FUyhiv;Wqpnx}fF=>bBeHI@v2IRed@`riR88&loX{o=Bz_F*Q&1OEpZYA(qYIJPQ% z4;RN37Me0!y#{mS1B-?ng*}$Ua+xHBVXGYhLswG*nL1zcK;Sp*m(?!s{VtUsAy`tK zuBQfS5^lUADf^y$RG8n?CEKg3vSO$JaeS%dj(|RlwxLjAW6LC$e6v{@fcY%r!;?1v_jfH?M9Z3v6f zDUA^XlofiVH@7_X!oGMg9UW&Mt=^uWT#PmIZN>RAE~P=EeA)39+AdQjg!n41=-!~g zDrfsSMJ>yGi#qcVvyJ(I8e+MiXUwkXjW5bsZ;+?VVmC49|^3JhG)WN z;#%=u_yl1P3x``iFrY>+*Df1juMeR?bu)3 zoeFz@C!x$ov#{x@td8H_TI*)t{-H-|1<}PzH)q!VgQ18P0Z|a07cO4NO>4?Z#}sxm zP5E0`sGBsZfX3o&v**@gT6vxaYe$>ti!eE|#5sC~V-TzvCwCj*O?%168?=W4Xmf}g zWAFR^bm@a2f+cNyc=$68cQbht)Vv`i`jq-CN#zI~$&6MYK(*#} zN@>F#=KyD1tWa%M-Y(yUAJ5d=0!P@;C7RLgz)FbDT-xTYbp(Ee=uPmCO{oiL`B$c! zaCOM9G5fjk<7*<-Ndm_C`HF_}(?N!-1HEZnH+xFs&0(eurG4;MDK)cQc*zYghv@D~ zFbI46`yv;OE^lLbOp`OSlZ37x1 zUgr$D!GVfrIB=Ave9=NR`U^`1b);_mZK6q~4;iaxy&9q{($;&&|}`H&)v5Fc@$;{U@loCX$TvU)4x zRWiz)m$+q8wHOevVUIq`J(pBUKJ77_{6>Mbns)#L#)$V`6yFXwh~YiTV0@0%l;*Ig zp$@9rMz6HB=MJ8q@dV+bYVEg0`6#xV4sme481r;6+}H;+TzlI&1{PNzq${LF9@@jW z7~%GG-0qfy_hZx)Oe{X^z5F~5gerE#LYf1izLv(iYol=)rX(vyBpG4O_hq5dFb@T; zEwH`b8Wo{Vf}($w>MG*@-CfFGc?0~k`&+ZuUA{Nmn#}DV`~F7rFWVdM*OO7twFO?> zWAD`c37mw$molQr%mpYv7C#l%Dg7X2L*R3X|EB{dT1tlKt^)hmLYdwT-W4|)Idqk&cskyg z*3`SpP#^c(sIb;v+w+AweC#^ujBhc<7OY;zLdc{%K}9p-y);-5l-aK7-5^zYsC$Pc zt0}6Am}Wj_34GL1BRp0?-1&WXy14Ji}jbuwKoxYf<{)lEoN^r zf9RU@G=z{0(AiY8_O>BuqlcOxNQeUT(#IeG16uv4?PTBcg#%IQ?K|v!9x>Z+-qefj z4pN75MAJI_D;ciP-i+x`B3TH{69lx-I;3ZZc{Z56q+%{dIv=6~>j(B(I5JJuIff*o z?g+w%3GPi!+Rvtv#XQl6B{GT}Z%KxaT9c&^OMruwP5;$5D|EDp*l7g8e-m@&@l#0kz8oP zQ};Ip3^$%rtP`lG(x;cp4L108Q_>m+flRr9$(`OrjQ?dyc!+QJm+*3E55l6D<$IGD zBmAtMfiK{1Vkz^VZq961g`%!wqI@s$<_I{{obSa#rP9!aZC89TuihNojab1rMn6VH4ErPBSS7&2sDaO)d}`+|%X(tGLpVSXe4 z@?>{>G{9Smdi3;(rUd8P=GIiR6VahaLuE6~@IO(~6IQxh2(>}VHKcH-#}FOBmvnJL zR<)(QVW1|Ene{?)Y%jF7VtyF4F$;e3(k~=F&h{$-;hBc?fV=+y`$`wsWp4N@WY|q+ zuAp-m1EGm|acY#EK3nF>!Zvw_@nJ!q0?1yxG;wm$Na~Klm=?#?s;0eFi?rUt&f}`d za3eigR-4LAlJeZ)w#}#y(yU<%cf17<2=3!odY+(wMdeC23%F6hS7})$rgQh~wd(7L zSZ)4gL(OLGUg1B?7ksly$%>63ZW#44!C?p?1}K5(IF|`7zRGUQB1EAeR$v|lOi2Zh zAv3r$tDhz5loEy$AgE0jY49Fmtqf;BWKBe~MW+CezN$*V?6*yS$Od85is=BZg4MeK zR4&f}E2RGH1Y=o3nz^U`_?YT4una;ycv%;b*nrATLFEeCn`s}Ub6~6F9|Soqh_KA6 zF6ZKn5B#W6|tVUKcdM?XBh87HYu;!p72$nuKMVJ$>k-_Tvy);7V;obyxR>-Ku zh+bg98XQp@VJeC8CFr1FX@vuOZgjFO52y)OACoq6J55M?$^yV?sNtd{KgGNB&Z&q74)A2I(?zr z^Nbek(ZBcTK$c)mCnYu-s-&Ae;184_3UU)Fr46 zV7CJ&L?E^$tygG#@PnD$0%^5}b~COD6qEDq>L}@7hiHD>6D&mus1-gzZB{-W)JQi# z;EO8xkUyu(G->9;73Tnut$Kv30HH*=Bc&aN^fNIX|kYa`dA^bKu z3%{W$TXx3*0jh%@@G3#IyEYWK3(+sYyMfpp1F@Oq;RJOBb6+PghLE0U2KpTIRDp>t z$qCRJqqYd;woSXq)?QP_5XN$?^>+JO6W!PAhA8caE=h_Ml@*`#JG9J%MdC=G4)bj& zn#jWdg&nZi0E&EQd`=pvKu|qg4v(ny7ZiqJ^v|dAn_E$4x6KKp+G8xu^o>i z*QT0xNOi*rHWY48A4_S#xVNAzt%k*P~01p-GF?fw7WBoG^x z_IR?zI}&gbQ^bNHCsuNEaufj8kaJSk0|A)GI8vwCf$_afOUu24geauK4=nv$0SJUT zB%Oi~-I_wlC}YV(0bw}YU26<{fDoNz(EGRMdYT8ILWvOqF7%i;3w4#06TZDb!SQet^^;s5!GlyIi=&XH-1BS!xDKH*4mJBKPc7-C&b;^xR5o|+z9nm#( zHPn2yBdR5LZyo!?f7vq5-6F!VyCdGJ=^B|!=6#g@mngw{F|*w_)6v`(JuS6R@7fQQ zOHIO<_bR`Q%uJa4YVuoHzs3pgFN{kjrSkSDrk$4~9au5Vq$)2u>VtKfIN?Y2n%Pz< z)dLTfjqJ1bIoCkeP{G00gl85Pg-Prv6(}EN`E5oGrYzEe#o{ zxcwMRpJW&r$&r>yRql8!upPqKfImW}Eds;4xLIj1+f?I4RFGCNas<#8TN}#k!2g1# zEE*)H1M(L(3R0X)f$Y1fen)FHswM?-4~Su2G$Re+23$j1m4-LZXm#|>!$=TE=!whB zh}_y-v9doedmABN_BRV(tl$ ztk847T)NdJ0zV=8U-3Prq@@f{&$*7dFPM{jhBD8xxVE%r*p|xVr6O7juLEeBk8)%= zwt`UGE8XUywF4#~di$nmGLj7ANaxt@oPNv#FByE4QUp#>fGJLAYA|Ks$)x!x7Z$oz zJg4+bno^h19tilYxu2w9TMP|Qq`N${XrL9Mcfz-r(mr5gv%`5K!0a-@vYvKX&>yJx zz!xxC!ev_KS}o5MRDFwN!L4=SHu|bKT}}z5f0qCeg||v4Q3Zjm%uXpN@IWGL;ZCfO z8>CZ(ZPT*g^auHDl5w1ik>OWim9MtSlx9x7BXDX(aHJ20dpw#P@F&Tz6i_N)OFuw{ zR_PD>8?pF?z>gzz0%xwgp;k*EiPG_i|x}k!V4nxvCC}^=Fwp^ ztm_5GVkd(W!|%1AMEM7WQh|ZXC3Ay1>0I#fkO4*abj~_Up+AaxGel!M>Nloz`&uP5F8b@ znkV@%OG0>Rh%m`8A#bE~5i$(Yc|7o^l&#a3?GB8{V7a=xAXMXvnco0X3Nj%l*$DbF`EaPE%eto{;)j|y6ND4-ON(|@ zq8&Fqr-Vr!-)=`cJ?CvZ(8fR8`^F2g?a*hzv&b zENatO24%k!qLXl;rDKVI@o#)teyB*XX{uz+vxAa?3a=2ex?QfK_w_$3vy$5GW!$4> zJeVnBpr4Px`)ZF`DjQW!i|q*`hTyfa9Mpc*pP!7K*29ar<6n}Q@p2iyawVSe=*D!p zXqOB&pqqV2L}WdmzP8a`;xt{a6bm0mpRaIZaWCKhnC#qG#yBhLZ(Q8L>7`*L{r;$M zrtI5M$GKN13yV0jsWShUdm;3dx>Rwpd!GxzXv;*w>k;9hj;WGw%J9n%YR?P9;!($n zTw}6d{IElKY@TW#OncWZUqfmPO5%oUUqa##u5J7$D^>C%TIaet3e>72ZbE+Fhw;_= zpFL$yv|~WhgS}#oQsY%G3{+O$N^*1FXCG>mRVQlUYZTXt(_>R3UjJ@h;MT_dxyh=R zeu{uI?Mr{&Bl;0s7!w*?#%PJ#hw4~>$Ae*q=ySu_QS`v?Y^py?NoSyuf{w3 zJo!tX7cUGO@hh+XNR!>Y_#!A)|2;q2rh}6k8J=k0@&!KjAaFF%yfis=2)r2lik=!l zgb$4*Ty2ZzwL6}t`PN1rk>T#cMrZv?-#?XWCH9Kxh#N}J{j3w$pp)^veucm@MuYf` zy0qcwK!9*3Pq<*E%S+D7+E81blc-^8A94A;ct6Bv^p;S!HvU7*`-X|#j_^MIbMgCs zM&J_(t9yq3q!C1-*~yfM$<aBaK{rP`k+Y%|&arEg5 zFUq+iaZ_;-)G;M}DtO;VzM(DxJh&|I;C5}~SXfMno-)1!(5blx4=RX6#;@ICV8_X^ zm5O_(d=Lpy6Bdfj(w&i18X}}yGOZKi2YT(GEiaHeKf&dUeg_5nsKDCtm@y@ ziB9HaTf*PJiBGJUx)LJ{;~NeSuGqhc13P!3UAwll>X#5YlqU)jC3Rx)Zcc@)AKKk{ z*)dsd@u~XDUxP)eHI@JEJ99oAnBC8zrDD&7t2Uxv{~LDpIF@(`TUkHR^k=1PGtnXF zNBcuSF-%ie93UO;Ql;CqC%KmPq(lh+z@oQ=uT=0}Rr(Y)8Y~nOL0E*x>%1(-QG4#_ ztq9q(!j)P}=Ew@>-4C@P8tuZb$sR?k6n&!S;!Ck%h+=H-xZ&2JxXNUQtn|=4wNYhE zKBpG#Vb}gfjJ4BdE9H5WW=Tax+lYGB)r(yiZUe`VyCvLK(SYchO@x+~q!I(aZkv&u zY1lM|g;s*sILFf-@d))3&-0EuV|bA-Dk>>!+k}#jZ>GLCZW*+}VZLIKq>VkNof{+C z|9$!*A@a=Ye@kqtUzROB5=I+1AL_bK;p3^Zxt&y0!kIVl>z-S1`ZMw0zO z9p8PP|NQ)SW;Q!%9<=i_r#P~`%$-K1a_%%95)L=GqF3EW;n7Q`e z$83MsDd9w1&LH~t)kPKdXZqk{=BL6%&%^VNJBzUgBhr)s3pY-c_-fz14Sd4JwVYL0p7g5jB5c{Ji4?&e5Q-P}ecQm@@oLfT@qhh3@&*ed<1-&LvyK93Ax-c|T z>6quaOnvZ$xT)`RUtNS~MNvq*JLs)LS0e^DbfYM{MZvC>yn)b6B@YzCF+VNt?vCNoQk(`hZuJ04nN8oQvf9e%lS|?cL7dNA&u3C775l;7`Om z?~5a@sjGDAll0^rJNI2Vc!DSU+|}oWNW&JvO6QPR=!1E2e@wDd*dFqwqNw(Ox7AZG zrs?WvU0p;@5~iXa$^*OMN4HL>mX$`P5QD#B!)Po8wyPsR1bgr_H6ly|lVS4SR$ejN zFRDQ1=NKo2yOPe)?LpVk4ZVnIB7m*~9X%j?dFctSK3p{af7`zaxhO4p$f^`R;fG-^ z*8RElWBQX&TArEBSY!=uAP4D^v^4wm{))3`wt{6Oyc z!U=;gK2iwIaX^85JlmKUx-eeF8<5ZRU`X#aP9=sqcWb;14&55;xm%BTRq*hDx3Bq& zbWz}uRL|=<;!j#5{up}T8_b_3FPZi^bbV4|4*LRD3l?n2iu)l(I zxFN=pxZBA?$DP|tVk)PPwg2+=#Kwc8u~93_I0E?46Yk#gy)$65${O2PR`+?sqbx_sw3LP5AN0dIY~RcqN^ZZl1!#<9%_-ovzB2eOfM?Z9CgZ^f@x&U$Vjt^+EFFUEN$Nt3LcQqtzJJI6G7 z&UyLwwX*L+_=tQYwf$2-r!Ubi;pGWbM^hm(1@ZA8dCV8F`gL|R&ser5vvPhI&0_-@ zcjFK5Q$F*5C+fPG}!0dEZ{;o{&{!QGQ zxOeC$)+oPjSI0exj@@EqHE_+JrmJgO8@a!0-OFnT&KByV1=W&RyAiBk;Lv=^!|3Fr zSN$SxB?c|vUjJYUpMd?XleORf1s^w7zAlQ|h^JF!_= zpWG!L@>iYR-b^;4b4DAWwu@q{6+XFRO>ZqO9`6!|J&}LjV*_4-yGrNH)+|f1EO@{P zY+xV?$9lh^pu6aOiT(G_22I2x7FKo-aBCCNyxMg5)A+3qN8xb*tunpWz;FZto)+e)WBZ$+Q#qMQv+481r0>`^Y0jWqg( z^>-y@QA1BT=jbf|nI7o&Q4q0VzjIS9=u@Zfqg^X8*~dBpY%c3pW6Nb{{As+tkF2L#zzE-99c5fK5Jos0TlGsG0j?wv5E9vaV>ZY~bn#A!>f70TgC^p$srtV!e;Irq8KBjW& z)rN&747Zd4UDewQ$q^&#UQTch;xDj{CL}%K#RU7McN-kPrTbL4Y)#wjU!-R$UA}l5 zmX{vav{o)rSDi{StMdH!$0Nzop7=c2X+mlQcei4V(5BQPHG)hp$#7nIF@< zc6<@j#Uqzj8fCU!Da>FUEi-Trw?*BA9xvWCxM&QsM4x2!+7yd!F*DbDoc?H-eRad% z)qIp`{UWHVV<#5feB4>3c`(b*girgtcs6xPQM-`z;b_@s2OvX3=!*ptRFBzy1(E8x zbqqTt)4bKC79V;}M+Oy*96r2elMmy^Xxr?x^P%9+d<%6joeG^X*4-u*%6KvJ2btl{ z^Fd3LC%SZ@u!(gfDt3@LOD_=@ym{1sd|@tnSi#9~y-?})aC+&ML8v+Gv3T3=p~sgJ zK)$6i%IN>N{3mCdO7m&wd5ij~{vKlc;}eU#TGJ{+n9wbgg^GS-|8=m_Q{aA`=w-a{ z_Iyfgf3>n+LYutnrNisbb&+oF7RhZ-_b;Xwn~dR!Hvg7CLchlQ4f>3=VGZmTN8eUH z(QF!|_+4tQpME4~&wL3Kg{c}{Z8Nk%-*Uc$U)sSF`vA_^vMqFw2Kt$N7FRmudq#e* zpLrRPQ1ni*vV)_%7@+zG<`%nBQGJ}}H~x6P#qpo0@=vG2nRMOOp56Y;C($RH&uDeu zk5vyIBK_{^M2NWY{7KF1wwMZa#c7{|up2L}wcA<8H#6Q*VJQ(O`4aI$dQ*IRV;+j; zxB*UNzWs^^o*^IRX1I3nbA!h_;xpRYMah4K8-8;eW9(^<=vj^oT-f0H`890)STBuF zeX&{*FXl;h!uQ~{*ms-c0y+OZ%W<#o$JCF;@)eZn;dUYV7KKmQjG)3BP)C@k4RenZ z*WiT3B^r7gR1?BoX~yDZxK1QpE*WPo)g9bTs=JkAtn&o%6+J!cGB)~{=hIMo{MWHj zx4*FA8q$)amkDRWBVRw_RS#Y+=j37u-P5=Q99Y!+iV^k~L+cy)hZiw?g^}|rZNV$f z1(}!r)6%5D#^{UTiz*4(#%EN zN${_zNzT8a2{S8sjx*PNSsGWoBq%re*Fwn1zeYj*n!8?DGYgdatsv2sUP8gUavtJ& z?0t@TMl0M*9S$a*FO*jg zQuNM>BtPtCWlt)@QQUCQ?tpIEpL=E&{-f$qz{yFk5WCKOi~5h$8>12jW144gf#ABx zrGgjEdtTF$i#ZHtoC~8yg>1a=xDC{_f zJrlD&?qu%GTYC%pT*i5O6+}Yfy6%|5KxV`fl_rh1l8#F7+@m1+5!QFdG<_hIfB?}p z5O;K~(*8Ws38!Ax||hg1@i$EY~E>pF8wRd*e)I{p%$OawXxIl}ErkmD)} znvheziFlh>JM5aj*Tj4wDY_^C>ehR!ypCBs`|MJD%#Sb{@@+U*I9Ti>dZ_Vgf+?J) z+O0w2NX#1pF5Cgcz9m9&wC@wWL#9hvR^Dw@h1(NRo|7Yd^}3|&SqHdLn%A}{>?CT< zwdB8o&i+lhDY-|auCtO2S{OE;K5Cg>g75+YqdvEGwxCXm_I#9Olpox`i%>q6RN6p) zaYudwJmDrI39CH5vR-5$chPVz2A@k047!yhuE7p>D13(W%etb1cSoD}@u#fcTsbuo zZ~yPriAyZex8<{XIG&^G*x17SaeAa9mFe|$y(Gwjjw*8rR1k~Q$R{fC zm-V+mVIbO{hCbRynLK5^h#o8!m!R{z<`a(;*`bpXIZ|2whyJCEuO7ERUFQ!j<^hzwZM2${1EHIbt{IYac$0m)( z#$tytS7ZI%{I{1+N^`%~_cc=TCf*$_l$`6wrfs{T|J|go(jTXK%&GrbEOr6C78=rx z;$Gfbne>~UNF2Kr@CDhjNBA*ARq>5*0?SxaTR~b`hCSisax18RJ*C2e4n?(ZNJ20w z4=9LuyP`2abDoiIQH~Kk+uMCC`IUnYBfB;a->CQ$kXZN8v$cM@R488P`*s=U0W1qU z`bS&6#3{D_@8#y5fwM=U>1jCaw!(<*gDVwD*M3E|4LOYIg5z1pqn8>27 z#NZfnRLble@m^80Q;JwZ9~n1sGEhTpYTn@N{rQ{5+8?2->r6<+Am6Bb{2H3qXP1QU9LSkXf zUyaoT<9~=|S*I@u-9DWT7ris;uJGa!dGh?4TM^vHSHllcH&uqXR9DVwzIf*zYtK7_ zY5fr%$q3VwiA-Tm1ed+pA+TA8M-~%6(2DI{7k;^{$T$ zs|LkiTrIRPG~Hf}EjRd#jjHl9HwGHfae*159gh%8DX))K8i#t0{a++qdpy(s_wV9T zA(bSA`UoMH5+W=WaxX$9TcT7#r7^=6E0T~~qc9P2$+}5pvqtV^?o(oOYh&2VW*58s z-hF?6?e%z=_w{wo^E{vDvb8cYp1-pIHw2zl7=fBeOyR0DIJlH&7U0eSy{+eQdc8Oj z56LJcqT6A~Kwu_XhPE8c2*yXf-B!{o-aY)`C1AoUp)OPX4%OM<>GRo z3a7I>BL6?$+0h!6z@z*>TYZbWNkrQ5k>M(SSz5`kkKj|oM@!jt65o? zX+F%Y+@3yrlq+x_{`slYPEvf~jOopnSXGhEN#t(f1$vmgbc7yt3Zj{~SW1>S>=8H8 z!({PDNod#p66}S5AYFacTkaKBi(El&wMUOEa(IpA-MmRMB@yJ#q7b{68HJ?|=;aH2 z46)oWzWdeIVX#y5aNM%fPci!!t$U%H^Ii=4o-GBXX+;$wS^ifXs)^(p)%3yDkQA93ebKmXV`p zzS0q1oZpa}wbOxZi3z5H=li_s+&j+d@?UMM`n9X_EWsZDI!E@vZp?1Z(n-JS?~-!{ zpS@W9hh93=Pm(l=Rk|L~j{RfCAV5t<{)FNlMQsy7K{21xAe$v$b()AAO<8VO9KG}p)5n;5TloD|ubrIAkyhmRWkn2Nd{t0eut%hTl%-??)QER5VYxT%-A1wjs|MD4Kw{%r`AU#FDG3Kt{K}M6Ud5^A4I4t zGk`9S4Hryq{lo+&OODz4Axe_Z24yaqmag`Ex_N4O^kdNJbZ>n)zN%Doz*&{N_8#PW zyZ+D4xsWqcW3%8O}p^ipzsv4T%k z>H)Hxxcx1p+V^m#5^#l*Uk_?gVBQ9$F2fH#%ZPxK#Ln)Gn=xB`$0Pa0+e9w!>uvLE zlcl|N*ieaq9(-K4=29UY8fAoV>jNnd;^#a*G1;Y*4WIslLPsZjQD8!0DaolgN%Fo_ z=ONZXZP?wW?EI#Onit67oL1JOOH3}^QkVfdg)k6$_hYl^V=vKRjGP`F$%OLoLyMC| z4=(&Bf0etmZ7R>piCpjjkgZ+7-9&*S)8S_Mjy2!nQ5&KQC5r3NtK=X=7{3S{z`USe zFv*YJVPAb zScUG!0H!rv5FBOq+LRV-4!6&>*X?JBEgJkNMoZJtv-J1d!hm@IP~=2y#Nv*rtmi`* zbN55w?V@~lg?G2_vd)_N8Dup(f|83XzFw4pU+H6>=&0KjHh4P1&I_+r8G#?Qy)%)& z+8u4J;Uu=C=hNI(@DuVrWA$m;>Fh7Y!UI_~Dov)}bvh=`?votdDlaEioH~0Tm0jiA zhdnNTPBqGH>dUs*qDya_cT&J0sm=>J7hJ$D-|csa*)u1g@YaC-x+T5gRpED+#r#y= zeu`W18pxxl`)v5A6mH7~#=5Q>t)w4@gY572BgkN9u(BX1#) z0S`h0&=m}L5Zu&uq;7w_9b>=H+Y@w%zV=`Nlyu zc$0+p?Z`yTQ_#lt>7orMh4Gt(?b}Y6M1*IY!Y)^`H8-{=zN(6IC0y>Kpwikq&)W(t z={)_@8I2J;mD>`3myuy>1Ic%n$i-Jx#N~YYS0J(cS^JXFV`$p8th;+N>W=~K^>*@b zEyB4z<6U#AYu1igb-2ZI(rUjzKLaWf|(vp3Q#nw9~KK zHBs4*cBEja4UiG4_joBe_V7mtyj*HWCnUeSxKJ{4fyp?amR_y$n05hU-zWa2eB^OL zEa$fw4+hpx%r$Z-u#U!$KYjvsFtWNmk8mU zQ>8q7qr#!ByI>+ZUT&Q%vEyXpNjHkj zS`dGX>D-CRcEPT<@yTFj6@6_7i3s@GVuM&`g2O_6DLKwZf^E5evDZ7kKr~jKbqTYa zE5*~5H%Sa~j|#kX;~M3WiQ4A{oUp!&{`*96+Oa_QdkX!X*}-*9Hhko!L5IQAtgTAI z&3h?f*0SPu`ewq%H>rAXfA`t;Q_PO>?ZDgDw_Vzmq_hQ&_X=*{s~|)Fjy2iAwPGXc zRA>my%Iz}DLsB#UfIPrTww`-%Y^CGN-BR0en&PHq*w%ZP=!CvnaiYg#Jfu(e1r1?y zh)<6{qU}qemNWYg=wEx7i$W-rUb(0pb5V@B+$<6Z6C2VxC0mpy{_n}=PRda4lxsCn;B#6^+tNuMP;68@U0GHlDtVsrU zw4J3}qx@vW`t)J40>JNKPthTd_N23+FT+?MRs8&?WbP(O;$;nG(lxQu81uQYdH7S01omp+bXQ0pPLRocD}Kc%#43~aZfA89n}niYgPUH^{5^&cKA3i zQ}+OLY0U}qW&l5TV^>~u(89eRL4amumIlUVczbgwgP7mo0yP18v-AbJ5=cV}F@_*{@v_d2rjD*|NH#x93 zC+DfDpUP&sh_J-0mNn`&Qt`dd+RK;Fx0t+-NG)-G*Xq0-!F13yty#MZc~UEI`Dk|U z%I7BCTg=;>Zld8^@rk1NZ@{RG)NxhLS769nBnH62rq!mFF9!dtFZJ-{GSzzTrcRK@l0@=;C;*=t*3PE z7kRDN06pM|-eeG}XB-^r_v>`&(7G$0K_wK!?{i;<1Z(d~d9=sf#7sx*w3kDy{xbb@ zdCh0D-yJBDk$Kz4eOeJZ36;d1gFUw>1*HVd%7Wh12sO^yw~t6tvb{Q|k$B#us0R~) zlI$&*a0mTMfhrq(<0#fX(`#QTr#()k_s3CEeSMW5|tX^bXf<{SX_SVWKf3@iIt{&i(aOp>zDf ze(l6hOCB7}mtu4N!3~Uuh;6rKsVf!>iu0NG6L)fiTgM*`aZ@cf!4rGg_vSNEi90yP z-#Epu7{SIeV#R_fn;p*AXGI4$`P%9H^He4QcE)8=J3eFW(&I4w%{7`LZk*W1p*qW< zyXvzVq`fRbhwsPIIT1hnh9tQJ%nfrpx!4-;OA3(}|Nj2y<89+xbETL^oBi5Qm*>aU zhM^iaChf&9kCLI!YOV~PA}Ia+s%`i`qSIb)7QRH%RP#)DTN0*?s8r@L)4*|`H9y@R zt^aVjyLI9%1clh)5qIW-IsL`Edb>x`Xa6XH2Joc=es3qWvnDp4(@v=c0LlKie|pnO zm2)4{^XXSpNT$Tv^!rjdGkxxB!WKApQncCDZ>hPlw1{Ex+Lm;%gx>Lz9Cz(*8D(dp z!Ig+2I@DDC)p-?sub#{Gu|6~HDe~F}>r%`^$r^ysYVg&In&KQsq^VG|Kg&rP(HHO% zq$swchs%l!>GHtD81X_WVH^GvUKW0BRvi=S3-S<}p|d;- zJM1UXoE~|6^Vq9%&FvUcGMJ(_z`u~iXUL1M0XIkIc0VaaZnqzpnz~n3kU#)E zN^2+mck|SpW=+Qd9N^{u(+Qaldv}VU3UBbt^5ywvYCJsp&UE$yZLNBr7pY=tP(vQy zUN=$ezf~VN3#yb?LpYOjV1m+Z<$w+;e<6n%fn87NJuU^a;uI^FFX)Kp0XtC8z+he? z4e01^km=|+PPiPt-d6+D)1nU~WPMXhr8{;_UwR^jS_nxdx3eG=^wHgkLG$Fz65mI( zU7T9t1R&%QAQ2Q#kv(bNw@v)+Ko(6YSqjXm`TFY?)0ikt(D3oAYg>)n$;l1A7X(BO z&6^*v+{eDUmNf?X!3_I9+|UzOI%1ij?=JG6Ih)Ceu`;d)+VlkFy@^en!q5y_{_vxz zKbYynM=mp|D!BG%ceepxR(8H|E5HrdLr0ND-=}T*Z0?Pf1{@qPUNt~z|FR=ljLGW6 z!8}5evp!$B;y>y@=N6l{A=HuD%J4)3k2r^X+~RHy>0$}RQF^{F>S`R=zH5d1jP{0g z21r)AWN&(|hMCM7DQ0|_?OriTL+Ee@j^r0i!2z3Wh-i9v)DP=Q%aA`&R39*|4HO7` zR2j3{vnU31uJno8$o%i+G?=Z+6X&KdR{z=+TbEm#n#}n0#CKXir}~&NUHKGfi+BKt z9V9Uv;RK)jHZd6y#mOp%$N|KSk`5l@s6P3HVe2lnq2C?QAibt9TW0moPan0lqFc6G zPUN9#QIb1T{jfk#T9`cW8Lzr{hZv?>&_1T|78qir;W51!G5RlapsEoi-%`H?9#Pdk z7V$PW8L?scI?fUmq|VV)A;r)s$^9RTFxhm>aipEFGuD~1BN4=@l9PI0_AVpyAZ7{@ zrOVyifdwgjI?l9k#b8N!I1TfUAcWOz(QGx{B8eS%S~CS3opY2rJUQb&+X6&csb}0J zVft{dhZT1n%M4WY#1|Yv>YX=(6(mJEoUf+gb|CrO!YH&uRsHfG*Ng+bVp~h|e#nC? zgu+RiNATI>PVZJ<^(N+R9G(-Ab^mQ5XiY$0E&guRC}|TsbOq$r1yP^Cm~A-sn;qGk@K&xVsZw%R|xe($@!^HT0uv!8K?nx4wC2Oeys|YPl6;V!3>`bSe zB7lHYJ>dzMv?-+}a+A=rpP^1{e%p!yW|(>QQ#OaZD@y%qo=C%%08qKI!vl(W|MO%r zp(GdBTTiK2+Ke!9+j8Izd~+?3)bD3B!KWH!#d``6-mi2Qz5%p{U{w}re(QQ4qsiaQ zmuI?$l$Mg$^x(`e%l333YjGgj7SD@T)y`Hf70c1RzvaRunh{_mAB-$%|ipU)hv#4;-+(sByxssZ{!Cj!2Ex5^lfV)bd+S zC$TXy>?68G8z?zrG~VVE{52#p>IEdQ66G)0V+rM=a^5u~hiu`V(KCYPYm@ z*XIuG+Ll=eY0_C%x}Kgdb-8>@MmpVJyJ-5B&WzjTdk1;2n|H%o200r9H8KIxgYKIv zX6&u{2cgxsa)EgJ71EYYkeL_7$=5mcnYmQmx_AdP`T+=so`p4>!8ixX;hTA7D>OyP z>u8E;VyrW{A%5u5l*lUiRoopj$*Fqgo*TEu(e$;K7_U0G&i@;j=cYT(^;aS2#TZU) z#JKr)q>8vX)fN4UlF1p%L#&l1S^^0~frHQ>m?5uIZ1AF#vTGF%1Pw29`x`?qS_AUI z$&mSy%Ww-iHvzc?YS1#WRXF=7%>83x@IAkYdXvkfmr++W0o1kgfR_J?ijtRhnGe6| z`RL4wYWa$&nuAuxq9i9rAf-z-qc2M>EKbfLzSUn<%FGyOm z+vqzkzC|$zjyGsI$J4&`@GU;P^;22B;lg04foST(Q1y@kJR)-y%clXAf&mpkg`GrI zdYDd0xgqsRG126Nw|qouwl;4OrhG3KukBq6FD#XAgDg@$bx8(dD?cKuCSOho`gsY! zx2Cqo#g7pNRm6*Q?zq9ViYbTl=*PXDpa(}?;|0yv-)*aEBn#M8r2(-)1$ii^WQqS& zzr|n;5G^$Z5eVlVuA-?QHs0C^A7>ognZSABQ8S3o+_1_AM$G^@dp-k!i08OZZRZNN z4qgA(|2|Rrwggi1`4m&B#*5kGgi!Z@E~+F3oTo`!CobIob@pIZMym`+-SE4HrgX;|LtAoKJkfSnU&K{$syJG(d)HjBmpRj zwrGj!y^bPR8jxTX6C~v3=Z9 zANA5aXZ4y{$M(A53_bBvkmpIwmfVrt4-xud&vW)9qFv`|`}4{fM<=Vyy85k^@*Q+M zZQ$)6eadb!;e2;efoWk^_i5Bp;M|&x_cf@2q=_FyA-NhZa}mjzQs2ikYhsT}_`uQ! zb+OKRGfeYV#H)S?kNf)l9c|VJO(tD-pe|U^6wDj5X_r{wLu{Lfd6%e`X>+VQslk}Jnv;NkkwR~W3OfIfN(iG~d#Ty|gk`QM*{8(=g?Mz6&3*4I zXn#3b~BARUF25gqLOl)&wnB_bc)>XB;OXj!N~ z11a6DcOiS}*+5W~osi7qy*18K&2J2?@4c)g3t`k2Fmo@^xDQ1Iy!f*TJg1EcctXDr zy-Yp=!GGYGeiy9QiV8oV$*mh zK|!E`(%BM~h$0{R4gXYr3^%3-W{!KxAa>)Fl)!5OLQ=rjHtJyPS0fugaRbDp810hc zGXPl|esnWIMqO&o#-tc5M}KP~c9NqBMr)>ar%kK0gz1Eft`a8QOu$QK-k4zso!I32 z*55nHT!k8|2_-C=$doCmOMy~@B3Npz-|aJEzm0WMZGLt%8Y_VlZGZI!BkGtx9uo`? z=k56Gw{_bv%>M8v3pTokLs>U*)mai!C~0gNBT^wwDIARQgq|D-WQU*T8rcOhb6EOi z-<+u?5Jo+ut4UpC>fGEumgcv9Zi6nQjeDyM7LsFueThSfkbZ)}vIeDIwvWm7Pb=^v&I{H-~ugQ3CsK;Tds<`6r)B*$SL z8iH1$**c&hC{5A--dIPqiC0`p7p*T`+#WnC}FXD^`UhimHTGhqKdXWY2F3| zTU1%vGQoz(ViRjth|1>d`1@oBKO{{2L=;S&#Yk9k8lqdkqIFU$m<3k@OKdbI_5%BW z%O)lMV5a7?9%uL!?yW1)pnQj{Q-4N~F?igDetHL&jg8vAw|Vk9OkPs}2l*dD9K{jk z%ye>x-)iF=fJF~kni9q+J|XnI9DK;tu*4E`a|u~u>vf1NeZV^$_x0H*sS~rVBmuAD zR(y>$0BHuxiJIlGv};_gROUBKFsmkjcLMykv609Sd(6I39GMqb*x{9>$GhrD^Z?LP zGphbzHl=-&iiiOAfo7RC#Min*RAal`?bbBnixlG3S4ogqD3PWF7r6wj9LLJ$pTt z^^B6A`|BGh>Ph&|hLfvB^E>4u_xTt2Dp@w=Pa&|V~q;|FNs5(H;c zm{(a>uHI7Z;*$BV4=e0DY1q({a5Sk#6LP#A>MVId)eGAWd!a6fMxmt`R4j_<-Yg=> z;*h&>Gv$bBOV%wsoOeM2QpU|1*WV7Bj?@#i+*j9N=W&pR9~i=%B3Ytt!!hg$fmK4B|oCU_sN3-d9W(*->pzHJPv`0gDOai+y={pbf{ zE;`Qbt`9O!%Z8_JKNcpQJprrLu;(_vUib~nc91i}hoT3%<;CG zFK6^b4|dmnPTUsfu5K?~w-;3R0PL+U!kEvd8k$FLMq$?BL@cdthY%KgLbYGX+xPk* z6k$8=ULSYYezMD2&=jN?>ZWCUgWxXY{U?>xC8*drT03DLJ`rWJUP-z1l^mkfEp84= z+q=u0pSJx!G4li_SPs*y9KD|1q$%3i`82X=uTBml>yf^=&DTxn?lPOk`)JAf`0BUwc&ez6UXAw=oSXi0(Aj%2 zzh)fcg3SUPY3~lP9Ij9iyar;A{b4yA;-_!_kDx`wY?UKeuq$ZCXHMRYj}H|xnhR%@ z0XMfpOfY9RG#ZHWD=q%_*(L=xT3BvcWI;O>nuz`_)&#EoDO%#@tB#vc&XE{age-oU zhwTJBrql=Un4{a(LAwQED6|CA`r=0?kSR7gW^ZR4*6Sfb>j|R5*j2L9^la>TcS+%B zXTb6dhN6f#3KP)cfsR+iRv4Q!!;+Zuvsp73?4;*q)`!+yiEk@v2Eo_bH-(e|yLPxO zp4o9W!@^x|j^rdZrkW@_pp|9bo-vFeJj7J_N?OqIA5MDz}uAtXu8 zjhh?d%$|tis9hjX%L^jatXpJZi6bc@Xg@%ZR>ox(=BlJ{+k}A}miHdG{Yl5WQrrfx zNS$b`rxQafsB8BolCI1!#-Ia8I85iFz`R0AV_ma=C03nV9@#!|8pSN018tdDki)d+ z7ES^%d&5q!Nqg$9eWCsz{wtU^CMY8zG5xqun#xb;=p?Vz43 zj=#;;;+ti^d!3DC9`x9ZSPvs<2vUh_&v0m}NhFIcJvReI@jeoJq&ZS607t6|fdJEl za8e(+n_rP3a-`}>y+qOnfbT!{I%1?<+M|IRA>DkjMg(1BUDQeNoG_xIt@(|8NDX%7 z1KuMdDdR4CvXNC+vhnFY$z9+`pu^jS`3Ly<86sUGptIiR-OtAIQdLRb#K2+dpmg!# zmWf0;j3kPD23D&nx*fW=CRiKB+b!C|awuIQPhPNBPO{dNjWlcf&@6i>2M1jy{dShb zcQQ{+B(Ro_u$%VYli>$Uz6l2)7o#OQ!HTrz3%(JQC9*Jvrd^dMWqWRBqk6&{!3olM zm$dxjQN6kIuB7pOHScsc_O?4q_`hJUd9hK4*~16eQmq+jE49(7xjol98;K%9*85I( zUFxL#pdM>!{gV<*#ai&asc*9CmMWm^OqL|0u3_iSSFfactb5AmDaP|xXTk}L7`D~J z*oJJZxE|Woq&?v;=e=S%;aD<|FNfu}+fv&o?Nn|;Z*h%gV!b)+4Wwd>(C>0Jr(`Zr z2X5wOxGX;{QxiGF|BA{s(+R7zx^!j6-D%df9l$RBxe^KQhZC^KlQu*(rW1MV&7`p} z`()*?QCXKSPP2aeeMM`gs@m$hFC%SX?=`|&lR{}Rb7O|dlJk!nLx`BH6S>cR^J3=5 zlu%IQdBfo*Z5l0!QY6A>NMi3cALBziL9KLb^v|EMPYGBeL(=f~Z}B7m)$?ZXl<5<& zHNfN0hAs9$%9w_7g#B!a49H~lg1z-|$sa1&NIok6%BTD61EC}rHi80t(mAy z5t-=irmJ&{6@Qk3qsFGj;sAVKBm?-$+=dFU`zen=0&x!RFJt;?;$gd5X;J4mrH42vXFy^6Yx5vcsE#L`rm!5uxBh( zUzi?CB~KK6RA>hjgmY{r=vYQmKG9oFa=Bbu!qVQ$lxLxoz!aCh4;e14j1bn~e^LZ1 zwe?sRHOZ>32J~v9BDiktoAp(e0qO{+Wn$RIMo!%=4XLy`u~Bj+^n7zO^n+aKG;l`9 zapql)$z((2pvAAiXH$^yudPIglL&K_L)j?W%j!v@4AQYo_8vLOSr%=#=ovL@J@No< zLJpYLc#^2&JK(~6r=uX@j-A#v0{^% z%95=t^i#A5XUbns4L~(+j7!5ZeK{MEX1E?jFiBTi7NH6oQ^%D+&i&Hj8|v9Sx_%S% z{Qa_aP`P^Eq^IMTSvlpg5Ar0ztSOzMEucH4i=C~pGd;u+%}tiz2uK&AC&gjO{_gBVJDS9eJ>8v4KZ<&>RA#; zBwf@KH(+1mpzcCOynOdD!ULpCQ|L-PRmT#(>KJRUl6ZLf$6aTVN`DaPzyjoAd91c!^blVFaMM_Gp!yob+GPbxls_34{)|IW z73am?`8)KA(Cku<$7y9tQ?d!Vu*F&XO^8Tc=?>QKW8lrAK)^9%-eB_2vZ6IaTq<{A z=q{CV2$rbq&OD(b-&{*%ytvkQISRrGr6Edma1yod+|IWNZTVNOcIDuO&S%J5KHf)< z3z12NdZ0(m5B4mB%;8{Xyh0Ksl~U(STFjPi;13ECLs`tStmZlH23(<1v+-SweA71E zSvf2MzX?{6DVJ4nNJBm)jPLUFP2R$DR7gf`kM5d+FSdm6vnHaTcc}J|Yj|uIe~3ys znKH73ZGF*CZMq-7LuAJ~r$0QVPB4*0oO0D^AfI6syYWfORqYjdIeYqF;}J*T;3B|B z3>OU1zxa-T;r`P=&?6lNyDchdTXu9xv7vl3_tl$(a}vz1U&sQur9tShmB;1zAnm_{ zGp-njlEfzTpyPxAOaCz6iCB%debjOMxkx{82uw+inwc_LuE_cw?#8OoUFQBg&_L!< zO+XD~Ah`ABfAE#P_>GeC4sL}^&nZ%ReVX(af+|{d_8tZSK{qC&WD5CI5bT2rh=+Ew zKpJ*`Gtb7)9jndZhPis%M^&$C=-5{s<~FC8UT9FF6u@aHL1ZOuM$SykHEkT=$(c!x zsUvJr$Q)am3}_$DOc7zoib|4dm4sH~2KIj>U!odpz~=F9Vy%hNi!F?=?knzq3Fy3v z8ZtCn-frP6NjT8z9W)T87ut=1l#_0?Ph|BSiuP_j9fG|=OaB3~&=hry9cYaj<=py*h64-)dI8F01v=MC?;64(+yFDwQo2+*?0Gx-mmo4_=w8 zrBV*FY3s3qdk(B=;%_SG>@rdIwcQmS7`+dBgiGLNqgRD$Pn zzkHb7?2F~Y+hqsdJ|GfY;!ToDUi1MpBth*r!;ob?0PfKgH4S~)&yQqjAL7?{Chic8 zvHXwlHHl`jhqykBmvqzD`T zHHXXvt|z|R``Y-mc~wwQ7B+p8t1EUM!ZDej9 zD^OFklkdo@pJ-Q>9_BMG5y{LHopbs;<(T;i+TYGIZV3ViuLSFqGHaGX7-&>E2$8t* z4jk0kZYeGooE{y|kTmuGeV*mzERD{P&<26!s*BVffY7~u$5xYLff#u0<;{-T$;Akk zN>aeFB}Jwp;N^B(>I7}dTKJ=EHl_2^r71b!y`-NzF3=Ob=U0uu!92x(fYSikCrNVl zUT%<<-Ye_(O;`jyLY~kxX7%h-r{o23$PQ)pN|zpp z{^CY8;k?aU+$+z{MiIwuAY*8dM7OanKJl(WgTLOH)Og0@>$t*1cVQ~RMDpg>?raWn zx3T5bMTVVd`p-0Ut0DsV;OQ{TWEomVjFMNm z-Wt2p_B+)~o?yZ^eCi#u6o%iJC`(hz7nR4BHm)bAtZubpH-rhySa6rJtZd{4ZiEt; zdAcZ+b<6AsxO$7L{BFCaDQ`Meq&rdMqqp-5UWpaKA;;No*aOSA7s$)Q>VQwe*_Ua= z2UFCYC4nAQxS4+xhoKaKo?{X{=dRzypwXdrgxDr{1Xw=@O5nVTC3(2R!(f1 zOfDQi{bQlROJS^>JL+-Mtz$o^dLdD4$%BsI`l=G|W4S8=cdB!{&BCua^DMh)gT{MPiH*tsBY)a9kOm@(Pi&b;0? zmXWZC-)y@cIbz9fK%?d}koO6L)M1^SH=~JxW7M@l#N&MHNpS~N4>OqZE4#6h=q{92R?!COs&S1_ILnMb*2P@8^3bb|it+C%XL| zgdAA{2$!k|j-FnoN|mCVP-Ga?JdQz(|4y||jolE(YYm*BVo_CVv9;f(&B+kTNhV1E zWH`;*F=ApAO5(j+0IyG6#}A>JM9g3_B;s#?9_5N6|B2tpcbPP~!MdoTD&MymsP z8Q9kNekvD#?v&*pr6@-f2$0T5mQ?&L7Th7JE@g=Y-;FEr-mQq(Z3ncyBAukDqaY0& zAPX~Jm8_H8W?|I@3?CqoXZpYzn~jur)>1}BKMmiITpp8+HD6)p&D0>%*_l#&E zveG_LGEfBQe;Y~qSVI&D07vf+2DB(ZXIbXj(JstDPqyFIg-|*v>A2`g=pi;YLu5f@ zZe{+{te?lDK0vbT^R4>p{m6o$-E z)I}Z6l4pgI4&sY0Ko`*;AeiC26(tKE(v?Z5Ip5ww9Q%-0NUSBC27Yhn=Vjn9YPr@H zc3Y$Eb(|$$MVQx)*?Anuc7a+F=&78zyORmr+-)(@J7h+A9daLELM0sHH&PcjAa>%a z6%nQ|wWs9m6R~pG@JB&iYda=(%3>Q71dPojc-&%b{MHlTe)z`myNQuuI29=daKe%yUg&lT z%;>PhoQ;*uVrWd9#B6>dDxq4I+;i6PJnov=9N<2z6qT$)qLO932aoj1BJ6AfTf&u3 zPIoaoug|m?EjOgToZg(hzH8Y!Oenno82l0EglRr?OkEIgO~^pKB&Z7l!-NdX1K<)G zLxow&Of?kcz@XF{mJ7Vrl>y`l7_do3R>W|#78tw z7q=DAg+1fr23yv?Q!SfsU>DtCL5g7BOrvg;8?03cSXnkFDgfaS34e#)TjaeJY$%Xk znp!-;qM-gIt9Dl{ZitM3g6Qcas3XDaBt9aWMq;?)2tQ_%Ga;CDjCf2IvL|pp3;k_O z2XfDJ?t?Baw<}&*9Z+{3>m+)|`NOcg|F;rs1jHT0h6Velb`@wPiTA>=wdFr`C+a>~ zUgSWRmJu2Fj&k+4US@MhQt5!am{g)^<1V?+iJaEy?>U~9e1Gz`;ffy`T}~Fomo?KB}qf$loBX0oEJGoX7jpO zo|jP|6|uejVk7#^p0&lRJ)rWGgtd%2=>c{y?oGR8zVgU7tj|U%Oi6Utk|hKa0gl2)IDtd#@kX-N_JCRMF23He11k*&d3yGcb?C+S?=NN`fZNsuqY z$n#Gy+7JpZ^gy_V`>MtC;$!L{Kkl)56gR@cyVs~tB(_|av*T})hoq~M9x}s_?$HyV zx@z;>oYokF6>f& zR7PHH`ji5ZHges$6}uaTexq+cBl~w~7^wB^T$D?E5mUTTX!_BZQ(RX}`(ZxCxV;$x ze-If-*X%p8)53^$a`~{j+(6z(&zBobUfSHU9Wf_88O%HA{bav!SF_?o%>8rDHw+Oc zZKO)Sx7NII9j_tpDwF&?+2WejVFS?+%ACuRJzWW;LI*++nUKlzP&dN3p!mh?ol*0r zlxtEPjL(D_b{?^kte)=vR7yMA9vK7;ijqF zq?=>nRBL*=d`9nuuh<|v_Wq=Md$uSu8EvB5cGFGq#Y34_eGc8BVP%Fle)J`^t5yv0 zhN^tOJn*CqbUh1ctaMFj?>^_yg&NN5woQu*(W?zs={7iK;opkdQX$=cuRHBo<=wOZ2k!*; z4jJE)p8ZwexEEnrzT)y8cmAoK*>2kAu({F8K82^=;MmKfS8#W)f(3s@-dH}c50H9> z=X^Iqd(+bNiz>M69raHPF$P5y+U@LtJ7u44y$Mkc{QGO=WAl(G$0sg9jq>ar?J6QC z_|UTe2UD~e2E9Cod*c4@pIuDa>-&4or~85hxF_DUtCwuOk4zqXgEqKiLr93cS}}cC z|L4Z5h!%s3y=EALOJyNBn77ZXA_j`^yA_Z1Ry7p!gT(G2&+a=VK6Kj?peU()!L5_k352ez+Kj~d~}pk;P_ zYF@wy1b4W3mv=%%e_LRYef{YhrUosi1PwEA+rSH}qpfgU|MK*A*L|ta7zZIuqoR-4 z-0!1y%hzVB#M)ZumHFjs`z+oteYA=_o+LU;6pOO%SK96eX$98(UCg`oqnmyy-qUa{ z+jjUy?tJ56-;#Wjl6z;2Lc%9^_zw*RBy=t8f4tQey>O9jhkud(Gc^BdXO^8--#PhI z&+2N^OrPThVi^JjAO1V{}{&ZE=;1HB?{&`svfjYhgSEz$$Cs>7s`vFUU5KR$>n zbxY3<-H5ZOOjZ}?iQYe9e=)&4Mjr}+J5(ah;H$ST=D%9?vKvFR%xicanMG$oBcXi) z?<4Q88?EGJXTzS&kFH%%-zANu25LcZ*^;M`q3aeEhoQ@EBFW4Y*N4uNaS5Zh9dw={ zMEpALGe-B*TW&fDndZrK*h&jED~uf*NagszL@USmr^SLa#6!hN(2w5XtnXx+(l`B)g|AMdP&G+4>I*MBOt$GyE>x zOkzQoyW}%kl;25)d-D}al}`jXPlVI!@Gk$(uM0E2lVBK=#?vC83_qx{AG+Udx?Q)@ z))lzv>zTipiNcZ(VFIC=f6E-C>t0F?VJ<pbAoK2#GHKd;OdDRUsu$i|6$ZIsXj7Ngl z-Mn9-4#~=yOGx(Uoh(eSchhgyc2vpUKB z(aE2CNfOfSe&sIo8LV$JV~m+jmq)fuJkgI=@#N|w^=vvq5q$*hT+y;1ttQbaH>kQ~ zhA^Ydb(zc=ccr{Sb_ZNis6yuJKNsc9GtmO$xqOZXT&cU8+p}=&V6An&_hR;fr^L?G z7%j5fYm8a&5bl?170(sUd&Yd~;a8XJL{>{ey$3*(a@S&%esnV?9xQmT?oV6``+Uw+ zU^bUK<&)dcZ6MuG&?8jExXo7A8{XLS>iQslwEFqc_vdePR}$uKJ#M)$+jc(Rx2CRf z`_Pf-cAx8Il{2ochmCo95Ay!}_rA|hZg6`cudMM4VnM&F277TLJb)DFqO9d!@T3q$_Bdw zAJOPnunE7#NB6E;nZ>#(+2@jK;2Vzge}F87?}HjNzjkjZTIvlLJ58T2yi$F>p8mn; zZa*&O*X>xa>4hVeCdCWJpSla&TpQFXc9ti2Ty?XscRj;7)$i`d+;C+3;Tsl&CvQwY zxZfV!q-R@cZx9!ID;zr-z=;g+^swBSXkTga>=g{`c2O;`4vZVhxw~oc%+Lo7m9M&* zSt>W`Yfig{4LekZ9j<}@_kPH_Htv1RMasLq_+9RnFm6|vpP`eP9@2kt6_l?=CYDk$z*tw@~jB-u=m^F34q=%DNtIUf1%JvQiMBL~y z`F&>Cw;|xw>pzJ>rg+u*s=yFAbcJjsiA$G%vMjQVi{0e9K!|&SAlTMXUt@H*=i9>BgL&?ti zss?hDi$P3B#ohc)H{Fx({OllEZxSEB`f5Kbhuz>1rTA)^6~mAj1ptG#HJ{-e9_X5R|x z9b_nLf8%TS3VqkP7VP=|WA8f~n%KH<#iMd;pkf0AEEGWzm8Mc6A|Rkdnn;bJ6hTBO zB7tO7Z1gSyLX;{s(xt|NbO@m;2vI^05Gg4nnatgs_x^1dw z_`{DWfl|`rRq>IT7BB);=u9^_1UbS z(-nTcqJl*AELMSGmRf;fX;;|%RHxbZy^=Vxk?IBC;qZnNv$hrQ8l3jCtoFJ4S39-T&h2nl})jXh{4|aGCe$HK%VAwEQcRj3Sh?uaeEAs2oK1Jd)z4+C@dbi{S8A)3QI6Kw)FN{{v8P!e>rVz%{2C$ zlVf>#v-L1qVsDUxIobIlRyj=@L;QvL+2c_}D*i4o>9iYCG7ycz7xb0gT(K{_Hm7vK zPUUd#C#&-Mbc24U{+g842Lsrm9&Y+yWhLyWq&VM)W+It47-**e?KNVNEIg?yx9H@t zOInrYPKEVH%hd0xU>mLnJ#ZtQ1Ft|0-(#PBz4&OEof)IYs(q#?r7ijJ`Mkq&Y1Ua; zWnsJ1bV3p`T&6+P_b&`G;(6S+#d#nFY<-$whc9wT0x@44*F>g&18wZh3ORv3C4 zOQa4p=Qu3cDi_%wE^`mD3CW7SvR&y&XSjNVQ@PSWQ_im+)5&#O*c1cxMoRoFk6Mau zNEk5a3>(<%ugLmK%5gYVs#MV~W>Vn+e)cYI&&csH@H{5b7G%PlT<2Z%=JwwCW7vl2 zB$Ih%=JWEGbi2K7$-r@ zcN)toUpi`d&AhDbdhSr79qabvtc&Ewk918dn>AX>OftRokE{N4icR+TFqK3}vPGK! zUm&Rs8q{3#KnH&J*^>-*>WZBtnH8=oX_YAwm)<6hNB*`EueWrvrrR<1xNOO0Thdpv zl`Or9dp%XK8AFv=!-h9D<&}1XbPZzH`Le6UO3&jd2^j${1=xX7$KdP?*L0#-sk@go z+E~1on-b(?0qoW*nr@1{R+pOb&Y3zrnAG;285z~#Y-)oWiK{H)xU4WQw}hQwmhbi1 zK*~?|NId2B(ixX$J@)WYMYI#ngWy4qO;0Df6pc0)_=EjhmyC$np8YX%;n}<4xGp8U zvD^2`q7|iy)~F0jzWIsmVS(RS$GF)bnOIPGT-aKYe|EO2t#xk@eL zI_0b9=FivH(G&D~ikVqgjA*@Ag(uv&I}*}S82Fm>15`G>~-t+SKek>Eb6w$u}Pn9v?O6gwXEJf zlgGa5X&gI0vT9wfd&;OyMfmHyc}szNk#@h+*?6#aN3%UX%fV!FAiQ-G%GaR1|a(}^Ly%}sye*5W-$+zW( zWAo~^g}P#AYkYEE7uyg<*A)*{o!1L%*koREDPXnY9x;7J`r^c8^}=gMbJ@ma+MF71 z%YK7-8!z^hPu7qgX13_jh0*>h>@kbou_xnpcP+G&trl*aNtDpw z1kxO1(BtYy+op0Ia<&GJY+>S(2fL%RnJ)pDO6Goj)ZgIZo2+D0L@Z5VF%wSo>&lZZ zzowr)WRX9gdubp^xU_O(MWB<+=bW<*LrT6A7UN%>tG$+e@)>nse~MJkw^^#$3_DWU z#RCnN>6$zH$sM*u54$yQ7EJ6Vx9{Ojp@;b?sX0dnquaN7l>f5@Vwy$4yct{#g#y{8A31V~# z#g*!|gt_)$*R@=hx9CHk7LC(9o|FfYwUwE=RZLszKUp?}7~Yyu62Kh5zb0(4|#jXscar1>B0-Spg&^99egZN@x^O?x7H zY~o3uP2Vx~7TpSBKu$f?BYjruR8k)I{{6V*Lj@J_Ui`y~){f)vK^Pr>eBkV6_287L zypF^`C-Z%Ysj2+1f$#W&GF!!@ihoYabj2!Ftq)8bYW2t`9yVabx-6A1EC_GX+HnGotef;QCrqq3xhB_ zy9aO5%^qT#PdBi0w9-KdsjnEh zUW=*|3Y>52eKTQfPT~ts z^}dShBv+WQNgOU^r_!bVTuSZff>s5S#696%`Mxi^CJWz(dvFpk207&;8*hKtoe5Na z+hI35T=yTohudJFRMoFNVZEU z+kCdbU0ww+AU<65rexQ@sP^S&ef;06mdtsPQVTQOL|XptrJ9MrB_`+jkml3)BJJ~| zZ<8!>Z3X3TxNq=@61Vd#3_QcsVbWgmU>i@(D4#Vuom01Q)jIC(nYeHH+uiz0u36M^ zZ`bmZZ4+8qcds%&9U`I!t_3A7^@;RraMe-q>HQSLi3A%;N?}|D&uV!K)0li^S-Z8c zUotf~&8R^B%8YFunigE-bEV~1!x%sJ;q`D#Hmr7J?(GqmShWy63#WclrQEA5ZKBU$ zjN@XR_9rE`M2W0+TtY#4h~Ljd%=b-^64Z&k51c)&R$sY!`xH6{dn?-k?3$O5KJ~b; zPr)(&K@Mb&%6c)7PaJBNU8Wv9W|5G~DV(i7>XtsQq^UM|*=bk)kfEKvO;^@W!-EBT zN8U^shY7q*z8_|480SwPR@pNwbboSlYu~Ty1|H;03&Pmkn+v#p@YbsBZFI|m;1=;` zR&xxGD}hxW-=q&7bNj9x8PcykqoSijP%O4~oAmVfbe5=Rk?$0D!ekHWa#!s5HSOyi z@ku9Si5bcKyq_5s`azujgt_)$L&JWzp4qIrw_OQ!+_(f2Ts~|Olc*ZlfHf%K6ei|+ z{x}qP_D;QlQ_y!`{CuoJ7gB%|0v-`d2SK9-FAEM7ejm$6uL*g*ZQnNx+PiEDp|^=)(4 zde#1bEfz|~%z4ZQbYS8&b7KDF9v73g*0UBCA}!gwV>2On&x&;7kK7?q3FYJ-mo05B zJ1#au3jtco5dcF3=C60vQ`swR=>pn$0KHbp$K=t#lM~Z>w&7JFd z9JWduKPZ}xaoO_jR`yd7i8t{giF!2~{*}tUdB*s|wpO{#z55?WC2l$A{tms%4?L@{ zUynA@?rUJV+M9FIvOaGCQ}`^ao@ntCK^>IojP(Pe zn+rWs6;I?UrtETWn!A1jPBBQyBBwvGc)wvUMlm4#bC{Y??QOLusRr*pFYk}pBKMJF zQhj@-$W|pU#$74KwefUr)fins0{7}#-%jXV6zksfNENlU(7XKg_TIpW;Uc+K zyKg^c<4x{_4qT4h@UhSOn}qw9&TUpnOP@O*pEe_9d1u6(Xt2=3oOP;cb&)W)K7XT1 z@0{D?4JKB>*-yvM&T!Xvd?rh<-2<_4PI;pFkGry?w#+!>9ZbG?S|(#-53EFSJt9_@ zvu$v-JvL9?$~A=}>mrRexni9!NXVmQS!SHQx=k_tsln7{*`W?X+)&ip4VSH=MPsZh zt}C=1$oa=&VN2Rk{RRt<*CpQ1soMIPKJT9;{9I>i96FBQi#B*?vbpc>8#D2^tiH?1 zu6A2~irMBBU-Y-8nY?jwuu!FRcI-5<)1XT$X8x>Gba~j35PR4-*)?Nh!s0G(XmI$$ zwL2TXHN46AKp~sx#QSFd+Vx~`GD+5~{ejXC;X`58*%zKLZ)UB}$k%&5Iey#tgT?#D z&X%8L&q|?9w{M+&mawlo^pOqyao_RGWY61;=DUrZ{fqZr_0L_3$D35kGPRXeEuLg0 zeUO?@Q8wuN_o3oZA+F>f*IV*6_VjcoBwG~~ zrHhTfb&XUsT(T=QnljmlHg4WVvQWCTr}tVB zZF{>=&fY7VA6|Q^G_f&(5<)o7^`FR1 z_{S=7VYj>@_QjRvA-ShkPkMOfJ_*TqJFT2sGw?PAJFoEEgux84Q%t{Pi}XWDMUUg! ztfux}A>B@p_sp(~IeoXk^YzEmCR|zL(6HPD(_L3}l|#N;Dy^G%B5Q2*{4O$He*JT} zFw96<-`y0Fm>y+qYJ(?y-GNrLF@0|7c6sCR#9ZB%hX%ozTyEIu{akhqm``~2@wr}A=g+tpjK1#w-8hQo}c zgLco0?S>^Rvz{kM?}U+GT_usMs%CWAAG9{sqOuc2D_yccDT5 z{;j76|NW4Ek)YbFa?)wxbG3z2{mQ^M?Q6|TCEDd@IA7F)A6eLb$i zpe-ZoRy8}M5JEYfC_J;Y1v#Y=F6*C>)P1TTD?h`-IPy*3F0V>|g?|R$J=yia=BH^~ zmVM6p4>h|jn;#S=1R2DoxBBh;^wTM-&@9{1|Fy|y9N)EDNHNKw-Q`%4zxDK%yV*In z`U^!xwNvw2ZdPpi?i)5^_3pCV`OKdN{L|YD)+gBRJsBw*DhhE;ggbl`JLPti2o{fj3?nA2WWnVY+m2 zA3nLJ|7rdo$4{+e7aea1_pa``YG2jz!Nkf~(y2I0G)<}2c$;ECtY5E+b>fF@mTgbc zRWMz%oq9gSQ>K4%z7fhyWffK4<;RO!_Gh3>w&bl_S$UhOo!l39Ccm)Y@x(}C+|M?4EG^9@H z^grL`-xvC5Uw@ms#@p;&+hnYdmG)f8NJ)&@L#EjUYQk1G!j~>wk$bshnZq7F>lyA4 zWPI)YX-?15j~oy3Gese8-sg&m*^S&I?U#2kCLI)s7VoHv$?GzF@2WMSUAIH|`FeSZ~Zd;({^RBUuzz zNO01S{MTCP!{Ph+PFAceU!C@rJ0*`pRm+EQE^w#*h0vaV0zCJ!XZ=IySemL-E_uc{f5lE@>oe z-ILzB>(b4FDNg)FN_C^Gx^d!$8AU`sCb4F7aJIw7C;l#n59OWDu!}#o=ku16dCrj1 z)xTAz6BA0Voz+OFmSE<1q*rcwm3Mw|rS-lwZbP4nv58-^kAih#shUvn_`5D)XFvV?aQudC%Hxg1@I${3;(=O+n2xi_n~&$4eXzP8 znPnFfHIlEQWPAMGVUVXE1nhSS#iibmduPK`baC4{E;LemLHeq8_R}coZuK0gLrxm5 zJf9z?b>{>S|9q7Q6I|l9N>}%TsnRBrsttHqU9)b9CgfuGtfJ5l-1eKw1)ZqT~bcLSNJwE7nV!CkDL1e$#z?1w) z@3y6+35QZSqt(qM6~5|O+fDgyZ`0TNo>@@~`I%g2dWI2u)9`kny>D^V+ZU2^+x|9m zbZP#%?#;$Et=>L>yj{#rZFsq;|FF0zW!CJ+V^_7O)_TFv@IUS-B)m!3B8b3Jcq{-^5gw00t;1m7lh z4R*7|4?p0`k?dw9PI6}>+U|9Ed*KJ~$Bo;r&ho|-(%reX!DFGtFLeXN12?-($X08h z;aZglo9=llJod-M8Kdz#4Gzh5r%9V{2qF|VKBVRDuomM;&a`2Xj@;c>8zqv z_ljvCO=MoCp!EIag7}C)e6$?^_^37@pKjxqDceb$I(=x8u`g)8N z#pm2O5fo)F7k*#r59OReYUzp1<0KQXo#E?+kThu>t&hl^uS>f!?g;aLQ@-fI;Fl~y zTt-`YrV)}@2DgX++lE7ML- zpcRY2`W20N%~vd~Z76Jc_I$w3he7jkLv-mS)FEB19R%s3+}b5G(J@cw@r4&i*EdKS zJ~)k#{vanJe7;qOExL~*s;|W~Xn4N#KmG4viR3 z>t1u;yJ;)y7FAWe{z^QU{!bEiwGh0!v3a{*upy21?+`l>zm=vC4USEZOUoO)lv&I) z%3Lft_5imJ4pQb;8681Lxh`gD<*M^o74q-mrixS}`fjf_k9n5Y3}544PR_NqMTrq$)Owa(k{ZnS4m)Cyj$#n!kvQWQb3lPrHl<@ z_Eib@4o7KA6cJrr_)cl7Q__>0qYb$g5Bb}-H$@x$rC%;5HU-~D@ zXiHQu^v}5OhALd3Q~C zkJM5Sr+3K1+ptDh;E&8AFM{qR8=@%x1zg*MpX$X+dwIg?zqxb411hzp_(gX$R8bR$ zts!8%Ky-$NSQUPPJ2Tw(Qnat09pvTHs35gjYOffA-hr5kA<{+r?AyYJrcSQZ#<51i zSj_29qq-p_=H%4+R_z&zJ0E_`;U}~1pus3=1ZUzFCp-s)Ez5NOy8FQoH!v|@)8|E2 zRHHTKY5jM`6_TN(3}|~c6sVR$vXh{Gqs)s=MsI#)8EweK=<}~Ug;6(2KpvStB}Z@b z`?lYUHiSKOR&<{WDrDgHObUN>8Pa}rp|v8+Y+K3$d5x@LwlyeFY;z+%B$ja5&gAVbuO zyIMm>C+E{Pu4$A}ra=8E=lT;4_6=tVsF7q>(-7>mEIH4XnxCS$<9s&IQ@pPe(BHYg zfT*<<@JJ|=DO*U?k?17v6Vue9kW@0DAT>L}g0tAxOq zKfqBrFt!J;A48)cl`d=AH-67WBBXuyiKU*N0G(!Y7y<~}Mnn^tp)q|LVe(EE9z7$z8Pz@_k2-b}v zt8T**rf83xMl)mit513HqM3;L3PE`q1#ZA7zTsUwy5H~L`Je+l1eg3045L|4NFYvd zJ$#Fa+7gb0?OCHo0xdX47czlg>e|2j#E)C*wE%lPaLT25uDQEp5LsPqJ90o<_!#44 zdixK7FDq&FaS4cNug1L3aAltJkHj)4m+-qGKy?AsoL-|YI_@| zdK#p??(kUeaRJ|vDH;@QxZE2wt@(HfUXuk5?=izEhPYX7JD7%LK;{+um%sK$pz8NS z+7hcINm|pAHj4T0{PddGbZnEnR&8(5tdEcDNHC*kN`j8kYqNo8Jq@b@E@Y+A=cgBGGh^T z4%{#$po~Z8OG^#HC~++2Ic)C?A~wSOERYPPg6&YE4F$Z!2X2~e1f#&{D7s+yNnrnQ zl_@XKoG)^lKmT3O+uv9ME>QXU3H5AAM-gZjA2l?kUMyqwTR_os5MDD)863q|su)`M zOqPx;M!MXB_ZuS9X2@Un@&=hE{>QzvVaEt>>Bs?g`{`nxE>6QX>?1WhD)l@`qJz`- z62Cxc!Pl2oAEk%8g{npyvQTELor;h$i2O5%!hF@X37OnFAVvQJ^9_nH4a6POURSP?@#7r-nRHZHX0skm|kVtxFcf8RFSMS?V%xo_Y4zf zN|Xt33)YTVT)fz11RmZVmw@bpZ+U__WG-fF(t5}V-sr&S%1R{Z22|@5v1@d@mMY%q zRl0QKBuXb~s-7J?DjYgVFmKFeJE5^ug%qeb+Y#MF;?aQWmJyW*d)$7EuxbMq1ng5y zQ|WcobI;iJIubuoudt%Id_OX={Pjtx89ZllRP_FwcLz=Qqb?|CnOA z%c8KP057l^Mj2`_1U?H)V&tX&ym%p+os*_5F|%)#?K6i;MQTf%BXlFjjRbJyDtleA z4B9!3PMPLys(&g#HY}3F1=S+RBefnB7KIx*v3+|$U8hcE@qZVP-h4VE0|yuYKUo6M zqxsXL)62~Q3AY0hk)pnq3&I0Q@u#{$45be4$4q68+Qfpm6zq_|xzh|wevbu`S`=y< zxybjr%nwv6m0_!QG;(bQH%mvZ5cbvPe#O@d{{b4h^&mC0sK)&W8)fu)_(J>po*UUJ*vH~&*kD@EwtJ~bG zEA2&RQj#K~VG0q&UGuTBA5{tyv=?zF7w0KQ1r6^V@y%iD1vS=hajC75iWVnAhSo^4)$mk%zGF5>J0U8Mxp`(B)NloR#c)5dHRe$zZAs@ z4KBe;?mE9k`qfM*3nC|w?&qdFVjOH@$S~~UN;n*NK z8tqy{G>9geBM3ii(M_UBCc2WzQQe4#2u#XcVf23kp=sJ-irf8-ZH5r3q1H?a_r82v zq%(iMg+r&jfAY`J&VAv#WXJ>WD9rkr!SqJN_5c9hq?eZXz&6x{KoGJSSCF&ui+5C- zfElqM17W9BI9aPgx!wQ{jJMWWn8sVOsDEG&y#<&C4M3&umRr%0UxQEu`u=? z3peKdaI6I!d!9sfgixJ~q5$fQ&ieJekrCBMTswBg^+nSQgd8v+1YnTTEJ*=WyUCi@ zXG&pGIEB%pH;|k6xYb7V)@x4Xx~oyE4`jH}Yg6I>Jv8623r6&~BqRHv;6Bo5-c*R* zmt{Gk@G8J{3Yif9JjhhL3GKfLJGH5Up;J6yFmC8s_NeD&hL+*tPsyoAR2?)`KYGj? z#i!v0(ep}pdm<{6Cqr2-G3V(taz5_ik!(s4@Q!yl{nkj?(FsB}NLVboJ`eSk^GTcb z7NDTP8%-5nACN7x07J9MB%a{wKOJbzQzWm;D3<$flsyG6dJ13I!ubPk0y#U`CgWM_ z;Lc3sAjv6A09{Z+)qUk)4>M&hftApMJg6MCuYxe@9UQ@9xBDQY0A3S9mogurN{^6@ zv1%U3tx$sfG$Pr9=zt=u!I?kvQt(grny{>CJ`Rc@pD;+ODRk4fC#z7HXnTgY;UG$Mrxugn&T<%O?8&{iS{A}=7V5AkJ%D?o=rSPR zebX*X9ev0DwU*UTcO#$l`rr_`<&Q?0t534+GuhC_9z^oh3g+o5@6Ov#k3c+L{-(bY zq@$k$!b^)_qn~3JpL1{-6vOb~G#t8fx?&qWzWI(D$avN9tDaJ$-k(C)lE5R}B*wh& zu`r__^yr&3lPMfTnbzB|^1jzyIJ$CW^^1G(9XgyiE!h0tHX!)X@fL>RuflJF5vjs& z+7e8%?azIl2L&mD+)w(MoS?`>7u}{L8*w1S@0fByILn6BjH(YM`o2;Dj>sSEffLGCPAFs`0uzMkej8HZYaZa$-T-)vr}dkiK1baC=UgMO zw{Ua(?g=dbzhhx8Qowiz*{ra^>E#Ut!>g?k1CV7IYDtY9u+L43PI=8jD$}XWOnR#2 zv?O!rV-dkSEt&a*J(9hmlk)*NuJ;RSWOjBqP#>wyq9l8vdpXG6X9uDy%aM!AG%75x z^h3_tkpuw{36I>JVN$dlWTZN|EBEE?qAUIQk;MdiEUxSPp2r}LR5dm2&l0XK1MD?aamBQG z3V6S@=@fFL8dWOHW|MOWwQBn@_FR}hy;?LdN(x(05pm{opTX}%Izpr)n~>s&TGC0u zl@1Q5RrxwetGSwVn(uX)ATch4|bcvOPU1Aj0XJ2=Hml zg=(o1#CZ-#9qF8g4hR_GASnDq6nSDszBu1AgPPz9b_>t4Ye$4b)gB z^*bmyA{(HLsZWedem-#4-6$HDsppB3*;`Mj5~j61qpE2Lx_AsQxuk4yf?mXo7ljji>DZ6kQ@%26cVFZJ528P7*1k0W=UjXt8j60ODn?0rpcv(Al>w%^39#NI4^IG{A3<6WFGwF$zz zI^f0jTtVaR2m-YXpZpdC4e$@%YTC#mS!g<77l6byc*k&m>gC4f=Ej#sA%E%**&!FH zNaPUl*fLAS0du*7`5b7cKE`J>z_OQZmB|X)L1IlD)@RP8*t?gy5^cn zsF%O+QHTGHNe)>W+&Gcs!naG{1i$920K*v~V4f|J%|V9aYj=LC-7rA_AUu;(9Z#}B(vh<=fE_%$%&6!fNpJrpql@|^H6L__My9NYfzS>2xg&W+ z1evG>Z(2$X-3=zkV7+z}F+?v4kO{ci9S_(*H7=s)rK4*^&+L7ZR5umYyWB+KoROrr z8xADVPIU#UNf2ZqjmhTNX;5_pW5XZZl5E511x63hCELQe%~Y7zK;bIdK^-kzn%scQ z){la}0J;X)lc;+gq0TQ{U!6%Rq>5nGW-*bo`{tm?+friyLn>c@M*|Fc*oGMb<{3H< z&O>eksE~!!6`~qwf}gt^fw6OqN}$S}Jd9=;G68}mLq8dLcq6X<*@MPRWk)E2PG(2a z>4X71bf^Z|+bPQ1g$mk0CjU+;>wc+?;H(8#{-}y2Y3I%wbR} zrIAdysgUo!@0aw z#1YfazHdr^qFG5zOlCh7hj*Xh(w(^z=Q>0KPNE*Ike2mff$zFOBB=x_Ms7_2#-f|e z^v>Wnt%ViTW3bsQK6*f=evH8bzea#D463Ow zW=VcjX7mmFB|unkZ4p)FZZk|9)y4#i0J8rBa?kh|>N3ChJRcYkN^FVWF4KV$I|&N! zSyZnD;;=%}^z3#Q)JBRtJVGPON1&v=W|qGd=|EQ+x!xP-U_Cej^s^|{iMcD)UyrIc zIJwU3JipG2*Qw771g#D>gbS`C@Klx;@$&_BZht71QH07-OidcoxZY2mPN?_+)rZs9 zfr2vCXa_QUTHx3PveEzxTH*Vwz>RzSVe=^grW{rA?{bq*BQ>)T^a@s|PK{M5@GSNB z3j;zG#j|86CJg%i1qp>v^+vPmrl1$u$#Pdirr~zNM`+i|U-xm+U>bFTk_a_C`8D5-oL*tO%R&t|@Ywwb zCWNmX!=Qxk-&e;&g!jq{rUkIKYbe#FG zhO>1bI6e^!zguIMUOGedjBf^9#+L@(*>be^P&3F!r1!|uH1aPOsGETG#^IY@+Pw0O zuIv+h5F}lfalFKv2c)f9J`^-b3Q{CV04i%0xj2?9Iw5QckPsr80n6m@w*Y&zf{qMo z(tHsby2%!VG9&V!(F9v|A$?*s-Jm*pj53Yb>of@*>KDD}#e8ah6$h~%36?05u?ym- zykyyj2z)i+waULfYO&xHe#G=ZAOQc(uc#BBS|07z0l=NWvL+fJos>0S8&1O3Pf7PK z$Hc-iRa4cXW9&O)gCNC^gpzEoQ#;7qCh);goHgXP;Rkngt_&so^Wg#u)_E z&L}Ct@D{`|6agR^ahj#3vq%XnfGkLpNLrxr^o6EF0CAu%^G|e0%&tnPl|o`Gggr0{ zxRW85WX$Wl!mHNrD%8V#B{D7SQG^vS`c%kI%(-+}4x~l^gVKkuLq+5>Yk|LLhOI2#dGuxr_hCv%EaxQwTYV=o8ojYPhu40Z0 zm^Z5qqKJQ#=*UfNuVAwf~hIPd646O9P!fnZUV`PyKO1reG~@&$msW8{i4O(<5~hotLy*drNDGkTbEK+q&4VBjuIN|f7tf11MBTW|p1aZbJ4n;A-AL9+g8!d;kxN=uWIPeB6N+<~2_-8UO1% zN6ryMkSkxAy=Vv28Z|vx>kj*=g!nxGUUwb(W3H}uDkoK$h zPVw2>0OHL;$`eu(V4h618Hl_HdND|N7TItXOc+|vV}BD^egp^{4mrx+Ofz~3vfUgt zo7&dTM+G<1o<#8==8$FgLu>-JWw8@%Th5#a1jqzz;#8_q(dy~Q(#nc-ZQYuJTi9y z-nZ6h=0zJoj2cBpv;ntD4R18Y{t_XBjqY8m58fdvRmiKOP$yfe6k15SKo4~jN^3yyyQ#BGUA)$bdenS7S7^bVefc|X} z7~Mf86oIjVL6F2BM#~CTq>ck?A(9)e?OTu$nG(DQ&r7;ize4!A*4mmwMCXa#??#?W ztsU2i4c0Rrz*@EFJLxrwLnzyga2-9)uYT7&X4H7zx2J(?_6E3Z`#y0Qg=`!?3$)|r zF~y$+ZZ_O0E#MlUjEoQMBaxVw*&xK$70X+}cTe*$!g;TBx0NyNQxicX3Z*X&@ zHWfgN1t=CFHw#1}WC$lgpLYqdPGAr0xl_M$6_V$(PYUdX{_AgzbTDkd+p6@}`cnwl zS!4s7@Y)r$g2$1Eg$0;fv8pPx4uWKkCt$&G`T|SX8MEvLaHMJ@}Pq?5Z*jKcUq$ie2(P>>J#a zg!+%UVb9KKz5TZAS-%MSd7RNC&W~_7T=l;`MRE#O(-rqnj1CAi267RhUKJoOIH_Tr zWx%s5sepGSlb)`ogF3AS&FG#M4AqxAdgG_M!P12J#Y17l*Tpi@nbe2xaH#iyQ|n>j z!Ub$ydd*L9H&7uWRiVQ+uaMQX#ue;l(1y9Vng(oZ;2ufqdQ!h>{BMG2C}R5x1R*%+ z;UxTjp$5~t-eyG!cGg|%GObRn8&KBg;Bn{%F;K7wk~h~InL|di?CEZ7*AZ{i^mbel zv-bcfW3D=CQMwlzvMJ1PKW9V1)7ykNLI~h*#(H_J@q>-z#xJKTSWZ(TpU^2w5)w=k*7jMx@ z%T~*?Y4oogx05tanI;=R?9o;tP~NBU9R{FnZ^}d4H=|9bjBunS53ZXEHy4Q9_RZpq zT~l+XxD0^B^CV?D(Xxa=_%Q`K(mV>v@M?qDgbp_#nh^Bq1V5JEZd}~}3VXrFib2ly z!GA4FM1Z-YWKNOz=izvBFlq@Dg{vijI^q25=bKfA{Nzs1wdjqkCr*e{Wo`zq5f;P0 zsqg2Y$yZQHm5Yf0}&RpNf+D%r#Vl3 z&Ke9T2fQn3)5&7|;>}w$KUE%}(MDQ0b)r-i?KH+dEcocv%)ft@9^z#SBEB6|^qo8J zS&a4r=mlZGx!KF?9mU@JTyVVObo=N^f5h|m=$E7p(caUjM+pnIng*~bV&k=irAf*p zfX10hjsG{p2%@HH!+)#<<^|4DRS?|yUw=R71+%x0Gv&z#kQb+sQC$&(Vz!edmYP>I z__C^0_ih#vZKMT2Dgks%n8AK$mq$;}MeB44Ks4j5ZbNWakhdv<8IYiC-eXXcRYD!! zYwj%iHISK34Cg8k2Q;F-Hbeo%w~&ypDAUu3wlQkST@9apzIt#!Vag?#1u%vt7IA|n zo2j<6%PqQ30Q=6&YNVna2$#Q}Ys#cB^|U4@MmbXlfJmmfH$3^ggIwY>0RVFheTTP~ zR{sHA?)S`dDuKe8h(5^Ahe1FDyczOpNp%gq!@kZ4I)U(PE$0zlaiL78{F~_7@nbHi zu(cgS44G^>e3SxSL>{j#(GSVeMn^iRo+lxI1d!q%)O6+_GFX)c0@m}9Z-1cx{#vq_ z3gsDm(#1v5!Ga)`=y`@#9^?hE*lpzG9J?XtO~cFmKS_sKBz@TNKM1M}>pt}6zGFLF z!#W)+h2;38b&Kp>VBt-RpuoK<UIj6YX$w= z7J>RlM0yS*wFaRui7ey^ix2^dq&`8~G)j_!*IdiDbEtK-FHa(@+y9MQs}a&1Lz80x zfP>WM5NV!5Gk}m>dTp}NZ{!JBi5Dxt^x}K33XTD<>Ole3`Kg z#P1ftXarJaj>my>N)h8RH^nh%8u{oee_!)j-~p)I`;CVyH!G(+B2Gir_-Lt|g!vt^ z#jd!MVH$gsyzFwXSSXsdA5Dl!8}w|oczybPj7j)~q0!a!pe}WC;N-6KqP3x6g&67a&N4({VF6+rtG z7pO8Ti`3`5!6R=;gT8{A?q=lV0#&pGsx5^ar`rLWMM`0!BA5a1RUlz9uQr<5*||7I_yd8VsBI6&G}p#P}4Z>##PUdu8XS z(8L2N|Mx^h$$`ZBEr5MDtuTqB!}%r1(og8b3X|kaJow=o^VaD>Auwu{Zvt!51LaNu zxB{XIm@phO#oE8k#c@n`b`_F^tJXv*z!UdOA!2nT$7WvYR-6ELo!vD@HTp?9v9ek~ zozRXzwgX8`q4xPi-9TKpf{rP2&%V2jq;3A6x~CvzUarg_!D;T+J}%$>BSiSauTNPX ze6EW;oEzpnf?zHB^aTQPoyoT6!=}=M=HN8X-=H*;U%Myi7{4~%zL#94i%b?GzJ(b3 z0rGQQ=pN|{mM{913hpAf`;Mi3QO5vCo6pWbDt?jLOGF)}msQAu)Mi1!SAp+)G9%63<(IVQm^{IY@2^x`YQeIaUJYmO`E-KsU<-vf%7n zxGW5&f%6p?f+RU`^OMxj}x$ zC%#ugAI9y3o`CQmA!8R$OLf_$G z(7max^A2y{Rwnn}VEMSW23pA5G^H_O&nnoqhQGRXSl%z|-!!6?!^vA2U*_+T=gYsu zX}-Q86erw{6`GqkYN)7}daibPLl~~DEH%n_T*T{F_>=J;!PVnK$w#YubS>j~dtPQc znm)MHTF~V@XdBd>{Ql#YILjD=A?F`+57TV>;@ZW&oH%^=yMy@M_QG)T(EIC4;H<8z z{8aM)2{jJN@y=%mae{3bk&0uDw%2BNcDv(mjks-7vUB!ku%*P6IaejJ>kBX#OlY^B{;aY#wFR8DxY8%vTl@q!m4 zFX^h2Z=#%3o!hiK?fT`UvAErnUI*8?DO)(Osk6zl=L#*A&=Qx>`EKpALUWdrOHgd~ z?X@bAA`+*yx1udYViuOH#I+PQpIvoYD)x<;PNaFsqWEGbB#T-KyQr3*&4ewvYbo}{ zai`T)+XdQEoL`RS;+8*d&Z0awwb;GeQf@Nj<{OuEWhJ_8vLHm3(fQM%I0n;8Y@ecW z3!W2_-D!0Zapgwla#BkqX3Y7XqpD*v&V?h+=9oITgW+g5jt30@g+OA9je|D zHgw67Y-hJAx95sqva{P#lI$gOR@s%As&lHegY68GlO?;c1SYn_|FdMDE4C!OM821@ z3E6)8tcW+8B3|8!=`)oaTs1DXiD^JlG{;50Kq)k@qxxKaJDy^)qvwx(s>&U^`+Wku{UZPvM+bpV{ zE6`2vsY^Ob*SS!~tfEcEYGqk1?W$|Z*G1f^rFTrX+T>sj$7{Gv=Q~d)GV9(}JFL*| z{Y`f5&>7{UI6V)x2A>1lf`h;|I#_T6<1s|sNEu(Ct4q9z^rtrN6i}_uFy@zOK2rT` z=FckVmuen@I~c!;%~EV`XFQzo62=vYu>_kwCWhfO=!!fJn_1*6O{raw2aS8mIj$T9G0{!dY`QTe%Q?My^Z((;X__nEqsbDTgRp!~l2D(A$+MxRfc&(Xxig9~0o`PL%>|Q~4F}fk(6=v=Q##fs0 zB<#+_?oD)mLpK7v02~fpXlyVE)OEKWcB9cZLH`Ky^~mpm^+6!@8OMAB=GD2}7$?eGT+8Bl>#i zCZJnD?30MS5IhllnP8Vv%Lm9OBiG07y@+o*pAV15_Y-{o0Egef;jK8lfo`$sWg@b< zDW^hR&)g#>*JAP?kKGCA7NJ{?Tmktb@Hpc?jqw>~9ImAf`pF^vS|-nd_YdHG4P&() zWtpGEu@1Qj@;tMaUl@5V@_I8ajh(vnZ9r~>Z9a2fnYr1FzhvALeIDbl8LRlS7&F}V zQFqYyh_Ow<;6{3z2{$uCwhy512LIL3=fRn>SGW0hjqm-)-9vjt&8I)NGk9I`Wo$0%JQI`NEJbV(Rk@e_n0$Z;-n=_WM)+x71&j z`pt7t%Jw|w)&8i3&6_5!n&`#R&&24NB6Y%FG=CcWXj>Pt7%g$9K%WQ}SilPUiS5WcM`s zYeKn{o$FJM-q)J*c^~3#Chp$g7I0s1Qz(z}Z%rO`Z_k6@BSZ0*F?WoS?_vHXYTv>- z>}}Q|om)LekurGJ^nEq*GZ7B1LH9JeZ?IG6sd++8h4_#^D~0kZ<+a$~%TYFxE9H>k zkB-=@K6>HPJ`ykN(ixl0@betU{_yc_gpW@#J|g1Z1)oPa)Si6U^wt&mp-7)MqI)o+ z`-phQMdGFF>5l#F9Hq19vnTfI9^4b3Ycs^XnR)dbus*bZ(m53_;i#Cw_g4IF;uuN% zD>K-r7^-fy?sr7`?SuaIh)&suKTjfb$PoKp=7te?o{8}a^2NsXZepuvo^=`eT8izl z8R8CR?y3xP_YqS)Bdv(UeFC5BGWal+`lz?p8zcQbgsy8u_dM3EJ+U6eFTKw?Mf^>= zZSXjwjwW7t_*!Ys`E3TF!IQ-8#PM6CzqHA61{ET;J%hb^?tYnl&?YjM_REBiG0`c; zXE1Tqb>f!{^?Z!~Uo-f>grB-5523EM#^x{d|5>E}q1dSRi0>mg9wm0?46#)|!|+jk zZ;kk=IraI3KRG(Wi)G?4?U2>3X0y&y-Y|4&%fy?Aeakm9YKL*ml8g7It|K zI~7NLPQZQ<_FWmz#CBH5_9W&Ogz|R7W(GDhLpCag>r)xu`61t`$a9fwJkWX;Tb)7@(Q^}hTRstVm-MgXZk{1kM z+>`OW;7uU^{nx?kXrR6ze;YiP{WS_~27UmZ49)}9*YNpZH82mX4$cH?fYZU6;8d^{ zI2o)1z7L)SjtAA>0*nJ2fbW2fz%iiu>x&a9Y9aY6gY&_v;9T%Da28k>oCux`jt7qi z7lUoUK_Fp*){LJw<9`DH0RR7Z0gRMQXcIvc$6wOV)VB89YEx4yf_f0_#afHF-JK?D zHal@MY0YUwFM>CrD0om1!J^>Ba}mUY6og8_gHXJPXeo#wB2-Ypp1gSRBg6|^n=oC+-0S2|<)R#F6@D9YAu zghwu&IE0xIHVCtxr)^K#Y3=&6vQ8AEVFvHq*os4h-w>U3l@dW?#V(p;>hasVm!TLc zDFUHWGOJnp|FL${BWA8#Ej;9b`q{8UiLZuRc&UT3+^;T2mw2E9Y=Eu2lGQhFc$26( z{aE|j^m3%QPfl4{W?f(V(h=q|a7w3IiJB^~(;UKX4IJsE9>$&dKVKn3I^eP5EYfY9 zeAG`(4)*an4r}AUN@jG*%BAX}(MqqFD|Bsq;ep24iqS~AIYd0;+JV%L@T`K)O6loL zGE8s_o#7a*U%Y=D+Hxeff&0ux>nnx#fCt#HQ5B3JV#%~lbCrpkKd<4lTn1chb6j=W zvy`0@Iqk`$p;2x9hI;+^)=^Bw*x+9{Nr7+E1`IO3`5gsQK@h*+OkS{MA=Nkr7~Fdch7-C$D$rPyT|sT%*X0=lW^Q z)g(FE87!JMWO#e^H(pIc-mT&Hn97(x{Nq_QkIVBp9C2Pa+Od>ne4`sS{NGP6pI7sx zN@~D2^J6A@@nLZddX+t%W7N-=Wo;9?u(qb1x<<^42AWKP&h2Gj5W z?P)NkjqX&2fQ7RCH8PYTTyL{mOQ8q%&g-;W@=_iW;imGCN`*?2B#b_ zD?Mt8W#$Yjsii5J8s&sY21*JD$d>WlexGN(&-1SL_b2?8Yq9s1d%pMQ`h2eIx>>(u z$&zxNrQl~I_;&=no)5ek8G;VqW?^;k&_RoBM=&ARuWYllvfOsa*4)C<-13lx)i#g7 zn^7SFf!qG_4+!06vCZS;Hm|_T+m2rexdqk?)>#2Ivt-Gxf&W~VeE+Yp5oW zucp-_p8X0L;at$;ogPn*Wk3GIGW|+E_xV3N#ZN!?hjqfcHYYueH92zb<6p$T_N4EO z{No7GK_lbVR&eAM!K0WC*@8;V;A6g)jgBdr5d5kN+)QJX4o;Qw8Pcgn2V5sNdQ`DcJkyB7 zhzFRMy<`?fRuY~suRAz76^E&xjv2z^nVJ$x%_(k(#t-G8cE{L+dHKRa6tU$EBnI~t z*{ewbzy}@Oxr0+@5j4Jd+LY@x8f)()_nJ#%<0e#?kQ-$nSS{# zry28dcV=j*J4Q1jwXRt3b?1oYZ6?2ThiSaHVt4tc8NmR-k1rmk@cUzj-2Wz5coiEm zCeh*WpZ?+Xxs;Cd_x*C3$W2ws?}){St<$D&?In9P)U_Gb4C6d#gtrKak}H?0%W{e% zUe>=u_(tO}BmDk4i6$t%l#j;axIgv9+0$R7Bl9Iqd~7~=2ezOo=8yGo#r+!PFUqvC zi8ejW&1@hu`sb(W`PUpY#WVd;;G(D)r?^rC83n6Wpl3U#<&-op!{|L7Kme~ z`sMF@>+`2^qZmZ=thi33Nmb3U9(2^0=QYq6{c_FMdPT9AFpbJ1iDMUfC_PeyyQ++% zslf~`Fs<|7;oO*`$UNq|CjQTwCVmsnjXQP_e8iRJpkT~mgt$TNjvENa_n=HNW!y;a zV0UQgsbS4g-@vFw0I{TzYQ><`Th-1$B^*4|by|9!_tUvF857 zh1P@pQ9=~Yrmt<0(FR|Meta!!Ky+~DLySvEOs|e6YJJ_%+0Nk)PF))!DU|&S$QfFF6j&?VZ#aG0gEswDQ-ke~`2uKWz#T#KaHIY5 z+%Z_Ziu+1Kp5|)O5C1d>#z<|t1KcoU4CQpwsOGDWXj=YCtrCAsmufUW0y2;5Bq6`s zJ&n{!DxLrPtI92~?s0PG=6_S}{NIoNUIUF+N3*w%TAI1A#xrRd;XOsDO(>&m5~p9d z_`9cPTVa+a^&^9@chjldQU_OcjcVYhIqvz5rieFfiHuKxGMu0z^pchA+Ze7V-<3!; z9lBVg`7Y79^}F!{nYZ!Z`}e6)ZZyVkgpU4o6^CDKB{tWb8nbdkrq%%?%FLH)(|$@* zn)4O;;{(C0y+_*U@~f%$(~{rcu32>WKDT+jP$F-o^0}FQtSnYxDz;< z3};r7bZHUHM55gy=#&f_j3MceBo@dM<=V=xy0jJeT9NhNXbiaymsi|hF?PMd^ zlXjEB+(ao&E;6@PQCc*{L;2`NV^dwTQQLHRsuBy>L-E^{uXP1$kvr&_KZfM`%rm8$ zfQEzqD(n8t8l5O;|6V9LP_$sniCiJ~gOUe(-K|wjf2?1UpX}P_HD-53b(V65rJ7mb zxSgZfli$$ihp2pw7l4 zbvUtbMd3zMEitB1W`on2Cv|9+AmW3u`AP9g&+Ygl2WS1|I!BaY{EchSH|R&IH1{-T zzD8T&QV6yYUwf_#IK1djJX8|;aw7s4t8{OTwnXHq^E5CapC|AZoz zQA0Y*)+BZRv2k?MpC0Vv$O@>bgI$dk&U9rNSG@LCmQ`Bp8IX}{oUui(yeRQD*y7&Q z$l!uU*U>xrk(=H}JHC&;5fo2r4sLU$nLXFQUuf2-=AgI$2{}l@DnMuTxhL8kG7*cN z8u6WF-_C>BdjDzuV4!@ve>`Pp{u4`)@-E|Xc8uN;g4YR+mAuVL9?lbduMm<3du1TUp{DZheGlIVABq&B{i1#Ct8~T$asKg`Jk=*j zKp)JRv-Kf~Ko<Q%@O5Y zA7!UR|ESWt5O%FG{9fd7z_4A4eK=T$sJXbhg?bJ{WBKU%Sw-}#f5@w^b2^GN`+gML z%Ol#GP)TohE9tr@BZMuTnM5PA`7Nw&p_DDS0-W`^M7W~0@u;A($*6$PB?(@bFZ{lw z+xzt?(o4ABaHVCZq=%cqO>r(FG4q?+*;$A5NCC!(V0i|c8t8~!S&aBlt)-lL%Ar9< zI))k4rV+y_?A+ZcK5=IB>E;uE-Jc}gsTPptm+@N^tM)%J9+$*&m`Y70xF*a|CsVmC8t$sF;923_{=@j}2&CZ^t zc|K>!p1^&s$6hZ#XAXZmZUW)- z;L@%bk3EGZ2k)qDmVBvKU)yxrntE)U+sd#~>)_HQo+%eMQ7_?Q-|aXGhqd-t;2J8M z3=5H6F>s`WNPfi5Y?hj=oKO0fri(vGL@gDhv%Om4g8&<$zNOLuh=AgMTWEB6!Z7NE z1;lOYT4;Qf{vw6Ok=c9x^1eCAsak4OWE)rcPFEpc)lcYpSh%5h`uwK2&8#fvUs&U? zoEIMA_5Mo9@!nBM&M;6idMCheY_OIaIp2F(6a_qQSKK@jTzyXd=Egk{ebu*xy#28DfoLOj?eJ^ z$gw_hntH;O#wcJRW-@2C=*ed4EsRN>-))PKw%3{KBza#Bi$Z><%~}m`b|T}5eSH$| zq{GSV{_Pxb&^_+-I!MmBkr7GL5%`W?^fUQC$;|N?OCQ1Z_uWG04>ZDV^o!ma3#W&Z z5o%kr^3=?Z)zTO2a!a+K#XAVt3^+g$i^j{!i3|{ypt^vz?POSs_fCY)tpevgh>BY@ zCp}zbKg>vpBvQhaE5+m-#&SuwE8&a8DQ1sgiwe3W==4$RBWxUHshVALrgi5-y)wSaq zee9ncRidUw7?`R#mk4(~%4(MA$fXG*I0yx;ba&J+4)nl!&BNqL2@I0(Rf^_}78%Op zKf~V{x6-HHjmX<`A&;+CL0cw@SoSGpDE7lG1k02%*0_$5OjUSCs*P>YC9kFAu`ySb zri!CsULrB1Yfa43e)qLp@`_$VH<+TlyYrVWYMt7JXs)X{KsQX%JY%0~4&Fi|M;fxz zJ12zXR6)nW=Y_{YvL)hF3&9l_Y5&tn((lF)?pH9A31om*|J`_2Mox7)U7-_)@{^gZ ziMw_$(q4ipMqJImto!SuIz#<^2=pG;@MzYmdE1JY+4t}^{bt(v+IeOOJKPU)0m|kD z3aSc*s;;(2nWbq<4LBhw>i(ViLtF2yK=d7c_$np{GFhi>_9T@uweXy}7yDR5I)Z=J zV!9L28EKS%2awh@`uC zaVBgnVHha&kGH!^%NBiKg|M9b;U8Jcb!J?u?yh$~@i%r5JQ*c8?ZFl)bPO_-g!Ad0}p@uF9%^z2lD&shihAZ|F}@EY|IB6=+WL9at4YqH=eYz}KP4Af4w!O;yI z@x6%p17asOBv1(<#v|Ny7JdwPW1A%wWJMv(Ty)07fiC#7cCHep^y3gJe?^!y&;8EP zv4|d9f!GFqD|t5Bkpsdww)Qc6Bv4MXrz{8~RPPb$zEE#PHUe+*^Djw_k;~aM?va}} zH{p5%Ly$4K$4qxSE@c^=-12d%lB!fa`_{gKO}b9RO%??k4WoA zQpBb%dBDXw1f7(O3RRXCAlKtDCkR`V8#oMY)t^GMykw5#3NQ&Jd$2QufGOca55Kmm zi?squIUMqycFtZeJwxOuTrUt zH1;22VOUlQPdtop|ja^_KcO% z00?TAru4`JWkRDY1cq()xrL= zYW-e2E7j%g4kY zik@7~quDhfP#nbC@qWt)+q;A(>@GU3Q)s|OZARXqj?&g+ua#2vZTy;?_;AQ;lIdb> zDEDD)>?eO1!96SRs(6&ym}i&dZdoBaamrZZUCUgSsr2ssgvw>=fJ z#kbzJhZiHtut+t9O4RN(Y&=0yZh0nfcSO{uN32n;pZmTZ0MXZe12=z8Y5a4xf0LRh5+o2Dfzb9YwWrT1Hr~Vt1P%5V+_qIB zQc=45Xt|4kvDt*MW>+O|dblVH@oxm?muEp;s7$G(Ikci&v1>lm-Lhc>4^_Gov;5w~ zo6NUzojGPJs0Wl6IU;pzJYW?_YoZ<3f2(z)1#A-kJ<69uudT; z0j~gegbk_u248BEqE%p}j{PQ3(6x6hGPVC6s_*f6=2D*aGxdBWt-e`%GgDP9i(jX# z_<&OIZyc_@m7CA!nzb7=lzn4K;!*{O(O#B6+{AE{jq@N}7A&RN&`Gyh*L_$P5tRX&I^_o{g4=d$O;8S}SnNTpAe!~}eK}q6>t^xO<_ybBnm$nq2BFZ*#H()#%AO8|n zErk!)I(14odHvyD6Qy+!g6YZ2#8Z!02NR&sOo|N58+lT?5f5mqN3-l;PE)x@^e|n z6U=z%a1b^>8J)*AZ>|Bc#Q4b`|8iJ4$e>4I!$RVk(nN4w7uHw|cB{$~8wtJ$SC(Tv zI1$FwmFQr2+7r9+Fe^D|mA0_<|KNtj@KZqfo*sdN3~~sNG0PV)&_AUkZ&T9Qpj_Kb z;tqq>C#65Ej=kDdXng#1e!SWakDLGgSmMF?7}%l46s`7 zU}|!5>q17Rq>Wg_`sZO8H*$-7WqW{L(F6FDB>W8g)QvaKn}sT!TZE&zIo^ zwswDXz$~JxV4>IwQI=8(N|GJGx&M`79W>8{iDVrJ6 z?KW$dZjItDqTYmT7Ihn9Wzuof1$fv#n5npx0Qpl=?I_&p42<7pm~=)jWiyPJ_8qwQ zNyt#_pL)x=!*ueW*fl@=tFQSXr?<>Kotk7L9691+(??Ym!j2Ta9n6R3sC<-ycL$EP zyAa{O))Kb8U>V|3L;(c$^yd3A?Gc7n9Ho3CDNlRWqG_x{d>C?!P$P=LJ8s4oFJ6|9 znS&k$Vk5p`@ktl&U259%gl!V+mEm;Smll3V_2jct52x2B816?qeH-;euJ7&+ctXc? z@W+YdWwiZt_axLc>;bG(e*bvp6-jV&ypd+lFILsKLq#P(;>eAG1*qQ&3ixtU_OkQs zQg<bBO^zoIV7?TDge1Yh&p5RV&4^O*AI(p!>CV3-~R3PUU`w^FVE&O;F!sewdDat%Y6$<7G|RsltODHB6_vIuEC3U!z;J@Tzb z61R1VGrd+4mbzo%A*bKIkx>{tia<#78}rY+4Lud`6*txzoM>%gEof#kgwbq%AfOOp ztg!pBGrF2})F2`$(aw(gysb0~^s4gv-0xC?uRJ9rh_su-tY#? zJmAk~T>X%UUH$w>UOvOTk8%m_N1_tVGv)eR&{eWhIs%qp=Ht77EpOCw(;zDDN7{~X z2CDQ(9^40UMX0?gi%wDzBBtlA`6D*1*|1A^QFz=^8C{Ero`Xfao%?V6b_$mCUuAH0lHBu&B8>Wm6=RP@1v~Jw8k_-t}iD)>!U0qKW4j) zKk+z?U?#;Q1lvL^$WL4eXAk>5drrOtAJeW_3PXdJ_qX}6;m^p`JiQh*`STQati28!J7d(?I;Kb8w!Y_s`tWRD=bLLdrgswW+!qS z#Wd|nu`BZM4;R+fnARBhY*Z35L;i$3%9g{S50hd>rmBJ=fs%MS?4uCJmIVT8p>ulx z!jUfc#Dm;rg~5M{F9CT_)pj8sir=H0fBJ->Kc3G>e8QQv?MIrVHPHL`3V5eGd^YVa z>k8Z?8sy%WsxR{(^@7GS8m=5V=bp=Laq0>BglBSZpAy|wRWNi>m*KNAtMMie!WQxh zT5rsVfZNPq@XE$Lp?HKcSC_UN{|uxlwk5C^sshD76mQTNOhjoRTVk-`*saRL91DnD zGiU)vRv|lMKGQ)ATFSlIPL`mKk0-L03W9uSGdwOsEv$g5e1(ZA9kPonn;TxnwSNk@ z0W+1m;^9c+OK~_8mZHf}g>P@mWkFb`bl6=%AUq!pBMSWCRCU}&sy7j-$J#|F-$A2u z+it=cZ{LQU_-}1qA@IH|lBrC(j}LUQH226|2q5Gss&(FopgzELQ7t!tn!jj3)QTC#X1zKy5ibUtZ1k>r`td9~= zjAj{i0t;a8kU!_|j3puAKrA?T2W<9j-#? ztB(`y68P~cb0qoTxPB_-WL^ht4fcTuu>Z1K_z6lb68;Gt(?x8j=g>Q#Otf(d)7c7T=o09z0!S)@$)S7D76_Gm3t@bsq}%>e#igvrinMs*9j$0=80 z;%o5?FIBtZp9ACOP!d<8C-jHHaLN3O9Bra%c)hw%1k}AgeFMkZHaZqI&Ikmege_32 znbLwIs(-Jg3;{(E7K{!+$-d*HU?5!RVueKmTJ2J!9z@0bZRB<3n;XV6D+q@;RS>0G zmlWW*SI1-F=6n{*7?3&mClPhqJpZ8N@JGz=gApkfuS+cx#pG=TvnjRepGoA*{M&--aJow+HXRcIm?O&}25H)y_hF~#FWV|Uo=L)u{+3SHX9Lx^5GH3+; zyv_J5tQSI|eh`EcolmDRge!$1(6M!DH=;R2vybj|m+*+4IxctG`c@93m@TP&w0wD`#s7;aV3RP@vQZ0rff#+4mc`B+ zKWd3J0wST*t=Jnx!4iT4JvsrI&2DIhF@&Szq#(dVm~5roi71ZV1u3;LxdoY!ZI6FW z=#@MYXwi_IDwuLi7r6v+h~ChweFbn9Hdr>AH4=y@S7mYVgn+2l>+b*>=!zh0Tr(OZ zh;a};Z-o5R4(3*u7PPgA;0fst>mQN59^RKoUP9YVm)0;U{*y(|7r$DF0-MVLQxe_k?8xY;6{?%r& zRwnjG$<3r)3xBb+nS#F)P7<`+Ia6SodqBI}O}OJf8V~g5dFVT^e33v$5DuqS)ZNFL zWPjpr?(1k4#JZ5XL!NmbXSsVNsv;?o{(Wil5&)X(&LR{*T zp*ei)5^8i|u+(gG+#>2W@Fe^mW?LZIHI|hrYGjJ_^l9a6{kx5C{689H5e=T zx~QeiMQ4!Rsd*qYPw7zFCAhmuI>q~hdqMeraq9?0F?qOdmXI=ar9aai;nwGV z5;tB(N7|Z*LBH|f3nokMW5uC?dBeMA5PmDm9s4x-KdLkKf_4SX`{>olh_cBHp$xQw z)rR?9iTQ6xV1oQ;s03Y%0MM%lR`lUk7PyZ6dKEO&m*14Ym$@S0`qf@0L-b@*pV(g3 zzPL6}Wr6tJ(4=&1PJY$+AbwZOL5PP1u1{hC$?N3{ke{sDX={~rx-1iQ3b?IX+3tZ7 zM>_crVAclu8TvOY7;tKrMk@zS1pbDtP9dp(4vj8)^dep4<_emYDPuF^xo|kO zL!g>Me;V~~RN}OUqLO7cvkRHrJ|O~BT4|sGu<3c-=nEdM=mCsZ&@AYS&}VnFP`ZTr z=OOXU&ZB6Q3Me}afwhCDzwPXv7gujcqbz*CqH#jjP~)fH$XKLGE4 zSs@QrB*KE>RMHJCWmXC!RrtbExyYt?vql%9EC~!6JC2W9HX<8CiL4dKJ#_8nhAY7D zz)~pQ981%s!Km&;nJL>b5StI8mz~I# zH*`%!$c?c%y0B$}r)=|9#~|QPOtLOvHT5`=wv4r(4xVjz%m%UABYY%GwN$zRM5x-f z5kn*qYdVFp@dH*^U2LANW(gI{aW6+dVsqG`SAgX)Rl4Nm=x1y)-OJL1z`bVKcwYoK zdU=eOfouo{i2*~Q!GF1TT?`HM3K%H{eOb*SDT=aJf|Lu!X@Y@UF-;)7DUy-D)Z6_G zg9^YCPBFe&t5Lsk#5&kFy5#>q8JhEseuDbxAUfWg`33WvHJ^n^ouOfvS7uz#+7@Hd!(G=$lLc5uKCRPu$Cjf*IHMg(kI0Cv}<5lbm!cv z#kqm9w<6rLsU@_nsnZND0`tJ{kGlY}{mj<_<4J$-a=Bl}!@^FD>`JOaEFoJ>v1X z4yY^th2Q2y@ zO|8ht3r+cV_IOcwqMsCjpRn2;fjn_>zk;veIxybrzpSNQ?u(tbDf(!Tj4FPw8<)Bs z_p?I3|F4Wu7w+}Jwf>ji{G!Am$;BfKuSc{a@p*)(bzq%|0Yr?0h_x~mEjaB=;r!Kc zRlCUaVicM9x-5KifBt?)=7sa4QLc~`O_$G%UfR8>E3Voj&rsGkLXzPwrj2wg;95{p zhvd#+O@U@Zk*aLQXrsjJZN&^Ott7ISkEun_sSpok&*S;J>Dl1RZ;VOpDbo_CZ3d+N z4*HJ!crRA(Fako@FGVqJoLkXR^7}fN%KD3BV*UY8d0fXslzX_&gCjZ zn1Dj$DmM(*0&TRofo-wZgoZM>&&j5m!4dI|xL*F|vnHpn)F0-lu<_MWHljYGB-|Vv zh*9P1u=szbel>Tzso+Ee7wKGx!s&d)z!5FC!h78Ve7Rf`SMG|Y9*fe3^!sf$X%)vl2Y~_f~}k<<0AWDc1}z^*^}a^dz#|le_CCNp*JL4rbO_ zdmEr^tYctuze^LTkZ&t!Rh)dn)+b=j=LZUbgKP40+zWJcY(VvpgWIzLV|l%IJh zulsGJD(!X;y4WJmP*RHi@bYGO??e93HvH}<3OJ;H!DASArWZ}GS5n^DRxs<1sO>CD z4l|>saMB(6P4X`bU%~fS7C5-@_UDDC6OCrV`-k$hdoDZN3uKzvIY4|qT5scdqLTl7 zb*lHFVl(XHTLrJy_ny=-OK+9j_X5*`nR>tNt$M>(jQW@P9}%!gXD*}SzMtY8*WJ8= zIg;tg?Rpk)W?K9llxF+l&%&g51om$IM^$}ge4&P}gn>KGqJ|bSqe@t&32MKr9RF5%)!OIwBeogy* zzm8#K_poG~f`_A}Jh}peq3w>o__68TVB?<)2>PjW^K1JzIJ1bD$@1;}=FWsQ{LW$P zqP7I}&9CV-D_z0!b5%RQf5{Ryl33#N$Uex?CtW$TqTbjuZMf3`7cuqJTzv3B%L&b^Lfe(YQ{PXmGt zuaC`gB7-&*lNj~R1h~l-a`h#v1hqp}d$Bq{zNtX4(ku_+-=9c@fmrIjTv&f@2wa~7 zLCtk@1&ykNCZ=)4JY#ZXzzEx)D3E zqkNir5<8zza++rLY61ay^IB2H>Z2^ZRlz(_PrkVP5aK!|Kc^)8`~+=_E2hS>q?R;N>?X6uDQPA<0GD z;WoSvc*xIw*2x<;SN}WZt=-g4H`(AZrWf~eFpaL=9ZdfaETCOqgZ|p<<%)MU&w~>{DsUz*H@Iy~F`FE&XL# zNlL(Pc4a_liPaE!-lej?YOJrv^deW}oEU{v201e>FE|yhb~dlzGCr^IUePqGm6=$+ zDtoEZ3z*-0^6T3xxru%OVzX&P(whrCV#`W{3+M-aZ9%U%UL9>Ok0EtZ z({%m^3Levurssc?iN~I7KRu{eAY>MxA13!`y8T5pp%|wx3xCn#-+T9>SM@JcYW?aP zrzr4wkmOz90Yg`=W@~TRx7Sa#^EBksk`2Iu)ij1s@P4a4tSRb6DexOYaW;4`>Fc4b z@eNwDIuX-0dSz`c09sSPT2|B;wiKjebNbVo%u9KRelk%#>zjU*doK;ruWCPfXj7Mn z7e|2$QWu8}-@|}_HiDq4*Ov~Vt~H=0UZ{?Hiu|zkXTbI`mpbW7Z#y2z4e)=xNqY_@ zD_|}-$MTl(jU?#ir^!nzO`O60;5@{J<5j-ZM=_mEI1L~`B&RKGC<%YV6b9MVal*F~|#s6I> z!<+vp!D}K<6v`B1W1#t^|a~LhjSdb^^h}T6$u~A_DB(F?MlDD{lhvHG8Om+)GxFA_Cx&qwS2+C5!Jnm(7N8#ky>H6L)ML?+H^pVNcH z)tApB+%SY{pn(MoF*7$eA^9CW!x)CV+>G9bc(}N+6-c4^sgKud>NI~?J3!!97fl}LmigkaGWPS} z<82FFUztNIbI~o!Y}?)pAiLg1cH>6EL&GNb_FOArfbf(Z?WEUNmM2o3_??OK#WhT& z!B@;^>&Bi=9mpuJ7uxf4s^&KLuLPBKl}>89Z}yn~_qsGpYC1FP zD@5M@I+Rrt5SJOKPJckdH!Q34>*X&bSvOtUP_MRq@7d*$=9w$IlwCT(=XEU03x&x6 zA4lL6A1=Qat46wFmFnQ`Eph;Q}8Ox_mj>jUz!6E9<-^e zOS2oo+6iXbw|w1ZBIU;d2Sp;qaNso_M~3XzhmE322Fjk3{79<734v01><9+JYcrIZ z6wEGdBdk_+9;L7{h~}H*>B)Yysj<-Wz3x|hDR<6oS}hNH&1$a)1kRNBbxmU)7x(Zm zGd4b@;>j{Map6*KJ)^z!+atOCen(hK{e1W4-^<4-kw#^07{Fbd#5WK24dC0~DPV^F zw$*&N`PvHHj;!`iHgiYE5oG$Ua;H0>ex&t;g{?nOP_PUQiBELE@nW8XEtL28qpegX zO3dsJ)N{-P4Yp~*q37K7^K#=Qo^9M-^G(Wz=8c_Es|c&Xvx)hI;WsACXHL8NjiAO# z#S=cC$&sZ!b$`~(5ygFBJk7@vQ!8{`J$MYGL(ZCQu8hB} zPjswQja4EBLQ*P>B>EST?MfCzJ{W?|dPU2$J8n*m*`O&qRQdqPd4m_CAy01osg5@V zXv=rxPPkXDP4HvfuBLrWXflut`AHQ^Q!d)uzVXSud?Ec^Sfa+@C(E$Ks6}%{@_JK) z_vuj&N-V~>{7&+N$gKj0w02XG?(gHWGiS`phr)A#Kg#Nv`Y_+fb2V2BnKmwr7x?3> z?bp4$Bo6q&Plu)lGCTA3^8nx5@-$}(lUz?_5}P(l9-u^@iDB!(-$>N(Ulq7GpgJhC z(UXJcG-u#FW)}-e53d%?9Ho`YII`?sN-Yb047L>=H}>}8gn9WGGkyi>U&8Vo$h6;> zRc^kHHLlpxx2Iw!{=!D-MEI?COs`M!ClL?36GarE_ZLc!*2IiHAy50?eba)6GX~0C zRw3+`ar5%Xos-xHC8u4F<4Snhr0J;26FumlJEar-F#`9z$ZjW1;t|>e-uKd**UV6Y zuVK`m%5?Bz(y?P&I%F?%*cuY6>(X@H)AXy&{r>v>68DLLs6WBu(h8|4?HEO5j*}e| zFgvLC$HcumcosQ@1+KBAXVf{bGE;8N@NuA zc8xyMRIEWz3X4kt_-ilF3ME_~+8TAdm;c>-QD6w37G%=q1?MZf68tE`3tllx+ka_3 zCT2ab-e+x2skOg?&9}{$Jd;$h` zFjr9~VMc7905?S=#N-Smc((&(pY|ig;lB62#uLwDL*Q3k$tDw`&=-EEP+KGqd@c6O zdn8h|BOZ{SN#c#xJ)C%T)f|FAw(fL#qB*UHsCRk7IDRN-65g2NhZTGv=wvBjzY{RR z%+D{A^b=|CdLBJhwVg99Dx{v1e0IZVU)aGluPP8(trHmi8(C4um1lwP$)aVK4_cf# zZGKXzf@61^oYAZ}Q70BI&Cr-_AUpFwnh@3<_z?RvEb~35avj(0b!dYvmo}WJ_WxS# ztJA8tR_=WD;sG4@IjR?Vm()I#e$HfrB+kj*vkrJWcItWQyYlE2u)(Uo_i3+yMYNSX zSn5m(_(){dCi&Y=WCw^mOkhz zq#?myXNUpWYIW!f835CjGyBXK$<6a?!S(DYu=vi6O~QffG_XNU6IE~w=&>uRy_gghd~CU*@_8bp1XdCy^-A&tDcixQh1er;#1J@7=-96mix~ z3hwRAUOVE{*u>yYlO~rNn=v^BDmd_3r@!j1;U%*bFsGWqs=MWvK!19na=3&K@!rlW zyQDO`m1JRt&ZOFH$FGeuI8erm*B|<+-%BuBG*$lJ7fn@lG|Xb~e#v3fKZiY;9b4=* zPgayZaN#)RIdGrFSMZbYZILq5{$8H?%Gn8l%+w9s!(Xh;zO(9MZ{l^&n0pW2S8bVS z)DH69v}tWblymXSV|C3j!Ep7B!r)#xs~T&{QgpJbYjWurYyNI%jHYSG~##4 zgb!m#@H;KT;d#$O84q$?_UM9;wXtR95AS>HEBR8mTgP;aB<|AW2r{{GrTiNuzoXc8GzG}Wmv$xOvviG2%&{?y0p%2%Fez#!5%lQZ9)PFGx5fsY$ zcl+e}to$h-?Xq&5Mfi^z4kl%%W@a$Rl_xfD`l3za0TLS}4p z%`FL`i(4eQt`fp*F3GKoq={^EYh!7{Ftf|={rUd>dLNI6dAyzTI9|4Rjdp*w^pZWsK@ zM<)hXl)_WppsKSaK;y56_u==DG0A%M*gFYTSq+=a25Pt>aNl$%4IpFD_b}h!CxzaL z1Smx#XvRH(@DBnMVBWF;mjt;&09goY%3lOLrLnaeThQ8eZ#eX21hZl#wn ziE$@SR-wH(I326OPCrj-3htwgmqKLT#56AD#^MKB|Bb26yqekk5q*jH4&SM>(!^O* z$zKncBfD*Vh)nG*IeYVE#l0e8Mb;JU^N;o8l-)g8Q_BGbX`?pP=IZG4D?VOLFh3IEIH7eok|2(VD|9jS1MbDyV>AgZteD&6dsu?UR z((OP`#alRt>upt2al(>qP~0}OC^)xOnrD0;vjoc|j-1t8FQxpBe4zF5*4BHKPec#^ zHIU?YuBo2Px?czP7E9eTOomSO)V}i{rtJ1i-59=kcNiq;=^xx=2gtVVj#aI+It3bl z&4X@-E=KJVAZ5LJFZqqf3u1&N5^E@fCX~My%e(b5l`>m3ViEM z*oj=Ff3kw_=2_N(ea@(V%CQf-BF+uj-i5OkBu2M9GKS%_Qqrm^=1#Qx@Ybp+&{Dmu z0}dm>*zkIUmmc~qiy-}`^~O7kM2zgSFJmI(S~eK%M`N}w0){f1)FIK%(R>8d#O;i0 z#V}r7ZnE7D1miCzO<2FK>bIf0lueEB?{Tk{wZkq<08`2{MR}#$g7(fum z&cUjw5T=jj3Z(mR;h^!-gD99FWLYF31c?A~(*f<&Bpt zem2}~4er2YH6*y}MWC&jMfA%@^NjXbRUO)d?O{)LM*RNvDt9zNaCu)%kMBv~tpF$V z8YWC&UZaBI`r}+@^&iZ)>}hHk7R>lPze=Fj5+k#mCm74%ZV}XBps$GQ;KXS=ji;6 zY#Z#-`JzwhT+ap9m@)r4w?ji~g7lSG?M!WgQu|75SQ%twfB%*Li0R>Muk5(*C zXQ0figj;9|csV)&J@$a~Iarw|*}&Py-Kq-5^psn&Qh|JpabQD_A zMoM#(1a3+qCU})1-{i;wrKUXIsJxmb*8W%v=+0~|C9NMORwFFw8*%!?nC=IXNR<1< z_g342E|SSV={*~bZkB?mt6qDVcESjjP~;8oipZoE$23`AU=)y;NQOj37~F0vB{j(P zP+$9-QWqmSEG1+gIi9KnuI{FqVbGQp~thu)=qOVp~cm7q0IQZQjla& zqnEh|$}g2BtgvS;!?S^d?OAmmZcYv0Y$>c%`S|^R7Yj zarPJkwVL;3>Tf?m%{Pmh7f)sKCDf{Wzhjli3j(Ys{ zq|C~C*@>tGV&=O)KQv_hARKjRHOHyOcTqsw*2tPl=BsyW2~ZLm}@j4hkfM7#p&ROuDiLJslj1v>8mxO{6n$5WhG*s!0kX{A84@QrCGc?k^Bnq17wl-n}bN<*EpQl@7{vEVA#(qo3S8l zkAkqm$<~Dn9o_vqSWF7pw4${}c(^o%{@#!WznU>Kk1T4Y*iw!y6JD;Xm6a57K%coU z=`THmjx2)2Pci<^ccO`eV)#HH^K;4Ff#V+jLpxVi5W_NSrz}c+rtZF{Le$S3>RAu` z>p{ZovhzLc@ZibnK{?x_OOq36&{>=756XI5U&D^k)jB@P!pd_Y2?C2}U+mhh#WYuV zW~QhpJ?)((CAX$QnKcWoRJCd3{Aq{xhVnD#WnPa&2HZ&P4KEEo4xHtCC+v8zh}DPt z^=}PnM*j+sosP^*#JSPLEVA19b1QF4=vHr-3hm4SF&iwgvBCG5eR+rtrG(lDd$9uR zE~V^!!@qxk3r`T-13XP`jwL)`>-zCX+ht3J(au(=(6+p9P5tuL^*3Qj43Hi6Itt_5 z(?ZNE_qHv}4*f-%ue%<`sMHeNVH;SRFEoR`BwfDU^Po3)SRaxiHkOD2o>P^r14*B?E@B_u&s^l>=`wYb~NS&XdwVD ziV7O$f6o<~00Hw5d$+@NuVIE+1MOlY{!wgkZ)6*lBHRAAGW!Q90b}}Xg%XD_k><(< z3=jrVOJNz2UEnb0pEO{2K(yINkpK5)S#Ezs^(;5WTt$=3#fjJSa8FL0pzm!Px_8(v zQ8Of@O;EjQ0r_5IIR8=(w`e~8CE6Oc^RR3;J?iB!cF{_f2w>JoX*a%44H&!X8AGn$J^T96nK&=f7|)g6WL?oP*zP4mZ4K<+0)QG(08BR=`th>6z1 z+S!}Yiva&>>yA*b+ujD@Y;8uz&bXgiwKSBG5V(}~;yt8Hj&X#$J>6&>ts?xJ&u9^+ zhp}139xjte4*)WI{c~ocuS7^AA%;rvYqG%OM(4FZ(S8rzBCq_tS#)Y+>q+Gve%9^U z;sg5PhwFW0ypm*T5ZXZeVg%^E%YTNw^+YETx+HF-zy2~o^Oxnu;7DiiqqPk0SMIFK z1(7|v=-b1L@F(dZX5(u%SmwJ>LaelfH9acjz3(%(^3X&mG3+C3aT$~`Z+RpwZOA93 zO1@{+D0glchfotb;BiX@MGEbh2cda6gA{AB$p+Gf;{NI6GKtpV_L@1AMtF>|lKLdh zU-%JS$b3a=h?5Rb_N2vHv5{|h2mgL8B{5J|p4MUy&JP>l4Mr&2EG+1bub9c`pm9+f zEX`z*5xKA7zGdIwar?&(n>ZAd1=-uaXp&f@#sepYSmJiH?WaQ$H}_dt(DS0Yz&-cR z|F>sD=h)~%s5(1w!E5%F&R=#ZDfsTCqxe2dffx$THg{8NkgpWuKc`2+UlC{DhEu@d zbgvDi_9KUAjlT|Qg!=%oKjS+Td({0b`x_m5fGbXiEatiF{f3pkhlI@dK4#SBv$Gj3 z6$7VcGOrMrENh+zE=zK9Qf8#}b9l@if_1pD7~lIW&pfNH`-jm8Nk=wl?ET&>g$2vr zvATemlu}ZYrZ_`>=C|sXgNoS1HEC|hF_6zxBKk7hy8fRNyEfms*`9c?VEwGH6z){1 z>Z8}uD%Ni8PQ10ODrhsHQ{qFeL?&n`vg-m=eeA9-{$5>GD7tlJ>Jd=s;IHQ6sEf?B z%d83A!w2cQhAHO)8qZUgz5UGp?4lw+QZTOfd7jsL`Vb(j+OxJRj#S{;5r;iSTug-oi|(f2#W0-YCfYWtd=02#>i-b#W4PkhG&F3 zYJ*s$Q>Qfe7vBW{-YT-7RG7z=v7yL5P>sx(*|;#wSlwB0q4I;numL*D^?%-mmI4ru ziRY+*Tq?MpH@LM{xj3hWcXiHnVpX$n4hSJ)*gNWTr!VDK9%Qhma^` zU$C>uZh^)K=n>RnbE&jMqD=~=!3GirD(6VqkY$hxyCDP{#Js^)6Gdt8@)$b9y&OoO z|Hi{aR_c`!{^3ybA$4@E zS`EZjh3kC?`!-T9&n9)UpR;MXh{q`lMhkxBMRKw1=y#!2G15PeaOjapclOfNBl)wW z$jw`9qOO3eo-^}HlRrnrQv5Gi8vTkp*a@Q;jF>EJog9Hp8vN@icz=j?=N5|)zg`_` zi&qmB28Fy;cfTQwm1j3^%T~IRRJV`qSgOaHIe%2aOiEIOhaH9_WZLrBl^#@IQOn_aKu2ixjSEGvVvHrFPqYZ-pze~Y~JR2 zRVgeba^8klX-~XWb>ulcPu!cQ&MpZmv-~aXXGvF+*RAQ2P z{lvR!knlYy3-+y-nw9@5)sL#pd~SUjT$Duse2HNs=+l+q(66^F4~fIX+apwEGj5ja zlS|0L?}%r~50a_nv}sjYg*4&df2$SP(O+cloTq>*$WPxAb|mn;3HMpT1YV!elLwI! zWFCQJDqx7+uz#4Xp!1sW8ZlupyRsF$EY#(|TrIu!`gC9#OG|dIE}I;u`}8ETT!I4D z?BQ)qtb1i7glFNmM8P%l>y0eq%rBz+y`Sw4^~~oiwUfU1p^VG+8|*DIy$R(N3q=Z} zv=B=%^QU`S?w6Kb@_UGQx-;aY3`J@gArtX9bT3$}1Fhzis3CQg@kR5QAEN z1qp(SgL-Ju4q6|eg*D{AJRV%8t z2UvaoL#K5#Npg3N5E1|>F5CtqiwqlO0zxrwe+ez}S_&1r(fA&mSDA=WNTuDWv}evP z_1n91DlR>c=?VkVi$jqj07_C zUZ(i`)vLoUmCNsf04-ieRo4C0(w^7{aUqr`6Qm`qi5+v0y=O-6^SHYkd6sQ^ z8u^|Un4_i>noL-F7$3V*kJ$Nn8vCXs<4qwubA2;IiJh#4JRZ)AFj_(%Qs+OUO{$0K(a=* zz~4qFVr?hs7GXTB?Y0C*%%E4uIC*av!-fqPCoeJGz zTz-?7n1wNQE^+kSRt(B&Q1qgvdq&P|h+vKk(n^LpVapAn&Rm-`0EJ!`+Pfb*z(?5# zk9yEb)B?7|PC@lo@lIG};L(G5#5fL|sIr0HTU2!?@kr!15H`Sa9%ysa%u)Fav$V_@j77u@sk? z>PYZkmL3Hd2k|)L)15xW*&pv~W_<~>ds-g*192W5bDZN2NGcTfrF}e~VE^7V(z)&g zC^K>K6I$-47X4*M#1*D!j6Qt}n5)Goo(s~d3PLOQRqlajOXL;q&R;VX?iIs|z;`)pb zvgvR~h^ke?g?pga6=mJ`Y*TYT7BCH7B%f+;f81~uDE=TKpXrOc{s--U6p&%w%jmV= zXCjSKP4D-Vn}hLAQS++}i-j&2OY1;STgw^J^P72c#NV-xkCzY?O054hT#!bwCu`Gb zHJ=>`->N^v0zZ=L*tv6Gk<);naU@bmj(;sB$h_HLuImX70A%-B z@jY4R8A?f{)$C8^%-iu=_T+8k#WH5MLp_s2znrE_Vr=R9l8sbSbS{&gAyT;z+d{Pu z^-q`F_z7BWDCIQ6j4TfbV5U(IzqWc<&uI!dq*- z@6G;}i?H55R20@$mc?y>rZvp)Z=q|~S}vt};<6SnlK0XY{y`$CZir6^+FmpB1pz>Z zD@yIJr8@D__uTBOB6xcKg?7rlP0o_nS+u<-m? z@Ok_59>;@wQlD9UUH+V8rgv_ihkZ|>&Ko`k!*nGqJg?ihHQgfYX5?j zZ6`R6r=CAyIKtybt?HUu(66IDvH!VhB;;qUp#syQ`#AP2H~%e^giIZ1jcv;E)F?>qv_!ADrGS|LU(R$ zDeWOOG7AG`9S3@lxj*|(vHW#5CwvOG2~0#RHTp^TK);ob@Mha2io_-)*A#-&)8Q@k z!FLM65C#s2B_I0pqZPe6xZ)BCa|5tCWCuRt(W7SsRlRG*i)FVOo$!K(Pd|fqGpC*K z#e}><(sa*#w%{elWqwW=C&+>9;=Rb`sdTuYl@YS`D+F=(u}pA>pG6l;q`3Cs{PdLa zbW~zmjA}`Ivs)7F<9EWpG*+w3kKI=J=G*M#rV@=yUUzSTvAr@T1Ey@uR% zJAc%Xk<09FlUMO`Tv@X&{uu|%n>5T3idfcd2PHO#CHe4(PQ~Ai<)K@V$b%PnOFEWM zzP!6ZINTMA{pW+`%6z;q46`0?xyf{T9Q&rE_`Rx9za%1$qTfZ3y~M;r#U9*DB3TSl zvYRj6u}1EEdE<16&+{z7-u}xW3a9oU4Mm{5#7>}5u~XqrW@Q{xxgVphIiqIYX>MVkf8bZy>*ID|(YL!K zVl!1-oHADE$tc3jxjlkbrFe zJIP`fPT0t!Z@nAvQ?I=+ylRR#P}K2-x6BS-IO!*YT_gN?O$_G@opKGo;ADgU8DwsK zLeG(L+}>EmcBmy=pwU@_l1*;*c}>7Ol&)Jzk~ihiHmvH4l$VPN)4d&DThMRJ1PS2| z+ARu{^&pFdgoi5ax+0m?osOB%5*jF)?}+_c01g(zSaMvnH_Nky#vF}lK5)K=l7n|( z%y6#&ukZza?N~^q1eTFZh*QQ~N+OXvnkDg3|2<FD-&eY7o+pkQWthD$ehrR@8pO1_b8-R1JQeiD--laJdK9n`=-Z;@?#u>Szgg` zO|7>RcNK(u;F_OZXwqM|IgBjMlv{Ssy`ZaMurz2kqqg>FCDjR!?=hrL&>QaPVvblY zU_jj8YSWlkI5sRzh_vUJNMsALW=pnoaR1_+gt<=$7n2B};s^`H%_r%_({KgKlU0I1 zQ@2dj)KOrU9c!P@E_gJiv$-6(p(78CNl&9c^ai{jMFyW!d$-oS``*_A;!{1cLN-tT z?u!+}%ACDAo4z6gB^G5uPkFTeGn_m#=k-V}zveN)kjv>k~fU z7Gm%WXXi-Da0qX2@ikF3P=e&gX(ZoqSL~YSi|TtB>S)x{5#w;D?HK6?3!GH@UmcXk zJ3pV)bhpCVBOlV#+TvlB-;FFO97PUE8@EoGZ`PYWsyZE6;)3?uPG$h^$mN0q$xg}< zvE+ERx#6DX>prKo9?ap{>kT&Cftrf>-M)1$2g-;mZ7>Z;$96I!BGSzbB+;nLN5*`M;4cd zt`5pmDRHKNmGSOdMoV>W9qS687|*h-kdenvtgT$9gZ;>k4==oP*_uneRVc1fa%N=> z-^!~x>8OjjF*NVK49Q~kcH}~WlT7`RK-=7xSx0eT9o`m8QFfO4ZO`=D@3e@Q%9^js zXbx$DlK8C6wgX(Ej9}6UJuFl}7@b*36ohweS$DZ-p8t-q#4YIX;D^E$TOTATlPp9~ zVqF}W*ssh)Ykntj8`@SEwzZnL4ZR&3_TS1e$J77^)8_3%^k#2J<3hA!gApr47~pXP zEja>x!BJ{nMD53&ZfCq^4^oZai3lbOt5J|W;2gp>%SL$2^1e$u1jm3+oTILF(mEiL zn)Nq%syr`wC^5Mjm50t?wSq0YzmxKm=dL^ya4$OV`?>?8mqho|N1iPU)5XBNcL^NW z&*l0_WCA|;<4I144vrhM5MWfzIo?(9Z%mha4m2JA6pzu>AY`!?VFyr8ZFV6Sqa_x? z3&gfkDf0XZB6m00$YEu}9VkAF>$6YNfhu%@GS)F45#63O?E3L8Q5H* z1n+5I$#ybL9*W7b;bO$WKf|5MFcz%i1otwbJC&9`M>tZF9d%S7Je5OuJ@Et=#=@jX zU}RP*w_y}GvH-f!+Rrx?g+wJ$M1@Ym%hM>iY49r)MpP(@yF|31R&Ey>tpuSlEJ7SS zk+-S638$8rP%+ztz|{=cCESfRX8}f5qC+^!Dd`|6Ow&AY=ig{>K0*EMQYo3dXV_4Q z>N~ONyrFDf5$k!WtQ-V>W(C|`vX~XR2O{OjK~v`!gF6YD(^)BL^e^)rVAW}hZaeO*rFFDzR+YEUD$qV`}xcl zpw=@h?xJ9!Fq1M01Ro(-Na%(qOMo{o1YZ=yoB-emPbg|qt2k{|_b4UH5h1NSkofe% zuqVT4zWr%NFiIz(_RE3rpZ4t&bR8&`P!&ap}w;gy_PU zTYqi;?CfjP&%th>3=hIx7b%uq43#hb{T4ZhPg?&iGdg6oHJcu>GRNE0I3;_*@@VJ3 z?Q*edb1r)OD_+BI{vGOH?DN1sCg=!%OAw53d3`TWmnlj=Slg-jxu{%(zj zJfqmiYp$u5h}A^gN+RJuAD+uQ!2y5FAe*8et*-yKFDRF5*I6K~*>_F?4>m^qcRb7O z(H!%UV1*dMlF4GVXM=@bcQTVCFlH-0B0_5%8t^>m0mxQ2fPS*NN_ssuR9JoW^s0>x zagQi>fCWKb9hZ>8HoN}2H4M!I0HUVr|sZsw4Olad^@OI>R`cl!TEM> zjI8gf{_GD4EdNN|Ci^z9F@jwP$0yC=d4&qo-b~3f(E4N645+xzN5kA_@vKF$gaC2v zkSKn=TxKUmR^HR}w#g~Li@mQ!f={JR(cIPpD}H2%8tHXgHDLDh|9 zmCwQh@=%gzL-vMxrVtAttSVwBqbR9H8y9%(0n2&P5#jaxEn*b)px<2Kmvg6VE3qEO zf1R_P~o9<;8qSfBts-owpa$mcF??x(lW-UC~D7TZ70=^3YZTtb0AQeWM1a zWdaqN@uw^?cf-)BK9pVJ)*qX202`r zH37ubf`RF^qNgm=?SW=F8`tvIeYHlyV_#R6`q~*pK4N<{Wh~*URED7l=auW9I0$qKqZ=$Az^l@^+yi~%O$YLuB}H)IylZW>I;B>zIjzQ{@ug6 zk;Zy?;i~=|VK})vnohfmnR+D_SyH9JD$HMM7^Ds|!LW zLR(IpOa%7xjG1ncLE67(oass@qU_rZXI4f>sPclD>`F{LNSaBL0FQ9}lAXh}EpNGb zZIKJ8kilP*J6mp1eq~SX8=F+{x7~7+AFByQH@p4i8h3@dZJ9=cx?Y+CD^I96;)524 zX22`O4Tf{SCV);-mL$-shF^9-L+^fziVC+9NM$N=9Lwh_siYu6Sy+-#b(1^!-bWi_ zn^dB*SrW%g=7s3+9I3P&7!?i(;EwR$yJLis=xPbb4i(b?W)u}b3k1ztRB**c%(%j;5eNOgk(f@9HqA$52)OXP$J9K0Dq9g_ ziC7NKV%<`<3TobmL@P~?88Q&!^=-4{c1(!kZ=D?}JP+q?3;kh{3!7&d z4MVwVAvv#ft)7y%VNRtF<>xjWi`J7HEzt??baKzL&_4e6=t_e6$ZV&bDqvLiQJ;oT zI$fSi_M5^L5s14Rk=$1xq&Tk6oNxcG#}Veeg)TRiYzw)h+r?nM55Zj3pScy01d%FU z7e6zCcX324l=I`=SkOnT?~YqLgQlw;fV6f!LT_anuau;-f1ot{-H)c@_Uhm!Tu7eI?)HdMg)wNu z#ZFAx?+cjtdZjV7W>WY0_L)##(#cx&>E80@FWq>~^m_@2>4Qb++Ew1W8%ZTu_v|*R zg*yfD5|GEhQdKAejTC`kQe&q=uV|_`$GeYwr=T$p6Q2>bt@)C4T1l_hCk~LH|23B+Bn3rEVK@-N`L@ zaV&O27w4*t!xJku>&08IqZ6AtANbk419On)ByCohm=?Wqe+2B)$r zhje2tcrry~i>8~nJaB)DzLC3I~<1sS4k!)U8CtL)5nYB~La?&%iIniekpgkvV zI~8+!0sl>Gw>x(MP;uVhqKRN})L?#5&Z~jk(-)|iubpmGu)~+MY@X@8iR)Cd4W(Oq zQ)-$Be2I?QdXf6{fuMaH()*1k5@40~e!qP&?wPD=;eE8TxC3H&Lku=Rg%lYq#CXtb zWQP{8Oq(T1f#S77m3~qcxpX`WRU~ey_u2#%$nOPkCsB{B|>D$GV%g3M9Pz9Jsf~c{y?WD z)px+RB(O|v4Og-z@Oqr+C|)#B9684($YC@^(HJ(tFbA@SFP=bABmd0i8IJ&MH{n$2 zES74bcsxOsoG%XzVr9>Ti8CKcU|aa7R_c?RqmxL#>&M5%07@?WP1iwNu$;BfU&>|6 zR53d+F1kXIzXyJ(89r=2t1FcFTcNKO6(8l71QD|=Q@s(_d>)|q&{t8A>u`_q< zmT%IEtV+MkDhD<_~ zdlKn|FL8ss8xE)!#%uTH){>%NNx`RXS>{+)Gy;l^k7YLQf|yX$ieyuQFJz|euIL~r zqpmTd`w+)+FwTKYdDhR=IZ)1cj3q7w_lgy%_M zIgkR}zyA)`Y&UBC5AV=_FNY~3no+Yvh1Ya3Al6pgt|X%q=AMY( z*N;)+)l;Ly2oZqbLi86ATwsA6IL*{0F@YJv>WZTDn4*XbM_|R& z!b$;%i3@x+jQd|QkO&*ph|hFZ4BliTF+ zVD3%J=$+(PfNXsUdGRHg+O9n9s12L#=hIh75(K4{ib-EHes}Y=UTr&lI^ld7M(KCUKoKBEPU0RuCB@Vy337AW9F5NWV~?TuCbPay(K_oOC1&U*9= zqJJ3i>F5gP5i92v+zOgI>PVUUVHz6qhdqL11g_i>g9HKG{Leq<;_uA>`+ONA4*;Na z7C;Pmcj9r9fa6(-=~Qzj6Ip-KSwSq}&|GAI9QRZg19feIwIea`3iD2%94sgw z(8dF=(Juwv`3f=V#HQe$LH2i2T=~a^Peov7Dp%I5etGyjf>iE8Qx0?ds;O%zZx;ck znmP;5>gD3RLbwil&6@?g*h#!7iseVU2)=r=xOdu;;Xa~}1507k zYSq+8$Pkda2+V+l?!^g;)00W))E<(cuBU{s3w?WUEU&qK=2V*Sr}tMT)Inh9J-6{T zfk4SJ&e-FX}m(RXJc+UO`RguSdH4n z*rnLyDYM6mW~J_1hVesQ!e!}Cbg{C%G}>M94hs#k^>D+hiSMK98n2()YNXtMu!pzv zRi%w=Lh&uCj6vREc-6xbfopS>VcGlL{kkW_l*kk4u^{z^Cs;x0Yis#|BUf%1<23uX zw5Qh|7O0!x29`q#)g`QCCS{RDX3tzvbSH*GhQ+Fi@xe8al`V{Bf;nPiI zeKww-bd5Ok;4CChXk}KMGkff3Pteszd8u*m8ifmmpaQ8={nt}UyPl{ZdQoWq0ed886t+_MOx1=&%%(Lb%(Ps3gdf@yk&n;Zc=7j0toIwUaSw8rNs4k%7cz2mzoP0LJBM0l-op>^U!L8)Vt`eBV|Lxk zgt~IFukmKb!_b{lI?#?}9xs|o3+b2-(X3pJd%sc}D~mm`Dd0HvuP@4M4tfUQ&}A`s z+=7)^a)G3}5fJD9rd1O>Xfy2qSmLY<^p| z`}E~WZR`NU$W^A47tI;-wOGi-%%(1Xx(WUFx!5ItAc?QJM7t@x9{;v@nPq<8TC7@; z{V4LE$IxNEFyMko{;NoTr*ORwUcrSQDT;%S2Bnl2Wv>U`rg|GF1RXs`Ztm)}ta(&H zV0&Dy@SUIc{CCCYOaHzStihLE$}j_BF5t({jvrHESklX8TiBq5*|+EZ7Rc@Fl2i!P z(kuv!J`lhwp!Lv}?-|G9;rjW38s3I<&127j?zJG>BBXkfg)k5O z606r@d=R0(@ZyL%?fr6#HBcShNbRjxfSk{?>moWu_hXJ^n;xJ|O^1Ru zRMDXad2TGPJI;tQp?wzVl(i@-60JxpgY7xwUmAK48G>QOqO)jobcN}u&JcIcwc4UV zm}v3b?HqcuOAgk3TaBjG;<#jW`?(^9QAqG;$dsSxeyArKw%u_LE& zF2YfDSU3;feBS+rVB4#D}1~LJf+x`=`Xt%;a@jau!R8 zIF0mZveaA7uwHSbqclIx}x7%j4N}ysh@?Z;EL4a9Tk;p`JuAG^QyKj_m{pK9aUcW zFhAh*>gk`Oe9&J5MwwBb>-Ak;z*vD@9xaQ-m-MS$3~KJ9%yY#qqB_eyz&`jIWU&sR z25M?C!Kd<3ws^@8e+z2Q74>)Hms|(W*J_2i+SamFFI-mkx4G76xOK!S1Wyz==~uUl z6Fz(`b@>SnDO|X7@5P2maDIWV1H=IQ@zQ1IQ-i)wkxzD&aphE^qXf><)h;XFx&JDD ze?|g;ZTNOzMbiDozcub}*grJx5_%F0uM^%D{>uqQO~v~EDUWUOcX$b^3&Fkp{rcyf zOwmq!t=;d3o)aZ_O#=M773t%WVF-g&a%B59Piw@!Kci7Ocfi zd~Y|nrxbB^b*|ut3sOdFsW}9CbMk&msY?s~?!}+yjOmieKSmP@eS8nAEB25|5H5CUuEy5Kh13$z|i!@xJ^RN4NT{eIC zx`y(^=ciHO^{_()p3gtx<30`~_mYV!=j6 zBI-Ip1bjW_v`Uqw6?l%J{kr&|GYXJqs-ta*XWtZi{JJfj|I>z;R;Pu~_v`-L6!z9? zOtm6+#PxU`5AudTP^U2QHoy4S&m*Bq5&gcCmKdl{c~8?9{OzP4A57}7FJK9%Tvbxz zKwg-v@wK&cv&hP`H;-R7QUn`Q6!MF6%3w{Iw;Cgmd%qLTn$?K?s<*PMNvXL}5z*^V zSX=PnMMYiJ{VMUu{Piyex9o{B`#np}w%tZupG>?lpKVfD(ZrB!s=blFbht8j=Lh3! zLE1+*z#9o)2tBvWt7l7Mj@7|R8q4mdy zsg>B&+Wgh4bhBToA54CHcn~-!D(iN;g*tV+eV9NPZGh(w}n9y zZ;w>`z7PO+HKvC!{*09dzvt(5eBLOGI8$JKnQ%>KFg;=TaN__!`#h_+X#iAp*#(Ku zFGklSy&e4W>+?F{D(s?j(^Tjh;mC)4hurGt-Z7~Kx0k+UZTcd8i)G7QoAACD4*s0D zI`X+G(f?o8k8|?cTMaL+ql&(5>4Y{f4K~@;N%Y^!*VsJRl=&B*leg(Op;=b{H#FK7 z9{BWVj-m5)_oRjodR>=W3WtgV^3S$^JXu%^dqHz=?>-OR5czh{A^oq@(HY$+?(6b?mg%KM=G zG#B=1rbU`=Z-&`tyxpvHaWl=kx(3Vh%jjz{p4$HYMY-Kc-^$HrgfAmS z)w%vbTdV(CaGCC(za2+1(;{ow{B`6I#foC zQu90e_Bh&P5xw}_9oKoBU~)%VQ>W!+|CxP+@&J8xu0BY5<@5f=naUM49Df1M)y`iD zf3Af5RDMxJ3QrT2g45jw;31V_wN>G8EmEHRAX_su?e4|fU=7>9)X z+{V9%Cl-B-J#ArF5?VywGHi1$W9jv3<(?eId*V>C6+B1BmZZ!p^z`tx|XwYZG%uG2K9~lyL6;qMbGV4y6&7 zWxm+8?{9XOr9FC{5PQb0L))$4kB*LZ5B^$OxWU?-QiArW8=+#=VG}lWgj}=Av%a%6 zX*oJR5AjZ{^4q%FGe%DW=lK`yqB+iL(mt$-hoSl5QBP|^=X+D@PvXTqS?AW59{0*> z3Vl-ov8?gaZ?;Y7)YayPSY8UPwVbdK34@{^*)&6$8K<%>)IZqRj;JYiQLN<9_VtMw zT2B_MwW>##tql58uNFn1w%Rr1y?%YRde?%jf9Sh?q=QOd&t=rqMxc}SSD?2qYS@=V zSX!oD;RKrNcpgL*A1#bfwukoBUGaaNackp|XIGU}OU-}c^NOc7vHylVncGx+4i{VC zQfZd2U$x&{SEagh;`P+YJiP9-@yw#UC!Ue7#0hzK3ZEBS@y@nuX8upLP5;fn^`$j0 z)S{j)Gpr;!hYaqY?%#&{$6h}YD)F;MIj;}*WcjtpBFnhwb<&l%arKEE#!!d4wIl0_ z%ro8=BC$AkuwE~(?~#jKpeC+p;?bqfnV@XTy+7pjt=WCqmQp!?XLc(FHU?POpwo}^ zo1+r#{Abn5^NJ}#t;~(cej7@qSo@w&QkM+uouZ4_jtiF;rHu{Bj=$@?|77^IeP`g7 zs#)oyN%nOt%|L_iESs3CxVE&#oMVE9i#VRLe<#}0%xco=Z)Q;Te1PlXL4Vql9p*u^ z_7mogcD{peGK#0GG@K-+T&|{~=|#C|kuHbq1x0~xY*srJ2F?y6)N?H->6tnmedqis z$?K}Wok&{WxMc0^&$mLmY@69HNIq?~E1=yib+P!EJ?r($0!4#UHMM!dsB`NLT$SuU zeC32ne3A}CE4y@-nmiHnNiD6O;Ab$cy^Mr?xJA#h;?y{|*ESX-s;;k7``_*$RcjeO zPc1d8AdI)x?^xVn?O%}@dD&d9|DWB&wM};92kHBr1rLNrGe4JBhB*J_ zW}aBK3I3WDlx@=*JLYp0OL(fBQ|$7+y7`o*?7&u+aX`yTJDepZbSy^?@*eg|tDlV$ zz1`%LYku~7MyHcYBQez1#JK^J6MFch1m0=2Y7XDCV*il1`z5=a>5KcNH^yt_x%Q_w zT|IzfIc)LLRUvp8be{`6t#*>{el5E^{BOFs@<+@4n=dJUFYB*YaFRM$lElkQ4>xl- zx^~8<^u*NkwL=(x`x2tvj6A#B0k3e7u@+;A&in4AT6}w;>|)xbe6J4YJO!5?=Q>*E zWC$z8DnFVy^1QaZ|4OfiYVmYWz3bCw%Jz}6Ki|E0x8MA0QU?h2fr`KQuguI7`vM3V z&g$x!X}Uq;by#4e!%a?h+e=+fMjiT5t3WAJbiL7`R%iX>nu_(t+)Quh0DdO>TXOAV zo6eg*UheuiV0q-Wuer{If&)Hcc_O^CxTj`YK&iO44ZdX8Q+!S|OzKe2cAkHdeJrb1 zOQpVXws+)=)cOqTc6@4f?WV<_k24}=0xTtR_!-pWnH@P!@~Syru0=-~m3bZ7G?zQmd9?6tM5* zWzIVrot8DwonF4CK6^>fkh!ZbH>NPa2@zYdT<%*w7@$b&bT(@8O)K4Xxje695Ur+L zdw}sl&FOU)Ugov1LHuj={L`aPn_lBPybq*vJ(LLEN{k$vd`e?H(t!W|sq${l-*1aK z*N`M9yAN~gtLJo*;1@jn-h)PI`|Vj>8NHFcooE}5f@eqid`znN{R{>9Ras76UmQ~1 zjLuHZ?XW|0_J0jEU>Az=GM89bLr#fU;Ps9SiR^Tgma;bVX1}vv*3$`{)+e*Ii}%p+ z;yStyLJrqm5TA9{EJZmb{8ZN)sH_j@n)plB%*6-%z@M|rKMOtW#{(l8Y5QxdZn9hb zqSi{uKEm2cKF2s+-2Nb8PR`tomS|HE-?@E18R6T}WtG#X6KGuG)EMrfMpgFVyU%X^ z+DAM_aGS4Y?7UROk@)&`k3*?FXC?;Q-xRL#^)=pXug`iTLq<{Jrl>m!+tWu*Z5}db|D8PTjAQpE3te8NEKjGg}{@izi+7A9%Sd1J{}Hnw2Qs z8`0hK;SqEfS7}>|cop0l7~v?dj<(ImxaGH|E6G`XIv9w+|J~#Nb^AUU`9t+Pv>Z)q zPapnTV-!+V`LQ>6BV`L(9)R`MTNhu8e~ckF+E?^OQ&@AIAGr2mfJq2#ZA z>4)F)C%WiS#vcCdVlD<*Z)yYa#wZ_u#VWQ2(eY#i4?e^_b6=nCLyp(1?Ta*?gY&!dC z)h3rUxhh)4floFm%D?iv%T~8X8O%5-?|A%aOx@pQqjSZbr+W|TZ9F~$xns;OzEo2r zI+<6qvL@EFk`$Zc-CayWWIr0F1Uck8;l-A{^d|jMzh|l`TBePdm6fGzR$EUI+Br%%@!z13Fhp?k!;R@LB?(u)toHa4_C$GTL^C!YgL&d(AzhA7_Tm9F{~{zv+h zWnXy8je)D%E6dhz>TcZ7ZgmJ{6}xKMD7CCSC?8oT0r?9eH|yd zbJuD{8Gi_4fuS!smz{Nta}3+OvwX|31)gz-d)W>b%?pP&>BjrY2^_XqrXkja(evey_*sWGeY@DwlMQy?gr9-IRY0wAj`13Ktbic5K?D zPM9I@dvHjpIPm&UJ>QYCI~ZHB$G>>*WfkI9=>(;&Jt<*UaXy8oR-O@Jia2rL-{J6$ zYBRBNJn9p(vK^@s)Po-#?jNRlo4RUZgwpn^2OOit14lh-J7V#(!9TSmDcF zt&=r<%1&=_P<+6}o_}2I;%I58_GpcMiR?K8L{zpCUhSdFP*KVDO%D%awQ0St2b*86 zaX40KTV}jQr`*@T*0nc&Q#f^XsZ(<}{ZT>ZmZh9zcDkt0aa`L0Z?!M#pvwzAC!rE^ z|AA9N>(HBl>6T_6-@2t{ytGQMyLab;%0@+*ZQ5t24)=SmzjSStINxY(gxJnF(^ai5 zbzi4Fr3t#K*VcP&dwH*B33sj{BcwEOhvJ>J6|~!yNzHgaycK)VZjRwlciT$-{J-E; z@n93B)tyvXhx3-Mz7FwUYG1l^SQKn()>Dt?32 zrh;-^O6+L~s>JYz_hN;rk4C)OHhx9oh}eX#Vm!em&{1AS?2!LR8o_K8bZ?VwIai22 zbm-6@S&UU?nd{+Wr&ITqgzw!x5%x^BT$X-n@dI_yuEdhHZN{Rr)tDrdh5=Ti@xzxbqn`uSOd}p!L3Aa6RhsLY#hX7TV3eci67i>(aF=zTiE_)^esKMbCCC8RO=?__F(Jb9cD( zSFs!t!rk&qYjTY!20MNtTo0>g-6&*FRrsV_OqjVrYN4nK@T1?)w zY)8h(C;w0HpLH7H4k?J@V=qLts|mv}i&82J1|brLZkivC-j-2Fw{nufbDeG<-0^em zmbcY+^wOWMk#N#d^?O~mL2A)O_lm;{Yw_1spRS5=zP@?+wfyq=!#BbUE*6Qko_ZYL z z)rmL-PrdPd4xOv~EX~>z>n=`K$PhM`uT_uzLI;ZORlohWBQz|Wa14aO1ayc zGElm2pXrjK{7V=M#5!RQu96`NA zsL0>X(l!fKG`@nk&;P4X`e@IcqesrRd(R+2!w#=o*SfU+Q8sg6&4mqbH_f0O@m3N? z)kr((x$dXk7lb(6R#CbKSiv)1t#(lqOURDOuw3=<&faE8DRWp!sq|9G!RdMf(yC7D zVXzcNDSzYo#k-Ui?&3W!XSG)3#;?H|`pml(suov+8gT|4xMWu&HTD@>%W z{kQID_#W7XHW7!AaR_kfoW5c(P|klkIs0DRwS_;Uf5KzV?YhGNXzk%9}bgzZJ6RT;-mU zCHZMKVN`eZjSUuS#sakTwmr#6d%ss@^&w3gp}ME5c9QN`J85rqYDQX#`>l(q$vRzm z*Z-)jYW#yXi1bZ1uk+nehgohKS3N*|w9j$qkRio=i~m&jkL$dd4jbkY$^4JzS8c+T z*h+52j#mC`JI7%LA3GfTE7h;Huu|j3XmJyAaBKIEvf!BqL|cW$oZCxGqrCgOOFgDW zsp7cO}bg=7yQ<`wX8vTz5e6d}cT?TNtPh z@4~#tH>vqse8g=O#r>PI{MYpbmK1KyOSwzhmVuQZgfy)a*EY)>xnCStbLJ*-RrsgZ z7~|Ym;@fxuqBHkVyA1ZBs@a>TvK=u~uZ2hhqK?7VxOTe)HT688N4V zr@d*>CwI4e*id}p0&?o$R|@)9`Y8Gn!Rtdp^#z}*&F$DJy<<$&tUO#Cc`c_V->r*sX@nnHC!lO3*4fUXpghzX_EX z`O?=vxB5y_0lxfuuJ+Z}rvi(7q6oA5C#4c7Dqfw2o?)fV{H}Aj9-GvJcxG7IDA}@WbF*k-;pE*~nTj9s5uaz0mBQ{1Jed$=c&?u*h zWDbwy=Jix`OVaO~VM~>HP^PP|HJ5-;fQSFI;PgypKM;2x&A%wDE78MBe8vuKLs6wr zvg|ezV@UT{Vlia&QzXk4BHg-Dcg^@Mx|x!cd&mX4PqM1LKA`C@XtIho?7tA#R*?&Q z1wLm573RwV)nG>gG>OL!Tfm;XjWuRlarsPC{!Z+pkj{d95qKNY-boxc2dgn#M+l&8 zo8;E@Fib!P^^lQ;!m$kO!$ny(D`k=n-eID&5KREJjAzM<=YXjUx3b$ zKV>xTh2EJW6GZj(_QrsMNr2+X^ZNOX-1ox&+cnp}h~I5PN? zGMyFe+<*n8t(sng+T|DiuIB|v^5JcKl6f<~&i}po_>_4f4zw#JT7W_bBe#i+WRM=U zcseOL#Lr+5_8g=Yj0o%Yih6ZlR!VEmCP^0i!76Pu{1uCYci}LHqAY#{o->Qxvd=_g z@F#yhDLCi>_De4>7{M~y4Qb5I2Ty02%TZoxyS!@Uo$C^uC_eK$QWOmab8&{V|pFsS0z=;dz+y`xyrgz@?jcGAd0x&=aiE7H5qo7Qx;VI~mA2t*`A z&xa{V{F9sozbOWuRUhT~yuud#AZY}wMAPaEuCV?ReJeDW#IA~w6zZ*mJ^O-4J&7c~ zM%CVb^&m`?$4K2J@R`~Al6qoKGapR?OC09Xz52XS;jd`uHqqeR9KjPj@Wk2Wg+Am{ z{z|t;cv$)I@7pN$G3SfTn|UI2=J0(^LpG;s8XFTELnqyrkGy(l-g3SrSY^D{T$w9s z-ZF1;N2Gg4iwYQ|)Lhb)ec=lU>(-YmE=Sne=nj*n=&^J2P`3N!T zGWPOzP5?L+w-@d8l#eRFthfoS^Bfn^#%G-tUU3XYA6B5lh#6$>PY^5JuzEG|${+qq zR&A|WGjHH=1oFkyKFL1@k_nVtLB>=o75Pk#+=3L00&_F#4vTzp*xi2R!5W4QTn1DX zW6Q#>f;53wzF{$rvk15u5aU8x76+YE{59*3!G?rr5ppw(v_GeLD$g@KlnbwKxe_Df zZWn>f1J6v$72duaqMCmTyooK=oNsYxF8`RGAo9QfaxNLCc{SUr!B;T<^;Wyf33zcg zndH&ei#-C~T2V3M*vyTa9Y($1mN{8~Mi!wpXMGUF@4Of-X0sggI&gT0l&9Ku5W!)^ zzo%Xe>-h;uX-ryyFXZf4xt5Q83_^7hCwNTSdye$GOaHoKFziJ}%`#eSKIsR5flB&} z?Hwf{{(%(0yuPopi}4bB!xXM9k)w@1tS?IQnVhqRmt~iA_R|XTV+ru@MB#Q8`PK}G zpanv-piA4f_H_WJ4u9Q6{N&Gck_HePX`)P&gGA5c1yBoKZEkb*h2WQC@2Ls z`HTg|hWFFUbap=A2IRk+{<{F*+DYPEMn`O=>i{1sl#7s5#E5Srf%8cFp~X#YaSB(& z!I;1`1W9T;9P#{*q}W5!_-534Au2czQ8gm3Y%LBe21GF*H_i`c?zIyhbfe3{2c z7*W3fkN;XZLi>q9jKdB8NB|_b1)@TEWb!KoO~VF3u-+t9;ytnHe#j4S<7jCll!FtO zij$RCx}rO~>`vJ4B{+G3^fiI;Jp+{)HL;ztTK2{7p#bs0fX#zb(Sz*;BYgUfO8c#^ z&~`;=4gTr6M;l`)SoLZW_q5DxYn+KESB5IC6NWwyM)?dGuW1hNGKZ@{#?uiW5B27A z*YWE?lhBW8Mi=4RthWH*T;&lMys$5$zC;domm;ZeBiTym+Rj6!ZWGDP6r=X=jAkAUmBu`H)pe9$_x4-40hvn$DG z!XR(;;d|)bacpzIg&xm%g4c}n(c&T)-t@hou2YSGZ`Wf$I%N0@lYBK%Qc}8D_WE^! z7k_y&noF2%7V)34Q|h$0bJUxdqp`b6#K%83&y9QZ(cx%32Hw~t)qTRhCo7p24Iy=) z=$-Vbk;El1lEu{bw2K&z)a6eFzQP!h@y=!30qpU=-XOdfF)jUJiHxqJM35=5TQ=HCd)H|`dr zc`lfJ9@_$Q?N4s*++H7sLBB9jQsLx$c4U>`P}X zbESnwaw6ziG(dIQNK-bng(mJrY_>IqK66oT9xg+%VRM&FhJU3q3O)M-#4qpcV1}g- zc^~TX1O5jY!#AE_gU?{>|9XSFwKB?Bji@xF?h5(g3b7l3S zDfwbvHTYm+)!>?g+yW zJ|{)jwMFua} zDdzP>!$ElZ<0P+T<)3g6{!4AK(*cEv3?xOBF^`*mLAXAE`tV2}I5i?Sn|xmSGM4Ck z5u}?YD1AGNrWy~^;;{+Q0%d;qFuoj)yu7FSiUc*g+AA8pFps-EeoC&A?iLUm`M5a1ocDL0B$C)|h zOu>0kA#2CzTgSQzd}EtuSd4Uetk!AKjjsS)kyEY2;$QGWo2cI0+mP4{$&f{w@o(gw zks1$Uz59Y_*Hz)e0>qmhdobkOFBvEW82JDOcIKib3A=VM|cuuow2e zyV5)!)GX-b$DAo-lK1m~(S-HkLJ5k_A>E(0ECPBb>3h)&fJ2OeU&hiLtj|MA$%l-I zR#HALCX*i5x>Fh4n!JGzJF#F#7B=Bsgm8Ly9_kOtlZgY_qApx`dpx_IIM>c!#FcAn z`=J9t)tMQ3O5jx}LDV&Z!NcEK7zh@OdWdsB>|Kw124a{U#} zq0Fgy0=7j&8Eq*c?hWple})ahziHsQsFUgh#d^rt6ts^At?3R9JQbol1YntlgGE_b zy|6Jjv^bXcrKErM*)F(NS%lo54Eh-CJ%*7VgO}B6y_)Yb>S&|Cu*UrBcLC*Wqq5&? z?QLUQ2Unsrycj~vz6dgz2OS@;H*cmhwtiy}{*YSJ{CfTrUj?L#+K7x$V(N%Na;CtG z#u;Z4Y2+G=_apXm09-N2p)pd3sqF~a;omt}%15~Fr&YQAhokK?Mn^$le9=wX>@2#~ z>D~7nRb)XzOpo{WNNUV3Px+69%2Dd&YKV0u_1K%5(Bu&!q46j;L}PXUyPMCwfDSjA zzvf65)yKbC{|wQ780pnl1A7YJ$+2`1zj3!^je#q`-nBH(xzU9#!wyhHkWM$SXK;}{$dw~*iP~RO3FxhW zUeO-%hhD+0b$*e(!;)43Qc3zV%fY4@+ zAo+bx8oQ@{C65o11tz)mCf;$&Gn>XD#aVB!SaZop0h=LPu5Nggm=q9OQzC~9a=5W& zoW3TJ04^ZOjkkGcpi&oQD|91N$PE<6DNDrAo zy24^~I7s?IGosOXMigBx7`_mSz%@h01ndlv!A>N5A2#vs+l|9sK6kB)cj7K{03;*U zqJ^EWNQW8Ywq19~GRBC(mX$&NevsNR%3?5Rz@ZTcat{9mE?t?g%Z&T?^wLCZc^bDs#htV zOa2~Cp^fmko9XcIWAf&zF9qxc(v6S&W;ZW!h+8buDWR=kX|Oooy(0W!7&`2OvuIVZ z(6l%fTO1oi)*j&()p3iP>Am9dFTCc-^KWwF@wVNI2!r`X-SNS~MDm-%-@W>FBbui+ zUsba&qGH&U_K4QIo8MwjJfbTmyyNg|<>n)0limj#aj;uvmkIbO$zW`>5k6X_+^th z?&ca(n(ecEkpL|-n@Q!8sGqRhKP%*Gx9#$h2V%ZM|Dq!q%QqP)Zz0RIq?k90W{hEY z3q(?<(~CV(-hE3c^~A{B_Gavf{N?^Z_sxRqY!jHCL>Lj>vQE(+fxJ)FObR(A>9l;_ z;1dq4Hy;erKk7URd#Vz7V~YO;jOE@_q;si@!Hy#Z>^2(A7ga<;b#ek{sDX(1%5MHI zGt&tajHq~oPN3~1MO=`GIkI%e+*`o^*ogcykBnm08By8oO=KG5#ZXa&0?OwFohp|{ zm&{VqZKsvKh-C;8ijUsa`U(l^J=#6{cGFn(U9^j_s8r@~!HDS7Pz%E}_6LqvcO|GE z)l5&&e#=mtGm;U2B9WdzA&M#^(MPhSY0gy`0vq!}j}&=Ie%8S++53+A_2Y$~R{3)=Q3K{lPzix>U&nF2}ZxsOR&IDz6$0huZ864=_jg>6= zU3w0OXkj;ir&GOg(bW3q7vK}WV3UYveN5W!4DZbXMmtEP98{-}sXa-ueg=cV<-jlK z2@jtkX{I25%wyLIqy<$Q^jFICIn={t!4YBoQBVN}z=U#?J6>e565$t7Q6uaGJq)Fh znD@J#1|Ib0h-hnoaQG>cS}+1l^?YB_WfMs}DM476G#0T93mANH-Bb#Nh%x#Sh>PIe zS~K1_Qd3t#C9xylRxqj`G3uhn1^Nr#d5e~QBu+E@G0PY!Lck3^4(=j zC0hhc3DJlmugA-beS?v=2B`%V!=xq-isFgVp#Mf*o^cF=FN-UidJC4DqiLw18Hm}@ z4EGr!uUn7|l9p#oCzSz%fdl8+q)2#4vyxH4uzJX_janK?E{7S#(uCF%fsVl#LA>ZX zG%P?2P`A^b(~8znC4M`*mPA5T8~Nlo5u*T5X8~&TgTFCRGD?rpu)=Tm2%MLyNK2Kh z!zBUOW;aB90OCDu@TYQZel_te7&y~8H92J+(Qqem}L zf!CVCk30vSq60-Z4>FVn$zaF@y7Dl=48Gn&D@YgcXrDac#IQB53!RD0>9lt~r@oL` z@NvN9qCr{^64$2lWPTUdmB?Y=_@U(Z?VDM^^Vlhue$RJtnc_?6*aX9cFahkwW9YN! zaTwVOE(CG_z7B@~CHq)zE}gseJLJjWk176Tm>oI=>RNb+piTEdknBi#@lZd(v)H4y zuH7OyRzE9hREGnzBj+?{yX^s1C0;^TE78-%J#ZcXOECv-xL?4;>@Wf(i`N$?3Q>f{ zkIhz1|HVL46{x0V_{3O@&Y0lL*ib=*0<8kVq({OQG)d?wgZlk**jwP$0xyb0fCB~# z2o#+rVE&FeKxWu~G67tJkHn*HwD4~39=~r+pGR5zAVm~lCGc3!+L2{I7pHJ&sArHI z8AZ<~$3NG8O!kZiHfGNFp!R+gvSjGooS8UTb|r$2#{BuPe)lfQ?ZPVNr|-wF&od); zhzOZpe16dFiq4M4hfIb^8>vnn29iZ^Rw0B`B2MlH`h^b`d1|?`JeZYN4tzLLn_?1&CX7)-gX6_i(yR~dn%TL$FM<~_VYsg=zOBS%cb{uQ@HS!*5q2vzQfqxZ zk;$LR?Sqv-UZ20>NDyWD()M)li}dKvFC?bRYEty-EZ#=Ln1XuJ(FQ^=e>;*w>)(hC z4gds(?gPhudB3=Jd?`GUEDNCkr4giP*Jy|Cqh}9$0GVhvYA9^jK$q4IXM)0Ogg+HX zL4pG(TKTOY2mFp>r?4XreWpGCM_~|dVyAbs(eBG*fp`J(GgXh@INz&-#E^wodMFFX z5%wu-BzBsO+d4(M@-To9PZi-y*DMEerADW{|S8_M0{+_xL*C`t0Sa66I3{)wyMr#;jIEQrRCs3H15tM9Zg_|7z z1)J7%0zQ$f{}xbbfoO(%PVU=B5jhg*R`wWe%48jjw7bEg24nmvYNQ}v2*Csa{xvPzB5iGpcv;KuZo7&q@K1KYzblz7$ zX@rY{-}TSZ#;0+0BFwV@93K{y+mk<%fh`bw?}WxwpEZyKa74*odIfv6)Q^1wdI*XD zZ&5bc+lG9zqIN=4A;OwN*Hyq-{1hUsSp{O~uFwyWju`2NJ>^!k|>fLg-1F3Q}fbrE($t5Xexn6KaUS z{33NH;%+9qBjo%_&6ER|b9a3aBd%a+*zZQF=v35YGcK3>Khe_QX z`YW~Br(eju0=9w|K>XlGko^U$-dsKC;9wsXIYBLG0+3RFh7rl6#q!AD(&tAoKT6o!jimWwHv=2=rqHsk12e3OeKdDqrMN5_zjFC2l8jMc?-4&7bANiYwC+oL)h( zj_sf2tAjn@M)lP<^z+%n+rL&#t1JfLDHu$txX618-24ROsAa5JB`)AHc2u1s2R@;e z1RM4~MP*Oj0UgA8jRgdbaa+~;5=aZbNIN(wpI2lZaxygk7FJ$qJBdhJ+Q1<^Ss5JM z`o;>9^-huRs{lA7kropMMQ&Xx0i8-Iq~(!CXAplq07~xbBz5ox8eZ5M3-l})k~@); zmxU{!IK~UU-bu4qu_gH4FUY-D173x5O}{Rp#w9Tr;02q!zU37V3h)EpNJhIw zdn@Ef3i6S~2QgS>%!&|`)iTd!(KP?v2ffA>ij0|6ZDwFloNtYP%0RA6)Xiac!q=^r zgH%>XdlidDaug{5IIO{HaDq=Q2Z5%9XJ;7Srq&h*8UaTll6|IzSM3thduFKe|XD+3Q7 z9}M>Eq*;O3;sntqeLJ}TS&RjBn8rtoLrwskprtAXYd05BG$vZ{D(bkXbwek?dgc6> z@%l(;a-Q}r5%uT@m>t1@*(M=n4R7kkoVTPvW7e2dC&dggVAG9q06RjuzXXQEW-iKJ zpMks?jK~;f)ONBDu>~qU)PVE{B{q3NMA;Zio4QG4tjzDo(8h0z!hFG0gB^XPgcT)k@La@@6jhz)O5Wc-Y-xU z+*`7(SOP#YUwHVLNU)Jjwp__r$U7MB?s2M1A_|}^p=&1+yk_|5WBpp-P4_bxcEY5C zBG5sk_JmO=T8Fp^ad=R(C+duck zNHN1yn0{d1*S@`JX+KrYIt+aQeA2f1UGY^2ty=)$x!%VF55~||$l#by`THJI1kQ?gd;1{Kl=(g;}ohZPuB{@Yt zH!jE0J9w9YCFZP2#?Kc84kO@+QHk{NwNXenssV6E&h;5)g&Y*NYKgXL5(yTBAfs4~ z%nKs#B+Nxn?>+x0u%_vV?Cgzz+(in9uezoas+qddQ~F%%#$`C)C>cniY{WEXEE4C>M_*F7HQpBCp>?$ByH{4GjPQqcV@)oJu5}3w?nZqB69TBuygEZVDb53=vIK^N zyx!DHEZPdp)X5>m9T@YqMr2GT$KuYk4pnZft?=r>>=aW~j_&vQI z`$H=-1RIF1ybtV4i*|q`e-XH6HTVGxBzHSpCnDBTvAzN5<1*vD!HLp<;*lF$sALxD zI14x!b9w9dU=<4}lmRP+_D~Wt@Gx^In^&hYpxcWxCN3xvF?e+G%Di(cwX4P5XpFA> z-z8@6))i(kz1bxB?QwvllZ9QeJh`;=kv}Is*RJK(1n{iy+ zKcF-+gY=vwSZ_um1U|K&Z# zJI6%0b156-pt=ncK|ZwFaQNRyiqR91QR;Ftaw!qWonyg%V++!qWJ?e@RJkoQi8^8m z!v}TkY-7_$s7@=<4JX@!#r#Xc~FX8Hij!64^Zkh!ArT*2$noz5|I1K0YD$XF2modGAUVQ<%sufD_A3 zHgiZ)0^CXX#tH!zMU%8CcX@S0YgwZEV=>$ z><)5y7ziC~469zG<<5)o$%i5xMLYR$&x$(1K@L>D8dY1czd?p9!Nvp=3B{tHtvr=eTLGpRpcw6HO@1U#nMQ=Q4D|*TncA* zJO6_3;3v?6NGFlUAgltG=j1~{M;0{9ma_plB8yzB09A_oAJx4tLY#+xuguzuhE%Y7 z_}o(~%?c6@JcTMo=Ym&sAICA+dklMKY~?ly+hBq;yjgmsd_d+;dEb~=&Ps|Rwt_=k z9nA1IHr8Ht4LC-Ha^(J@HjK>+nvFWM0)>;R%~CU9@uyHA+!T!rHbG#NU1?xFJxz!KB6i zSG-6TkYu>8kheMN--)dv`Ts_oZ~->_g_T?p#IT1sh-66l{0c2%oPsedcsz9l^D+mJ z9{F@!fJfX47@nJ?Nxv5v=3!QfrzjP8^%D1YVj-{#EZ^wf4oi-%j0Nlp;TH9PN}mtC zCtcVoEGG3{1*glug=6M$dj%_Qk{@m42>()Bv9AcZ3ub@Ba>tP1Qtrj!aG9lc&Nl?O zC-#om+ezr{-hXo>1<2wDOu?_z8*u5sooi$^+2R}wR?v_nna*Uc3>_k}!0wg-d>ilA5ei}5*0H7 zHB`(!@@lw4|88N{jrH}zc>@4;HK zup0qu1hXO?+J&$v9Tdz2VM+#^2FkUS`hOzj6$Gf|_acBanaG?)xS&a72Po1an-5={ zt*1bv(I4MnRVMVzU~iBPe3x4v<18NIT;mboT3u{%AvA?wx&PEpQk4WdNCEH*q1-Rp z9Y_K&Vs{J()DiU!jNP{U?aH^Bma&YmG;MGb;$WEf*3~U_Wpq+?TUJY`b zET4!3A86JM{!u1;gUJivIYUR_!~h}VD-YDv!u(&z4T6*wz+fk)S~xwt^V=y{Z~@ND zlg{vZNQyLfkuCjaI(ql>+K1wsBzNppIpJ{o<^TWU|2cc`P^^#syLFFEQx7ZFVK!|o zzM}K+?5R_JIS*=7t!)Gv_phlO*sY*#zRZ{&1tc~C&zOPa^zJ9n$9uHFVuy1 z><{Yu@htPHv2~{|%412rbAR{MuGGBEo!`LD90Afkir!7j0hi5{db2#cDs=ZO!iwJ_ zt1DU%o|W>+*Th;VHCa<#$B+3v+gR{iTSNVWe1PV@=z;_4LnYD`KTpejrMT1Qu-2^8 zdcP5~`Ik3ueo_7C!|JE3JC_r-8dtj6^krxFmTQzRf6E00sL|BwYp%B*j;in9_EAhq z+O+hP>(R&iLQ<1k%uMgGM$Apu{9G6KGfi#v>6SaJ8`HA6YjQ5^-!`-Dk8yNr*_}0; z{tiVFzT`CrBg9Ths; z*)Fl)E7{$}Ip4MO1D7-E_HHJj4~L1p6+T_A{ac=cJ7IduHsonwS8jz|>yCBxC!Avp zyYupQ*atY>%I~;m)Lk7(@b6aHTHK!3=xYDn=}wqJmvg|o9j)qSdQ8(jZmP4l;=Rt> z8E%T&b2*4}(d%c9@-rKh`hrDgjahG??#}mzI;74mXr}hgvj3jed>hTQyndwH^10hT z4a-ZpLusMub{88dKbJ2t^T7J4^&_hom-0jBS+cxu`*_#TIr*A1)x;0a!Y|LC`j>fj zw6em4{oA&<&g1TG)<`6xp*vV~8sSRI894rzTL7YIL|Qdsr*Ic5!Lz%4Qe*iSH79&6khimb zUCTrnGfcW|lfI~Owcf~e5q#8TSmGm`ePd2zY=wO9U&L%KOQt)hn)bH5RSNFH~T);I{& zUbmebf!91>60^)X5Bg-bRNtFaTd!ZcTIKTh_a1G*g$>Z<=Eg#w@gKPoURg(usLP&6 zkX-Wh-i9k}@r!Z_I|EZ5_vE%h)9oeXK56+s*~=s6f~F>RrWZ~hOb|p_UVe3{^FAZ| z&dJp(pXfQ?E)^w{PUOgZ8_0F*D=n0L#=huxsAgku)E|o>?}eqqF`L&=flZ7CjNOc9 zBhc$67oWNHCHQwbR~T`+vs)zWhpYM&1pU~Q{OGKOA;w}OFN((M?S3vqNM?BDKy=`fk!9cC+*>auwQ*3bLS2K>9NzPxU~pMk;=b;Zf5lM2t3s{Xh3NMV zDO*OR4txodO#10hqkKsE5UpDwNJ*~i|BzCrlcJ^mVZnWeNH%A^@G{`=FGkfVf2LDtgop2 z{-I-G2@#Z^4`0XMHT1ktk^X{MlJhjW;zsF{%C~2{k7gb+^mH4Z(nTxfQ@&l?WkTIy z;3Quc_NLOoF-Cnx$)xvNx1r~O>oPy?B}H$YxrN)ONg%6r_Y689+h$u>>&5RReKLPd zvU~UDSNB`F^Qg<}Pg0}xHg3KnxHa~#+-{Lv@bCL*ke1N;pZ8Z@!AP5fTX=vu)#)N~ zThQm*8+9Wbb(GP1pYtu}H~zi;e*qi{Nrd5L(3jnv9kGEZiL~uJTR7r_S*ju%Z=H@e=sAh<^$8tK=((Z#}+2 z*uAkUVXqd>r}+MguR6Y^_y%J4arJpBYODbXEcoRjehFgti0%mMBKiwftKkU>TK zYs9}0yB0MX;F~SJ0r>h#-T92`Fm6kH67lBXRIo5>xQ8`thCNMmbK%y5+Zo>&eC@#r z5+fA8GO}ksvS-TZKzzK!XJa=&+Y8?i@y*272;V?_eem@G2TH6j;{h_B4zCHkk@&je z8v^zMhk`wY10^(#yBWL*_}k+j19k?-f}LEvkKwh12i&^eVl-NOP2qn6|08^@@u`;U4`G*r zdy@D8(Vbv?P{zj@|Ce!jIL9OhX83xBdOM{j$LC-%V%uG@rp$FMXZI*MIzk#J>p2Bp zD|pkvCg26?JjOfu2kb|%$HE*We)E?gi z4}BB-tEh8Y^dqsmx_IrdCyL#S@k+Sg33mi`H)8LAgM~AmUOEuJ#C$R{pNrTJyLu~$ zy`Ed0nawUgDMFjLOG%z?a7GXx2X+J#$(NgayRnO4zW~nTR?ptQxRt&U_e{~ZV7!7} zPf6@O?C!)$q1hxpT%i}S<%c^|i1pgbJ4X3SRTXbz0FC%zTV#BcCCRPQ_N~;e-#`OxE6~aj%qTUNfUZrKt3N01g*D)-x%WUb)XL}P*MxJEHT{(PGUH5E@BfA@-?g`7wcx4WPxU*xK=^gA z=Udvk_~uzY_2nyn+_{y{8Ptcbe(#G!F;DeLtA5kEq8S!po=u3W_g6YA?>Y*XkDzG@ zUp>?F(DaMIQGK_Dqt3`=Yo4#;|IqR&zWeHdF+4)59dsKwnY7V2(sQLcZ(rqO6Vgy}p zVuK>YRL*Fw52&r?_k`5%jCf28a!(^?b?z+IyzJg;vYv}&JrfD4_wQlO{$Uv>GCs;! zeVZjPKEhc2{mUWi`M@2%W>B;7ZO-mBIO*UV0`r20!Cc_a;6va{uo39wR5%M?L(4ZC zUjxhM*4Sh9%~4nQ^BLE%vpM|tuF_X}A5A!KfPZ8l z_Z4!d2d{$5(X?eg$H-k8+~As5MmRr8ADI|mwt8~PslPf^IgUoz-^1iof6up4^hbR3 zkHY=lRezOe4tr=GhkG9GXTnKzak3IS>&gQqlnvi$@qI3u1eZo}{H`a_pA!8S5Y<{7 z68%!_>eln|d-x~ee<|F9!d-@4&Enz;Up2_7{{G^CaG%6J<=QKug`o|xHPkq0y?^uL zJ3!rhDhB2P4}*EZL*Nb0SQ^QHlko#GcIS4F)1bS7PWc{Wd|k$Fj^DT#n(a|)?0i|s zaW0uT?3`{pH~AB-{CV&tSU#os1O9J4{O){78P{V!=d#zWz$xH(l62sli~~D@{{(A* zi@}=U0cvY>1%3bNCY!Y>bYONfZ+`zb^FB7i)J~m5)U+_)!7m!-shlb8xlvtNWflze8 zRZ0Z)75fS3)A#qULeU>80-Sfz!{oK^xF#pD7ZCqH%yv|w0+>UG{X_>il``R~t(MYHXMAj`L z<9XK(q;`a7m32W%Pv^5yftzT9FS~mA;V86~Ozr}An}b%D${zvaqPScQj3i>qjFch9 zZg1SgveE|JY_r^T+Ow3M6GiRGtfA3uJ%)Pw_0DOST6x01aIykxGkOg&vi@@%%L;=0 zZSV85nI`%9=-rPGFm>^S7hfok^Pz3wd9c$sSG3cVES3%L{`IGY74U65tHpzXTDchy5jO)l560GEsdS$y@WezFkMgoj zL9?{D7K?M*@?}Yx+UaZ{O9h~244N}4d>`B3n0fxFOtf)4O(z(+czmH5>Y)&~b0vR< zGcqe|C4)(Lfc88XGlupmL&752k(dl^2-mxu7OP9-V|cGVcGN2Jk)SQKXL0@+qQT!O R?h*DdOy=MD9{>OV|NkykX{G=G diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb index 42a925deb75e79279ed0abcc68dbb04916b2713e..91bbe104745429a84af8ec3fe7aa1f8ec8927ccf 100755 GIT binary patch delta 12 TcmdnbxSw%C2h)UviCv}uAQ}YQ delta 12 TcmdnbxSw%C2h)SZiCv}uA+7~1 diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb index 6c2f844fdcb1178349e80362daee9807907d614d..8b5e92d9c0ddc45eb333a284ce00ca62ef42a66e 100755 GIT binary patch delta 726 zcmV;{0xA8a2EYc8Q-91400000000sL00000001li00000002M(00000004Lav{u_~ z6fqR_?gkX{#TQM`ghLGpj~WO)OcOv6BtoKs0cwCI_y!3H7<|t4D`)MP(Jmv6wKM13 zbDy5|vMkFl=iEiOojY?rtf%3=3b%7V7Uxd*OYu#x3zm!9K7S(d3{9wpYHy7M}EQA@-^P4^oO?=Usr!;`*|im zEHU1R@oe+{?tj|L_aJ>gChGmp`979S_MRe!gV9rJmQ)FZK6K_*y^Z z*ZqR&NPlF%rGG5a|4zT(FrNlL_ICz^D?S&#?XQ;vm)!4y+y9B;FX%7KM~uhQ9{b(+ z8h_3==JT2M`~OGDzW+V2dQ9|J=JT9-CI0L$=9}Yb%zu09`=a*elHVvk#Z}K&dM*D? zDjYwvePaE@XMc?LG^=lmYWPhZ=Vk# zgZMuP-+%m{(F^b!!A%A5{(i^Xbe_WRR=?A{dJw{;`pMoCE%TqAm0#}Nf6I&2RPh zU*m(nW7`MGy?MVYUhofz7xpujaQSc}pAGl-{`n>0bKv0e;coGP{RIF3|NnRaVq{=s I2$TK-Cua_jZ2$lO delta 699 zcmV;s0!0152Bij&Q-ACZ00000008#_00000001li00000001Qe00000004La)K}Y$ z)Ibm%yufjM@dNS9iWE3rDL@c=A_gD`mLmm25D>&k`~gA&hOU}N4}JEweQ+OG+L6ay zU0wa~>~2aaeGVbql}`vqAq;nTca5to|H*z&z28#^*Lim$d4HvJ^UCx`M%i$^CF^^} z`;q4ARq?wp?BL|#w*Up@Z~c(`rs9pn%ToHarJmIESo@ClAw+Rm!e{Ys=>Mzne-xe& zbnoFG5|6BMK9=tu?ZUUo^LWm9f-m_sd)Y_gQLo&8%U9z8hkUKQ)%PFGdyO}jU$rmQ zQ}J_rR)3F|bbl}8TkSReThq@GPPj@vUeS&R)Ab!;!d39z{Ple3$1^(Xyjpw9PjG+q zugpiyXHMS}@`EMoAGpV2-lxJBY0vY7crUpB+2;L}^#DueQ+25KAgJqti6Aw-k)gK^W)Ui*MFN28?W`F)IXA6>)GNj?2k8J zYR~hzr+&>x?bGL-)%%EgbzZIBwR|4_aTgE$v+svj|E6Djr}}@+dI)N!-cMWn?4Qr0 zFYWuh*8}Jc;<4oS?*aF>xg!1<4|g{NNN+fq+TQSPxHAj&&BvY(-u$h_ h4}8Dpe-G97wSM0qo8JHc0RR7Z0b*oeWU!MN11GX@eANH| diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat index 2adb0ab78a8b0089c4d5fb8b1e1a1153efd56a29..85e389e29e4b9f6133f9fff5156a7aecf0b60574 100755 GIT binary patch delta 300 zcmaEmIwxyG4hN&*W=D?4jGF_v3YZxKCo*bpKET_wGjq(>}#+M+jf%+7t$saU7O#C1*`G=MWh*Hr8Qvupy6F-Peu7U7Y zK&UI)4nQT0?2|X@XiwJB(P88Su^73)BsZAk0h7ESa&m-D3!@;AwSIDsuFm8sdQKn{ z-{^r2cF_m(=IDcYC&1L^FZyqo7)`+@n1M-iFlhlMEy1J}n6w6yHek{gOxl4-dobw$ ICLKW}00qu#HUIzs delta 285 zcmbP}^&oXa4#(yh96J~pZ6-2mZw}xpVBY+Ow}+828pN&OPhi|^Aeg|&m}RKA`6W)ghq|wU<#IEaRdNlbHjPnS27q+WbZT4HE!V=vMRq diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index 55e3175..cf8b012 100755 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,99 +1,100 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618116856343 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618116856359 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 11 00:54:16 2021 " "Processing started: Sun Apr 11 00:54:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618116856359 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618116856359 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618116856359 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618116858062 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(79) " "Verilog HDL warning at GR8RAM.v(79): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 79 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618116858250 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(256) " "Verilog HDL warning at GR8RAM.v(256): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 256 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618116858250 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618116858250 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618116858250 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618116858344 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(20) " "Verilog HDL assignment warning at GR8RAM.v(20): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 20 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618116858359 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(103) " "Verilog HDL assignment warning at GR8RAM.v(103): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 103 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618116858359 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(111) " "Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 111 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618116858359 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(118) " "Verilog HDL assignment warning at GR8RAM.v(118): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 118 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618116858359 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(307) " "Verilog HDL assignment warning at GR8RAM.v(307): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 307 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618116858359 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618116859969 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618116861047 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "309 " "Implemented 309 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "26 " "Implemented 26 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618116861078 ""} { "Info" "ICUT_CUT_TM_OPINS" "26 " "Implemented 26 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618116861078 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618116861078 ""} { "Info" "ICUT_CUT_TM_LCELLS" "240 " "Implemented 240 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618116861078 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618116861078 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618116861313 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618116861625 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 11 00:54:21 2021 " "Processing ended: Sun Apr 11 00:54:21 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618116861625 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618116861625 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618116861625 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618116861625 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618116865000 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618116865016 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 11 00:54:23 2021 " "Processing started: Sun Apr 11 00:54:23 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618116865016 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618116865016 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618116865016 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618116865219 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618116865219 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618116865219 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618116865922 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618116865938 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618116866110 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618116866110 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618116866391 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618116866422 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618116866766 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618116866766 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618116866766 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618116866766 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618116866766 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618116866766 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "1 69 " "No exact pin location assignment(s) for 1 pins of 69 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RDdir " "Pin RDdir not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RDdir } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 82 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RDdir } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 356 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1618116866766 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1618116866766 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618116866938 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618116866938 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618116866954 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618116866954 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618116866954 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618116866954 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618116866954 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618116866954 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618116866954 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618116866954 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618116866954 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618116866969 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618116866985 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618116866985 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618116866985 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618116866985 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 350 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618116866985 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618116866985 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618116866985 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618116866985 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618116867032 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618116867110 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618116867126 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618116867126 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618116867126 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "1 unused 3.3V 0 1 0 " "Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1618116867141 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1618116867141 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1618116867141 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 26 12 " "I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 26 total pin(s) used -- 12 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1618116867141 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 42 0 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 42 total pin(s) used -- 0 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1618116867141 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1618116867141 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1618116867141 ""} -{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "Ddir " "Node \"Ddir\" is assigned to location or region, but does not exist in design" { } { { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "Ddir" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1618116867188 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1618116867188 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618116867188 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618116867376 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618116867719 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618116867751 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618116869157 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618116869157 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618116869204 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "32 " "Router estimated average interconnect usage is 32% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "32 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618116869595 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618116869595 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618116870251 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.53 " "Total time spent on timing analysis during the Fitter is 0.53 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618116870267 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618116870282 ""} -{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1618116870329 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618116870329 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618116870501 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 7 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "372 " "Peak virtual memory: 372 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618116870720 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 11 00:54:30 2021 " "Processing ended: Sun Apr 11 00:54:30 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618116870720 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618116870720 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618116870720 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618116870720 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618116872954 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618116872954 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 11 00:54:32 2021 " "Processing started: Sun Apr 11 00:54:32 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618116872954 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618116872954 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618116872954 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618116874064 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618116874079 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618116874548 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 11 00:54:34 2021 " "Processing ended: Sun Apr 11 00:54:34 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618116874548 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618116874548 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618116874548 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618116874548 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618116875298 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618116877189 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618116877205 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 11 00:54:36 2021 " "Processing started: Sun Apr 11 00:54:36 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618116877205 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618116877205 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618116877205 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618116877408 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618116878158 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618116878314 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618116878314 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618116878502 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618116879064 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618116879220 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618116879236 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879236 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879236 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879236 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618116879236 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618116879361 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.908 " "Worst-case setup slack is -9.908" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879392 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879392 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.908 -697.920 C25M " " -9.908 -697.920 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879392 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.302 -1.302 PHI0 " " -1.302 -1.302 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879392 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618116879392 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.012 " "Worst-case hold slack is 1.012" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879408 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879408 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.012 0.000 PHI0 " " 1.012 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879408 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.288 0.000 C25M " " 1.288 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879408 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618116879408 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.389 " "Worst-case recovery slack is -4.389" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879424 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879424 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.389 -131.670 C25M " " -4.389 -131.670 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879424 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618116879424 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.835 " "Worst-case removal slack is 4.835" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879439 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879439 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.835 0.000 C25M " " 4.835 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879439 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618116879439 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879439 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879439 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879439 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618116879439 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618116879439 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618116879611 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618116879705 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618116879705 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "278 " "Peak virtual memory: 278 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618116879877 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 11 00:54:39 2021 " "Processing ended: Sun Apr 11 00:54:39 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618116879877 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618116879877 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618116879877 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618116879877 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 14 s " "Quartus II Full Compilation was successful. 0 errors, 14 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618116880814 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618731317099 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731317099 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:35:16 2021 " "Processing started: Sun Apr 18 03:35:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731317099 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618731317099 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618731317099 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618731317329 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618731317379 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(273) " "Verilog HDL warning at GR8RAM.v(273): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 273 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618731317379 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618731317379 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618731317379 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618731317409 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731317409 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731317409 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731317409 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731317409 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(324) " "Verilog HDL assignment warning at GR8RAM.v(324): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 324 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731317409 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618731317749 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618731317889 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618731318139 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "322 " "Implemented 322 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618731318149 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618731318149 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618731318149 ""} { "Info" "ICUT_CUT_TM_LCELLS" "242 " "Implemented 242 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618731318149 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618731318149 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618731318179 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "421 " "Peak virtual memory: 421 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731318199 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:35:18 2021 " "Processing ended: Sun Apr 18 03:35:18 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731318199 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731318199 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731318199 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618731318199 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618731319149 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731319149 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:35:18 2021 " "Processing started: Sun Apr 18 03:35:18 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731319149 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618731319149 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618731319149 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618731319209 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618731319209 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618731319209 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1618731319249 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618731319249 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618731319289 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618731319289 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618731319339 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618731319349 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731319439 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731319439 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731319439 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731319439 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731319439 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618731319439 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "2 80 " "No exact pin location assignment(s) for 2 pins of 80 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "DMAout " "Pin DMAout not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { DMAout } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 19 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { DMAout } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 374 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1618731319459 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RDdir " "Pin RDdir not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { RDdir } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 96 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { RDdir } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 385 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1618731319459 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1618731319459 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618731319519 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618731319519 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618731319529 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618731319529 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731319529 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731319529 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731319529 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731319529 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618731319529 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618731319529 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618731319529 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618731319529 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618731319539 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618731319539 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618731319539 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618731319539 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 368 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618731319539 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618731319539 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618731319539 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618731319539 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618731319559 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618731319579 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618731319579 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618731319579 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618731319579 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "2 unused 3.3V 0 2 0 " "Number of I/O pins in group: 2 (unused VREF, 3.3V VCCIO, 0 input, 2 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1618731319599 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1618731319599 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1618731319599 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 36 2 " "I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 36 total pin(s) used -- 2 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1618731319599 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 42 0 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 42 total pin(s) used -- 0 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1618731319599 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1618731319599 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1618731319599 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "Ddir " "Node \"Ddir\" is assigned to location or region, but does not exist in design" { } { { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "Ddir" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1618731319629 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1618731319629 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731319629 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618731319699 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731319919 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618731319929 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618731320523 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731320523 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618731320553 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "34 " "Router estimated average interconnect usage is 34% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "34 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618731320763 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618731320763 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731321393 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618731321393 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731321403 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1618731321443 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618731321443 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618731321483 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 8 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 8 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "545 " "Peak virtual memory: 545 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731321513 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:35:21 2021 " "Processing ended: Sun Apr 18 03:35:21 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731321513 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731321513 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731321513 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618731321513 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618731322373 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731322373 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:35:22 2021 " "Processing started: Sun Apr 18 03:35:22 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731322373 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618731322373 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618731322373 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618731322563 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618731322573 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731322703 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:35:22 2021 " "Processing ended: Sun Apr 18 03:35:22 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731322703 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731322703 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731322703 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618731322703 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618731323273 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618731323653 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731323653 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:35:23 2021 " "Processing started: Sun Apr 18 03:35:23 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731323653 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618731323653 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618731323653 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618731323723 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618731323823 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618731323873 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618731323873 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618731323923 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618731324243 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618731324283 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618731324283 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324283 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324283 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324283 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618731324283 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618731324293 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -10.039 " "Worst-case setup slack is -10.039" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -10.039 -703.074 C25M " " -10.039 -703.074 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.227 -1.227 PHI0 " " -1.227 -1.227 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.063 " "Worst-case hold slack is 1.063" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.063 0.000 PHI0 " " 1.063 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.544 0.000 C25M " " 1.544 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.399 " "Worst-case recovery slack is -4.399" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.399 -131.970 C25M " " -4.399 -131.970 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.845 " "Worst-case removal slack is 4.845" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.845 0.000 C25M " " 4.845 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618731324353 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618731324363 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618731324363 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731324393 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:35:24 2021 " "Processing ended: Sun Apr 18 03:35:24 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731324393 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731324393 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731324393 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618731324393 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 25 s " "Quartus II Full Compilation was successful. 0 errors, 25 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618731324988 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 52f9d5a14606b6d223c9a25263306eb63cd4ed31..0052843db64903b86a38c777b990e3b54c874a3b 100755 GIT binary patch literal 3078 zcmV+h4Egh{4*>uG0001Zob6rPZrex_eV?x&^gb4=b)g8z27JqmHg@8e!R8@Y2(qp0 z3btjfF2Mv?%(pKo+OllRI(^C%TMhUv7Nuf$u})o2Gwtiqw0m_C412fz-O3;5t@HiU zo!j21dvQ?-vTHy4^~TfLz2AbMeck`n z9o-Fv(@A&Ip9a%kqjY`a{*V5+KfLT$g8vNqUgf9JoiE(EL;Xn?@8Ri@tBd< zK=MAHCZqmka(pso#5S$A-k`P~0hvc%PXA=&wQSZHQ%j72$b;VSnvo|V5ZL*gKA_`I zluQJi^P{8hO={bMpp#;;#Ysfi`F4Ip!dnn@QhXauA_C9cf=E>&~w~gRCKH%>|~CJ%Ux;+JHv0sRF+Y*flj*d zQ%a~++D7giVds9KCzMbGpVTyka~n0Qyp2P74IwD^>||<NK}bsDFS&07qv82+G7c$`7Ga3X;pzxPXKb}w zM+oY$fvt`J)M3}yu*lyyaR=n=~)Fn@uT^2sXpR{e%)|g&ImC z0!`*DNJS#lWcfd7l2)}32b_jo?Zf%rrcKx(MI!KIBDt+MZGsNx&zlH6Da5&$)3k^= zoE0^#ItQdoo6Z4;pQc6eLz)nRPpV2%A_C8cL&~v52sb$xxhgV3$mt}1i&>VKUg%Ay z684_O;vj^tF3@(mCxWU-!RuD|??lw5y9RE`(NBN`>o( zL2jST;3Er|lD(P8rph9{Ndz0@M{FZ9vTKf$>qJn^&`*j)Sj|E_nTJGHq0Vro)Us*w zaT2WxEK;TghPb%YLJ&IroOHMbTFauz$5~O!rb|JJL;y-P$@%A&U5|pBVYCo_vd2T> zr-ks79B~gkwhMP$wHjN&htpk?%_Gc#?8%iX?&(W7ts>v7QI*TjZVpwJ! z|G^CuV>|G0K{Cd{hs*0?gq-=q7H&Fg1s+3A6+@gXlA%XJn?AvrX>Z!^UJRz)0uuR;XUTDv z#3#k&@Dd{AKiPzA=_Zh~d9sOc8#X~2<&V4ZZU4&3DmT!Jk`VbxMb;va$D%2D1TtC3 z(qABtg>Gt!6_JH>laR^655EL*S?B?SKq`x7N+poZl4V$br`M>7jX+KdPho{^W3uY;l9iP}h6_JZ707YXaIXaNTom0n zfmD}#qQgHeNO$4ek%IXyhZ@6zte1J>t&oermGJ}>Vl9&TNV8moulaCTA=hS(LLv-u zVEEalKnjei_=GGNn&l^u0K<0x1u|grfdv2bAq$4@qYI?L&>TX6G#L7@fa1z1+rr_TuOli8NL@R zkRzix1tX9rqc|D?i82~SKcvd=9ec5)%8*haS4PnrhGd!Sq!tf-NSL8H^8yJo^CJz4 zr6Netr=d1Mn-R#H;V1k8i8Gopw?Og?&kGbtpUFr&qOc<8_ySoluMh07Y*=3% z=n5=LRt$T@)uL$;YgTJ@f|Px5kR3x4MFg^AG)G+pQe+flJ%Kctd-9{=X;~afsB5gi zhHgf>a!8ut&#oCrnxXmm1~O)7p1OgI8UB(R^7G(nZH#j`*UHf-OIb1$^2bd*~d#yVR2z` z^Jfnt$Z!8}o1HLRemL#L9iD9cXYXdV{4i6WH~;AMFNw{U%&IT7t1H&fUfQC=m91Ds zyZZE5KQqpk>K2#kmaSFys+Fn*{$@lD^dGFIKS73$uUbz5z!XzMOc z-9=b;{e`+kel$~&3ed*u^?esF&;U0*x>!t8dq z?DrmSnqPUi<+ER|a#k;8{r-xuXFq=CQc89xk8O5mkH6*zPTafRrq-vuP9ale!=Nq~$(CiT$vt*gg7UZI~=OoV!<5OS^=UjY@0##c9fDQ;PR^{$}Uv zK1@aH#?0G;lgNGEYy8lZabHT+{RrrhHbW~=Q|el(+<@*{QAV9nl%4swkLN8vl0ddEHqtZ4e3ygi*M5SC!HQd)7H9s^JySJ(4I*RD_Xe!zQP5EI{O>Kf)oTg$w zG^H-N3-nX!X;-;6<=&&WJgH{30DXQW>9sA$(f#mKYSS-HQ*}SGgr;+kzI3K&t2HI= zyDs8KCr>?VEl^W_3^B!hAHAmTy1Y+O-s*dudlaMQFO?k6-DlIWA06*l8%&GSl<}kP zP`3sP%n|)SU(I=rxbz;zt=81~L$D>4XnrJ#k$Xo~_oM7L&Zn45Z*AOaO{wdn0v(kf z4HDUcA*LVbQ_ot9)0Fx!&U=aW=2HvqeXsa|6Lr>KV3hKs>7(wr6sIXQ*RJHU-+ep} z{TMeo?<6h#ClOn%DED3}@}rT|a&J=VzC+15g8SyAnh{)b!`pq$uWmb(-AHl|zm^~H zQxg}9(^SKgB*8r+aP7+>w@6d&U3%S*MpEQnQ@-#=+_w^pA8CTwf;7R8rc2X(Iip@e z$#Ze{it;0!Qnwombm)F`r_|?a3)7TQR|#cTGwwZl&5z8pu?3p)!=tZ#Ietl5f**CC z`o&iTW}bdDJ=LW|ahg*1T}$>;?rlo_YK@ZH!_ISbqdweUa*poarqpI#a_n%9G5~In~9eOV5&R%02p8e%MsQdD}%oKY9u3 zcg+{4DfMd*N^a%3M_=qmHKSf;ahg&enti83zd1+Tf~W6ElyY97iTWsL$rYM=O{w1{ zUb63XUw6cwbSItH9io1zSjn9v*NQTpB-84*lNJa*KQyIgt`(Rh_|foI&!h{~lqY3} zdrhhTYnJ!2ZHFoO{tr{B?t5^(3B@t zzjH;kJW-T;H&yq;O{w2DUSR0+qmiV3?^tm*r9NR*vQ4>fPO8rhl{{>8Z&S76Jo@ub UCiz#hA5FVg7kj_`A6ttzOI70<$^ZZW literal 3006 zcmV;v3qka(4*>uG0001Zob8=mPaDY+hVS=RjC{Y?)&77%`u(78e!UvS!Jqj{0oC_v zdlY<_kM3>)Q#V1aRV7n3HL3?;H2pEUj)L>y^=&{w_^;qHx(a$Xqd56wSNUx>*DWAf}v*ngPE zh{>kSD5(w&v&Go_h)qnJo#a^gt> z9UP;LbU;UP(n+HdG|5RP86_A$oOI4nF*a_-JQ?(@-B>Fq)iz z(t;55B`2T{!|^TDd$HR6VCrdc>PeS1(4<}K0CQ%`qI?5~X;HorXwss0fUQ0)PCSW4 zEVZ@RmfJCw+FCZ918|IN=itj(IEk*q-Y013ZF2%jvM{#KZ`;TRyZE+60f%X)&1vTx z&6aIWJLB-O2N|^MRb%35^Udm0$evB*2JQjcoO&{wSi#=rDI8shQ7ro!W8M0V=uM@`v z?KVExArhycwAcVmasmp`g0;)(Cq0A2GDnxwPpWb(V|F?9Br3y~{+j_H+25Z2Y4H{DbU2>n;79=q`QHmrNR3l|Kir$e!#EmTjpBiM`_!GDhrM*# z!ZK%#OEym~f_C&Gxcv6yB1#a^+b;qP%I^=;`{>3hDy?<}C?Ue-W&sXFHM_zF1P54} z1w?{~g;`)Ih*+8h$AXB3Sp;AZi?iSy56iQNKpqxpNr}3DlGmW}fo!-Ikd$G;mh2>1 zFjR|D2Xrb+w+I*>-jW`<;L~IYxu70kH`_4YLoDSY!EuNMT|OS4 z3cPBy??%I)ZChmxd3>}i^n&w4#8NM58(lC|3+|;QaRIBY@UkZ`YjK9smJHY8Af+j> z%V`WJs)*%baHNV@AV$Je5evn@coDHw49*u3i^b%xm;jzUc zH#itXEOGPlp&M`8f*t@_9%9j(m(SOD+cvkpNp>!FFb>E{5liD_cUj@1Wr-XKghecr z15;SUQaKXFiC8d4067th<}6Tu!E9MH1RIhl;Dm-FPsE}+IP*j-s{=z%WW(xUS~V=I z17lByrF3xa$*|x|hUvq>WLR_t2dWIqyud`2VF?r=QDs;vL;@rkJH7&aj)29fFkifE zWJ;F!$S~!aa#L`LDlfXQ(g6#D(t^@+EYe9rdA-^PJ5~$&8H=*uj7TMR;_t8 zPqqC}-wKIP^HkFhPj&S@C0>5rdaABpdN%yX=&x>^+<2-kjwxu@w!UY3amV&_Yqhpp z+q74Ed8hW=*s~8m+oL(oDC#;Xv_N-SCtdyZTs&EhYs4K`rKFSPc-t=x{StGpbd%_~ zn%0jg@emxxl>QE_&GJ1)L*2U zel(Ig`rD4WAKQ+)zNf@pKgThppQk#0WOV&q2Z>K*-%G@|_FH#ggWyLWqbXH$i3!Dz z!l$KPOdBy~>DW{1i&Wtbirw~<{wme-gB>b7nN}}R`B6<59!jmJKR-^e#Y<0#`g^B` zw5r6qx=sp@=i6zWboJ}$h96BI{qC4ArH{I!$d8s!;WrQTmUBPSl$cpAFQ~+K132FH zBNMv8CT)rmB=KypX*LGG`iw$=ucfQ7-Hf%bN^CgZJv`jbCzas)?0%3wVc?+B5A(V* zd+#hu;OT#t0k|kQ>-nDx{$9SY_~K_S5ArX6{F1#|Z8Z%W?^FIUyqg!NthQgx{`AsY zh<}S&e~bM+&aTF@_U((}d6QddgIk%~zqfN4v}0~v9}nVIv*uPpqxJa!w4-%f<3Ze7 z-{4j-TFbeN@}hNH<3ZfoSa&OxAFbS5T<2ENco4TXH@LO@XtlTur@2)$p4Z%}+WOIN zCfEGvXXqafk{^d&M!%sU?q@n~CaQbNh)+lyXDR*T!B?M>^?p3D&Dn41_dZ&loOr5d zl)`TV?zE>m`Ug2;gwgTgpnBK6@H=BW?WvA_o)QniaYonAQ{v}9I98v2o~rwCj!ODl z*|r~5f|#@^v7Yinec~HzO7xT;Rf5s?l=$Wu$9YO$ed6cUI98v21jQC-uC3?z_BE6~aDe;-3eq`uJ0-FLg{$duitd*_>rgd9VI@Va2!(l ztcCF-q{PoAFU?a%eB-X`KCXV1Am-8>V=4MOH1SVEIrfx(N*6zP-0|9{f7~k`g5#J{ zca$&BLC`;#6W_YvchPEU0~C+je(fUa A(*OVf diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index c6c0b91..042a03c 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,6 +1,6 @@ Assembler report for GR8RAM -Sun Apr 11 13:22:41 2021 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 03:45:25 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -10,7 +10,7 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof + 5. Assembler Device Options: C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof 6. Assembler Messages @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Apr 11 13:22:41 2021 ; +; Assembler Status ; Successful - Sun Apr 18 03:45:25 2021 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -75,40 +75,40 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+-----------+---------------+ -+----------------------------------------------+ -; Assembler Generated Files ; -+----------------------------------------------+ -; File Name ; -+----------------------------------------------+ -; Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; -+----------------------------------------------+ ++-------------------------------------------------------------------+ +; Assembler Generated Files ; ++-------------------------------------------------------------------+ +; File Name ; ++-------------------------------------------------------------------+ +; C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; ++-------------------------------------------------------------------+ -+------------------------------------------------------------------------+ -; Assembler Device Options: Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; -+----------------+-------------------------------------------------------+ -; Option ; Setting ; -+----------------+-------------------------------------------------------+ -; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x00162982 ; -; Checksum ; 0x00162E02 ; -+----------------+-------------------------------------------------------+ ++---------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; ++----------------+----------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+----------------------------------------------------------------------------+ +; Device ; EPM240T100C5 ; +; JTAG usercode ; 0x0015FC20 ; +; Checksum ; 0x00160180 ; ++----------------+----------------------------------------------------------------------------+ +--------------------+ ; Assembler Messages ; +--------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit Assembler +Info: Running Quartus II 64-Bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 11 13:22:38 2021 + Info: Processing started: Sun Apr 18 03:45:24 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files -Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 293 megabytes - Info: Processing ended: Sun Apr 11 13:22:41 2021 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 +Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 381 megabytes + Info: Processing ended: Sun Apr 18 03:45:25 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:00 diff --git a/cpld/output_files/GR8RAM.cdf b/cpld/output_files/GR8RAM.cdf new file mode 100644 index 0000000..fb7cb58 --- /dev/null +++ b/cpld/output_files/GR8RAM.cdf @@ -0,0 +1,13 @@ +/* Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ +JedecChain; + FileRevision(JESD32A); + DefaultMfr(6E); + + P ActionCode(Vfy) + Device PartName(EPM240T100) Path("C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(2) SEC_Device(EPM240T100) Child_OpMask(2 2 2)); + +ChainEnd; + +AlteraBegin; + ChainType(JTAG); +AlteraEnd; diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index b76e1d7..3bdf1c8 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Sun Apr 11 13:22:49 2021 +Sun Apr 18 03:45:27 2021 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index dffb06e..d075299 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,6 +1,6 @@ Fitter report for GR8RAM -Sun Apr 11 13:22:34 2021 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 03:45:24 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -10,31 +10,30 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 2. Fitter Summary 3. Fitter Settings 4. Parallel Compilation - 5. Ignored Assignments - 6. Pin-Out File - 7. Fitter Resource Usage Summary - 8. Input Pins - 9. Output Pins - 10. Bidir Pins - 11. I/O Bank Usage - 12. All Package Pins - 13. Output Pin Default Load For Reported TCO - 14. Fitter Resource Utilization by Entity - 15. Delay Chain Summary - 16. Control Signals - 17. Global & Other Fast Signals - 18. Non-Global High Fan-Out Signals - 19. Other Routing Usage Summary - 20. LAB Logic Elements - 21. LAB-wide Signals - 22. LAB Signals Sourced - 23. LAB Signals Sourced Out - 24. LAB Distinct Inputs - 25. Fitter Device Options - 26. Estimated Delay Added for Hold Timing Summary - 27. Estimated Delay Added for Hold Timing Details - 28. Fitter Messages - 29. Fitter Suppressed Messages + 5. Pin-Out File + 6. Fitter Resource Usage Summary + 7. Input Pins + 8. Output Pins + 9. Bidir Pins + 10. I/O Bank Usage + 11. All Package Pins + 12. Output Pin Default Load For Reported TCO + 13. Fitter Resource Utilization by Entity + 14. Delay Chain Summary + 15. Control Signals + 16. Global & Other Fast Signals + 17. Non-Global High Fan-Out Signals + 18. Other Routing Usage Summary + 19. LAB Logic Elements + 20. LAB-wide Signals + 21. LAB Signals Sourced + 22. LAB Signals Sourced Out + 23. LAB Distinct Inputs + 24. Fitter Device Options + 25. Estimated Delay Added for Hold Timing Summary + 26. Estimated Delay Added for Hold Timing Details + 27. Fitter Messages + 28. Fitter Suppressed Messages @@ -60,15 +59,15 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Sun Apr 11 13:22:34 2021 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Fitter Status ; Successful - Sun Apr 18 03:45:24 2021 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 227 / 240 ( 95 % ) ; -; Total pins ; 69 / 80 ( 86 % ) ; +; Total logic elements ; 229 / 240 ( 95 % ) ; +; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; +---------------------------+-------------------------------------------------+ @@ -123,36 +122,21 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 2 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.40 ; -; Maximum used ; 2 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; 40.0% ; -+----------------------------+-------------+ - - -+----------------------------------------------------------------------------------------+ -; Ignored Assignments ; -+----------+----------------+--------------+------------+---------------+----------------+ -; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; -+----------+----------------+--------------+------------+---------------+----------------+ -; Location ; ; ; Ddir ; PIN_17 ; QSF Assignment ; -+----------+----------------+--------------+------------+---------------+----------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 12 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pin. +------------------------------------------------------------------+ @@ -160,43 +144,43 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 227 / 240 ( 95 % ) ; -; -- Combinational with no register ; 125 ; +; Total logic elements ; 229 / 240 ( 95 % ) ; +; -- Combinational with no register ; 126 ; ; -- Register only ; 1 ; -; -- Combinational with a register ; 101 ; +; -- Combinational with a register ; 102 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 130 ; +; -- 4 input functions ; 135 ; ; -- 3 input functions ; 30 ; -; -- 2 input functions ; 66 ; +; -- 2 input functions ; 63 ; ; -- 1 input functions ; 0 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 194 ; +; -- normal mode ; 196 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 4 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 47 ; ; -- asynchronous clear/load mode ; 30 ; ; ; ; -; Total registers ; 102 / 240 ( 43 % ) ; +; Total registers ; 103 / 240 ( 43 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 37 ; ; Virtual pins ; 0 ; -; I/O pins ; 69 / 80 ( 86 % ) ; +; I/O pins ; 80 / 80 ( 100 % ) ; ; -- Clock pins ; 4 / 4 ( 100 % ) ; ; ; ; ; Global signals ; 3 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 47% / 53% / 40% ; -; Peak interconnect usage (total/H/V) ; 47% / 53% / 40% ; -; Maximum fan-out ; 99 ; -; Highest non-global fan-out ; 42 ; -; Total fan-out ; 1046 ; -; Average fan-out ; 3.53 ; +; Average interconnect usage (total/H/V) ; 51% / 57% / 44% ; +; Peak interconnect usage (total/H/V) ; 51% / 57% / 44% ; +; Maximum fan-out ; 100 ; +; Highest non-global fan-out ; 50 ; +; Total fan-out ; 1064 ; +; Average fan-out ; 3.44 ; +---------------------------------------------+--------------------+ @@ -205,7 +189,9 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 99 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 100 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; @@ -239,11 +225,15 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ +; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; no ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RDdir ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; Fitter ; 10 pF ; - ; - ; +; RDdir ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; RWout ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SA[10] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; @@ -260,9 +250,14 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; +; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -273,7 +268,7 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; MOSIOE ; - ; +; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; MOSIOE ; - ; ; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; @@ -288,7 +283,7 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -298,7 +293,7 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +----------+-------------------+---------------+--------------+ ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+-------------------+---------------+--------------+ -; 1 ; 27 / 38 ( 71 % ) ; 3.3V ; -- ; +; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ; ; 2 ; 42 / 42 ( 100 % ) ; 3.3V ; -- ; +----------+-------------------+---------------+--------------+ @@ -312,35 +307,35 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; 2 ; 0 ; 1 ; RA[5] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 3 ; 1 ; 1 ; RA[6] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 4 ; 2 ; 1 ; RA[3] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 5 ; 3 ; 1 ; nFCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 5 ; 3 ; 1 ; nFCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; ; 6 ; 4 ; 1 ; RA[7] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 7 ; 5 ; 1 ; RA[8] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 8 ; 6 ; 1 ; RA[9] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; ; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 12 ; 7 ; 1 ; FCK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 12 ; 7 ; 1 ; FCK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; ; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; ; 14 ; 8 ; 1 ; RA[10] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 15 ; 9 ; 1 ; MOSI ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 15 ; 9 ; 1 ; MOSI ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; ; 16 ; 10 ; 1 ; MISO ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 17 ; 11 ; 1 ; RDdir ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 18 ; 12 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 19 ; 13 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 20 ; 14 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 21 ; 15 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 17 ; 11 ; 1 ; RDdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 18 ; 12 ; 1 ; DMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 19 ; 13 ; 1 ; RAdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 20 ; 14 ; 1 ; INTout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 21 ; 15 ; 1 ; nDMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; ; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; ; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; ; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 26 ; 20 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 27 ; 21 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 28 ; 22 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 29 ; 23 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 26 ; 20 ; 1 ; nNMIout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 27 ; 21 ; 1 ; nINHout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 28 ; 22 ; 1 ; nRDYout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 29 ; 23 ; 1 ; nIRQout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 30 ; 24 ; 1 ; nRESout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 33 ; 25 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 33 ; 25 ; 1 ; RWout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 34 ; 26 ; 1 ; RA[11] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 35 ; 27 ; 1 ; RA[12] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 36 ; 28 ; 1 ; RA[13] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; @@ -355,8 +350,8 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; ; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; ; 47 ; 37 ; 1 ; SD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 48 ; 38 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 49 ; 39 ; 1 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 48 ; 38 ; 1 ; DMAin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 49 ; 39 ; 1 ; INTin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 50 ; 40 ; 1 ; SD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 51 ; 41 ; 1 ; SD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 52 ; 42 ; 2 ; SD[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; @@ -433,7 +428,7 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 227 (227) ; 102 ; 0 ; 69 ; 0 ; 125 (125) ; 1 (1) ; 101 (101) ; 37 (37) ; 12 (12) ; |GR8RAM ; work ; +; |GR8RAM ; 229 (229) ; 103 ; 0 ; 80 ; 0 ; 126 (126) ; 1 (1) ; 102 (102) ; 37 (37) ; 12 (12) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -443,6 +438,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------+----------+---------------+ ; Name ; Pin Type ; Pad to Core 0 ; +----------+----------+---------------+ +; INTin ; Input ; (1) ; +; DMAin ; Input ; (1) ; ; nIOSTRB ; Input ; (1) ; ; nIOSEL ; Input ; (1) ; ; nDEVSEL ; Input ; (1) ; @@ -470,6 +467,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nRES ; Input ; (1) ; ; MISO ; Input ; (1) ; ; nRESout ; Output ; -- ; +; RAdir ; Output ; -- ; +; INTout ; Output ; -- ; +; DMAout ; Output ; -- ; +; nDMAout ; Output ; -- ; +; nNMIout ; Output ; -- ; +; nIRQout ; Output ; -- ; +; nRDYout ; Output ; -- ; +; nINHout ; Output ; -- ; +; RWout ; Output ; -- ; ; RDdir ; Output ; -- ; ; SBA[0] ; Output ; -- ; ; SBA[1] ; Output ; -- ; @@ -520,20 +526,21 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------------+-------------+---------+-------------------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; C25M ; PIN_64 ; 99 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Decoder1~0 ; LC_X7_Y1_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal0~0 ; LC_X4_Y3_N0 ; 19 ; Clock enable ; no ; -- ; -- ; -; MOSIOE ; LC_X2_Y2_N6 ; 1 ; Output enable ; no ; -- ; -- ; +; C25M ; PIN_64 ; 100 ; Clock ; yes ; Global Clock ; GCLK3 ; +; Decoder1~0 ; LC_X6_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal0~0 ; LC_X3_Y4_N6 ; 18 ; Clock enable ; no ; -- ; -- ; +; FCKOE ; LC_X2_Y1_N9 ; 2 ; Output enable ; no ; -- ; -- ; +; MOSIOE ; LC_X3_Y1_N7 ; 1 ; Output enable ; no ; -- ; -- ; ; PHI0 ; PIN_41 ; 5 ; Clock ; yes ; Global Clock ; GCLK1 ; -; PS[0] ; LC_X5_Y3_N8 ; 42 ; Clock enable ; no ; -- ; -- ; -; PS[2] ; LC_X5_Y3_N1 ; 30 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X7_Y1_N9 ; 8 ; Output enable ; no ; -- ; -- ; -; WRD[0]~0 ; LC_X2_Y1_N5 ; 6 ; Clock enable ; no ; -- ; -- ; -; always6~4 ; LC_X4_Y4_N9 ; 8 ; Sync. load ; no ; -- ; -- ; -; always6~5 ; LC_X5_Y4_N4 ; 9 ; Sync. load ; no ; -- ; -- ; -; always6~6 ; LC_X5_Y4_N0 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~1 ; LC_X6_Y2_N9 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X2_Y3_N6 ; 30 ; Async. clear ; yes ; Global Clock ; GCLK2 ; +; PS[0] ; LC_X4_Y1_N2 ; 50 ; Clock enable ; no ; -- ; -- ; +; PS[2] ; LC_X4_Y1_N0 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X6_Y1_N7 ; 8 ; Output enable ; no ; -- ; -- ; +; WRD[0]~0 ; LC_X3_Y1_N6 ; 6 ; Clock enable ; no ; -- ; -- ; +; always6~4 ; LC_X3_Y4_N7 ; 8 ; Sync. load ; no ; -- ; -- ; +; always6~5 ; LC_X5_Y1_N8 ; 9 ; Sync. load ; no ; -- ; -- ; +; always6~6 ; LC_X5_Y2_N9 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~1 ; LC_X4_Y1_N4 ; 9 ; Output enable ; no ; -- ; -- ; +; nRESr ; LC_X2_Y3_N0 ; 30 ; Async. clear ; yes ; Global Clock ; GCLK2 ; +------------+-------------+---------+-------------------------+--------+----------------------+------------------+ @@ -542,9 +549,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+-------------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+-------------+---------+----------------------+------------------+ -; C25M ; PIN_64 ; 99 ; Global Clock ; GCLK3 ; +; C25M ; PIN_64 ; 100 ; Global Clock ; GCLK3 ; ; PHI0 ; PIN_41 ; 5 ; Global Clock ; GCLK1 ; -; nRESr ; LC_X2_Y3_N6 ; 30 ; Global Clock ; GCLK2 ; +; nRESr ; LC_X2_Y3_N0 ; 30 ; Global Clock ; GCLK2 ; +-------+-------------+---------+----------------------+------------------+ @@ -553,45 +560,45 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------------------+-----------+ ; Name ; Fan-Out ; +---------------------+-----------+ -; PS[0] ; 42 ; -; PS[1] ; 33 ; -; PS[2] ; 30 ; -; PS[3] ; 29 ; -; IS.state_bit_0 ; 22 ; -; IS.state_bit_1 ; 20 ; -; Equal0~0 ; 19 ; -; LS[0] ; 12 ; -; RAMSpecSELr ; 12 ; +; PS[0] ; 50 ; +; PS[1] ; 34 ; +; PS[2] ; 29 ; +; PS[3] ; 28 ; +; IS.110~0 ; 21 ; +; Equal0~0 ; 18 ; +; RAMSpecSELr ; 18 ; +; IS.state_bit_0 ; 16 ; +; IS.state_bit_1 ; 13 ; +; IS.state_bit_2 ; 12 ; +; LS[0] ; 11 ; ; RA[1] ; 10 ; ; RA[0] ; 10 ; ; always6~6 ; 9 ; ; always6~5 ; 9 ; ; comb~1 ; 9 ; -; RDD[1]~21 ; 8 ; +; RDD[2]~21 ; 8 ; ; Decoder1~0 ; 8 ; -; RDD[1]~2 ; 8 ; +; RDD[2]~2 ; 8 ; ; SDOE ; 8 ; ; always6~4 ; 8 ; -; SA[3]~14 ; 8 ; ; LS[1] ; 8 ; -; IS.110~0 ; 8 ; ; LS[2] ; 7 ; ; Equal15~0 ; 7 ; ; RD[7]~7 ; 6 ; ; SetFW[1] ; 6 ; ; SetFW[0] ; 6 ; -; SA[3]~19 ; 6 ; +; SA[8]~16 ; 6 ; ; WRD[0]~0 ; 6 ; -; IS.state_bit_2 ; 6 ; -; SA[3]~16 ; 6 ; -; SA[3]~15 ; 6 ; +; SA[8]~12 ; 6 ; +; SA[8]~11 ; 6 ; ; Addr[23] ; 6 ; ; RD[0]~0 ; 5 ; ; always6~3 ; 5 ; +; LS[13] ; 5 ; ; Addr[0] ; 5 ; ; LS[6]~17 ; 5 ; +; IS.110~1 ; 5 ; ; LS[1]~3 ; 5 ; -; Mux14~8 ; 5 ; ; RD[6]~6 ; 4 ; ; RD[5]~5 ; 4 ; ; RD[4]~4 ; 4 ; @@ -599,11 +606,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RD[2]~2 ; 4 ; ; RD[1]~1 ; 4 ; ; nDEVSEL ; 4 ; -; RDD[4]~12 ; 4 ; -; RDD[4]~11 ; 4 ; -; LS[13] ; 4 ; -; Equal1~2 ; 4 ; -; nRCS~2 ; 4 ; +; RDD[7]~12 ; 4 ; +; RDD[7]~11 ; 4 ; +; nRCS~4 ; 4 ; ; Addr[22] ; 4 ; ; Addr[21] ; 4 ; ; Addr[20] ; 4 ; @@ -619,12 +624,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[4] ; 4 ; ; Addr[13] ; 4 ; ; Addr[3] ; 4 ; +; LS[12] ; 4 ; ; Addr[12] ; 4 ; ; Addr[2] ; 4 ; ; Addr[11] ; 4 ; -; SA[1]~13 ; 4 ; +; SA[2]~10 ; 4 ; ; Addr[1] ; 4 ; ; Addr[10] ; 4 ; +; Mux14~6 ; 4 ; ; Equal18~0 ; 4 ; ; RA[10] ; 3 ; ; RA[9] ; 3 ; @@ -634,18 +641,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[2] ; 3 ; ; nIOSEL ; 3 ; ; always6~8 ; 3 ; -; SA[1]~18 ; 3 ; +; nRCS~8 ; 3 ; ; REGEN ; 3 ; ; ROMSpecSEL~0 ; 3 ; ; Equal8~0 ; 3 ; -; Equal2~0 ; 3 ; -; Equal3~0 ; 3 ; +; Equal3~2 ; 3 ; +; Equal3~1 ; 3 ; ; Equal1~3 ; 3 ; ; Equal15~1 ; 3 ; ; nWEr ; 3 ; -; nRCS~1 ; 3 ; -; ROMSpecSELr ; 3 ; ; Addr[20]~41 ; 3 ; +; SA~14 ; 3 ; ; Equal20~0 ; 3 ; ; Addr[19] ; 3 ; ; LS[9] ; 3 ; @@ -657,13 +663,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[6] ; 3 ; ; Addr[4]~17 ; 3 ; ; LS[3] ; 3 ; -; LS[12] ; 3 ; ; Addr[12]~11 ; 3 ; ; LS[11] ; 3 ; -; SA[1]~11 ; 3 ; +; SA[2]~8 ; 3 ; +; SA[2]~7 ; 3 ; ; LS[10] ; 3 ; -; SA[1]~10 ; 3 ; -; Mux14~5 ; 3 ; +; SA[2]~6 ; 3 ; ; RA[11] ; 2 ; ; RA[6] ; 2 ; ; RA[5] ; 2 ; @@ -671,27 +676,31 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nWE ; 2 ; ; nIOSTRB ; 2 ; ; Mux2~9 ; 2 ; -; SA~20 ; 2 ; ; Mux2~6 ; 2 ; ; Mux2~4 ; 2 ; ; Mux2~3 ; 2 ; ; AddrIncL ; 2 ; ; AddrIncM ; 2 ; ; always6~2 ; 2 ; -; IS.state_bit_1~3 ; 2 ; -; IS.state_bit_1~0 ; 2 ; -; Equal3~1 ; 2 ; +; Equal4~1 ; 2 ; +; Equal2~0 ; 2 ; +; IS.state_bit_2~0 ; 2 ; +; Equal4~0 ; 2 ; +; Equal1~1 ; 2 ; +; Equal1~0 ; 2 ; +; FCKOE ; 2 ; ; PS~0 ; 2 ; ; DQMH~0 ; 2 ; ; Mux12~2 ; 2 ; -; nRCS~4 ; 2 ; +; nRCS~6 ; 2 ; ; always7~1 ; 2 ; -; nRCS~0 ; 2 ; -; Mux19~0 ; 2 ; +; nRCS~3 ; 2 ; +; nRCS~2 ; 2 ; +; ROMSpecSELr ; 2 ; ; Bank ; 2 ; ; LS[11]~5 ; 2 ; -; SA[1]~12 ; 2 ; -; Mux14~4 ; 2 ; +; SA[2]~9 ; 2 ; +; Mux14~3 ; 2 ; ; always5~2 ; 2 ; ; always7~0 ; 2 ; ; IOROMEN ; 2 ; @@ -711,8 +720,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[12] ; 1 ; ; RA[15] ; 1 ; ; RA[14] ; 1 ; -; Mux13~2 ; 1 ; -; Mux14~9 ; 1 ; +; DMAin ; 1 ; +; INTin ; 1 ; +; Mux11~7 ; 1 ; +; Mux14~7 ; 1 ; ; Mux2~7 ; 1 ; ; Mux2~5 ; 1 ; ; Mux2~2 ; 1 ; @@ -741,36 +752,33 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RDD[2] ; 1 ; ; RDD[1] ; 1 ; ; RDD[0] ; 1 ; -; IS.state_bit_2~1 ; 1 ; -; IS.state_bit_2~0 ; 1 ; -; Equal1~4 ; 1 ; +; MOSIOE ; 1 ; +; IS.101~0 ; 1 ; ; AddrIncH ; 1 ; ; always7~2 ; 1 ; ; RAMSpecSEL~1 ; 1 ; ; RAMSpecSEL~0 ; 1 ; -; IS.state_bit_1~2 ; 1 ; +; IS.state_bit_2~2 ; 1 ; +; IS.state_bit_2~1 ; 1 ; ; IS.state_bit_1~1 ; 1 ; -; IS.state_bit_0~5 ; 1 ; -; IS.state_bit_0~4 ; 1 ; -; Equal4~0 ; 1 ; -; Equal1~1 ; 1 ; -; Equal1~0 ; 1 ; -; IS.111~0 ; 1 ; -; MOSIOE ; 1 ; -; IS.101~0 ; 1 ; +; IS.state_bit_1~0 ; 1 ; +; IS.state_bit_0~1 ; 1 ; +; IS.state_bit_0~0 ; 1 ; +; Equal3~0 ; 1 ; +; Equal1~2 ; 1 ; +; FCKout ; 1 ; +; FCS ; 1 ; +; Mux11~5 ; 1 ; ; Mux11~4 ; 1 ; -; Mux11~3 ; 1 ; ; PHI0r1 ; 1 ; +; Mux11~3 ; 1 ; ; Mux11~2 ; 1 ; -; Mux11~1 ; 1 ; -; Mux11~0 ; 1 ; ; Selector1~0 ; 1 ; ; Selector0~0 ; 1 ; ; Addr[0]~47COUT1_92 ; 1 ; ; Addr[0]~47 ; 1 ; ; Mux12~3 ; 1 ; ; nRCS~5 ; 1 ; -; nRCS~3 ; 1 ; ; Mux12~1 ; 1 ; ; Mux12~0 ; 1 ; ; Addr[22]~45COUT1_78 ; 1 ; @@ -779,6 +787,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Addr[21]~43 ; 1 ; ; Mux15~1 ; 1 ; ; Mux15~0 ; 1 ; +; SA[2]~13 ; 1 ; ; Addr[19]~39COUT1_74 ; 1 ; ; Addr[19]~39 ; 1 ; ; Mux16~2 ; 1 ; @@ -806,10 +815,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Mux18~0 ; 1 ; ; Addr[16]~27COUT1_68 ; 1 ; ; Addr[16]~27 ; 1 ; +; Mux19~3 ; 1 ; ; Mux19~2 ; 1 ; -; Mux19~1 ; 1 ; ; Addr[6]~25COUT1_102 ; 1 ; ; Addr[6]~25 ; 1 ; +; Mux19~1 ; 1 ; +; Mux19~0 ; 1 ; ; Mux20~2 ; 1 ; ; Addr[5]~21COUT1_100 ; 1 ; ; Addr[5]~21 ; 1 ; @@ -843,32 +854,32 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[2]~7 ; 1 ; ; Mux23~2 ; 1 ; ; Mux23~1 ; 1 ; -; WRD[5] ; 1 ; ; Mux23~0 ; 1 ; ; Addr[11]~7COUT1_86 ; 1 ; ; Addr[11]~7 ; 1 ; -; WRD[4] ; 1 ; ; Addr[1]~5COUT1_94 ; 1 ; ; Addr[1]~5 ; 1 ; ; Mux24~3 ; 1 ; ; Mux24~2 ; 1 ; ; Mux24~1 ; 1 ; -; WRD[3] ; 1 ; +; WRD[5] ; 1 ; ; Mux24~0 ; 1 ; ; LS[10]~1COUT1_52 ; 1 ; ; LS[10]~1 ; 1 ; +; WRD[4] ; 1 ; ; Addr[10]~3COUT1_84 ; 1 ; ; Addr[10]~3 ; 1 ; +; Mux13~0 ; 1 ; +; WRD[3] ; 1 ; ; WRD[2] ; 1 ; +; Mux14~5 ; 1 ; +; Mux14~4 ; 1 ; ; WRD[1] ; 1 ; ; WRD[0] ; 1 ; -; Mux14~7 ; 1 ; -; Mux14~6 ; 1 ; ; nRESr0 ; 1 ; +; Mux14~2 ; 1 ; ; always5~1 ; 1 ; ; always5~0 ; 1 ; -; FCK~reg0 ; 1 ; -; FCS ; 1 ; ; RCKE~reg0 ; 1 ; ; DQMH~reg0 ; 1 ; ; DQML~reg0 ; 1 ; @@ -901,96 +912,94 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 250 / 784 ( 32 % ) ; -; Direct links ; 69 / 888 ( 8 % ) ; +; C4s ; 281 / 784 ( 36 % ) ; +; Direct links ; 63 / 888 ( 7 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 12 / 32 ( 38 % ) ; -; LUT chains ; 40 / 216 ( 19 % ) ; -; Local interconnects ; 460 / 888 ( 52 % ) ; -; R4s ; 312 / 704 ( 44 % ) ; +; LAB clocks ; 11 / 32 ( 34 % ) ; +; LUT chains ; 34 / 216 ( 16 % ) ; +; Local interconnects ; 483 / 888 ( 54 % ) ; +; R4s ; 341 / 704 ( 48 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.46) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.54) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 1 ; +; 3 ; 0 ; ; 4 ; 0 ; ; 5 ; 0 ; -; 6 ; 0 ; +; 6 ; 2 ; ; 7 ; 0 ; -; 8 ; 2 ; -; 9 ; 2 ; -; 10 ; 19 ; +; 8 ; 1 ; +; 9 ; 1 ; +; 10 ; 20 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.83) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.75) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Async. clear ; 5 ; -; 1 Clock ; 21 ; -; 1 Clock enable ; 8 ; -; 1 Sync. clear ; 4 ; +; 1 Async. clear ; 6 ; +; 1 Clock ; 22 ; +; 1 Clock enable ; 3 ; +; 1 Sync. clear ; 6 ; ; 1 Sync. load ; 2 ; ; 2 Clock enables ; 1 ; -; 2 Clocks ; 3 ; +; 2 Clocks ; 2 ; +------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.00) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 10.08) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 1 ; +; 3 ; 0 ; ; 4 ; 0 ; ; 5 ; 0 ; -; 6 ; 0 ; +; 6 ; 2 ; ; 7 ; 0 ; -; 8 ; 2 ; -; 9 ; 2 ; -; 10 ; 11 ; -; 11 ; 5 ; -; 12 ; 2 ; -; 13 ; 0 ; -; 14 ; 1 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 14 ; +; 11 ; 3 ; +; 12 ; 4 ; +----------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.04) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 6.83) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 1 ; -; 3 ; 2 ; +; 3 ; 3 ; ; 4 ; 2 ; -; 5 ; 3 ; -; 6 ; 3 ; -; 7 ; 0 ; -; 8 ; 5 ; -; 9 ; 3 ; -; 10 ; 2 ; -; 11 ; 3 ; +; 5 ; 2 ; +; 6 ; 4 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 7 ; +; 10 ; 3 ; +; 11 ; 1 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 16.50) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 17.04) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -1000,22 +1009,24 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 0 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 1 ; ; 11 ; 1 ; -; 12 ; 0 ; -; 13 ; 5 ; -; 14 ; 1 ; -; 15 ; 0 ; -; 16 ; 4 ; +; 12 ; 1 ; +; 13 ; 1 ; +; 14 ; 2 ; +; 15 ; 3 ; +; 16 ; 1 ; ; 17 ; 1 ; ; 18 ; 0 ; ; 19 ; 1 ; ; 20 ; 3 ; -; 21 ; 2 ; -; 22 ; 3 ; +; 21 ; 1 ; +; 22 ; 2 ; ; 23 ; 1 ; +; 24 ; 1 ; +; 25 ; 2 ; +----------------------------------------------+------------------------------+ @@ -1048,7 +1059,7 @@ This will disable optimization of problematic paths and expose them for further +-----------------+----------------------+-------------------+ ; Source Register ; Destination Register ; Delay Added in ns ; +-----------------+----------------------+-------------------+ -; PHI0 ; PHI0r1 ; 0.069 ; +; PHI0 ; PHI0r1 ; 0.507 ; +-----------------+----------------------+-------------------+ Note: This table only shows the top 1 path(s) that have the largest delay added for hold. @@ -1056,7 +1067,7 @@ Note: This table only shows the top 1 path(s) that have the largest delay added +-----------------+ ; Fitter Messages ; +-----------------+ -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected +Warning (20028): Parallel compilation is not licensed and has been disabled Info (119006): Selected device EPM240T100C5 for design "GR8RAM" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C @@ -1068,8 +1079,6 @@ Info (176444): Device migration not selected. If you intend to use device migrat Info (176445): Device EPM570T100C5 is compatible Info (176445): Device EPM570T100I5 is compatible Info (176445): Device EPM570T100A5 is compatible -Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 69 total pins - Info (169086): Pin RDdir not assigned to an exact location on the device Critical Warning (332012): Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (332144): No user constrained base clocks found in the design Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements @@ -1091,40 +1100,30 @@ Info (176234): Starting register packing Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional) - Info (176212): I/O standards used: 3.3-V LVTTL. -Info (176215): I/O bank details before I/O pin placement - Info (176214): Statistics of I/O banks - Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 26 total pin(s) used -- 12 pins available - Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 42 total pin(s) used -- 0 pins available -Warning (15705): Ignored locations or region assignments to the following nodes - Warning (15706): Node "Ddir" is assigned to location or region, but does not exist in design -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 32% of the available device resources - Info (170196): Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 34% of the available device resources + Info (170196): Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.53 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.27 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 -Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg -Info: Quartus II 32-bit Fitter was successful. 0 errors, 7 warnings - Info: Peak virtual memory: 382 megabytes - Info: Processing ended: Sun Apr 11 13:22:35 2021 - Info: Elapsed time: 00:00:11 - Info: Total CPU time (on all processors): 00:00:09 +Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg +Info: Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 547 megabytes + Info: Processing ended: Sun Apr 18 03:45:24 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg. +The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg. diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index f5eba1f..9f627aa 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Sun Apr 11 13:22:34 2021 -Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Fitter Status : Successful - Sun Apr 18 03:45:24 2021 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 227 / 240 ( 95 % ) -Total pins : 69 / 80 ( 86 % ) +Total logic elements : 229 / 240 ( 95 % ) +Total pins : 80 / 80 ( 100 % ) Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index f4bd9d6..6492a6e 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,6 +1,6 @@ Flow report for GR8RAM -Sun Apr 11 13:22:47 2021 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 03:45:27 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -40,15 +40,15 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Sun Apr 11 13:22:41 2021 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Flow Status ; Successful - Sun Apr 18 03:45:25 2021 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 227 / 240 ( 95 % ) ; -; Total pins ; 69 / 80 ( 86 % ) ; +; Total logic elements ; 229 / 240 ( 95 % ) ; +; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; +---------------------------+-------------------------------------------------+ @@ -59,42 +59,42 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 04/11/2021 13:22:17 ; +; Start date & time ; 04/18/2021 03:45:19 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ -+-----------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------------------+--------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------------------+--------------------------------+---------------+-------------+------------+ -; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ; -; ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ; Always ; Auto ; -- ; -- ; -; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; -; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; -; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 44085571633675.161816173700648 ; -- ; -- ; -- ; -; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; -; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 2 ; -; MAXII_OPTIMIZATION_TECHNIQUE ; Area ; Balanced ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; MUX_RESTRUCTURE ; On ; Auto ; -- ; -- ; -; PLACEMENT_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; -; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; REMOVE_REDUNDANT_LOGIC_CELLS ; On ; Off ; -- ; -- ; -; ROUTER_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; -; ROUTER_REGISTER_DUPLICATION ; Off ; Auto ; -- ; -- ; -; SEED ; 235 ; 1 ; -- ; -- ; -; STATE_MACHINE_PROCESSING ; Minimal Bits ; Auto ; -- ; -- ; -; SYNTHESIS_SEED ; 123 ; 1 ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+-------------------------------------------------+--------------------------------+---------------+-------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------------------+------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------------------+------------------------------+---------------+-------------+------------+ +; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ; +; ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ; Always ; Auto ; -- ; -- ; +; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; +; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; +; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 136298148942.161873191903592 ; -- ; -- ; -- ; +; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; +; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; +; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; +; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 2 ; +; MAXII_OPTIMIZATION_TECHNIQUE ; Area ; Balanced ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MUX_RESTRUCTURE ; On ; Auto ; -- ; -- ; +; PLACEMENT_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; +; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; REMOVE_REDUNDANT_LOGIC_CELLS ; On ; Off ; -- ; -- ; +; ROUTER_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; +; ROUTER_REGISTER_DUPLICATION ; Off ; Auto ; -- ; -- ; +; SEED ; 235 ; 1 ; -- ; -- ; +; STATE_MACHINE_PROCESSING ; Minimal Bits ; Auto ; -- ; -- ; +; SYNTHESIS_SEED ; 123 ; 1 ; -- ; -- ; +; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; ++-------------------------------------------------+------------------------------+---------------+-------------+------------+ +-------------------------------------------------------------------------------------------------------------------------------+ @@ -102,24 +102,24 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:06 ; 1.0 ; 301 MB ; 00:00:06 ; -; Fitter ; 00:00:10 ; 1.4 ; 382 MB ; 00:00:09 ; -; Assembler ; 00:00:03 ; 1.0 ; 292 MB ; 00:00:03 ; -; TimeQuest Timing Analyzer ; 00:00:04 ; 1.0 ; 278 MB ; 00:00:04 ; -; Total ; 00:00:23 ; -- ; -- ; 00:00:22 ; +; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 421 MB ; 00:00:01 ; +; Fitter ; 00:00:03 ; 1.0 ; 547 MB ; 00:00:03 ; +; Assembler ; 00:00:01 ; 1.0 ; 381 MB ; 00:00:00 ; +; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 368 MB ; 00:00:01 ; +; Total ; 00:00:06 ; -- ; -- ; 00:00:05 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -+-----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+------------+------------+----------------+ -; Analysis & Synthesis ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -; Fitter ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -; Assembler ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -; TimeQuest Timing Analyzer ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; -+---------------------------+------------------+------------+------------+----------------+ ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+-----------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+-----------+------------+----------------+ +; Analysis & Synthesis ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; +; Fitter ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; +; Assembler ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; +; TimeQuest Timing Analyzer ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; ++---------------------------+------------------+-----------+------------+----------------+ ------------ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index ca0a2c1..3f1d964 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 7d7b7e8..8db2d70 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for GR8RAM -Sun Apr 11 13:22:21 2021 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 03:45:20 2021 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -45,13 +45,13 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Apr 11 13:22:21 2021 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Analysis & Synthesis Status ; Successful - Sun Apr 18 03:45:20 2021 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 240 ; -; Total pins ; 69 ; +; Total logic elements ; 242 ; +; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; +-----------------------------+-------------------------------------------------+ @@ -130,30 +130,24 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------+--------------------+ -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 2 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 1 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; 0.0% ; -+----------------------------+-------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 12 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ -+-----------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------+-------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------+-------------------------------+---------+ -; GR8RAM.v ; yes ; User Verilog HDL File ; Z:/Repos/GR8RAM/cpld/GR8RAM.v ; ; -+----------------------------------+-----------------+------------------------+-------------------------------+---------+ ++--------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ +; GR8RAM.v ; yes ; User Verilog HDL File ; C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v ; ; ++----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ +-----------------------------------------------------+ @@ -161,33 +155,33 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 240 ; -; -- Combinational with no register ; 138 ; +; Total logic elements ; 242 ; +; -- Combinational with no register ; 139 ; ; -- Register only ; 14 ; -; -- Combinational with a register ; 88 ; +; -- Combinational with a register ; 89 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 130 ; +; -- 4 input functions ; 135 ; ; -- 3 input functions ; 30 ; -; -- 2 input functions ; 66 ; +; -- 2 input functions ; 63 ; ; -- 1 input functions ; 0 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 207 ; +; -- normal mode ; 209 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 35 ; ; -- asynchronous clear/load mode ; 30 ; ; ; ; -; Total registers ; 102 ; +; Total registers ; 103 ; ; Total logic cells in carry chains ; 37 ; -; I/O pins ; 69 ; +; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 99 ; -; Total fan-out ; 1036 ; -; Average fan-out ; 3.35 ; +; Maximum fan-out ; 100 ; +; Total fan-out ; 1055 ; +; Average fan-out ; 3.28 ; +---------------------------------------------+-------+ @@ -196,7 +190,7 @@ applicable agreement for further details. +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 240 (240) ; 102 ; 0 ; 69 ; 0 ; 138 (138) ; 14 (14) ; 88 (88) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +; |GR8RAM ; 242 (242) ; 103 ; 0 ; 80 ; 0 ; 139 (139) ; 14 (14) ; 89 (89) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -209,6 +203,8 @@ Encoding Type: Minimal Bits +--------+----------------+----------------+----------------+ ; IS.000 ; 0 ; 0 ; 0 ; ; IS.001 ; 0 ; 0 ; 1 ; +; IS.010 ; 1 ; 1 ; 0 ; +; IS.011 ; 1 ; 1 ; 1 ; ; IS.100 ; 1 ; 0 ; 0 ; ; IS.101 ; 1 ; 0 ; 1 ; ; IS.110 ; 0 ; 1 ; 0 ; @@ -221,7 +217,7 @@ Encoding Type: Minimal Bits +---------------------------------------+--------------------+ ; Register name ; Reason for Removal ; +---------------------------------------+--------------------+ -; IS~10 ; Lost fanout ; +; IS~12 ; Lost fanout ; ; Total Number of Removed Registers = 1 ; ; +---------------------------------------+--------------------+ @@ -231,7 +227,7 @@ Encoding Type: Minimal Bits +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 102 ; +; Total registers ; 103 ; ; Number of registers using Synchronous Clear ; 10 ; ; Number of registers using Synchronous Load ; 25 ; ; Number of registers using Asynchronous Clear ; 30 ; @@ -262,16 +258,16 @@ Encoding Type: Minimal Bits +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[1] ; -; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[12]~reg0 ; -; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[3]~reg0 ; -; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[1]~reg0 ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; +; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; +; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[8]~reg0 ; +; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[2]~reg0 ; ; 3:1 ; 6 bits ; 12 LEs ; 6 LEs ; 6 LEs ; Yes ; |GR8RAM|WRD[0] ; ; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[7] ; -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[1] ; -; 5:1 ; 4 bits ; 12 LEs ; 8 LEs ; 4 LEs ; Yes ; |GR8RAM|RDD[4] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[2] ; +; 5:1 ; 4 bits ; 12 LEs ; 8 LEs ; 4 LEs ; Yes ; |GR8RAM|RDD[7] ; ; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQMH~reg0 ; -; 8:1 ; 5 bits ; 25 LEs ; 20 LEs ; 5 LEs ; No ; |GR8RAM|IS ; +; 8:1 ; 7 bits ; 35 LEs ; 28 LEs ; 7 LEs ; No ; |GR8RAM|IS ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -279,37 +275,45 @@ Encoding Type: Minimal Bits ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis +Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 11 13:22:15 2021 + Info: Processing started: Sun Apr 18 03:45:19 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected +Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(20): truncated value with size 32 to match size of target (14) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(103): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(118): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(307): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(324): truncated value with size 32 to match size of target (4) Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "RAdir" is stuck at VCC + Warning (13410): Pin "nDMAout" is stuck at VCC + Warning (13410): Pin "nNMIout" is stuck at VCC + Warning (13410): Pin "nIRQout" is stuck at VCC + Warning (13410): Pin "nRDYout" is stuck at VCC + Warning (13410): Pin "nINHout" is stuck at VCC + Warning (13410): Pin "RWout" is stuck at VCC Info (17049): 1 registers lost all their fanouts during netlist optimizations. -Info (21057): Implemented 309 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 26 input pins - Info (21059): Implemented 26 output pins +Info (21057): Implemented 322 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 28 input pins + Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 240 logic cells -Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 301 megabytes - Info: Processing ended: Sun Apr 11 13:22:21 2021 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:06 + Info (21061): Implemented 242 logic cells +Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings + Info: Peak virtual memory: 421 megabytes + Info: Processing ended: Sun Apr 18 03:45:20 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg. +The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg. diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index b5fdf9b..35952bc 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(79): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(256): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(93): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(273): extended using "x" or "z" diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 33c6241..6acbb30 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Sun Apr 11 13:22:21 2021 -Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Analysis & Synthesis Status : Successful - Sun Apr 18 03:45:20 2021 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 240 -Total pins : 69 +Total logic elements : 242 +Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index 9b0c064..6d11d91 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -57,7 +57,7 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition CHIP "GR8RAM" ASSIGNED TO AN: EPM240T100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment @@ -78,23 +78,23 @@ VCCINT : 13 : power : : 2.5V/3.3 RA[10] : 14 : input : 3.3-V LVTTL : : 1 : Y MOSI : 15 : bidir : 3.3-V LVTTL : : 1 : Y MISO : 16 : input : 3.3-V LVTTL : : 1 : Y -RDdir : 17 : output : 3.3-V LVTTL : : 1 : N -GND* : 18 : : : : 1 : -GND* : 19 : : : : 1 : -GND* : 20 : : : : 1 : -GND* : 21 : : : : 1 : +RDdir : 17 : output : 3.3-V LVTTL : : 1 : Y +DMAout : 18 : output : 3.3-V LVTTL : : 1 : Y +RAdir : 19 : output : 3.3-V LVTTL : : 1 : Y +INTout : 20 : output : 3.3-V LVTTL : : 1 : Y +nDMAout : 21 : output : 3.3-V LVTTL : : 1 : Y TMS : 22 : input : : : 1 : TDI : 23 : input : : : 1 : TCK : 24 : input : : : 1 : TDO : 25 : output : : : 1 : -GND* : 26 : : : : 1 : -GND* : 27 : : : : 1 : -GND* : 28 : : : : 1 : -GND* : 29 : : : : 1 : +nNMIout : 26 : output : 3.3-V LVTTL : : 1 : Y +nINHout : 27 : output : 3.3-V LVTTL : : 1 : Y +nRDYout : 28 : output : 3.3-V LVTTL : : 1 : Y +nIRQout : 29 : output : 3.3-V LVTTL : : 1 : Y nRESout : 30 : output : 3.3-V LVTTL : : 1 : Y VCCIO1 : 31 : power : : 3.3V : 1 : GNDIO : 32 : gnd : : : : -GND* : 33 : : : : 1 : +RWout : 33 : output : 3.3-V LVTTL : : 1 : Y RA[11] : 34 : input : 3.3-V LVTTL : : 1 : Y RA[12] : 35 : input : 3.3-V LVTTL : : 1 : Y RA[13] : 36 : input : 3.3-V LVTTL : : 1 : Y @@ -109,8 +109,8 @@ nRES : 44 : input : 3.3-V LVTTL : VCCIO1 : 45 : power : : 3.3V : 1 : GNDIO : 46 : gnd : : : : SD[1] : 47 : bidir : 3.3-V LVTTL : : 1 : Y -GND* : 48 : : : : 1 : -GND* : 49 : : : : 1 : +DMAin : 48 : input : 3.3-V LVTTL : : 1 : Y +INTin : 49 : input : 3.3-V LVTTL : : 1 : Y SD[0] : 50 : bidir : 3.3-V LVTTL : : 1 : Y SD[4] : 51 : bidir : 3.3-V LVTTL : : 1 : Y SD[5] : 52 : bidir : 3.3-V LVTTL : : 2 : Y diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index dc67a4e7a48f237c7252b84ae8131deb25b0a595..a0b09c86924f8435b6745b07593c8a2e8a956817 100755 GIT binary patch literal 7879 zcmeHMeQ;dWbzftQO@i$()B{OlOC`aXOvhkb1``}1CM{nfV25u)C53?GI3R7twzOdJ z_NMLdk!dKIX>Fo7vXV|ohEQuK^;n9%yuBs?H(j9{!wJF-oAV8Irp63`Fu}XHs6p+r52@X-n;F=j>atyJos?qy2d-c*mzIJ*BY;XXxmP3rp`$%#Ua;kxpn=9wRf&t zyY{cHOf5{EkEOf1cW&GHNXJ8|Mc8A1E=*nUiTI2C^_o@E5Rt}bUwmz$_{j{9YucfTLTk5e2b+ z%rnG{8~KTRNDgzx=(G^#r#(#TeAL@UkygrB{9Vbc{pOUl`==44t6Z4FI<$%X!~DrG zL!RD4GNc!|t6Nl7^Qx}sgr73lXBeO*EZlXFc)B30p18*c*NTZIjW#65t`NA_+^@mT z;^aKt%6U^4uz!Cx_Rrl$VqY$%yELMV9Aj4Au$k=eh>x3MmUKRmzrQA7w~RD1vS89& zn;BWqM(epKx5u8(DQhN)SBen@Ju2{scG>6pJ2 z0b}GG^pUV%=^hd-!65vIxV8K`wqL5&Oof>m6E55UJV<9-V*Q~vJOMm4&IQt1nHuy_ zNu0#^p8)LQ=sSbF~8T`4f`wU)(2|iZE{=Lq1>@-{{8n`!&7zn zkuf8r-NHB@Qi46BcI_~2kjvUEuGBTWr=_&;WZx6RV~b07T>a+$M^mX~5589^UwG$` z#m8mO{j#+58T)EjnOJw7vG$b@-~6(9>XJpTe#_fjTDPzFuj~Kx(@zGg|K-)O?upLsLVn<1ugUK_aNw3_>h?Q4 zpErtc^2nPiM3kvSbBH#I%AQx}A4Z<=9B^mGut87j&^KIZ6V&N6Wa7N@WUz5MtPsck zn%0!ytI2IMSkp28w4T5h;HDhpptaxA3h_lFF1`nXxu4$#1v$#bf=-d|%PDgWX4b<6KP$VV8`X>6_P| zLKzj@OfYB;oHkyqFEb=Zuumj(Rg;EVMxZu@--d%4D^{Kx;$;;o~R8U?*lpC@C@AoyCGK<+efKAZ8#LB-^KB@zs4M-&KLld zX3`YP#LA)Si}Yla+Xo(vj5fUHXjudfsB1b$K1m1iSOIk8FAr%38ru9&9JmW(q}DU} z%PoJLe=U4Y8%2JRrja+i0PD5p3I5a*0O!pvYzPq<6^gn|jAPyZTqu+tY6Z=xoU#50 zfVAc+v7cWm0`c-k2ZE^GY@_WH#G`<^js9IVraPgYMLn!^K>krt0}kcAA?1~JLfrFXuy_{npwUR&O^y?H3Shsq6p z&gM_KC3T&7V!>Az-Fc??^JpZ)BP{r!KQ zoR81|^zb7^VTMIc^d<_bp|a3(R=%c5_>Tck)Wgx-P({zEP%zcXM`C_>%13@2a8FDy z!<6y}`RE=8pW+EWIpEK`kX4}eZCKxA1S6NGWByiMO!{vg@o`Ymew~rlla5I8$D^D? z|9Za*!n(=PTD4H;kaf>|9#G9NDJs)`yOC2x$R9T(6w*45U;Pc2f=Eh)FEisd|FAxk zCY{!(i$~ zptQh!F+N{r^XQN1I=zZGkUFyR1LU3yR^OW2|5M-wK8ZYy#(O#Xb2$=8{1g@Z3V8eL*V@!`T06Cvzg)Wy{qL40ch2gobj5SFKonwrkZ# z;{0*0Nu^4QQkY_28O%0ceN*$J(?`sTCEs=0J`(eLEeqc=Q|DcM{g?imb>9BHU{UoC zr%mtXGb@**;{I2@_V16Fsf({$bipfZ)$RMrt?J}#_haXsS-C0&ypezIE2qb{-WHA? z`+@AuwB+?!zISX#(^~_FzT0l`D?6u4!|xvZeOKqQ>sMcTI6v_G&+~rMKYe-4Mfv!A z&Edj`!LK@s@l?j*Zsz^1sV^6>k?lGI{|E|OiPGIc4)O9*!cU@x`pgH2a_FCfh;uUe z8a3x7>Z0`z>j{W$az`S&AAO5h_MlxaB>WonI6V@_Ux5>ArbznoZsp}G!t#3A#z*vC+xWoY1TGA2@2l2X}p zRME^s+*m!_fk05PoI4@Hjb%sE2oE&)?c55wJ6R2JmGyWsngq3RW+OeuzwD;TWPT@* z?=qA^mI-++pwE2%lJpIFIqa5pT|+mY<64i;uICRyKb6yy$UiB)GWE%<#QA-R{H~hP zA-mt!4fG$Jt4P7_NEh;Pd}$`78KrUPjXtfFXA~=-fhBVz^q?C2U~z%@bAm(v5mV*l zIh54*T}*_4$jY|`NuyokLQX{W_}3PP1Gvw@&z|kGMrf-?f-eo$>;!^*=ROJBXTdM9 zqfT!@H5gZO$Zr%nsT?`+9_;t^V~8j0@l?-Ds1NR~j8M8jdqsu%cy<=^M1k&3{QD2b zOiTBaL%fi!kn3qqp~x#YO6K!+T0?ELqawJw`kv84feB7bVHE-rEQz1@HI(zBf%U%&kcI{BSts~6GA zmoJI)PqPIJOM@T(?(Y9Ovi!Ub|Mr!$fBVSMHCLW-UVbvx->HlqJIZ=P&-2DRce0H8 z&IvEbWd1{+@apkd3ibr0&S##!Y4_39*Ic^dM|;10!>2C&!oc3AvE@aqp1=msvlcx~*C$k`EyASjV zWiU^Tc#afQaFy(VAB-qKZc^VT5N5zR4ZjOR9C0urvnY+tke=Z0}Q&rAlr z5bH(;`*99q&mljH6B*25>4|v0Gilh9S9SZ$i{T_?bBODowhmU4#DH&b0TNEHr@pN#qT+;dp z1zjGNgY?JxW#gac_S<+>L!BOx2r_t}ArEm2`ELlwH;?O9L$^&Tn+vsqtH%kek9|_p(j;|D#QIfPff~<3E8bw>3U0s$MskCvuMR(fK=r+&n-UJY%nvEm#n<#^^L1Ox#YF` zX3t42JCmaFf>pyl=oM<(@NUOzpE!T*ua-Z#;3H>leRScG^FXgGSQXoGU+usJ{uJvk zUml2{>*{;;?8O(Jcea75-)tlPiJ~Ve??g!&&p?~!GpYQea zn{Iibe-kD05h*(|9QijDGT0RbI*1}$#}fSLKJ=5y>21b{EXy5VLsTpPHRs`kp5R?jdSh{9<$_VtQOhuaP3_XVY7($G>h{c$W z*uz|?s@!ikJSsS(j~gEXrfpt9K63<~@1xx+qT|~gyq^&0R!SdYHja_+BLw~Y8MvH= z#ZUMl5@I-NhobZNpl(DD(|un-U>fD4T}$g1PyS`h|A#owhtz(YR(Rabujt>%#Sj(zeRs4Q4UfL#{8AT-4wQyskRdH!>+(lz|Rx#fbBO#KOzVsIc@7& zpo|&?UIy~3@j41;jS6swXhjt>oLCj-`yvPb@`B|*#aU=J6P?UrLGyjBBvI^$!8~E@ zsG`ndzO3mYzF`I`ld3@uRUy=Xj(s_!gwS~O#0!l`7kTuq;?QqRoxYXKI3uU+6upr# zBhMU?(*g9m5@uWe{oHll9T`-+bBapOe)cBE6cI=E`FWHuvcSK!Jz-yPLHVp%dhM)@ zmn;9dcIP1UYl`JpYHNR0T5zwg*S~t>+bfS<=ghA9=2fX2Ev4qWt$h_b_g8N(y6%QW zYiDjN^;bXE{QBarUiInr!IgCWAXyApz2Y%g@$NQJhHCuj%l;`i@9AQ1RE0aci zyeSN3__CcNt$fy9s8L&s?hxe9akxevHyuTT5}DvbG#%!o($@dEKzjJ{pWt(&X~eCy zpK`%QTwf4!7h?kc8aMZo@#^tW;rnVey27&2&~K#il-%W;DcFU#IPv*I!i-1aE`|4D zFFF$*`2YP>ftoWmZms<`KU7U)o;wV_9^xk8cK*u8_-T#_OsW=7d1S(^Q_yEJi?dvr zuj|i>M&Nl5?|6JqnO16NwHHud7@L>R0ur!~WDPaL=8t_&vi8@{kL6%Kqby!qAr9?& z+ZRf-8*9Dq$!vC?wRi71 z{oVIglw{KW-I*ZG?%j9KJ->7A`Mh^`-2-bQk;t^j%;3ER?|;^@Y5R_jZ`a>*PyLGJ zw?49MNBz3((XHDzwY6>8UcX_>_HS>Cw%0FPv3Tj?W%YM;Yz96U$+G23 zmM>klqW+;R+dH>Cx}|>Irbi#EUsnInz4Z@od8B^L=50H`8Mz`d2SV1YyMOs@OaFY? z(xt0cMy5wTg{k%JJGSk3e9Pv@46HGK3nH`sF!*5J&KM<$nKfRAg0YE%=NvOVb!f=U z2hScxCtNqRerj~FM)RYsWryjG)+`TR<`=E%=ZnO)j;|<+azL-)FiTgIxPZAS{*RBP z-!cDN{9M*P+gKOM{Y@Oq3*s2?{ojeN*~7dKeT)AKojK!-7<&kUVrKkp`JujQivPFh z2H)^Oe#jp@+x>yQQe!UT>{nV#PO$K`n8N8EC2;7R>(Ga#q-OmOXAd!3#ioGYBA3bc zxdeX72YTf>-Tl?7)Ee>(lxYg#wAkSCQDvjhG(vqyZMY@wMl<&j}#74Z2r z`w5{8zLjC`Y(W`Z-{mgYxu%~q>yrGIM;@h>SK_$Juw-rG0`e^u(7!?j=rouw`&J;& z*ctLb@7)!D*ky-1fEU`+DP}OQnUgPsBU4jc*@7wUQ#Q^*8a~iDjaTn6ks_8QJyvjt zMcUG#|17ox{^pX-xIQ;&$KgSl5Viu4;ir-~Y(~6DYA-HG(75vA&@aO*F(2B`&{;Ux zdK7%Bzy;gzTa*lOns^1C%gtS!*%;;hrRwWv@hsweb3nKCaXZ^{d#{zuG|}+!@n(*_ zUW-o=j>029^YyW_7ra-&W+~~G(Gf}Keyf~KAgDi%gege6VpzCK8IEw zHuj10Q`coX7QBO1EugR5-L>g6p!Y1|+bIotp^~oB(B|s~)=ot0zHoZs?rQNnb1|>^ z+~Kokluvy#>&=>Z^3JN*_=VGxyY;5OHS{>23-;gq>(lq0@}sS5zWV)rt!tYWbYFUN zp}S(m2ljzQ;r`imUwFiI2eNC2v#pIO`;{La989IY@@(q%kWSiml|ZzqT%tj-h3JBP zKAz{Q?7@Go_z#!sg%uw4*NfG-&pfK@lNDD$qC0&AJT zXDwNV@^|?pI+FSr^TvMG!1w6DR@S9JZ|<$c9f~^DxTi+il@uB~BNEEi){;YkJdew= zC~9?i40>Ip*F5022lnedhE#%Dn$9DS93EyT*;-Jrf?LZ!evOc#K@#Lv4 z*H#%jQ|!-JzUoe4AE3t@8hmnEXqZs1wkK1)O5U3mtlMmbx?}hUzDKdL?k%!&BexXb z7k`^ku)e z>c-Ff>P&p{p&4~A|E%=<`#%WyEwwsAGw-V2I{idb-M&wiJ0=@$c<#ufRcq(8ApfkU z6Hn9Kbx)3cc!F=7GwW^8uXt|a-Kur&Zvy`29rv9+8{3*4aQAWh+ApWNi>ZTy4M#lt zz`3w~=yv2^_F}>Pqm!}VIUtEG&gj-fgeyVzpE3GIVu z9SQ8jHWO@6;=G{F5oJmE!`O%N(&dJcLV;WQ8aZa6*t@VA;{eUNx=sl|9&;#)S=DaFvPlC(n=gB_lMC~X$d%Q-DOW&tI=1ckqmjb#a zM4qB~*-$f;I(kBw{EIq=2+GnMTMPFnTkAso zj@|PPH7wtA@`0Z+-F?H)XXt%@HFs2N-R}qdZQ?6)vfu67bn^b3d~VI`S-USszjXMcZ~88gktS&b1i*+j#HZJ?*!=+?L(^{9ya> z0|P(WyLau8BddE;2SfQXm7=0d=^ooBV5PAXD+IWCY8j&Ls^28WvtN%8JAr(jKnQVnbf*Pz3QP6=`eoc>^aDMwy?I0 zT+}Ryy3l9wAMP)%(Z8i_@((kZ_b4OS{u3vRPt!GgmbwR(3};sK<*Mr`wq+`y$2qAf zIs!i#`~>L0*%`(8*`S&A*qU@>8p@V20lKlPh%*K8(Oo(?3VTtQN06Vf6X`zF-=ZOZ zCA5R~3P7?AKgJ|m(yqm4E=nWC(Xm|43FIO5iMvWV+E(j7$S)wph2V`$w&s#c5^}IO zSZ_3B#`&Nk2Q?Zfk9Y*%Sf$W@u-AFw>WH0jn5qi?SC=SJsA@l~U$(dqqXxgxaJnMn zl$1F+yVbotgilmUXmRiN#Pf`!iiDjpF(^g{-*k45cgPVRlI206CV6U zly)oDhf)Oi0(wX-wdXiAIto1pM%poFDZ3_LC^sr`{)9eWzqX8gPlR#C&^L$=spEwU z+$nu`NaO1L%Jn$2|sj;2+fgQLNM58O@!X?*&Yi($SCfE8ws}QCpUfo0*h3 z!8s$Zg2Upc0{rzAhswSuY(ysxvQZ0e>a+lu(m{NoY(z=u)37Ta7E%G9Gf4MlZV33d zR0yMkyu|*P9rShh$yYkYQ~aC;Pke;Ch>o+?zBz|@$geQ}WQ=J<37FN?Q7g`p%0Mx4 zfX7-wp%xQoj)SZ;8IGfd!#3{P0lmqUWghkB_*Ew@^v-VX=_W#b_&odoev8rn9&qKQ zswdjrro)Jf_D8SszQ`rkcQzOCvarz2xePP?&no<3st{v?&T`LlN& zJ+L=p=$7e!U2>JBdi+jb(NP}6g~dfZb>TUKKe-F%3%($I&ZM=Ab5M$2;mLT^#HGRa zkgrl&t}9GD+h|CH+ItkA4ISsnH0~EpchZpw#OzKTOA+o8%{a%T1G<|JaHz~_v^3k) z|3h5TxD^@tBJ?&Y>DQ-nRPUW|jS{c2L z_8?zGk%5mq==bAYs3Z71$>1MiHO96_u5-9RD~8+_-~++XEhmLhBJa_^nqu^CEFC(F z`<2Nz(6!*a`Tk|oG4{&ZtkT$*fSe|icfhyJ(U%JnT~w-0$k*;JpC>h^0{mnOew1DZ zl%%I5Ut-5eILJ@034I6gv&&KKu-jyU`qPO~?+zB;2F{}s6lDhVH}S$cg?j0@kXyn5 zk{(MF>}KY9xKc?{vf^sx2-qn#NyY!{!+FF)RXYUwTas}8rsSImVzliv?x#a2V9>ue zxc}8~P`4DjxHDjjz@?AyVP{DWOUw6RKS3b#_^vLc)ztaEC^{wLq95}vx3y_`b7%f* zmo3=I=%*#Cio*v!N0d4%Zm8I5C)0kMU%HBX-q88tcPV-~tGB)XK%<|RC43q4y{D3h zvq3Wa>vt7na#l-xH?gK9d^{1ELn@95! zzieCL&AYeta#Nd{mdQ>;Cb}1f=V#5;)8|DtXIGC8t!-^=vM=d_gWqb%*+&nE@j(AU zch7&dy90xBhX>u`N9Z+K-7^~}pV>7=n&9^dATPR3IeAesCVsFx{iXDeKZc7cvM zDN!jU@*$v)M=QSR2VPIw1SjWRcRU9B`!)P_b3g0qW+yqQ*wW-j$Fa`RU$5y0{U!3j zQ+qc<}-Uc8MRd6-5JcVXQyab)a|A;^E|~%-gnFx%p-!o0GOy)}G{X8+8` z82t$!f_1eKgRDsCDMEW`5Yd`S&4nrbC7yM_50bh60^iJpI2Z#zX!tnP$7i}J*moc& zz&HE~^9=dOJ>o5X?Rzg!qzcYO(r+3BeT)LX41NsX@O@m~Z$3Bm