From 50d3a060356955040b6e98960acfcce9b596346e Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 29 Mar 2023 00:34:18 -0400 Subject: [PATCH] SPI? --- cpld/GR8RAM.qsf | 2 +- cpld/GR8RAM.v | 167 +++-- cpld/db/GR8RAM.(0).cnf.cdb | Bin 26163 -> 26192 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4998 -> 4928 bytes cpld/db/GR8RAM.asm.qmsg | 14 +- cpld/db/GR8RAM.asm.rdb | Bin 787 -> 788 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3205 -> 3285 bytes cpld/db/GR8RAM.cmp.cdb | Bin 62395 -> 62558 bytes cpld/db/GR8RAM.cmp.hdb | Bin 22349 -> 22042 bytes cpld/db/GR8RAM.cmp.idb | Bin 2998 -> 2973 bytes cpld/db/GR8RAM.cmp.rdb | Bin 15206 -> 15123 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 107153 -> 109553 bytes cpld/db/GR8RAM.fit.qmsg | 78 ++- cpld/db/GR8RAM.hier_info | 121 ++-- cpld/db/GR8RAM.hif | Bin 425 -> 425 bytes cpld/db/GR8RAM.map.cdb | Bin 23423 -> 23124 bytes cpld/db/GR8RAM.map.hdb | Bin 21028 -> 20654 bytes cpld/db/GR8RAM.map.qmsg | 43 +- cpld/db/GR8RAM.map.rdb | Bin 1256 -> 1257 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 18525 -> 18273 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 410 -> 408 bytes cpld/db/GR8RAM.routing.rdb | Bin 1541 -> 1550 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 18470 -> 18215 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 29859 -> 29559 bytes cpld/db/GR8RAM.sta.qmsg | 44 +- cpld/db/GR8RAM.sta.rdb | Bin 9908 -> 9936 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 70288 -> 67959 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 1021 -> 845 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 23 +- .../GR8RAM.root_partition.map.kpt | Bin 3422 -> 2953 bytes cpld/output_files/GR8RAM.asm.rpt | 14 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 299 +++++---- cpld/output_files/GR8RAM.fit.summary | 2 +- cpld/output_files/GR8RAM.flow.rpt | 18 +- cpld/output_files/GR8RAM.map.rpt | 99 +-- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pof | Bin 7861 -> 7861 bytes cpld/output_files/GR8RAM.sta.rpt | 593 +++++++++--------- cpld/output_files/GR8RAM.sta.summary | 10 +- 41 files changed, 780 insertions(+), 757 deletions(-) diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index fa2370d..0aa8488 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -69,7 +69,7 @@ set_global_assignment -name MUX_RESTRUCTURE ON set_global_assignment -name STATE_MACHINE_PROCESSING "MINIMAL BITS" set_global_assignment -name SYNTHESIS_SEED 123 set_global_assignment -name SEED 235 -set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII "MINIMIZE AREA" +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX "MINIMIZE AREA" set_global_assignment -name ROUTER_REGISTER_DUPLICATION OFF set_global_assignment -name VERILOG_FILE GR8RAM.v set_location_assignment PIN_1 -to RA[4] diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 41dd3b5..7618888 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -10,27 +10,16 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, reg PHI0r1, PHI0r2; always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end - /* Reset filter */ + /* Reset input */ input nRES; - reg [3:0] nRESf = 0; reg nRESr = 0; - always @(posedge C25M) begin - nRESf[3:0] <= { nRESf[2:0], nRES }; - nRESr <= nRESf[3] || nRESf[2] || nRESf[1] || nRESf[0]; - end + always @(posedge C25M) if (PS==15) nRESr <= nRES; /* Firmware select */ input [1:0] SetFW; - reg [1:0] SetFWr; - reg SetFWLoaded = 0; - always @(posedge C25M) begin - if (~SetFWLoaded) begin - SetFWLoaded <= 1; - SetFWr[1:0] <= SetFW[1:0]; - end - end - wire [1:0] SetROM = ~SetFWr[1:0]; - wire SetEN16MB = SetROM[1:0]==2'b11; + wire [1:0] SetROM = ~SetFW[1:0]; + wire SetENRestore = SetROM[1:0]==1'b11; + wire SetEN16MB = 0; wire SetEN24bit = SetROM[1]; /* State counter from PHI0 rising edge */ @@ -65,52 +54,52 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, /* Apple address bus */ input [15:0] RA; input nWE; - reg [11:0] RAr; reg nWEr; - reg CXXXr; - always @(posedge PHI0) begin - CXXXr <= RA[15:12]==4'hC; - RAr[11:0] <= RA[11:0]; - nWEr <= nWE; - end + wire CXXX = RA[15:12]==4'hC; /* Apple select signals */ - wire ROMSpecRD = CXXXr && RAr[11:8]!=4'h0 && nWEr && ((RAr[11] && IOROMEN) || (~RAr[11])); - wire REGSpecSEL = CXXXr && RAr[11:8]==4'h0 && RAr[7] && REGEN; - wire BankSpecSEL = REGSpecSEL && RAr[3:0]==4'hF; - wire RAMRegSpecSEL = REGSpecSEL && RAr[3:0]==4'h3; - wire RAMSpecSEL = RAMRegSpecSEL && (~SetEN24bit || SetEN16MB || ~Addr[23]); - wire AddrHSpecSEL = REGSpecSEL && RAr[3:0]==4'h2; - wire AddrMSpecSEL = REGSpecSEL && RAr[3:0]==4'h1; - wire AddrLSpecSEL = REGSpecSEL && RAr[3:0]==4'h0; - wire BankSEL = REGEN && ~nDEVSEL && BankSpecSEL; + wire ROMSpecRD = CXXX && RA[11:8]!=4'h0 && nWE && ((RA[11] && IOROMEN) || (~RA[11])); + wire REGSpecSEL = CXXX && RA[11:8]==4'h0 && RA[7] && REGEN; + wire REGSpecSELAny = RA[11:8]==4'h0; + wire BankSpecSEL = REGSpecSEL && RA[3:0]==4'hF; + wire BankSpecSELAny = REGSpecSELAny && RA[3:0]==4'hF; + wire SPITX1SpecSEL = REGSpecSEL && RA[3:0]==4'hD; + wire SPITX0SpecSEL = REGSpecSEL && RA[3:0]==4'hC; + wire RAMRegSpecSEL = REGSpecSEL && RA[3:0]==4'h3; + wire RAMSpecSEL = RAMRegSpecSEL && (~SetEN24bit || SetEN16MB || ~Addr[23]); + wire RAMSpecSELAny = REGSpecSELAny && (~SetEN24bit || SetEN16MB || ~Addr[23]); + wire AddrHSpecSEL = REGSpecSEL && RA[3:0]==4'h2; + wire AddrHSpecSELAny = REGSpecSELAny && RA[3:0]==4'h2; + wire AddrMSpecSEL = REGSpecSEL && RA[3:0]==4'h1; + wire AddrMSpecSELAny = REGSpecSELAny && RA[3:0]==4'h1; + wire AddrLSpecSEL = REGSpecSEL && RA[3:0]==4'h0; + wire AddrLSpecSELAny = REGSpecSELAny && RA[3:0]==4'h0; + wire BankWR = REGEN && ~nDEVSEL && BankSpecSEL && !nWE; wire RAMRegSEL = ~nDEVSEL && RAMRegSpecSEL; wire RAMSEL = ~nDEVSEL && RAMSpecSEL; - wire RAMWR = RAMSEL && ~nWEr; + wire RAMWR = RAMSEL && ~nWE; wire AddrHSEL = REGEN && ~nDEVSEL && AddrHSpecSEL; wire AddrMSEL = REGEN && ~nDEVSEL && AddrMSpecSEL; wire AddrLSEL = REGEN && ~nDEVSEL && AddrLSpecSEL; - /* IOROMEN and REGEN control */ - reg IOROMEN = 0; + /* REGEN and IOROMEN control */ reg REGEN = 0; - reg nIOSTRBr; - wire IOROMRES = RAr[10:0]==11'h7FF && ~nIOSTRB && ~nIOSTRBr; - always @(posedge C25M, negedge nRESr) begin - if (~nRESr) REGEN <= 0; - else if (PS==8 && ~nIOSEL) REGEN <= 1; - end always @(posedge C25M) begin - nIOSTRBr <= nIOSTRB; - if (~nRESr) IOROMEN <= 0; - else if (PS==8 && IOROMRES) IOROMEN <= 0; - else if (PS==8 && ~nIOSEL) IOROMEN <= 1; + if (!nRESr) REGEN <= 0; + else if (PS==8 && !nIOSEL) REGEN <= 1; + end + reg IOROMEN = 0; + wire IOROMRES = RA[10:0]==11'h7FF && !nIOSTRB; + always @(posedge C25M, posedge IOROMRES) begin + if (IOROMRES) IOROMEN <= 0; + else if (!nRESr) IOROMEN <= 0; + else if (PS==8 && !nIOSEL) IOROMEN <= 1; end /* Apple data bus */ inout [7:0] RD = RDdir ? 8'bZ : RDD[7:0]; reg [7:0] RDD; - output RDdir = ~(PHI0r2 && nWE && PHI0 && - (~nDEVSEL || ~nIOSEL || (~nIOSTRB && IOROMEN && RA[10:0]!=11'h7FF))); + output RDdir = !(PHI0r2 && nWE && PHI0 && + (!nDEVSEL || !nIOSEL || (!nIOSTRB && IOROMEN))); /* Slinky address registers */ reg [23:0] Addr = 0; @@ -127,7 +116,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, if (PS==8 && RAMRegSEL) AddrIncL <= 1; else AddrIncL <= 0; - if (PS==8 && AddrLSEL && ~nWEr) begin + if (PS==8 && AddrLSEL && ~nWE) begin Addr[7:0] <= RD[7:0]; AddrIncM <= Addr[7] && ~RD[7]; end else if (AddrIncL) begin @@ -135,7 +124,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, AddrIncM <= Addr[7:0]==8'hFF; end else AddrIncM <= 0; - if (PS==8 && AddrMSEL && ~nWEr) begin + if (PS==8 && AddrMSEL && ~nWE) begin Addr[15:8] <= RD[7:0]; AddrIncH <= Addr[15] && ~RD[7]; end else if (AddrIncM) begin @@ -143,7 +132,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, AddrIncH <= Addr[15:8]==8'hFF; end else AddrIncH <= 0; - if (PS==8 && AddrHSEL && ~nWEr) begin + if (PS==8 && AddrHSEL && ~nWE) begin Addr[23:16] <= RD[7:0]; end else if (AddrIncH) begin Addr[23:16] <= Addr[23:16]+1; @@ -153,18 +142,21 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, /* ROM bank register */ reg Bank = 0; - always @(posedge C25M, negedge nRESr) begin - if (~nRESr) Bank <= 0; - else if (PS==8 && BankSEL && ~nWEr) begin - Bank <= RD[0]; + reg RestoreDone = 0; + always @(posedge C25M) begin + if (!SetENRestore) RestoreDone <= 1; + else if (PS==8 && BankWR) begin + RestoreDone <= RestoreDone || RD[1:0]==2'b11; end end - + always @(posedge C25M, negedge nRESr) begin + if (!nRESr) Bank <= 0; + else if (PS==8 && BankWR) Bank <= RD[0]; + end + /* SPI flash control signals */ - output nFCS = FCKOE ? ~FCS : 1'bZ; - reg FCS = 0; - output FCK = FCKOE ? FCKout : 1'bZ; - reg FCKOE = 0; + output reg nFCS = 1; + output FCK = FCKout; reg FCKout = 0; inout MOSI = MOSIOE ? MOSIout : 1'bZ; reg MOSIOE = 0; @@ -186,7 +178,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, end 6: begin // NOP CKE FCKout <= 1'b1; end 7: begin // NOP CKE - FCKout <= ~(IS==5 || IS==6); + FCKout <= ~(IS==5 || IS==6 || (!nDEVSEL && !RestoreDone && (SPITX0SpecSEL || SPITX1SpecSEL))); end 8: begin // WR AP FCKout <= 1'b1; end 9: begin // NOP CKE @@ -205,9 +197,9 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, FCKout <= ~(IS==5); end endcase - FCS <= IS==4 || IS==5 || IS==6; - MOSIOE <= IS==5; - FCKOE <= IS==1 || IS==4 || IS==5 || IS==6 || IS==7; + + nFCS <= !(IS==4 || IS==5 || IS==6 || Bank); + MOSIOE <= IS==5 || IS==7; end /* SPI flash MOSI control */ @@ -218,7 +210,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, case (LS[2:0]) 3'h3: MOSIout <= 1'b0; // Command bit 7 3'h4: MOSIout <= 1'b0; // Address bit 23 - 3'h5: MOSIout <= 1'b0; // Address bit 15 + 3'h5: MOSIout <= 1'b1; // Address bit 15 3'h6: MOSIout <= 1'b0; // Address bit 7 default MOSIout <= 1'b0; endcase @@ -239,13 +231,13 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, default MOSIout <= 1'b0; endcase end 7: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 4 - 3'h4: MOSIout <= 1'b0; // Address bit 20 - 3'h5: MOSIout <= 1'b0; // Address bit 12 - 3'h6: MOSIout <= 1'b0; // Address bit 4 - default MOSIout <= 1'b0; - endcase + if (nRESout) case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 4 + 3'h4: MOSIout <= 1'b0; // Address bit 20 + 3'h5: MOSIout <= 1'b0; // Address bit 12 + 3'h6: MOSIout <= 1'b0; // Address bit 4 + default MOSIout <= 1'b0; + endcase else MOSIout <= RA[0]; end 9: begin case (LS[2:0]) 3'h3: MOSIout <= 1'b1; // Command bit 3 @@ -324,12 +316,13 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, endcase end - /* Apple data bus from SDRAM */ + /* Apple II data bus output */ always @(negedge C25M) begin if (PS==5) begin - if (AddrLSpecSEL) RDD[7:0] <= Addr[7:0]; - else if (AddrMSpecSEL) RDD[7:0] <= Addr[15:8]; - else if (AddrHSpecSEL) RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; + if (AddrLSpecSELAny) RDD[7:0] <= Addr[7:0]; + else if (AddrMSpecSELAny) RDD[7:0] <= Addr[15:8]; + else if (AddrHSpecSELAny) RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; + else if (BankSpecSELAny) RDD[7:0] <= { MISO, SD[6:0] }; else RDD[7:0] <= SD[7:0]; end end @@ -358,14 +351,14 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, nSWE <= 1; SDOE <= 0; end 2: begin // RD CKE / NOP CKD (RD) - RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); - nRCS <= ~(IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL)); + RCKE <= IS==7 && nWE && (ROMSpecRD || RAMSpecSEL); + nRCS <= ~(IS==7 && nWE && (ROMSpecRD || RAMSpecSEL)); nRAS <= 1; nCAS <= 0; nSWE <= 1; SDOE <= 0; end 3: begin // NOP CKE / CKD - RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + RCKE <= IS==7 && nWE && (ROMSpecRD || RAMSpecSEL); nRCS <= 1; nRAS <= 1; nCAS <= 1; @@ -454,27 +447,27 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, DQML <= 1'b1; DQMH <= 1'b1; if (IS==6) begin - SBA[1:0] <= { 2'b10 }; + SBA[1:0] <= 2'b10; SA[12:0] <= { 10'b0011000100, LS[12:10] }; end else if (RAMSpecSEL) begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; - SA[12:10] <= SetEN24bit ? Addr[22:20] : 3'b000; + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 }; + SA[12:10] <= SetEN24bit ? { Addr[23], Addr[21:20] } : 3'b000; SA[9:0] <= Addr[19:10]; end else begin SBA[1:0] <= 2'b10; - SA[12:0] <= { 10'b0011000100, Bank, RAr[11:10] }; + SA[12:0] <= { 10'b0011000100, RestoreDone ? (nIOSEL ? 1'b0 : Bank) : 1'b1, RA[11:10] }; end end 2: begin // RD if (RAMSpecSEL) begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 }; SA[12:0] <= { 4'b0011, Addr[9:1] }; DQML <= Addr[0]; DQMH <= ~Addr[0]; end else begin SBA[1:0] <= 2'b10; - SA[12:0] <= { 4'b0011, RAr[9:1]}; - DQML <= RAr[0]; - DQMH <= ~RAr[0]; + SA[12:0] <= { 4'b0011, RA[9:1]}; + DQML <= RA[0]; + DQMH <= ~RA[0]; end end 3: begin // NOP CKE DQML <= 1'b1; @@ -508,7 +501,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, DQML <= LS[0]; DQMH <= ~LS[0]; end else begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 }; SA[12:0] <= { 4'b0011, Addr[9:1] }; DQML <= Addr[0]; DQMH <= ~Addr[0]; diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 6451a876105c819288a3d159fdd2fa0261baa1af..fa4105b3ced0f81f35582a877e9138504c24f1f8 100644 GIT binary patch literal 26192 zcmZ^qWl)_x)UJ^NEfgv4ZpGbQi@Uo+araGecP;Mj?(XhhHVzwi*y!Q?zL_&~ew=wG zS$8s7$>d3XBv%r22ndKO1jx@A0>b+9WBat~W=_sl_IAX~+ziYNOvECtRyL-@9GpzV zOx%ntEQ~Bn%-qB((!{b>E@s5yrdBSWWi5K3{-+9j)BiCLEztjK|BDsP|5tlJo^>JD zVrjVnAI-_559WS1ilD~)iW*ix-Ku4Qv3Fn7%2#Clp6j1wBoV7;KBZZDIQ6H|@?OH& zqAtk9W)Hi98Y{dm8m%xcjb;ztE#3ot2R=U(M063z&daXNzFI$F)dx|;s_#-LcsMniHZ9fFenfY5X|jMvt{J&|<6$0i0IneN0O~yj zbeV(oem5-0&G<^cp?@41dtG919!b#XzRaj&@3IefF>{aj0_9D}Ecmu*t@6eyv6_>H z^+0tL{8r{^RFj4EQ1U4!J8K0OkTdk933XKN>JAhSD%%n{?y~31kNF3tX1#|q@*cl) ziC*G3y6iU8*uhhBu#P0o{qr8Zj$RgJ3O=6a#aiX}RX2Qe(enSdU;^W&5Q?$N|E6X* zQxdxRwBG3z#@W-#_~n-;3yMjx6=*#V-#WZ*V{FEMtk6$@%Cb}C;oTNbEa38 z7a^7~70#DvmGIOSKRYi`o5~)k*SWHQrwz{U5-N&lUWKEc1m2=KlJz!3Ord&Mhg|Zj zw|o4tVi=d6gjY)0IO~_70?v@3R>> zA2w0t%B4}+p1n^+^cx09qh`YeBX56RZyx9&xf$x^l2Ya`%1}^TXl#;JntUJq#JE%% z90!oQ?>4ajR|hkG7s;Oi&cM_2*;(+ZO%OazxhxDbUZHjhfA-d{GYzs2e{t9q`}UTQ zv2#xC^u4U&3jg$*gHPE2jnDK_*DJv*WlW1L)t2lLh-LOKE?pi+QHgu z_`zpvRaLb^8UHlcgiMnx5W*l^h>Mu4$}ax(V}`g~!w$Yxx>jJ-1z*zvc?^9@eUoH8i(*> za+K1(*V_ftaMF>gxnAbAKbdeTU>vzJ>j$$+cQVJc2;sJOtWT+EjrvDFIUq>#G+5S- ziFXcgs<<8$FW z^R}I0%f2bfRY0I1x%RqKlshICS9&JS{(F1tOJu^(U|_KsDSF1!fd?IPq4A89niii)^X&s^NnU{3@8pNK0b<4BTm^xcegybS14MX6_PjJD$ zvD3C&bzgEbpdm$5#1b2fwDK!^N#za&^+S7J7;YD1f8(uEPi|&ca44Jh?j2P$Dv?5m ziNW^r1$rRU?fk9Z_7#wSa$#dOg8%DSU6e{Oo}))|bvgtv@ijcY4O>?j5Hb=Xr;X)Q{)jEcH9Xc2UHMY+ zAj-zaz;WfRX|%F66fksP*@;ePS9KcSCpY6)-EQzB0^ZXuwSCpv?3ifW zjT~swS6-*OA=npko@01;*_5}yxUfHY!}qvR{VV?|m zZCII=_#>gay-<{x%|V7KR?wI0T{|Adgq_}xX?KO;h}ZV7uf4HR%aD+<7CXeUUZt#^ z`0AnVExl#^1=W}}qg5Op;NIBizx)BG_c{lbP`5n9aIVeleNKRo2GuveeGS5|#~K&M z3WYdty+VIJR`Y@JxltDHxAm1Ow6859cs~4-uvjN(Q?t~vz1vJbF*zwFX1Zh;B(+AT zoY#9vko{6ElBwf(H0hx%B@okSdna`XkM7l$I{3Q+~M zhj*zAl_I|AR8TIe?I>xCAm=>v4M<**3na!$yb$nF2Hj;{RoxQR(tIfS3BHEvVNUqL z6%G8Ddt|N?aXn7Clj$ysVI2k*;OOIhoMUa(LF(Vc4^5i)b39&Df`&F@De7kveNh4| zVvG$25MtMTvFneK54|FPe_BLX`^?M)iXt=LD)2&pZohuQL%g_c8&k*q7rZ z`7uNTIGG{^f8DYYDpV^PLpj-Z-WRaKJMqHDb)4OWS2L|L4O*vJZV ziBsP9s$y#8XR8M#im{x4Oj>$sdPP3zVwea?CGA`f^rHVO(mh^@GJ#w+I~||Of`Hmr z%vQ8T6GQ&;C*9wRbzJXMm}(DXUrT+#Y29PfrcWFX0Eu7_9YpL%<0Wk$=8Rd~@R2sG5!nhewlsPCOXS?$eDi^lEAwZ{fJzmqqF3#V6ZCo{(6VO8< zRgUtj3#xG}bNlvjF1rzY>@-hnlg~8-oLYapbOO|i(YkU6xm@5*z@6MHYu#h{wdD60 z2FNPM^{cGwIIR-G>0ohf8boRKP1ksV7!L@yYYr+y7z&E;z3#PWo}Fa&Rav_lR-`FJf#ItJ08K70QW zZM}&VZF47L4?fMXI*zWPMzL2ltK|Wf9XsqGBx@bR3BCoxza@+Ouh|{?c`a89Mlmu) zY~A|mvBMD7MUi^Z_0jr6L&FxhLCIEGrJ!r_o4Lm#aNW<~wBZXfo|u}s8sv-~#{1s7 zd2#*>FrJ_fZuawzvQevzh=fLa?Kr(vc~KFmWGmOCy(~@-IISMUbFIPpBZ{d0=;G!m zje?VctHvf4SUEaLIqJUrMG8+o6+zcoyVmrnJPbzaC*h#2@vcEo4`>F;5_7oTXo~VE zPs=RoR|7iPK>C}uG7UccA9EuvEzPNB4CVBhugraOYbM7)EFU&Mn#%` zZ_OZ+;3qn8*2I-*=*s_L6+x946(KPMlmxtmBtIkIJi=V5PJMsx{d6Gp)hz32ypmp$ z-RkN5Djh6frCFANusVm?WuQ4cW>b`D+_szw9t^q%?1<71NWUH7JTg=wSzvwRBh&DS z=0}QppAG^w7()^apD0|bhQu?T_bBto$Z%)^4UUdRR>^x5AuPNW9&PJsyIDXvKXXEe zh=rSwsrW+F*|8`(u$aCxZ>OY|7y!y7s&dldYE*)yUNf!Kb37_?SoIi~Y*r{Ro!$Lk zHZ$xmylu-5NpDnc6%lKcW!d>KFJVJZUXf3iy6K|y*|8E-Zf9vZNwG%o^mE>RFura- zVZljW8yYpj|MQX1Dgpjgn+nA_{k_o9^ZKCk;%4t-?dC4QojpyTi=jfjT)CdHCYJ!F zwwP?5?2ZSDN9})H4$a!gJ(s5Z{P6%(=gBaDJ7e?yNrtE3XQIR=1H_?ao+9!yAkOL1 zG&ZIJGp=F=tXW&nm#jo7tlsv0F*qEEx~916XOI8Lh}^ADFOk@5K$U8OQK~HN+1+yA zZDjKQSWi#4SdbR{jk5BYZSDci5?87`oFlmy2iFrv1j`60uoI57pcOuYub?=C_-R+w zf?>NSL)VB$en+K!kh=(UCK$8t^vRBBB)zKdKRGSq4%lkH^I-2@lO9kUO$9uGp0&Ff@eHMpK_I95cs`~wk=YOp6qhvR)qrFn zy1>sHHP%?wc!Z*mBCEL9nZyIbOMWF5FyeD4ZKECt_0S5A{Sj<&La`AeTp4H#EyO=F zXI6#(*kP*qfmmcQEdSEzUA@4MR-nR-7jT%Qafuq)=EZ{68#&Lj7-;nt#+`l#dlw{p?U zmzF`Hl?h7ZcRnlMR(2Y6u*- zjM8xnQ3l50fh6+S9GdJsmSMls+W->IqQ~foUqGXkqH^p#-Ou8kg+M8oOvjgvOH`Ej z9GKj`_G1e!$DBrK$z|i6`$!(K=i50*qB?mW2HDKSeURm~%T@Q3>s9S*wQj$bSns)|^7& z>>lU4Oqz@hVMDb8R8Gj$W;f#X)-PsFT5HDLvJ3w-+njFOefR3bzWj4y3= zea%)}%f{@`cYpqUX2r(;9dJAyAVg>OR*ZfMJ9eG!bH~&l@=823l}+T16COO7-RsBg z14pI(&AU1_bjKbe+yAz^cVp6z-w}pQud{UtCwlEbHylf7>H4O2(*1JeejJk9am4;# zM+;++cLdnQR6oCXJzIdN{F*cxA9IiHpvuvh=$3znAO#p+2aQSsu>UzOk_krLqni)P z8A5FZYnnL=7=nF_OHQVLGY5CG#47mfN@*97L6@YvEMlrx85rnr?i}2jK0Dbco25!E zT`ox&{N1?Kh9*StnKikH+qjL`(yKVhCzsjTP@DTMo}(A?*PhH6XShS)=ha=isPpm| z=mpErxd;HLea7?RpRwQUG1RbM^T@6V%}ge${yOMh=X1JW6^3OrXSGzjT8&UC^Wjt& z7Ot|+dCyp9ApM4Lv+6VMx59LpdRXGUYq2c79L8}v&8UQ3yf(<#96|&(d<$BcF$`x= zmv&+qiSXoRj*oS8VS$+;{ev#nuD=#HZc(|0U-c2m` z+wPG;qf*lpX{Gl&`+=s4Aolh4qrO$8^!x!hHP&&`TN&pFyZP60WC|$3WWfo`IRX!o zT}fIp{tzz9gen4mBiA<-pR8w`JLTDFf$k!47&NM_xPX4k9qf@k!cdPV?)R2D^6CLl zx1I3;46ol2vinl8Dd@{cn%n+Pe=b_B#;w6ksbR&YdI0l9o(fi@$(PQ&h+Yg+0djCg z#F+kx481zFd1?>z*9ozEvJt@id8hM{-M{VIC}OtbQ#S%{BI^y)Y$-Sx#W98}^R)YQ zztv9P+Y+qPbt!sU-|Y%~`e-5i#%yMsxqVPFpf$p;w_dILxCx6q0_zUsGurdVDA@ls zjdn4;Qca8@JsU{zi}m_oS!Nt|O>K)dliDo#E+x4fkbeE7VVk3W(i%M=C73%fx$r;Ko>+95Y(bODRl%??fwybqE3TbRu3rInjH3<08+i_I z8HF;EN-1?8`2^+X%7`5X?;TJ6&x|MfUCX+*sn<_&!*IJ+XM($5WcV`Qj^Ivvuw|CO z8n!cz@BF{OoDW2QFJ)4nzPM-lX(G$09=!w#eBi&>p9#_lkwi>TEEN{4?gA!jHpW?| zdo0T~CASK=ZO(d5-o5zVi@pw3gXQ( zGNKC7N*;ZQy&?Q*E5Pavqc+LAUg?pjhx|e|H_mzJ=U>CNsb34F7r@$kPm2Xp>PW7> z#mrC>Fgy0YZ8638E!FdR%rJ@*l&=UT0N`jZ6 zpOF&^(2?lDXzB9LeoGw}5fDuOlSjGzSTe_kjcET>v?X$#(EP*qX}D+6PvGQTRPg3F zshI&>a#$@yk>av%oxoqkVXqgR+7fZ3cbGGqwfIna2ow?oaXkPBS_GIM3?@Nvm(O|s z-X7~dhTpwsk8<{|6)vlMegUiajtvNRPkV|_L;V4J;7B^A>>d>41Cgnx=e%`>Ic4t3 zmHYT#(KSTF;M9?MIOh+N1Ku0i-*^T+CgGv10`aelWCDI95=1HQx9c677?E|2+LE0! zDeS$7Ucw!Iz~jeKyOP)9e_}q!xY*4Zl%zhruu%*i zqfW|rPIPmaz-06nlsjJ9_TF4D*nuDyIypDzVG;WA(hcU4AQTG-SuBlVs1Nt?u4j0R z)YY{9yzT!%y}N^V*3V1daV)9(hjX0ay=(2s|M93l^05y5cnOu^+7o& z{ss{77_F1Zh%Jf*;p?Lp#XC_RK-WG2s3#&>CP$QGux^QmOFxF8c{Z23JkRQ-brO-7 zflI$&I-88Y>KEGLhwQEQ6ySFv22Xha8&(M5R~n75q#2GFEKYfP6i)H-JPE7N==VEg zg#W$F#}94LjqLeOU@~qo@Zx79Q8{%ON;b%x!I=;Re0Y2$fUw#|-qElx6<}fQE(Et+ zf6;T?TsqwHK;)$TB69d*-c~O+vbB8Gk%y>1nsLk8F$pLX>FH;n@_X6p+H6TNxtcIz z{)YsgFmVI8_8E;aq-h-q$Xs!4S06n}{-ZB{bK~-(Lnb)&#Ypn{q`m~I%*m&E$$NM% z%X=KN58sFtZ{%=r<>&rd}fj%0DvhYmW2tEy`?9de0_b50U(-f86 zM!tl*F{)}VTK{w8yPri$)O&lmLPc5GgT%z?=U)thnBs#4)s%^c^63|=S~wko?cVIF z2S|3X1qqPm8Ua`Uu%@g*^3xnC=3O9V=bsnwkylot;5vp0ExpP0n_)H3bEmTWdO$sI zPp4GuC#qcHfZ>+8cW{G2*yyjGq|*^+y6wDBrNhxjnXB99U~tJ*X=wE$5GG5w0^Zz7 zy|4P0#4`oFg8~}h2%g&nnC@br5oKg3Mu0l`^p6qSDWN4v7t@91br&G|NZX|*mscdf@Nq59nc5LM z6jf2F*|U+sXg9a8^S$wXAzJICTBZ91GxTfW>|6GuQX>CcM-{(wh8~t(GC;>Hv3@F! z8q=kf&I3b#1G};T0YBgZ!wsib_nmz$7(DNDj@ratF~7q6fY|{F@@JZ z@dl6tW4-*o+9sS}IbT0Hx*mnQY^X z6rIi0kUC>bjWxc4D|;8q0&d;^10Knf{2^+#?LArguYx! zHu-x9a-fs!tbKhixY#_|I19$a3|=c(b++$r3)#~w^=vkVe%4zZ#*iIhn{EYkO;fo} zAMnSDs@mqV7Aie0?F|3>q{D|7z7xVy4tI^&+VK=b21ooQo7^9an#)6e`= zrc`-K>NC@+MMO08%xT>yap7xhko?!O%NM!~++tm81sTk9wo3b2TRTKL7>}#q)7T5O z&|JmSoy)=5NHtc~ZFkA7{V`?P2pZ|Rc}`vSp}d;4I?K*k+TtLP*To3zOl(MI$M)J? zUSQg{{XED$Nae6i6b49J_%SI`PjP;KsoqAXR>Fob=M0kM{q9r{d@vIRb&$j5?Ub$JQT_*6<;g{O$6ihG>YXoHNhp|e>v`@k)Z2)QG_viG zm$kyKP~ShBuixYZ9>NEm2%ggnC*fQrGQjvY6N4l~3RQLw*HpooFRNc~hpZGf_eK9` zl;Dgql`vY4yfINb$gM_Aow1B?bZ7>p84qu)9oBg05@c9~Ly1~Ui?WJ_`rKkinVrIl zq*()Q^4Tn)avOx14<+@8no3xm2e(KH)Yoj8Ai2~Bo(V>HICbW|CxrGr3CbG#l2vR^tNkV2;TYSaLL1puaWov00~j|ovE3TA3r6E z_>$fO;fZvAz@jfNxioPx>1KcYL3(BCU);@YrX?L`BL${O>9{POckPM}Os89@gh{ zHE9RN12cFMD8zNQ{oo^pK!tL!ZGJiKuwqfc3~Am7ckrj@n5$U7v?fLJX|76R6RR$l zloFrR26FKDf#27QMnB9)HiJsn$siUKdDs^gT1>)%To)*>417u z9EXh1Mx*}K3dP?VD`u@>_i27&qouPGw7rmbGqMQbSmiM{1{GF1f+$8e|7Hpz!lNxNKn=qO}QSLxrsQN;Xw zII-5`X zeFYO?x?vsv92~{wnZ)U=Vun%Q;CS( zN&aMvtG8)mRrOS<*X3p&!%5qF+aleoTj;)0DcyB>5RVMER+#a_JO2|XH!busR)D1{_oD*L3Qc`w=@hjHMa z71-h4S`fH%WO4oW9~-CKewsdFsyAh65yHE!2L8D=5Bwt;B93tic_Uc*4V#OT1g_+b z=}eb-npgy48Y{AtsYtIEKTDzaRa&k$ZBFt$ey&-`_H=uzUKFySncJ{ieAE?E#lqvn zgy_+X0BoEhIZt`kI?7xF?l~h2;Wq(>+!JpC>;!fmdOKbhrWkq%WF=+?6Of~lHP%2W zO9nqMhnwfeu0GCvzJD~DK6FL>qamHA<1Bab2YI%Z{>61vL%R%WYWcpB^m#+(Ovk`e zhm66}PesRm<24JsYHpFB&64D8(4ojQqD{p0EA)HIqHCz0EpS{rE=a)JUa~)>ePk~NpAsA^x(X%@ViImN+rNx1q`31V6Dqd3-8{vVx?t6 z6M)~b*e=Z`@P!Tp*In#eWQk3Xl^Xe`Ta5gP=Q`+GsSaaFqOzeQm4ArwQp*zeq1W#Y znyh;EEE?@j7yQj=n(-{Bnf>g|pI}J-mDg2VWEp0%X|tbP8{u^n{yP+QLM`L@t3mx> zCN(w)<>hFD@dOv4+vp-OcuiI}6c-&*yEcLM%}=j772?bsceqetC7hJF-rGjx)AV#_ zbwW)6w#xdTk`C#xmVthd%ka$YVJmZVq9gN3u!!oc-7jLye6DO8Lw{{33yDx%_{082 zZqDBW)49miP7V{t&iFd|M{1ZbXA$)8vaO;A6>hSDs?vEtJuEKb1R z{A>-6v)1n6lw#mRS2n583tr@zw1K;O6G09{@^%OpdbcQVS=3oA!qdEFMm?J!t(K}9u01a5=0FhDziQB8DG922EUPKV_OzOg9YZ*1qzvMYs?I{)Ag^&;gyksc ztSP`5IsKhZ1--&Dxxa}s4F0W&Ava(R7wKrrB^>2XH@2(*i}PJO#mpBvN}nQ`Ws9(` z*{qNzgPzT%7=>J*Ofe;x)vKGPM=*@Z2F-SPzh~Ccw0cnep~VKPV(Mp+`ZDR~USAS1 z}6qXISFHnzE!}-IZOE7=q~}|4d3r|dS5*<7Wj&Ao+DzKMLHq2GjuI^H8P+~kav^9NW1BX^2r2pV}u$w@Ht0M}VD;bL|_ z-3h{pOfGw2Yq_^NGH2)DO-se#DyTmm;E+5xdcfx8n6ob{`6JG$yvSWP?vO+78}l& zGMVC-WBijqEAo?J5%Ou;DI_voO!&^o!A<&lOLYGuc~Y|VlwGxE5uUl8hQG}98TDZr z$*>D6at|8OR>9b6hV67l^w7b(n&#ZLwd?I5?i@%+v>wpy2}JbRXM2uEm!pB45E@;E#V0Lngez|dz3PL4xx%Or@< zJNd-t(YFw-4uyTGkZj?%A z&~pW>`tbu-q&Un>rmHW;a{6m|E)wuhg$({Vyfw%ke<3oszH3PIlHuHqhCqA4ZHWj?jq!I2d&QoK3nTSkKGH5BOTa>HX&J<;qhj{YSuZA zB%I{Xu`^@}dPFxdmPb4u9s9mtA7^UHk;C2O<|cn|b5QZC!?LCrjXvl0rtg1o)910N zm^DI=dLbBN@c*MzhP9(uI{}v{IYt&tE#D3Yy}V++W!%)dTQGH$Do|e>Mxerd?W_JMJR~R9n^R{fszU8w#vhC) zF=lJWN5{0c*2E{@TO#?{P4D^#T6~|FHR$$+8qm3~`5p&0QS>{~1Q*ckXHfJL5?`n+ zz;AzorVldhdU>f(6=(!1uD0UINJDp8w@B1Zugnnud>JeIk6auQn4N%tdsgG{BM%=; zh}*hDqd_V>4`%hm6|R~AvSCcTOADY}*6yQp3XW~!IyIwNj`gkp7f&VfY@ul41;?^L zSo=|q0+0H!yZ-aRqvaz;ZOND0TMCz>HuhT;`P=eki#cC23A7H~rTbNJ`h%+%3WZyf zfNO45`0i1w8>Eke^3ZB=bjgY)0{W*Ru1G&bH^tWnM^tH?VQs9t+*8-k!!Z$erq0ac zX&&VJ?dLl9H}l52;>pYJd-fq~lHC4`@q7xwMU{=&FoK4c6O;;zy@Xg>a&vbpE!+R% zGx=01M3d_QcekUX4GwL9Q4iTWq^~Qdp+pa*fK=rm3u_;F=mBw?wu?~_{$P(WK?<+N zxOl+S#^hCR7tXxXDnnwzo;0FOmLV0(s`Olcc0)j(q+J6=FmR5sWkP!=PbV4JW?=$& zoDt3)Ah8{-Ghp)-L_RYN3&vgn=tJ1Zn?S#YDP6+tM!CZ7VO3SYCl{@U>A4h&X&HYN zN^DxyVL5g>h{{ob*u#Ol`uXW`rfuZqap-h2!M~rmlrk}fn=tlw!%X6QMJ9#Ts5<$O z|H{P2;OA;IJU18-!ck`IU=xltnTETDV|463YwT}6JD@-9RHxwYX|Lr-mr}k3FKsA!u}OII)_4Sylx-x{p?H(j&Hgrp84x1E2e_SLV$3p&f3UTh_$a7M@YIyOI0! z??}|%67Hc9zL6c$mlRZno}>t-k^StQhwbI)0z3X+u1 z$P^F&dDE4In*NBwE`qq_;H6G4aB7FIk(1ZhX&M93bn=z>c3x$)y4E9bkoo!ACAjYw zuT73L4ZVo;Ux7uA4%tD5_4x%W{`b&{IbEUj=-Dmzkk_|uAls=lXI)fHgQM$*itN_{ zG44Hs>6t){r!Z&hzWC^}GLD@C@R8XXNqTCKD#ZlN(xrbc{Gw0HPER}jo_^>I^9*vw z1{uI-rj4n9@~Th^D>1lU^sp*R1o z4&vgL%~D}y1}AcHY^rVP^obX#i?avNKD)j)S?Qu&4uwiEUOISAiA;^b!peuI0i zq!FBrRWg9_?xczmNT{nTk7d)3?5l}5O#6Jel>Q;`)tkmeHLO#!^TSv!0Q`gx^U}Gi zG*ROyk~i!tP!3T15(EF3+pM=v=_((yF)VBDOhU*}Sc_gATV7-LUi|DH(hO3-1KCO8 z?M-IKcUpR3si6kuX8n$R< zt;wU>SkH+VRXLz?b%==zQhR4XNcuZ?z)M{9I{U!0?)}?bZ~KDmO?$(AH(TlS5gq<*Wf{niY*&hK`Vh3-g@l$xAs_ z)9E>w>Vz6HrU{DX6><#k0r~5K8i#R zR&!s+U}a&!d<=RvSIJa7%m#57F~69oZq&##-~E>MPF6=?HBxd7s0-O(l(pYYgl`&6 z-=&Bk4+(A2V}3Ga%K?9QlBpI8e&-xTgjU3UYNRbFQ84>>tXJH@)rMIU4jzQGjT^0! z)DD@E&-Gwdk^ZaqBjkz?ry+~AODO~$Mwzw{^;p;EQAkiP z*8t;_3ijx&s?&@5^Jao>YQnxBZ=Ad&#Uh+V=9&1ZZwilKHkQqHDdYqwcPs3ec`nYC zY};8@FG<`rkU;g7>TQ+qEo_!7MyoCCj#dd!-?n}kN)o;1Qg<9+{1YLV7Q$1hvqFa0 zf0H?~Shtoe08;Ja>82p5b7Ni_sXiTo1=;E_XfdARcU-Kfn`z)N)PoL6Ue;VC+zzOU zR_`6z)Nz_mb7*~VcE;FNlZo~NrbG>T5qT%pHE4h3a*(@WLM=XEyH<14Zn?vop4LTu z@aZ*3-lXfS=Ac&GuJ5J$u9xdNx6Lv;Ppt#0*Qf%G38K6UIQEw;srUO>HUheI@rGof z=_n_+aBT`89;UrUSm3+Wg!|KS=zSK}>Uho0i{^3OonfF80ogHTI~ZUa9mX3AmlzX^ zCN=H{FA|Z%RfT)!%C|wpgA)SU6f%NHBy?89qq(}felYe+;fcIuV2x*x3H&Dv@qLSA zL7fqTOMAbnqBkZ5YF33*+UjPBtlRU@BSN)X?jGtW9RqTzRv~IQL0n2!&P%^I4d-iT zD5htO>hi;`CcDTOa1DgWHP(NnI?v2F=B5&YZuEmWbe(FfV!AKg^Bu#io%VcGUANSH zWAbc6$YeP#0DD!O?w2~OeGn+{zAFtw5<`#Ftv9Eztb;6lO1M(gm}@~&t;HRv7)TNa0%B-_ggbAFYk&1igYsce}I35MVLRFH9?^Nl45> zi_DCWXzV`;W);G2De~^bju?*5=Z-(}Mqg00zxK$7yI!ntPk$BS*JBU9-K9?L9KS53U_r zk7DmY_&Z-UV&vMd0cMH;1Cu8aM#K*K>ypFpk8sajKd0=c9F|vv2;`6y%Oba1OTlyv zf|t>zV~QPWtra%*-NRX9G5chX76i2Y-RM?U_ntvs6|$|ciMUuy6FoNHOi4BFGo|v0 zu8h-=2^(CytwT~`pHMH?TexbyPDc-g~VH)edD%3>)i+C z!4%1iW6D+M%z1b+6OOX204}i!&n4x%*EKK5Ivw%3%dqXHGR7G;(zjcTkNL#-b_~QC zp>{o#3wFjD%OxXC>YeU!pS0%wJHB2_xkQAKjmn{i7%0uga|#Zw*#- zs7kq*PCk>RSrb31Mc@-t&Yt=YcOLo_hOkjs7}OS{X-hx7-5SLgtMi%PJ#EK!U&_Op ztd`UxiVv&A0+;qsLDgdEiC+^%_)6Z?&ylVC6unclz-(AKvD@rhw2eK}-AZipUDAzN z`HRwx6vmF~l_kNw^Dlt&wpe$Om!@@tJrYshJyN9Ar&loTIrTs zW!W+~Zfqr;uj@$qx9RD95VA?9nEw)*i*nAKVqKSU?y&F|ZABLu<^=h?T)2y5We)mj z#d^*Bd(uOvgki8O8q=T{3^xD-VY zr2Z0=Qz20yJOkf_au7<4=j9O-OLoJ6IyH}@eZ`!c$}2wAcW_yDkNyNpW#@) z|ki15kz@qTGxRaWiW zL)RlH)y=q-6=FIo>Wx{CdX34b^cvOkzj-e@EGIT1IGlmv-uYFQaG%K*^q?wyFPk|r zva<5|Kb*GBsL?B8^ztDr5USyBjh{ns*MW5kv?WgQ?_u#JF7Z&XQ!^@-a?IX zRf+lWLhsBZ9OZcjhu7oGis0XT^J-?sais6maWBkUEk^7K237V}Wy<$AurX0aCvqf) z7B_zL?i!F*$1!8s8gs)b`+dM%d>J9M%HzO&LS45=(xEdY()YDiiReXXlIh%}u3dFs)&tGrgc z*G`b9hKXO-xWEk8KvuDJN%M}d;y?Ug1iLj~LD%BXyf89Y&e7ArT`H5Jr2kl^62sTxK2D6|Ho13S zvFFM^ffu&jOz<> z|JjrBk!5@TdA!_z%Zqr4*MX0o|K8IoYP47Rb@ga^Q+^;P&dcVxA0~_O9o*c1H-v}p z)@h5cgNsI_u3XCYTsT-%DN#4dsfHNb5$2tGW+Q+Tj3c&(ZT^|hv+h1(*Y;7r>OMKw zJ-Br6r|jE7bvXDSV58#`sr=k6*Kad7(^c*g$l3gwYi-K9kfUY&I3upFgfXiO<2oEX z9=*zG8s6m!e%A_qn;ZCD5Bw57 znsoGGf~7v>j;UQ?vnSoW&POFS%Y;@EFiD%Y2vxBNfsKzM%yLD z*`=ZRQnVlTyxua-BERuG>;A=H@?UwqCrQ8&pX;=2$YWwWPMc-QHnZBeUo2Si-}?>y z{G|r}`Rm(p{^i~>FD{n<#{80H$F|P0BZH@!bTo#|FV51KW0s8j zWZ`sVEmBQ7Y6~0B2fN!W_efh?kMYK~wpd}GtdWiko@&z37&f+9jDbfzCdT&>EZ5m5 zZ>J-JraaFG1I;t?E8VY0fxRbwJO{8(`(JaPsr+8mP3u{) zLleJ_I9pD{C$G8A1Ig=b+@J1)JBgYFDB0>QHy7g#`8@`eC05 z*?BB|TFHAHi73O^K0R^1Su3_rd4MH&BQ8`a@p15IQ==Xs_A|dI6cl)!hvDTv4?OH{ z&*wkWv@Q#}{xNc7d9U~tLZqAIQJSON2HmYISkw}Y}jVrF8NOcb~N~Q zAEb)neG9!O_@igQ zVqP&HW2~BHqE^-q9Sn9}!g6edF$`JQ_~|9R{NbXFjUaTt;nS4AAgE{!2*3tJ_B)GX zBL<;pnDhk@gtreQP7PEEQ=n%BvQ3BK=jCY>jn?I7oa6LwNN0q;;Yi^HVbpOdg3Z}5j9PpUDclRrQp1KSH{Ks>n*G7dweE|MCg)sXbT4O6lJB7ke^7kT8FEa%3MGHZ>|UUIWE23*+kFA| z;*10oj~v3C5c6-Khcod?OJa?nN@E()F%RB^Pel-#E~SbEZ?++GY&e<+ z3+&@(VURtAghk0mK&xk;kJKLKdpnd{??&SsHvHgaqy*ju$znn2M|i6(S`pd4w?Z);2aa=Rqm z2tV>U@$)YU&GB}%ryf3fI9fBri9>U@f}bHU(^)Z%5JEG zk7){RMK{#JcQl2ztQ+cJIY?+YwS%sMRWza5l)0r}pRq-#?x>$}sBNPuMB;O=tr>i; zwNSK;vWDy9sViR}Q1EQSmyDd9et!GGr*#m@R{d>{`JBUK9@850`QDgu`d&!eh3Cfa zf9b`fCzp6_r4{B239}1Nw~Q-^xs+?evrsPVc4=&5aJ9iV_F}_*na6>L$BD2pPSqID z$8I5OHv6pMcctF53a-l0iZ;5pkb;kjbk6CFG3Uc}TYzHUSq_IioM z*Hf$mtrOGQvqxku4X=GSXI84Yz4F4A)mpSK3Ycg9wZ7B$Z{zf=PEOh}AKd2=@5(aV zDWfhfK@YRE_Us|@zW$hM|5uF%xW?bU`|VBJrgwk&?YH*r2A2XpYM%Z!&a8~)dF0=e zZw|GIvFmc2$r(9~<})q1y5cO8{kr0;{FmKvPCVURHr~G4RW>fEyW;fW(+6i(14>uf zmMR##;+*ecgB6-QcjfcmuaCxIJ~qAS`?mks?l-n?dhxm0pR}~GWFny`EKXD<2KCQ> z;R{~~VExJQblp(djaqy5hBH(2PeN&hbK|$Q##^j;av@M9U#Kqrtk8a~#(pzo-35uq zK{jqL9}hAahtbK7-A;XLq*SL5Bggb}FHP_MA=y4QjmFrkRbUe`*0*DL@|%qc`(YgB zs9Rp1e)%PJ)z%UP&uHDE3qK6G=UepY)`CdgRiW`<51-relJU_>%Sb0&7r`TZSA5C%4)&?8Y z&|;@84)N0!r_ac7RdLXj&*mPo`PG!RHn@E~`KK+;5%nXZ^s%Yw+QHNmYp?kKx^vt2 zU8A{0$>U!lXtKwg?Ld$D7u~oGfiV|GXN1Rz5|752Q7>W^Z`=DE*H@4Xr!603oBgKP zx-HJ^uXN`#{qM&o+Tc+)G=~U!?xk$(drG6NY1MXs_7;z;^rJ1l%+28Az0P4Ai}6pD zVtl_EnuKj__}+JR$2t95_wMZ}M!qQ9u!NC+{7YV7i6@HdpSE%2t=E>%6$w3#trgCqYu$rcbRWt zx4*U~DT!UzYk+wtUhhrl3ZwF}0x*wAYZnSiPYT&y-4+=S>u4O4b->)GKF>Hd^?Arz zHqQ zVDbFauz3n4)5Qc8b1nuHNR=^QER#pTd`Fpl8IVfdgs@C%(Ja%X zqbtjlBVZoY6!un;BO|mdBX%)tS=dRrVP`v*W%F#);_9KICuqtp!l&$#eNy!@&rhku zz^M(Nau7_5<*^o>4=l@pw^H5gWD!1f!r}m>WjWMY3^`e_Z0dbrnbHeVSGD}KgY`KT zxwSIZ$)T5C-o8ao-Gp}fLuTGk$7PWh>#Gf2&2% zTlQ{}HY_%lnNIyH-3<*aZGaw@7CSum=9~Lon@VvT1_k-$#&05M8{8Ct0asVO*Ax-p z*7=mcW=cyN3dWh#5PiD9t5Y?}TaLi}lJ}Apsi9RD4$J_G8(ACQn%zxP3arlxcDP_2 zDl0Bz66 z2@59WP5#3nx$S_Q|^mT32;UDWqK2I;c<8& z`J^fgC&HTf&y0kQ+m>I^= zgocHs;?{B?tUt5b@EhY3<=eyGVeC*{{P~#nEQXpjG=r$*nB#0vh2cb4GoR~fL;`lf znNs7!(#1XtQ8#|znc`k=yw@HDioc)co4Pi~s2en`J^O1i00d1?osKjJigi`)QNvl= z!v>mvwR*}>qzC009Uatsb7xWW@vt8bK5oP?-yDAYf{v zn)zJqp${HDsT90aJTcIwFyH;>ZM@ahCP4^|~H5INHMo`+M+N z+Jn!n9(+#p;Ip>}pVK|~?CQaXDRI|$yV|3F;~rR7K1+J=S>J;XZ*%G@+pZpbPWRw* zvIn0%J@}mM!DmMgJ{NoN+0cW}^&Wgy_Ta;X{A9v6RVJagy3X1leU>_(O4O0pCA?0ht3G|^ zAv|H;l~12I*x!Q>%gSA4Ti*lc@g6w59HFah7kcoy*#n2)?st{#a1TBQdiazD(XO)f zxi0B5SMFnLbm^+k^&U3hy16T#RXzAz@4<&LtE+5>d+=cucSfu3j|(>y1$#pwc6^aZ zM)tjB`i9-i=TXDKZs**u6r%RcHeemC>+dPy)Abi2eR0mn_hmmd>(iG{SAAGRS+Bvn zi)`R*)}m-vocG*q9+6OKwgG&u_TaNhH?ip#5{J=zR%uRU`n1VYWLu}ZV1@&)Hu^lF zL8uE3V+n5x?t+7C2Xt?g`4y$j%|dXtN^!#ph%NlH+{q@hkL=%w>+_PWi$37^-_W#` z?R=kj(>=aXvPpbBxV!!B*Y^z`)xvx?)dw-`Azs}hAHb}DvsbBiL62uqr--dIU%1yg z_!+Oq=J{kcO5MH52#tT?IO!ZF#+4j%oGZE`Jjy6TIJ)cH6=$=PEz(m(LqQ)By(^zN zMO0TDZp(JX+1bMe`15%2+v2GDXd8foEmCR5A)(gY>aNeZjuRkc+V?x%`LH2aa&}ak4K8g5q{rnSUaK$ER!`QT z&r)Xt7Mey4%ILm9TNfM9mVvn|4tduV=ZTJe74+Bl;d38w@XsxGlU%1N&3zR(Qyt?C z93BAcVry_N_mJ&qM?U1j9R+4r+4_w2=Ui^Lc`^-|y6OWC^N23`Jc>BSWrr>{Al{C4 zjBDtF*M(1CocDV8^tkJLC8kPqZX?^t9(?XcypgAS)c1BBvslEsT#Q+|zdCaK!JkXq z#ivkP;k?t)Zt$TGS+3{*Q)%`OIH%mNg(F@w4rAQ;9`m=Z{TqCyHIXp?#GGiB&1|q$ zc}Hn;!3xf?j&U7uM7&F$g2RJ!U2(el6uVvQ`HN?GQQBT>F7M%=<<4$x{d2vi-6lKa z0(QH4pX_!)%LiTK`lRHu*lBAwRvruozmDp!iP5foFF3>vzdK50be>+<$_T#@2d8bk z-F5o3neQOmeqC#KwE_C@kXtIl`mjhey?f8}?jL{Cn!%1AB6nqXP!GNGb3W*(XH^+y z7pjXt@VTsbH9l>J6x6!x3ANyJw#R#FW_v6nAlt2uvVnswQW%U1Mg{21=V>PP&qDGKN<)vDDJ{G{4#b|YDvUVN=^n5lLN zzg0bOR9CbaSY%<~HTBwQ!OIA@Dt@s({@tb>ZZN%K?XZloKK{iPZCs5kdJ7~mIla*cpjaEDSbO$o zwH;7beX;l%M4NjWq>krPcLij|_b&)T#;&WY&j{y+1lw9z66Hr39~pNklFaxTSrw4O z#y1&X%mU=1@C_GkRk*P}{$;g?Jne#mUdJ@n-z*5VwfzZqC0S?tf@Ij?tNq%#%C=In zT`dT;m2FA_8J{+?VFP}KVm}~_xWj%b#0Wcld&8}+>9Eqb9)~t}RAI73STmn1!a1hg zu+`}{ve7Xeb$r^$2F@V`Vi(!KS=DhGqZ#JJ66w^151rAJ%+v)3*=}mK? zL~zcl-O13l{;f3y$*==wuQtPpu+g%OaUMOp9g*`77O~T2en5Z2d^5&#ySu$B)PB<8 z=uo9O-ss=R1>*z8D4cC-v9e?T#$1-4uJBVKI7EKUvLV-=LECmY2hJ{sqeGQuKFpCf zXzOAF{IjYBj$Qw~P<=`KzNSnYipvQdsx*r_rx3d6*tWU;TdVfCtc!Yg#RnC;;PAxu zB`I;E2=k~(vp$4{pJoYls4MoLG~A%}#|nGtu=EkyNoY}76#t=Fh6ivd4Chg^C>t>J zjP(W!Q5y8e4wU$bLR!XxjW}Z;YZ7cq6^56?g3htB_?z(7tA=n)CBeXBXrPd`-aDro zWMMjDvOJaHL9te4o0e5JyCr^}=HGia_7q@V za5m%If8cwA&i7NP66u|@wN{~z%FnwC}mh#&N8fyrq2h+ ze*V*VGZx}(g9e*(+DAVm*h$ry-y`h=TP5@}+Ss2Aq!J9hIfL)4HgB^Hs=usUS*d-_ z4<6uA&#{k}>!Jf6Xh*!HE#s2M^VY?lGunu?9M8vfq?Tv`o77gtuL{5p}*#qyeaIj~UoZc?T>Fv2y=U3DKyMX&M+Ww#z&2<>;!g3`s z!EE82Y)`$-YUqjIcJYHJbmYYEpPcNAVc+b=r=LFle{6QS5u_7}LGU zQ~~g8Awp=TKTw1U+kS0OIa26hROp$v@J&)!<$-rpbt?<@Bg_}?XsdluU~^3y(F0$C zkWym+eo^5I=!lI3pp1x|U(GZJoy=NZppP&=9dniWk>H(z1RW)1$Z#7~nHvs7JuT5D zXMRKKMWYM{JvJ}=rK6&h;_eq^_t+u^y?6NrAwbzYHzfIH0jnf$>GEH1kQ{3@Oa4zA zBqwkhC0`}lcSE`j8*Mb}|E+=b)y!){M*9;DtVjEc7q3LS4ExIxz1Cm6JudebdBt@L z<~pN|!ZC%hq4-uYRqv~hqS^{_Ff1P}&_|@`Pa9sb2VH~FU}VbCiBo;KHGQ-Gh#Gqu z5Ww8%i+u6p3T5$&p$+i=T7gakUsU}FbhVl&eWg_Aa0mTzJM{S4O|>ry=-7L2JM{R% zIzx`!nbypOslf|U`zo>&mQqp zs#>><$01fKrT*<7H{?qmH$$nKt~w$E-#Ly%UMs=!F2*a|EcgHXfyuqDLT;~Yv)uo% zLhe|5@yH{^&M(V4A5fL>!(;m^TR!)NHN{;IoreMeBIQgxE&dM6Zvxm;bJiSu z2Hn;ItgaD&;Ww3f0qnMowMBq+Ed;Rk>`mz_zOopUOI7Z~aM-6ZEN6m6K1^sv87Ie~ zzw3&F6X!gk7Wy3M!H15#k8FoK%69lZ%66cmY}U0Vw9#?U2j_eb8%*}_&-*?2*xGFu z8=UOHhxNg(@ph<(4f@3Oi5{{s8R)9du|?4*NwHKimHV{>V{o&HOZ0VuVY50I^gK;} zkHyzVn(4{}*os&g{yrA2+GBnt&ar{N56uXNZ4K4)L(Xjm(7r8AWI5|-`koJ%*WL32 zwnv+lF8o;ZFuX4O_9moG`Zej`?HP55Osf45VWaGF$?4bDF8J2V>FqL^!Mq>vJGfSw z_}#ll3`WzV1Dln$c<^Jh(iX1^Kl@bzJUp++Gc9a*`4cSaM^2XucrnMS@nY*Pu-u+2 z9mB=2OU`dNcB}i49M7*Tb&+#ezo>AYE0JHar?+VreseAJDA(`q5MH&Mv2Tqqp4nh7 z63_UXv_k%J946Q^8SH1@P1lHEY7%E$v?b z6+1@(I4hSQ$^f3cMaK~N)dl(0!A_8uAYt{ZOnjKFCvSn0lV4p(zj{ruj7eX`A`?Dn zX~qe%NuD9{h4iae56D9r$*ahDjlA?Vw=$U%fg?uRY`+GuwMI z`7v#_Z1=S=VPmBkC+C~BJyO`k&dd9Owd~%8fd^s8qXePQ|30BDn8YfF%pHAI1aQ#` z(GayQrp#Xy!fQnr%z?D^5ml5rxtGGTOpzi%>5IpFMS#a>mMXuXGX(q-#b)O? zhmCW#k8Ar5LyMeZRz__EH6WDEYvhM28-e7HC*8T#FZ3R_8qHnq*y{Y=+NxP7Xnj?A zLkN4>Jr2iPwdHkH=g_IDzh8CeV1;}+CdM=R-5|etxvuk5nyd4vhU@YqzpLD)y7zQE zr)`I}qw+S38cgOGF*j?$_$hUYJUB%AwQ9do+xwz%Qs=w0r#<($F6elvA{rZ9QJqjq z+d)HphiODLjuuN65x41hXsWmy7!KSv?m>z>vpuFg@OpY;*zFwISOn zZ7a0hl03$Tg&Eph)Aob}*^j*9k#94y;T_rKG`7_q9yitQj_P?mE%jTqKP0>H`Hjsw z=V$6iWE;yco!+~v8xR5w(SOwIj!0+k=lpmETVkJv?WT-0rFQs{oIj~OcqfW;+wa%; zA=Mwz{(bGQ%P&u1U=?;;y6#uqQk^_0r#z*0_;E@B#OKnN3ARsud{4qWrSqp$e~o(K z-O({mLR{22d2~T-!Ck5PE7~8@{&CTJM=;{H#1 z$Ky@AQ=(5!pVpp-`)JSkRmpoy?N6vZ@%p%abh}RRby3IU=4r+CX0^MnbD<4wSrV)kI!I7HgL}g&R)B)?Wo{^o;$U{ zTQQHk|#M2$Y7>6!vk8S&G@EK(XgC7&m4f63V;mk^gRf-wnn0Q{MSh%eim{R>t zo!=%Ob$&n$4ytaQHu7(WaChqXxQ?&r82O2(6FT0dx^vPWd}7J?+TQzv*J{tH9WZ`l zy-#~&W9*sJc3m5`LQXzIG$+^&wcjDRE{PZRtg`D#Q$6i@pTJq^xk|ds z2)0i9ozm%)>h`PMN!1qkT-&s^{leKRqR6&WI&7exJe9A`tA4%q=z_evv`xyF z^w+%V-Q=HB{S)Ac7JcfJwpH55+evNU-q2=a{#70C*T$E_mur7kcynrpU5IgFRAvkP zH~Xe-orv=(OL)Aew(S3Z00030|9AmxQcG(SQ4~IH(wf+J8#PqXEUZFMiJ(|86z6f1 znKF-b=0+L>ZxE#|g@9;_f(709K+rDTxNzlzZq$X3Qdjl|=pRr}5EnvqC3NF=FOv?V z4jjIjbH4kXbI*Kv>YyeO?LT*9z602a7)FFuPk4+wcE|tp{jS zHR0=%%-|`S#&_}X>U$|8BPZ*oF2btDnXqgYXn|oh(sTudO|AJ9KpYJR5=758Sr;uM zcSn0MSp!P3z68hiyXh1%E6(b*SD529Vlc_zEO58cERt)>zrWr?oBN0)$(N)Ir9oPM zSiS*Jy}E!?VZ+VRb-bD1#@;o7a*BRLd?m9|*{&#y6Qw0Tq9iY4_^#eVPf%Mwb0U2* z;*2C@{2DgH{h(JZX6EkvgAWle+0Ly`_ia&zB8vQ1@kBhZgOpXwhyh!AXzx8oqqoD4e%{uG@&J@7d1bKY%)X}C{tnMQ8z&D zEV=TK!wkceMc054PA!8JX@Vy2L8FUM<=PAnceS}INKr12Ed=ic(c z431?J$OM-C{hywJKdH`BUszA)FioTt3Zq>k?aD8@cuJO(^e)3~iW QOa!co5m^xa0RRC1|1_rOdH?_b literal 26163 zcmX_m1ymegtT!z!6ff>poKhBdDNc(!6!%ix-QA(c;)^?rySuxyxGnCs=iE=zyDtqZ^r*i;2PookN!8aoBiLp+q;u? zj4HJsJ{$K43_G}blt`oHLEq{;BJ>sjU*C_R^2^|Gw7uh~f@gmssQxM`n#v6yF?AGu z8v5&m{>aldVr$(nLR` z1H6RSURu|R&Uv9(j%VIuUF#v&Eefu0uWyfw-jC1C`hu*imrA67QZTBccNgjU9%YN%Se^HNBYY2)N>5;KTkDtAGE1K>O1Ljy?9|XO%Wpryg?~c$ z`ugK`qv1MoZbzO z$+`I12XbN_8UR{*_7>~5g}_8L+!BSKn!!`@p5b?qE0$)D%W}GF>z5se^U5G{t7f=% zuvH&Nh|eGTgQCy?A2a%cTQL#ee^>vMygG6J&Q0{PwhpV7&>*$4gFip8Mp&O-Lv73J zBy^JsWxt3alllyLTg9t1eu=(xwsUjMbE=j2WLER(6mvo19&T;g>|IOV@Ovkz$d3mx z2q;ser?}an;h)p>Nf|}kE_+cXn)>^{t9TM~yyP{aW<}dw!>j?PD_6OBbIDC0)W#F8 zhcE7-Gu0~fkIolg-bPNM&dWRFU%JIU-now7n5lfHY8o7J$90D%H>x^0!VJ|R-fqgs z4$Twn`;L1`iBOsyN>Cg?W|WQW5$4Z$@V|_dk&l9Xs>}z5g$`Z(9_hsN@6Qixbap2u zh4fuYhKnzE3jf4;Y|4qj2@9iMfM>MY2qEdht-XV43Dbd`2tGNBopJ^uhP9Kvg;tlW zDK(Kl+xrnsAaUqZr0q=KU7c#+1Z8C*0;>Oi9Jf8#>j`80Z{weKbkcTCMzpvV6`z7zk1e#>rbg7nq7E+0aF++3-vgy=zra8S;4} z{4kuKb|O73NSdJ6=0lnHew#-RkFiYk7Xo`&JF9G|fAMjHhfFm`y#n&i=!=hEEgi80 z->Bfw#`H-j(=yeFNEvO=-_snDy3s3W>{oUC60!)7#$=zv@?bAi_J!^xjOQ?d*kA%m z4fmv=%*1ZiAou3tPFC6FJtxJo(J2l5_5vPBUVXdpn_fY0;(Xn4^`mMOc=IP3F>}4ZcOu))fn<1UMz2*?H z@w7bqY?ToCjw3Mwn?HjZJ0_274$*NRk&MTh8a)?E6Jck?+GjFplVow&JKI3;SU!8( zH10W6?A0g_YW8J|VXEmfM``yJLbtX*Q8J2x5l$P04WD_hOVuka=g2O`gSOoicC=DS z2kd?ul(W|vbjU0h`Lw6#0}oH^f3pvd?$$Q6xR+a5rRn)Rz0=myFUo6Vbbsv!X1&Sw zr}f1@HQwGALP)FJkkQF4e;cEjJlr?kM(BU{7QMx@{f*&O!oeEf>)qO_gsX1lQ;&1T zb;ywRZL(8&aDy&*@<29nQs4cv_rSpeDJDHWgiEoY?+@F-T;}x+j{~93MCXj<)z8Rae3$42+j)RLd-ElPL{#1@@x zP2K8|vPyWwmXi9M336QC@_9J^ZB@=9#JQ0Zp-;!` zb5lQcQR1iDbgIc7r+*NTrzYQTput|vr%%^xJXz(q-cIHt_0@jJ zKl2nXkIN%2ncXa-)?DA##2*?r`W z*$Q_5lr{PsoE2!bYwSM=E3Z-QU_akl6w*Sa)Y~&g?UdhA7D7W8a^SJd%eosxi>c;Y z7}dyHBX{j28(p-@ylv{Q4cu|t-!G}GbGH&$e{s8Cw9+3J?WdVVv1EyX=M&0J-q4Uo z>}d9{Tz$wx#26|=2lwGU@1Hi&bEXGo{(*qY;O3)D$@ zTWtrff_BL&)^yxT`nexEJE_BcCNZziQ}fG+2)La@K82p$a{D@+T?~>w`<8k%)%r;j zGFO&$M93Ve%rO+TA=gx?lRnN$^_RR6ML-iXaj2?)A z(q?bn61778SPWr!eD&U7=gkWKg3pNcQ1j2RYaNHMSj5(kFPsy1KEL6h-jWjpsCt0~`$-z?jBxYU@k#>4;vz75q}mvhemE=V$DoQZ3h@fZ&9TOB z)A;d8`AeV>9S&tBy$wj6BL3xLQXUMUz|}t^kUk2N9>)-2>_BIW!|^nz{ig&Fhf3|N zm%s2I*MTi=jx+w(vOkVJe53S6pL{ryDJ5&wS;T_ip0#e3RM{836Wn!c^exsuh+Uw=>6T{KSKE4<>hk~=>1(njZz!k-nnT?uK{Sz&`K@1_hf~7b7Q*rVW+QezmJzf zTAJtHQvV@*@%0&h5hZOGe;s`A>bI2`<6fQgmkV{6CHqK2MgaA!j6k|;-f~-}M~N#U zISfrjR4dB?VUYUbLOr&lQ(5Tmt0lhSL0Uzf8t6;D?15~9(>SA@7*wT#1M z{5Y2TrzsAW$G^Yv@+u0&s7MnR>}xmC0@dEXT(T%e^MF6mH&zDPEJO1!Cw%9nf zl~bIS`JP|$j+XZzjAd9?D(J@q{})+@Jxs&D#<8CgR=vbic5h5m>?-E4KUJDyuHF6V z@#saPY=izl%X6A%9g5_5u2W~&q4uHs+?G|wH;Gq>3Cm-N9wHC65PzkYrMi+bB#IYC zRtXk<^|q~9-$2k)+=_k65ADT2V)0hh)zM^fEb{%<*rzp%+sf;*Ow@Zs;U>^jSHanX zm%CcwfO6@|iB3{~5xVz7#R0D|n#5QcTjEhI6X({o+vsl;PMKgrE)yaXT|b=X>ow@j z3x;(B_*wjH^kEDQkoG(}azw7IX2t4WgS*s7q{D5;)hU~2P@J13!PQum{k9Q@-KB(& zC|W_CM@}NGR5;_G1qf2=>>yq6XT0<6(9TFoO)`}_qBg@Pk=^)tmEOXrptES0Yrn2o zZ__UI_GWWasyefsylxl|?L7I-eomlnH6 zNQ=JPcT_ZNzCh0?#z3je=<6+ha801uYCY1}%h&-Ym2dgnSc$|%YQ)RQ=o-fk_yO++ zJ90o$TDJtHr3H?VO7)Kil-%iV^Hpb#lb4$VZ^_Z*pX0J=KShO*$Ei0u<*R)wsgJV> z8|6M_ffiV|hfkM@#=T_U?c8HmQu^dudZq&m$7xg-W!}n?DSa5AWh)2sEZT|4?3YEB zDM^2@)pul9O}vv5jKhyQy?>o@`I`61NVzJw>MD3z7)Ht+<~R_@rTum;+t&XPUbY&d z*P;4xVdI?(yDV)uQD;ey%~BsNxMnp&Y0aaI2%8zagv>0m7_RT=y91j31f>n1Mt0?; z9~kh%p78cq$+$8^f^K_t+L062?@{YGjrwefgB2~3E!lcp5dzcM{HF&U^e;+J-Y_%5 z!+p7WE~5eLpYVEQ;HIDG^aU(}c$L+oK}D&xn-lkaLuoC*WWOu-shqHD6(l6G&B}Qj)Z>IJYgGkC0 zNzK&$5KJpm0)Pd}ZtE_FMpXnQ7k5zq)$cIJgBbPs1RG)go+d+|1Mu&fX=*lM_MRLo z<>o!fPTRJ4C2}sJKTgd>u55K!N1d76S}lplK!Vx|36ae-8C8b77>45fpmw+j1EE9662=T*N0nUnW#TS?|43(VONBq=od zYt|Zsq6~JUjFtOa{*!UmT0tsz}m{6(dIHs?9(_$765-bI3oAK8s6!Hx+(<3F4Krjeuid(w|%Uio|+`9-V0ug6l{f=H6>8Q%N`--SV4fB!J0 z&V}IDvwLrHwxr?xj0iWj z-y#ffZO<-9B-13nX!h4qbhiJKZ+q$ckX0D}N&WJ9>YVvMi8xl=A`9vOwW%urwZxy^ zsrL&KoAtQAsQ(sN5E!)=Yi^PHR?*{?U3hA9r`u6smKzDYZRLJa$1FL?sfb5b$MnB8 zrwdgwa_Wz0?q}wgq|~HVXSEnH%G3AA??ks$j-y((#L*NN?(QXby+wLw^fN(hjU4PAj%`(ufn*tI3q zR=C(U1We=Ca!gh}+`-XiI%@?(MBIX0?;vkYf?QDel2O|MVjbm{i{I)&@DsW=mRCQv z+rH6gt*cKg8Snr^V%T3k(1#poXz~hHhB;(3dxcylACvKh(ZmjpD#}-ud67+E^vq3X zKM1!C>TDlQCl_*E71#yBNGiO1p9B$HyD8U-esU*yI;#eq;@L;Tf`|k4P@@96Pgbrm zR*T*1l6KKf_dHe6GWlzO1Fr))I5Im8gNA;>=pFpY-Ggg)#*TFf{Y&V^{B`#t!m+z{ z#`;80%4gVt_?@wp0JKJ-VboyFz(l=#gy>jbX zd1fE5+9p0!rMh2Z$?Pkreun*8M3uXC`fI#bvfWPWx3!`>iFXedUL4>)e6Zr%J& zr+1&^4g6HW=(JgH;8Jw@=ECm>9@gEny^*+YM4xzDmkpA+O??#~PHcGqIk5D#S9FG3 zs1?}xAc9vb$&=84q1A>B%Drx_F8xKBj5{eYG_#zXdyle%V!RoH@r`>|6$v21`oV4= zJwX3MxR?7gH7x{+)BdcaQfKcv-;3j08_|&TBSWE~XYGMF7O`@iYbVpTDJxEXl7H%V zsOA+zPCQP|jcc$vT0ritN8yq4pOJ zScrqhEjo+V12+gcO%xEar_W1wM@tP8$azGK$3o%_?LrNw($@Shlr^X0)z(sfAj1aQ zp&ZM`Fp7N$L_epY-kaDK-t&({h|l>+q5(RQ!ajG`9T8R*SC{>ZyFzcp zE9Y7JuY;35FP<@))&jzbPd2ZgOU_Mw^NI$QSGcm?MsAhC$CY)r%R3#fB%{!mXQj-y zfjdu}Q>eR{_kykPM)KfpweKUR5*XP1gc`}(8G8zZ!Un6?N`iRR`@7_MKoXy|H zb~^Z4P=V~vuj$p8v@rgR9HQMFle7x^5**ilHS(0)jcM{+QYzKFYWw%yHhFvyA=D?s zqDbSXAMn4or-^-ypN6fNqfbMLrbJo$(=@LH$t1ljMn>J9-R1VUett`9j6T&MtAvzK zP8~{+?%blJ(Jz{3Vl31PqP~$pCI_SKpKm<6$RijQ`u?6@vOL!^0F0mhd-O4TXLQM@ z@Ez0j!8pc1`(&PL|KD3Tj=jL+NWOt%VD-y|Nu8o>HEpsNhVUEg>fu#v?ib`3F^f0V zep9Kp+QhAdaB$M0-Hmk58umEG@k6K-s=svIXdW^%op60s44yQ*kxle{L#vP|F$(v< z;*2~7#mGJ+KroIH_#Qem(=QuY-OcU-lPk|&r)h>mNcVq@+!sI4-5HaRPEQZ`9A!~g zJ>bY#jBh`hXTC(CTn#D--FPc?z?xPtV6@I-btJy;e_&~PMHJNS2VN^Yq|Vxl5AGa+ z5{B*xAd|z~4IqvSLywN4I z{Mmzdyiz;b%a|^(tUr*;^zhpxI7xT3Mx6lPul`I@@p$;)MTTc(gV=$T_2_kGev*K) z`VyjjWWK+siIBm&nzae4Q!w{R!)R$RdG=RfbbN)IPz4NyK1%|Cq{4ZmO{y+-HgYBx zJnhxvzzCkqCYva04C7#ZlnMK^mp0q3dWD0>8Xqlm9|zr86x{>|o(I{zud_wnYKPbl z8IvN99|o=oUr^igA8%Uj_#l-Hw+~ScAiv{9EkZz`6P|ncqc~vH=?~Y4w^9Ad7U-@& zL3b!=YSfTa?It81WBG^L73?lIS{bsxm>%GrLn29k#Gd0Fv{(TY$*K(NY z;&iB*yN{L561Iu+<2WZ@ZTo6d3^;5v+X+!d$I3k6Rx0a_ETF30tXyt0VACa2J5Cr) zjaq+bXM8GP%kLbtlVB+kdU{ax#BR^$i6_mVHfY@*+iRUw>|kr6WsBO%7+xibdmIf_ z1Dp?$w_FU{GI9i8+b<5{8|flLp?*zg-NNIOGfhrxTi9q%mx}1X<1_*bW4=uIv$FMV zIv!tg3Sh@hi<3VF&&|8pGrkY&#Qu)hBoQSB3-H}~ zzSmMvYJx*Z#d_v#U@hJkE$%L8qfn>Jrs|7FE`Ey$=}1bNi%OZlF?g}#0=x1B2Jqoy zxd1s2T$K22X~?jol!^SyX^AmX5jygjw{n$e^tmu)!@bZzi5`Q6-0Pa35jVg`bNmlV zR(a@6IV^H1&ceA3--KTa7CWkJDxSOzGCfu%85+g?xXQN3(j zYL|K`EJT%!zj7-J-$be24`O`rl7Y5-8Dg79H}ZC2FUMAP*fxzT(S@3Of?>pl(C#Mk z-LzEgs^W2X?pFDd3fWV)`v#zy{z|oJ(Zd@<9*INMTB2xtH_OE}AxHWVutcEsJkqwk zxytrB{rV|ruW}|Mf6mX~>rUWMwPk`IG(WI`Q8u8ry(=@G+{DMrL$9-OqR9_XD~qge z-raEF=r5d?(0h)zwHm^Hgo**oC)&Kq2|R1ST+dRo)L#2|j~x+iy8W#PO&7f!_0-il zZtPLw4OY|>CyAHOj=H-aZK2B;FF`~Ckw{ZmL`>@}@iFyB0J|K)HNJRd*`uEQmk*0S zZbt{Od2ekqXea)#XLM7aqSnnyEFJss@yhR*Pz?c|5~~w4jY&odnO>PT%J;}S+e^NH z?aoo!n7rpl9jpL(eOytk&%0Qx-QwThylvc@=rDzXni*M^Ll){42z!fBYCM>`{x-BE z`mZo>vxPCv*LnY4NCEd;7M3NcGsgHv;(MoWkh~4&Y&a;w$O`8z)n2WlTyDDDwm84=`Wk=F()zxF$o-pfGJifB>{>YaBRhMaR%_KBC)Gpy| zC(tqBtzI%x@K=JyXrKsTRT8r1)Kj?ot`{9{%z1O>Umn#C@CHJ z6inVB2A8MVF$mi}^neH1ucq~)WdT-^xoq~H>nXB(u|~LJ=ReB1=D^ELz`}{9I3DlQ=PsWm!D7$Xf4aT@1CoGq1xBZGz+&Jv(IRNTSd!yVj8RTIX9`)IYHoa zBn=}wuypqRf(@ho>H$NTrQt1~Bdw6*hUskTp^PS{s5&#&iyoJ2s`p}+qhN*X)0p}% z^G(*heHlS~RJ&J>dbasF;db$S7j8D~B zXm*%ix7V=-sNQh}$1WZA_paBiO{| zi>oq6$BmdUBxxzR>#IhoIFFo6%Ny^h7D|{VwHc|ZR%NG5aJcBpWMoq1*OVqA$I3EC z#r^DLVk+IhOLE0#ENrgPSz}N4H&mC>ISUm0NkqUSBcN~_ zf7=Q~91LdonCtcP_DSZbf;^1XS=draHC;%UpCN9qXGqrX;&lz>vg89|{|9-H5krN2 z^`KIN9q1kb4355!M$H3K8fj8CSPhIoK7IX%h)frtdB@5BgzyUPeuqqXS4Fvwkq$G zxr1uJJZfL~ICctbD5!?h`|Xs=ax;94 zX2_btYi1tcJ5pI5bz{v~N1t}B$XXqRN(4BNPN@BTiMna{*#R0sB)Np=P1dNpL|+#y zYNgj_>PGr6r?x#@Nq*WS%juQ$?6Z9&vjk6)Fwe`ZrO1Ph5$P2AQxtYPoV2W|R~(-z zWS-ffrrz6uyz-$e&zC-HV`nnGULSr>jE1wse#2GZ1u(Q{09C?KOX#326Nd#Y@~7yl zQ6?J<jRT_k%~zmU8J!@*z)PnFOW4pUiYNc8oZ4As)UQ%DkCnmBk_pl9+<$!Y^V21|G8y9 z>&d6Sv%=v>=B7vAZSs&>PV1ywziC)$VZY`6RotW6BzkTR7J06L4)wN(>tvDgY<_Zf z;j9wz1lOv9nla82SKrl5qN^)pK>*gHr`)N1K$3|Qp^cfdvI3q2!FqB&D#^1%%vk=y z+zPn!4kYlT@ z{)bj}SB+(Fg)b%@cO7Nk;V3n|NOV#s(n!RlR;%jFPj*~aBhfk^P3i7>C82e1&bnS? z8iT8nwlbdrORsfpsQ_YRm4Z4qz`$WLaUpd)EHg)s(BLdfUOms@!I6ONqGiA$q07Mv z*Pg^*!dGv6~c8Cizvdu2cR4ai6+ zPZ#F6d>?jmww?kQiJQ6Jhz_&w*@vhEOy1Lh@B0h3_8^*68_Ptn2bZZ?+E!Snj(TO=o7fF2&TjJ&N@O=#kE^DoDgL-tT@ ztP>yQCdZ~o|Me{_kJbFT#9q0@sU2Jlx8@vy&>e_HU4=&0b?Vvmp4|zj#;~iD(3ZR(4Cgfi1qRBv6qRxnJ70d4f&0YA?x+uZPk$4UEu|{4T)h* z;L5*~zHHs+O3Wsh?vaB`Bsa&VUd|il53sYL0p3-9|MeVX5A&}Fq?I}5_P1t8 z?d<;R1;`$SjTw`(oHutPotleDu+&QhZSZ6!ryV|)htC@0K#~!MebFO!pej=|BxE)+ zcJE-eqv(K>h*S2^39Ht@|PGhQozx1#Vd z@L#Nc*-7=E@YC!JZO%y`g||((y+`A*)5F&B81F~?hW^2$Z(kKq$LswSk;_^PSg3IR zHjSR4(amsj)6rb3X?JLw?gMm7oo6ixyg`g<0p#IB26b{ATF!D#8@4eWsLYpLePp*S zktR%!-1&8SxAB|7fD-g(NAIhdN!CLIH}j$s;;O~otewOa6_3ppyaQ`hUZ7#w-G?s3 zbw)yxc5wugP7=ESVc=HHk!;9K7Ps9sUzbMVWReKNgH`V+j7SgsNd;SP<`NO~wWpr4 z>QL{q3(psik$|=88gk#d0-saUSYxZem3Z%;QsCOM(&HXzDUlE`l$Ydf?9{-%Dhg;B z*)?hnJ8SJ}m2xMd8*wg-`MI=ZRP}9V_PAVUk*sKu(>~n$K;)4+YtKdDHWf^_xhEes zsI7Llq}zRIQEk&+>Hs-QBDE>Ws<&W#vY*F1xB04{RKF!v*It=nKH%`QoaPd{;^?s- z_(yqrtcZA1WsFVFG2?5`>d=y`J$S(#SN;UJE!&wl+=8w1Aiy!(6eI-v;NebUVx2rQ z3gdXK)*mVA77u5T@J)>u1(qQA2u$#Bovz@6N_tbT1ERaQ!+<36Hm92o%a?0te^iqD z@FyZR-o>&#aB-`va_APZ$(Hz7ew5$kY=3t=sblya@~8msW;$T8mZj!@51?w|NR**M5Gp#pR8pqpP0USE&7iBX^GJyW~NQ2^V| z(F|?qbOhqwsLxNeGW-hVpMh+|uV2R8xVYxKwotauxlR*S4?m?KPt*>*NX<;R^fjwo znDShPqx6LPKHmMl*Dh6f;oJMjvkPyN7t>aOY?JBn%WC}4Uhku;e%)`tjw9=)rcB0X z!O1-2aMG|MjewI5!K0J3qcW4OhB3#kT~?HgKJs0$g+x74`4c$7&8}2EVywy{F=CEc zPFg!e64C_L5d$9oceW#=Q4<2^FLpPGGK3k+3gg~BT#QXRcfGl$9zQ@-+6>L7Eyyc} zXXh)TeSoxWfh zI5RnXaP$u%I-x`6c&NvUN+#t$+4`-IO{JD}3)UJ=99#WQHag~)Z4kEZFM%N2wYj~8 zVPa81Hq`Z` zH2qw3=7j#iYt$2iVl8HqrgVjBhfzF6)(nEXkZi75ZTa)u z6}b+avxXxreU0u+6uY`2JGa3zq9*ot%qt?eKf6xxGhpTu&>!`{OQh9qqNY4fJ1NUe z^o6d>a({?EylWwXjVl_7&u@>*G-Y!d6R9^->!md-sjS|2k!VvED+sF=)9kuXYc;#s z0QP^)WLrFy0lh`hv7zqfde-An4 z=^lzJ|G;ZNxE@SiMBfYPDs1`&E&vdK6KXn+y2uX>!ZM41uELwJ3bkV(!{bO;SC@H< zk0Gg%XQzCKi7$R@t>ioOwR|s!jmMfJzhRg_j)k4xEp;e6Bu{>k{;(y6b(rr%Q^qmj zBnfrQyIic)#DG+pw%1;*W`X|N^6c_{7CsV)Lx9DhvTRMFl-^iy$!?pj>f8Gx>+y_X zxKZ`WP-A809t|T*VF9)DEKg%lW@Z0Sc?X*&zPvAdW0oEG4#rg+{kV$Q?XHB#5W17$ zEJC>IJP%Uge{g8Bm_G&k^XIuJ6OtSUFnZ7lYBKykr<9|V9*~y%^J~F3b|S<$i{Q4e zaoY9mvGJUQf)eZ3286%bf%}BNZZ4pl)g2@)FYGt5c?`%9uFeyA9E(q0#24+jux`37 zL_5D@DAFjxzd3wb?7ftiWDGo%-NbP7VX)>0JAxOhRF;>@Ybur}Zj!ghATufb>)876 zet1o@Db7mP=FYK0Za=nCil85)as}4_-}S)0qjpy$^Wn%D0{D(~0l<&lq;i{WUh>s8 z`XKk!hZ}3=8(yL0Qtj$-)|0bkt;((}9{>r4Wj7AL9+@Kr zxn7U#lDqA_ZH&Y3<|K*#Ep^XD6Se7{hM2WX)@1xfX4d5NB?4! zaiz((LC3m8Uu@85=;@EbOPc4WzR$CA$b&1Viv4bMwAgebo9mbv{Yd{p*mVGvJV6F< z4QVzKyABq_6=9$I3F=v)7?KrfO;*$&&X$2k7hy+6$9h%jBzAI%q-TVb@?~PlOo-gl zX!s$Dv-+mEk(E0ud7_YJ25qlIpPO)2C7?C6+kUd~k1V!WRd;@jHtD81QVfi9uQq1g z{nk`gEaBmAOw!lwU`rIN*OW&AQ1jhL!XMUTHgDK~u z&!(@bgF{#LyIWIgxFih{+{Z~!9*powMxNTQr@T74kc4p352fO^pyaaCj2N~IIg`pj z_ST}Cw1aZg2`BZ10U>y=#QIddm4j*M zadQ|d9W`9?a@8=Wk5K>O49h#;d1E8G>=b*HWN7Z`?IZC`JkdbSKJ`W18x2^9bQ46? z?Wi5m?jsi8@-`GK*f|muo@F88q43c|4F76}MgDHiRef>J*X$Oev++=b%B$)<=!WRhM5^j^s@hYHJ|hntHNZYPh~?Pt_o zD$|y1*fD{;(%&qnn8piy+nMuauKm`tVaQew!>tFQ5_V<)j!)F=a3vqSVoM<{<5-83 zoMXDW7pqvLdf&ARcIxcN7PH-Z5aL7KdSkqkN>76 zbjET0L7(kYLG=7Izq$Yh_B;GpY&Otni;c7qeea@M)O*tMS(BwT#O~to(eC25NAYfc z51y?It>p;wTFk#NuF0fyOQL{|hNE@LQ`LlY z+)#UA{i`};Q{f_Koq&A5#2?FwZ(RD2r_qbvq-=~KKk3VmmM(C?Te!ftts->qO9#gr5CBZZT<5I0-?_SX!{L2tB!mM>*5YhrZl%B5LrVKF^8 za)_-q!^R|8zm#?KXtTOdS4GaY>ZL&%YPO}F1*G}JSAYINnU=S#q7?J$CJEr7i>6*Wbu-&%D`a>9pUP zHxcwuRxXUG)+f=!M7xVWM<$Q4SCrz@+rZV18z~$#KOzlmQrjnh67deq6Af-cuu38x zNp?2a3%cvE0x?`pC*X*f zrOz(Y#K1@5c*}`lTS#y2wkyX#d}++r`ACUxNZr@hc<}wgFlH{;ez^u7A6jNUJEFt} z#qUStg<7LK1H`319@Wpm`hsqChDE-@Gs^{eQ|o_A>bfnDx%Dq&V^A+6jTvtJ!{l7q zalyW+;n|4PWYuPaJ9&|?eMVlXCLb&QeP!{UK7pWeILRKnNEnBV;F!)vn$+y2`V!gR z*xU2B6?$|$Dv@ly%@hws2|3YTq1ZsK%Mr!Yz9#9F$V5ERNCt)E>AQp};m`4GfX$l0 zM5S-?NtDWJ%y>5dlhQsUTI~9qfTswoqFu?T@fxxD_UXy<44d`)$8_5hZB6#+ryLQ= z0|__}Ut!ACKxenXriV;CvW8V{|sm6`Dpz^<@}=R*AvN z#Ab38sbmBdaYQwJDBe z>P@*1O=QvpZeQT=!4F1hvWTC!Xs+z4xSd#VkPyk`4Al@apczCXu|@k6@TOfkUW_aX zseNH?rzpagE13E9<=3J;kM9$~16Z%sYH4ttC5CfxZyTjm57e&j%mmaP=&;|blkb=nS47+Pqf?C_mfGTI?jvK!r79`i6A$0u);P#Ry!B< zHspwXjG-xYV;5?ashZnCyGbjK^zf#g+mYdc=0FG)32Shi`oL`WY5xhi66)?xkOw=@Mfi1K@^H- ztIjCypF7N2;B)?yzp%ZbdDbRnUaqy>tyah;K1q(#cbEVJ#!YW4`j+vS>U`~`T)G>8 zsXIsd=gb|xqZEZhoBuc}4f+#ZO^!M}FhibWFYO&qMc^?Sy5#3(trDt51r!NsXPwR+ z9aKwLA5#c;vN&snxcg%TBA2Yo@vAW>;@7h7%AGOQPjCcBv|2&_q^^6n_qxu{@L&8i z4&zYxAMtxWac-LIJz5_vG~S@nXSY(14Gr)yZ!Nw|lD#&K=rysOZDsJ6erHAtED3s7 zy~JJJ{f0bGj$01D$XoJ}C-!=S6!lffP%h6=#dimj{DU~@zHze3;`Bxq)^BO&*E{dj z2_`OUd?xtQ;+3u3Ge7Oew7mN9>PTKweJhU^A+8rOzXXnmDPQ&kLaa71B*Gnx)YMdj z)$ErnI0X9PIsPIxdc`urRz{FA=*6g{;#Y-lg$Jla;~Z^z9GDgYtcu%ipq!7S6Rt#e z|4z{o0M}K*vcQm_D9K9kOt^?6bxU8)PfVXGB^FQ#_<_Bf-nbuldx{nQ9`JU}! zmhC5iIWnjSlOYQB(+(aCq6+PUA-_Wuz`&8fMlVpRYW(GjBXp+ciHav$wRi#xp~+R@ExBAGEo;>H50Y@S(zBWv`p*%ma*B{_^FE zLfMhcMWSZ_W5Wa4tF73BGXmg(`9c8=>Fp-&Vv^ulIL>$IgCL0fB48;llmKM~VX0LgmTD5%$3oKK(&J?l6W^Hhfm|L~CC%jhx!0r2G#Tb7(0 zp2v(#os@oe)I}nbUd&;Sh5*i<0$%y_y#ucE{v+KZ1>T2TzmK@N)vaXg_6Q3PA+KFw}j@zdWey= zvZifVFtaITuj9aI%3$x(%wYkW{KJno<%@?c2mbDM*Y$!Y212JXHo zmQ%B=%J|A2@&a?%hGcpBx4($}BdpbIWf?_s%e>9t=W@+7wWptZjfntkOM%WY?uWwu zm8VYqL82J#!ut6DtG*SN_*F*riO8fMdu?g<3grSNk5nTVU!l;p(D_==^bvW($>i^6~TEE3^^wr0cRs{5~T5QvCiENy>fQ z*pG(%i{YF%HdgT(H76yBOGL3zh~=s!2?KDw$Qo+|X@E5|!l?PYKY za$5<8XGoApE|a{jkX+FBTExD}*!oL@u~a&dvs7_D?xvwT#4uit+s!jBQ7Fsx%RhBf zw%w|J558VZC;&T)fYk;$rzGGF>nk1;l*dOA<|jXbKX#B8qWtm}wURrLYs$`{@Mr&` zYTfNRyq58ctCXG-FNq<>!3DZ1Mp0ME7GFdZo?8 z!f{i~dJ+$)wg)?7JWO!2yrwVgU(cF=P*oU>KaFxe^kw5KkW0C6@o20=u z2{13V$5Y^VhHX0Lmu<_?qWdgcx)ri}`WK~cNEmklm46SL*OFkj4ZZEXXTsxBF7_D= zjMI`Xk`vVO6zwG0{yRK`;1K~+S0)1+_qr^5R=Ris_v2$yJFiiB`jxqh%HKRQ$TADlErrX z3(EeoRnz9=%>fn|2}cwm5kVO{9tD~x4s?NxqtWV}nzHGppVb$CmJ=S(-$vF`qoRsg zHp4na#E_t3(p#Gml27~omZ18B4gju+pkd8fL^o};yx1OfVK4B za;kp^*Qpjx1s5Xg*8fIsr}0j#cIjZST+Ej`5%AD9E@4}kh~TWHkS#f5rSniDS)3m~$D_Wxof^3^ep zcUB!)5}a0;#BY@qpqF%RYRNOMW?0<9hehz72C0|6{K^=sbJJZUaek0mGkYFrzT7E& zy!aFURQ~S{Y%Ld%wMYfaTTmtKkdljB)jeI@UOmUDcRR{6{x)#j{i??J6?oGKZSt-O zB_LCNBu@IB9xAW!yKOeZMJn>dhcWA4sY};~o9weaG z5#+VF6sq15-_O2M*vpf<_I74PGCQYrmB$?;d_%6Hw0Idsb~$$WcWU(1jzwUlYK_w8 z<}_}CbjXK#>D{G6XGboV&HJji_@~=xar0&&g@rmf5&C?cMFDyd?8zE|qsx!H4!XAg z8m)8|S9RK%o1yb(zD3hd0$e{6-q!jKu3xU>&(T(EHe2U*+sfrXLtQo@nq2~57tK&R z$~~79ASu|nsu$AjDw2MjW-Iz@#JL^Hx_DcM1QuAlo!GoaoLiWKhOa({6y%)F)^pM; zIHQqZm`p!6J>KH<1ScMQ=uX{6tsFaLPL?!ccTU)YapZM>HEmE#LPO~S!G?69t(BKu z&ZyR}Y54*Rdya4~#u0&x$>p5?q085=+iRhpn*=WlG8BxyVq9JNZS44*CdN_?L>A}( z1Wtx@BuL~=(Z?o;ytA-LT+A~wdkjMbIqd`jkTX2_`C)9%enVhDpExkB67tqh{P-xQXwnN-#q??P8Y?YOe#6BD~V{lEahSx4%>GZtt zx^D3Ba)-C^AN0q)(b&EHPT$Gb5ytgX$R{ik%6HA1p%HHskw=o@+}3tJ;2+8{2}Ny! zbgaC{nf^}zlO=50pv~T#p)u!kgZR8H8K-H>u8-Eoq0_f7wK0h=Ila9Q3Mr?zUi5gx zbCue2z04x7f*v6Uq_4^-ep>+F|W%5km^IJs{0dmg?g`n;at%;Ba5 zr~mFcHHW)V12+}mppwJg8UP0jvgdF&Yv3jWy(z&Bo&yHQYh2~F?jy8fa~C-_ZXd4fx$n*sEJDhCcd2Z< zQt_OzlKWsfKjd%=f&(QnJ%>A4<4f~3K3GSOA57H$(s*{dh90lnR>8ep12N=fQavSk( zHt8Kb1)8^U9Y@-z*S`ANR4-R~u+*)^qNXTujRze2{#9_7++!m}IJ=L|>v2_Ztc87B zo&6m7Byz(CsYuV)+23(nQA4BE%hKdtR%!>v-+o>H9q+B9$97muJD62{K1kPfV=TvD zmQ?K_6f<&g>{o-2r{*j8uT2t%m|H*PZxj7BdP z`o@vR-`;-X@fR&JS{oU*Xqh31q@j=+{a!32c=Pix%@%Vdq_VXiWOwa2f*Tz^c zW4(-hB94MsGQWs5vGjPhjrUZ|uH@0$v1IMBCYHu+W1V>)82f~c1@fqkShDt56HDW^ z51-EB9)wxP=h14hWIbX{EH!N#UmvyCS#FW0x*o%gb#1;v9<>om)*fqOY1}r}nfHN* z=M#1Fk)JyjgO~C3k&SiosNq<$_E-~3KRybp|h!o~u5)J7~>d#s72aoeM%v#xVh z9h~=pPbk|R?n#}|uQYu5z4&nLcd3nU`tUw4X-D$DJ#g>Hp|0tEGVhk9?~?MH=hHft zs-5@1(sx2>JD}$=?SAC1JSfuRITqd(CFS%c^AkAC-qGJvVimdbo%pa2CCrW zhU&mct$i2SdhiP0*5^N?U&=iEao6E%)Y+IU<)%?coaBg3l(L!;s79ZBPyLc&IoJ^n8ewwmIQW zKR~fYb>@TkPKwhe4{U#`qz!)Ndp;9or$4?lquf7~6Wf5HokTgMM=xJzd4wCcX{L~V zm|%0;q8n`MAA~7R@Md@PT4r|_yM!~f1NNamZQ#x6;a}9_Z~lkTTw#I*MHM}M5cG-| z^*&aP6D;2T{W4hFPu5Hg?}!G`bBU8D&ZuB}dDr2OPyC`zk>m04`QJ+Dx?WM5Futf^o@7g40KPl`g_Y#Oq zg)j+G{DqVP53~oshRE!J6M?AA9&79E0lKep+<+i18T{mp795h}(na^o7r5k%WB1d^3&D9&7$8pRcvQ5ecRAmGl%7ZSNNRvk138 zoMO^8E12sw^0C^mR3~4q7lR@9a6a&WZdLLcV-B~x&tJU22^&4s_}l#KmRJ<}buw@)HwTT<=qF|`MMwmZY0PC%P_ zlk>z)udYqK7|S%Vj=`q>)Ru1QP)%Xof_V0MmhB;JZOE~Q+>}7Fj%gyRgM7X-q+h-V zZrjk@{Mj8ZMmf2}Ys#%4+3o5;Guh-6G?#KsXc{H*3kpblubiB7AI17(8EuxJk;3@; zF^p-?^pxjK_2xWyYdUIzsBjKo%iTWO$TbqDnErVBixqujsuItBpsq!%WkfFQF zfLZa;!5k7WSwrsEqQT&SWl4Bk*G$w^(Q|s)w2(m_RxDZe^&S`44c^!E|24hLt@LeN zsFlGOwY3F4kKEQLm4f|PhSWi>D?qN7SY~-oxnHA3^Qi*lv^ri3GzURGU4UdUb~8Zg zckXKCe5L@oT#$Df(p+DFWI1)OA!PJ$tg=McB6jU3Buf6TD~3O&p=S#;@2GXTlh68A zLw>pdc~bzZ20&uxS^1q-2!3R?6cegJAKIFza+^{xIdU60E1Z2bFex_%jR znCs6GX1Y2lfJ&6pbJskUfT7_>`Xx^ z5WZpCNDjCk)@YF%v*a<=!%V5;ZY^=_>k7Dg6GfhuB24*J1Kpttf1HA}4YAvOiPg5L z>v=_AtHPzcaT}9hCt%7k=oR)uaT4l5zZ9okVc>eRB|9oHAB6N|wVf)SNNN(DZ1nx~k71$uiTsD4eVi1f`iwi=i9q&MGp#im&L+CJGE;PNWTW8Sh z-%ZIU@9Qd!!)yh1Db$;Cvvs%Q{li&j4>DOU`*x{I(y8{SpJY=HF~_VbKS za#h(2d?8aIpE8?UM)&`TpHrhJ(66nH14r#<}o(cNq^wrs4f&P1-O4* z0Y^U(jOw9cO7~Vz1;9V8b2E-BhCczYsG9|ecQOF_ew88?UG<;e`}m zj>rwB!%d@KuMpB8qm;PqHBAlEfu?7(pIL(}ipjUBCmV44x!KLx<^Wp?+aa!0H60nv zs3CN{N;FplnpKk$kkE|W0=ZOA!(PFgm8xjcPr5B$i%yHAeP(G8$*5XT?G?#G%}5q6 zoY#xw{b0>OB%>cd@@Pf5Nwl})rCr-}*H|T&V1H60^Gq0D185d~{Ye3BEU2V#;s_unG>h?> z+sB^N#Dwfx8rOqniz9lYhQ>mm;w{rpG62RPLex1WJDiaxz?28+2So3)`@X1$ruJ=q zbABIPNz7n}b(ML6 z?FZ_r_(cKX3G5eA*qfSTZTW>l9Z4-70Y--J%O$6y4hc=zB*IXI=6XRoS5L zxl~b6HwF4m038(KUR_%>&;dzn4^s_Pa?vfG6j@$h!ajq^7Tu90_f&w@u&p1r#X)dK zpmUAM76;!+!A=h#+Z*%Qy^))OT_0c(*@X6G|2M)GV-1hMts4NF;^CTNoi0TL=2BH? zOJUB+e3Pm$7~j?(Tv8Z*b)H%INLaP7$S9M`Rfj*^D!i|iz=eO~P2Yq!ZV|sLLN@~r zzrO0{4PL@cjvw3ida`%-2{la1Pi6*8sR6&smP_HOLaO{Mg}Ef{5#ZY>k^W!lFzY+$ zpy^{TsePW+$t^t_|8}VSb1v_9BvzoT1b6kj(Q^6k(g5+#D?(4e?y`$=qeJ4=T%u~3 zox<=WD2ru-@ooKVrZDFkj$d9E)ELPm+bS++)N-E}2bBJd`&&@qb9`A0; z8;_v3g}Kmy&R&h1wsg*Fd#x?ZOb2-`b&%(92m5qchb(lU(`ClOM3}3|mcu{=LKx zRBYr2yd>I29{gaZjtlL0mB%N zX)v8xeX*=9ZyUe4y*$S{=z6AuuF=7}4?%D2vrS1RbY1n-OSFTx%Yqijrt7A2*3k}e z6dk>$rQJ;~L@K8IS$bFBk!mpbrvSkE!HmFHv!I@o7#OC8K=(rL@v{tmp|=%9l` z9j+H{YWTO6=ba98*gtMd=WGW$U9Pvg(BV0qw(@N6po5tXF_AsMw!CfaK!@k3+R|A) zrsKX`+*WThidAi3nCsiZFjw8x4sIJd!0@rrRxt9LcU!JU-xVEb4Wh#n-0pQAy?U#n zOP|o+tvUw5`riKUImv_$+raP~#C0)nM%Uh8soEa{uUE zQZd;?DULw+vscPLsSC*?;o+brJNBOx>~bB(Th-=`(CWRh{j>Xi{Ea}(eI%+ai;!!g zdu+}7NG~K0ny-*brc`gNdOjp`O*)|Fwmf3%-D2Q$*ilFHL3=sKH6!0J-n5)5-Oqv- zJt6$LSx}PZG}$y^&=it>HRH12Cv_N6@C{=0xOz09=TL<|yMYk{_99CYg*+>m)spR! zcf!D9y|GZ@@nqv#*N&x1G+n%yILGA<&cOrI+H+{7Kjb>argu&97r~Ay;y_o#0^>VI~7aq)DuPp`b;Y~7~fV;XQP^L%UL&upxfl; z6d!CpEeA0=O>RnXoiO#$2-Ac&V4h5F?=^*K68tD1KVyIHSssbC|@Krn>O(`)4|0SdP4`xlFeJMyL!E0&y5LhO<;_-3oUp9 zW@iWc92K1DtqpJ3mE@(b*8#kxaa2QnU_1ckij>!uw~a0B1D%TZDG!|ypaz6 zn@PNG!kddB>ez-iU`}dYYy-m@WJ99sCcF_puC&w}bhzGZ!yEFnr31{QuFcxe!FSGd zun%x;>2Mv~7KVAG4GcPH3xh1W=h})6Fs(N`f$5;@E^DOJK22lDvE*j8SXHWzA<$qx zY-69UFwFIB=`{IPrV}&#aXM=m-&kAn(Uvy6(HB1*!?f4Ie1~hZy&ZHt*#QP$XlqmE zleRGFwX?vL>c=VgyTCjQABDyW{b{2E^!$Fy>w55ak%x!U+VIwuP8Z%D7R=U`Iv|d= zowJZ7h0&=}yPr<#7bvn!^s&3pE%@;~& za!oK5L~BA5K6fYc-yoX#2W5bNM-0EO*r}rX&fy^40^{aG0Fx${;$|1#?@y+R#Ckdmr)Z z$w;AI9&|mK_=Jjmd#LHJjBZf>Ju)KreS$+z16=SB6K%dLZChi5N)Zki#%)XyjuN_y zi5j0aD%)da$3koaA7|*^cZqGxVH`2VHu_h!Ap>L9Qu>?N7X^1UqAC2&_8qm^L&<)R zGIm4;&V2pEMEVP|_&_TA_kOAf$NUvjYm86`#-6v|+zg_LNWHs_1deRtLvADW)hpIIHBNErm;M^hvd)^imt;ICHq73`pz~ zIPcks@)YOQOuigv!~%kN2F{{<)om%gnFQ_#D3ft(*HXnX=7$^m6z20lrDJ8a&P|`+ zL}PgUj}ktQReU`)em9>IGMuBJl*7?h2Y1v#wSzk*7_)6o&#v>0&*@}r-jEDCb$xzX zxo?LvpV-qjKEa*p<+j=msc~NQIX&7^xH7$fFNaI@;$Y@$J1Qz|;T8f+860$w*65{r znOB?X1=#;y#~+7TOGW3`E7b?vGR+;;Mhx{fv-42c>u>((+~JQ?JMmA{)fK`Y$Szag z8Px-FHfX&9{2SHmo8msRQJxlffDXu&@mNeR{VH!KIP!nw{?eQMEyg=ok&jeC{99^> z-xpXt@h8x3M*Ny;sD|K70Iwka%K;evNc29agZT>(i=P$Xh^ZZ%UZRZRI0&{W3VRio z!MKfIsr`%!X#)795CY7c2CzkJ1Liz@XIvQmZpM8|{%!RHgGF7#&?EodlJ&mRT))wo zrh?*B3aMc9f7ILT@vmFc~<5Ouxsg-;~h!CjE2?0=yg~0R36ltI~9aH}rDs!?Mw@ z0SEM#GkDks6t>H#_o54pcjdCmdI{iDI*vADo$hJk{EUvdX5b%Ff6sl4Z#E?%b&kF` zsiESOIG6~&CiKIG#%A4VH;4YaC1J+=Wg+1~#fiSSzFk_$rxe0WGsgdy8qza^Nayxq zKH{Meob~u1VD&2)8{oqM_(&IEJRHiqy8v@753o(6Hbnc>{ZVyFQ#uD*c&Y?uq^2na zPThq*eU!C>-ls5F<`@V(6ynPekCsBk#ytA@eTNu3fS^-r*9hRxPUf%Y~=htSt z^boaS5OLHXSknDkt+W(3z3=3WOnT$8q? z(*=gvwJn`4Fb6v5jg?wk-Y#~K=SByZ!yS0*A`c6%wmM+jp)JgbMUf|Rv06MKe@wBB zdRyW6_Ug8D+nngVH6qlAXH@$j9Whu3+I)&7f9^T+%L3ZCzOYn>GrheATq;A#=VIYZ zSIeW~lND!Cc7K-Z)64GL2Fc!!v)o3hK3Nf^`b;AM{=yvLsq;5-m-F&-?@{B^q!oHO zZJw8+Z_**c#gYNWnphgHE%(8lhE92%ZF9JkpEht!ZIsjN3b*%M&&jw?m_+MsMz^Xys=`I2E&{Vl8;0Fu1s(5 zkjkwBw?^v-0_w~?{pSVzX9l+ITD^AdTK9!2LUmS^67U1E+@GyrU2Ont*#jRO7x!lHJ?{+;2lbDWTabB#J@qA@x<+j0>5R_Y{Wmb{%K|4eGFW1~ELA`= z+6$6%zYMrU6_a{Kg$;oFvhq#!<7Vz2+H@RL9UC!R95ZVk)5%tCVxJWJcEMc`&hvsn z*JpLBl;?T)*X+)kr%$T= zp5PbIx$x0;Oy`FrGe2^&Q#@Y`_?MQ@q3*hlyTS!-4)gsbD(_MKS>Zufv*LM)bYXTB z*u=Z4E8~4!*Kbq&#Ozp>{Vm$g~lr2J|My{q5$kndD}R_C+IP46mBoEH#ddXEm4 zUc?Lh7MnZ&RZf3m&}|(y_Q`MQSSffuLeKY^?gThsn;!6NKGifoC4apspPG^{Z&!Xs z^bd$8X3Jt;?@%31ePDN z$$3Io)T#Nwa`ACneK}{m9#DQlIeLK?j%zxw10M}vCtltb;5zZm4@w?VJ$+N1GH$Q| z>A2c*JrXx&1{h=EI;^fNKPnh}H9gSZMIC2#oYGMSS5|ik9zwiK+n0zJvk7uEJyICn13V&q z80;~fm(6R)0d3Oz@{@DYtGYfor1E9O()F_MPPMJpF&Pf@#&J`}v<}AD%8*lUdM396 z8dgskzf8~b_9w*yKS6&&IXv!`Uv8B=%vEP}zESW;luwJl^>kg%8v`? zoMZrgh2)u4enP%|UFB6$jLQXwy!Z9zNwwWj+X1z$P#r%;3!O6AEw12Kd3@O`SoFYw zUaU{v&vauser#pa&HoPo0RR7Z0c=vwYZE~fer?j)wCV4tp^E0<4+ts|6pMu7?q-tR zve`{$C(GtvX6DOM2Tc>vfs4oHJAj>t5kye&q{oEo1VS=T@Mb6VApP{kl_EgX`hZr& zkiI#|ERmoo{O6CZy;m|SGOAuOWl%8$leWXS!7aO)q-!YrF{Ymb=mHuJ#)zI@VqLU? z+&$yfWDTgq`ePi&@1`@zth#GA>S-d57>Y9luOMq*A%ut0_wpg=Iga#1CWnRkKeYc;^26gAd!v zR2x%idWO=*xl_s0A!QU8b@FStJmK^HEveyq!&*tySb32=n>6q zl*^0{>e)YKuK#R{#aWIIt@04r*lV19`SA_#En+ODrACuApNBRXrcIP7xA~+Spk6M1 z<$-`1MktF)>U;icYh_J6pj2Y&$o0RR7T%h@{s diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index f7d56bd4bd265148546406336a2cb150167bfd2b..ed60408e66a2901baeb47b55895edfbd582cd637 100644 GIT binary patch delta 4902 zcmV+>6WQ#BC%`6|0HYTt^WelV3s-B4Q~-AT$m##0WX_-ptO)$_2yTcINC*KEoDc{O2q_>zBnaY=L?VYklz$LReN|ok^R`yD6Nv3- zpEQ0|UsqRGS65g6y!Ew}O67X`-Mq{1)_s-AZB(}R+w;L*4D7|grNjVo%Kl6Ik$B}- zlylxo@4eri5B6eUF9!BvU@r#tVqo_ect5R`Rr=vMNWYI>fxk*+UuEC5WY}~*G1s6s z9$M!s6@ZH~y?@#ca9DKv33vlZ0^B+~R{R~%a2@^ngYmKD7C3rXFVNon(&kWQ8O2$L zP)kK;u5AbtS{7zI=I44Q-Yf1i@uKr-6YtH>lyO*IESFJk)vyLGDB4NauOVo-+)X;p zVjUHO1pBRMZ)}cK(0jA!lu`I?*jwm#XH}TpDMocqn148lFBL}R<>aFDl@lQX6 z5EH{<+7Ca=3D`EkX$$NJ2l(op`{um;F*u_}da#(j*ZYq_{L@eU#|9lMS&1GVo4$94 z!nt05K|vq)a|`{Rf;=QV8%RU)us9)*R5}s35Ob>&h!wnY{``5sF=pv`HI;jpp~|Wj zu-8%rp?}~aDa-~W4ZM*j5OR2rGEe0wLuTM8rT6Ha1aL}N$yNhhTfIr}VqtEjwt9;& z-T7j$J>D7(Tw!-UYt9{wB(qiMUF@&amB^LwMYYu%S*AZ;AB|zyY=0%IuPT*$D)Us0 zm5|(&5-lYrm1rt4p+rLoF@~y(F=$VV#2A+r7|L0g7=ddK&*8{=bba`F zSAYKQlqCVw=r8t`PG*q`25J#dwC9S!X$NqVZEl~MM9{T2Hbyh96bI^*&~ZbnH4hb{!qNj*eYN$A7LvW5?!oph&5vr(Bd#sf#kAT$B;zqKqgP zWkk6s!)m6TS;_015zyh4JdbF}6oS_Ro=rt`E21YOx*5?E5#5OBs9l;8-X5A1ftH!e zHcW9wVX_s-$v`#(IT6T4AnSq51DORf^s+ZR<%gT-IkkxB8O$RD66S`>l%1{NfPZr? zt$vtowBUioa)x2Udx7U4Ry{-v5ZcfnQN_91)_1! zvr@u#K|QHKiJ_P~`#4WlD36y?SH?kzvW!#Y#iT~mwDTeC?F>$BZ45@-W;r*yHaWax z1wpSUwNbWoWp(#%xHnII>O<4c=Q{m9+Dgw4spj$-IQ`Lx*{0{Lfo+vam_`zP7@g-(CrHypRbg?qQuPZTV9mM zc^y2~19X=5-xSWctRiV z6$SLR4m_fdrabNJwKRUCJ=(-66l+CANi0W*LJ8-ah{;zYCSwMbBxRvUIT2JYm8^Ls zRBtL~S}`*jGtHQph?z#r)MF+X3;VbP2Fab68Y}l=5r0Q_V+ohVT*hS>J}h)7ftRo_ zHe#k8GkMIgHm$?T9Ft8&Vp%I@CS#@ zuk0tmIe$4eL_Hxvff1kx5tE&mA9Ys8hH0e`+Om1prdX1@14-t%qj04d75Cd0xjQvz zfgybM0u!pSD?FQf)8J>BB)&lwMXu@fQysn%Y?k?hN>CwF3;OxasWft#j}9&sk9lG7 zg|{t)9Sh5B)OMs*UsdKU3SpA;!xxGy#)1_2Sbt`vC38bX3^iQ=9HB~Hk0ccD9u6d5 zD5(d9a(RH7XcAWnpnWxtIdE{7*bOBzs9YFWj>*9KkS5!?NY#iVT@QUwa`yEcH^8+J z8GJ)>gb1jB&%_f>g^wq(%1PbBH2T!O;mc&Pd2DxC}4xT#gSp)KR)691Tvhx$(i9r&kQ{41-QRdw)Zx z(?O?T)!`62rz%oD8Ka)V{OK83>3|)H`9pMs`GatT`NMOB`2%!>`HRm8^9OOo+ywO9 zfG_-aHwcMyupxDkgHBO4@E>3y1b2i_azI!rI@kmApW*bY8^E1k>k?7@Vy9qeg}#;n zgg=q=-8VHrY>oeR2L#q2Nc8(1Fn?H+eDVXRg8E4=#`H@bu-oVtD|E&vtfD1YwK^DK zrk~=VR6`k`?qYtX#N#s`%6Y8x6JcBdUvy(0myO`ztLS4;EP`tb@Gh_^o;0x4s+CNV zl9Qk)R0-IDi+c|^kya3K;gE!LP%Np>^SNZDz)Q*syyUaMi|L+sIXCcC7@jbX!%Mcki5#kj0fOzStC5;+%py2qe4-JVTke;Wd-%KLZw_bq}9mF zpp$xEdxU88iDxVRJOY3li6n_QgD5#@Q%=f%TZw8WX(jkn#>ib&ea8Y#lYVVUHmrtlG)Nva z^pYi+NP&UmXUZtR?^@M=Y=K>?dd&h~u&RI40-v|4*DOg2`E=-E{Df5<=a}SyoljZd2d(OVu_QBV7C&oE*ny?8C zs$Ry}q*pDS*OaZtaD1y=A7ELKb$-v%`FqRGvP!OgM_DNvddAZEcS~m#;_(Ci1D0gD z9d7;ExGHE=$G$pw0A6pIlt_Vrf6|h?$&$R&lH5d#@q>nTJbPftC2)v2 zH=CB{|5mEKdw-Z%Pn`G(4^+obP(zG*i8>;NuklFfeNh_|pxf3v3H~VfbzU>c>1tTt06NKFJ5Qb z3UO%2w9}jjX7xXpQRu|MVMpITYxTXbx@jKje`ZOV*(UzuEVd3NeLS&;0D$MMSqw9| zn*IYxGlRWoN$xmv;lBHL(W3k1!;hXK`ov(gd4Fc>bakdPTiqH}PvHI7`e?X0JY8KM zS5@@x=G38&Rk!Z{9R0m=^)B2_%m1aLFHv_@*SEJfPY(wh)%EJ5>u1gms`sBASBHZ~ z2czm}u)eW$;bsoz2euCc{RcB*FR1r@q&Kl7v9?O3l?EkiWZ~r##j%rXv-uizZ*Ae| zCx5%sOKa_Zzju7T+gY1mSXwK(?auRCw;cM48yLK1=?8D`+fVGl#idud_qSPv25pG$VNuUV2-V8iw*9F6CyaDVoj z$Rd~B*_uYK9%r|%-pH=EE^}nxNW-k#iX#|udiK3#AQtqGphYyR55PZK+o$VPLf?>F zRz}|d(ShUvxa08qUjP6A|NnRaT#~;_96=Pv->LCOJU!1-VkCtS3_S&$D0USU239J(NMn2GEz;{3Crr}-Gz3*mVCdg&5h zr{{k^_am|qM?QVoM`L((^Nk;)$QPi@zbcFgBH=`Ab$W+pfSsjt@A8Nv(0?SvWkg!N zNSsZRHrY>uIQjnV{mB=YeT5#h$wX*_QsL)})iRD+)&n#J;B5P*1lD&rjmTx!Tv-Yo z=&+o+L}}|}yru?yB}cP+(;3bUv~Umcbqsk3dH*oTWjC4|%gc@C?)J{+U0On7=kPLR z;t|9>wOrKda}nBMdWuYW>wi~q7LhH6q3(b3{^BZAzds;N3sA9h9;z4}1~RrIzPX3_ z-9MSjy$)4Tkqljz9i_)OdlD}0XH)93f!0BKi!J!S&s<#dM$jb6n?U4=cGkj#Wl;^r zylMLLpg94E+qmBbt*F`m>7pNAuHNiplD!LUT0XcF Y$?xm&$LlL@f)|l5_I6?a00030{}4VmjQ{`u delta 4972 zcmV-y6O-(~CWa@FM}HJm00000006TQ00000007hi0000000000004#)00000004La z>|9%nq*W1~Rc?YJQ3wzX=?z(6BO(2F_ssMdW9XgkWm@LO-{a2WX0n;=^ez*Y9=E5L zTMU};pfNGL8hKEB^8tNRiHSZMeSw6SV1gkAjS10Uj3QBBt$(lT)VXzy>@Muen)#F7 zzp75%PMtb+F8{z~6B84c)9<6k@8&%d6W0-a_qQ8^-5l7>feV!b*HVqS{ycvqHt{E_ zQ#a9j_qQ8^-5l7>f!!R~&4Jw<_~7Kgr)h1j&=1c(`rY{f{7p>knb>m~IW}9HTxif6 z5A7=^CO}-C>wi|qh=a1zONdtzCx~08M@s(?G~j&I>yHkuHi6N@dO__ju51pJm#J3> z)l$)3Xc^2z&6(Mc#f7d(@0RzO^s;@wN$)Ps<#c#nuI5y>YIuVXl&z%eA12aZwUcz5 z+1knm4vt&d+SnW_qmO3U&Z&sopu5!T%qug8Q=IA?GJomBzEU1Y_+htqzc80G?REn`h3P$!W}#{qwJs?;6(} ztI8xY^nc%}f~@u3QOpJu4ZKk&kaBnr3lG)MhRW~}_db54z82tG1Q!upUE{1Kx#86$ zNF}7RSWGP(0F~z-faMq0;KZ0a6tRkg7m{R0RU0Di9!5fdHur1W1;wmYOAiBufBEmH?700VG)hNU{Ww zWC$zj1tik zr(lNG+v=Kgwpeq{I=q(Q^$c%h_+*ApDeg^91Hy_eYchAO>04(2AuDC3OH8V8UBDuM zwE%KJASFdXSR0{zQngB@kbm|mB{uvj2MX3%S2$7ep~S5M${$asU}M^i91jzGkxC=B zny!%@EvYoe1wQED-lKVvU7|#5Xert)+@Xf=T)2HGYrOSCC}l<9VW<@v&A70;OrO?F z#ZWDre$*?7*I3uayGbZ7c%06rWtLDaqlP;)#o z8mpTd{iPw7Ka!J$T4+4K1+2*d<|I|lEzcXaIo>CkXChONWr`SK2jEFPiZ{DQ9*8cq zHZ(Gm3UG-;)3j2W3V&!AYupp!scC;}YOcmZgT)n<8p9XWS6+NmJgL9bp44B$lln_| zQhy0g>M!BG{vyi>_gRi8;5iHf|sC;#Y(DH2o(?nj9xY!XR3un~F(46_buCCOuV5x~iD;RWa$TVj<$}4R;qwi0ua)gVWfh)MKO; zBivJE^Bu+RXC`7RnlUmRBU3Rl86%AtVGj^Cw{1fk_k#A+61C9C4hEE63~1tH+?T>L z%HE$%!UoP}VPt@X=*wwMyTIKYaea6p)xe3t`)4)ak3J) zDMw_;VLUKlhd_doq(hQ2P6v-jVC2ZVCOH-D$w2moP?sB zB}^h3RQO&Y+)+aF5i0E#d*~eDI3XAuUmtBKk$C_<`YOng(fkRsK(1k+p4<3f4-5gN zYk%v`A`lgmsRWnZaUYE+=-nP5*XKBv><{R;9t4l73LS)}Qg(bSYH6pqyDBK13am+w zL%*OkxXZCA{Fr0qjOGxdnF}(RVTM~pvFjk4^i83@NcN+bzA+RuZsc@8Xlca=bC@lJ zV#l05zqm2v3ZtRG$wd}SI^X=XVMfU~?|)4QI@{o+MWefWRW+!83X>X!5q>#YqXihN z@P&;x-Du+Vy$#5%=bqS7sN`lamP`X9Whk1_iveAq0)}Vq90Qb_Xo>@tCf<^Vrdgka zgR&LaWIykvWKSPZKHdREpJW#s8hNJ=l#SE2%{R%0KcM`r%yZI3Fkq;Zjc<&F!hece zg^;qq!uktLIFT=eg)IOfVGBTrWQSvuA$N!jxI-js93olk5b?nw;(|kh2Yl8+H_*a= zC;$X;!S)z1H{f6Z1P!Py3L|$sOdDRn2oD{~=qv^d;(SB{f|Q`#1_({qkw@?xU`U5i zfHYy712jPd2SvcR;DCou4Erb88-Eb+M+TmN-EQ>N;%-85bmR%-hQQqnRQ3J~JP<># z+~ovFteUddt9Ek!#sW-^=5H+`n!mY-X#Vy>X>~$NJm8oUxB*cavOng#o2I_sEXQYj$+LhZ?c@8)%Gu@Mdkjz|fTR-ip?OtE* z@3?e>EBW3FE({;+>sMaT((k~aD3^ZwRT>{#vt)oa{U!`PbrzStz&W<^*}216r3`cQ z)i)NvWlxObt8k3ti?QN*gMSNL14dIyC#IS>Qj;VIxFijWaK%hS^!u_};en#hwka-N zs)~H%xYl~DZg^*cYmU+!qtNV8_Z<)2E-D2<)WPM_<5*}KDvR$U{ZU2Ya3OWvNzjB- z2}hw4pcITdjd4<(V$-~S2SXAWCuCKZq)I@}jT`4D6yuv&Zrxw1qJJ08M{8`|3K!gw z#mh;MJ6W%i(ix;={lh9j8$Fmr$;Ze82ATHT9&9lc`;B>CmH{n?1)47iz>GD7PyIl! z#VF+iE>N`5yj(4TVCf;mr8YR--&CLx=yH$$G6-m!eh3=fW}KmyJkWN7BKqdPH&aC4 zI}%|gd3NMXxPbhBa(`mfljlNp^Am{`LSRTQ;(XhMvhEEia8V8YPOa)2*1xBSzAvgv zYSh0ks`YQg(;6k&QU8YZYb?%+XK?^H-?oUqwZ!*Z#Ide| z@Ec3#otC&cxn8uyueHSgXc0eWiN9bGP3u)lrzw85#rcM1D1WDME)|ye^EoTx`Jl!5 zGmA5)v7ygf;!o$SFm$^`yvY*(ro}m9alT`5RxHjd^YMU7zoeOvXaKR1PzV9>Qx@?x zi+F=&{Y8toVTs>t5&vR||Ip$zC)Zak@o!ti>#QgpvN)f#tmiZi-I67KZO)1yk3Aq> zms3IftEKY?7JqTo692Kq`2~yfCl=@H7V%4#_)jg)Ig2x=ap>;Ksp$LHES;srnbTP3 zbC&pbb5Bun_{z?^v8KS;P-nS!cSGGqRx{S+m%jak-l;eq*i_&c9^o z{DbBEt$CP$-(qp*cG&tWxla(sQ6qUkyuxxR;Q|8AH-B?WAfB?szh!Y=ZE@m%Kao7p zxtUN10defvC1xQ(Kpx9z9J;0z`ByDYGi_de+gQ%bwRmhuKzPy`VoKyQi38w#-175! zOFXA>H@6dogg_^$95?iPt4H^e(nRlAZ=fH<1Mr?6;QWC2YD@qXL>#S?2gGL*3Lzk3 zdoX7GGk|V zp7D4AaE?9aMx4s!A)Xik!;Zv{laph9<|%ED;5W54v z)Ftnu5}zXLV?=N#&yGck@o*8Q&ELphqk5pn(pe z{(l#M7=9_kN9l}FZxgU!K-%KE6H+;`L)`#)P54Nnh_t&jQ} zm50|)p6*v3*&IDk+1`A-U#ZtBqpiwA>wlwTj<9vSLjQ5@iTXhB1ox{uYrIh}2 zxW%I@uy}|nx`M)y61ZOr!`nV`Pla6cICE8?|-qu z=z%`Ld+=rAD-yG4n6SG)mr&1~cc_bt8|zO}$0*iC9PF9>6{7NUPQg|oyw5qgg_OHuOt2LJ&7|9AmhlFLe5K@f&(yhY=9 z8AtI#NQ7Vrnw^^K~LPT7dR|t6m zbwJ1_A>bzDub!J^;HS=1fBaq5Re8!#nTRecm7@$|7c>T$D0W2^239J3NPlCy=osnu zSEo!2&VL?89DybvE+f+FP2y~tG|4{d$H|ZHC#N?st3!{PWFoXdsqk~gY8gij>jyLi z;B5169<1+h29e7yyMh!t(0^eeb&1l}$#`A$`%3obcDPoLa{~?BL;M^A9zx##7|cS| z+J~j3YHfRS>*GUuiNw}kJ!RqZ87?Dnsg%Ve7>sz`>e!w%DPoUMe5pLjZaV`Ya8v<}jH?1;a8 z_Ueu|f}Q{#N92jN*TRGaQ3^)AX?n9;dklz8r<iq29ySnPk%!K000000027y0000000000000yN00000004LaoK#J3(?Af7 zX$u9)SA`JtG^gH@*e;YbH`9EmNG@#>Rpo>hXA&&dvGML!^w-0zXK9nDjY>xvKhN8p zndjY+*K4)f7WqSRYo1qoOb{uB*>{O$Mc(l6ybWSslE0qww}15hfSjtlBDksoLz88q zyd>^MtBQFx6P({CsQSA4f5@)1Y!f-B1+`9RQ?*X)X*7&o#sbg>P_;FBz?{?Tg1Jw9 zN91++KF+Wd8pqH}B`RCvwk9s0n^Y}fp*{z0SI-vucP-Gti!?#_Qs^|FsWyCVHwRec zs@Wg322tEh3V%6n=0+Drf16kxZlO`+lU0)J+8xh+S*p{yc-S#2C8oIguwPIq*4+>) zYy8U8)J_-M(jaM}jpCJEf}u$gYB4bq>M$}0u_z&IK@hgXgLb$Np&#z?_U8lxIyOZo z2FD^prXLY-bB^B-jPjx`@d71uXFAoFE4JJ8p2$+^hJV|}adZwxM_kUvd|4bnBj}#Q z;d_4+`2OLC>s@@89B&c~c}|(I;yXAIMrt?{(m3%uMB6c|1j3SWW}V=Frh~Jr?3IG- zoqZlfeJ~2kBp)Mi;!^_ux9ir29pMmPk%xJ000000027y0000000000000vM00000004LaoK)X$(=ZTj zU@#cJHiQu4>7ICN>vroF^)1?#YDgK-RtTPuNv~am(`2zdvA-V9IkUCZRsknnzT9Wu z-52|mjYgyKl>8yNvmj_ZCWy4g{JX-cCU1EJ!2@Eq$zLt_8-IGgM^06C2(GKZ$mY4M zu86zU>SBS*1n>V7)O~&ZUu4%>UJ|*W1&zt(Grd9Vr)ZS;j3uBCplbK&0SivAOXfdy zm&lv)1Dsppz#w})63 zx;+?%!zgK|rGJ{V3u{VizD=DDztAd+={iYn?Jv)MRjSvyeAo$UEoZp?uwPIq*4>gi zZ(Zbi=BA5nWsrDV-# z7@Wx*ncgJe<{ZB!7#C$z;Uy|KnVZa9t=aC-h=$0|c zV2ciJ1LjS!Qh(#d`Wzbq%^sl-aJ2GXxD8aKI-gk<_5??jyXW4P>}7ToQUHEeXsq=| ztvg=>A#mq_t<-h>g@%1=T~wHAXJ+|7q;2B_x7+Z}C855p^;Hi_9(Oh~khRMST4G7# z^J!_H;+XU#dPub7odZZ2Bfnh?(zS78X)CgQr69zpqkrw&h>U|aI8s!GjdnEh%Q$R^mUe7OU7SLA98NSurmnPOGjUX&MMf~14H@h9{J(@_@A{C?Q{ z67soRkmzA!%-HV%i<}U!)w}co{g)ISw|JmV$WLYryF6)-;m$%CfiI(ma^N?(F7sA4 zk6Ieq)qe;O1TL=}W`WIu>shpl7CY0t^&;3p;&MmmuE-I_YXNjgV7l?2p{+8si&9?l z=`bomdYq?qG8~|(ze7(#Kn*IcstrVWIM2=?NXwW$aeIio1pLx3*&k{~cpNr_QihrL z%qi`x#lFuu3p*+K)-;U?qYJyfhO`2IYOH-CXVeJ@3TT-QIp`0~pyyW+dgAf8`I z!g*Psogx(;guMnu!S7@|*d;V7|%VT?SKb68T!h(hZcDzB7*!8$f9ue?#_rx?ct zau`o+kZx)-FSQvsv7YmaP39t#^iXA8OMiCJb~kv0+t}9~`n1axm zk*N!8uvx4OJ->p@R2dHxgPS+okax1G{>9YvMjcFW+fI4WBG<$#G$`~EdY+&;4GuPn z3oA9(yq}VMA&rl-2DK}zEf$Jl=vHw!_=ULIDl$yC_(X-wy=;uWis3vy%j9j%1%I*3 z+YTN_`}lkfHpARMSbpck;FU!tnyE4MK#AZ*;Vm+}o^|B!pklT>sK9XR$ zJ27mF9(w?Dw_oT7WEm3rn9gZRY-tUF?@S>|Nmfl;<2i8ITgD548JGdqFbia2ckF77>F5K9D(O7c zLu;g0&bEwc3+)^S?2|kf2U!ekcb+RZ%`BSNuT_@kOC;W6Td_Mu)4a5jR)6!cn|U7| zH}XI}jlMj#tZ4f_p7q6B9cbQ`{!uxG$fWym zw^yTKAq%tmD`Z$Ue9q?+#1{T(92GSVmmzuvz2}(d=zBMwFJ<(QnG@^9X zv=@MH=W%P#$jA{c>^Q=W;O1u%#ytp(F>%W%$`Rt?xPnWEh))d6KXc0Nlj2ZblOlF! z%Lpjmp}F3xbj^`SjKBMHh#*PT%270*M^ zsPq$mw+Xj3Fv22GKK(PutxRAq-`_5>=}Wm0p%ZG0?3IQ&e=twJ_l-jtwD<9;=Urtf z1-7G!cz;}6tsC4w1>DduB%LS{w|JmV$QLRmUCtZ4308IO$vxc5BrxTZ`TLET z9^@G&%j3*WxY49r;fXTkEW<9%);Ci7Fv=sFwN&GES>64O#Xr*j8r8L9?xHW+#lTyn z!ZH`=>I#0FO0(~jm*lL?wRI^-o(#W}hP^4)x1n^7kbh}yFEIQ8xc>&nC9VxgEK{dY z!7YuQr&cxyYX@1QN0bh6yW!y6nf%^cj#0NQYZaGyjk6$yU^`0uchO-rz8t-X>s$Kh z=O@DHT1mCCCcM`?`)7GR^w;1MIg=Y~+6>rFJ02>dT!5Ozq#JeOIYqBgRK7M4V3G{K z5B?Et$bUmAo`+FEIuGNyOTI^q^d0vSaO;#w|Jc^GPkGY8M{mOZn+~JAS(E+jPA?26 z9TYh4cIX1IC$SkXQG#8RXa}+y|gMVL6Fi@?y*$Y8cWgO3Aom1xody3e? zFq@n!jr!(?{qRtr`-wjN@-c1G?{H9g3q-%SWJkl#*4Zb;G-{AG%PLe0+)0hwd8%F3 zBp3;c+iu7)Fo;Q<+*-xNfyT(|LsS|?6YYNP{f~gRVi_8xc9tTa=PV7Ko2Ys!cmv*< z5`Ua&hMy2yQdC(r5Rmj3dCV3~V~6J-=K@~XkLViNz@fTU2J_xamg&<}x7_}IUe#C- z{8z}gf#0MxZdTC9%jO|ZM>vf=TZvpaTL!Hc$0TL{R!T%$ zlr(zD#p_BIxazP)VO1|sJYWA5s@;P)o}*{!>~o9?I}*5CJYOzE{K264yqL3#d5P({ zDZ$mb^gn6A$k(~KV)Q<8Ib&cr5zewwtvv< z1<)74$E3gkY7-wDY05S# zKHX_3y7yw{pF?9)UWK?5MAMuT!`)aT-L@NYOmhU>+d2jPb341784+6;zTt5~z?q(1 zHg(+32rRn!<$YRI3xntPNcUAZR|lA`mi2ss?l!iQL(8;DgAPEubUpN=p?{#Xvt*+S z6wiJ8nJSl)KBt%+V2;gZbYpatVhf!BqMb>9^Dx!-eQcp+)Jp}6*RC-5r)7}W9q79v zr(=_6eG>)(xwrff6GK?baXatNDmBP9+Z3wYZ+sOY^J4jSROJ>$qE# zdNH8`^CZv9r1PvXH5uPS-!Y{aw^fwtHzGmVABTn#tt4jdj~!*nWxB1f+r?1rG=q8y WrLBs+m4`Y&mtxR=9fm)X7z}Mu@gR-> delta 3051 zcmVT*Vds zW;}a6_a=B}H;#7$Q8c@8b~gy22-*rEK(m|RI+lp9Eg%7@)wP@027wh-E!tXUHM?@6 zbySg!g&^=yU96BlA=H;vl!ta{qpB*Zx_v72={8D?%LAg7Kz|krrsuAm7ixI#$k#J> zXYM)Q`R2?yX9R#OJ#mxtu>aGZZ(`p~{k@yxWh}!oh%A(T#m9<ajA`(S&~ zI-Wx`4a}Ee{|r<)qVEGQGT6U%--4pRizx&oG1joz(eNP9Ia)!D`R$zy)d~#FIq?EZ zG^X1b(W*IUXMcDrK+sNcHkB~uf*=i!@wx9X7-pd=8&YG|Z?}!{GM)wmhg!`+?>UD~ zFE9rkBtAWB@r7oDUY>x8rnOtE6LNvQ)(oPe9D30sR=D7%)?115+{k}GYW5M(VyhmlXZ1N+g*7=un)NG z<8lwOvrs2VevDl5Zl`37oXC7*l8m~D@J@Oo?P+5C5IAk#4Wi*rT2bKCU72B~lt#{q z1^gN8Mt=|RAQ9Rl*nPx%+_plF=F2S0Ow`BC^C_IZ9%8L4SAD6-TU4S7@ytcwCV7(H z56Q&GNsi+wx0RAulgOuq%>%cQlakl~+Uq|M=gD$d%YisYAOkHb7-7u50!)E zdZhm@Ng;2!|69C8J7C$I)nwNmn2?TiB!5922)TBALhjR?+(Y4K2lxSDuJ*uv1PBLF z9yT_la4`#g+gmDxnqbox2Q4(8;V%(_KK|6t>M%zvRw!N!aL6+LbXMeR99RFYFvDcz z+VMLj8L#;yQP%_cAPO<^Z}W3?6DaY#?gs`eenpTY$*;P?tHC|sfM?xGK?&>uzJIgB zrVohn)onaRet>-WJRQ;^S5wFZswQE{y`XUIlH#?TXcrID4lv>z z#5oET+yx%QoL7P~Lb&h8$R##7Z{5#a5F~8n=gOsczN{`3p+9eO6dy+^g^!CN|LI}t z=`xJNq5{L0IuEUl*eQeICblzD6@RBU6}=+N^W4A9IVmC3aSS2OqXBd7K~b^O-Cx?H zrd0!L7vV!Woe|(|OZlS?=}bh)^2BVT65F^&5h<;guG2{h;_pt-zpee;dLr?5$}%#0X}!HOXC~#s}X^HtYiq3vv?N1*a{kjB-Jf#Y*|+#ndnXVRmoBxnIByrt8#QIZQGbk=JiIrWuGF}= zoE%d#4z3jytEu73$*eAU@>)i-+K34=;HxdCL=O8pOtY^wxaxm%JU`{V>D|My`jXZ2 zW#(DMz!wG!a{n#bNJ=^nIat}j#Y1UjDY4Gc`Dp-eLEB02yFI&dPEgED#eUvH54D*3 zyol}SWXcmY7@>&`ihozV@aGzym{hnTC3!t%Db`H3_CK(U&UBv5a>7bwNPnVzwlPuT zl_+ER%+6TcH*oH#M~_3?$ULRyS!X=Uys7fyNE_Z zGoSbED4ZYD8~u389j;Q8nJ^wT-fBY(iSL<3jn!8iDu?@SlMnJp}%WoI)A8Z+wr(xVShwpKwhzPA0d?G!ns6F=V&skP9}VIY8ukw3hkWUB2I|W}{CgC(mWS!X(u)dzy986q zdB!1`NPqZ8&WC##EagIZm}`{qd-w~Z++B@DQQL}h^h=EAaWmQQ=2PtS*%JE?7NTN3 zq?mo?=o_rY&B`79sABNc-jrAq*^8qynCDn5P|#gAQIVNjni-PLV-*`64apAPYsycS zD>~^VPBN+vTXKCt+oX$ksJQIK*b5coQu*?kO@BlVo!*>xHQ8d%OxpJ{u)jUzwa>_0pCI`kp_qvu!{7%vyuAXC|#Gy&6Y+fzRoLdMKhe2GsnUiUMii%cWYpeMX z>IjqkQ3^PQL=fD##T`Aw`Gv$G%n_4!;Fv$4uca8M{t}u>!#ZUr;K@2{(IsDXKBVMX z8-Js>I5ne_>p3w*Sa&AIEKbKVw?u9;%vm$;&FK<;z#pfCXQ-xM=_M^3^-;fGg$*(} zd}aiOTgFdObcY;}Rqm(#A8e%^u0n`Y2&`(J4~rVJr-Rj`NPkyT_@@+&N2uaXc>&eYUDR9oxk|b4nHz2! z$2vvuH^plul6yx2v;)n31+@loAqTbI(smrC>Lr$4V{25&Jb_BpGa*Hx+R)cyOP-Kh zbP~hkKjc_Q0LLmgwgc)D-?5Z}PA)EVwA-a~ADCP;{-E3XHG$L$dQn74nV2KFj(?>c zh_`TS&XsP`-G+r}wB8={TiygNTZB0st}Fi1w-y z*q_tk=Z_nj@2kXohxGk>)56wx*gk78Q~4Io?|>-nQ~AMeO!`e1In^dSzF=8=*Re9| zrta?6=ZOOwH;Xs-0*`3@&LGmm#)JhQw0cyNg~ t_A>j9i0?!bykY1D9o~gRz*BO;(QNC-%GOE)9k-GeZ64I>OO zz~qp8Nw6|`#+#I4ey@8#Vx08qa zGa*SqA;Fi=-uODX+CCGPc=_z5BtTdgApBBD@|mvMvv*EDcF&Y-oqTS_wo~5!pIfLP z|EIe(bMJrq|5}Ul|2+rYKI)|GFkb;3cWx)J$Un+ZNT{!;%;V`6Te+xi0Z$gTMqoR-LOt-vc=u_NNd#|Z)R$AI&!w8WES1{L zbNt+_^M1*3NAT#+27xNT%vqP+ zg~eWQlqdkzqB3}pHoO-seSt(-6B@eAP0JP9LwGuU-g&~DK*7i*c(AFfzg)jx4FGi+ z(uJ7&hbwQATWem6w;_O-SL&oZJQjC@X9RG#SF4v&P5o$1nGtBTs1Eud1bVDs-qdSRLh*zYVOLJQHRgqgc%$!wTuJRB-{W6nKNZi(-x#-{kCTCtN)}| z-7&CVIOwqW%BzOi3huYfgLb$m-xEYrbym!3lO*8AZn1@x=#@JBT1jP1Dl(_hia$(knux<-I41R*2EVTtC~5c^)_~0O@C|7yaEv)vFZlhvabg~ zRzQTM7(P|}GZNVOaiga{&Y$H(IwhuNbMKta#UWizjs*`?9q>=eLGEYA5xj(1@ZRUh zP0+Q_7UlwpJi$!%fIMv8F+gfw;Mx-}-ARDwxHY9XE#D7V34nEwlz~E+99g3;vlB3J z$~1o~kP~r&xh(8t46YG~A^cT}0sLs*2c(4o@krM1G82=?*DzKNgg&|$*FCFV3p_^- zHh@PUOAy|W8KhH4OER+A%c`+683{w&VRg`sINsULbVtm_5gvPVDB=Kyc=w}Y)PNlD z-O0ZJU`XQm@j}-@kQdL^YRT_OX25Njk6aryp2x!B39h{x?sY_hb9o#*ip9Bnmsy4O zUfiD4le`p>h`JhOLg$uxt$X;5p>yMOwUX$a-QNph*FSalTC2&18Lne#2_%oiPRZ71Vt- znyytl_>RpoC(c|Pala!Po~LdMksPnP;(&mpQ7X8yF&3E0He$gtE2J6q4pV|R?4QIG zzyL(QXs%L*;W(!kavgfpmnb%t)um%8a?NYpkuXvncWu3+1oOeomuykPIHfcvCH{!G z`OBEIVt3x=5cr&EG4+98x!vntj(#V25G@- z9i$xuN?wx$&zxlm2mdN*i!hsa#{-~b!IN}HDbOc3v+28=MjbDjjh+Mc+kB6U#xH4h zxgX_>gkgVC7M}XlfvIW^6bZbTXbo<;h3jcZ3kyp8elEDJOAzfq11ymzoMUq{@drVs zN3a*4G)>#(y8>VMo)etEynPWkMZskeb+rz}e(57SZ)MpR{y0{n)^YAMxKe6*Gsh57 z2=xV=1Ax<&!T6YM8=A!zErO%5QxdUsS0(UfVVQpgau&G~>Fc4Lvq+_oU}wNcCbVW2 zG&tz=8rZpJtPC{InSvZ)-_Pe!Ulf6nLC^ci2tCY0X4opy zl2$_ZW3wkp*0Z3DpOy?W-wV=G2HgW+-U;4iZ5A3-3Y^+SoM`RMM(<2sjhfe3OkAgj z=q`%FKEHj+x_?%6*Yc81KXRtTLu?WGCdUU4F_PKOK`WG8<*(MaE3lg)QBVB^0 zAV$ITmVUCgOp-OxLUNtiCfl$Qze^=V(-;?Zh^TM|Okd@vTzVeYPOIk$eM_le$uwK{1yg1jWS(LVLXFWB{(CV%Lb^Xe= zG$Rc;z&;>|TBd9u>dIW~@F#LZnbib*9w0t0t z^+aWnZ}r?k^Gs(RwlaDS=dx@cPpnzRox7iO{003RSVNq5{=F86ZC3A=?zHs3*>eo1 zYFChDI6*qXsCj(J<(4}IxRtsi=pjD)aD|7E7(WZ|jM2KNs!Kbw2^xt7e)M(zlN{e+uVyT3GI?^wV_<9Deuhg-zC$IY1K+ho<(m|P=VH{~q*qT3d2!7rHL>I!v1_xL27B%E_o8Oyt`N+t0P$Rtq zIyzm0KFPf$h{`1b%psPfxY1$43FI#_t$oe2vin5m98yLG4izsNlT)|Wz3QUIuZ~ep zE{OKf!( zK3In-h}j04mkFmiq>b7dzYTu~sJ+;P=o*Wmtg)yymDHI)09<&}6DYJf?h!V6PMJ#!`_G8Oo|I9vk z$>Hneu<7mmB+sE&w!rsgrQ?333ExhK z*+x`EYQ*JIkFzIyX$esXL^lk_oddC%e!#IohLQ6MCs9XeWibr^1f z*bXN{SI^TPdK+N88-fX~MwhyczncBfW3!PcIviWmQtvBufH?SrTiwdR>k*`*+T}H1soBw;%X5mIuo_|e87vi%KC!gfKa8?biZVncAfP`%Hu(_pWus#_V z;gYuSH^G3Wi1{^a0L!BhF#s>IaRkIrJ2Nd%q2^e@|W~NhNw58)s)k zK{`rlCeOdo&Y266Z8xBJp_708yYSTqqqmgB>;m;R+NH8FHR!SFi7<(~sunEhMQ6~- zXGHxn@A7b%sasc17}5kfbL|KT_-GubSV7mE9^XU~Uy@#LP9pO&K0EGDqmSHEjmj@v zR}(d7PLDe|T zdd6>I*kcZskO3cdVLj#nNJVU94h>6SX62^Tq-NjNh9?awjf+;`O=DmkuPtDN;JGo3^Vi- zSuzGW`{9LBZmSN9!cG}QC-o%zETmY=OqE(AozGA1ne1`&_d6^`|JbYug*X^)o>XdT zkKYojBt?<&Q#7t@QZ-=OTE_CvsO9`!YPpl?RaH4r!LR`qWc$<6pON=0e;c(w0NL$t zQS~t;D*sVEh`X1aIYuQ%*kY_?PefNlyq%ZAi|@i%8D?XgiI`4Lj@YqIWr%Me!ie5I4l_aA{l0HfPDz4 zCGGd%GK|qde|Y(fnswzX8#VKDSUGPpwOGxWn@E(!D2lSTyQ(T`>6I(@mu!dn+2H_X z?UDJUQ;*C-AJv4^&B22%^_(U#_4M6D2uW)D^bv8EY*JkgGmT@6O4JJzOuwB-8b`v6 zVIy@8$$30unjh6w_LM_fBF7!WR{?I#Dt=gztgw@}Z69O5sSvl*`F;CSA#jHw&7?p0 zScaU}j;hTZwAwiAe1122wEn3cx8i=>?@RmHmSEipOGX>V{bC~{a_}IM@Ne-UPsQE>r^Za{G+(&`P;3hn>$(hH3y1|Dp$t_il zbnre|w~J<`uXKlUCU&8*ti*-kX2XBv%I(LR`^CGs4Y!> zkePZ4zpxFuq4A$1OXxeyCWqT9X7D3(o=mQFuk6$JP3>Wfw9jwqC@ExM~IEZA~p zpbK7j%y)DRkcv<9off^|wYf&Q z$=CEL`EraJIsME>mv;56q9dngg0szsV+Y9H$$mdk7+=RzJO#0{Y*WSWJj+_W!AroK z#dg2YMFEAJONImMAdiEg}^Vp!yD%62)#3I7+Jjblh*<%UB%lo+dgw-TrR-j834D=bsWFUp&&Y`w0}_vS zmt)Vu#R(r;^X(G1Z$}VgN1+gh4C+0X=D*V8A|w27JAfyt@9jD5xG3I|k9yJz`19|p zPd13Y%tLkO9ds>hRQkN30A!Lsv8*z%@>-$zDKr1FZ01_GST}v5z3{BJZDD!x2ztO& zBhN3!87<`@l-11eNnZ-W-YRTizvp1Me>9E!KvqaEVKJI&{Ch=*S%NAwFq5{*OeU^< zLvJEH@sg~!Uol%3;bi+`GD5B^!_My`*-NhQF6K?Zc-vR$bu$UZWp zAxmJd0blq!Ma5Ha&R2KD*J_)n_v0k}7+YA~m$zGNjn*;1m%!=_JA7r&WTJP~M@R{k ztE2p=VDOTkX?Y_E{5w47UM=aV$ZJQ|CPz8D`qPF(GaG1db*3n!%%uOJNgxfWmQz?y z^7|_z=6lVoOX!d&#kTmbPT#qy3$j?WNV#SDrJAFAOL3!B4ni0K(T+9S3Bweel~Znf>5j)N)UW3%(7#)_ys0ETQ>$d zz*P1@T8ZyzCpc*MydMO-{1O99n7X_|Bg6wRUmZI}A2K^Al!hrr-S?%giGMo|n@2uJ zaxT+pc-8fhtVH(w=C~i;yuxtWfQoKn(pw$eFMN~w%6TizDaTu&8cK+8k`F{u2&!}p zKre=jamLn%&6ZE9PRP&n_2-qlXsQ%3t?hk&Hd@|@j$i5GBcEK-=w~VoF#f^EIQ!m_ zvC8w#wMw&XhBJXQA*Ysd%jC9`Xd>*Ij3vfX>(2QEC+a5%ovv03SLCbiTWT*~5Kr0R;`o zT%-e|lEzBg-pw?d81O_pG)OvU-|{NsOi0!T!)ITz_^uC=KN5ub$?pvuHP<&J zIzedNd)P%wLR0-jdLgdfqsn`-UEaX>nO+0E(+=6uSrH<>4Vnhy=?R` zr{bWxxKCz_AWhMYVfn+rxB`zS+!=n-*D%Cmf;!U76%^-p0(ruWg$FZ3aWO?>1WnSK z0`sj4=GpcQu;Cp*4p|hk?azr6)M~)g?YedZjCFTmU~%|5_@aGaOGtu@rA8I|V%*aE zVZ0{aXN=FEE!-~94m5U9F)ueQ#scUvJ^|_9V{s_nf(F|V6P8wQ$M?FO7(J5-DRGgp zSoj*rEV}cvVd6%aXkPD-kg)vf50JD2na$shPxJq?rEoKJuEfRJd z8xmmig>G-qf~iKr_asMw8SC(tQD))X{2|Mv&@>biKWf>C_&PXoH{M6K8HU}vU_Jr; z+R$#43wiIQY~d$&%EJiGqE=Zkj;?~Y(|6#Po;eOgt644@M>b_@g3NQ)Qzo>wA{I@_ zYn(h)FOX*%;7|FyYuPi;*Vd*6APbiV5=G{FC04z841mEXH;JMr766qKHJnS$#>F-T zNOtkON(!7qoWN^`udl5!X!oE4^|fEG++hX_^7eOMRw=A#h_N75KSQei*raVB#e28f zH+6P_mwkzPBj?NqvuF3s;h4GCdunjZ25E3}dRGoS!4g{~q>nshIg1yT8TdL#)HYx< zKK^Aen^dNWo+Xexep2n<9R6xu^Wbc0MOkQNykt5j$FqLFe&oCryn!C!n%(OVOky93 zkt>tSrnI!WhKzKD?6r?|1)|S)LnYtWgqTFay{Y2;`{J0ZCyh%+G)k`UounA|k1|q~ zgKMsMvy|hIc8xB^QcU7f*r_ z$22rbypS5rn&$9oy!7~ex|xR2M{Pbx{c$BVUee9x)q=V1x&wN~zup!Vlb5lB4Nb&7 z->!;tRS`DF1iRKy_b;4%G&Ze2;!XedSVQ(1_dSbi3JNX~PC44kF%G>Pxp>$)+xdh$#EOGk4POlOjh@3Sre{{Y-=IlCLYC zj)DlwwXR04eyxgaiZU~O>?rP!QnaWxO!>kNS!kCA&4z$+RL{xjdFaMYMCkgue^Sjo)*AY&-Lder zd%W)Won_GBM$J)W=+$!GK&I-GJ>c{dI!xyHlv z6OC9XWiHP0UVe=C?0RhW;gS5QtJ?TC*}WD$jEd~ZXk$hUEq?$8%4;6(g_{vz?=<^W z9TU~M6YVR=v*QDkY*0>;8 zl{zIGOK~co{n`J-<$ zjAVdrP`=-53_D#_g?g&jCGOQ?QccuO{2jvaystokj^Y&NYQaeye#nrt(4w(12Vi!z`?1_##c3Y`+cgkKjZ4XGz@*54P1U6dF64%zA%tTJ3LTwCpXVc_i7cO2?ynK z&A(W^6yHl|()Z?xF7YYUwS#l+B%rExy?p7RC+7jRo5H?4Ccx^)S!Ddp;GLduaxN6A zN$%&NEfLf`!&jpn|Mo=Aa$LgTE)Ow^r{As8Ey&QDfh-IA>xCE6Q(v!h4RhU;F`Abf zT@&kJ$vAz_rj#0}S+?4gq5dlxH)7M?7$RfY(V0#UvqSu|+Z^?*B0Q?##$2%0!aauU zf-V*Su}1pPdOEFT|kFfVLSQNJwLun8eGopej)dEy}sGolKpz= zxG7n^d*3D$xTl^RQ9a@0*WyY!w_0o%rQUJ6$+yVYzoYW{NDY2^^4TcnJD)=um}i>v z;?Fb1G|PE8)aIXlhv2t@Np5n{dlKJb>wR#!HBDk0U8>0Lix@gaeu;7qCMqyOo~7`B z2l(B^jBTa!ws457b$x72n}w%8c|Nen75mH9i^l~bMIjKZuq!AWEzcyIJn>+g${|eJ zeFVu>FT)!%&yJEOtCn(85mtwoP^}Y3JT}b5MoB%WO8ffbX&~7D?mV;B0A+cwop5oM zEJ0}KBkM)+2d$Ps29dyj;(-ZetJxs}aa>>uNYo zgF8K`W=va#yW1bL1z)Ds{0!>%;}_==tv;6~OcfcNIcQ9IDGr4`QhN5a0%XW(l4{i@SyY4(>4I<~ z&8ul`<{81zKl!gNj}w}Jv7^bha((xhemJ*gn3fmCa2IyH`lWIZgl%&ts_-1%ts3tr zk1sE}ntxg9c`r_()aLv0)|)v|{ux12e#)ly=jZXu)@6u#V;4EX{b=R7@S2)dsqpbc zECn_H`&!Pb*(ezQlFI6YFP3KU8h#$=-Ka~i^^5$byyx=;fYUS80W#Z}1q>WyUt`szlY@5K20Vf6qNI0=1&F%kmCQ#^|oN6M@oiA!HdC`#fuvTca z>pqZ|e*J<+O5%$=MvNPd11=REo{hrIWW77!kQ~zsCKO-V+wxf3WIb@)t zFbYpbrBk#N7oQM+?1HxZE_OGF-5ziINX(YIp@e@{0FdzM$8(pSKIIL#;ma100=tNp z_=d*X`%3|DrKjxMOY;xKl=F{VV^PzjfuQWCPCdOY^3HzwhpF=01NBcgrn+P-i+vHO zQJXX>2u%c!=$GRrg$wq)N>~(gFQ9l^-UE8drQ@UtIFNU$t)OtR>NRtcT`~QIsQL zBGm_PU=Ye+O{HBc&I5)>-o;B4^EtC{@M!*HzUtc2uYOYgpoMFJ(bW?sx`CLy&jWr| zeu7LilZ(Imj@B=>UlW$i*Tq=SN1_2Ilywlg6E6blKkZnzZrgGQQZGjh$`hO-v zMlXrKkJ%wS9CFpPLn_6188TrQnzlo-iF%t!^3Xyc&8V5*tHi59C1k;mR{nYGxV%@c zPS9VlKG%t!V+x;3BS!p7XN)WA+Y@|ZbyX1JO&=zGPIsk`va~W81pS(%c8GGkjM?RK zn!U+Tl=S$aPFP57fgbcCm&eJw{|X&P@W}zeLS+2Zu0y9F)?6HeskEcSRiCoc^V8em z18u8fEkQM*T0zR*ODzrcpE@$olMi)x>_ai&AVd>e^QiRpw<#V~tA{@IC_dFFxRv zU5K=N&cFO)s(I~1bHbmUH+8NQ?SL)@Z8Gm;*v@K70ql;*c)xbuor;GOkN(jN)QA$g zok3ru#jY8$Ys4z1B~xaqxV9p78Zw$OQPoRp0P@RGAu#OoZW&+zBw0vwMVO6eY~^rc z#i*UD-Bk58JiZKeWctl5YeU!Q@v5eEdDjh}Wt(=+b-ZRMJe4EzH8|oP?Q)Umt%XJU zS!L?@7nibgc@;YD_afwgF)$Ckp!uS1tIpj(^StaVI_|F(ex%7VE#?SO(eu zU7;he6G$|@_)t*jI>3 zPR%<{k!Q)=7T&X*$hMXkwMJFr!mn9q1PHn6xfqV>bp3Z0q-;5;(JmjBRX5Bk(f=%I zvKrPQ_JQFzu-i~;S&hubMEV8)#-5R|ghsjb8wuT}(vW7T|LCL3+SY;%LzP2Tgr9!7 z0Dj|T$aih|e8lF+krK@kRU~GN^Jh2qC^l%%>u>)NjGuF{`C!_uEcI07CnWJYVt z!?a1x?6WAb z8%mlf53-Cvk3<`mkWbUx7n|IPWjSK|Vee}pnry|8MGbq1#mRL!G0X_-Z!zz+?y zE;%aCKgoHBP;(bg_qs-SxYfRkKs~F__<3z#9W4VpR8_bjYvj7<)yGj0+jv|iT;3oGA~d>^z+s1>8?O86w&D*4Q%>-{-R zc0wKwP{m9gaC)Cw#}fPV+S(Ianq5j9c{=}40AH<%@Z+DCjRE& zYsRTWENLKc22s;;`_E$=#1uX56FV`J_C9$<9N*n!zHqxBd#q5RYRjT% zUVpv=TcujeTJdI#byXEpS~@K`PwagRV_b$&md&o+Eg*IQ@c+m?`?C@ z)BeWLuPaeuB5$ZjzVm~AB~b%}#`CG8IYYfKtE6sNpc5oC6%X?q@2d>GOMPNgr1hy0 z{#*5H;h?JlD5HvBU!U=}@omOu>^W&BA4FwNJFv;Z(k6X{%mwU?KhJWQ1x=XBM7=}n z9Qu|w9+#19KiaaQaS3_u|G+SN`);FUV`X%>85<(v0_|?R1Gi7~Enne?2CcMp?JwiH z-oGb0MuqWheIwluJPV24mgAZpcj(H0A`4!$Uviudc>3lh2fCtPh8k_jNZge7@*1;Z z=6|9e^dvTMh5#w~X`^XEv7Fs^#b7sbJN9;Mh|61c0LDjIq?8CcZKD>v`;?$ektZ|GvC22HiQ?^4i`^zfy}QZr4cWo$z#BpqNQj?N+f#6mfVhWTq#a)b=BxiNZ}{ z-pu`hiG^E1?fy@na8#+>-dKKfiqnBk!#+j&VM0$(nlUv*jxG8WPJ0+1eAyH3?e*Ps zi;`dAio5$2y@|q=q;fUgq&FC*9-yr6q(1XX4il zV*;UC&`Pq^I@DXD7SgLC#M_IYCtIxJX(Oge;C1O~xgjOX4E(tL4jT>^G1>k&$bf{^ z{2-^Y-zG-LaDl=-W*o7jCLa57uizscyjnUFZE{8h9h<;4=kHH$)6is;B+U`Q{Bwo}HIG`5|Jjklo$wOTR@SE?I_ z{0!c&7nUT0RXt$PHo&+Q9OIkSFOuhhF{kEkTS!PaEDHUcz|;N%`;kNgd=4xzjcw32 z!$VZ-Y|CNd8flNEQS)iPkS^B`E;L-%psEKMhRG(1THhv~l0?yf)eoXj_Q|hrzENnOgkykJo>x(eBH{eu_mL-` z-W-(L>376Q{VUckzTo*JikK9t91(tO(K-PAzHhBuazLS~Sa==}|MdlRA()H8J=AV* zl&rglVDTJ#HS{jM;)1ck5$GzSiCj*z5yxYi$rPMrFm*aM6Y#yExfVdR-tN}Vn4FP4 zs>@Gj@%ex((Q6!VKNqsJ;5cRaj3MzdTN!+lMTeIU5yYd75KSk9PrU0|x&S|ik5=Nj37nX0y6pz<>UnCxy2B&QL zVf_tHy7%dTAfKFrqNU?2S3A&+8Df@RM--uHzAI6kAs%m0O3KU! zu|c==O>X?a5pVA;lEe(}!k^Zk{gn`qHo%z5$_vKJ!!!?fv(? zjpQd+E?s&fK|hKmhC3SQ>Se@DIbeT-!h=PWH;M(%aZ3B%vOVRwfb=o4OW*0eLGcRx z0}Sk)rF~UC_~jY_*!+`bkCY60Y=zghW7z)A)(6+u)>)vn_$JGpb@=SSf!{?>^^@A? z*Y(^7%kca{Erf{<8S3+aHJ$E?q)7?e0i~1*dV!Qx$}R~M!fr1M|5FUxhxA(eDf`-q z8-ECkl9LidGk#`xuAh5+Iyrq2D6sqH<~OeGyAf!{#_03zaMa#Y9IyJ-@lsT(5#Kef z>bLlGY^5#AiO^skyxOVQVb?zi{h?>A50o%2mkv`{9Ow|Yn+~OChaMX;1}9R^P>Ns@ z(uWtIUz_A(9mX<~yb0`|qDK2jf*rxRr`$GC=p4GA4S^GPEqw~d(jK1Vp2ccgRhIzF4*>v z6iEG7XmsgF~<)xgcot!xy8ulsV!9^kgSGl=X|W+mr66l$TzAP{K=9 zd&|G?7ZL+HOEV#VWs=(Vw~oZ1I3&zE`(Dx38>gzB^lwQk+*G{p z;ph7QgkomC@(<@Y-W`gN_Oo9P{uSHQ7V6M@h|-;1cZ=bIhI3T3%FCYuS@smGK&_ zH>B#tT(^wV8aZ_d+TG^e`uz%lcfXBDJ(N%mQ=3+U@60W2rxzLI+i^=4guiLmaVw&` zpB2=vgfbSm`*(5b?l4Qvl`sRj#ku5434h6!VJ6M%jdLHxZm~Pz#OXDkvdZ6Vu*Nun zqnuRjAiHLJkYYd+WB|0OdF&OR51v_j_${GC=)AC1y9W6u)n)1_T_w2r>m9$!4_$J~B zF4+0T8|lCf+?qJ=_iJXonxw%uX#XO}@}00Y)MGfs13w>ctn}9g0UitZe5zHVx+*?B z77B`|?^4__ZugTZc6>EMx3l80mFKJHG9}>5?m|}l3?BSA$P9c*Gk|sZqBR268+J)| z#QxIcUGgt5XWpQH8tM=p{JkKy=c-*CuQpE4_^8+~i^Gm=B)X96f#<`=Mkiv!<}_mA z;{2}`JJ!Kmt-F;0x3|8jpsU3AN<=iH*b)_ZK3(S;q_VTUghAy!gX>OxseukI37c0$ zZ6idVFW(K+%!Ne^DatF$X}zmcDTj6AU#;ahT8|ytDx0m+t=xq3?*f1?nGXW1!|D8I z=+$}7*tUybaUoR_UA3_vj&mc(y)-5t6N`N&@X%aK;X>?g@4sI#rN$1Oba%<6qS!}X zt)Iz~^m`i9<^~t{j5_>dy0{Fyd`>nH>GaHS3+zcx2sq0TMz5c@b5T1NdoOcI*Ml;a zDTDmWh*p{T_6Y@ShMAw3G0C%BIXMWC5PWk%uqb(CO!rki&EoskxO$C_%XEBqjANUcD8`Wf4ytpqa8K7 zvOwZPOG=n6O%{(awN5yfBi={hK0wV|C}S$bqf0Le@iuwa@l%J>ce)&j_*{b2YGh$> zj*_Zpb^80{aqf8FeBHCHcoXI2g22jWBUg5A`JYkcG@OJ(c}&7^B;9ygkhGHNyp>p! zJQt`sK)azwd^~7r5O{u6tXDG>M?4vjeNFlJ+L*=%2PVn3>M~F~_?aaer01nQW&Mba z_bg?$8H$V3;;4Pe8@pE)EBDq7TjKiAcem+if$KbPcxf@aO4|ujT1_-1$FVq7yc04l zbap3a^!H}z_{5Jk(j9}p?>FwP2NgmL0#gFy8@?1wic>M&hNe36JqS_V#gj)BoMN_; z5>zZpM+x$yw&n`5PybBWZ2Y@F8*}(8wfV<9S5nL*|35dP-RK-~yKntAx>XDTq1O-x zrvY6Q{Rm7PJx4w)Jf!5=;qD~E9@W6~xM^*~Q{qNi&0Af)HEDTIo;K(GW>MU7-fWF( zKTx^fpoE-mNMl6#&z1EVH)_$C!nCG6?w{uwIow+D`QD9(L`hV$yhX1Ve9%sKVy-h9V(UCdz}5#7P9*h z_}6x?9oT$3w?nNi^qGEpBTFbg#yrao>?u4*0l&U`xHikhw(iUJ{XsMLCuZ?@6m0UV z*cTb-P66e4PN6)sp;Fsm)MBBAS{$ z-MKF)j(Jy6O^=*{gaT67@GdcU*o_Jq1)L|wj@9*UUy5ifygcD7Z!C*7#sC?EPfsPQ zo;$A8%=LV_n+;2SKR3R=#XyV}B&?9LVoToP0}Zd@3H8(UNip8;-?vbd8#Nm#z!>s*jB-?Dj=4&#(sG(k7$(`M0%8)v6-2}fK{JSXM7hOW18Dl%o#(&bvYZk{TZ5P+`j%n29rsx7XtLXM*LU(iwuBm+2B@wO z``&-kKJ*oN6rbMj=g8b!&I&O4sc1D#_GqvEd&r0U@|PuU$3F%Su;{yAtN7m;l%I`} zS^kTKW+z6rMY-<*g)_(jMli4^%dN0OvrlYOU`H~#?G}2V%Ot1s&ifB=nhe7$2aW-^ zc@ruNyv1-tbzD!sicXcw*y&|xk=nMGCWUajS$^t2xNT17FjF9OcL~5iZ<|9TKKO6p zVfV7!@}Z=CA_D@$!0jM*^(+MIdDdRO3@6_m?LP__tG2m@u!=}m{w}FeY6`i3{q9HW ztB`D2 zEj>d2$SamQ#y;yFbnAZf+XhS3en&g`xZzI;Zjs;f87l+;vkD-ww2B~n8D{KMN$HN( zv<&vt)kGOM2*W3wJj@^e@E0JXhz>Y!IkOiN*?`JJaZ*B7PUFr6G1=>a-VeDTH2cHa@Lt4zOxYB00BozH(}A z63A;bzi5EH4kN|LEKcG-Z_Xkh1w>t$4`KP>0BYIX{NQ$M*hMIiJr>KysSDY;Z@t}e zy8MHjpiCWbw`%I5&t2V@+9{o5qAMwMP4Mgv%254N!ol9_a0U{s(>jfr>5v2fjeQ|= z#3`?xtUXf(whP^W8tqs|L_8K9ff9b*PvK3@F$_KZt+XLs#S9=u?j&hMm;NEUmb2rg zn^dFApmT4AKQnTI*qiw%WP93q4*(^Rb-m(&Ga-5yc`!vK(}GU?>g`bPJ}=}8{`00F#4;D#N`D zngOwpSSL}*s`gR8#v@NZgGjn8eb^J{FwVc%*w}t_3`&>!ggznDCEIWtf`&SBf^#_G zaSGwmfGKc^aRk!t95oo(4W4+0!9Sk?{h;8p@MoZ%G*nI0A_pj_#Xa#)c7a&qZnvf z8IF%u>6}@&@8ODw-HF5g%1!O?q`&oI#p>; zF^l?FOvj%mRePJiQ8ENX=bzk*(29BU{={s&>84&Y+%!ys(9IuB(?WJsG5UOm?TSg9 zPt@!7FXEc)D&IwqdrE8RSB8)(K?#?CP-mBu#Yl^3k8iP7nTvnvX-z7{D_@hbK6w|IrQQe#l3OP{Wbf{5xUDMR=SjQMA+yz>R;wy}Q*>E0r9|p! zV075-ijt3WR~Jj$CrxEi-)-sBV@}ui)?QK}3%+Omdxq_Kl*aQYO5J8oFm+esRD}myj-%w*Z zMD4}H;7djTVnLJlacQ8%JyKKG;;RTHz&V|XkL!Gf{nCQ;U{d-WFf(7J|Ju(fEeGrO zEQfri$NaMo{*$)l!5asmil5vileTZ=Oj;V&t{EE`9e=(fIXrsbi86}tLVn|?@mIOG zu5$kP*>d&Z@x5LTV-@N}_O*X|P5r2G`yxm)5=7-8TEIVdLI3D&7u`=fJ>;eIff9Ox zfTB6AvUuD%Wr$e!e$66n*pXMf{6DL`O@`;m=piHM5 zcLZLr#V73)du!u+gSF*=d{(k`&TT@(tvHW57mt2qH9`8ecG1#5?{Blj-~mv2EjK3T zaYmapb&W74cr`x;N&N2rQFIk-QMOI=H4qg60RaI4r5owqRZ_Y;R_R8%cSWSTo25IX zn^n5IQ#zMeYJrW9?+@J96Zg!SbIqJHDd2DWcP;4ftr#P!D_?hBa9TW)E{c(I6$7zF z6>ID6LQ-t8rJV!oQ0Xqro(065ynKnLO~p%!nAX(+^5y6`W5hpb43A%plRDtc@5eWd zhG$50xWJ#uP8KMTlue`IZx03xe`>@s05in&8%sxr+|PjKWtvh)G6e{SKph-2qQsM+ zZwS}UI&D>#NwD;g2nHCZF_U!AuXY2vWIt5B{~iSHhc69CJ%Dk@E5A=raFi>C@VCCX zfxE>e_U|_LUDG`ls?!6k`(tE`HE@Lg^E4uVa9OFa9kx$Sg^oyWm0i@z&U<}bP3iGW z^V7`$%iLZsO_Mt*BT1%B=7t<6K-Q>IplQwT&#A}ij(Nr@7eCvN`M@0;J9mT)aWMdH zX+*MO#pkLT>5|lo)x*ouV z)#SvKJ@98-?D_q$3^uhGDOS?5jwVFUGoQQu*0lihWQ`8DUzDGb-k(iFyrSSQVk3L>yZi|XEG$)D}apVi}+nxtrT zq2bj`xA@QkW5t9!O#)O^tj0E$V|GIdgGuzNl2&zH&^PGqubZ~}P|?M9k}5v_s|+i8 zukWDaeCe;g$!PQDYMJ9|evTkU{_np_!cd+&dw%n?_zQ!L3Vv|NQ#^Qi0*n3|)zkOd z%Q@_c^}xC=McGkBOJeSG5YD?q0SQIFj;NIP<>Qiith3|mtQ%Ow6L5rE_WqrBqQlgC z{K|2oV@-m^IzjYnozGYaL`g?7>%4;FW!q4DBw$NEIfol=poeo;f2y2k{bf?6Esn5W zTtPO0<+hm-(wowRljQ> zK&|Wlg*K_?uj7uw^a>p&R~>cU+m=;Ntyw$-X3Eg%HAP^kf;!L%YbtY(v7^TVztr}y zj{ewx*5NvY5Oa4tJr)0!B=#Mjv*uV6`FTmV#VDUraDA_3+Sn3{bu52(7vGDPCrEL6 z=v)>;VRltYz#Md8IC9k%a~s3}ZW>rzfz9jtvFhXc*I?Nq1mY@36O0Cq%l!@qz$RV< znBRS(5{EIliAngjR(`g1e8JMs*94 zyVG=;^^s2=Ox37e;@A`1#m1{%Eto(1A1`nL6{O8@no@MZb?|4^daK7>N3Kh&SGttA#HSARx9izmX<92lMEW2#S_DS{{gxM(<$e{@VtezHw@x& zk0Itul-qK-(df#i=_OsgU_np~->!x1`jHmtkWt$c?7IX))cCg4>DDSCEbD6 zA}^YtbXeJEB}y#g9NJtPuNaMG91>Ce4y4h?8)f#J*xur-_j{hy5h!YGF~zv)y%D3n z4jVWGb`{Q5xte@v7sdQ|cm6FRs$t^C<2VchT|HSU zWPgA+#ThZqYwBUh&ZokC_KSw9fHA{Wk$PZZLo99Hmg$Cn2-zFUo7O5x6AK$;H0<@e zhGV{p3oB?1x`}7{P`7A@nVrrwLWldG-@r^)e#(pV50k+=vcoLZO1#gUf(l0_%O1Z; zesHm(q`!OoW|h#gpWO71-{9|HOo0o(RZ~ww{=~t75hS?vt&p6>zD3@aRa1rGK!kP4 z;Xm9nRAW4T|McA(^*-p>+o4O=VMRk5HP*W&rt=U?%E(J3!Vzt<>K}zU#!AJfOnHx2 zt00$UW*Y>7Y2-TTNg(;ampZ{rJ0&hh^1e*lg7RzB8f&8Q+s50zNjsINw!m3PgmIRb zLw4M*yH^G2+emDVH_^Q>r=!h{`+SIq8tW9)N!75OEB6#ulaIA|@kBXWb~DvwL7{`o+u7N99!nu{PNR|6!;zrXbk~Sdp zsmNA1jl+Im8unR%Etj`t?<`}=scs}hz0)<`sdOLf5>Hzck2G?+ zM*lZd_8J(%pLQN>9K9#+gxI&@08?IwR^rC1t%3%9x`KkWqxSUEQ_#(5v`yzn2#b05h8x zFKz%@H0ER{v23lYZSs~f7aWvDoxdKLtWiM*aH?ZLjI&%!$bJR@K&p90MHOayNRY*4 z1WI*DBD_uizxUWpX-r{yZ!-I{XbQ+N6hrLTa8r)kTf$;%s11WdoE@EOB8R82P`D6(? zl!%m<{9EezDONDmD_|A-bfGXF^ds8QtnR&kGSl4!p53;8>0+e5bsZe40la~dvy5ed z*{~(sQpIpfT9jFJwCa+9AFT4HFukRC`Ewy0tn}vP{DTf;lcRHe8xl1s*XepFW?ugO z;YA~qI&I*^hyYS}b@?Ai$Sqc+oA#cY*Cew%vtIx1Loj!Ffz*&{h7v5_!vjN+AA=oI=f5bs9S4Cy?&JlFmK1CS%9xt@BS!UVQI4 z-n%~Fhxz|D1&djnU+_PfaQ;QUl3nfufHj}xri+`XXr#~ zWia) zv@P`A#q!g1u<}or5zJTO!RU1gO6{`k^|*iY1<*5!ICvA~*MD{7j1`$l@Al93UI3Qx zYk$z!#~#qK@6!t0t{**9U;j*WCN*AA&66(U*Z3S7WP88$6snxJvGaor$=}gk)t3Ht zZY5Nj&GaW@QxJViPxFpZbc`W`iP@SyX_(MrW>0Zns0$Q zPj|jF7yF6pYZhMi+bf?j7ttjnm^tIHscJZ3r@A9)W(IbXm~L~GBO(2_z`XN;vp91V zP3=Ey4VNVB9R7cHSAcv$6Hb+g%A>tx6SZ7g?6Y7$Pt{AY_{?ffa?T}?>BWp^19X$m z39KEVDEjSgtFVO8Hqeu?u z935;2rf%h-G~*#rRq5F^$Kn2R@k%W+(Gh;IZwTE%Pv$4>EYRVH?o^9Py#|^oNbHif zFJz9=RbLXC0VQ0(lcNmXkQviI_qAj9ueb=%N(Pj}&a z3o4jtZKl^b3GMjtK50j&4`fUPi^h_O?(boZjq9-n=N9|E4&vAAPOWsOk_qe8kvbdq zRRk#LO0K^XPA9WcCpyfpocMN(m3z|dcOV3zN}R}c?-B206XYjF_xu2ChYL%3+dEWp z%RCO$&<*D1rAXy-Yk5 zKTpA#?Z;9l0p*%Y?xI>S*NgS2uSqD(96*^_8F@kVbUQ=vRwWeiiH=qrxzfAKydT&i zxM2|6#r^N}D25jk>OoaUh?=&e6N|)NL;>A|m{Io*g)D*rd$6PXU#X&CqZrA~Hhr;6 zW57x#J7&MX{m`Owve-XnQgvb8OCv}S(9H;6o{d(v2B}YhzrY8iH_(-5Dc*r7U>u~n zu1;`i+zxsz#DvM}!xf*Hy&&|{M5*BE_5uIS(HbSBb6Z9eZvEYtc%hnQgrgtI4xILm ze9(vAN@3#!iynrrA<^7PW!FHVdr&ce6|LUA7a*98e5-g2>)PYS{|PvEUNF~Z%o4|V zyF^~w_-owl6WTm5T23dfh76Nti!5Q5#sN^S28YIub-^$+DqYt zeDGQHf>_}ok{V#Ok71m_P*4I6ta8)c;PZdnRiq!52uVBN=B z!Q&6SEc_`H{h2sRw`9EF?1L<3?pUnSzpy$ddO5r(iVS9A#n9zcWplF^eO|qjcXT%i zy_Ub=?n~N$fVT7tvGd9>wtMl$DF1)lO)m<=F$qervTGqjUs8-63Ci2lzGegR>FEYU z^e?u3uvHVBpe?THx^wxhvY?-A_!psbt4e9;Qfj<60L_~-lCWPU0q4e zcic3)Z3X%Dj6EdrPsy^)b!q%*$s?1*(_d9dAJ-t{-6)%?H^Q&>mmb4ov?eqI(8HIs zc?k-WnBv})A|MZD0$6$iAnF4W2>8 zu&=h)nM3<(F?931n0resg_i->jH5qzUnpDVz7=wpwCf&p&K!y5Nub3TNyvEY*9ra# z2F9skSckC@uV8fOi^p{!GZc08X&1&Puq}-0x8;6AGGQDn5);S?2*32qK#3`VPhL2& zO%xw6b;E=)zu!7)-3K~|-_@;YXtSWK9xKxx{lGqm9%=b*{rra|`Y9?wQGO0us9d0< z`-A*~>#3iFUN=ROE~^d!CScVKBv7frE9eUe9GN!kNzD)z zV0BwivgB*V!aO z3w>m=#^>6-gHTUque9GvEz|s^t<&HAGsN(vm)wJC+=l7kfqgLR7vPpqGN&(={Uo(z za)^Qt5--0cC8za>-^!UP_)cozUfBy>qCx-O!urO3?!*4XZXaiCm7UL10U|vY_hD-% z`WV+|9o_qpdb_IIUu$+B7d0??i#jRLd8afiOMdvi8b2UPKfis8=$s&4KiY+D z<=F;SYN1qc(rn`_dcS?!WS^bSfGFF&nzY$IX5 z{@gNm3w`iF%vV{^akBybz>VY4CngCNC<1f&2#U7kTN3fr*7gEM%;4Qgu%5@zL9E}3 zzhaMq0Pk6rtg6{!PpQKE+T#{>@_}%yK}|fUI=X(HQ9huJ1buDT7L1!EtZ8HcuV|hK zI*%cQF-!tg!Z22|JEx*w=g@_8U^( zbMXclQRxbu={!D=TQeWg(N?v9ZVBes-8JqvwU;kRTE7xCB7bht@@%B!i=xupG zezyYe4SI+h-a_YG{qnk%O6veN?K)x3s>YV}|MbT?t|*abvQ2E9jS3MTY-&E#^g3UG z^i3o3YkTvE4Ks=3@d|ohRI1ZumEfpS)1=UIB$L$uZ2yvkOoWv_<+POk=KgSwa0S5b zP{8^x%lxL>`%Cy&=QSLKqG=t)1ixJ3d~JbvaHFC2?mhji{z-Sp z<>1i7SuH)gzW%#lY}mV$av;q4s_EDZYf;v}xA!S8I0P{)Sk;l;uJYy3=X2#X^s^vK zOrK+J^>CdO>-U=WKkH06R~FX50#;k&q{S zlbgP?km!B6IqU94xL!gG`lH%P(H%Xbh?TbHSU}so`m{ z9_?n%l78KnB#0?V?^Q2j^7<{IR~WH?RO1o~+wf^mT=+Gs3fl8n{b%U&y%lSkn9jV; z(FHdHsu3bnt8K!-Z&~C-8HsXPrTLGW_6hOaz^;0s4%*9$IRzhz9_R?|b#PU1>Y-4O zI2EG@WPzSKB;%0Yv`w3EeIQCWYn(z`g6%Vhm+!+j?@_E9!-oj7YoOC%X4j!hQDxYr z_}l#)%cv()j&jS#3&jKyi4`mHp)Zx&)GA5EQb3Xk&`E;K%-b!gH>3#EG00G1qM z4vW*sbYiv?K_=?F2Tu^A$3MG1YDy_9StHbO*i zdm4H~uWphq20z-#fGCJ=9_{>b;MsY({`{}O6^K1%$q*#e^R7(|9Y}Eqih9>3C6e62 zkBBO(dPBXIIirW0trksfA3iM%rP0j11FFhy^6=gW^xE9|z4REh9>HUnI8W_t97>QQ zHmr`*!nGKexndtsN0ScOxBtCtUNXfBOI2!MKk#gj18X{SS9|*oXpqkiK6V@IIDl12 z-Fv%ndyl+2p5<<=RTyWgPDXo1MDMRHio5?4O)j%dxT{=FWBQfvf%ohC9T|H^MC!=~ z1T1JcC(3l-2X`}a6ShqZu0-GXq5m4wQOeCi0_;2ZU;Rm_aj)Bsql;HPw1XY;c^NTKKA*IK)`t_#%iRRgdB6Ze@Zv&~4Hy{vNn!bkAMFX@=~k<2 z9*o%Z6Q$=y6i?6>hdx*B`vDSXgQ}k2TlVD1@kCQtkz-zq^U(eNhc}eQ)E)4<>?0&r zc6i4oidaGfnPI`96LK;bvTRwI^z~6bgRd5(Vz6j(u0lLUXjab9_3FRQ z_msm%&hL`fvtNc-ktT&)d8Apg^P@Z>o}DDWiy$^0V7@qd{+a2}MMu{__Y3*h2QzHR z@UJ_<&_0{V@GEt4#Gp&BR=%{c%E0uqhZyFnQ2j^co>u(Ls>fNa_0=ze{o3j%E-``c zmD_rm`;sG$T!SCm-khSRc1qmB(D_`hGY|!n!SD}ra>iqdDXz*$Ipf_I*bC(=^^}&f z5GSdWtj*><1DQspzobSo!PePv?NP+^jsaZ7S<&Atl+S;Z7fnRS?dUcw$-MIv?W!Pq zF6|!@v{zG964OYdH3PLPiy;zHy>fk1lNr!QJpbaTuzJ47!R$o%z2=xUuP8ZER(w8! z+jR~xk(PGumJv$7&aLH?oqkc5R4BwcoTTSLm1e}#nSb(EeVViO_=EoSFAgfj#9!K6 zcEd@N-)-**oKRsRh_cGh`rhQ3R`GnLV zY>`1?LQbj&BJ29pAhy<hjaJ3Me<1_JCLbscuX6YmX9Hkw5+PC z?Y#>f@$SVt6ouuNl}_{5H*9oo!P__*k{B2v4{ljEkGGZ^i*CHC1H9QN)}=MaRy0rGRH=F0-d4Q16dymPzWq zch#SZ#Kc!V3gVmHnQf9u~=g}YhbUY6Ki!<;_RF=+#!h~24Os!2UBCHoDgtx&)zlI9gUe=cMe z(oqknkY|~btGuP=*Zok$Af36FH)mMbd(8yTo7>)|ez?kZF1!fo2Nh8wgTB^{d_91X z+t{f3+dBcVj7^ELV*2o#nzx%pU&R;ZWZIj?iZ34HGl((8&fyJ8y@*F;tcGrutLG7z zuR2J&RHOF3@6%SZU6x~GW5v0!VOK^XfD5g9{w9l)1B;X*s=A|iH+o4N)l zQE+^_`YWl`!F1~(gBtLs7K{AXIhll8rXEYocgt=o-&-8=CL+C!wEt0wU$txPZg^ft z-^%MdH0cy4o*yBjP29HE-+1=L`5K&i;+?omw`Pm<^8Mq{;^QJ`Q>zkmREBsu>y%Pt_-pGtM`?tC zy_0T4k(Uq)tf_f=(b3g@d!m&2xmoN9R5tBJ|H8kD*J1OV)=>kQ$@5X+;BHwlnSgRr zl+@-IFTwX3|9p(=u4d(Nj`-??6bgF%40dFWMm(IAF3Hx^fJ@t)Vmq8hHuj03_rl&4 zr2vvb# zQbiaWGw9*X;lzxazNPiTOAHS6y$bTf6t4L_JnhW8n+`;$+q@?gHayG=^p-zvhyJ_I zuqSHJAY^LKkbRWw>VeF9{l(z%ddeOe@x>SCyA=g{S6 zlx;K6Vn(|41!y_0*pWy=U8;JnbL-QnAj!ZZ`zT`8Oj|^h3;*|_-FGu*Q5UVEB5#2* zxd@W^o-2>1G1-{4b0^nZWgKq3#Z*7+ zw@~qo^G(6p&+@wq|GUu4@i$_#5DJb%M%&zz0Fw?;Z>C4#ic(JmHwSYpZ%v6K{rpkf z(ouZTyV+4CM!LinxNj`ll>5Ih4+&NTQK)uvA9^0vfJ^5(LegVF%-eDK)bTkp9NOlK z9Kg&H8?`{o3*qCbL*vLxcc+z@^;NZQk<9*RI|_1oH>J3)LpR@&h)ZM<*qtQ)Ulkwk zhZfo8QXg#S!c2YbOKPp_phi8If!kau*Jj_%XXgmdyN1?gX7rYQv)bfeF$J;^(L;Ki zlOOhSy*^=AhHt1>KHKS;w@BnKmpDglsmYQ0=SRB7H$=s|X0)gCl92WAKu;eU859XB z=v;xNL~$51SG`o_y8KE3dme7rZLs#TdxralQQy&mAg`XmQMt35@YF9zJ6DYYlJ<>1 z-TT8%gT$CpCR=<#c5M<}#oUBW)4LtWa`862hFQHuN#GNe-TrF$TS+f?*w@9krIHYtT9Opu?XC|hPV1shq3ii74p9rrZ3?^Zdt$=v3+x!kGI z5Cz4($4T5u1UWaST0MXyn+dnOV@@Tz{)NXB8~c{v7F zvGQh2v#rkbs2HTpV_4oMG}NYz$?03(&Z6(90lgOlu|Pq2<+Hq*>+E~r(Zh*x2eQRF z@I`3!Xj}->6kbT7S-$kDNSn<}&HQ5be7UX^0-YyrQXOy@Ya1(e9RM!399YR^*eQu_p?l+d-XSY6>QbVC6W#v8 zBF<%`^P}*X5mk`|$a|><;G@1@>Q{c;G-dihYhjvI&f@cl7{7wJ5LAu8ex`5gqc~!%GcP%z*}{B%m<&2#$l4NDl2{A$T-(RHNHQzXive$-z^Me6=ML+e&g8X z9aQDzLb!N}V^1_D&iJ72*{eg;_$#ZwJ_^~si0V9+xwG!jx{L{teW7Mpux{UMd<{}` zpZSU9%Lj|ksMDUF;Fp3cpE;`e`|%m}z+)L`g$Q#!v!eH_tr4;NB<;qW*B7T_lYd*3 z-i_*wnd)uzuKZkc)vhzC#m6hX`e2<;m>I{dtWTg%+b(n{`~hSz*mgnDF+S?tP0{RW_us+MUWrN? z1Huo)r{sfp3vcqiuw1i)Q>~)b5A4aNk4wI^`7Q1Y`v2weRhiSJMfJDp!l|Hg-*X|U zNt5ED@Yeb&k>!KhFRj0I3`jTMFCFbi-9eL!_}cb;i`^~z{S#!vcnKAETa?jeXz#24 zACNoTMckIzsniykF{3#9&xItT5Qv=V$L8TFr8?AJ)wFLz1H=+xPoH z#`{%Wp~gvPLrur9Yf4Q=o9hlY2Qrbyx_O#|fmSQ!RNTDi;vL^T#RpI~+FKxPM6USH zSj5~Fp8`FMks+4Z-A;)MkZ)Afx3fc1GpI$2(vXd=D(m9q zp9eGYsd}82R1@Zph|NHJHL-f*8M;gghqzj_3$rlF&Q?QF7deh0689A$Jkb-i70y?t zGStQVswTY~l{NziVxztaLeE@_$}%Soxs*I^z9M-VgETI^Tlg zK36nIe~qGbcr71jL2v)4mJ^Qk9GB2;I;=Ewx(X=>{+?Y`t+|)&JBH2nJ*kXoYGv?^ z_EUC}OA)_T$hakzkr#x#J$UU~GoL8au-D>m6#TBLVHjuQqjt^c(oU-Vmfblj+fvY) z4>c|i)|FX9k~5y(C|0^`U1ThW^Mu8$#L=Bhd5AQ0c`S0$jt}=!r(5_EwB)tXp;U9? zNBUV8WmR&VvN}StEcxb#_t+7Aw8?&?>|24_r5PQy)cxCAICvuG4k4J%cua#FAbT3= zF5di&6Z{EqhyBWHIMQ!i3zrP!ZtK0V@@8`CRASRzafk)2Z*Kkas~35mKGHAqP4CLt z#_ZBKjj!sY8*S_)cXyl>?l31WIMC?sv;4g%^&*WsghBNne#oPAspx(6C~XC0fdT^T zCUxjdBl1p#0<)5z$}@oL7<4{B5bgg-ckct4J2$VJS)GFxLWsTdEu_wGQO()d5~G1QA*y~1S*Y;0BOZ7Z{R^INQe6)1U*tGyqh4)3B?xv}`^`Nh0DX)`&JqUWt> zu178eN`UURUq)bU{cJ)D{lgitl8ZGTWj@|sOqtQ#iOzEwNxM6dSGgjoyTT?oIs5vw z<`=D(SI;cdZY51Ng;*KW98i+x00jJot&cVi)iMC0MO2@Mh~3xLdu((ltsNOE`K9U7 z;_e~vMJ_B11UdMTydOZ8J=~C4dqLVHaR3798W{<6hx$mnDa1C>}+6wp(3z8Ig!`w|evZC2)? zR^zO4)yl2wwr*c#n!&i@AOG!iX$#CeYQJ{Zexgt6|MO=b2=zq_^DMuJcnJHC_OWZX?AmknAvCNKuyS$i$+zE0*S&0vod{Njj>tB|7ND!cPxVe|HmSc7tPakkT};k||Ld zcjCpEr*!dIX2#~}OWvdYUnH=q26`0&1zz2)$vl2Boh@}6;o@CkLn$X&bfVQKvEC+4 zo&Im$@l;Ugr9WK_{#$D=%8^MGX&>ac5LL%T}~)1bN*Y6}!U}xP@1y@ZLpfb>qyo&)Gxx$1Cp!H2K?GHqDPwA)#JimorBw;_!T zn`3MuSF{tm)r#xhx`A0vZH>IQ#$=poPPxh=$Z|_4leVKvrUl6qQ!Hdo#)wN!l4gr` z;?(Rvr_c9;t$ZROy63+QQMG+|n`&Fp|AcCH@sOvL)*mzW7-7|U-&8X3ti6=->0fTv zRZ@>1h3Dk`EqYuRZ>EIkK2m7 ztg8;h!^2TmxfZXRXq;v87ugZR_>ij~19JjVArn(%q&0t+l4K*4yY+ zr-|rgy$(*;AV|tuKMS$-*9L$FsC2}3?s3>DNf-Y*vYwagihaxdm~Y}b7fxXRN_dH+ ze06Fod7-hseTY!=^dqJAdO@v_kXM537Y|2*pb2lld6y~0D@KpgBDzIOd7YaVe!3xz zLU?Mg{ZXG*8aEPN7$OL+@qWfQ;taPf2_$)r{=lo6Wi3J zQM&b`VwK5Gneg2xQK3~wEOXH{ZnVA_1IFf{DHV5cbx2DWSJSRZao6DQUjyNGxTnsF z#ODnCHW^gq(8Yyd#eSr|*orA9pLVB@mCwjh)Ks0yTy}CppOBqUsA?9Ye&#U|)+ory zS<=WMUZPC$m!}Z_d@H+rc?jK6Y55e{cYd2!EXR{`zJHC+3FWD_^5eYwm+!}F92Uv$ zwXeY5LX;|cl|r*~p4$1?nE!ZR7JDiHg;UJe97wP}K~8J<;o~U~g;UGz)lh~?n()ko zk?fyRBEm-H$>y;CByvPd2F=DRBTa4uXK>R zy#dxS-{h&zx6}7UIU^%0_M!tbkm44No{!)dspPd1B`QL{`tr}!y*2g(LaLQlRpCdT zc~a4so#hw-VfFF+=gfZ{Q~%Ao|I|s2D5l{gkw&(>WAp8L`|=0C|9=lrS>@K;@jF8ALx0K z_w1KB(VW-Y;8d%D-gV6kgYLg?(t=jDB(yg&7`HY-AO9(fn<$@R5~~8KwwVp89Lv`6 z8*e<2jvvRLD;T_@i4GmV7qFOZ&*v)cLW+`}I8l+IPR36=j9%&HdR%oBTOD(qI!)gw z){2hV*h{J|Dy?*gP%}CmirRerH!YcqE_1HR`}=!|8Na2?RvgPYxJ}qlze~`NWrJ|S>W53(%SJ>S(ago14e&o7#8>PWQg@m)Vr-J}Z z+M6Q^`Bv(C{^AQ?<-Ip%Beg2HG=6PZ5iSfX^=j#*#t!{?Oax2@Q6>%6kCN-9eZURm zip))`RS%4*Q$H^&04^G_ts;LCOavv5RB)*vHZHoY&x=^&;keCx?}SQ-UspeX^}#Cs zY|U$1M73k(`8RK_3;N8aJ{E5s1;rF{8>Klylsp~cDY=KgajdO2?^9lYi=NGS^ueY-J{PJ z=d}#QJr8>-l{B^(&(l;9f?bkbd-zIz*{`u~T`(5FjoL8ft?9@3;1Z8#6`n@14C@TJ zH*euOf4*xaUoA9U^dNIQ%8jOFTa?4g%?_uopH+Y0J8$0<)Ny7*+M$$n-5MCZ%OkEF zaF(a+n!s```8CuH?7ZdCL-`$jp?2j?CZW`^s2XOJdA-6o|E*x?4x>4tV&J_me|<$# z>N6{wGzG8B0HA5otMANR^oKb}&7qE1|MFSeZBEU#PQ&7W(avTkKe{NV&(hj#?y|Xn zitg1ZAO7F@r?t1g`7b1B>8C$AisT!g9GbTGwREr58nOcYTHraH8zTetyL5vALM_ugk z9!y{O?OpZkL&z>+554bH_bFmCJE?EIu(0W@m_nHjEFb-zx(}uM2AWVvDoRs2 z;feGChKJPhwWJMew{E|of;a_ z{x~5{3&K{-ve|5#wfC3N0%yfNFm~|4sjTzI-0jP`CoW?RYv%BUxr|`8hKvRUnuh26 zc4426+9=zkj#34-HwL=|y_gwWHgJais%p&;zV|Lmee&H=()C9bnEIu=#?bNh(W55% z_sMq0|3T!~7h|yc>(yk1p^qgF&p6~-T$6ZKZTEy`>dL;4I8rOJ$woN-i0MD_srSmr ziZ9k>(}C}QC53M}P$u@SL};6L4Sv=qUuj&jf;5@nfqD$WPE!hyUIFd1ywx22SS5VY zkSl8AzuIsPdT4XI=C*3YpqTl8uGW7#W6fJ1GNne`lf|2;ioU4L@vv%X@-VFM^muh; z{HVUWVmK~{DXNv&S=Eun{+=+QgPT8*HeG%6EJZ}4rh)F6A;`7?O+&N=n%)ft2BY+LjO{9GtHRQ2k zQaWkh$#%OUq$jH=S1`1F3kmgOZI!lOetSBr5ao`}=nhjl_*%Jd77{VzLh zc2j!$jri>=qgfBKCnX*(Xg+0-D}0}FF6cRrV$lq}HOTsCSTCc>rr~t;nbI6y!jWx1 z-A7!(UXKEMQD{b-<%@N(ceYyID!nbK*W%eB~Ue3KT%!Bl-9j|QY^t}*j2J3cRrk^$uQ z_*iVc!=M@q8S1=KehH=3dJPdcmWI%<1T|O%sSdPu5VKxvw=;NZGvMz<#P`IDM1ige ze%QR7&7j$1PhR-@`Odn)Rdl{p%<)Z}nnr^0n%&82XDc1%~!d^hccx z%PKq+;OzWs*tur}MD6N0cBeQ{rVq#|agoIQ@BZ@XI~94IEt7N40ZXi^nd43>|9Dz$ zfUA7eMc1K`%mbqqE-PA9(~zV{Et78O-L6qBG2Z;rDl$@6nU3S4xC1ELa97Y%)|%MD z%IVnggG!vO>K8d`0u_n-QV<#Xeb5NP zUCOldVotNNT&s}=9N6-r%ed2t&3yktQk!W%4T-F_7vvGumU_|-35>qOvT^MP>AG0c zXNK|myag&9stAjyVg_$H0TW#aPc3B=>(VWmlYe^~3xI5DI40z=dL1%9Y0caZlQ20O z9@Mv9(6#aDDXSf_h0 zf0tAcVp+O{`OUMe8e5fc#Zzo? zV1-s+sy=VoQlnNLdW>1=$%E#j6>~3H%2B0h*_{NNF!rHV#&30#mO|W9oqU06?e5|6 zSuhx-JhLwn4;5b3hMao`osaB#zumHIKea~O?hbWh)v`#e}yLdcuAp`&)2Q0^F98HmD#Tsz59wb z7mUvD3UhmQ%fm%G=QK1Td9L>JNjqn~v{2>ve!PDK&W9E2l^>F;Sc#8Q*d&wi>a0f}CX?-3>i@^mS4PFvG~I>( zNpKk4ZEym?9fG@Ckl^lvI|&dpxCa!Cme=?|1*rnswGXeY&f5Rqb8f zeJTdv(9iMe*cuV3i@ew%Uc-E|D3H1`ue}boLd_$u`G9se+MK06AGSkky~o&(qtijf zJ#OWo6Tc}~LP-0vTl2h#r9|`=vC|yEz;%Td{Lho;$6NYlb#Ka%*A*JDY2>V7E%`%7 ztC|hPd+XvcRyQWpeLb7FJhTQ<&8XJV@NZpqt@Pv%ZUM3|AM)xTQJWtWxFRFwiO`+1 z)yR7hph8CR9BL+ zXsYm24~@*Xsjk|sma<~FtMbGp+R#qt+PlSOVMu`6GQFW6P#)bBryjnSO76Mwnf36%lIvKwo zJ@XVVKB>}gZ;82mBl{dtA=6X0RRz4dsB&7#wqh76>!hwzE`^@3j_XA6-2A=nmvg-! zkJ1kGT?$z=(mK3-Za*!MUFImoS*|Ztt&J$1TKk1lu^tsSqZjel3XCUj))*E9k$0mCk_l?N~1 zrOgfM(fj1B20z9x@G=VHEz={s8GT&+Km*&8o%ZJ7$5i>8gt}bjhR5kn67v$t8qS-w zF5&c%nSf}%5U3+L{fOe9nP(*W*Gocck~JiPZTWs5T)95Q{+hVcXuV(~v4p0XQX2QB zs1?6K|ge_9ap)E(mvM{4`3q zLbYkPM9~lH{_S>u9(Jb~E>8XMPS}JO?ypoKWt^`D<{TXY2J@aYLyoj*5@8ltG-)Am z%JgDHQW=s_ysLUlLpzRdo{YCu(>AA={L`mGm|_ z{yOAj!O>1^n&Z{`LK&z1hnl}(%1h$cdT&JI4j05~%%>E;(iKkfcndIlirL3Jo0=iH zD9Z$Y4+~7M$e|02b!D~agNIMXhjLQ(?~=`{vpsXMXfOJ;Yh+7GjY^7bsLh{T5f|Bces>Lk^9B@ zx`iaao~>vks*YurMk+UX*QVXI@W?GG{Nou*7_O)V?3TZyt_}9s4rO%djv~5SlWz4f z=ektlx}t*ADIvYq^VZfsBFwoStIf@d2epy9#(A@(mO~M3wcg;*J(bl-!@HHQ zZA4k$zw`&`D#!KbS~MXVUcvxZ$4lz@B4TVGdu##xHE3zH@=DBZ@R;eJzU`7*O`2eX zq!5dJiq1u|xMn=5e%z98U!#Pz9>8=zKF%hlKQBQQ{>^akYDM#Ay|zI2G;NY>OSN_8 z7y9;<5|MJn2oZh)k%!Pv#)O@ervVx-8Vcm~%SG7-cAE4?{5x)#oV4RoyZ#^-g&$8e zbzB6fU+J!{RH(%XZMAkOVKSP9&h#XF!D<4&qv4E6 z$4QDam@uj1{CB@?9aJj^ zbuh~~59=7)5=F~0#i_(!G($ufEsDLQNEnotr-0T2HT6@bTqaO1?4JxZLH255!psau zSOM)NOtvHv?DI`;pq=vD=KVQ?;lWXsaEg6LK$bs3oS{CxVTfSAv8dK|qN`!W=CuzDFM0XtduXAI zE7g6j<}pDX+QJp+2%qhrhnR|lq-3F&VzpZ9>ePRaii~xdd8@G&S`|M_<1ePG#5k^Q zbxM0@dx_}Gha?K5_9E`pgavpy?{i6);E7NlMtyf6x;GC~+;hMD3J4ES5PKq=Kh|px zQ!Fv{kpAgl$IVi4H2yx1h9Rbo{nE(vATuo%J-UtucAWZWSg`BE{+jQ5OWr#jY-9}BT0b!8EHQ!-@B7#kEppB1BX{S1D)3-+1s{i{VVwn+q=)NIqHK#@ z_G2um{_M|TQyGz)blq&R{G4btvKrUjvrj3yuCaPl)I_Pen@X8xXh|_dc=Yd@_DkN)Fvh=;J(k$bwkKV8x}8tZNN`!Z@%k-D|8X+{iJ=0!8Cfp zy$$~{BQe)<8l&f!T(!|#21_YSC_hnb4rA)S;gazS|Na8+&*aNO84VI!sLDuO9Sm(;{Sj za?%0Te1x3gNMUABUSPX_^v$iPSbXl=4QA=yl8s0gXty$X0Rzjao#wpi9n^cQ9`qbUi#ho>+{PWl&K2rd?iiOyfFW@LK{B634^!;5$Cng5~y~t z$N3}Wdxy#@VVo{&sAl9jrdejs38m&s=Lih26P2(W*ogV@NgEptL!)D6)9Zaz-}9zC zgpp5O=HBZuoT3YQKq02^m1Osr_`Sl4M(HerH`D>;ENQxll4M9Q)$8OD{W1`C+e3&` z(iXzk zWPEGKBcYBhRmd=*NyVI%YF-Xe$2sNyb)ex^mZve1JL`jX zvki0YmxHWbt>z;`?KxHyEGx}@{!D)?T~~hGVpncx@c9##@!I0%aNv4z@_oL8z&}be z0w*0S3OrA@z=38Bbi(_)jtrq=rf zIlm19cj@Y@_rNX01MzE1W56G)8NbHr&tz>cdL~9vYyX}YPY0BVzlPq@6z?r(<);tl zm`cdUisX{2HX#dB(NIOVgPWdkO*(lpjwInPklhFZNs!jHhL5w|KCv4sY}qS(Qf{z@ zLyBJU4x-+4_Cyvt_~uEjFK+r=n$LX1;qZ+*`jHh$T3(zw3Ta(BDsN*Yn_tK6D||xR z*twYs3YcBL8qL1kUhbSeWw^kqEe4y)WERihQ1#+#Z4-%7g+qqcfb2v)R`pg5ok*#gf?h+|;;`V|_ zh&;i=1+a!-PJd(jyv-{#=a9yFcP0d}9aNL<-InaQe6`;2$TvQE16^g1-s3J(%9VX+ zn<}|+k8+ji12^Z_?ov?}Norq+oM3;V^zv1|%`FZOUE}GGkUKAp$-V5K9+2B+@D|&q zGXs%FfEKbucJ&!y`F|!Brp~4Lna5FOdOPUFzYdktH(1h5&yLrGB_;P~IHpw_BK`lK z%9_0V=VW6o)Q2V0_-iXU6Wz)P@K>}KuJgCziV!}r^*DvF8m9q4XEHib*2WbJ)iqr( zZ_qXN&qj;?Ep81xge|aUEN8R&zY!eAr#-Aak7ks7RxH=y{KA?kzcWd}t=5barMxX0 z6t;K|?5P^$?IQwBPU2g*+KlD0(PM*tKmrH&IAIQ4V1?RSN$^9;Q-HUr>^%)15frI# zo|#B^{k&l!=bx8hSR69Zx|PF6PJgMuTtHaWzExQ@Rs)!4FxT@ijWaYPri?{fbh{Co zvqSL5RPcvN#U*Igf`Xk90Q}Wc2_my17#cECRX)(~bLapwTiJeu)YlzQl`vFZs*Pat%12qg?yobaD zN>UR+0RRyqrO#++?-VQzgqKjd8p`-#?TZYO@b(hI)&Jrn(K3;*uu{ZBM*^;2GU~yX zCSIA4@Bz=hrg7mi_#V_xHvoQ$s_!xyQ5d zp0LLr=ZDDnl7+9cL4UY{B|l@}g?V?fkx&ul1aD9#41@Rw$&4!;v(<{>Q7RZB|8g!CBX8QUc>@@#=NqZ+3QEMUC#XFdlnT- z6&JSb;ER6t>^i>R=C5bb`PmWR1wxU%>c#rPVLIUauc^;;JScGl#7F@OZV&6#qXGVh z1uZ>{cWXaQGpkSl4DS#9bDGQxd)&GVi|#+r22lx8l0I}T<6%=I4{t&!KOcNcot2Z& z|NZ9lE)NOqtHhYv)_a7EGkBuZ#QtB}yLVXU6-o>k9{L}jGM=oQqwcq!xwbG$j;<|< zZ7H~6i{1m3^;szd3oxEf!%pNvAdT)%5pjs`?RONZO!}YU8$30r%R?FHTQI7B$}$jX zh&x{R#)`x9!N5R`6LQzcXDhUe+ZoXlW!3iG+#y(fZR)FigxInR?}8L5`#~Q2zUk1; zS{CH~Wsig?I(WqRLk%0^uZ*9l8XQ;~{xbUzQl)z8iz0&`Z&%uAsFQajh_es=5g}K+ zhIGk`)U`KN z=ByCok|ucejU!C}r|if+EYwK|iHKawHXm(MHeKrcI&Tdb&Yk}^2o{4MfV9eK?GQ4dp~}Nj}&tBS-%~$ zAn=PlS4&0o!hnOrTW|6s=KNIxt`yy**2l=da3?CO=<*Tt( z7DTG3pm;)yI+zIu<6j+IhBVi>a^pEA5!~=-Jnzl6uCO>L-0R)=2I>R%!l$<0gke=M z`=GLdQ$LXjxDhAU4v-S|R;{J)fp#4Lx!&Rgs;=#Pe&#;HjOQHBG`syREsPJ`akhd* z$sSZQd=vR8zJX3XCairY5kM=es?747m7{)>{;EG`RAf=RjJp4CyNFn5YlXKyCOH}P zW>6tKJYC=8HIT)3FQZ>l=)-x_c#lv#?>^*;tenbkr7_uRc5CbJaK3u#DkiOBQj-&_ z-?8S+N<&|t(9_P5Fk$-ZaNMyxfXyC)hYt&H%?x@&j#OJ)9z6!!)}6|Q0@tx})3-KS z*hmY-<`Bx*`+)nJf za*c6wM~K-(>|$a-3L+3fB4&Lk<0-gm2^>szbrUqV7N<%|u!_}uk1hdy$3S1>yUlhX zVN|9A3`2_X^!`c=C?+wWe1gBVefzQ*z~L0e8~PNt_#&3WNf7-+rAjEv01K~AqKe&j zSJtDG0u=AXO@%;Q-`j$R+%;oRyjH|Rp_m2h#)x|H_2!A#25*YJV401cHG`6U4riO)X zxBXWd*ns0z!t&sbFX1QwhVz=+-!nI`@EMbNt<(ap9G8=uJmuN1xE;R1lZ@=Dw6Ow& z@{03RV#4zyz-!zN`1_hESC?09xoD}-RL^fR_qC|Y z>1WFM+NVmVZ{hNFA(0u}ZL5Oy90sB5m74jY z=|aJIKwiu@uW|!IrLtd5pZe{S3yF7Fv^Iz2q-Qqs)aa>f&+>OA5BgtZP8_t7e0o5J zK*l@ks{f9f8nS&PL^2ZZE8s6y;t>{LW41x%sqWeh7O+j)D(#GrRoT{^C6k{ z&tLFgNXed-4YBx^)#DBOzNDbn1@?nDDgFYic4Df_XcTw-&6l`34ay`IIub2 z9n;xIK)4qS7WDJUSP+rSM4UN_kQXSk67*pgF7S_><%z|QFH+Jn3iWPhcSx#}(xhza zhmu`70&m%tLcF38BvWR@>4T#6F+?4KCENIPBKTr|9XpI1R9Ekmge1PtfFh9DRU$$R z)0WaqqGVI|tR}@NCWt+ySq}#C+ENG!MVE_qU-ztG0EZU!5q5rF+^84mvtcaCD)D3y zwxHA!%qRZ-wX5toN#~OcHMp$W%c%QoP=kqKFO%;Kz!2Jbftpp$WN`T5w~i>F)LUOK z_&EXV9hfweC`=#$8 zd!o5SiU6Ld1K)F=_dc~cZn}-(zOY+P?UuFdYtUsaolJ@|Hg~t**sDw#&*9;N94-L$ zFZ;!DlOg-Ph^Hr~=#@(6f)4uQ=C2pZrBy`}8CNr!1Jx>jp#*B-C=vPeRnVPh*W-Qu z-ahLg_J^ycb2c+RYDRNW1`o@LvFYR^@bqQR`*Lx8W?Kox5|mbZD+wKi?mB*=kwY4T zy)?^QXZz_V9U2~uyDPD+!>(gu;wV^4<2|0HwZeUec6x4HnxTh$ZkT@wO2!(ZP#&%I_Y#2k)r7xdJmhH^^`gr72 z%rZ=(c;5~ltGfo1~erVG@UvpAFV)J|Di?Z^RFS&o6H9i8M zF+bs8-bq_7k-W6BMj4YMBkS zT&=eOkZ9bA36<3P{P3Hiud%FBES)vo|1Sbaj)Ij-L7r9NjFS|X7ZKbGwC9U|gEIz# zM;;zL+InuoB9mj5gFaBfzd&t;%1Sx5D5Lz_DzF8;l^H`(pZ86SHup-e?gR`O5UhjI zWjJp!OM==87gf0ord>$A2g&6J$Z3UwQ-AnIddRoa4vh(k$0DBQh#RQYuR5ImkSYkx zZ>PSQ=iFa_J-lBG>X3;F1_;uzSh-}0bio3QnHpb;*I6daS5HPQ$1#{(UYC)#x>e6V zC;g>Q!`f{T*MGK^eG>)Xmx2_h*<2j7_MSUiaxSvBw$jbU=YcHKeDF`rO%$e&TS5^Q z_tPGS!C_6jIJeAuy!hc|L+pU)r)bKkw1ix11q98{r43~9I6chtQNFq4!<3ua$1M9b z($Q6x@V#;W7qOxx&O!-)WkZ3LnF1p|LF9KUEZ9iektuw@uuzR?KQnx)gjB0Ezo7mh zik7xi&v!Ck2x?-vmKrGIhBt9*3h@?eQwc^a$5^rB*Ri`!A|=c@CEb>pru{_r=?nJx z&BicFpF?NGI00bKth>*GDpP-X7kcxawLWKzm@8&Fi)9$5ys8*o!-COTYZp+#g;R?? zgg1~B`n^rUbgZ4bv64+8ypJzQ zB=ME!%iPLsa@>rA3Q^9jG;{`zA^}p7`P~979j*;(5k9#IQ^bvSV3S7o5(RK?RZn6d zkt=>jR~9|Ugz{vGZl!kyhE=6@!7Na?(#gx3_ly`jX*8`Lq3>n!PDsm_xMZ?Kd@mzq z5jw;@+Jg;+jx?(kqVn?_g!)!H_vHb|4+8v_Q<><~sQ!V7>u+K`3xspreVV&Txje-x zvY|6)%LrsEiLv6eNmo~)=u2dvDa&Lo!jc1G{GYMwZeYAKS-Z8q6Or=f z91?gRc^sCr8h-LgW}4PXUdWj_>Co8iqzJo}%&iXX)kW5rHpRT`dw^M);?Qe_U5}t( z4pbbXzTuXscYRDLT3udrrj3Jr=i(5jf$zRD8CH`TY#rTiGy2(CIXvDt=?JXSVPwNm zHR`MC_;jG^J~Je!f*L~zG zQYc{Q2riV*)aN?h(KAVliZ`1yd~IEK&khBb0ohvF$-gB^^S1ZbRl=!HU4Tx5m%bREmR73U!0rHHC#e3+X&3$?E_3;PQbA>^_kbm;S5sQ9zMR zM(_^)e~<+iU9jDnnY5&}yl1U|Gt41iF9c)=)WI~EX`uK=FwMQPrO#N{qw;$9!2IJA zup^aRB$D@*f9uS#DD-*3sRU|Q`ZX8n7Y>?;vYVUDM8?0SERD1~+n696ByGf)#V*@I zTC&}6x^cH>zb@_4&=Yk2);z4)qK$};QyO0I%EhLVz z9^OkD(Hd3&hAqiOQ`hhlZ?x~=Q*DFo_o4Z?JW-p~vXvI$cgUV@;Bla8gOz-aCM?&K*UlD7ZCTxww8s#`D#%{aNdQ zSpV!{xQDo9Kypf6AC%DO&(dfg8Oa-cl2lNsv=w+FtAI&d)OW@2H6gpJZ-F!+UB>#^ zkL2RpdAaslgS|KvgLJx>=fP6KHf$!&50jp}gtkCI#F#Q){TCXI|GLQ+X32YpQEyT+ z9jq1}#~ErYx{*i^W|aC1#plAwQ7KTdd^^t&h9wn-ys(uG1Yc`&)_d(AC$ai7xb}9m z=f|_QjrqxQeJ?6c>Gl05FYzouHOohIjh@^9>NVGozEm05rxwWr$5@v~5eP#_*h61daQkJ2av~fr9@BhdsNj#ZWMcBs zt#=qhVCHM|hT;W&R0IqJH9n;-k?v@E{WRFd5t&|5A8VOx?|ResnQ>oGy0ItxNwz=3 z_#^r4cYJ0W`>(A6qL|@pUb_+GXnl`V)kn?U(sR>bqg4U7=Kvd+qlWM9Ad|Uf=WS

N7Ze?%wEJk3YDBO7Z6r(9hIZ!?=HP=0uy+*b>EAse$1_(7w{N5&N8rqdd4{R zJ8!pvFZZPu!+*>lcHnv?*<~k;iHSS+{2B*+nooie5Qb37apeZ!Y*r69mO-Iu*wueY zP>jI1G$Ot8nW7^*%fnV7epfD5RHMv*;eRa7m%fJnJ|Kmf zWrMQQQ=JLR%1)^RXuet{_3Wnm66sV|*Yph?pfN+qaxWoIgulAxg0ZwWnaxw+tPy%b z7mzF@zBS{yW&lfA(E!XV?=8nDesZNEZXyXGu!f^0lK?X0cQt^CZx|pMT3Unj(a*f( z;YUsN-U?Lkeo`~iwr@L#xXn#Y{$+n_E>epoc=9kIZBp(9GK(new3B$)Q=1fFB>c~h zuA7-!WSsq3b8`P>#`l%hHPtohH`Zs3P*!(OB{E8jlgf*CW1W|$FFCd3-^RBKxa}52k*t%ooe+!GRThg&%5+q1uBTchXB7K=5clA@)?JsFNb)CZvW&d4q<& zt`DJ|9%dI`w;un0US{s=d4JrY zX3$%Y>3<3QcEye@FB+Ko4w25Y&QFAF_ocCjblvFLBI>)~paC*b>Mq$6o&mni1a3|{ zgu>-^`1|bULpEO99pZlu;O+(sPyZv;Mg*vddhJOZAw@Q)>&lk>~~;R*dSm)ICU zBbCWk-|3skdx?1`@HyWv%Wi$B)o|({82OA4@C^7E!MyINkbzb>Z>Qj-c(fFMFhL2Y zR!rhR*XS1Qy2 zFQ5S#>Nj;ZRq4R8LwRBVOAnryJN%jy0-$kw!#Kl4(JiZV_u<|2AF=nf6oUUsUBR*9 zf#A4duZN%1FhatwqFZMCNC|4b+0LAM7?w;Xcc$uDd2SzL7gGHjvPn4O@)aG)S>_K@ z6~%wNG&W#92KJ$KwLVM9Y_(onpLkux#8m6c`2G&SuT<3iPVMsX{=_EA)tq`xvgyp? zv-8O(MB;ITVM3C>kEJu1BL9Gp`Ez^TMKPP^S2s?z#Az1_xTJ+__lz<}+qWWE^4Qie zt|v@9LMf_{O-nnd;?H|@-iS2#C%{pR39H7qFIsbel~Nc}=|(c|a!++!ZzjXgBPcRa5pRPSo1fagWl{7Y6&_XmC zfoy{^QT%-xton~3F|KS3g)Jm0QfY&u0*;3r{mG|$Zq=2RR#e~tr9|g`Ak5{JcdOu+ zXnK1;iZ{Usu>(@byl1+2AS7;BmUFNpg%rzcOZGF2jIAH&Rm&pC>oWa$s%AyV2I}mO z>9v#YK09XqOsi5$!kPS2g1<0(Szp0Zuu2kL>~;|AmVXyXy`2-NhLa4yANd-XdQKLY z@*nx&8FR9-J_@G1NcNb79d&b}ATvpZAE@+F?51?_h2wAIo%3aHi}h8CAn303J*MR7 zY;2X2ZmrCQ-}1bCRQNAxd0_u`?vEis_cr z0q;l*Qpt(j$RKu+(m)IeU=T}$nWCGm=FXrGyc;eJ9=Sy~2q6LTnt(UxCKV*3tsWNJ zm2pXr`n*eb^3({k$Pdb}Y7VcMS*r}6v$==oxHBF-bd6KBGYxLr=!<1UzI)qk{9D6u z%3fkG){~8JMvzfeLX&y71#oPzv@+Vh!>G?;rOsEqs69Au`X+sg% zVH73e67`9W!gaIP{S{n3S0qAD@&LjERj96sves`ABWJu&EQdC*N zdsCMT9pMyncvop?{Q%PRxx%WYFWT+cJvLu3<0S5qRSl-fk)j&sdcRTVT(nq<_>d)M zu@Jx`(z>U#*3{chIen;LR9MQJ34$K4XmB>J!=Wie7$GQsC>RON^S8=(K6sZylU*(P zebZLsMQzeaS)DUu!Ewbn#7|4~oV7k1Sy@mMq~N<_(zD&YyGRw8hAI2>S(gF^Y(GpN z)|_m{&<(Evcq&%nfiA@v5eUF!ruWNO*Ri{|Z&oaQ=M)dscMK6e!qEZl+z8zGV!5=JtP?3IE-{ct2sndc$K3 z6S5Z>>u!+$CyPS9DCT7~RA9Gxv+8^x`Z0UKliycR{hxYGTk#G}L2inIn~Z`8uif@< z;VkU;G${8oOeQt&{m_SBkIHN;SUeC+Ua4khq((%X{f}1+okD6Erl6~Ff}eCaqP_Y{ zuR0TFmVD&>kar*pjaoV7d zCuQ=lcCODD@)%5!0OIVg81Iwx?L3v8n>o25ca8;LC6r~~1nTlC)KC1=k_p4hEFkA( zz&WAWoI;~`z3}}VG{IlXCOwX1P5Kf2-A`f{Kl<;rht@ZoC!V-Rzr88N>gy`A?cSNQ zM8I?{;ipT+6_cPQCz$lR3Y}~Rf7`_`y;nxWl^5?>5m{>?9KYIjU7_UuGF0B{;vM0$ z#2Wb*rXM#i$|#8IbA?V&m*;>3VI2Wy+RD~nw?#fc{)9*I6Im;Jy{oL;pokizEMWTzeO)dvvV!+i9xTj*j}zN-Qp+TCnB6Yeq@+0#w<#FjcI zL|P@hTR+k817eDgg<)mlpe5qJ-GL8%E|8CNLnZ%dgSne7s*ZR1c0X_;M+&T-XO+{? zvM)T|l-}i~7A&Qy>{7RwJ$>api zKp zz(wbf0J4*Yn@<4Vp*E^qwhbO%I?rz{(#5GrEd^azC}}_S*k?Do$DQjm?f)PFK$!H= z3zL5!+zG?(C@|?ZOzp*vrMR@-ZxDogUz~vs4=dW3DQ0*8B};+VlcB5t`+q6 zGSSyITuKmO3)eccK2dHu^ZtU3a=@{oJyj;|+-GwnQ|B{W_+6Pj@Ze3DtZJ+e;eql$ zd8hpj`$Pxqxs(1s)t6Sf%2#=VSwtBJS>VJqL&q2|c){~ywf#scEX!Ri^z{uRV7KsP zqX`YxZ1n{uvrp)M0FYsOE_2sXiSX(kjviTN%^+c!4)Cg{y}KsageB>#>0|=`K~i&*+A2G@@5ke$ z`}OO7g}>6T3-uZ+1<`DL$sN_=Oyrq;09A*CkV1P@bt<`-(dS)XBggqWYgPB#T$TJnG`Bk@T<0J5 zq%wVO;u26~eRA($hxk2LNM*L-MlXoe+R#z^@(5yZ9kmrSt-R!xjC;sfHhz0_I6R3e zz*gHYKk`(PFMI_!BUys9j?UUslLj9wMz~46krp24GTr<|^OW`~-7??mYsbf;_|vfF z-Ck8KGqVoerza38V&c<`#O{`HkyE?#nQqOt)8wym1%uW)CQ{tX{9npNT289ccA}zrFmU)bm(%DtFw$k34TEiyPQMRILAf z3ezSiT95%qzv+7{bD-!}Ga*(Suiwpg${*_bsLQH;C%=FH|n=7A58A}Zb%>}q#(*i~!0sQmWK{dF$E*NL9(>B?a1bUZN+ zxb+2}(x4{sb%bTfH*Ol|Y7C!2F@Eme?2~8&v8RV=188TR2K>eYJdKE5%`Wq7rIjt! z$U_*yCgN2t;y(@1q8WIJPImmEs?t6;xyubsbfqM)5uv`gNKK(1x>N?@?r*C$DbhiE zwB5k-?a%F8ncu1bnREp%b$_vgw@5mJGN0*d7RLR!G8YLe)A$c|q|7z<&6k?9mTCqs zd`lCxSOu-dOdpjEo~TzYUWB<48#Pr_uMGrBqCuLnuH)8|Ya|~zD@kMY-<(|y7BJmh zlH45P#!m^DT#+WXwRKMGra+=n=RwzHaW;$9+SgWmZX&Km+ehb9@LZ->XOO&;{ z$*LBrIk7(300b5${=21#&nS>IHI*=q#shkl(LMyl|6V`~2i6X&*FO(&bq5?CruQ6y zYGv0GyV@H^77d0t;sulHXy-OHZ)i~t6pEwJC1vkkn)Dy3B5;DG1!%n3resflO9x~Z zU^2(Lqt^#w4z#N_YV%{zkr_{ksK*^WCoE$O=6Tz8e2*x|Ha!I>0H?yg7c1RXrxXBF zoRp4KE5}{?#boiG4Z@Y|+?e$DZ5F-wtQD{0?c2ymBZ|DTC?H97SiUxn6R2eJoxoV1 z%KY(SF6CwDy?E5dy)MHksrg>wi93)7uKm_vC+6sOK4fuw6~&=Kl8%A?lEd8$jQNq| zE;=Nr#E*M9T${;dA028a(3tcJ$yVtq>PoytCM!xC~%G@!yR(N=?@gm}k_e3W5! zLDSUvEHT*kwwP|9zaxAF%`mR{yI>JuRk-A~EnCkr_e`6Ech4=rT#AS7oOMm`lz4M9 zHQ95Euc4Ebnbtf|_MT`+QBKA(fe>|nk~lq194lUcll5ZQH!^=Q+yL0j#g+d1jvtlZ zXT?q-wv}Z)xAqUsRI_5F{5{?fBPCyv4ehp~mg5?$#&L}yfPXJh@H>73n$2di*;_;I z9{FwVGEeR;JnVv7QoO-{P#i6L$;%MC%U7toFq461yxfNbuke)zhj3Y5Q8L+Usai3G z9NUtqajc{$I%I)2FVo=ct3bR#&74LXI<>rl@d((MGG!d(T?_d8ls?G{4|8bSj#=(_ zqE^yJp*t*+{~o3va#LHb`kpZo@@SLO1 zP7h}TWi{8{Lqk_dlGwY~*ycK9lHlPbgUGB<+bDm{L<3dTf&(?{58ARXIW8Ut#jjPp zB*NqAdFD5!GRMi<%XRCoRRt)$LrJ|PPRVC4B%{nUjia09JV$}zvbtCM8m-*n<)7>n zS^zZdTs|~uwBCvxT%K;Z6s`^nTYwJxWMFFL+_|ru=dHo~nM2oAyV|nAQg`4t+o|2R zj&#*(myYWfJ_G_BNFE+ba5wrR!6%*ts)re3YOmVt+hIX2KQVVX`&|5RT7mQxzs_L; zuEYrUx1@e|krY&NgD0Ii7IlHy*O=Aw_wVd70CE?6HmXmcImk-n!jK18Un~)LBrMKf zFKe?uYW?qD_NScC<^hqTLunaj`MEKZ$ciPhnAlmak@iS76z}zC(Bbto0E^N~Np0LM zbA7qU#em`3A>*NSytRhZPF`%_G{pUlGqcD-5?KACyeMn}8ii_FD`nFt7(oX%mG>pH z{yovh9{=6Lp4T~Zm5NtK{4hy~UUj8BUOc-Jc1I?YR zZxJ`mOBK*3&mjlyA*IM2iO$=_{dGo4fKJ1`zl8DRc|E_1A2dJ>(Rae_C_ovU%6A7I zqOol10ZW5{BQ2@#)rXESV`hKro~e{`bt(wH(A4Ld@($sH35BzdT63=XdBp$xF3N0k zUG|oaBitkA==sndQzgtVFI#mlcsNU2JtM@AWox>0MHlycXq=_mS&PD|DTJFCD5xa{ zuS32)ZGI38$j6#s%i+`zKV-|%&>Wd+13cE@t>)8&?Yt?|Ytrz!h?Wso;|FmMo!o(> z^Veh&Tjw^OxHAv-o?dO{rln77OK8CKCWiw=ew`FUdf}N8gO0K_M3e_4vL_NeU|-Fc zOwk&Nj;|luHO1mlI)-#VJfY1QxJBgIqg^~Sy5{mM7-~bD8Ovk!;K*P96car>F4N zA_ca>s*1}4hqAGi0}kSq1BtCdpKHs^m4n?VvawH_iV*90}Wt4H}}Q^Iq`)cZQ`D-&c!;NXQ~=w^UCCzVIlU~aywsq0-@U! z4=c~I?B}x*$MmPYHbH(o$+k7a$;C54U8nDmv(@mUy(w%1&Mk-2T4lcjRK?i3rx@;r zC%!dacU%Jjmnw%-C8Y{LlEGXNt}>3NflaTAD*b6i{nG?z!;?{QZP)})al8XP*Ijg^ zxho1j zUkHb+m(3lYJuZza>fvmQ?x~Bcb$Hk$8^lRuN6$641bb)$x9`L7@z?;LDrG*4bzhmJ z21P)7p*wIWLXSBJ(UyMt_j90B8b|#~(t71IH$y&2u+kZa)7(d61pA(tsvWEHC{M}_ zsmo8ne*#pa2fFDoL9Wu+yPj9glMnpi90BNJ#=mxo5tKiEZ2zX3lu;N(HPR@f70yvR zbm}_6ZAdLp8b2#s&?<1@rDeQdDQm6C8sE0r+7(T2DDeI%cv|tstmu2ZfKP&GMb=L9 zIDHhb-uslT%2d&=>gxA-n@Z$EmPW8f!4!!5UqWq=E_e>ftrtNZI5ncB@jE1dn*H|} zQSq_)2OspG>nRm)Wy^eqfcR_X$xwaV)0q`1vq0J*mAY>^wTJxZYihCIe(aQo6uB@V zC;iJKErCqjH`q{L%FislKpgLu2}9{j9i0TcB&MGD7mR|Sp7}hIw)9+^OD9iN!_ct8 zHh1*HLYkk`8Fqr0I*`b;mt?gQO0Vy?c5<%M5j|?-4T)0m(%&mM%G(o7Fk~$RwE>yu zd?#~5G6$^L4adDoDd+aJ<8C!Bwm7mhe&n{J-5h!J6J z=nbGrG~cVt1vJP0DmU5dn`lrvXDNxMVW8TOL8i9ZqXZ{o(n))1vpQNpg>mBHoR?sl zYO7L%$pRI^bBK+7ugFkE?hb#TfG%i0?yfu&zMphgQ~6-~-ECcRi4u_2nh!tj&Mte{ zww~Ha&aN@AJoxOwFk$KF3m{(4CO)HN9`&Ovv$9*aV{*n!+GEpDKtZ`&-c<-$RFl$O zSU?PN&iW_WGu1hohlLzfpo4R~rr)PZ{hHPd{S?^*YD>AP zW<8gx@73rjf(6^295i6j%XqsMt(l=tw3%IF6Q+3aX|GmVh8zjxdKri0o&KF-nrDll zR&OpRAG)FAc#<=qmukEoF|ZWRXkStGFBEC#w2ewudg;PfI!61ztXTfiQMDv^7HR1q z-mV&oWr?#T;|@*t5xBb>DH&oab&MPi;%UZefGwlIooj_(XZ~?Le}xr?7+ul?k-x~uHTNz}v$VN6N0 zwY9tZomHisE;*X3z(a&R*TfSc(#Nf`m3=*d88fGC$zoc~&_?-M3 z+8!rJcai?bY0j<1|9<|@w)5+s<#1CG`LTBDg={5qX@x{NqJf3Q=H|^`)^gVtAvg6I zzws8vIS8?hS#wV_p} z>>H2^J6-*uTfg6_tt5|Poo)B8yWAxS=gUpXvYN~C80too5)%~Q69y?9B!t|$uNG5=k z5=o_W%DjZs$>nC;QN1m$)639YOX$^zGb9}|tFmBl`Vs5@1u`wo(gKP3wWCiCzu~pb zx!T8rr~+ROH`HF8K!s6cTD3Elb7vHy_6BWJ-LxI+rtN4qZAZIlJJwCxR5xwY-LxI< zrfsI1wnN>t9q*>?VAK{GG~cEtqPFRH5l)t9h!D>b$8{Bs#!Q5`*j&3dDwvR_KJn&L z2>%63#x^2%xW6{0^w8yY+n7;r@2|~GUu@T=2Zr}H_1EUF53h^27&4ynR`4C&HSo$a zt-!5jXiK{`o+EPyq>nZ(?w}p*+PDwJT@n+{M#!L_kK2r=9!M^o@$|HUd`_9_R-D{( zKbQt7(&kfdc>1ZYYfhJ%zo(Do$ah2%HFDoGY_-DcLS2|E4>#+>&y>*Y3Z zufC_6^_9bPP>8+>*>_OS`26cQJc{ns_nOZ7g5qSwW9S-uuYGc?S)Fb{7j3O##N3VA z)hs=(*Ssg2^*L551L5wlTxEY;*HmoD2aZa-(m>47<)^lYfwGHnEK0?uqv3#86T{$H zEr+x?5mMaMCr`!;614H!6C%e5U=c;RoFoDg+sG#36qLG?o7L>q@G(X6%R!i74~^6>{$c4hdD-!%Hv>z;kX(@$n1%KnLXp#~=g)ghRHM;!%(kLBa&fvBajnTLa zZo49ib1`}|xG|e?FWCY}t<5>4xuHp6$983aU_M&BO;-*GH-;xrDarsc6#>~9^AHiz z&}|9Hql%{OF*jx+WJjo~T&)a{^{Vu`@*;Pbp_K!|9hlRxAv1tnD*BmKnu(Bkg$Pek zhNF0{XGzIfiEfJVmci|!)m5xlhTC~nxLyP8-e}v(al44O(Yg$7H@e|QY(d}!WpEp` zv&A}t+f}t^A)}p@;dWA9o|eXaQROP&CT+t}TLw3OeZ}3##2X#(q84w33~u!fn8B?M z@5*o+5pHK>+wN7v4R2jr1~QkkmP7# zE0QYCG+{R=V9dIPtmg#_(;%VPxaN`v>7h$_H+!i$u(PdT_ zxA7RPnGSfd8*cRo$>6q4=AV(QUwmb7yPJZxV%%nh+l(d{Gg0fx;|)dhF18um7KIz% zGWTCF;3>1JTH^9sID_#a-|{1b9I_!s$ad{R*hcf#F5--NPlN_U=7Kbg`FqM0_` z9Mu3Zv@%a;)lc`UV(*BVeu}4FA(du4^$v&#l!;#M@^ET1wu@Rk<^MF8&}w*kToo@w zbs10Trx&z%I?Q;wFBxA^nDKO0_m7xc?m9Wv zbWBY@^d{3n%Rb8+O61#WuGwW1HjV@Mdh=A{UOw2BXpj+ko^u=R~6s zUn+IY$%Vjh5Gq%hN^evV&sBOxVtdpA{xL;*y+7ZKbJwPW6haX;QDl@JROT-8Bb{i0%=_mNHy3wL=1R`qqdc~KroS& zZX~wBONdIzy*pI1UmRyz0nfHeY}|se6@p3K;x&z`ygKX)LT5LSKLFyn!3T2A?eSXi?vrXK|Uq`W#ENV#G>xdzMQOVj#nT9hEb6%u_dSX!iZ`|E1u`X)CnH#C(W^{qFK}^RFNMism=uzFlSRT5;B>jZsoC$<z&gB@SRnW7F6 zP53VH*iYI9_9?LeA4@IAG;)WO38aZ@-pFdEuKCXwPq3!PgRjT zk=W)VS>gg;_}!9J+7e!9+ZQWcd3fCw&i=tALaQNWJnK<0MDulwfrd8SulC$8^L^%o z_IE~i&@Q62$F(eoy$^Rf<3>b{jlSwsWv7Prs+g6rpqLN}$rka8k zf?Qw}4DFPurU-TK3#k|hwGglq;Imb+#*M{|@f=OxkZK6>TUzybFnm;{w@H*>hcZo% zwv$>skTOlr#1ye1LVCw`(Ebr5l9a8#LTdS(u!8rPO- z`YCC-p_scFEzUH(>brMLE1%V1TSKusU zm_A`S8Y}Z)$AyMoKPyetcRf~$Z4H-W^U`))6<>~GnXqJzG%Ycr7C~dc*7`B1k-6*3CB^boh8CME#ltk>X7Bb}xREyH4H%`!|`U+@a zXW*q*ZBZ-iiA6K;@;fWO+tX*P-zPveXs$O-!WCg#!&rYx+W5!M7lKgs7Aj-?UD@Y7 z>wzTIy{np3&&&K#wZyLIDeyKVRiC#_#x`A9^xhS`>(!<{5@w>8u@tlXzj|e&cU~?W zkhatXnl}k@c2C6M-i=C8#)XMk=}nUg5z;X1o|HDm)BRDbW!Poji#YT^BINL@M#w37 zxkWCFmC;xxA=|i?3A``3ZnfHztn}O4I9Ail$OcJ%M2eR zd}vXkY}4rFScGl6i(-plh&nl3drHjx#PAtKnt> zKujn?=A&39IdJ*P%T`yDTd&b+HxAo2YvHbx|EJ4LV-2g;eX5ju4^XDDF2@?Kw>Q?d z*s~T*S#IXQJOog#MzKtC;DVjd^l8h=lEe9E8?ZuU(--K`p1bAYHg)Gi7$u^yC{nu+ z5M=i@=t0#;>=S8YC4-Tyzc->3y(89|_N=7WZ&L+xO8EZzQKqqGr0s@kJ}7Z0)9bnL zyeQGVG^QxE%{U>GQyQAqc*d4WiJXK0WK2HXRHe?w1t|~5iKnAtPY4X+4oY1}rUX;~ zD0_oY)&=0*BRPT{%DBL#|E1Wjh^6pyETX~_(zZ{Uw#UXwZ3{xyl^G~`tbZW#GJWA@ zv}rhsVH-fz?y1JJ#fErNBosL65Zh*@je*=B@UE7!)k!s7PREv^ht5U%z=TD&sF&dm`M)I9Ii>*1D!eWJUm6X zgf~K9ZAvtxl(~6DZkyL~Ey{vhW?YyTwELr2rZ2#rmDqd~%k+iII=!HA!T)zvrY|rL zxvAwgQ6<7QIiGsZ89LyW1QaTbCA1faLwXin`g%NqD*qw7(E0##4>&1mb~1qg^USdTSH%%5g=Qn$=fv3 z7Z@m+MI#Qae4w04w3Vr*66CwBu3}yGg@OeZ9s9ygiEfpr3&~^FhQ4q>cJY5qCSo0# zzOY}G@>tIA+0dr3VPe5x*MzifRUkPJZDNJvWM~@^d!s^q5N z3`Rq?MX^kT#IwbQrl$)P4D$$2>0LJ?zh(F!kX)>6(?TC+oiC1TXJu`=QCKdh(mhct zU8Z69;AR5UXZX0N?s8QcFDTfdOqXG@cvqq)O{(p}GX-R2UB(|85I|4~Z_#Dw2b?A> zJ&YqhbSQz>O8KYL{FQB}Gz+T9YpchvN*v4qz-p?BXdj%;UT(R)=R5BF2YqSj3G zjzmEEyuff)A-PAMZj1RX6TM_`{_pBktTdDGnf%aL>mzO}kKXl(wi4c`-ck)II;EAt zOC>v0DgRj+qAxTgd{W+71^G}6a^kJ9igIS#MTwo)Me*i%u4SwzkUZX6`od;uJZu%@ zGWmFHdcP>n^o7%E`t7o9B+hEE4eX#~lsP8TiI6@`&scv`F5HNouB_>~DBjlCvkH)L z(t!vzYqhebAC`u5T6QPXyoRR7u5C%;$~652VYX3XZd=;)3A}T1Xsar0YWidlKdDO3 zOCvoWA}kxGpw|m{f>iGMG-PpgXA{a^pHBMuxXpy+X&Os+eGWyjOp3l02S`_n3m{!f z(LC)$#1mHr-UEtW9vPBypiK0h6Ck&waoVJE!!9rVpI2iYj!nP(=w;AZkZqG{tlhCv zTrkB&^ANp@I=UvY$yjL`A+aQ4QxchyZD%7d(+ZRFa;O$>DjBd$;*D%MstS)aXiMUa zEWS_6NEFMoDer43uPYUb29eA8%OCG;dz^1yx#= zfJ7k8(@{Skw=2t*+ok1%mIKj+%s>g7{&2Z{pgblpPAYnLMvF6jL5_x3Ty{sXOkd!l zcub-PqNkbYosLcK7sZ*T=erC%r}QrZWSX9Pf_GK%Osq81^rV_yvh8*hTUpabCB>#} z`hta%CuCQ_&`#O(|37tS2ouS-bn!3b%L>HiWd(UbAVwfYAP@)yVgv$#Kp+qZ#0Ufe zfj}S-h!F?`f|no=2m}IwKp+q!5C{YUfmpGEm#jb_5bQg@?y8<*(lzWZn@#>VnQ7*B zb=9d;b*pdR?it=>h>s{2O%{k6+P@(ehOKZVp?}f>wbi#NZ9}$? zn9?5_xHjYhKaAqtL_tRtpF@2kEuV$Urz)o8+?M zQ3CV9(*gC3d9M?a#MGw(ZnYOv??F<<^je1ZERlYHWWm{lG*O~G3V2$QVd_gl zj@8|k*tzkXc*@yjLS(!KPb)p8TkJtoSELNvzL>qGRB>+d`qs9-BzrH+Se!}$voBag zVOXzq)Tkv=6C|d7>)g7~*3#Rp#QZxJ@K%{CXIqJwRX+xwPQ3xZ)Hw@tFecp4-~LPv!0Bsri?Cx zDM2!_sFq98xTzN{sg`~c`mS1y7$9m+ZG@f+_NT!sX2)`i39TC)P%WqB#tjzL{z~Xs zrzy>q?;i_2m;ayinzHOArZv?PIybdq=D!pZ3zvZ*+MAXc)|D-EFkM*_Z+j+}B$6Ec zF(T1Jo3F^CZJW0zT zgYFe6NlX5T35&VYgVXX*n9iiijSfi5J>he)zmk??Z>jv?MaqN1&XwDf&1zy=hUCI9 zC=M&qJuGeG7A&;MqrxSd{BRT#Gunu4lj6WF{dK{QKSy4HFEyY5!>r-+WoB9udc+Qe zWxfzf&oT{#{#iypA+Ls~gtu=_&aT*qsV0Y2@bnREZM{CJ`@lS{nQ=KTO3j1BX&5#8 z#b>>t;Il-gg>BXHE%B6@!?s>mc5G6(PA$oK?2AUQYR;z`0)7c8Tyr%Hc8ug*>JQ1}^Ah79Aa3FATyZxix#ScgxBQd5KNR@!D|q+{9=7tlr< zGfPPn7FFL~loEd90Bq`tF5oGIaK3l3gkHZ?dR z6MnxKY&gwW2*m*xzR5N977gB*ve3e)XB;rOI4$=S*!*|gg0`==+c#C{6|tbrcl*}Q zfRgbBy`y?H!&Mstu>40RE>(C$btKW3!L9}`R!)k~dPCb0Z=of@V)An!dR;O}q=xp- zi;!27OM*2nT%_faiD4AV?IRmt(RHSmUr{DTXDu^)m?TE8lpz%TmRUiYKGqrSs(aeR z5^Wg02}eV3%~)8F(R+y<8@Nbs$dE@J&BL)PW;A*lGKBBTeAcKpscbAv3CNx%WtS$G zV1wR6y+|lp#8&ncQSb})BXc2Q3t}8i>&gwb32%H0m}#EVn_xSar%Mv^hsY9a)VF#0 z(XrZ>U;{aexvc8Z5^RX^l}6W=)R0d$j2!m^3J)wS3|1Yf{cC9%lCQaz^{g?O_*zX8tP^RvSCY@#Wkn2@5dyX=y;hB4?8Zb2LuN#~E||X&A+;n5 zJJLj2HcP2ux>EUA_Q}bju-*o-h|P`!8!txIwe{htK33f7qidTKA-jXqQuFNMr?DEQ zEqZEQXd?>O67|}fcuEuq);pdO1?roRh3ILB!ld$TMlKkREDDVJCCQANT!KxCetgr* zSIm+Oxs<1IK_Ox@14IB%FSMPtzJ#}adJ}BxeJ9TZ+ioBOy9u@#$iLyzx{&$@FP3Hh5xLXVt_aZ>9};(fCzj<0h71!{BWYi!n8AXu6h`36(+X zdXsO~hf&VT3>j|;ws{%tDhe)^U|W+I|BG`I1ZoL3Mtm#G$&;8;CH;Ol+7fJ+B7@%> zM1+=L+mN=SFr*V~SEZ8b9iuW(Y1c=1dleaXGJ20SwZ!NUvY{nLH#h=U^$ePd(tS5ExJ)=>>SaV&^9-ajq4mG5=2vg}pY_j@P{?S|S zt#%-0iBa_>cmE%Rt*h6g$>V9wV{+T-evoUdmD7?8IZ^2Eq-~~@%7R5DUsg=_EK8DL z;f5qDI+N_>TpC#?LpU@vKC*^SYnGdA$gt9g3oR2%jB+#Rv&6ocSV9O(uJ;m~H?bsp zIhyt;QML&oD!V@j7`23!U}LsLnI12tvS4k7-TXNK+o-}|(it`a)fFjgCYNBl6}=sa zZkbrZ8}uGXY}CYRu#vEyAxlA40vb1^W|5^P_kZC$TUDV1f&hFm~&+QbrU7k1%f zQdx$~P~W8O-aJjPvHJNU(RZ@9DQhIT8%?kgQ<(Rb@U|ndeUY~~7~7o)ITyAS6H8*+ z5h0^^YE~0$&^snEzpO~G9ZMUlwC+N}8zYObA6QXMuradMReN7@Y#g~LZCp_UyDTF^!%ELb%O(W0{MQ#8V#Y=BjAPfFz!hmJMO^`=?-IX{2uzbW>d{znZc) ziDZ2^$8d%}Z#CH1eIT(ZZSPGk4nXTd8|+U?)WsriL5w+hY>_wXN87bD#rrY|wpEEO zNW~n-s3q8#IXvq%V&gQy#>{~U#=8A4y#yO2@K#CYOV$ZCjOcVl$HGylv9}m{ z>-q&k1ntMVBH^BXjF1aiUVWdCuB?92=sJN3wS*Azt)<;x3Zb_rO6$T?sOpDKQm-@lp$PJxVA`02v$d) zc6FuVE<{3tSW~0QMc76{YUp**IAj97zT3A+QT-ria3}AON(F z$CN0zwvWe@Vbdz1g|YA^rmT_AixiXUO)%6E#sc48U$7C6Xyh#diqy*n^gYcLgAC%V!l})o}P=7 zzAcAUf;djY0Bnhn1$%mkAsF*5)Hk}v!*y01r{%~k>Kn^+L!y@DFxtoK8|#){$^S}y z`!3jARuuU47J0Ki>Km8X4?!&Q7R2cO{624F?=Y6EMczWNe7A4o94Q2gyE~%-`B!=K zJ5Y`-;cdkC+cx3tOhb7@lb^OtrO3DH^j#iG6z0QZh+cTB5o1?ukvHo{+og=ID5m4q zM~(P5fA>@(#!G{%x;@R@@{v@ia;8`<>!=HD8}@`#GAD1!LoRu|CA{&#GrKO0kc2lT zjx!Ry9?Y8?^DTs^R`eVw7yM4;>Q`>K*t{2`p;mA-WXy{nzu7_`QJ_CG6g()NtX&S7 zx45H^(I`i4scTo?IG85pYKf;;+Qrvrmj*BC5QPO5{5>;TlObSRlh}g-_1%mn*zV=& zs%~oR210tt0ouax?Y_Pwdr6|=7<)^wok{Fb1;RxWYzq?G3bbQ1eB@|QKglXTtS7Q0TuXLtaf=;wjfMtBSRxihlo~Q8Tt@=e0)bkB zZAW5@BIT;)oE40oq5ClLx5E#Zwd z@P;M`c6}n172p%7UQD!u7XHuEbmb4v7#p*^AS2GA6KrR~)-_yg5^TFNIvb28*si7RDrifv zao3KCnM>AS!@z<9xUMbt?P&=%?opNk<$J^ylAH_nXBl?U1lzvyjR)IYEMf}-a83I= z$`a4s1lx|hc z*-4zIaZmM%4?*c;b(jvv=(E_TsCJFN#OS;ZC7JvQ$%GJ2Ys=qzMiN2@)(n=cC4@W+ z*SP3)-XgXz7I=<}8DYb;MQkC-uOxCG2uQG9ik0W^4dsX}7~L1PIqe&=?2Xt$zC8)k zLogb#8C>#bSR!M3jhMCs8|V3hpe@11bG_rHolSym-;B9rg6&#ihuWseCD>;AvNvM0 zBL%Df8zXP91u+twIk~%$V8e*gt@=l7c&d;6Nv_$-4^APYW+Bl=ZCh2M`xG?slz_D* zc3}J^o^DBuy8=9hLyJ);d#YLVz6`HeUxKY8krTa4Dm#71-Va_bmSE%ICzgg?EWt+D zV@t4o)2L^C&_yF}VaO1uLvp}cf^AsXd>kMdv4xQ}qg`vkXo8IqPq{fUqY;~R0g2FW zCcFg~o+QEpGh3GI5nIrPXFT=FGX=B+8)*qCrBFI0AZxiAcd>-G4efU?5slaaZ(Gs? z3Em<$^OOW%)9W%Ajl2bI&l35rDDc=QEx}f-{7}sYpJkX0lIW$bl($`pv^9$!vGr({ zY*=mz6^NJ~sOwIz5xa?o3tUe3J-zu=U566e)|QsI(2&T3UZqe;uiu`wK8&8oL$J9R z^#1lwC+vK@`VF)6)E0Kho?&HxtEH>BWq7{kXe3dw2AHtoPncmxxPbh!9JD zK`2q?n?V%yR0KUJmC{SlL%j)wz4R18WDik6FBTGk1Qwk+duQ9##bt+CW`6VkpE>8u zHjpe*N(jmN1Gc}^*l048kJ?-@hwlR~v%`}S|nxxnvNo7jR zKE>$3Zaf=Xeg1OivvhF#**zo&d~S3-x+%_jGWupZi-G5%K&N`Fc4vW1fule^M*nbe z)Ca~`oB|$!EZMrhmj5|;u9ViT`mmlM``Pn zxL*yBJg~@sVOvb0wn;6EX)?4(NG~IGT+#XPBRrn*i6O6dd}3@QK3tAvO9dbuWHLTJ zG8{iYR0oz7z1+bG^Fn Sn-KuKHqvj#)PDc~0RR8%f2WfG literal 62395 zcmeEthf`Be)V2*#Q4kc6E+Eo-QwT&rK)Q6066qjKrG^$15a}u%gouE2BGRNrq=X)N zqy`8OAhZxdOF}+=@B3GLGw z<*lEGug`ty#}d*KQuoyZJiMImD=13cmwGHIBO@szCH?rmsqTG!4}X{Yn$8~n=Y6B@ zT>T%2lD+?_E{xIqZ~b4{Lipcy@WrjRTdn3c*1Pbh-FJi^J``c!s!j1pu*oW2nHFYw z_>hIguB^0oug73>IX&@aLZP$S-1om657~r8SQexAONy;C z%RXfSXV|7iCU_F9#gCd%ZDs*5*cN$g%Mg2ADa>R@bQ6=R%8A8FOKj%MIC;&x*|Q?l zQV7AT0hDAy!#B&~&qqs-fWGW^+Y0@TG@zA!@bN zZOImiI8#@Xrc#I^iAUXt{zgLy1 z>~c^l0t~PLawPn#SDxhz;LT%O&)LiZU^1#WNcI9I=yENvijP-yN= z$Bt*KBN)w;V~ts%YKp3b?K`Q>!K?nUkLg2371vjwyc(uZcO=3rg_hVi8)ZbilVfbD zLLUJRJt*@`hzQdPJ%CMVhKDKC(+;(QCc53~t3vXR5)@PkmJ6|%b;~MWrIgd=8t^Rq z=#4o{ZGVEuFt^!5KWm}Q8e%{UXl>^V2-u&P3~zGL{!q?2N$~7&8~L}0f`RI~vGsdM z(i(<<`JoD?R4G-b7FJ7OLEf-@OVXhylC)6ibi4}VD42Z^YD!7kbKDyG5n8(u6A`s; zFgAd*jv*qa+~v59;U& z+tp47(!_>ERm&8?0o+KbmZXy5A8aP6XZ$!SRnWSF5gZGi$8qe=Z-|+?-8jnCdbGEH zA^feRaR221DLu(~OWl|=C_IE%4h<})V9R;u6ZYv3QfkcPiAOtmF-lp5sPMM;cqqqv zXwdF`NiqT7q#AXMI3_((JEjr>_Go2qV5l2PJp)h6q|VUQPGRd|ZJ509UkKF3gY|c4 zwA+4kTOnkT75gvMQuU02dKB?SfjfL5Gl^#^pFQKoua(m$yM?GHuyO_rtQ*KiO%I{r zUJOvcKw5^un#u?8ta^qq*3&K<)iWp5qcg^IJhZt7&rqF6&Gfv-bCx_R)=RwY?SAu z+C_ziY71XxQ<5)zl0tknqMa}4vVZdzEvDl^KXGx=mm+hM`jN}Ibf3rA&YY?}I!d>1 zY&sxHmI&<>69i zT2sJ8BsP^X4}1L1mv}0g!m~0!LVKP36vT7TfURMx$K4Cp!gI*NJ*d7+4Dfq$lj=Iq z2(}p8o+$$79@;#uOp*@Wt3LUIy$*M90Tr0sHGb!CGZw91j?d{V1F<_%5eC zEC9-*?a%G4q>n!ssiY^_=|z-4l7mvo9kOJNP7{w!p6!4`C;c==E;9-*BC)-Ry5c=j zI#!~BhL<)(DV~Vwu0wH_-7O-Z05~Qp8*VSkec+}VFY`U>Q%v(Lkq@rPwQoOg_S_sY z4E)lk{M|1vka8=m-2x`miy%V@uwhp7u=j#;pN@7C(e=xn$BVbxe&9z1w{$?d_0*4> z-zB#jam1|3WPDS^Bz`>uOM8mN-I}pBy27xx!og+yE$CrqDxo#}DOTDdR;PXQ6q0r9 zDkk8?D7TcktN6cKJvK-AQMN3*qmC0iUDnM{P)i*V?!6cCPeN+rsUK$wVf3l3PS#u) zm1<0q?L?mJN<8TGu-VUzsLjDFlf-T<)caDE6x>7Nmazd8k>uCyG zv>e)<#7)0~_{S?9^brsr8)??+u=t>=)AuEd+cUNCg4-(zLX&~V==G4md!ehRe5Prh zlbL5H5tc9W#*q}li;XBmQnerfm#21?9A-;>S^!yBx65nW$Hn4CceU^vSV?~76JHcK zKy?U%4OxMYQu;a3KaD0Fs18%`a~sPGe@jx_$RrFLbbyCLG@cm!HLip>lw}a*(;yp+-~`GHJ(cVf}axdUYv5#V_<`h3{5} z8XSFkI-^o617xx*4CY}&S67F4) zJ>YVMC$y(Awv`{2??6`xlf+)jc`B|E4MdKGpSQKj&PAl+mx?A$E&On2l8 z60)6&RA2abagWWvjk^07%?L5gRxN;3`{qlQA9BmVBT;ZH`6@N6?cam*k8o`EhtVS~ zm?dc*Nq5%NdJqiNq_#tqzET29;X%6@{ z^bk|>6UXxTcl8%gOZ=ZyVo1l^!h>6Cw;yueaSM=ihE*VO_jp3^WE#X4$7-my(so$l z1!Hh>xc?jccu&fwnwfVY)vG*>Te&-}gkeFz*|6Z%vC&ilc7v!K@@DYk`gp{R+vzN^ zEU{Azu7;dXt3ADy{Jdt?))llH@pBwV?`m9k(GatDwYy*UU_ycdY6y_q(9}FM>0P~( zgi}Bf9mm$<6)fwT9M`%vb8)Wu2D7&FYnuv|M?C?#xDaU%Kh0dx8Cz+>^7o+tS>zAz zY9GIV+=@_4^H4xrR$bHg|FE;bZ${Uev)#rOEF1sBZhJGo)@-joV>|jE_F(I8cfa|; zT94d{|G{4P?c--B`S3rH&aqwXZR?tR)~4(J6X_h=*S4{#nl<%5k^yZ>j*|F?GkzuT(lyp(2b zTl{v1Q#L-bx>#TZ4~9K6UT_PS)N~r5G{HV z3DYql7y4Zs;LpChcq;=+HBrfU)`tUev7|CJ6$+?Mdb|{NN)G~NtdQ(sB2E__6=M^? z@2mtsEu}{qY%It0u*@bj?;y>tG6<3BfAc2VFiLk4wx>4(nW`yoDD1T0J#rI(7y7xg zLd$hy2tURWSCJ*W=1w(GWX7CjgB^_gkI@z^BN zj`DeeklTjsBsO)WoLEUtf8I1|P4@b%En7NHWvKOfGgGCN(eXa`*a={>{!_tbZf82a z{c(65P^h&>sw93Xz^_WH;djuo@0*ujr_3t@)lI_cpNbYOUOTyJout~tJkXwMzyotM-PCF9|$9_p;XE)r*{pDhZ88BHr0^fO~WNGr!VEOq6LvFkQ z)zxak+RMab7;omu5@jXfkgQESkE--+dS5RF233A~n^D9W_4!8jl@?oncxCFojMajp zaNmo(Vb2HGi$R=cFE_IhOC}s?3zA`j-)iUbXRh#pI<8yCmVYZYyyZaGQ-7QpxM zlQHqG!mM;7XN%knSE+RAW|M^@P;R(qb`iPXMyR-JJ|E+Y_-#*XqFqoO~r=OK1C_oSHcyVN^zuv-2}{ zM3~82;R^P&;z7R|Bf-0<#~Bp9MOIU23R^9`?5GKF%_&yX=>ir{1scg)d5bZTBi>-2QsvOnW7bK736Mx#4m>m2!)?JGUL^umFZSSRfB+k zYYd&23?y{XzkfAb%ZiS&(=aS#82*iFa?Rf6*4MCFMgGFUH{-p}_?7c$)0Y!J_olcA z8CVpk`ifS5(YsD#GrMMKkxG4Ti+(5A8rVXsXxDxAs}Z9fU|Ew|O|xw-jwo7n(tGh? zMbT(L8o%v-78KIhSeu=(XCojimh2dyFV3{bCdu{VFW6N0XZ4{~s>t%ItyBKRpU&Ea z9&S&rd?jAHZ_+)f8$BPz8Goc4Uxyg~-tR0dz+^q(Mbo(YEY zy{sq->lII9I`N|LF#aLKHB8>$5m=)l5Bl<31XfK$e+d?nzK=@~b_@^cQ7+tx zlS@P>{G~;8g6T{U`0IB&d3uIt2@IJQ($9iL`4*|aCFzqRSHDD>5H#@jvrG z2D^s}f(iFWvS8jlu)BZi=;0Q0oU}Ha%~V;3lg^DcYWmiX39MX{*~dtijoyXf-g)b# zHrh{SITmVuvgoqv_bhs79^n)Ew2{uZjMhg79F>x0LW37W$419(L1CD*2S;+_b~NFh z(8n+9i^x2U&vmbm`yaRrUVH|YO8sKxta%ceon|hE(&?`k5UyC@I2N!7U+ACa+dZD& z=xRK^>ofx$EP8Nt34FgcIA44u^3bR$&E48Nwx^J`a#a;GtoC~iGwPfA{P?iIcs=%+ z>nK$cY5gq^BR>i2EwQXmw-O%gJ+Fmn{VhCtZdAOBt{!3ix zNxh-qy;Y^R+{N~wU)|jkF6i5*s!~Mj-3Jx8D3|J8Cl#xlgK#UJaO5*|NtpEkrLTZU z*}fXi`nw&X^aKrI`>9G+zs$YF1KUki-i!7U$_6zWj*0#!nZONenJbJf{ ziiPv-W&XhPF@AJ%oBK#v+c70-c8SMvp8)k@ip@I|go7PM5&CY@?Ky@IsURU^Irg_> z!z`O}eEAm^HiA0~>0w!r&9%25e0DLA!oP$}-C&EF%2OL6XPHpc{z;w&2K@Kh;Uc&n z9~O@pjmFMj-XnAHfVw$`dSVdoCH2~QRJqZkkqBc1#^dr{)|Ma2vFac^0Cgr+-jgcJ zb8HM@NDCwXS)tl|_(|1lYCM$u%8Gx0Z(jX|BXM0`_JHFC{xk>UIW+AC4p2UwPQBX5 zAn}jF8YD-vcHbZ)E&tI=s+G8?FI5nAz%RdK&TNXxhlX=n0&&LQPDY$;wDf9t~17=&y9kM`IEfXU6rUTcmlM{#=1FLB?@DA6w_ zXjaL!*#k#KK8-GU+44U(vg}7z1RB3+zeKgE%e^8Py`W7$JxMZ#L)YO20|F&qd1z@j zb98H~kBl@%lHOKqxw7EuZK|~;AQ4_)n0JSoZ;!4vIok&M1?|2l{W&|PN!bnzH~Cmh zUQTNK=kuYsyR)h`7hLk=;?V&oV=^W^@`&)LIznM~XzkEusC&miml-9nc75fhO8KdI zz(<0s9rxGfXuF)X*A_{@j0e_>Y8{**fL@&6q_%hFqP0D$t~z`6=RjBTtV9w;o^`2X zEF=?0-`CwPTC0<)f~6K&sn&YTkO->YIh>6R~nnsZ@kFZU9hYlY*Qa(*YlHTPs7IVH%5Crm)RD)%g7u__Wed2J)X=JCt}4kyRb0yk%%n-^rx>R4Fb3H};8 zv$wpVOI#Te@WQM8R}(5PSCln=u!tY6LLZN!4Y zGk>=loIWYDj6}geiE;TP; zpg=cHdK5k-Oe=F1%wEypyXP*$Ge|*RuRt!2ZACRChwNQwjb{@L`^5Y-KlU=LsU2kJ zX8j<-!gU%z+Ggc$F~gf6_+@`;Of>TZ^fIb)g4vD+=h#u*ysZ&m_k0yIXt)Y>$%Jq3=&m zqRXTh4`^zPl$#B3Wh4eGq?cEga@@dm9a64@$Kw}GcZ^aT^!(~(+CEa3 z4=*6O#s;$kgTA5{O%#?WX{s;0GKY+s+O}?p7hPTI1sbD_M92Alc_%KK?(p@2K7%LU z0)GVLO=a($4qkwTG*#FUH@kP_mnIGDH4K$gj~CEwmtfPMy3rCxyGG9TrbOScLx#H_ zPoG(egTvl3Nb#=m-3`8)jPF}l>rOQ3POV55EG_^p-pkoFmPfDdxD|__LePbooEobQ z2MZf!;VJ8XUr7d{%U`%O*sRtkYXU1cb#q#09-9+Lfz~l%U0kL&YAUZ}iQQ(qqC@X$ z(O1<|t5ipQpAh-5_E#nCtr_6VH=Tc5in-SDwEZ=+E%TGca-Mm5C%cif5_QhDHbBO%OO zqYHDZH3BLAH&t$lal&_Wh_?pH9@-6At*sOromy^T=hKl)a z9dsm@IUE{zg8!zon~NL|0^7G~Y`^m@ak<`{22JX-p#B6L2f4++XVE<9P(}fme>Php>6zRqb zJa4*>v>;REeGt`0e}MK<-zd}Jdya0)u-G1)h6JUmdtfKpU7#v>03V;%j9~bKW4O8~ zEyT@m(1F{NUn0KLKAc)6Y6@`OH0>5WyaV^VU~hVP?{p>d5Ov-oYwvWpfPxd%4Q{Fp zKox^I*ASzUs<~Lj0c?!|4J=0b8B`PiqX`cnOiiIx1kYTCd(2e^>(Th-?;#x8s> zOXWK!P>x$tlPmq~Wad;3&hQtrdMnu0AJ9Pm2cu1UjIboeWrF!%Kp2t`cGW9Y-Aox>DM{|Zp$;}2W|)KoRX`M zjpJV)LK&Re=hhEB_m6r@0?yh^g1aay9cO1>CF!_BIa4;nLb~w(Ru8@ZVqjMn@v__u z0l}4w+!zfKiSAqzt=})EL?t6&H$PH??Jqgt$k;>?yU#O+$2*p(5S0%c6k{1A#XN6lCKL(ShkRj9(c zVjhVEt*4U&abpJjAHBMXe@RWC@H3ubidk0;?mYeeXiP;!WV9>kkt^fcJL zHA1m~Irz;Nkn$UV{`sG!pa1a9pSCTx87(?+@Y6HHH?01+XS{tbZj`FCXHl*&R(|RmFe{W|H#}S_fQSCB7`H4{k5|{TuTdjVl^QD)Hu9 zT1i}a!oKang~BpI0^@uH$F7B{r;sXo4^Z}&B{Xl(Dm|?a2Qd6Ul9X$;d?h5GeZ&8^q`q)bZJcC;*-g(jp4l^yy!rtC-0`qM2)&q@ZK+w zTYt^>!>y0?o3Bg95+^xgKAh#Mhrr%8fg|3C>1@2qNyh40j{NvGIycLFd81N9^Z0`z zJ=uMVIIW|%HXu~;Fv0iZuaf8oxh+<&Sz3HJ5qhy@uNTbp<2MP82UCTT>g2ZJn4HUB z-XDLv!a%`A-qlzOuk&J3Pm8dv(#9_&c7K@AVR-*2bCF{L)B0^P%nV2vrz(%#2d%r} zx$~Llay(eYhnn{i*^yn78%!ZL2g~K#MZGs( zdWsf(fY>`{a#fgPLt{Yk6%q8`+#7@|wc?0G6&Xhi!EF~&67S|4SQego8iQW{DT|mb zfIB?>wVt|F!Z0+vEIGYAeLr~bbYZKht=TPVVNQwz##u9W4{h=?ACS?fU0FC&z17ri z3*S~fQ_O2`4s3A42)0tHD;`3o3{(;XC&0P`WbgbIu9PlclxRPjM^b?O%J%c-WwVXT zH*m!LRlojY+Sc%b%_H0`UlG@uImEtG0K3&DrRq35VOiO)i5AT%an0?1bai0&)9_(l ztiFwD87gLBQN=6zYU8oKM(sHn-+k7W$XeTb_{c20+DNMs|1&$GN`fzt_m9|n-;~Yz znKu5ZCk$JaX;G_ln5@sn)pnMIe+(`WEiX(Kp-*S7KE`U*$K2h^hM@;}#sy`EW;9>4 z^&gBzh*qa9okZ!hV}d|5GVl;ryP=eIktod#pK1 zk0snI^fOf3KK;#U&{?cI7ISB+I)V7O+Hz00=yz}^Ox1i?T12%#SD5t3Gu;XXki}{?3;<@|UDY+(|L!vfMMn{+1Pd6w8{W2N52{z;a<$sk~zrI3J} z@cv^Xn`!hQp{tx$CU&r=7xCFN#$SuT8) zbH5$cQ>^>Am>mGMY&|ap5D91Ino+Fl4z|KH}yO7@48wsTd`Y70sbqEARW;0#lz?;d?pc= z(Z7;UmGhOoxv(V8mcLtXAL%9_CR~Jylo6Gamn6vWIZ7!3)F&r=$sej z(cZ?-z<7GkrpG4jdVm|EthVo|Lracm>p0xQ-EFrd zosI?3gJu{+?;|eGssqDOfENsD4LU50w+P@Ym(x2LzFTvs91MPVG3t|p5XKX;fVX6h4M9)lj*&5uoHlKri&2p{R`%BXVp8>)~nxy2t;#}pl$j=077Kyw&B zo`qa&f_RD)7~?U=*W**o!qVBz&G>7L(}&*T!g&z*%Ekc?xPz+asqK ztslG{1@r38a(8fsNw~8yojj;Zrrz~5*%@{e(z->M7Asz*Yq4Sd%-)LeyGMU;zJ72O zSu(!qNB@!okG-??R$@3%-9Pl9kCeCEjY7@xvc`PfQ-pGy|6xhV4LTQ5Pg0Q%da~aK z?p!CO0M>L^7_P5At_|hFub_ zG;(0y+VC0s9_1opIO9@mgtyr9X-dxF9JPS{4(T;BwLK<`f^M<|fS8R-7kdto$YPyT zxo`T})xUKBfw|NBJH)tzKuBVlX1-C5{Wew^Qw2O5X?o&TQ1nE-$Vx<*Rn#>i<0`YO zXhbH>kkElfLWyFfJu>OvohvDO_npbVgj+bC*}fL|>vd$Qnmfu}%LQ;8w%<6YsGX-V z$h{vBgnY66{*_O@_H-&=1Bx$D#K}jr2vG5aCgzx%cbgDU7_V@fy+xyft8AZ6E;xIH zcrV9vxIA1Rsz$ZYgj(G|vkK`SCn8e3(>F0qQeI#=9cRm2hpOKiR^MKa$#SZE5Fg?O=0EEi|4x&6tt+>) zyY(L6do&`koX@{)h$#7Xf^CDnO8V`HTWz(1M^~81I=fO2_C9@`q96D*yN~E!tL*2@ zA#vcAlZ;b?k$cwl}c6FgY7(^#_tu3E43p7j{qLJwK8qWO58e za?ooh)rrTBLwH46I=vvEfRC|6zPLr@-o5a;vsjzHmbb%ApsO)Ikgkhz-1g$J~@+TB)M>*fG1$ga_0_FHn)ZMqNY#PYXQKj?+W;;14f?gjlm(XRpe z9#hM2Jc8#8+^SmCtM=q7oHFe!R!3&%VOt(F_#z(0siv*$?iT-kDX*?QAZd z*@r!fTVQV#5E5tJ{;0Fn=M^MGh{?iw?Lhr}pWxN$ix*{H&hC$Bg}XYzn^sz+>@F9dHqRTA7G#uGIcVEIcD|nC=B;9BEYPf%7k6mVh)!-`d z0eNckl468_*t!uwH_9xqH_CzHk4>Q@yX{N9rnJv)$7Vu%XFBc;=BL$b_vDs@#HFtT zn;qmiPN@yWN8DjY%2d|hluy3lJy7aIgr*Y@UkOvofu0q%n-0AcmTv#@fRa!1Bf!g3 zy#jI83-7Y;ze2xIGS&%k4pLmm{;fuvZNZ5jQo8$& zP|T5STeHtZ7~vDQL5G};3~BH!WfT|RZlwk|D)fy! z-Jrem0fs~jUUC7yeq`{C3}JVjYf|@!30Cde;HQ=iI!T6)RkxmrCA`l{aQ?Q#?i(uQ z^@*Co1uZ9U@fM!i{1OX2*in!0sPRwu$tBJabMmv8&9f=~fTASmZ2R`>l7iRyp>V%1ovGn?+vmte zDwz|!L#G+aW#JnLs&ovPp`3Y+w5M`@jNlCjH1YXM1Lo$PbSk z(nf1BSbjk2bHS*x%=9dVzfS8M*@@h^G|tk5i>6A|gsgC%(Q?E$Oo4Ja^&;`>D_@Bl zhi!5H?l@1q;6>cYHM3}9*$ue+!`!AslPvKl!VVQ7oO>NNgWe#Q3waU3s39pFD>f3B z7TOZ_m9O?5g|;`859e^iNLiffH2&o^&d;?DD-bgzg@IaBK-+}--+vjPaO>)%1lu2* zGaFy-ey3!?@;*V}e_ti^sPnh3Z-iUOC!%|Ebq000DQ%HlN~1A`m1>>4Gv=C+TS3CjqcLDYtegl>3VDS3UN8zccKk~D z-YS(rW}nZAwe(FD{T5K5T-~K{_rgd!k|Kzd$4wgAyh0tjC|N891+b5E(i!#JddvJp zo)JFhUowL+-!yv+OSmU(MKwU=-D@rAz5*L%0x=MSj97qd1Mj98Av zCoWVEL9~c>w_5Z!9yfPJVWN^H4~I68e$=zaSlHU|Ny=SJV+uPJ>PNvFML3wSupTABTcg*+4MSL9t}9k?^WnLS7rFzK02MV8w(RntdwzGzY(5QL zyEDV)l(&bGEB)hH4?BGXF-*3MtYH3(@FCX9ZXx_3r~?KZ)t(L(lJ2KSTH{sH`eRB9Qdc@iDJW<>#H3LC=rx zpAG;rDVFuA%zv(aR@j_2U6xvr{4U3Lu=aE#BrBj@wGDfozu;0ou*-ECA%_hYept0N ze~>?gy7>Md{l?7*_-f6gV$9~&O$(d(^Gue{#Aq_nSiZkE{#nuTt1~0mX zZ*oiL4~;&hvp>0UbPZrJoL5&A5i+qhLr%7M%DIi0XxH@2@mAF`&ofp3pwRD?()*kG zM2nMDHwrAQ?+LpenePdpdpoE)>`}kL-={UNlDuD+jN@D8$(dT*|0nSN@H01~b2MEx z^U?20V5+A7IuA<})#&)R)YM!A;fq@M`BM5cd5oXOqWW>Fo1 z^Ar9J`S6@B3sg)B9_rkXybb~t{Kw2CrS;)c*Ovr11ZbEXZ{1!xPU@%eFKDALhWhr3 z0y^R{@TAux|MIqFpgc999$v*w3qAK#*D7fk}kZ*L?2f#iA&LA$UqM%_~N?u1hagBi+?T zMKuWm^}8s#!}#uUj8X6~@@-i1YP+!~#N4&Q{OImuFT%%ZlKgiy-sb9m4k1vO*#!z{ z4`-Hq1Xlgg$thm0hM~UxE>mUs?*@=BHS(VZ*tCOn-yB3{NDp3!l=Gf)4KV%u$c^DI>USu*E3dz`>cTMGpTE}ra3F*B ze*7Kwbbc_5I2)Cjg6X&qe+JHUI^Am?ZYzpMx`0x=g2MLxPN#OaUSLT~nXXWZfcFp} z;uxh&tFI-AoTq!)J)vS22-S!a*&rl3J*HFY@9|4J~Za|u(Rge9CT+MS<(^-qr?f&Cn7ps1HeFwrR zd%0@w_yUhT9t7N!*{M`M7_vEPvPmCUx%!2?JEm-z(of#Eox~DD5AR~V*;Rfy-?3nALtSLVd4U9T(d16lGHiW=h3US zT4yp2uxE0DrZiWThstQDS-C?(8wCCS)$X!&H~kfv-vHnOy2=N|BpNyg&#qvo3L0g@ ztWazPe~C2eS)*uJ7$9+!S&!hRT_56?h--X;yY78xH;7-Q9X6NH?E$^6W z;p%n^?viqCyNOX3e2g8y03|0qL;>8l)H(i#Nj(FMrhpTE+erx144=L(DQUp67Qa92POwm0dD7EBr3;Mduay068yFqpSCSqllccL8KN`<~_~h?_ z>L(W%Ij9_}S1HM&sobMhrzxJdYEAkjkzw8w+lC~awr@NwiN_`{i#vyDhGOgBQHqk; z6@eC~oEv)&K)JnZy-upyJwZ|>PcP@aw^$^I8p7ilwp$&OACPi2#W}91GQ7_FBwhkX zTQ;L}h3nNUkKTm*!9(&=XyGc!tB(c#fp7V3IV&KTLZuPkLBu}WwG~TOHsL9&W9zQv zHqJjvly?syKO|6+do5pw^1;ef&n&#}aJAXd#xQst7;3hyYdP~nS3&34b$e@5`V7Th z&CYKK86?&UsXi!AbFZ)M*d1zG_W!brvhC-yoU@f^*!j6-m&fIk|DRLKnXJzG=q0P_ z`PTc9jWt_*I=%JnKksYXcYa9+Qleqr6=0X-uc?REQ)qg24>{{>2=`R=NhAPFf8)_n z%iciaXrG=PiKDe@c(-FYIKz@)zI3qt5Uo-BI|pceOawz-h#gjb#I=2aR3JM%wF4-H z;KksKiohIUC8rx#^Mkeg4H(K^>vN`*C*3&UM*)U0fiDm_GA109PwCQ<>_53%pihh& zpNb;f^mStJ*xKJJ1iU&hY-O)>>TGyi(^9<9y)E1R;QnagA`K}fCvW2{#;iwoRz|YF zs_u^)infKd<`9T=`o<{Kt`YT!cJ#^ThT^f?;R`thug8wI))SILC){FHg*C;bH`faG z4xWZvhBTUQT-A9OefPmTbOnb+F=nR%wAvmo>2nymntK=6^7JDfIXHFycti^r%dibh zJ$bPsT1N7(-}ao&>^ATag8lKh$VWYOC{B+w)iR6}Nkv zdx*-V%^zbjiJzg;g7f{8wm<*iNyRteli!g?8HwOdsK8w!zfaxqxJB zh(W0xWiQSnrRWdvY}Qcz27Y<`g-`no_6A{j@{82|Bj`DG4*2{z#ahJLT1q2mKJCy- zYmg3^3TpoT1k9%HoOxF0Uq#b&syoVB` zt={Cn75BcY%1goC^6N7kMHkoL!>yjHZnS22#?V{D*1x9;rYt*Z6}hyB>_7JM7#7H3 z?>fn}rF*c3QqMS%SN|3anf`iCWtcU4$Oci8y!_TDrpdoNYE0{S!^6s7z4VxJbYb^A z(iG>jbn_(ZnV3Wd*f0F-fv+e(IU1Ncu9d$o^?|8@9QkRfHHXnd@G?MPz^X=rY5Mww zh@ZUQ8LsorWIm2}uB=b(;c32aNQ(Um88I^#;Rp+>%rBd(z#kO8yx0R5%A0Ow3#y|J z?&9n8u5bSkb5F&~qhTy=#iixzZMhU-$0HZUga%1?WHDC7y& zpYz~qkelrfqjDqZTqJlqhnATZ%-7yuQPgIgRr_2~ye=?aJ$ZeM&^2J#_>T~-s*zLm z3c6jP8xC3;C=FyKq{`Hh;R8m#^AFG zr-Pj})|A7Nt6c@FU;JiiS(NfiIgT7h{Tv3kXy+{K;COfHw+=8auQXU@(DyYrK#iO8 z(k6C9$EH>Ft+kW>0f&VXj{Lu>c2q2 z*2tBPu#)V{Y?W2J@!8+2-ZT7Kp%%uLnw$R>|Gp<7(rSk2BxJT$#iO<04N1FM@2K|D zul*iy{}b9d9=FFrsKpNUGdMgkRqc75f`@C$xL@-t^>$_n9=swi4Y{rpB2-MVOO`~; z{=&i~`>jhu-!Wv3x#gYh9>`mnQz`sf)GCSFWWUpv82N)BSMqdvq1Z%qz#FU`X{vP1oJ1P@np5V;hzuITGYeP!#)Dx63M$4&m<4kCaw4C&;k^5R7%BKcruaEj&%_? zf`ntNiWxRgqdGMDd)Jdk@rgi>qY~=~w(%Q${#Hx)BiPO79cOgTyO$#jepwKhih!R^ z(DrstTGk>j>LA0SIR_~L4(3$ZYAVX@)OT6?5S*A(WA)*g-?$`l)Su?Ht0CHK_kk zNGnh%VmLnbN9F{NeHTN}rHx$2Cr~hXVig|LJZMgtO3Dh8hA;tXOKy-bl6!MocvCK( zL753$oE5ePJY|1aJcv{cO(wMy;dR z%ER`O)lhz>XusaAk*G~h(}$C+xqwMb>Tw@|L}uh5Yz_+kmDk3U^bS(5LO*&DENEhi{Isv42LZTwld#|DQ9(q+;fB>O)2)(xeAp}D5@%#Qg z&pG?d&g|LQnfIO9y%+nyij1e?FF7-T!9*Dfq<^zj&%D&qkMPe?LX%qLQG1}SmuNhi8C<>_7|xH=HQTrcw~Q4nKbo`9dE0lDpO+b z8r!Za>UJs@xFjBG_iLW>dKZw!-7C-;s1klci>)Fi?!ra*>Q;X^pM)LfsO~n60GL*q z^Y@tHFH*ssyH}J!+_l@WyU}~zgY4&Hu<3C2+N^_re-NGBOm;ixZmoGuIWrhviEy0C z^d?@3LJ=#87rkwO7EP>qk$Ay617O~~efco3ZPu9iL2~yI3f(4xDPxTfU!eWp>vYbT>Hkq{w#XCdA0LzKqzwQ)Ou&z;lqi+ zaljpD>-7o=Ta_2cV>Xkli8T6hb~Rkvk-%#%CqQ4{LK<-eZ;=I)10=EV~vbvo)th)CI&80nN%_p!~+Vf2R%-Y)hmQiJu=n*v0* zovn0|cou8$TF157wT}nwRK6_zW^N@tI(f$Cbl>ZfJ@SyK6~%8^dO1;-NSauBqgc=X z@jBfYFkNcv88E^L%2Bv>r@Kfi6!|v6q(LbFuB^nwM&1F;-|C={4uCeQTD&e=F+1Cw z^eSXn!LD}nu3H?|Orvanbw9@rAGRQ9Z zg82@mmt*Hwssy=Z@2IVmkZ92s$ZJSu0Mq)NA7&=knNemt5j+V3kf_&{I_OTNDYK-g zysHfDYl~gTz)h7tS>MC(Tcq`jOGR|B7YAPy>|@<_ac{B<)G=PG-O$~&*gE^JGM$;E zXrbovnrjD5tFB#mUTXM=yB#ilC%Pul%@@lrs2<GH@;vv@}^)Q`RR_uQSo#P8|4sI6&2W7WiO zxG|de(AjqBouqM+YgZV$^WaQyh8LagUV4;)*=A;1H@yQcXSI{VT7#FKcG}A?Z_JgS znBLO*c%&?%Zv>(!YGs86f#}>L-^z(waPTIOs9t0?j3^62 zN^m~+&-Q)p!f#bMpF@0%M9BYT!fY?lNE~Fu%hoY(s|9h)=3lct2bW!kqcxY)(rrA{hJA#?R)1Cvp|TcX3SfHToeVN`HhiY zHn*!V686uz$YGhDxJrBdU*a8NvT)roWw8Qs&o1=RIdrN2b4R6e|t*v`+ABJ@XrXh`C)RZ5*On zI8*jtFZ#T3B@)FU-;rdnsa2asn#1eKDC%Y&c32xyhwU(R-DAx=p9Zjd?v5OQt^&5} z?y1UB^OIsY_Ca`o7vFhmrQ@CB&7`H5;CiqVSu<&XG_ord@BW-OhLC$(u?f%vOnkbA z2S^$4B|Bfeb09|YAgtFsw;V>)*Ow55w=wS>!#bk(vUV5jK-F8?n82!@z#&18pzuud zx^g~t$8;Mrq8bCi<;#ID%AqT;emchO)8X;2f`2|l=gx!>ivh&{OztU;ABScs1Qw=! zd2q8l`5uzxl@?vRePdiC8b{uq8ynk?=A=;* zkzs$tYi~56gN6iROd4-6{g3x1c3u4+NYV+O_0Fj!ULS{Mc9V5`nj1!g7JwkJF zq*P$%JLSdUceQ(o-}kYBmCX1T$~lR2h-!nbXtZ%jk$Zd4v8#-c!l7)_k6(Q)K`F*$j&=Eray^BbbZJ%A26rLA7-!TtqVq8h}lEi`ybq zvT0`75AI|6`9!r)NHwX6g`eU3!2bQqv2FT`?seQMe~gz-$c}Y~SjO~4H)p4lSf(|P z&7r$}9z-7RoDwgx%fV@$|U5JcQ;2yWKmU2gJ z4*m*OI0@$?N>(L_h4nV<&dxpN(GBd>oUHq4r#&+w<(~>SKSvNlD2LFDlnrj_&u;)nw9YNB%~l#waJ@tL-n}bl z4^R%o)Ys*QU0Dk^Q2@()g1}H*@w?(n&+~3bNao|8<(kym?>lKf^tNpW0= zUuUN9ZE?48sR7xVr?tv6%=DD|pa( zUs6VgIL~^0Flx_}Rq-Fl>&nB$)Ym-qB$U_|LS()zGfAKvA&zx8f6mmz{TAb{tU9H- zhg<*jXnOk#whG>I158WaZTy0NdgCix?9wLPx;Fb}u4F+uPdOg)E+9P6_L(lC56!J} zxoz<#aar#&Y&G?WsB^=I>-b!rGD-mrshz@#9F3eyW-T2vzJP4ZC~`4CCujhN8Phk9 zRf(VIv{y7#-5x>7Mu;Dx_^(ObSz)azGXTh>-m1=Z9w2{x&gdMKxZ2r+4)}$b{B`^$ z!(0p0cM~Jo!ySF>^X_z@5@ow#hHD>g9w81KnL&!g5OAm`F52#6ft2q0jMb?I!YRgd zAJ?ozn6F;k^8O8e6%PR8($Nk9_?!FvfX#OfaeysiDc&}N z$jB!|aQ|cdgD7Ki^9uD53*}_oCQ#y9cjpT1h*eP<@gNFsf)1|1{vWw@Z?)LyjM7qV zp9{;Zs5LO}X>Z4yiI^3YQ^3rwC>k>R{o*pQrxaw&_zLw4X@>n&`=B=?*xj}Y6pKM> z>=ZZw&4_VT#LEASyqsx>kd=k)9E6?RL@m~|90h}*#P+Ckhzhk-Bo6`$!| zjY^x{x`^onSoLs2eBO4Z4yq?9sM#P6NqsdycE)xV4vh0de^Uz<-m} zOk_+NkA-v$ug7<+zkY(0`tjxc1q3qkDf!Q$GurkvGwvUl zAT9=71;Qsp?Vq3~zXQCPvxxz;1gT@qUSjmpmfF>gdLTI6xN|BM?9SS$U)ahy%Sd1z zK7UhmfWcU-K-~Tz@Y8;W3_)~=D-t9G)ZRJz_=b;}czvG-7=0Y||FJT1O-W3zvz_gwrxgX6F3+Nkh`Y=J#b5+1dc$K*)~}Z7Gdjj}UJl!4XGePb}u1s%qy!}U>% zmp0;*6?f8`(&yQsC8_?oWg*saKHfjamQcuzw)M%^5!z%z|0KXc1%s+`7a?7bRIj6L zCC#t%G@%PO$~e6xty1m+AjEAqQ(XuZ`eR78v++VH=!lEmQ?-OcS6G%?4aO)(G8 zMIF3f4I03Y6-f_z+UQ?%>0BWKidYDgug;?lXU;oDF_0fN-dt&~aRpJ%Qxot^FrH|B zg3XWL;m3TEN?e7EcrR+~G0|%V@4TQp+2%Bu2W;z&kDBG7DZxbNj=S@D7p=94Jxmq9 zaw7kU<%AUcAUMup>a3a)=DY>Z%n0jZ_~Y$M?VI%s1QwV~0YXauGm_Sh@sPI~Y!VeH zxN1>#Z~6;tuR9E3Buw%bm9G# zs{_!J5`G)Ku-A$6-<9Gmy0-c0@ozRDK?uD>Vl`ln7MY5MGU#z%2T}Ybv18hYK|Jxk zo{M=G@lhx&5dYhe9UNslj%id?{~G^2_C-nnkCMBJ46)f)@@E2Jpa@VP!RWOhN4!)}=+j=Z$ zO>7AM(d>X&OG8G8yz7T+y=$LyROEZpk)8MpW-NYZsjWUE6qWV%Np{KkKJYJ>NPHHS zPula5sK4M3jl5?ew-1F2w%(^rPb{yVSiU{|vIVY?UJCu4&533BGE(gY5LeqPQVE`e z6j4Q4G;jdtKvidh?usB0i*N62BF#D%Xq5yn4XU`~1a(#KskuMdiWMLiuk?4MpGfRy zk5h-&OE4q0z7npbtiN0*6eT)yfHcHyGNq3@{5)HAZPV-Typ`~&(cQ@H*3#X-S2nfa zzNx5yOe8CtSIL4e;NJ2lSiVq@Mx$zGUOJ$%G`rmHW7I#~xYeVnpK6bak5&@D1a9b0R& zGM%mXf$UWcucs+IvGjX21Q;u!rH2U&PP$_BmHT_i~vqw^x+Z<(i zB=&()lWoq4@)_nu93Mi#w^P8pDGY-uwt^|wGzcY?FuMDJ>z(sdYQ_IO*_CHM>ZT`O zCM&-@x@T?LwJi0keqRs@slY_!Hu3nbcEee4rmJqR4rx;;pb0GUpEY@&HTDmd!7yH5 z{k8Xxrs6Vo1SPh*@eY?p3~)6PYE_B`9s&p6BWhP*~+uk*usBt0LfI$BLb>6F!Ppxq+DwPB){j&7A21`BqwUl8h80uZUjmU*w zZ&&Ys7}jSFzro3n0Fzn~4vz5YK*zBLh4Undc*az@;`I9 zh_-fn<CX6N#9{CBucoRsS#Ug5wmiqvI{gZrh+e4SP?6=iRo(82pI0<|C+e z>2^B34c%T!;u@ftPR9RN-}Ez?Yrhj5$^_J)+&Xc(a2A?qK#aI@=IT=8EnJf6U19(> zDjyVSL0|+<3{?AKv5>(UQ^=TV9xyOi$Y|gpSF&6unSJHC=1`tmvC`C5Mix7ATvo9& z{WglO@@?3uzfx;t?XI0#K9v6T_$$4OVDV5XvFb0gubytRS_GnCsq|NqF;$Pf0jM$Z(KN<8N0N zeh0lv5+q=ly1Aw<=@#V$EVMB3-Z`RZtqc9@+{>7JmrM&X5ruc>94?$^9IXAhrdOc~-@byV>=9<{p)V)L9_b{Vwv8LpD|8Fx3dbyeT((yFL>X->XiJgRN zQI)(U z4=B&@@wM^ij3af1VLr0_T}SKH&3|IUUWvFW`zUwa#Eo91o19b{jC;hdP41~Zld>{; z0D+P1R~{Bz^|ZmXFfZg=?OJlD)K@J-gzj@E9LSQRe)EO(?#lG;9;Au23(99abF(%g zvr7z_klU*klZ&|J-f<6vTSQPeB#CqNOg~WDckXaizR?(r*DFb%qPJkzL3)#gS%jby z_&W*u&*dDyV7ULM)PcvL>uwcaYzr!1_BFEWc{tGA$JTl&WbnlRs?(eKC!C;H+macs zA~nk5tJQVukV+%Sgj4j@ag5h~LFQEYPOA+Uu04+`Yi-G1(i}6Ms4!<(M9fnegh(=%gGJd% z*?2D*;;4;!2KLli4@;rz26m=*W^11ibuO$p(|E{gY<2AZXvPL@af!{6iCmHX)g6Pf zUTp>2i5flEP2yM>#5L`m9XIr*^tMpHf1CFYwsyHZ!+KD;LSEB+(ITft->zKME~P?L zg{#Bd==oWNro!;!91mR%0|>&AehO0MIP7S7D=F!eb7c+2bS^?=#^aSb z4mx(-sBq z-a##>uJg~zFE_DqLtBkQBZ?}RLWKPk|C4VM_u7!*>*zQ>(0-xP3$JQ#rR2y|K5o`- z(}+3Vt%7u_WBLLz?}z1`z8E(Qb21+YFutcY>N*Ac$Q!m>Yb5B*J(bSas!d@g_t@lJ z=(?_n+!+s3YwCEVV)E;?OZ5YTUBRsWRL5{uxr28p421{@94`NwSlKiB+NpELIVozr z%R?d8@@eB|kB8D?T(6JnDy?x|VQ7)wtunwlnMq%dtEpO#iS|v$ktZNwI4Rxf?Hb>I zkrPyiQxwU7n}UFF6vqtOjMDql{$j1|6!iGSxkNt+l_a%KZJhl1>iX9Ru*lhv(jZvD zHmlbHvO^cw%cik{489tw|2$zBw8!~98V?>!( zuzU?o)Vj{OXGSkxn1nN?Qu}9g06#P=sy_F&W};eO+JjXXb$=}u0oIrqmd6H~sJ>38 zwmgkl647kXk?GVn%!r|$M%Dcxv5gmCNxEI#WZD2lvRPkhkOF@l!L7 z&mRNJt&Dy9a6c2~<4517kHl*)JUW@=pSEfJg=YW`XPyPn`oSh4&**Zkd!@B>#frM6 zHJ1d^Ym+Q{brQCVy7j%OFriAeMOW^T&aacQ&0)=>(cv4WP$X7FHxH9v zXJlL|T7fLh5+7TnKq|)ZF4^^-g`!{Q(gtf=SD2+sJ)0)4?A?))a+;&&+v)4Z>SA8r67S)P&18c!tZf6OfYyA2hwZfY1Yh# z^C@){S@65aXj@i!%I;>c7;DzN(C00#c_Hp%7TNAq0tOE7Z50@korMc32>54ykv>iN zSXY_IvY>J?&zUCKA`+4J`&vPvhI=?M42w?l0T_f~uiDMl@*}Lomy<)8k$UjQtEPwO zO0HKx35Ixlk#K^}zaYzCm*gvGyvU{Wr!vv>Bkdbf#(Cqgk6XBMQjMf1LM#RbnOsx! zaR}{bDYkoPnO91KbW}A%Fg0V^)3WxQF)t-I&F5ZM1n1GNY07cCl9v)Oz&eE+ew94# z+*?OFW-|rrgZb8v+C{N!U}?fE=uD_@nhX3UcH;J8i%uf%Nz=8n^-@Srt30fY96oIT zAf1F}WE2DpVJZBJUS5rGG4&A3#Y9+^F2D>s8TIhT#C+L&VkwV0{|7S;L&7gI?D1d< zJ>&9#@Ac%h@-sNg;>#!mk3qrw=tTm{qG72X*XOy4t2c30Nd=x$`fLVo9JPXvjAE6x zxZ@e>R_#UTS54>@I#mi`q6ilG^sIME&qM8w9z2WJb$o=udmTLl)_sPqD%_XJjX0b& zgdig0YnMXfKK|xK>Th&LGTAv%$6e>|YR*lD0X?+igq*2U+~M(Nn#SyV3vm#-&4N?8 zO4e|ThS{7}63}{3m%6w7PRf<@GwX+vOFyVOX&7fx{EILC%dBwh%y}NVg~V@l^?hj{ zfSFgv`4mjv7B7>zmN+P$QW0G%GNyQ+#!j6%uly$L zJ3Wa+{IqIs+jnvMEElLVH$W%c7xb|lsKTq_aR8-j&H9m;!|0HEb-%@?l zqAwem;a<8y^1DwE*j}OS9Ctu>hrF_4kV$J1CB-c~GN`__nv}`LGL_+kf5mH>@BhWm z^yvE)UkvH(jw4e@*j8z1@XPZ0MAGUUw`Vjv6J;?`z-Sb#C*=NJFIvr|Q)nU=cN~Ke*!aOiWDmvr)=;4Nm-B5=3)$do( zk`IaB8y}_ z8-Y{|LP?|cGf89v>uVGqNUQyx3M*e1u`53aB-LosPk8R3`@ZNGDbi9jN5C+!uld#J z&I`^1TC}_Z6Q`m-NG?^V0yYG8_PCZmIUQNG9HIkWm zf!{j*5iimaCnobu6(=-PQ=H#CvWCfrY=P*VSSTZ-&URuzN;S!RBQIvc}_26dg@|`IIUHG|G9%q zl*@Cvz`v82vF5jHFns*F(@h@Pn(t`SMtvKPKW;1q7xevRhZ3uC8n;bK+2m5+kSmP9 zQ9g6=sXV=d?T3BeF`t&sFIbgctUt6GTpx9)3r^GI(8_b7v2XWX`B;qE(nl10>dK64 z->ViE|FOhL1Dy<;r16@56$Y4UkOg-%ZUDE$)BV?acW6x2IRa*N{=uYUxK0+i!=3l1 z-($Yp4{~U*13uV*{crAmFR}pFZUh)kl!9j%HJxis2$B`#+MYI=lMAc^gPeyifjVu+ z7qk1-+-WL%%+iOj6R2B24w*Mm?L{Ax9ti#I^BG(IY+2fWzVW+)D#B6z`7rmTb_p#ox1Ecye)5AcT9rVW*3WAu+L6Hf4q3F@ zEsr|imZ-j%Rww%fu=cI}F}GQr#CFi}C5kmY^$wYKC#v*j$zzUVKE9yb+AEY;qW_4t z93eZPHEV6?z?B7Oi8iEOHMqRDS8W;F>ns&LNVG7?ktu4O$x7nlSB48WUE8$KM>`cf zeu!GB{P2ndOLpPq@ZO@#zDrcyrlUr=jqhPNSxIGf`+JO<_h3|-{qmfPX~oh^pa^DP z2U1%IHpbi&je3~j?IHc+D)Z<;Fw3ek7bvpk^RwFfDh5)6t-f922Kd|=E&3ZA>q@uo z>habChk_dr@|{=Fyp*}2hw_rp0|X|BYW5y)`CB6f+X40p(v0F*3B8){OvtAJumjRv zw_Fz3ZmsdnddUpcfcT?tS>X+tX)!$>b8M9N*`_U8PU!Q;CS=I!ZinH^GZ~=uSD!hx zT%ya*{%xxsW}#tFr7PVMp}Q4upwkifRS@QkoVeZkQ{f6l#p`y}Lq#pm1iex@GLEKS z3JJe?sLS`Wa24{=$6nJF^SLx{dC+evPsG}K9DY!%fw9Fqt#_OQz2?gNjuMX!#swHt z@6Dq5!gl*1_Pl#ybmi+u<2=dqx$?J!;8}owbH2dZg90_3b9>hPocSC45K9hrT; zSU#QLA=^Op>j~?4tJdtZ&`QUPl>@_phM}smEkN48zt03J-jAOgEqu+;bGT=`0@Ajq zX5#~GRoi=TdV4ln&6XiU$fozu``mpax8J`sJ_!{YdmZ-kUjnwH_s#Z**W)|LLHj1XX@TDS|=hAR#ybTAyw2fb(P^Lg!aSvM$HV zB>SYf*p7JHYZECzbGGn{g~7cx!4t<cX*UdR9 zmPsAGw9m2(E%UHWV`oL|M;?N41biet$ZaZuk* zHxnRWVl*{xDhd;hmF+67L0WXQ7IUl756}9hJ?8Ut_!F468TT#Ti{xGYjXOd?k8Mfx zqb!%_mu4Q~;;vU6?vFZO89?vC{kbyt7mc#ce7~$X-ms~&@DK$?#UMU^l^b3f(K5iT zOFG|vR41Ng+H)P`A;ngg%2^(DRrl{SZSO-q@%o6ZoBWV+-J5CoK)U@p*|ek8I-_!M zxSjK)`H#JSHT4Z^lYI|>a}NHT6!iB9-2zzeDBa42zC;qF$4q0j3D-mG3OP&4hva=8 z`jsMl5k?M6_@#Ua9vrRK^L;?cm1~tTboxlQ)4;UF6jR8596aexQxYZXvf|+*8e?QCUGI*_1nUYL54}b15wS zuV3P_Wj+ZPQ*hkFtShaRqgIL{8FLc2+rWX1a}KwVI3rVEq~d&% z%_e5(+#2-HnD1cR{)XB`rlEiC7CyLco=2gjpt^phW=(>ZjqO23av`qEs(MKKV-{X7 zN%(a*gB@+!*gMLaE~!!vpMEx;sRh&N53fiQ)nsRMKa!&OFx%cVk4$!G;;!H8hp1@_ z&ORMBUeq%!u8*QZ?6Hd=Mp5Ulna{#uiRpfE7<4J#E{s+KlyqnsgFKc z(j8iTXL^R&rqb2LE8SxscYB>H(DZjHfzvSQMiDJP8&fyxUYmBExOZSz8~?Nl^57+A zj>>$;2qkgOR`;S>j^Eu32JU&rC4YWM^6Nh=+5Sg0jI`nd>uMBV*d#ri(NOd#=GU2X zQIrKH9l)W+TCSuwc^v!8-6PWWbKo}XVbXTz4V`Zw%1A1u^6J?f-{vgnXJqX+NBsx?`Ih08+P9S!4j+E&Xr9`gg+a*JtoN-bOg33FBDBE1} zbrX(T0$H~l9F1+xAGn#eWQ>L-e|m_LbdLs=vt1-}T+Ad3CJqb{wv`&Il)hosucUt^ zirhq(LF{ayK~Xs8(0$0=!mV)W4)1RlX@|AL?IdnCRpHFKVD15%s9NRPL;lf@A#OC!vSb7pqf5aikwbX4 z-Q~2a;nGfB#^~m#i*FAm&~=x~AyKXQ>pZ=gCerDQW4$gB-V1*}&y4iO@ihbrR7IPF zvH#7n){C-~_WJu^uz884#>bY0^>kV0fg5l#OZ-8rqh95T(X4_%tMMcse2b2Gftz_9 z5c9kj0G$e5HaHvyTrGqEzaqtu@#V#E8UNtjuV@ zH@$$OtoW4R2W+n4IeU|6$_iRz`=1XCwW`)OI78!8R#@1chH`?lf9liN+xQkgFkERe zta$Lya{6?L#^sNid{#PFAZ<_Az4%RRUv~;EiH(av?)o!!OT!6)mp_#rQ5$wKvMV)OF(JgKHcYNM8*kU7@x{~Ga@_p0NxR}V#()ZMF>Nk}GZ|{RU zL(gIL0;s9ogWA!xrpQ3j$)~RJvhGUYIbNSWHsBgMFGtUu(>}j`W(CFTp zuMiqCo}`=8!!L3?c&JyFr8?IIEZo}v@Y#qc-A1s{yaQ{E)Dvy2ea)gSkB}?jI|Lkz zPr7{b6w+aPe2IEO^b17#Z=9v}H#XFGrN&FyCZF5Q2T5k6dw%m|!RyA=Bg!k)MmUnX z!@9H53|ddFr6^CoeGzx}t?40VUv7L++ByrdSjDzi8SPG+uRF(rI1##h)ZfdeFB%wH z?f1HuhH}~dYy2BR3}t~w?X~#miyOpcg5)|?TqN2$*AyclQp?@mm2kl~-~mhS7l`pI z1Z}D`Iw7lMcd*y8xfFHOM0t()w1b%D^PV(IAvu18UJb;7j6fX-&&Iw^Zi2zCI5#6) zxY5HG@$ZQDVDGr(RzrPwXEUJOM=FV=w)LgxEovg-8!})q=c#fT9I%N(#5C%YvI3ss zt|bt2A4;@dFCp$7szVIa#)FYmj0Lp8o2qZvVxv@q$$cu1l#0T8_ zCGG)`|A{z`VY7zyp1t ztY<_!If!`KZNsqB#=FvN08X5sOoQ9uYk1Nq)?4Z3r+SUdw?nrN%{6*5Cy0MOQj^u6 zXJ2|h?7(?x;m3aK`xwG0+i_`l%t#FnFFeFryC#A~Bo)Qm%ue9j43Dg)CObyp+MM`| z!wq@76a6oZH4;&s9>wlB<^IyyW%X~sq-Eda2F|#bKv+Wh-^k9hJs1^fxkMw6LxnjpfXiyf4 zgkKvt)}922{Ar7Htyq0~TDR?{FECbU^sRZ(??%fTr)?Rfy~2q#QWLQ=X`o{IV6$SX z*NJt@-WXN!nPLyS`{Oc;e0=*Fl-oicsc9fpi8(qf#pML>ek7D(s)aRftCX7}l)L$W zVg*+LlIV>li;bCO)rZ4y&tq@%vH70?(LwmsD7_mBN__O^%<{au!064mY{{qM4T5zV zN?4IyPTte$c%!yjMgy4o@9)ITKY`A7A+MI@V3rs1Ti-1PrjlxW_&f){QK&={HE1P= zAPeH$!~y(&el(mTZwmU zC_{r=%-}?I0chxPgILysh;zc-lfv5M7QK$-UV+t0eJ{KAH~n1#CdsUwIsK-17HG8F z#~*z~fmmbc<4}k$sIm+M1}o6@OA9X$haTL&f1yH1x*|i7%@?dYxypk^_)6ph8&?Kt zusfyXj~YG+3HWL>y$P4>D3(GYn=9-cz413GzFiCQc#6jp5m}6b0)}2|)?jiA0XIg) z3UK!IvyZx_$M_Ef)6K^N$yU_P4iPl=E7!ziu1&=3?&kEwSPl14q747tyLeSM==9g$ zqWK&VLsurRBFycC9p3pzyJvBZKb7-eejaakks+GzxQuCtOXPw5B))Ff{$6!e zfH!_p7WBNJA7d58Sjj4VnU;n=9iF$+D@q{+BmAQGUI}08@bJdp7pcs2-tk_)li{Dm znws^rpyw}Xxs6fvynbwB^$QjxKY7wi<7oHhH}>@=e^YWG2YL2tz9Yv3n$&IMZ;=Ya z-R9qzm=f_kmLL8NLkF`$3Bd-6Ho8lLA`2~!)?i!M!BbHW6IEuZR+Dxy4v}GFy`#yk zZ|KOO?x1Kpr}x`b-ySW`%44%PiVi1#?B_Vm3vn*L69t+jWr@M3H;du!4BavAzCX{Z zSH4=n#Ln0DHE>2D7w^_T;{MR5uo{q>>V2n>(zvs>$~O4Nd;pp(dzK6v+CD>^q&9M^ zK&u8`JJZqJhgOBiK|0x?6u-D1pWzxhB1b%>J;0JGWM82m$x4Dw+^$50sh9lF!Yh=x zJ-Zo>LIsZdE?9n!i>pmG{d_faT)@+BTRtoO=PKM%1 z(t_w?hnE$*;)uDJsL{sZ3+r9fiMJZRGu`#IN^(!9sP_B>MDBs)A~K`uggm}tZCxYv z5KlPypp?d_o|-sh_jgrF?3vLbSEUJUo9N3wjMc+QpGn~2A`O#PDjsraTD&_^ga7vA zO}UT5UjDkQVpk+Vjf(LRjrR1g#nCLjXXqqP#h4Rmgs)?{j|SKi5sce-y5Zx zucI~UPDvu_1Mqr=DINQhg@8H)(YFd2470vo9wV)sofcAkcVIYqZKxCo-*}e zH&xFAmIGN&QxN5o5lgljiwpx8-RkRpFNzH!d=M6LlvK(cFmvEC*k(ma_IUg6Dv*KW z^ng9|Kud)R8>sz(CJzpr_8B7f|SYp4q&Nup?Si57>!%W%967FP( zM?Xa6N=7s7idM+O>mi;_mYuMk#ozu#Ubc^K3Rj!9WG&Z{t?V51&4Yp8dnCes17BGgTbEEj(U$yuD0#GfYzv?$Xll#rIaQ^qz{K^aZKm z00wpE4%yq_OLAw$ZJSAF+&)RNaj$*)04RG1-Y`Us}knfh|p7s!;DpDD^RqABV?RCwYQ2wTI)*kC|seED?_k+h)Q<{Jtd27)+ zS)KX|YLL?9Xy2TA;fU^%5tVof{PHBzR>>qh&sVpj>X? z&ZCbVs{h&T>q5gg651+s{yF7yhbViq1wP#R6d~4F;ku4hER?&)kc8aOQ7ViV zols^nvJTpqxfk5^;rU}AOYZz4mlW(binXdZW-&ngMH=eJ{D_)Z7h#+vMSQW$y{C(2 z@9E@}LCLxivM;x-ZFSu@$sRz$Fa0;Zn}=W|$b6E1+Qpxt5xLej@H?MB-O-XhjBX z?BSL!|3J~RP5!^9@V#|cu$ZpmrP$}o68f1ac=UMA&nkeN!fdhir2y5u(1Jyxw-hafe` z&^o5!OUzn2ksoJUI?O+;QE*LJ7zbBZxiGB}=jy+$^8pp@<&Bq)Tn(N}cnmts%^r492kudTaq&!n;| zh2;V&c2rm#GL)sVM5~}O%D+8iPycO@Gbn0&ao?e!S0xS_(`$55Tzq1si$4|Rj7Pf(2}3F8lc8>4AUcgfCQtXRAVFb3PXws~+vd_3Yh%a}(gA{YH5X|Kqlg z8zo`nDYc>Js(GWN@vBePx$eT^qdePpc=q&>kWemp z9P{MiQTl_@i0UC$AS%6UVp9Xikl&CXpg!=rlTs%xr%_>RL_wpld)VU)*Wz~{<-!TO z3K914PefXaI4Pa}nmlzReo2Wm;%W1T|z@8TUvlR>wRlw#c9m(&!jZl{2xq;47#t#A*Jx%FolVs z|zy^BUyjb7g#K9)@qxQ&xoGzK@3IwUIl9^MLe`dzw$o} z8UI=_?$1F8JKTCwD~^H7n-xe{)C~A1GH_3_`$NEFx%YyX_7k@F*gDbrry|b$gVP}w zK3OuQ{&$=(VOHLHrE8iZHzQxWU;M7_GRcUksHn$kMmxx_gi+NxD=s|NL;JYt)=T9Z z4TWh=t+po)5?|6{RHF^<;%bWLE`O8iEmC+Coo9{u&^)HGY9+M0>55VxQDONGHa;|u z7%_Vxe^4HksI1X1{7x{)pi-vjWhU1Nⅈ$)#tTJRP|{+80CEdZr}XGz{@Zt?Ke1- z$nWtjq~P$azxbDCYDxaOBF~es{HR?XXMS+=l}!#6xed7SV@C7u)}GeqV@t}Cf@fG7 zc)U-)goR^Tce3l(v7LD(Ul@P_rY1-D^`8BFp4qq(=Q>n>zN%BbA`uP_vUg zP5z}sI|jEf84ft*U&g ztQk8zB+?!zz-KLb5bI}-W;?cN`y)I%zER~+x5N#mB^+Ae>-~LfV*UM+J@@+V=uA{= z$RHJZ>E%#D1a)bp&ZjsEN`k2l@791EIz>?an@;4I;4hl7w|%Yl<_#7%9;Djz7IR!k zq%v5yI87#euXN)Dm%w8-fi!yS;nb(Own58kzMHg|_abLtc|XPX)56H`&JWUQ&XKc^ zMl80ySyDC=ik+eoX&pp2pxet#IevM9xdsQ_bZSmImxT^Rmvvop*(B07vD^mBmVCTf zi7f5ee%QIAv%ry*O2w3Y-%9m?_{F8foVS*8)PmvO+O4H1o_8|GbEo*a^Sh7r$o$Em zmd7y)iXt3QX^sDJXKf`|-8wo7jWp%qHY$T*hIkg9zMHb%rMIwt2)yCxq-T01eV1kU zhRSdo$yS2EJY8voLNzh7pT6G>I`HE0i+kPHt zrj;L^tN|LPj$>yrm|p`1t$T@_KaXE5I>ruv3XFs{S$`D376vI7)F2w zroU$zk1f5yziJ3W%?%= z{8=Hk`aGk=><0zBiTor|SM>{DiKbA=s!FJ>P-x8kE_Z-)0Lskf6`~}U;@SYOUUb&4 z`jxQvu``bD_^pV?G?evRNcIY+(|DVz?$VFzMC9WfUVD$bY|4Paj>aO(YT}PooAvUy zalG*^P?N&j_kkHpQ)Mc0K(4fKXBAUrT}eUCa$B&Gb4#ALA$RI$KkBaB-NTXC#`-jp(b_CI?ICe~ zIT8B{$}grXpOoU-4AZilGOnw-G1Ut;K9R&UP#ynO)aQBubv$dqzHAXRauiu&p+dYe ztUUB7Ll@2>{3#QL=O0Na3MJT zQ|Rr;avn~kHFw1p^yQ1T@-1&%<92FC)9Z&*_CDQl zPH|R@RrgJHGIof@qJ{LGE8EW?$JX+l_HvEN_59%pHOw5h7qVY5Bpyr3*Yb{?5DYsN z7^zo!DIPoBxM1A{4)nrtRAqmhwSMd}F_oS$6eDcb98xPkk53PkjBB7zw&6AZ80lKA zaPASDKl4YYKAH_o<(rPqlchdPv+$wD7~8n+e?-}^_`g+z>(ofR@StCPRqh5}Fm|-lblPQz!b`3CK16}BHg(5+MDG=@jT}j*>t<>w z1&`0d&(f9JUP!VO&i*&R#eemS4CXVAp#X4Eu9?E;2c*bmjgOW`XJ21=|0;02Vs>Lu zIf%If)H`FGII%i63U=a3YfdH{XCznOdD+1H$%=NZGW#Z^OB z78T)st{Ps}IRSIXyy2*gmbb3i+%|zvM#oH(RE~Kg_9P1=gRTZX+C3$HHgFH{(OGp!$$xCzoW){`Dl6amS!(PD zQkyG&*1hOHI=pP!5!<=bOl+`y{DRY@+LAP}tA#o( zaCd;tmtE$!S225tHB!53;i~K7+_vM!;R=W&TP*g@_Ps9Y>($9p@OcL{&~a>9=ka`+jpeB zp9vp(svJ_Ec&bww+M>+5!AdAmcfd|1RZ@vEC(}$o=YVUOnph-3!Z1FSaKmtw`%Nw0 zC)%a^ZS9a>YApBzEl^ZN>mBd!ldFN7f2amL%BJJ8Oi@TDGHG#MyUjC6FicN=p`QMY z8ec)DEj>+pj1#r0&vH?lN^lGoxJ=YUA%voOXyQEbe4V ztk=sY6np8Bxay_SW)J|B?8%EY7NPm)O2GOld5gd z_$wikMWo&wIuf>ilmA~_ES}GgJ>NSR4zCKftM^4FjM+e)(pFWQc+{m1Z3S3ejgwW< zC}ue)-ByJ|aYrFuBN&ciD3~5ql19u<_AyO4%Q$+yCxlgr%=+D?m+fsfeeuY&UL<;9RLdAj9Pt#z$j zl)Cc)wz~~iu2MUK2lM~Em~hEU*Xq(m_ZL%40$bv+@$K8do-|uT^3k_wRl<0D7ONP) zc`Mx%NQL?%^4|(lF1|SDI|;n{UNHaP!*o+Ca`kwf_u}gq|LFAOH>ufA(l2CuIu8tj z{$qu+T?XVJ_n42ULw1%G9vN|cN$)jt+w)zz(4)XO8 z*cPM+aFK`P{+B`;m}Lzz?KDrpe;EBc?^ROCuZzAJ+dvj`I1`-nE6l48oFI;lfy(uR zk3Z*(=Z~p`|FkQqA!W9&JQIMQC=eTF>_A&iy_C$xBx5F!h%NfO-F6iC;(jjtU$!mPEE=fB<^eT&b`BSdlkdR%bV!a4@L7x$q%%b$$T*hks>;~DgG0*iK-OkGTLq)pceDnu_|bx3bl z?Cb~46DP^L4;z3qF7uBxW@dy_G6=q#YB>x>KmeCSc1S{*6aWhOZB;HssieUOmr_U) zdEO3W9Q(x~F#Z08XYWJ7_iR zz{^i`!Dt-Cx~LIrN)xxuucXwJHp-ubaYf3>xokpZXn@pH1C{-YZecgk1v~u;?BrWMBp7k1hz-Ircv7WP+3Uo3cU_*(?4BA>|^&*J9dOR z4rNxzBk+O|U*i%}zn_?_@GJ1y%kvp(V`^ra)e9$U51NmH{QA=vU=b~yp+5kDf`0A^ zL|>YR;Ye?7b(q*?oy8)C!pTABc{V+!tk25*n~GWj8zk-+D;6Qw@!ky4_1|75+w|~6 z7=C4u%(nG1-nVf)Jx@rrnI*ed4Nd=PKdze?*^5qeheeL@meGE(>yjBj3rwcld1D5? z7dE3U0i!D$)f&671Gvw8eHZ;%BMU<=2YEk2vQ*f$D*E_Knhtbz3I!kq2aJ$ng)8wP zw@`g8{}O=M;-h_)FaU=YJAw#xt)kd^`N#*2%~gt!K7A&4g#q1+1o_ORU-hm1`9aQWegV=1??QjhzQ0!%>0b?TrGFL2$c zLrT!cKiH?F>@aQktfzaQ< z@OXi92y|)fZueC}H0RF{k*zLbJ$;%>X({E>+QK?SiqZ<*S4DO z&}qIZJ@NctI*~BiOyTQ5X>`vbf;6nIjAN;`<)Ojyil(bbEQBIw)dZh7F1iyl5=SU1(5)07i(85#Q3;~W^5mbH{1R{)%kQi^j zNGST?m&NJ8C3~RwQ5$Tij$F?+R3@u7>5oz`n2QhR%_Yc=QL^XCH;C{YllJ_V&>FSN zk!c=x&yvs>7My;cocH092!N3^l@UA6y=WdZPjm>Bb`he>j2e0k&^gEDH^@~J0>=0n zU&d>Q_{-P~EyW6)l21$gO(aJw41bOZpkz*s6yf4sysCz`mer?;-gUi<0jvtEZleJ4 zK@ZTD$nJ##pJMW?@U$R`Ft;~tpagNxXu+W`Yp!T7rrRgzT}~jB!+|MRv_jZ~PbQr- zP)*d#ebu-K9$0#yDjFk7MJe>`^Ik$fS{U!aioxof{k=X`hZ4Jrx#vP>DVorD+v}*g z$@QP`yL##iZjU1Iu}*q(w2Y|=CoRi1RN|)Abu+dBPc-PAJ|_<4W=OW}h8CvOLS8A2 zBKo}z-wZFWP{vM>baI8iAnW>e5yFj54aDki^5(0^9>XTq8*c~}GfxLGVM_bRG32bA zm88Y^^@vC2f^BM#i8j&7g79JvCm6~j!CWB%3DZ`5FAPUK_9C0XKH|Mq0UvLW#qJAx zvYkNPW_4i3R_Qd-qGeErD(G<>XCRA$VCGFF_F#68MgOA!#Yi~oP%e2xuJ}(c5#~z+ zJydlAE}?b+x4+!}EY~AZQ`2R2-VYx%SluhG{j2OyX%~IY3{MhlskMuhFy4UW3&|6u z-L%R#s-BZBp%WOw-o7p=gqGah6pTswoN|gyb*5`#!i-8UhN_%JSwiOZ5ezUEe}f14t9f`ry0>9yL-oNcSwf!Lr{7qN&7=h)U$4;s}FiY&H2 zEB$orgG?|_mdTyY@MUyMhCpOnh}JD`>XW-{3@Mc>p!_1wYQa!;6ic^xDVnq>D5OI1 zlrO6F)G!k5!Qg_spPqO1u17mWm#qTdyOIRWW^I`mZ!w5XNwIS zruCfql!Nf$7i zLz>?x97C&%<+x8jye@L$nj+IoA%zGkr;vZ#e|WEv9`O*eKLEo&KE0D;wTT*h(of<+ z?xG70Su746xD%?5jB3eqTTyOG2Vrb>iI%(wG$3Gmis%1L_5p!5bqm>`v%q`TuojPJ9dtg(?~AUxQ*CMYel;z)*@eVzMP z*~^#1a#{V`-90)M73XwY*Rfr`YA?BH4|J<5>D+f(F^Y7?Eg$M4=xvQW{Q(S53-rL8 zvAvf|oQWbrflSM$v=NF$LmgP(!EJ2aU{oKsYnMqe`>@TuIgRhCOd~3OHl1kR>iEXD zYMB@tFiy`)xGNz_XwpulEXfQLJ8Ja(T$mj0nLttHCvE8=W4@gWp~@6&K^Q6hHE%4H z7`gb!9&H*jpoz+FRR+=BFLcj3_1g7We|c7xPC{?mE9?!2a##@Ecj+*CHrHW-P=o9k zLOcO#(IuDN)2)YV+X9sl;>EJ@yG5E=US8%aKQ)Ti2B}ro#=&k?SvjXkLwrbp8BEQ$ z07pM*1=(%gonFkPoTS>(?$_4E2InHSi#t(oFzxwRY_Wi1ZkR_037>)k<}s6|nKmBR z+^KbVP9E<~P=f#ATYEaO$3lESbbzn#y$(?Wv=HC5R~&?uYslR+3e8S|TC+PGzz zcYUPAwn7Wa>_w;^c1@xYnI5=howXpchfNBM;r;yPHXaAee_G)5QTYJn3~{aelThLC#f(XZrb*i`%H6tOY6@ z6o5cNAChgsh>nKuI+%H{(KPRKR7O8dF-{+Wat?olN$D}_$E_2Xiom4YNE_jZ`#hmsq*F&?wj)wH`n}WbQeK{)#LGft ziqiIemSBgfN1h5q{Wvz0CYrcCX8{Q3+TW(^iM+(ri6GZ8z4_^!kK=k4T-TcAH?{LT zl-*S}Vk{sX{nYmR9nIO*klwe6u-+Yp_^^&u?9k!C{mJ?HqKaG?2Sq7dQd@G1lWQN< z)z@7@Y)Nc{OiPd*GTzw!`G*0?ud5?^$t#Ivy30ZTFr4uE){xnY)LA~~@I#c3n0aKU;>{n|$lf%TDnw|&~%qXqeZUvyNo7A}r{^ISIpFCL#+qFpqeGvK^( z&I6Ub+}C){L-wGR8xPqi$6Z?7d_%)2@m7=DuVM~f+;jcN3gePOyf>fG2z#wf&lI$s zi_!!I^OzR1N{lD7gMX?&*!udASX(pGjx8mU?kyvM$AdGx4Za3`GU77;zkGdvM`Dc* z0$g-2EziHR6fY9j_mE-M{|yUlXoI8gvRtL)8E!AL)x7-1yN@bLXvHWr-H3Vc>TnEh z#ZX9s1;YJH(IOySbk0*AHp!7nzxU|#zMzBV%&kOG8c|(wZMkgf5YiZjdUKdoYmuA; zipFez7(GkI3cimlh>*$pa7207prWG)g6GTJn##p1<6xfqMvXKb4#oW>BVbsgfBjGD zJMD7t=co;3egdRx!@X%^&CNDCNxBs$umL|ovIRcyuF*&h^r${J6G1^xoObUq(G_O~ zo5cK-)4$ejF1D3h?aqu*pBp2jCnX@6&3c~&(c%MOepms$X;qk{VJ|{P__pC}QK3A$ zMQj<}wEsq;`F>N2w|{B2e`)L^A{rTfhr}yMvvO_7q+NjuqJf47E!>zmxQHtIps76k z-7v&#t+2K33suiXV6bZ%vSfXs%y@V~07)uzQt z2w6B0vvkF`=qp-Y$U~E&jEN?_e7mSy+G&_hV3$G21|Nu`ZeVqj8TpKLnsi~bFy`{` zPm9P2hMF?kBz6%ejz%fhXNO{JgzWG<&fg+oDhK^_CbzYh!2ojn8Kv@*8*~pl(zu`( zoXZYAJ>NiX3N2(J5aQ@QfHdsRi!bYyf4Z>_?6un=q597=!p-IRKjC~Ht{e)sjg;Io z#vPI-lOMFAqij3vU#>Qlnz#G4$5`7XkAHp{-UPXd^cPaQIG%g_iwAozbM?DOtXqSQ z5p)KmpocHx!+hOLW=rl~c^<+UR0s#~QBUuY{OCDBd+4J3KoSzE4*l4>Z-@ntQ_F&J z+Dw?$eK+9*6grz$I-AzpXF9&g5fTX@LD`%GoRSt{a+GY{3vC{^xI;?_ZKf z-(D7HC{?TwKX|$-rHV;xYH)r8LK{3I(iUsQcGqE%kEFLWUDtM-9dE}-63K~_(&pU1dL6JYFn;4UeFky-THYm}@MI^BRI1OpxqRU> zahobTM#Erg2+VcDb4_2*pD!A08m&qC8LoexsA*1nZfJY7UUTdtaSf?k70>RWr+h(9GrDcJF$mGu2H*2xD6@=#_vUoTVVB-MNaU z>ZM^$oNpGNcE5tINeSskW!*K zhjeYdqfQb>^BQRJ=qSm%MIG1r!G7j(%AGRhacX(19ISs{N$ksF>p$`$)gS{RTzxZ$Ft3-2EGa_UV3c<7lbLfMCG|hhjMyFBo#Miu zr9FJ#Y1l0(Y&ox-oV~18f+Xy4B2e(N;iwN1DdK*szHb940)7tI!onS&M|xyEW4_Mu zsY;iK(m5SzM;Fy-8)XdvYKDVNu~qr{N~nXCQTZ zTw}?Nw|+3m9U&{=r~i>e!%mdHW%{KW6QXpJ$BeA$*6_Zyq-`4m0^=30yNmd zqL(-5$<*;MlW~Bn9}s>v10Ffj^m(9AGE-Imu@FZ_pvlSkPN*1f5VMG?uAzpKHOUcN zXFVaxX3KJ;AGs8|ISO_@;G8h2uLC&W{YlZUV?bOUdr5%j(@H>~hcLba`HCczzWP`1 zyr4B(U#pqtK}>*2?*CT~QNqUKQFLXdbfG8g-2OVw_*Z-`)>YXMas8YI( z`Rh^XHW>F`2O_^)NHp>Ej9`YwIZ%E!jk%7#e>&w`y7%ebfsr5MhiZ0gt1D7#@JG`5 z7`?iZ%;kujQ91Q=H4ycGidRK67yLzc9GhDeYujs~U_~LT+mn`h%sYTlNbh_vaDNW~ zyId*p8H5{WZQ!#q@CsBy1o(6Hb!Xt-q@bq>7ghVl%eGUG{0wXTM zLg?0%m1SqiJog1=eQ>h4~3nig(5AN$WGa z7}7iq@a8}xdNWSkU%-ZJ&g*$pwtllk=Va>tafp`$u>%){xim2ClKFuZTD!7vt(4tp zoVzLyka%%F^I{i^ky2p)ulKX7m)kHhDoj}vck63oR3*3T^khT{ueBRM&V6BTW`gHfef_JU{ zIGWUh_Tv~<254#}w3d~fFL5~E)z*474Jo3WeOByW!SeQ??j26raU06nHcgi+<+)#) z%moscsr3u&y!&Ec`(yB-iFYXL0WCXhC;49*1G=TaNpti^SzRZQ0O^C1eH*q>>s}M- zjzeD}_*miyD=odnpW#R{^5RIGRk$P;E>A_`idjHOzk0OSg}RD9V$8t;)sz;f@11QF zGh4u-ph()EmETW9JSj03$t3RelJo6$F=f(>M%)JY*XSkxm3v3eHZC0_CA5(j?SKh% ziblfk?2}f{uBp+2SqQE(FB0eYr!qE{ejiJZ>{5<0lvUkFQ5REG_(%-A42vm#g=+%{ ze#^RJJm{H{$ta)NOj?MrF^JG6^iUcpWgz}WI@8&XMK3W(BCMMkjxvu=MUT*3eQA*% zd*lVU*B31OwynL#%HKdYMW7#o%Mvi&vfIfM60CJ1p3XJKCNO)sF)VgJeaEi~j_iT9 zJsoOj0e?Q%RtO6p8wtIDVdP6hP@zw8D_I3sKU1#x#XtzbT>cnnHKz^~Lg1SfRn7zz|zbZJLK>1loS*^29-=&8QSb9_6ulLKIFK|SY zrnBK7QH|jXnBh>~d#*tLlc;Y!;`aw`8`F3cu0c9;{MyeiJf0;agQxmlyP{pva@ZVt}9I=G)t#hx|>+FB%XGU9+CAZmRZ)7QvCK_(*KEV#x^n; zC`#_WV+c$l)K`gd6uXAWE@2rCv=EhIL@tG-QqLv04pilyTLm&TJLPWrzB3ue*@c0_(cBW?{-JE#)lkzLN!T0%uXY*!O?%YLtIv>6~ zmDjFU3VbQ?juJW~Xj+5jwTZqDMicC{@4=UtGdY2wazy`S@Nt!fvdE)f;R{g^%)YU z`IXlh`ItlZ4|h1+(2rfABGzmSyI@3Sn;|gtr4UlZiBYk%D(c`N5>WCN7c+^D*=X%a z9FfhPctjEXW1j^XE){3pDhMwl6KJab8wTR!GIksgbh9dd>xub8jo@My(msW3Z= zkl~X*1uc;O;@@g=&vYIT^|wq0nX=1>*kD=3pFg3YO|RCc?2%;J%t=nK7rsjMNf<)B zV0K7N_lIv5`ZVo&?KVT<#1ay(#_Z_0x%q(un_ezd#n_IQ%wGrLx+rplT1VE~p?hMcwVKN{6=V zIU(ly9u_9MV(k7_9chk#gSJcI#go@TWIp<*koZqV-B$0c{^fg=<9Bc6@g9@^5C_u@ zi6ByDfKQZQl&)qzdIOELA0tz5*;2I3_M^waOb|*j4uTYs-mL7-&Zpfl|H8ze3KHoo z#>Rvq8^sv5^`^=2|5)T#*2u1N*JF_EwtmK=tk|(b0=@gKhch3eC#J{(4}bnmOHE_2 zr2kNDn2xC01!jrV{&OoJ0paMQTMnf6js>b_W^Fz0ft4!}UIz$0Ldn>Dj1%uZ2pQQJ zCa~Y^z&Wne0sZcLK>5XJFB8pKOre7Mk0$T?>6+DRbU-&U7@DOMt}%?c>=)8&5B&f9 zhC&c2FKqzeHK5u3A~#*+O)!3xK}hB4NjY<=bt}_ z) zbl3L8hDJRd;4%32#W2*g@k1oi0RmgujWfRX`b@1(kZ*8WvzAC688lM0QX3# zH$Z^ICuBhAvf_@p$@IpG`5z(cg94apJzd%nGBF*&I`7<`@INFSSD^7`>Y~$SCb%H& z>NW36Y%sFlY!QKYcT{je&Z1c1kSXXsqwcBqHcMSvtu?&PRH;ewGW2ba>SLc{cf5wrIg2Bg` z#xS%3_@$Qg|H#Z=^cFK}A1`J(8Y2I9TfbiNv$*FRKAb8tP!aJx-aBHhxj%*9 z+-~lrdQ9~7>C4ajpnXUgno3FXrMoAO(D%a_4`J5yrl~RRIQxvLt*3Km(u(0psrz)L zC#hX_^~Vo;%{?B-Nz3v%4qVF9JP&q6x@?k$JI?Ei9)|In-{=4(c#0t}K|*^q(G?fi z^BXd-9nybPJAzva3o^)T4AZqz_8h`3B#KxT#BRv7|NHo+_z(GblvVo%Mw8B7GcuqN zrjPKD(;{QJ;}N~wpNH+Tq_re9L(rb{5DdJjvjBRsuGQ`6^7e#i1x@Aw)1Z_cS7Uzl z_#_Pjjk!1uHc)9h(N_vQtHmpr?=ZCJ7@VWqv;1}cgYPQgz6Ai+uKh?OiE=+E<;C`z z=tJ+pdtNF|fSy+fORbGZ^{%CXfjbG`+RgM8haDZ|f10kJ!3>%mh@MlXcF3TA=G1hE z;7{`n-IsYxJgmFN%sWzsg~D zG>FoDg9hKy^u+vWvobnJ*fu2B4`u7`fnC$a``xMq?V3eOnI21+{)bMBhel5nOE~0`}-z=fF6(fyhopQVUH68!R9JG;|wyraNKem;>d_ z{a7^v*|_SOpVVHP<><$ek`gi!MEEYh)tKQ8tm=+S0iB>;9epO+eh`| zJYqr=3e-6;s4*sb7@xRWshak?>O7oydfQ`Z(Y|Z`N7;)V8F*vTm3@ddW)4ICf*u~= zi#UaWgfi$>oq2>P;PdN7{t$J-Y%`?Xk!W+C=!c<&)Y8Tb%Q_Uxl=T=Gn~HtMb{jas zJoTk-y?ymppV|Yv63elS&=E9<`U11-rXFdeIA*DG{=M(0!_fM@!tTVS$!!ktwhI^N zi-)*RwiZgWE$87p2}N^pUogksUnlWS#Qt;7F02^mpguRsogQmnH(lR?xK%xO2aK@dV^*+M7`dUB zFkjxXxqk8M9r}8gyqbY%ot*He%;fPm4(rXa5MrA2A1AqnbhyO{B`Ys(rn*=jxT_P} z7fmpWl|dEk@aw=|04|m#I{v>CG%xbLKM=<=aPMlx6emv`qVKae)O3^R6r*qJ{z7QZ z?y2AZl>!Y?J+##z@(BFAX|l{iH9@m!UH#kJO}kxDr%!vdN-%efb?3W=-$j&PTeK(R z38~C*FqQ4d=J2YQgh_eCPj3IBaR5^ZPcUK;(O&=py{8~(TFri$e;2a)Da)Eb91-_l zK~2)vq^@o*1g0nmBI8H!8LC&OMf^&z{zX5aPhC5k`_$^j$zPX%(tuufb+PACA4T^Q z7rSrkhF9JOQVNQ~ICf>T?K)aFWU^*8NtkHX(mB^@B1#=gbc0#Q;0cN;1{!A-@|=lX z^r5hRL=ZUCrmM}(=C`)EIvIJ+42t0zd)C!gR#OG%NMAcsRU6B+Zc5S<=xZGnb-g;T zBy-(Su=bknY7n~EneW)rSv%b?T)Q1j&GZ^u`-Q%GJ;&RjAkFtU=iGW%*V=!3kb~CU2**`@d zq$Q5;n`TVkrB9hn&#S{7{4-BZ$}U;}j#M*!^J9RFlY=t*G8K4%5-S_doWpbJIOIh( zcYI{ZRl;6<@C=3?(Xw&35&=Op&1TToogF$X&|y16?rv-1VyS2-dAPHmD((o-?bf20 z4GqscXj&aWv?CPmacoy6UvaRKs2a4?^3e61ar7#v$z(U30ncRFXV;7~033PxBDYq) z&qG@se|d_Vq>ow75V_y*+<(r^42H!$BUSCxsDxWpy*TEM^KZ^M3N2{C-N~1ByB{|< zl^w!#{<6;&{(hkLI6BZScn*1q7CMgQkpw;ICEKxCEXMeaYM08eZ9KU6MjdL>PYw-0 zza3>-`RoUvrMEbor)y^yIy^+t+I}&cy)MICQF^wHyD)^$tlM@J#6h;(Qym;DOQs!D zLAIH(io!ixKh_T;JQag0rEkorK~96x!a6NL2dn#wzCQ6PA0XzF96f+fW>vj7J**BO zNTB`r5euI~N(1W&2q{px5uwNh$KkersZ+nX-)k)k^ZFQcUgR*&NGTQfmpU->hNwk) z$IC0zud@FAAQW?>RJS4g@mHpbn>zhd$k3IzS%+0rnpZEQ0!Dst-D|J>@+Q%^pslFC zP^|OmNjum8c?`V%I=vV2S5-vQ?@>`JvqV8dGi=IM;lqvark8`>Q&HrUGjEPWB+k4t zfW*$L-q^^oG9~ku{rf%w&j#|v-G;tOU=*x&d`10$a*amcL54s%)iJq5OSlX@DR=oL z%*2i-u|-eO=F7=;ui_K5*$gLiVgT-Z6_=ZKeylhKP%tcD(T$Ua@ z<&l~zTMg;9u~Iat^IQbA93Twg(L;1k3-E`g`osKjhECuqyv^vsGO}QG@_!en3^}Oe zjqlrkn8T}-JRya?%qQ_k${bHnl(ET{s$XCZp{EpN$}^Y0>E7&^Hc=mtD`@~UFAroM z71LfYdpmFS$f{r5>B~N)t7g~#5xOM>G!e+6Oz4z{$tcQk%!a~*lZ>nnO^6@ZDtII! zr(`W(;8eml%-5x|3W=SY?nH}iR6}Dak<=S|v4d5+eQ|UxS4ECMx$l(4-eu7x4WN+S zNUDWqwz;p~UpB9U!r%GocHij1vG7R2Yo|s|8zzn8sG;kUvJ}a4@UH<2j&{=}%%Pb& z7P&lMn#}r|OD=-^91bet{>n4ePk<}HzvZi?NUJn4Wed3d>kcBFw%^{(4S128NEx z=MO+YJgf?EZXNe-Ku!$)3sfnUehe?Wyc+jY#N1@%77$+AVjvl3N*FMo6PCXt&?q-h z_jbjy_Tqa=bI`VEFk&4~vHG9DvZ$zboMdtXm@Z1l2@ z_Dv#&YMP2%j-kE~WwG(KkX96>;G5iwM7QvVy*Y330(a?UY`~Qt4PQ0!%4RNfatOQIW{qfnR)m3Aui8v>TCO!7rpz z4;r%#3n4DQ8+jvJ+N&9n8o*letpGvL>F)yWtfWpG-g(Pw@<^V{C_#9UDA79wlK)R=)yh{ILmMOH{o_qDuxIYnz@_T&>T=% znn+KCmpS)e(&;0G`JwdZ^e4?>u=sltVdnf##LA4__j%(TUq_-ViE%6al)q*fs;MFX zA3U#y{9JnZOpIx+*s1tge_{ zrfx@yOjyF6G;b_~S_vc8uI`7YT(QlkA0Z8s)Pst%5Xes4TU!C=JrQ03@8y-wJrRjo z{Cmu?;`naxgl|aXk9Oj`xj8l@P7)%{&Lp z#W3hsLRGM{Qjo*-TGF_cOMBtu{er5AFZ@hO03JBCq1|GTWJF94T-4cr+otuJ?YW0a zI0m+5b=TYw!Bl9WcS0pSVnn&UF*9v3ixt=RUyoJ7OO>m~+qeMQf)t zmSTZkaz2)fCh*bOS1)f&Ce4{YbjALe+%Zds{3*P|Y_I1YtQCr$VKT;eVHjcQ@V@G} z#O+K1jw#vG`r1w)Gfq)>B=S!~HZA;az`pH@u?w_r}v@K6czp`dyy|NPP}JE~ek$m(ICTpT{WLWu&}!n=2j`vUBkE@(k?wAcR4 z5!=jP4|@9w>r}?@)K`=xdg^%YXZv{o)~31EG2>SFo~bQ{isqqL3)OC~ zea*>fLsw192Jft+-cp7I%w(epuybuT$!j>_)BvUT#4)LyQ0N)}i|KQRbH`)JtqomJ z1FOp#ot7;)59>Z}B$bQ_x4g(+GYOne66P7aua_X<)4-AY?Gr$VR;uBz^rQM))Hidp zOI9_Xl&?3bO-G~k+=>S^T!LCP-lA%d<13TWhrboAmXlFPa4g=n?f4t=Sjz!ZW))v$ zA1cwl=81=3#s3aQ%#>-OK2i?)_4^?(vt!OMJ;aJf9j&!QTiWgmKK4A&%{oePe5EMl z_y8!Lntd~r+;_4q5;)P6)psnxkb4ciT;GG}1vc#5gsWU}%E(E_vuMXn{*n9I@u6c= zAg_qWTK2v)y{lN0{228q3&){mHErlY1HL)hVVVmkcDEMJL8Bb_FGe%fI(DxFgw$|p z1=Q?-LxYr~mzJ~RoQL_9kpjba$ zfH3kku?g3K#QxW}T(eA0Vi=z>p+kcfNy{_fYvd_-vj=61#@Kz4U!{TUQ|)3Ka|Djn zLt%4J@5Ad)GfjlB7@87Bt;T1+xq418s*_rF-g>xs!zPfbK&bm6mNFOhKzTaTkWy(gwK+JNR370E$Dzl`#nK z2(*haR_>l>^{Yf{_ogo5Q1Kh{s4*ZCRqZo|JGh0CWF5a; zefMz4jylC)FR5Z5Q(Byd zejAg{p5J-PmhJDmXlQ^f+#t8N^0#S4>4${|)b!>lrRuQS0x?$W*{%$9U9ksS3RR2* zF7UVTv8UVDX$Ko|^@IW)IFzWiq6a+1E|=w@^A?W{Hjf>fGoc4B(`Y5U&jHI)nMVIQ?In)Y1nn{M zXI%R0r_0RK8{l&%e8~I3jcF@8x9euiM9`qyjXNN&b*d9xYYYaLGHlIRXWvu741Gw_+MC`lfuOuJZSj@&^h zfM{o)%Eb*9QE6E*D?}wh-1|wj4Ng^J;rN|EDyFo0{PW}q?e{j(rFN^u3Ww?Xj@0^* zm0HT(f+JO4OY|1A)T4qiZ+BXr&lSXMPSZP49uKCep^LgK(*?nXdDCaWw8x)=cqc@J z&EKUArBcK7tmcD!@y+(*tc3y_7b+q~w~s}rEl2gT+>aI;Eo$>SczAbs0UV8HQ+2n> znu}~1T@jeVT<_RdI2ZFw&lJ5ah3MB-Dk2`P=N~M#VzC$3Dk9vamJ2^_{0w?~ch)#A zu^$SXB3nLY9^y4G7^UaVSvJ`|P?TKoxFTP>+_$!3OKNtKrIuAa5jcBl(LzM3Rc%;Ct=U2b7&}5r>Mev2vPz+k9P~qG7WnObOeNL-^VHP6Lwgv&j00G7+^c-GbAxhCU zPMA^okhUpmP0>!oT-)9VaRb`;=8dv9wjJ7434~wCGrlp#qitU-3K`$3FAV7`oI1s`G7IK4Kj@P4|by)QgkKt|;*?k&XbymCNpO5-6^iZXy~j__QLk!Aq7 zE=>JQBr{n}^2lo%Mlu<)Sw{I8y2J6*l>>528vU*33?LIS#usz;MnEz> z>#m0w*O{JmQ7OU~BF{ybGq~+5lGN0#tY=-9(G&XYj9OQY8&3$m6*9O@Mq~6QD1)1i zGA5KAC}l5ORO6{6(k@pM*DJ$~H{mYH@L1Hga@^=PBT-umZr2i{8QkhUE0b^AYJg<& z?M@BemEkrXar4n;<+$CDf37WqTP@Hq?EWn=Yn7beCQgY+b?YHbt|e5YR1@1}q=iDX z4GNIkNeEoI*a%#B(`1yP?N5Slg*G4BIsmezrZSUAl)xE%#$^o3B+_X+S}Ze()*r97GE7a~F^gWEQV@dCHsi_YZRltLKQeYOQtmc#8-Omd7t%g2q1bVNqEHL^SC zD!&+CyNg1ET+ev2XCggQ>wuX^=ae01^l_t^4B0K*&I>pHlGDl}9aE%Rbv58dX;a#- z7Ab9dTY&7;At)0mmpb5fz@&0DvkuvpMh&LZmm}yg{EBt2)zr@Z&N-HcV(p}NFl|?!)_qR$DGkqu%DI!NCdN>GW z+m!nuOtyXgn~8M3mgJd8?@3#<7gj5abVqE{n^9{^q~|0u-5#k=-Dz?t6REHWApi_w znMhrWuU8f+eE~y#BE=YD{Fs_nxqgbsMP=}jDAp3`1&QrIl_(P_fXwN0J_53`NP(5{ zs!ya_qCcl`Ohn`jH;8D{5q(@N6Dg4$lb8>`nMm)}l6+;6Zc_FhmC-(tF39M(!n>)v zjV+PVogv0AC=;nCxd~<4)U`aC?0ulSjAM$lPeme93~%FlLX>G!BE6?CaRwPJGLd=+ zE|zIi0P!dhU0ItF=^1I9iB>Ze34=2dyBx(bk@`>W45LPriS&>iEtZ)`>8FK^HdYqt zT}3*o&e~_@fYGf=bl!@DGLa(2xJ9>yGLa6e8g0|uWYDB?6=_Cdyr$t_v|U-GyX5`} zMY>aK>}J}Oe>no(i|b6JJZCZ@{>w@@J)Rt*e|E~j4*$UfqP6ltPDf~%F zCQ`Z#w{#8&W{Ae3x7BVv6YRjbM6fy~AA|=TasTDL9?w(hqNA#xip_n0=#zJ&LhHpg6nMiT@fgF4g#WIl+ z!lXW4a#|uiFWDop=~vdK>U&}8zBBrkiIm#Gvu+o|H*V+G(vlEv>*M#|R_@!4#Awbp ziQxUk_MvSox$Z(d(+VW{ZjFGukP&5C;f%B)YC0tiFfoIZYoh)Dlb z`-v|ku4l%v*jSlJ$8_eb?j!lEkVqY)G~W{KY!a!9#eBmc!`c;n?ja*eE0S@-(~1*u zduvpYHdZ3GF%E54l>)FcAzY8IXxxgYrXeKSeEtzxl@Jm!KkVyE2l}w~mNv5D~lo0GoP|h*j6zM~W?T(cx&9^8Rj})h|(GWtDSf;3M zPzd}#f!*;vhfD{g)XXL0LKBt}g~xm+0_ zn*<2Ib~zmtrhr5i@J>nWjv9=giH3-jP3D$K&pM;sYl`#^fQi!VO+xUg=cRZ{C+&cC zV#pAtDttd+BIcn-nxrK@Tv2=S!;KShNtFto_DDSg33 zSf`Ojev`ILq^TyhJyN!lisVT&7F&T3;wF+1Mz@8n^7sETA>cAZ4Q8DQLFg{tO@@z# z5b$A!UL{d~-!iS1Nrvo*EyI0PC{3g!L$*lMT=IMY-x>z-T~Q0)jfK{v%Ul2ufp*1g zR%SJkMJpVM+gBydW*TWcgxGH3#;!jH%tXp9oxMu(%kfkK_Ss;9+gR)aS7Wk!8LV9c zMkU3n;mrhm+bGx5*AD0#e9G5>!~Ye@ee;z*vZ3gTAvL)=N{KeDd`YYP&pK~7@N$k~ ztNMl7?-O^SR1zF5cf%ILO;+vKH>-`QGjNXYkohd+9B*p{Sk?31mfT96X1vpPjbTslZ!vI56he^zJX_}Mh zfv7DlK#_&fI;HhJ9%~Zw-kY3GT56WIcwC?Jkx!&v3nB|`lW}`It}_LQmvWF8inwL+ z?WPXTOVsOorT`s@ePDky>LDzz07WImisEBcrC<^1Ej4?=&;}jcszi**#znA8i7HVD z*a1X%YvhJBdI2Xx-Y2+CA}le=?1ahuy{ICSZ{rf zBSk}Fo#t3VWn&dgv~_H(DK!>%TK(L#L`NRl0OX)P{{PN0eStPTCXC~mp;+KwLTEcH zv7KtfeUZN=y&l6fzc)Q?+E9Ck#il=OiXAbNmOIg=;cd7*EvIF0n?5%r4rS8vz6{bA z_C=#K1_@Z4gqteDojG9onTv>@et{6_J zFYsM7bfzUb0fZZiXBEaIPKh#rP%|L@%^2%Uo8FbCJN*IK6I%gI(3${pNJ(^CfJ~ds z3Lue1g8THAAr5v5NFufsSI@19$x<#KOQ7r9%k%hKv z`ka-~O@t`IH=?PH&io`2hfl?6D86|aOtSZu{GF4w!RU8pO5k6M^y$i)ObGyl5S+?U zrT`g0VpFTDVImf+w(MNpq8;eO=UYAc8y&c6eX~|4=RAN4VTa&cBo!kb*y_Kcqgy0?0 zhpGf+CO>!WXt<%xW(M9URjj~Gy}O=B2b4`CI_ z7wnmywM|XW{LPJIdX~fLVws-BCB>j*Mk6w5!B6_aZACb&Mm&sDqO|Fg$(TrEb+L`nReBHm6&u+aD|S!wU&~D48Wy|<^zjieQ^|d%bt$S{ zS@sU9#V)E%4@HkN*?T!g8viXoFbyQ8&-~6!Xr|y6>chosi=+>{Dd%?HWY`_5TYnzoH?!Mv%8~;ObB~q z@TO|Wkyt|-LO=l*0<-!Yi54=g;5U~&-v|N3Ys1H0MauKWU9mOrEps6-r+#oT8qE|3 zhK~o*b|!|fCdFYaW=3=^nfFF7mNb0qlp5;Wp{Ner8m>=wON2XmKAwVG%=dU=*nKDw z9;jcVl|-2~y(qD*D){H4#~4*qMTgWb%7yIWMlJmNq?vs4rq>yPGWq6r7+oym+i|(i zl;CKT%jDa^=(^9#Gx_Gmd`%(&lJ9Fxq+AShY2jKk<0-R29`c=w#v5jXXxo=)XS1AO z6bBHC-Lv3jCKwF2`{dhBLKI~(gz?~^dcFTE@JwUT`M5c>kaWNpP0+4|`?g6yZH!K4 z8jGVmRXh{V%{10nv_OqRGSgW8HHC*!8;R6V94<>6Gl%&omMIR*9Joe(G&6_E*z02> zBvYvZJ-|4n2)SIGNWLr$cC`xu#;XHL-9zpDluW&&ah5l{AdENk(~Z#uzSx3Trs;XM zz`rcNAz+|PKi#H7XVs?uzuGfRzd=UND3Pwj5Hh~a%IHQJJrzu5eIrBoR_f&>-^jy+ zLar(LD~fVdS~-;FTQb&<=+Hzm4Q}p0W#nN@CX9kx z!^5BvHT^?@GKP#O)23tyw-B$#6v(vcxHN2$=(Q-8Y16Y3nUuE4V6qBtWNpy;RMfIR z^6-t;sg3pVXt5%R)x`DMdr{g3#rEe}Ew%Tid~>l(?LAkRvyGM2UaBP*cSoaE^6kCa zPaYlsh|fQi=S2r>0OY<>lHucgJe|gBxOToOKzM(}$NEftWAbxd+T8e>On%NJnF}T* zyfG)r;e*3YnV}8$&+2nO%F!1ZCO<&QHH`JM(nhbp5QJLq z65NrP&)-(o7Z~fg3GUj;Qd3Ftz0oIMm3_fE#Z;ztZMXq`7C)F?& z@m?~2Yv?k-OYfSDMq7rD;RLspb(y=dw&6O|&}FD^JMfFLC(s6CLUCH$jLM1*cw4v)#bcT5<&hWHS;ynKne3g5T5d}eNqi%;+T@4E z;s*G5a-G#M)^l+(FT-b0CCW4w*D^yg_%Iq@Sz}S(w#cZNY-}uA!4t^ER@N7GO3hJy zu0=p-_J*fM+?bVMkVy>_r%l9mlq z_XEE5VN_QTPKKW{8@D551xBJtt z|21@H;JmMXx;su+GTnJrn)s>vZPDXQcUF*#O!Ia`u}pWqC$R}>^GI<*7!~{41rWbZ zaIuVU6!(-ezWHp%MKiu_lKVGx<$nh2_ZBL zMobn-q^W2%lf7t})=cbNEYZu)UZ5S5!AZG)IvE$NXjQUzi^MiyH2Ri{R3|Z0olY`@ zwoVA6S0%Dl+MJ!qkUR2=mry689Bo=_7_?D)$Mo43#nRy;vhcy5`tOW9Lg4h;%po2v zR+-vMR~eDfEiuwe?d3WKRTzTG;$Zt)a%fOu$E0n4T?P~0bCBr_p!@9m*9 zUXN{>$u}lHyh!PNA(L-ZOG?dw2>tT&4ezOz+(N{Cs01Ve`TtROhA@$|Nf^G63t|NV zK`w|D2n1pT0)apv5C{YUfj}S-2n1pTV()@LAP@)ye}X_D5C{Zf1Y!jOu>yfWAP_4^ zj$9B3#B%S`UDZ>6r)%K;A)DNn^z=-3b=6yM)mQ!X*F7_((~KNq>#th2qzW%z!??Gh zT54yGK8R9+4MU(6LG3{^5 zg{e^A8bbf5wEU*fZ=1R#^s9ofC2c-dBBmA?#{n)*l0P-a<=cpYj;cQEBS(GLrbEep zGr1%~=dKM>fekFg~97}o@ zSCl0BfMNXWJqy&w(zGrD{QvIpwBaO9eH+kqM5}jwBi9a8NBq==r&ZT0-SS{@?d;>; zBzy64P8v7MBeQwSWh25b1d{CK_euCV{WYCHEs4U7@G`*IEv2&Sdg|M_u#K2|e?1C@ z-prM&q%Z7=kSN#f3l+&uZxl=F+kxmT+pn-U6M%k3#e`)RX9NZ!J>MpMB=H^MLQTm8j9*SBgBhp z(odh%M+fEUVaPY|(L#nW4ng#w+>`ZFs%0?*HEqA8pPqbbKW)jwNfoax3y1Q(Y5t88 zy`i3UXf!7$qX~({=V+7ejI9{{q&t&qYZ7g1p~dc8ZYhQ<{;iuCfn+l-G@MU~C%3%j zP@@L6hPfJUnKR;YqsfVq5Uc7*g?^t#a=^j-yQ{n5)A1}8~wTDLn_yulfBZxG@!g%Apt5ukU) z+Y9?V(3_BZ<=dvn*y&9ObI}(f#D~W{2w@Zr2wMMyK*qCOd^Qk*lg<|gY2AD)KkF5- z59Zr~su8`*TgP(}HLPjq!-)vimn@d$A2R4M~$*cYL z#_0{)@$yAWNAdLEsRUcYQ(@O;*&jboswD+~o4#NrI;ocX@|0gD^554=DmnOeCAz9L zEvc42gzZ2hhc$~DM#<3HiE>Swek$KQ*&B>z^lD1Kii)&g=SZ7Yq|MLH7`0msdRH{G zJ&BM9rR1e`nFgb1V~EGq(Rq1f>Z#FcQduJH8QgVC%_Lar?1fi1woZcOb2M(W!G-^U zw<&d*5qauEc=FBS<_6FviQ>-@R&8VA!o6hM^5c=zP)l4u8@pfWb!rVRfWeEyx-u9s zJ}Z7P^kCylI?P@AEJ1?pQnF*hc1-?#WUJ|xyqt2CTue@dTe4z6zHe4uf4IM?VGTTmC_lu zXBoWJa%J@`i2}*JDx#)LTY~LLn$EQFfEz904HqV)ZOB|ncx%apTP-`LEjef1SS5e5 zH7+y^30lGhCjak_#09>2Gs1%(R^M=;Va|aW+7pTXG8dA88MnP=CHCC+oCDEbR>9}C zTss7-RBRi%Bd%+CJA=DwnWx&tjjD;@X{Dz)u;^$j@^D1jp36;HBJriL9}=4|u_Tdj z<35Nb*j6R>O=6}jOQbuAbVP_hz>p*oxMs94c%=2fHm9`YmL{#C)Bs=Sq2fOXqo+Em z!YUDx;)9;9NfdmC!DteN4Ow~TJ|IyTl{UZg*~8OQiH*rPEr|l7!HM8m(w#@8VX@Ft zz9dng_7YdGAqh5RWbq8wxM@tV4Owq@y9u_v8kY&SP4&@78J-SC8)C{PMhxkeNx!w zjjV{RJh14_ANcbv!pJwLxs^F-+7fJtGI{0qj1p{k%CwH(7)`LDjmxoj#=UdGG#7>| z3AS|^<;&?Ss8vg_tw`Hx7|;+p4e}ZjC zUecUDj0S4ig_iG%0=>({5~H|)*gVInB}VroLPdHirLqy1&tMR8T0)2v6=Z;kmSAJ^ zm}CnXy(HMSrH!fKuO^oSYg4=LB>GOU;EkAWnYIKQcmFxdj0B^#DDV+N2z}ec5<+$) za-wC~#PHNfvQ20M(}Bc%zBlod&PK3&+9WYbmfQt;6HggI-$-oR@-B(OO6^>qV8bZi zjrGEnU?WhQT6QE(OR%-dz13@ijT5D7VVz(*m8bU-oiu$3wrP2am>W;9jmXPkiQQUS zB-j|K#xDye*f8R5058GDL+rZ>R@;mw*d*~bqUG5Vh!5pRV_2-*b+}) zBue8cPS%O1{4~p{j4lOi_Lie)V7nBylOUF0+mk3|&`(A^JmqB6LcLm|?OY;1wM>*! zS#j7gLOcqIr{6`yuol+_wgyj`M7WjFX)~H&<4!TfYa}F+_^HQqMp_;v>iLGYT9Omf zj*es=X}))tAqwXb^S`M`Jl!)wCY0!Sk$C!3ySPPy2rcn+PX-tCYb3We>z?G>iQJl1 zhbVQW`F2E^5$!AHX@U(;_n$Qm!J1lvjaX8+e9(34BNl2g%Xcw$Z$pY;OzL-9r@oH19TrvclE(dvgrPXjg{ zwH?&8jNda#LccE{j73~q^whQiXI~-=F5HF0Qyw%Ll?&s>0N5Dp*MhZ$MkTsdMN6cH`|8TFz~gwwglUuL?85Xj;<}i25+;n zG-5>}!KTQ+JxkQZ5^RhdI&y6RplS&=f^;UaLPjqMwi_9|mtl`-?0|MCc2>2V>Z)zb zrov)c2CxR%NF^?8N$iWTZCe&c7ebQXD=kOtud*-_T?k2jD}$qUHHlb~mUKXd zpWaWa4%qF5c*-N)n@Tz~(JD`?Ekz<7i?>pse2tz47mm%tcvHje1s7t1qc3`DR=`$o z?rGSLm}`SpTEk=lA{}+Uc!>=m;^^(~4$vyORK|yj6GFB>MhIVf8_+ThFtr%^K!`&x zh599go)rfe{Sk65b&iX5BqW6XRK1<&LtHdwNbvMgZ1b3H6CrjeF&%a_w(c)OD5{@F z2nGL(Mh*@!5@OqMq4lv0*;RJ@P-Zs~5_lVNYqsu>5KbSTM+lET4!uvv*vAN=N?yND z$mpjMBJ`g~$o0p%%!9hjVBjt3G7K7T5<-jw^6l%V67uw^gj@*VK=3r_GJH=ldJzc; zrDjtZ;@1j@Cq;EEs?z)Zx(v5(Fy#HTzoNPdY-#kL2uKK7P=@fpqI(hvv2BE&+Yoau zqje-Ch%F%-T7QI4KYU&gr9BAY^gCmQtJAK1)(TiW^byag6(QOeLciTTs(6Y}J+mbPWYK!|^r#|+gdE4C)%5C#{&q;1HKX?S|GNgKS?9{z_f zDiY&MpF-^NR*hHCMj3n%cbtA{c-nCFaW2`mu8eL`t@hVLkAi7=$#Xvu8>qrHAlTN0 zYgvol7A=YCF9nPC&0I)gdMGkh{;iuo5T=&IbY3ytm*`?CRe0K8Oo@VP>p!N~(l%?@ zl9;}Th$D%aRCmPX8_F)j_fdAV_}0i<=ra4F_f>!TFw~p3Iq`NTqkg^6e_xdF!6O6`uGm=QlDW&8Gc;h!FHpJU_Z{8Guw_PnBh5mROQ50HI^;>xxP`@Sg?n1&F z4~c(CM))D$7|>3Mx0&9&&B)VZ8C@`|{qaWajXmqP{sG>|wW0TU+YxW0;%&J% zZ`=(ymA9TD|A)MN`514zAImqc7Y4g}JA(pN0)4b5etVlFiUttj0f*rEa8obT*NR)gAnkB zR{L1&X&?j~Z5iDXDJ`1`#b}rmE-hFnV9h30$xxxt1E0o$0wHZ<$L&VIE78~0>lORy~} zT2s0ZxJ0#Dg6*uESA|%DjT6z0%5))P+O(LG~O~# zrR_l4RxDVFr@J!rDs8RaQJ9d}hVG6X+f;voZN8S93AQ`xhcaZbcZPHzL@^z)m?lwZ z*J7Gr8<$ZGAB29|kiFaySd-X0{dCLf+qT3BR)Wp)r<#3Fu$>FYfoVcp!rO&*wb*Yh zi78WIi1~$Q4{WzG>S75t>c@)2xLZt1uqnUaE+jf;#3b0j(oqH%s`QdzLz|?k#~~7I z9ckc}oNG(4bp-t`h$Yy#jX5t>esPyzBc@zHjhod38(jexe8w@s##0HK?_=AOww4)W zm0;Uda?fe^JJ@*gYBv~7upLR{1H65g(H$9ed9k=cNwjdeB&9Z9qo2P5^T2~@i*q6{i`8tOR(LrFSLlwHlyuDz5YPBR`{TnU}G;X z6f$~Au(hRWQ>p1<88(S=dULS^+YjGw>jWE9h>uB3?MUE{=*92+vpK;wDNRelwq&P| z1ly2ArnGo!CfJlmZ)=(b^{2uZ+-Q7TAG%}YPDAHAfT=;RE(_}qrMMPEXdEUB|Kxqh zUMl&uj2yCE4Qw*}FW!f=n4_9lf>Im5KFLe3z#Sr7WI-~ z(=}c71R|mdHjKvlmSCgAJcQzvU>kE#wob4u%j&U_QO6eYjfzCkccl?q$hSj@Tqz2k zmI<~?dAbs`CD;~Zjk{3qJRymZ^ALrI&G3@shzxN~?cM9SE5^uS+zw5!5ksC+HK{D7 z^V)xu-ToyI7P_Gh|B9M2gKLYoN8-J2|zL%}D7mEvD`!`H<)AR3ISO z#%%Hq(`{<%A=Qb5G^Qq;GmQ4{!Pvyg!mFKQ>A?2by(ABGZgoDrEn@vyb+g4{;rW=L zLpxr(bAoJzV}b&#{>k%^APD1d3V8)e$T7k_RI>MxCdgb9ojb<``10_3m|Vyp6$K#=PsN?X#Q;&5jG84R z3Ws!gZVkqUP+A@iF{zXk0WuR9^}&XSa1$2IU=W(aEem%O1n(!O z;m6Y53m;*_V6N}>k++~48#~+5)sqF#4VijzSno#HuL&S+5@BXX7**v12yuW^X4aUR zXmbrY0oT*BBh8tNf%o4E)pgTZzlLkGmX>(@mk f!Xj$i)AhBw{%`zGN~rnY+ynLu zgq%tJ-SsU<1WQs3e_8SuQf)Yg%fs29Y2kHY&d(jFRo>>>sOPpAxJblq(?&2-2vSnU zsQ4OD(yDS_WeqhXqrT>1Nl_psO-N#pRV0x z0WVr?)_-hhMoaHv^SObEtA_V@Q6}XMDPFLi_b$zxbO1Bl(Y5>JS=XMHfCC%U*+MWm z>u$cpjdfZ+BcoQ1`hhROvOtd2wW-zjDJet~xyUFc#h3Jb#(Z_On>~yc0odJ zq=MjG-uZFx3q654>CznO^m)@dmt6&=#Hci?1cBz^Y5kyOtC9^wr*(4HYCA#uHZjZM zrWRkzH<{)TtIZZGJ#wf>{qd_C*wktn7(H)wJ<`%Io&XG&DrTkW3NB}grjFf1(YJik zGi|_*6bhG0&J67jG*a+I#8W!LLQx)Wxsolv`>426u9x?X2}0Q?g-4oIG8;HwQ#itl zQ!K=`A3%?;;JF3ahfRaej$|LZ)gWn|w~fIjEpt3uSz0j9Qlr{HPCcL>)5>o4`VNGw zG>lDcTo&#wmfO2cd2<(?pG}^paW*k|A4Ku*FJrcf-jy#S>ct7Na-2bXsvF8;1wa40 zXpWaPkX<4S-@_#@T`OK7Rw&^og>qhV6Ry7b?n+0Plj9vnH6dp4!f*Zxathplv#`i+ zzwaMI0S?>LJJ7TziheRdPQPdWR!A**lZhnpqfJ)sjAB6LP}@9E;W6{;sPV-Cb|w78 zM!8c5WYh92%p*n7QPh;!vWLrC8U)X$-EZNg(01I@=E&tVcQ?!Ezh9Fg;8R=M-p}iV zL0gkd@sfV9GYk2B4`%OLmv9NsGOM`?={MH;Saqe+R2XS|t-^CdVB;;90PIPS@u;h3 z(!Z848J#3GTjKb6&{8esa?LT7#L8vRIczv?h*(dz{BlUg1MMoB*=uopo@g?8AtBD# zegvQ)7m|gWtXDyAH`7@lQAycf+#+bNyA%B=-&Zl&I#qUdV^8DKL+eDNiT?Od50IqR z?k+Eyi1);&c+8{uafg_}B*;w6}Xwl**vX;dxb-A|4-4DjK(_kMttd^m4R@8=Ks9Z9K!|ewuQ6K}seEJY6`r zuZkZ8xJKygb%&l(=eX`Ag4?1GkkuiKT`_BFU3 z{hH0iIm+qIXgme{$SP2pa?FoHblMe_3VQw)X7x=I5n|uP9v?8v7ls-?#wUq**K(`k z7%yUZimS=Fiy@r6F6nCbIi5mj&d3Mc5KUA>Y>T&Cd#$%PMz)R49AuW{in(i{rc-BT z_# z*f$Hhl3iW&rtbYlS`%>J4CmQcO|VCA{k&&F9$Wai=Z#A7xukppIghv|`VdPpW=?9J zN2$FYOi8pfh+g}NU^Y4K&BS!I!9q1lg$IIk)|N$G?ZH(rg)?iPo*BlTf!=0EhZPXhBkg~QW;B){ zh$YDxf6<={RaicMnQ-ATJ*WR&V28F%PsrJim^_AavV=`}m^MgtS9U&{tRGw2o3fAD z8Z*)dZzTL$z8@TwW7~AO(c+^&{PsdO48RFaZRu{0ic@M zyb4tX84;D(p_=)+fyHvbuE8;HZI5jBnJEqP19%Lv>Ee6BM3AkZnZ})KGuh|jF8#O9 z1Fb$fLz-m8F{F4j^?EEhkG3xJuy%%qWa$s-NJ?vD?1z(M|4N|*H6H|}(;#uKy>U~U zqX|&&xF3!?f=?Mo9Q1o%DG_-aG@4sRoeCfARJek!4iIj5SU ziXsz-B95f435Q=wUJktO6`u}e!fqZ5ee9vs_pepzzQ57Izpn4Jr2vR4(HN^pU^J*u zb-a%r)K%~%;hN-Qwhx37s&ZdB`SNk#J@EI`?yqN;kfj@0|v`cD@V)8e?}e z@3|GB#UQ`)IkE=Ui;?D6yrkH>)ysYv$SqW)^)BP-@a4G=PUv)F5zst!+{k*(x_`4C zoPV!Fxp~W4ITYi*(iK{>IY)L4$;=7<1Kn^KBxB;tT-1w}-h)CB@9nSn&LYQ@h=?K5 zel@T0mtiDsD`XAm2bUMg4ucd2e(T|}XC;2_z}=v;-51XeY_jDCwY~HG1&2K-oacEx zS*Ps1dCAM4*V@fBrD0O$0(+)Po!1X}p?Nul5<){V2;1%ys=DwqS zsjK+)^}`d&%W3JREZ`EheL%nSE>m#nZ8MZ_vy)0_)m-Ow)4(>TW>f2pgdD0-0#bdg z2KkJnkHsciSjuyt|v7zwTvrH-qZQ zWPD#D2g$&G0KbBGC|@;`rRpp2Tu%i$C;~-m_kO+i_pFG2)~|w7{^<)89y-3Hx|HsR zywtyDFy!2@cD1FakX7XH`vFmh4`uFX-zE-2m#4rFm?bYCE`N&9K-m5n`PEkq-Syy3 zz93DSKugYkmc0G(1L1iZxbINC4qHE-S&%tFLhsPQ2#e?=!n8u9J6C=t!XSeKzxXNk zxuVUWhY^FRmiV{ztz?HQL-uEWv{wM6*w$>{X55Qv|J%U$G)aGsdl0pq{d$V<9TveGZVQ!(pu=T zB_>%!Pphw(?)3Lrk=eZQgi8U9TM#$^lcp9#UZ0QQd`dxAWc%pAclvz=;?ZWX{Y z+3E0i09mJyA7>pPKSn3+GF4>a4&n7Cb@pvSVcqGqvjUqe+97c&F6DA8_K6!@)u-C= zl2MUz?bm0Q19k`pb-vv7CvQuMEa~klH~6Laje?0LUhaX3=i69N72bWm7rf_CA?|DS zd%>#>pQjj5lOf3JY!`^L_+?2(XsATDN4+rTbQV2vZWh`~AM`?20e9i#U%&<2Cd%BD{9xf+$;C zjb(0xlm^=dUb%nN?oA&g`qAydH=moK#L8o2{@V<5v*LV}lfJ zZXCL3C|wDQ$-nM@`hJtx$gS7)$B{!Q`24=iB6hyyW=W@1bI=y zc~R@XqE=%2%zVE{FHl-P9~sM-^G6D&?K8QVCD$#b=u8@0ybB#^`z~z>+5a-}GvGQT zm6}pqHck41ch^CIn>2X)x)c)x*GHs&+t@$-z}P>V;Flt=d795%uid6@8fTY6E?RIr zUZc6=Pb)t*b-W>F4BzoC2RDg#xN7}ry7+Xra9+iWR#lt@DPuOjTl_J8QdOTiZ(zY* z)-`IZ=@&gv{`M(S=k*S!?x~E?+;tH*G%D1I&Cz2=bl2VA@o9`T^KA#Er0cf#m__JY z{pLx>mHW%U9Q|)(lIPc=N%v4QB|(IErq}T{!8Tk ze5!o}vDms|dVfw${`6o+EoL zG->xXH@4R2q@aK6RV^0_N#WlLj2}$#Bi9p*nB0^@YL=Z+Sbu5yo0kbJtmy~sinr3y=uek0Js3uM&!)*T_`DA2T zCpnSm62Vl`WiMIW>h;IKhND`eH1&TRi)*BGjS zTswH!a=^ub!jCXPa-T%o<@qa<(@oAaWox~%0WjFi#~H{E`Km;$H)@hrXUy|eFOzBV zW#2{#b$eIXkc^CbcZ1^s4B;#F{CuJE^jM8)|M-bjkd9m{)@PmqtupZDM;gMX>clIG zs;ru+!vU{&$I=5(u;!+$&7IS3N%_xkT}J@S;xCvF&KW%(j@w&*l$n@G33E2q*UxwN zK5%j^5E6+6-GgUpLYkIfFvS-3X|q~h&eS21K2DC1C|pN(E6a(|_*6_}^vnED?Hkrx z`yiXU(vqxpx4Z5U8_j3QI+uT`J9n(ly~D>A2%jx&>rH|H`-#Iy3_d<*`gmYd zxZRdapBcMA#f+8YU-eu~HEv4(kxK){k4;P~WYAk6d<8S9GDm^h1w>vE_b4s31)auG}R^9*jE;v?>BDRa;z$T65(X^ ziQ4n@{mHq1HM1zVF#VwovVCzr9NYITPTr0HvVTaiak34^zHH%KwpCz;wWN_RGd5k9 ziPK+2_>s4bhjq)m6#<3F#B1$L!4=|(U9)jbUB1znQY|o66pgb$V6WR^^OeF4pXXwo ziYePyksmcy+JD}P<(FJ;Uw($^g&ZRKNpXKZsn@3y3PDF1T)qyNJhalhc^LT2{TUmH zr-d~;S6EIJ(55Q?5-UC=HEd$*3o59>8o^2H9My%Ti9 zdx4aBtOmpgH^N@@hm)O}=i6ps3frh658(@%k-PTbW)E!-7r|5QF51+OXx0hwElQ;; zh^wQPVwJ*NMt>Upo57u~b*{?swW(!-fWE1Lj^O^W#7kR(*!_+*;W6Pq5gLO#Q5Ov5 zEpTdBv<}FL5uH&u8MB)D_NTn%@aq*ak{mIoX={_k$M}9m!4LVYHH-A$MFg_>#`)iz zx5+6=xtCUVT?j=O$j>PGeO4}xot3p)8Or^(5!|OR&ZA7AfvX*4hu4xRZLD>CSml`7 z$!$bcSL`v-Fz&H+t7fd8%`Bg^^?yJE=XG#aLW1XYMphX&3V&Nw)j_UK%K|Y*fI-i? z4|+`{ify_xN4eu(%L2(NkSGmdn!-yDZdS) zXIZtG;G6KIbDN{g0Fmxy5K2(mB<_TRA_`^v*%_lCGTDwK$3hxsmR+oY3XY^tX~s>i zqbxB{KoNswkiDc%Pib-*N5wt1i+boJ>zDgqcY9xc{w#eZU@cH`%H)wb$@<)h7oVBO z=&m(~nY@$ggh!lJd53f~`G_g|0_KND_m61To=d~#iD?7{l>0{M3DRkreh@4TZTU|s zZ2?p*Sd^kvPQBu$?B$v97)}4TRNk#XHLzF3_xA1kNk;>@;{}Yd&&@6>?LWPnf_~3+ zMEfIRj-jVYnQR1t2=oUtne{I!KJN31Vjf|c9 zMwtQOod>gswg_q}NQ1TdBE!r<@nd&)Ynm5Lv}g0(6W{ zrUE4J9k?ic^3osg;ci8rKHr;*xw*}%aXV=}eq9BT zk-5u1HDu@g%W0EF2Ij$KO@wbcnz74}T6~K#*CTd8dE8yw_nW=!U4w5?!N=CFzih`o zdE%6aRMk+GVZlDhQ{*7r{>3-!q9>Jk_#@A(t`ze4*@aZK&c+XULvzOQ-b|dLtoZKn z&iG&ar}EEKA$O^EI4Btu6Ja&gHg>c9)WVK?sFBW^shtj31>^U5Qv7A(rDL`YI*D~N znRGzj)%?tF-+3e40nDSz0RxP;Drf!nz~|v!1}+RBlrnI_jR&IyZ(=Y+%5!z-Ud@DV zi5SJX5v@efu;|IGKOVMr%PX1`rG^!*W{0HhPKpa$4ROi_i1d-!pA0l2>yB}(Ra zF$EpTAKqeh5J#EosNuD$rjLyPHhQZNMQxa1j|8Eb@K0LE-9~Dj1h))5)}mci#jv0P zLYVb@LKxt8ZkWMqYZ%ns02rR0BdHyZU|%2E4NAIQ+Sm{OFn$69KUN8dB`#*!`vrb< z*a@U_*nN_VFFZ`*1$HzSA3pN`NO2!1P&TY+ZxYrC@PI*LRVx1PpGub86U-lx&(wn= zWxUMY6kXh1?@Dlm(nFQ8okzEeZdgv17!wZo(McNnZ;;O}QTyHhvf77td|2_LMzh17 zo%k)`YuJaAvMqjHPqMdoXq+-FzGp?+^Q)g_-Hh)#QiLmKy0+%P=*M*fah};OMmAH( zp-z_bq|oRd1y{H}*9c<*y^sK|jtX`tEx3Oq=057YTHVfDesa!-o7+I~uEb)LuiNt; zSYmZ@1%$&)T`r)J-+wm7S^mux=5h=T?6W%!UH& zzR`#Q6OO_Da*l+-XW_7A;S%V-zt7ZO$Ms~@py%utp|0finN;U^-=o&EpZXs@LzEg} zuay4G1^lcy7V!8YBxEsOU=_Pax!|L4dSx>Qi$sQa;#vIUL-rWR>gQN9#KGlsboFtx zN7oFs5@FlEyDqb<$4LmS1Kxpy#~;oMi%zP`!^By3t_uMd+rUKagp8k8{g6h^nDHAm zyBV5}oZbb;vGP*gR|(?bmS5ivQ1l!De-b|hLwTfnki%Q960sg8{<6+Z4n3)j|H`Ci z$yRVO*SofL9&X}jbf{ess*_dyI~#tgCae>-ok_1hzr7vdoXKd(FK!FlV1Sde+^=qp z<$9n%$!_s7I|i}eZH_wSk*f3LbkL@#Zl;lS9fsUQ|JlT>RCRmBiN>!qz>5v{nPJ2^ z@}zx9qUXtsIL)Ox5+H49yv;4!$a0L3Ll~XCL{C$|az79uaZXQ@%U4&$wd2c*$n2|9FHSfL zK7B}5Ah4X|VWAaCb}nZAcdDNWMZA+vei_4wC-~Km{egy^e|b|qpl`2tLC;6t{)qs6 zTP<@TK7I(q#}5yFaBTnh;Q;(d5<&|Q5mNjY180YISk>=D(GLE`=8JKBCkw?qcBg#h zDQpt;0mJ~~HekW5{x*~V(jkJ}^Pop<;u6XUN46QHZ4cT>Jc{gq-E&zeF$Wau_$J=B zchn&C7DddPn8RJt=M&nAC(h11-=t)^5!#GHw-fT@Gse^)n57V1!iPM@oxI}%*q4t* z^eH+_1U799b5`>Ng5&arGjm7@V)5yf+QpmZqG22MLj+@nbs^|42046aKxqau>rUNw zgYZ8j-wlOXO?6Rhd}d#o5Chn!tRX&i=59uMC}%*i3*BX&EW&7Eo3XFkFrc5T)lcwyFYh&8qiN`266n?WHz^`X3`>V}sm?C!0Qiy$T&x`GGRH3ZW>+ZSm$EZ?rQHRG^PDB4SUp zvX_W!epKdJarc6SI<)8%iod+cU&&{3M7>C6(8*sB3i05ZV8Kqw_nu2m!Y_`D*XZI; z8U9_E5D}P7Ud-Jo;vhbY_2HI&TjiVvpIdBgRP@!0P*J=t$M`OkNxv8mLgQ_TTJX2& z$GU9?(R9}~(nE(H{+qBa{KKKrn=z-i!;=?=Ox7O$ntmU`LkR|`KxWrQHn8kyj89}b z8|8o~*8$-vJ2_@}xDAiXjuisM<9e|ZLYG08j`qAFxh%?x2;w6>jdvH`p(+FrQtZie0b1?P3YVmtPQb^Y0q;_E^_j6#?4?!s*RH`rn8!!?sr zi3g;!R#NRcq8h+1%E0OktR%ZiULs)gXLDuH~c+d zE#1W&RGZNtp)k;?Fw7#fjnEcU=c01}qW&I1HyBw%Xvm?_VP+#F=$qdRtr!%4r^tn{ zchM;>^v#1A>yTms$nT=N&9@XOG?~S=xy2&>f|zJSG)#41NUT-2O;KH?*!PRWOa(ei z0K9xTo`bri_{l>xUQh31FASd!vyW!e-&`6JO5gbB0XfL$SI5>+osP2{)LS_oM=ua} z42k1e)b1OJLBl82_Q~I;+Y*Esb}cQCm7W~-)exGUBu1^t)Fz+Fnss2SRu!MyqAGd}&8M@B!-Rw2cUQBQ;&`s6Do2Q8i)^*u(k#NoEcbQ7I zo~A@;(^FIhtyiRKkVBgkPeo;GU({+B$ zhcAPrJC?$#7JmfqXFO48Y77~Z(GR#;p_l-|ynSPaY55t{@px7GtUk_zYf6S3s+Ja7 zb7M_oU=xgbi*4?OsI5Q>sZDmR&whBB7I#XOe%s60tCE24#EJQX&?Gwz2DV?!9oKKZ zb3Qg<;6bsdtuakvfca!<0^EQ*)|i-;Voky4Ke@7X<|SW7#uw=N#=o^ubY9x9C04uL zIW@+q_N^u!z7{W63p^V0z|Y#2WOQW1k85}+dHE-ePh2JOX-}Mi3Q7D;9Eb~RMhpT7 zjyz1SN*pVto`!Pu!-B9APM45e?6>@>@tRvAnJk)n)gY+ndW9{X{XqinkwUWU6-sZH zc|o;%l?40j#ApJbZlOV9Zu>wuC6f2(kmrCy`!PnY@bG=T-lbn1B)4h97NkfpXf~Tc zl_`PU8MuO!ZwNbCn82GCvoODq$NexU3YZZS`T=|qMkYBvA?o%6jqHi8U;>GC>%gNV zdei(I$7}v^pc{%&doLYDhvQ+g^8qix|nI zQFw4ot0=}#H0sZ}BVX`aM{Sv1fV}@23{h}}Lyr^vHP6;#CG*&ns7czv4%zPmMWHnl z?u=KNpvl@U%tN0AZ+^5&i=(OM06&tQBtDsXxn4q9v(4=#cQDOdom^B7)>Hd!_wtd< znKGHQ_ddR=%hgC-zR-+!Ku$jxPgXsfm^g!P!6{~iOtKPQsi)^fi&A>-QJJ_()Qe&# z!Er74ma?BRXm^n=1|$a`&ztuCdHzXE{45eHblph^*2V0?9P*XDA^AAzKHf%@`ii*; z{}hUOv+7xRvo+D{8kVx-!94S#LR__9DeA*yTxrF3|2rioU_bgX682JT89 zZ8greIjSH+HludkrZ;0AE4J1VoUjST+fWbd9IpnK_xWTqLT}tD0YvP)f|Ub3cjNCb zcF`C4{+wPgVj<=L@6ONAn`J&)vVG#fn+I~h#Pxc_ZI5i-TKzAf8>crwKe5Gws1HsI zpwJ*T^^F{TXnPE!N~|5v_{kpTSOUZ0Gvf7{|Mhy92jDX7L`L0S zl8N&4h1Q`+NR+QPz`Taft{ldn_ayCL;@XVwFxs!u0TAfHy~BuU5G3@K=$n7XO0nmg zG&M>|1N`dp0Uuq$P)WraRs2D!GT|zMpTIAhW)ddF>(r?d10x8Vm*mS2+jBxL*B`3K;>~p-@H4t%-IthM7~o5cIPHYGLQFsl=`8TqKuetRxQu=b z4SSGyf$y&p^WoiL>E9F^zq@F{bO?I%!`w|;9xMnmhbP2@Xg5mnZEIvV3?UHTApw3T=du3c2Na9T`d@OOCMuT@rNS9)@K zx3(P?iOl4X7bJbr{lqPD)lfM3HaYXRZEIF^!3DNy`md;W-e|}oOe@aJ&2z}J^fMAt zaCUSgLrmF_jN2rAtT@Hj96nXszF-Gv&*qUXD^%Npgz%PAn{v z$DuazHz;pKWuUKWjDmO_mX(Ml**i zLEzB2o^goi40Y&o$nNJ7={a%>)b=(cUw8dEyFA8?S;&6G{zGo=Nx@{uPwMg|i?Ziu z@`JN{;cmvmMq1CX!q4f9?P15`Nejpfx%pSKAiL>U=VxmQo_5^qS7{-lJ2z&RlJiE; zK$wFyNNds98bxml?SbGbqiU^W`0~9<^6BUI{Undm)U&1BrBHRP@2Hr$xV_x5-u|L+ zgrjCEM8gX4DJa!r2QGH+;WMlBhkE?u%CMCGX9W0|oi3lGZA8oL;$fSXU;JBYniuvH zE!R`BLSZ)1zpXEgyd&GsnzzPtYS>-^N#bSYI5=ZvG;v9|E1X%3wia|Vt$!)MoMAmi z5DtVKYh)dLD61Rf04YlSIYHcnb>U4F3$SK!z0xIc0^?;mO1zloGN_$8KpT#;Vus4f2Zl)>!L zo2j#_>U-;2zVGP2Z6tC>$0Iaba0l|E2uxA)wRjy_4h2rKKhXo+4-ii)s#I7}>Cw^}Ut3vK>^G-WOz_z^$+7DS9%xrbap9SdQ)hsBi2kW-q3V zvoI4OI9Mj`pb_CP6ti6X={Y3SGozP4O*|2~t9s#KJaxZ6KsL!`N_7TtKI(-Qh1H5X z*dS697~SnHR-N}NW;ab6Swy-IA<|Y11pnWfLdHde>DNohM)Lal&;1c9^XxI>ko0XY{l%|DL_(E&ilu7RichfWWe*OAJh?{V00qAve|2-Y*f+U}8cIgO7l5 zsgCqEF*G3((ICcgc%UzEzwbLP{k%i(n%9n!`)I>N_+yyJdoqqNZhoeI%y^7u@{6Wi z4uQF|p42CSGRk`1o=L>S7@=Ijydrg}`$?;Ua$uS9_jyuNW|m7Z3vWjyVJ$DX?=+x% zCJx&)$RIKorLQsk%atJv7_Sqjq&ie%fB`y*)M&2r%qZ@SozSI=`4{z#J=eB(o~R#| zH7xFUvq33};in0iW&H6bGrE}Dy!3TaNjgqlG2r$+-W6{y)VCIJYcC28ecqq7IIpmg zEQv&H@Lqw13U=QS?x6d>a4zu*ghURUxEny>uhN-!#i}MaCN|(dzwi0P8L(3bH^(0Z zLi^Dzq%gDRUpPgNYr?7UfY|axMYE+|nh7s`{w7P7_095%Tiu5E&C2NZ zI$tqpMdQrng7n6BB1p%|@FhIKG_F#2<|7{-skXvZc>i|I+oKV2XA=T_^ngS`LY)Pr z5nKt9|9F%A<8nwR7@YU3AoHg}vX{rO$BGD%pE#hdVjl(J3G*Dcbw z@ceO0zQqzCM@s2MW01iaFj?$zW0vo{o!798?I2h!rYd&pTHt)_!_L-!Yu|}u3Flxk zz5BAE&~iq)M*HbE$s)}nsx(ihjyj9?P4`MBfrFbWso71C`hG2h`hcVDSl8|4qH<}! z9eFsy`i^A%?r?wR0ZBK_C4zls*XWn~b}4pm8)!yCcPvW#sZrjmY3SbY*eUvYU#F&{ zM15wru{rBEpx~W2*?3cf%u5P~OjvR%FsH_^WxJ>x{nPNi9iq@Qin;w&I~<5vroh~= z&q^l^@BG3$M1sA1zVK%_CKRUA&LnHb9u#rrQM<$+e_p0&z>2lZNj?*-f3>+HygI8U zZZ@QUWEOtLPx70j3A@wN{yck?V%Z7)kVswgUMTjA6YenL!o%y}P4p{qP6H#^BqaPk zZrjK0%&9YUg5h9sYt&2`{p=oSvg~sU<(SSYcePIt;R z-s&~t6|vpoW@V0S!Ilf>cZ_rhmHf0g69UDz#d`BsMysc0K<0$D^41&eS!Jn~Z> z#gQtrhl8(2{*uj8*M{5Rz%a9hMH2F+DO3o=;Dqn?fo|d0 zdaX$<(@C{f#cts7c~Cb!FFQt=yf9L+au0zW z=|Y^1(tl=}dIc|P+5b(~W+0yQ|5M;-=Yg#JN-3J9ZU@$%x8%J;N6u*466rhb%?-qG zADWKFho(F5V7aJdeb)@V)UQDmuLq)#x?P@Gqz_dw3tPHt`!=k;*qr_MX<#^Wz@9VE zWx^kF>(TOP10R~2y>$Dg{UV#=zVCsK7|3yIhE4AF0#isdv{kpYpL~gZl$!1FLilB@ zfORq@k_-Wuwr{K6{*sP4MT8={JxmHh?bLh94w$hRgzph2SE!ISh-Ss}v$Cuh9Au3c zXhM5fus%B4zu|l41)yQtZ8Cm>s(C!9e9YwXY#ej`^XStpA z7ZLgQ!k@QL!B68JE4rv3EO8HDaAJ|`l@Y>@(bd48LH&LRfw67-L@}K{_)F^CJYcv_u8rNYNBq#87dN?{7 zIvbTabfS77b zr=lIAGWkc1h(=bDjv#?Tn=o}@>wm^@4mUrPOgy4v9Br_&8Jo;5)Xa4ajwO#HsT}o2 z{?clQO5I3PEJ;-b-i-)>>y4=U>|tqX>HI+-Zbs%xEHDou(IwBT?#-b~J5)yR;Rx;x^XA=6h4~%W6Ux5IpTq1 zlN%dHO?^)(^*=mL5O=Bbe_=<`$RMRqwAE0b#JU&mo8TwM>Yz@W!AXT%b}+5L-lpgC zn{ZnGdkRnFkyQU0gbSh@FImN3!B8Z7S6@D9AxYNBvAO@c8IoI<6(Qp6&XD{5@Lu9lSy2ZyYATnhvf#Gz z+kooK-&J~CDiAPR+I$7>kPA^?Mc7ZOr84lsq>f8XS$RMfxSag2)FR!uu!XMd*Ir_G zZpD0`U*ai4iGvHP^b_KxaiN1Pi}X{-#yc@(mLBac1$m<`nKd6~<}7zDkxayy4!t3S z>LW5MUV^_T91scpmAm;&H(yv2jM`c_>-clZq5ZNiw}_+=w{zYW_}N5Rv~`H*LJzDz zcHjZckIxGaKajMs-0tns1X{X)v5telQ=!)Cmuifw*2OFVN-@^VQ#;ojOBd`p5hRK; zyc}9}i@ZOy-VJq^$*m%x8BTK-Al+Hd_`rj>tvY+U(z&dnS_@b6_p))Z7P?b@;H5uT z*E7Cy#4WUZ+0BxnMd15UWMjNC&&pi>;U+IZEW^v`{9ivecJ?&AI-X>5vBEkwSFBdw zOZRgm>I(W3PP}#WByW{gf}6JKj}@M20X4^suO`z7i^}Snobyf1e`qEewwloz-3qsy zOXTtNM9>V|1O2>BCP$cx|K%%At8}>3EVKM^$Wnv5W0sfCgkPWHG$&Q-9u@7Je+A!aDNFC}}tuQGKuUL?a$O zt}y`@IwLGr07pW+``ZBvyBYo@qeecr^SJcGNrn#>*SX=QWI;Ru(zAIImgP}q4Z4PT zeaIvM+B@I&e<#7kB;!0&xvQNvqr+4Z7EwYRF1?OlxZV>{30hPnE|0JqFE{UhiTZyOGrrs#5>hwC|JVHg+WG%U;k#ueLbN{$gpFpY zGSj3z$TEVUu2P~P*VYmgapOq};@FWQ31z#zsFh*g$nv-Iy7(0V85=4s9aHy|`wS&K zh+BgcO{}l=mszRd1b@??%DCi*aHdHo)1glj<)kh=vv3oQ!FP9ghw$=FBX=r)W>8KT zJ20lt^N^3wf@NWLjso5jX1DL=$UoGDTpvkKS(S!W4q5uMQ981sXHu z4M$)4a{<=N$Oc?S=z0z2Xx_5AyHcNwpjU=K%YJ}}=0J$MnDm6E3wy4f3NvY1muWjc zV6Dkzx+txl5?v1tQ?E(WQ(V=hz2x(m_iFdg6~udxo#Eyt;yt{bVGH9-{NzKA5^`(1 zdf;2FdZ0(Fk1p5vz}m8bz*2v~lte%dZPG#1V-@+Y0&Ktn*AgMO$n#K1@Htg;1bY(G zi7r`4yB%m`63Zxx4iazWM8R4nuGgh2!(H&dXUTmv9N@^}v+#*7wdND#ab4$?B_t=X{EFYb zNA@EiP9AbC81gi21G|opUmere#^HgQ%Rk+FN~9gY9JR@-n!aN?>i(DU4FpnugH?H% zw`4YeDW?MNxMpG9#%5u;fJ#1qYSaVm{c}^KnFob%VJzh5j30CBL4%#)8myOe6x00s)Ex526q$zZfzRQJ1RP7{3_5pIvP9N-#xCn z&X&!;6}PJm@lE+S=jWtR>0==@xZEk9?@1Q)qR>als=u}sA!&b61EyhMLNR-dbfWKH z{Tkx^;IRB$vp@RP0$fZ$N9Idxf2^)>@A6jXL$8}~%kbACSE{!`{tGr3msNi>xG3LX za@Q7qkEtXj$>TQcjQJm$o4IQNP5-IVhY8S&`(aofxH4{Na3E-)^o+rlAC|p)n(_$r zK!Aqsu>ov&*C5;nYPKW=oCe;JCpQ0b3S)xbnJx^!v?`xY1w5$JyF3kax_S+eh03gz zQujYW;(55CpRd0EZRPz3O5$#PntYI9WKeYB0UT(wk})oXJ zPGrYIFpv`c6pD-b8I23F%Nf91sFBc8k zcX>99;@>yJW$1-Q09iRMdN9O-TaJK&q?=z~h+f)WGRSi>? zd{P(h$g>#e8TvkIC`=LULEm@+k8`D5a;`X_Na zmN?6uXfVR-wVKTT2{#qU>e^bI6&XCQqQOe=LE%u-s8w0dwHEVR3QA%snX(wKW=rN< z1F&E*)qmgP(YNy&y@JLL3IsF1*w4(}AB~=9rW@*4vwMK{RB#TiCa7ZpXO}b|>B9qY z^M@wnH4!RGg`6Cfdt41OOi>~ke^K2BO8N0^q4{EbRSzoVCj!pKJ`3BZikwQ8dF~{u zz9;$lgcKGhW$-swFS}~@YpSD_aVNKhJ_TqxuI$m|T*-SA^=sSGerk2}%}Jj>tvY&s zV)^OS(FwT0Us$W!UR9N!Sp%-LpVc1x?DpX2v7;s*5%)?A61O5tl zI=RAxpr+!#u8vMrH?FYg@nR!1xG9|IbH#qW~lR4eeut?K0G-BqSZmZ7h{W8!2*ElKVy@~R#R9BvW8~labs>+q^U#$UG zwtug>?MnIgYbaNXivOstTrDYnTtm6?`+e=f?{5$OfQ6U*(h*14RFhN2{}OQKmyfVT z=>Kic_TSWit6KkUIQ(%LCRtV+{tyPAhH>&qfeRM#3m__>yKSxEs>N>!c*szf!YlP# zS@oWJPr-W={0psm-}YY$ugu@N4qoYhku|^Md-LFEq|_(YH(p#vy~1x})mQPJg1;1S znpfUyQpPLa?O>JHEc=dtS8h4IiS;jQPyMcS)T`3J9C-W%_-^yx+4}~{_*VkT8GdAN zU}#C{hQ^lYcw38vr1XtF0_}eiSN<;iK0)@U(5m#Wt&Uc<9{_Z~n3Gbj;9cSHX%5fz zhQS|)<6Q7XK}9b@A6i3sZ&LPaYQPh8Ebz6>yL)P?}g`j1g?zd&qoAQ`#l=2 zjNh#WTp7O?;IQA*VN=SL?T(K{D$`7o!c%a?;P@bx%JstcZLjFB2At0u^7I=Q_!`vtxItrgj^6a~%1gS;x0T5KN6{uoNZwq~( z6Rlr%DQiMqK;y6MMaD9CcYE-j_TV$xgU@UaKC3kRcKeNemWDmUhJQso4F`W1m>;qm zSu#8^#9zG}6pn06@FV!T45cYShV6Fz_jkJ@^c`)Xzu6Z0TWz7g4Rk=$D=PSX?ZNMF z5B@+6crP$EJczhz*;TLouNrVsjrb2Z>bJNj+Ecz+*gj5CwQpVnt`=B-1~~qTUnnf= zsapZb{JFF6&lz%PwItixqKm&unnNocFKP?@VxZaH@GPv7nM#%^-`T=FTOdVswo7|( z{`^hV&Z3I;^7i1n0Z!kPZyu$J-kWIO-K{*k7*4@eM{l~}iTud66kKKQ;k3O}xkB%0 zm3wyGij=3P|TuXH;r|<8ED+Q)Ou-x}LcABrmQUwc^ z#^@lvZ96zRpm91)-%Q7@ms#1UCw+%OC%${e^seUk;;?7em0)hO@jF}H^QL!0!tn8@ zt!@skI{vidPhO+AQc0RZGuX~`;HPx?k+<#{2hHq0Xl7TlInvkaU)1cL_1b1nS5KH} zO6UoY<>|>qrkq$2+6#Ug&O%(?gHuXXOE??In)aSkAG!`uw2Q{-vrajA?P-&%Rv_&R zDYF&Fc}UkZ`|W^I_g}1>Jo)s~PC5NFB{r2z=~ff$CE((NY!Q0$*yB%HeQFA*PEs<| zO!)!uum$t;&I^af@H`j3#5ys7j|&#wBuaVBW4(uvD^0_5t?l1-&fAuTF}f(=9YJLMfyfI$eG89PDsaXw6FGxj=fF z1qD;-WsY&}t$sL*dxi{YO7lCB_Gm8h)a&r{7{4PiGK5cjPYjKXPL7QZ7k)~ZhwhQKLI>9 zAW3JMU0Kqs21%nW#Odv9dh6eB+{kWgWFpN=WCqNW5idaOi_Cu<>Aex(@P~~Xw|z8v zy-_q$>2v-p)({pP5LUX3)AbVuBaL`Gq%|ZNjyv!>jr^Wo-k8Tb*wVQ;1 zp)y_CT;Wz^IW~zMIidcV%Rx2?A!i5I+2!pcXNMWpblsYl4>chN*(3yxz$w%HqXQ>^ z6l9Ya<`>$DYVd1$_Hg)zi&tlBF4xs_rOl1Fxdy{|KGhuj?IR~NH^SuXs6oiJj~X)k zkld)9gIt<*5LZJfk1fs;{HEt*m`CIF_>o&XQ~}EYBXV=lbpQup0JM8=yIVxU2F$tTBwIFsA308*WIOBTOgi z#Xke(=9k`SG7-#d5)Z63sn0sdj_|v_Hh_xv=oP_mm3sEZkr)jbGd$- z+c7dSv=-Cow3dIO9d{}_cA%PES+wal5;BTIy#62w_u!7w1xz4(;*YrETZ4pf7`2*U z%5o8&sxUd=d;mAI8pkMzxmCi?u!#&4YZ-2#7eJ_~piT;1w<#>$BtoadkK$8YcZXEN z6}a>gk9`p77Up_(hz+PwL?#>x8ho(vW<^_IX}2ocd`r7c(GIq>I~468OS@CiW?R}_ ziq-?#70~;nqHPJ<3Rrap_Z4io6lJD;>lE!G(C!88B1Kzg`7Tzpb1dyLMOzEnbjZF! z(N3^@pHj4AEbUrFTWV>%cPjRcTH4Kuw!qSEQ?!FE?M_9TZE5!?+H^~MNYVBL?J<=1 z5k=b-wEIANOwp!+hQG$+ingt#J)vk@TiTO~wxy+Qj+@U?w#_YVOGSGUV-v`YtrhJF z&`tntRMBp*d@V)04zwQFyj0Py1&wX;C`G%*VvkX@Pg&XuMZ4P4j#ad)EbRnEyTa1e zDB6cCZLOkRW@%?A+NG9uj-p)*+8rpzIz_w4@?D^47g*Xwinh+uE>^U2EbUT7tM?tJO+&-QeJmy2ubr5r_Rqj@#H3yFC`*37} zU#q5~bRFFRMf|+nL8Xcgt*&VEjO+Lt z5>zz5(~72})vbVi3~b&jtn02WRaC=uSHX4LMtY2*rBE~-?!VS5I+j)8UuLm8a^PVw ziw20<4bbbViP;Io)?!8><|z30hH7Fqpio+jd=>m=D~49`3+azwSiDuy=7UDuZHjg< zXndso4n;c%G+4UvPDPsy8Xq>eOVN5j`ziSDQ8YeFcrj@AD%$>_tp)ZzMcW6o*`Ph7 zXnTT2cL*)8a@cCMJ9dK@j+H;PqB}NLuFWXg6^eF(qDMNd=%*P)J3$e@TKsFPD9|xC zWW-E^7=Hcsv1(%O%81$4TJv}{F}G$~?bZ;(!R3ik@6{N^re*Bi5{lSapR8st$0$M> z*l|tQ;c&qKyQ98TNMH+0LCn=D$0C6&%F0-tC0v#z?3pFx?C|fP-s6>#6Y3_gYPO~@ zgkO<`olpwrhScETcO##`H4ww8)vJMzgQg5K7MI$wQx=jdi!p~Xcre_Jww=;)2&tu7 zazdXPf~lWpqG3->@t-|f0`K!wtIACx^r`Xbv!~(WnlRn>pBmGDn^n6>9{0(WCD){K zH`CT-4SQ-D%#@aND|{~bBi9O_ONHx1S#rJ7FEn?9G6#94c%7Rp&&b79&SN=3u?uA> zX)ez7tp5L4mzt0h`V|WeCUh*{hRW;iewsD`+srQ*dyFdT9fatCy!n?eknqZc2KdSA*G>*2C5& zX&;?%vVTu0cgd3*lWP<%cOemPyM*`soEPN&Mv=V4rx-IAWXe@ldgS#f5{COov4Ny zGIFhuzou@Ql(e8P@X;UL3jJE#4{2%{xwh^Xnj55ZkX%`EQ==)9w&N-a(S#?ngq)V) z@GDQF4hmkBb>a0a%>M@f0RR7Z0hCv3Y!p=#z7$&Cv`{E5&+=$3>#9&_DNAiTJ9~F` z+MS0pv!yGEBPohok_xm0QXyjegN6i*hA0F>LabmT0j&4~(HQXY!=N$o@sCG>rY4do zsR~N*oU?PM`znc(+}znY-#zd9-McCq$0jkx-hFR-BTQ`S1jgpT91R5>-LMs1a}>?y zW>}JW0Xq(os?HB@jDs1+3>b*iB$ZnRK*qB-;nQ0m-P>2}LZ-P8i_55O0&9Q|r>@*M z1{3L+c`$elfTwt1k-jn9SnF?Sn(Bcez6beS z2b!m`5&&&&xV0H30?&jQ3*%rrxMa^X{+DhR5Omm=|>IYJK5IP-3dRZzc+qGvp&!QBQBzB+`)lHkia6-X(RJ@J@ z{reDxL+oTbVQ>Ji-U8#2J%e#`+q;r7xqqM^ z6G`YrFjF&UbqAuIpdLyrVJ!d=4=!5ID%@=>#d(%>O25{Sl-tQNtM1JI` zVk{%AgWbcIP%|O~89-+$cEX7%K~pjljv*=La`L+G)tc)F#*&r5K(9j_``(Fbid{w2 z``IU_jtF~#GE*9>NlEPBx$jX4Vnh>#l^7J18(a%qp889OARcs%Cs>-T&e*xLTgo!PLTsdmqlA~OeYAO3ieCLm%W{gds zRmpJl@F*VZxtb30u8|Yo_FMofFuZ(dM^@JS&jnT;G0h2o@~1k`jNZ?4EksN`p5uN! zmFD8WKO=?<7l#e>jzkxp)J-2>smAIF=U)t2LV{<|mkkytd^ rN11oeSWZ&$9zs=0{Soo0RL5J*=XyXuwGn-1NG2o`{`C9@00960;hFeD literal 22349 zcmZsB1yCG8*DVqt1b6q~y0~j_3&Gvp-B~2KEDpgPf_rdxcMa|kY|%v@-}hJj^tMx137??1;D~aF79q^XGYG= z!$!`=$I8ym%FYJhBUhCpSFm<7Czmv{cKe7e;Dh<^5Nay_B`6Y<|Ka~wMa}=wUeN#i z5wl1no8I84C>iP<8T9&SJ{vdNm9cqRTzuu3z`RhvOr`r3gN#=im5;!&5zCWmIy60Y0UzA_?!eW#L%&RVzPI*rKR<+f9 zINz-Q@mnw46mR%?_gethUX~ka*wz3G#Mf3Y5#%OYXy+QQ1QGm7c0(OzJ?9ml=DbAV zU93>ZNUxcrdMJ-oZ!SE)FFO~NLqrgxxHcj)7h{;@r|mXooWmERM?jRDl$va7wQsC5 zW}MLo>#ny5um<;7n>1?SiY;5 z)*GcWMQd!Lfq9g6x;TKoe}>wTU6C|00YWo9V|SEjAy1USn97e_Q?xo)Bx~T$PoU^y zGzXhRntt0;gpk9IHqsg)mn(Z@aj}tJS6Mdc+-FJ%mpmx1tk+f9 z=5;VOXxVjJw5Q2bRW3Ls?lmz$0+#yO4h~j0jR#mEK#1 zdc=Lt#&`a~pH=Y@7p940ogSmTZds;I@?dp_v$r;5SV@lfXtyC-u_KbnKf6Z6`K8k}8%q7Qt$dMY8*Y9n@ki z$eg|nV@Heca^}(l?RGz5Df>l{n&&MScmhKt|1OxWDWYwL6h;+R<{BU~fjS3w5>xi6 zyP`sPvE&KD4Cn0p*td%G{U#jm*xF*Uz3X=FB4hQ1JHo=-6!{rx(9Ah<>l)KIT^+9W z0UfeBi4I_Y(=jDd?-fCV!_``CH!%Bjs-so4A5{n-gm5O<>@HKR@(rk5H0t`+oaxyA z7|zSxe@#V96CZ#bx7huDMD}%Kp99y&WJNZo2R7*q@r7+QzzpQ&vj)Fza(<9$-`{rJ z-|I?{Xn%)C#BCQ3Q8>DGx*p_Pi1AN+*VRJ(af!vpZWvcg<=sNc76kIa|3#w|=j>^_ zifMT@v>DQ57w1g#IMO9C9-y#t9#V*!dY}yF%%-}dKtNABT>}jBJN4E&rU(l%KQexi z(_zPdk5}ST{RHcOhvo0^c=0xVp*rE`(!9;pwteKP@U~Yw%CJ{MG{r4A9fVATCP_qE z!ox+nHemEZIsVE6a%sp>%tL+>8)HjT+XwKcrwZ@z^$(3$62P2zKxCh_MUcQUbuMjb5)=*NG;nA>H7VjYH(oUEx!-V)7)?tS>k{a~o&@kVf#V%+ zzmrZA#N^QT{?5Sizq68|>tC7nQca{dSK|&40`o2N$mW8H?=Yvn7RYs%im*)YvtF8hdlNB#izMU?St0sE?g~(@FbzIyzsKiOBEEXsW$4`NZ~{OMH`oJAY;{?6yKjsvXRlXKh)EF z)2P)v?}=35c5_Nu;X&+f+OFOs!|}A?zHZ3-qu^W+@o3T!Sz)v@`*-*w`bY0@!Axt* zONpS|$-7_4l6?EEO@xiB8tYvr4<#|&`XyV+3BUNW8>O3_`;|ylQ#o0df+agW!{)KYsR>ksYk7$3@pXSwRR zM>Ss)fz#Q4q)k7L3N8LQ&oaQnoE9ZoJwjEp?5(gYdRO3MFsV^$DCeru)1_HX?s&*y zTE-5%VM}JdyXim<3n(CkPwjnVre7aI@Glp})_QNaHg?dowZO}*5gfa&yEIHd6CSDZ z%w+Xn<2f5VG&HQ7Of$E0Hy%5#Gxi%dzlj`|(j}RJhriEXWz_}lLPzj*8^nn{W=1F) z3B#U+AV}~7<)z=Y7B*FJbN0sh)<2nQFX?5+n%3>!p<%mzx&$rNYxKK# z=#HO)Lk)$G+S)6h^dIlW(wMeZQD2NvpSAy-w8h*yjzRR6fjue#Z^#riez(R3!u33> zeyQ*Lwvh62Wh(hjK^Fb4yjQmOw=6Iqmo-6tukcQ3LZ|pv*gGs*r6MYR?qEpp4#mA zx;nrP6tNAU9x$|+MEiHtG)r!_vOn*U>R*L(Sq)s1CK#^-hdfDp9E9-NJ;eg=$9k!3 z`wcWY#=Cle3(hs_0pEF;-eD?UdIE%Nt{iLww%`9fc{Zaha<_DeOxYObxd|J7xKo%U&Q4LrO;NxCDM;eocpf!@`9ssKr--OiP zjb5`sA=70UJ?xqcX!Or5xEWzo8^W;9q;U5xwgKNgPJx-~!1(FwXH>?`Md9+XKYFwz zCMjeKBJ0ZG9}m{88vkIM@B7yd z$!CwimlTa>nD-mfIm;?Y(EIPLi}yVL%ahvoAcg1GH8fym`d*(M`x(s|fht9Z_ZX3VdGQTz3}4#(%K&RafIx4AQXfI5^vt z4Jm(jdd;W{(15U2fm>t1v(5CpK2AliM+=wcB1hfG&skn;L)ReZwXQqM1|V5X;jm7Z zkzXf~w@B@72$-S*9DzfYlIu@iC1M2(EI-??^cy=O>`$yYr7iU@^Be2lj6=H=Fw+D2 zj!w@Su97y-zyC2m=Fz&RkcVX5$#*0e_csLGfPW3&8_J1rn@1q{^-H6d-kR=mw&4kk zy|*wMj_1OxYCu+^3j7MGiVcsPUlmE^yYPfng~z1VkGlbxuOq(A&Bn^@0p{a|8zojx_zfu?pM3HT_f=Z>ai5xe#qM@ zzekZmdL!O#4cH76FS_o1;*Q68foEd@79Lg9|0&pd4EN9-!+V;}=@y#=b_Y4W!-qA# z>z%dRC-(-~Jxc)!T}aaaHnd9}vbKHY z!=vZru6N>?aXS(3Gl|38lQN*@^SG{vNm?HlQ*6Fh-R{-98DIMJ|Beb!p1ZR2n@kh97+mEw?j}7 zd33x*tcJ}vZc=q46T?R0F)fS`N(>V6P(6_#w$?DgH1{8y6)mxDKxCqQh{GRigf^&g zHRA}nTxfx!jWC(ka;@YDGTmf%r8F@~$3yyh)u)PCx^1={vD4a7MlEyr8-q)#ClVeR zBmN70$~O6)(fiKkiyE6C|I!t_Q+J8BuE&d<<0o~_XS5n$Sgq>AK%Vw`tGozFH8zbK z4bh=GVPF9D=2pOyr6kaPVx1HY{~zmTE8N8yro13eCr!>XQ`+3-axK6RF60kVYJ0cs zlM@9>e{9{Xcp$`;h-4FLQSDV1KJ#=1tR52GfkQWpx_}qA_&N-Q8qP>qC`m4dLcTXC z>^QH+@h#gIcBG}Ru!{_y3>If0m08z1YV&kb5=QsXeRlNIsj2)^V+>28T1-qN3`qIADg)fkMY0JzTHhEN z5O&#*#7Yu8#l`QxWHm6SJAou=ZiXo@Z-`nR#J;AH9vVFZPR#7UMJIoB7iQchl=B73 z?v<*fP1@NrZnQcUGHuJ~TVrA)XY%?&a1(aO@i>mfMCl{Xm{6(}Cg~;$EdCb2eiQl* zU&W@eQ8^tuQN_Wu`_E0!3gdb1p-EB-bHlz5y4FsJi3@5@y%j$0#4E+vgef!dBI&R(VJl(v&HW`y>K z>2}gId<{as_9r~d6X!4F4Pa!HkYfp$LpHQr>c6czD7&n^PDv&2-`-fd_AKXqFfHHt z+dc{AD&u!IUy(gizYijULA7kBnz5hB~rjBrBtwBAv7z2znnxX3fR(1D) zAxaMmin{yj5lRoK53U=h^ziv$j9$v-(s-@sD`SjxeVNL3)zgxd6lM*U_Q?@5$0$8d z+Khts8yi^u29#P($@YNgb{8K9FaxyEghN&6?1d&$VW$Ce%)N)XapND`kA|T({`qUh z@=6K2dedG8)w+o6lpO-~$ATq)ESO3n$GY@~gO-}_+@L@pDeHb`dB{4K{wwV=Q^(r4 zma&fZdDBnk*jiz}yuH)aDLQi-DNp+k{D<{Fp#A~H4@iDM=mT8!u(Lb&&hkEgo!ZWZ zoZ1+Noz`p-)@$m*g7j(sxTF%tIBaChR%c9Cq;ED*e=UD)igAx~`!R6V%(?4MM09Pj zj>Mr(Uekt>WLjfU_3h&w*a>@_l3eP`RALLtu{T+??QHzRW`QR{F>BxvJ@8K{D?WWA z5-Vmko45D>7AREh7$i9xkx5w>Z2uuYx`PlPExy!ckS-aom_=%W@${fC5ti-2~v3_BdrTJ4>%B)sha!tzTkV&;( z0Uon&eZ6OP_Qs=a?8Dy*Sr`ZmbqL`z;VLzncj6HvnYwo7r$*G65k7g`%(j8P3Bw)k z?sz|GBvl%J+kM-E`hY0cwzJk>(Q6Uy??3mfGUB+&4xfuXo1*NhJm!-Ib zV_gpYsf<-jhrK>jjx%OHSB zhU2a+DlB)qQ&`L*ykuc&_VS3sB6TpG;0!tdNTMPyzkCaxl_)ANNHESFY&Egx1YM=9 z5%Z3vH38U{LsAAES-?hWsZS}n%4^$VYMLbUi+&3m(Fw|V?fBYfy-BSsQ+b@Ht%j^i zdEM<=+D>}HZ7VB`kAK~8bMupG0L}caUW!-dan)fU)bNg}iHmfz31S3fs@#))^}XK} zxHUS$b^DL%SW2_>-<(PGr36g*f(ZCCp?M@V1gduaR@FF00R*a26JJq0qEMnOQs{Xo zSNj&Toqc5j}V;7t^l}-8pGbxGtJtkr~hqc9B4xFEt~BOPS-YUI;MI5>FAR< zSO{aYc%=M=l3NyF8BDM855LbKr`Gia8JjWvs(O`Xca<&Yz7!-DH{jZzbio55jab1> zPfqvhU(~l^$Vs$BA01j=?!lRPVrbY-I3S)ULnD{HJ2P zd#df9eFD-CEGwu}WYw4^y^b9w?N#jde~XO8Veas)OK@ek)z_N1HM7&{uN+p$+s%&n zuepQ!O6^odW~x}(_jAsR_TnqVZ0jC6>oqTkE?-wFWas*`@589=Fh?G z4%t*#_5h5{GwREV*@15TDr-MS#*-cG2mE~NjFpj>>3v6N8M8NJ-5K zUkT&?r}!2s?w~fwxneVzJLf*jx9(dBQ_;lIQqCbJfG@A$E5YFxBY_aI-Ze@KJT4*n z6jM8;_oLSMPV4nsja5Xs9x^wm?hB966z^D~uq z7;x2MWt?W1M4$WC)c1^QjUXHa=I_Q*dBg3~6u~`wU1vP8cW`2rVr&fy&*#0!lee67 zhlfw{X2JKLNROvCb$B}RX?r&8?Nd?-3SHYaumtdbM^Gt$uXlfWuDbeU%kI@Y2y9wf zHT7JN~NFs*XvLfl;G&)42)biYUp&C-aD6&#`{ zZA#POs~SwqD$({)gIm|RLXYlbDO#CPJJMm^Z){s&m~Y$p%2z1P=TBJ2pFIYTwTax+ zF3O!=+g`_|(@vBjzhY2yrPS`EE$$P0x$EpY1SjxHu`0Co(>XVM6r*DPb1JGPEorp6 ziwO*C-$}9ls9W>r`v{|ub$v97ns80Hnt7exGe^gvV%jLIu;?^JOO3xEHwwc3CeXH3>@L{Tp^}5l@Ygd)Mo=POVKBVt6+0Dk%Hj5q(CE=MG#A?Xx*$nEfUcmZdg- zy+X>tL7c;Rn;v2EcPb2P&jZyi*mvc89N!Z|KJ47qnD4A1=MmOH>8W18m9{xbVhVDK z``XiUdXk7A9I+ktLq=4bC0jL2lbUu;>SaRV8c{SN{XBO2C3@H7rjoa)o%idy>S)2w zFi4v4Pi)ozP3fI_H*;Sm?K7W*z1e7qDYd;?Ncg($JudSlQLQAx9~gdB=8PZqf2jD+ zc|waZW}W!Prx0j8jzl8Eb z`x|OcU|FnHY@LCuE}M-iSC5;g3|Xd4qtSwJ{*V??bnlOxX2o-A>lk;L=3^CKPD0bW zTDU?F4$h%d8>FxYTmi13HqwS6npbf#%5C^A(VY#pkPJR26Plm*YOs2gw+j(!$Wdb1jg1kqqIV% zxmtYwx^t0Pj(f|@-@?Ky^jCI4890AZR(PW1b*}upnSP42qc0)MtoJil8RZ%5D*bsn z^RRAwsG!>Jkz+R)igP5(rq)W-lnGu`;sd}B6f(n$`ZB}!eEoqO2x!KK>aU;;tP*(C zb|DoP{#mHwc~$F3`pKogXKB)M`R36&0&%G9Wh_-9PHbN@$1kv-9ITtCC>;=8e=>53 z3&z0riJBH#7c}qSK#PX>-;QDq!18)cvJogh8P}71nr?UX9Qkzr`{`w;Iw$UWDlYDA zMf@B1iZERjn^njYV|X)?bJ}~P7XBgEJ7`eUul;FP{MLPK+VMyxGrjtd_z;P=nHTLS ziT0elTad?tAOj>gYU(6hr|3x<_cBD5I6aeCw{N_*FV7d28sqPkh@V62p5c0^LW3s3 zQ5S0g2AdI*5)f&B#|q1wY4%*OvKW7OB|47Zr5i^)X34%B#~Qxo!+m+#jo#XD-5BDV&^ZjKs$QzRSeLPaq=$mh z%7>Ya3AR&7fE!(*7zI;H13Rw<6H|GOuGpO0*!_G#YqXn*)*ULed3J8={v@2EYk)H8 z4=G$0+39}GZ@h%|v9UQp=hjfe`(L?F!bd&WR?)Z*d7V_JzLs6QGTo+satw?AjbB^N zyHBqD)n0?t!pZVqAFVfi2=~a$&)1Ig9#JA%0ksZ^s#%o42U|swk;RP45Ru^UqZn_s zJ7;o}(cwm9sxnw^6Zq3rwX1cs>6mfAy16cTHEhno3r8Fd?|#Eq zhCTT_nIN0VSo?TR=LdWur7}kjqJ$|>sKOLPR*=t>`v+>|%U6MUWAbZRJF~D)G%|jv ziid`FSyzgJ=u=1GCP4$;19ZF;0Gud{(lxnTqaRj)U(ZeON6M0>m{HasF>iK6cmZN}y2jK-R`yH^QTX4!Qki@9K=a^%@& z32~Z}K2e6^*dvlxH&PI_YOh*2AJ78*;1ZHUKpnM+rW~c7^)l&%7(Oz*EZ2kc?XB>- z&SFFX^Ppx~R&{_xP40#22-~?j@hi9&qD4O2787}gEIwjQ&0<-Nyw9ndPm%o%LR>dS zKC44nEnzw~;$3(8E3ykhK`rxp|PAGE*PV#6J=uJUBe+B5tTAQ}^lHI{tY^BwXP3`37AldSw+rhq9zYTAz&x#V*s zEkhs<#iw1M1uat~iwsa&Qi)2U0Sxf23ae)Mh)1~o(2jCNjG+I;Y_p}V{j2uoE`O0< z%=MAZmMK2{%ddg;Vvf&LM_dXc@rY>(46hUWFY)JVS3tY!hWlNuv%Jh>YSQ1$w|P~Y zRZdZnaAOVk4;zW@iE|2kUv+S(XQSrJNBF07&2=I*T3#>#lWJ>*Mip_=rc(=9;cL8? z!9LXEFY-7E1iuEbkS7NA6(&FXU0Ex+YBX>Qp5F@F_0I6KgY$m-?yP*FMM0L`f;*?n z0%BcnId{Px?;lFgi2+943WzLYXs_5h1#c1&aut5`{IY7%0^s6aDS_a zrw%{^i)auC6xHXd;ARn8-V=YT=sqGE-Mm% ztdCtFeBkMEKV+&W{YH9cUHR1So_7w2vi2O$*2RmMPQr!e-${6KgHf}<3GPHWs=+x* z+j-G)4#1fj9^gw%0R=CiH)cA&ees`C=Q#7t5VjPc2=jl`&b$15QUtiP%(IWi!dARa zxW~78Tz5i^1H=&P_VC!%SlW`BXi{E!3^ckE?gl``!Ct0p+k5(9?sp-$fTUjnDBhcR z-=U_`sD#na?|f^G(N@^wLb#uZ89BG&=+GdL*rtl@m^7=Ww=z|%<0QjhSOX6sptpic zyYSg=Bs0OENtzNpAB!*Lhq6l@A@b9UezyXHRl*Nv_aI^w7$@OJz5AMf=0lt{yPEq) zqJt1&cs`rLSA1PgwL8SGMM7VQLR%YT`kBB9#6adP^AO4BkPfmJi=e+m9GDiH@Q{6O4Q6ITd-+u`D^>Ww(r=TlFcL3(aAJ$(BtDz9ajJaio z01*s^+=>6>VRXQtS^z1|MVzk^gKh(6-pP);rF?)IFn#vCBM1pQ_krZs+XAf_X4+Yb7=j}Bv!t&T0d2t?ZT@*rAB%PWdn{XOI6?J_1|P) zlkQm8McP76b5&=%sb5cQXp>%D>-`~Z2nV^mqNM$vs_qui-X1+Ym5c2go ziYXpXji3HxW4evpjf2Ykx?nC3*fRY+u=oWHt6YZ3a0%dg52og5Pj#P2-vm_n-X~7& zSxg%&H8t8^fBe$2c)&LpdiNcG)ijyS)A0D)q}#l2RAq9K$WfAg-IkOWrU@O_W5~)e zlK`Dl?Te3~N#)4veGvJ~7W>1_24UhykOxgSd=slx(R$b0x3+UzFC*VI%3jt`jo;7*WgPZnW%Ih2EJ=XLz}v5xbm{f6|Qyv+`><1hew~$8i70a8fsD zQQk?Gn1!+TIgsfuRPGq!vk5pDA;|cJM@p(qz`i*4QLQB?2E@viA7DLFMB#6L;Tlm9yChW<(m<-+n9B2?dYh78e*AGe*DcW0) z<>nXZP8Z9yExc*ZkmYxe8O)mWjTs~K6Vh_I2}9ElM3a%N2h82~(nam?sE(Mz%nQZ^ z5lYAps*$G*5Tu(*6?>shr$?WaAn!~RYu|5ArF7$g#>rE;?9fj1l-L$kaao0&A@!%b zDU5izkh!Ed!@7>O)!BY}8Mxp_dPn#AsCPaWEm8dqfPzYVk`8%Vg9RAN&1;oLbkkwj#Gruye4p;&%)GX!*?Z(5 z$VnhIg6l>c25uX1T?U4Ln(M)kZzsaMyy>-?22lj(cO%=ICz>;sjy;g+_`^#z-c@Rl zkeDx&_c9f%3PTp6wh>~#P0hbuCn;eC+yjuTnvdHC>3*^_u~a+6~He_SMz^}OCGUN>O=yk@F=e3 z2Ux{kL?RwUCpw#iFhqW)KXj6ccA%MD#9oF!mPSBcm=Xp1BiB zls1!$CWJf?Y=Z@camNM(F@1D2&VWfR8hw#Kw!4&U85I* zV-vfzy=&*tR9PFz!(Vx7_v{*E7c1?Ie-Pv=kuV$8mQt z!j!bg?n|HW;NIZl@S~7bcNRi@+(nk4i2 z#|u52881CyLu{Myz&+pybxs`-i^h97wGU0Wtg;W}oANev!r2u<2VxIX$WWF4jS3MA z-+9T7Fa&uq?AkiTD;c^P2rK74?7VEqpJpTXuHZFtHNY%Q`V0h*0B&{`t@c65Meh%Ty9kG)odebQ$XuFdtaDt2Mx%d}css=gmVxcEoKV2D zBfJ=ZWjWxtjA_jm=xdJ%Ajr#ipaa+eYa)!-XZbDWGC_@<44!0kEcPku*7^8X&sX4U38LTr1z~$ zjmkWzulb<1{GT(%R3#&wyt6^wE2DpYwc6Iv6BnRhUmHdjhIYRl#yaRQ0b#6OehZb) zd|l17ek8;v4VGZBbAcTV?HjRjZQ)isCnL$(!Y_~I0k5MJ>zgTH%o!l7$8Cz6YQP3W z1|f<3+d&YK?+d*zCsGo@P+~M&A^J2|AFWt)YWFiTt{J4UM(`ei-KvmhBwoWNIW&Jw zbNRj0q(HN0AKgAL0?oC+ntL{x5*8@9U-nxo63#k5%HF*{Z8s_DXv{IXeHD7VeG@9WTabA!pSLv_v15XSNGC{zJrR$ z!N?UB`pQp!AzeSmPjB>j*lC7V_j~h-p_1EQfDRgd+-=yBpNk`(o`11~?FFYeW$2y6 zGC?l;!pyjK5ME+Fm!^({jfEWKqe1Hg)V_F&4Vu)xG->D&>8J_VC}7j1#_%D1#v&Da zg5%wJVT)0h0oq#~(Fz2$KvW%npdM(wh_i~!$g7ZXv`(Wq2qoOJIGZ+jyN)YcG;|gPBd4KuJ+%;&iqua z8ea068GKE>k2AlB4-z-Gk*l>p#6@$XZ!9{w7x4Ry7{Df@l^Fr@-W!h0X#Tq1$C3y6 zcxmBXor1R{2l;8q;av@axB3V9mn>+Vje@uAJPXL`JNGh<3j!<%SrAAP>>r5*aw`4{ z%Z;dpr;SOt{JzaxzH_ePY|B)rzQa2uPDKMtImX+!zeohA72-#Ga7ak7eSwrv=NSm% zRh<;IU>KeSUWUIHSW=h&!sb3K($czK` zkH3idx6@#`Xv;y81JkCn6+= zgnTd80u&RqC7N;@!d2hy)Z~#Y)de$>h|^FYcq=k4G0lkfD&u_&f*vHhNK?-20#$PS znj&1du!Vaugi5oG5P=5s)jFDC<3$f%cD-R=B{J_FDP>M_`jT<7G553njY2%>i~UCQ z0{rWwfxRO;`Hn;<#2v8U=O8XyXvVKGZITGYcS0sgxv<_qqV850t~E(~=c?r_ee}AC zkn%9U@EvsfVj7@I2+<{%Z;q9gXVm{Ffhd{_C0yHSZDP*iRQYb}_){O5j;wy?1_>)R z;myLoMVQ@yDC4SSD?{B<{!0c`Nn+U!Mb>hF}9dE3Ak;bS?H{#@6BNL9fG0&2aGY>E|Gm%2WWN`9< zlIffZ?_T*G8_RVV+G`xvD!3}-7pMj2qFU(lpw#755BYqF96C>=X99OQ zJeu}qAei%y%AX&IR|=zP=!UfUnS;mho+_u_GPHtWY_UEZY<_d}UD(6ZgTC+@6i{^T zRjSZ+j3qdEu`^Aop4UKc(CJ5S*y3pK5xQJz_gxLWqlez`NpbrIJci6x-m{K>%WL>M ziM1W+A+p0PylIpxBr$dwyg$DAw}IQYZ<1xK(5_3B(eU0Lwf*FyYG^8M=AZ*{B+6## zqbNna%k9mqXPj}Twy*ta0dXgr<)o{sSRlEpDSq>$c0<=ktB@6Yu6>PK$S!*Q^U20} zB59oNOMdyauX1})xG76+6=1GZplIuauV(_a_y(b(O{}@%hh=!&Dh1}IO?EkHWEVE~ zFbUOa)lyjk2`aRJWW8>cGL=9UlSiCcyUqM!bj&}82sLB8m*o_`{@EXX=HdEQ%QE*9 z+@q-Lh(PJwkgZ|aoI9|K)UPhl(4u4?%oB7Xj{RrrOoWXXlOd75sbC(Kwm zEkw0bg~}lH_|NGgmk`m>T@5ibTw=)};YuSs<+~TJ#W~4`Q}4qmyhV$7L8!F^@tCQl zzaaYJ>G8cQb*nVnGra#iKVH79i%nR$z>ueBu5 z=|~PE)fZxJu`<)@!ZoK48(4Eoumg3)PO-rSqX1i@oIUmBc{WJ2Hg=99?xb(|R@+!) zw+7hcly?i zNUqYwx@nU6&&=N+dx#Llxy1OI4@f41Onv%>M#2h1+nFBj#&2subFVsH?NVtcJ8lE9 zGRz(Ng&$5SLsCS?;@7iYh8I0ypIxfWd)SFl)&p2*WO3mM@T z))#LExAyr4+(Jtp!gs@Mr&6f4C^L?{8xYa?1EA(oOs@`a{Kg^Ior1HKZU%`Sp?jJe zTBIFnB8D%Y)BOiL!*7Pj(TP_>9yX5Tb5H3zX^B4{Lgm|Vc>w~t9_9UPxi|wH=(@ar zHfZ=uKUCLtbH_Yx!y-bKZn*4(t8+2(M+qOauh(o6o2%#SMsbqOL3&of5?kCm*1D3Fu{yijeX} z1Y0(8Pa6403s<1zWcbihwsQ`8#B4dxP0Wcb>@31d>jkD=O|IJ(mb<9-XqHx zK(sGo%0`bc_vQe~5mi%O609wEpOG3tngo2!7knU4MxwVTxdOB4Cmprkc|lw8?L^| zb_AfDz;5DKgh6`)Zhdqkk{X$=3%O+wcYrZaL}%!`zw!3odzh$43uU)_-b}AbvQ{QO zR&2)@EF22lPn=RBr^4BEe5tg+TjP%SyxC=Zn;0_5R$%a7m?^m6k}q`5?tp0JhwGIKU@qNZ6HZo zoqzKWSYC;Vds_JvAS={H=QJ7&5cL*?t99NY^X4BTt zLgR5Elhn!5t*gg$xpPYfMmcd-Tz#+PM(29E6=Vm&N`~JZ5_ftRs7H3@tbz$-C~^DWs|Jif%EZ? zL07ef#QVlW!Ue!cRGS9VxvteDY|wtXmBC_D{tvn^F`bQ)>x(N<7lqs6yry0ou1VU; z)HD_5$BbnSb|;5c?Dyk5-9dS-Ze$qw-Bvnm%H>q4fMQN?g=jtNqd~xD*KQ5cHkNq< zv!C;fA_|M)6$b_mQKwg!_(TuYwkA_jZHF6nU#raGm&UBQMh3-s%&TmdCZyVE2JMPI z4*EvE>27BYks~o2)sQc1%=o*fej7WXC;H8@j>&(ZkQA$iXI>nig^6F(oS<*E#aliU_5k_X3M*D2+HhW~;rWa`b|gZo)Rl4%|uqhwuC zNC%5r;ge0~w8Y`H=gyrBrW`vi`^k$*C~><6?HW)_l||e7xi9pt|D-O~y|wnoUSFSj zH2^G?I<@U%CJc?9j2=VPtWSkZ%ylOg;ATcus!p`@48E+B9IDn8%eF}!n%uWZotez( z!FmC#pZlPZ>n-;43=}eK^H^ynxgPP7EC>skPL}9e3qv2du0q#BPlcJzqu%jlPW2+L zr}C3vn5yQu0q2LQhnm5{$7b;*25LT>b(23|7?KOO14>ZgQ->6?zv$@xym_Mxxea-V zl&O<&R1Q~97&WgW z82D^7CCgMYTc95Qz13}YmAlL~I}z5MS%-1Vmqb z4iD4?E}65L(yZAsbaY5Q)M4R3$>I?6ISN`9qbawTDR5)3 zQX>yB*K<)cfp-XMv#2C4v-KGZYNH`Tj1^ennw2r@>#f$NMmQC){D+i+Sk>hk2KS-0 z^158%^PLeICOJl$9W&YIWVQ&XVsEqAnBeX{%=azCewe##z=t3Rnem!@RKmwCeuj4^ ztWaBzF%Ei~!pAMU{9lp$;ZY*MwaOjrZ>IlG9>+%>-~V55essz9!*C^qIS`y;G)g=5 z%_?m=o(>q1T%N!in@mvH<+!(EqYl4$v1W9f)9D5um5hbkwRyv4)$l#12Wc5U z#az9h6X2WEhR!~sWZ~X9v(eTp%zYwm?WG;`bM*%~K#%JuG`n?;uTdX^JrI4A+do~C zLYA!3Z(19=YYheqr%|StlQIrt9{uC{W)WF!%Xy?1EZ-lKYXd%MvJE9_`=7nW-@`a9 zJq?d%-Uc;e|BLcScQN-?^`Q#)ukFCTh8eF|Q^~6s&%{hA1?M-*w3aac5dMoxzbq~{ zN`FH2u{#_%-4B}^0l|z~qmwNX4a=JiOAkLu-VG#b>))B8tJDvWv3@>}MSe09v-(-- zS1x!M~mCGTUB;`7c1PH_9dSb9{_h+t)91R@33QBil0IYY$op5&+z1dRvYTD` zr-(LbTfU$hhaG-0rRj!91xk(1YSvE>Po;Qz22@-{i(qzVeLzWbuy` z$dsRh>dY$3I#rgQq0LY*#?cQ3Q~@1MiwI-ep;iGmU9|y0-I!h)iwYv+aNrPTo31a9 zlori|&rECvl}y&w1asJEI6c3l)ov9eYyFvHPZf@>1y6QC>I(XSj>Mq$!sLD z40C_OY}EZ7d!1;>!(36NGX1vev)zuY{PEilf{vN@1NO(V4yC_}STtn%(J zR;|U0%VUiCnxsDR-p5sN`31q(Nay+8{PXK!s zgy|CBTdc$a`J>XvAD1uiedVG5RodR4lvnywK>d4HZ{*&S@qkUqaqpD!(ASrTt}F-@ zedkm_3k=l4OREYhjr?0VtvvMf^3XRFgbFX60cbfdomo(+@X{Lr*nr@41JJE+ABs*Ua?%fT|x2$y^CCQjSO}4yz=P5me6xrLeFgp-Dn9tuO;;1 z#nHV{oedR7i-tB_2%T(e7o(Z->haW*mQB3&v?Y;I@l>ppi>$W;&Q<#2(^j4AgIDT% zBLOoYxa4R*1SlV;!}VrkXmrj*S4T(TO~?_*U@u=dcVK9ubN1}+$g+4UR{q{7?}87LaJ-m9fEo z^P`TqwK&T%cy>X9h2TT{p{h}fvc6GU%y%gWiLqqNV*E7QWWLD*3l>%V4?Y&WJFn7f z(AYtNVCHB0nYjm`(G$&dMSL~83us3Lr{H3OIu3AlN%fLGJP_B{7&ku?p`utw$q~7) zDPe{xN+9F4#eE=@AKwz1Ka4NxL81Iaz}eWRV;fbFW63KfZ__JGspVN}<=eC4CuO;;P ztrh$N4ae(?MP8Pz6s)M1^Lq;rjPEX62qA;-`z%}tfxoOp{N-ivy^#U$1D;MUFd>Mk_yfh!k?P77 z8XbMMUSz6ds`>@Pl^Wfhc`=%Y{$NY!tBPCR8<~E!Mn~UDjPin1%5vfJ4;4oX@z(&2 zzwFdHroqlM)+6h{l) zAN58*Vy>+P`U_s@-En1ZL$7HG{l%8hUjo`^p&PSZT;F^dc5Z)4wKes-l~FHB|03Y==i$4}|EljB2;*M@C};TL`aokr?uNz|=y+Qh2~p`QdwSac zB(D5z_-B>_2FKapbG?e5g`QVJd2dwq z8%w|=bmbHN@M*?#qSpWD2frVl>oRa*JU<`dQSJ9hxG;YA5^!Ps-hjh?M~96m7q(ko z7AZ_qNeYj_1%u^{u8J_v#ewgOR%X)U1-wxZ>aUGkbx2hjM-`ypcdEn`v4`z4N`$YcS}AXfbMCP#oPGHP|BXH#*pnW>+ z&9MDwgBP~{!Atv15-qg<5or9m+ItM%8{z*_0xoRd90f0`JyKrT)*pVX@tlBv-wnrA zU*$%)PCZK_pikAaG`s&$Yz_WUYw-0Y;Jv`;@N>jP%PxBDFH69U zYQ&G@DBt3qXifQMe)~9C)xLQNxL9C42XOpl|DZ6hr)~)(^Jh)RKWj*##gc3*jm~~6 zX$mcLJg+76^MPi2!?UnLW-OVfd}j@JY=LCe*)FZY`T3iookbMwMXkYi2b{ji-#m&H zy*JXnhh2GcF&u-7j$XCHBl&@CF}TRw(`tLJa)F+zl{u#CLX2>!^;+_j`6-28u${}m zSLyO4Z=KzT^mHE5(^0Js*P8uvtDVyitaf#D`I#n!o&Z^no}6XMi3Op(;Md^{#Q8lq zrc|_qGl48=?^)%cs{uv3s4PF@l#^Gknpn03X={j?EjZ3bx}@0`07~7jT{>~{X{$~- zZIuukOU87I3HD-e@j`GZLBgLuv38#b)?L-5G^xj2taLu4#SV43nE=y`FD6oLMV z$zY*kgp^@wn51rnMNEI@LsBx_1UfQb=*~%wls{61a~FSJQmEU~X-NoI5i!$KYTZIp zrl%l#Cbe+TiK$IN+;;5hl#$Y+KKCP=LrU#NAz-MCmo`c@wYkb-O!0!QGO>F&{i6F>~IQ4DhnZAUe@wfyUFxQC1VlQoy> z>Z#JEM%+|`;XEH}4(|4m5}F!eQg)Oeq}oRb8E!~w)J{PzNjiwDp_s?!CkbxTQ!><} z@pAk~tsPR8y;oALx&VrSq4C1b+l#D%-GEy8N zLG>iC&-RBXgTNlj__rXGs0QUAc}wumxQ2+;?M89owr!}&RQK4yDKs@|rwW%E9a0=6 zoa|mqxP`h0*p#Ikg`gZX_|NFhYij&Rl|}vhrQPG{Mk!oMXsRqJj(;7(GpQ{9I$lrp z+keAqm?}0vQ~t15<%3vbC{JNbPc1j>kQPUncGQb|21?B@sadQ<;Zmz$_dKVx{NgXs zFz%^#cPz$Cy(q{dP8quii2I_b9o672OKQ?`Lp&>LZPFhjqWDUSiQ*R`m3!%?-y$KvvNo+?rKmRwBNKsd%bKeT*xi_%5Gu| zQrGIg7b8rS9JzM5o$NL}ds0 zuo)RDmNM)@&)XP6S3{^$*G@|}iqL8Bqp=j%-9FWD1ung|M!^^^L;4EL_3RKUQKO28 zf0u#=A8a^X&@R!mvjpuTOb^?SC;>NYnu?}Mx$d!45widK5*gPU= zYd~Y0Yzo@V8oN-?Zql@)1?@&nJ66zc(6l9jcCDrzCumn|+6jVog{G|#wD)S-NwxM$EsjQGgZRjIYvP7D;0J}m4h_xJp^KQ2jtFTVs=8Y zrI;BIb2JouznGY{D3lb_1u;CoPm7_&e5UUa42$;*+5wvHK|$LWG(OV)3qhL-8Z6!L zD?!^GG(K$bh@kBT+ONR(dqLX?w2MG{RM55qZ6&ae3EI}6%>eBQLE8#6y2H>sD~GLC zx?>B7;aK^k7Tvb7a%Dmhf3CI@6m5?BKvCK^DE}&qtF&tdB(_-w^RALm{E@AKQp@^Mzr(*VUj3ShQ9XEZM z$kLc`0|f~>p&_sZ#vtnIlw*Prqfscd63{w{8s@*9GNtupIvOp;1F#MQJOA0-VR7pt5c$x^R z@okERJx%`DWYwyXr`;8`QG`Aa_x-2F^uHw4Zj{G;Qe{assnpH1)k(vi zng%neCEg03N&ZN+!e>(9+EJENuXGDd-JncCHWjaPr(ly@T%|mgB4oReQ$2&)nUM4T zvsjmskP`Y76O5&YQm@r8!*L_8z$Hg&X`S-NMf8D$q<-*{dKeH_;c-Z6&A(rgqkzXu zS!$Uw49Dk_S0|~$O+LsMuc!jmpnQocXa^)!FYORIDZ^2>sVV8-yc*QDv>fR+N(*X- zlihntsY{;Jm|UW8sSAm4+r@t{NZFARnlh{%9!m*L5!9qntoBo7NeN96Qe{cWNY$L% zuvAA*&9|wgWXg_LXU?XkZtF>ogOY?)Y2Bu$ASpu1(iF!ti7@Q+X{xlmQ5svIrD{I~ zNwqQilUuSke9dT4b&8WuVN*Y*Hq>G`hLX##ki#^ob`U0icoVOEcYjF@7wxEq5;9V) zkY7_*P0F;O&+_pd*$UlS>!yY zbI#70?xQ7flAAj_=ey^9zk62~MPif?;+=Q5Ho_#94i{o7%!z2kGc8v$4No&%X~z^* z7K@`Gsc!q=auLipCcr?Xq3F`F05VLx0iWLd@b=z`K4hE^aiogchKmOH&~yIs5tvBF zWFO#La}_%--4UV&KF?dT{Vc++4ijQ*4y;5hg5Nt{f4_fjaYjvrFON!FYmrgk`NqX{ zi<(9T;5`6BzlQ)X0r02*+;?wqJ%C3C;O2XSmjQT80Os@!;l_%(hNiIr7~;E^&&xsc zI8h3qryH(qgo(hDV8+7uS$90uOpYg&e{Z;1iM7EMII>U(njoM7#53zpY=MbXt?)r{ zX?W5|>0T_U#2d4gg~RxTWOds+I@>pOJh8E=y`!sXA{n@`<~59ybd~wK6en(gHN#aj zL)xX(fyEQqVHccGF;> zRl~G(*dC6n7@!zeO(pDKiQhMKjSF=muAFfCrQeEo`#LLqox0Q`($3X7O*{_Lb8~7Y zjt8}AHg*Z5q`Sr&3uA)rt>+LRM z^lVr`&79XAi1s38G(A@|1B4%3HXjCguw_I0w#F=9>ayOO=82Pl+j{lAZZ^gFQ4^Xq zpS1RM+&G7t5y4~tovFELFR4Xr#ZG&cqS*_`>s>F`TtYCGtP}=%9hKPkUdqtiYNFmx zKkhli_Lwp=8W)n1lgeU75SV9})?W|FCcQUB>hF&EbJX z6xNRGcS{(?q?H3BGG8qA0;sxt;m)5RTbMB3LdcZ^<{dR5b!7=<|4``6VbqMVFrp2T-cM24qN%b$?1XP${d(mLOeAMTL54F4 zTS*D4x^feHLE!E~pzg%Iz+wg%rqI*BJlFLt0JshabY-iXk6u;Kxb?7V@W#z}JY>5z z2A2&iCmLol%DYW1h0DgnL=Ht;yM`kWV?t&}FEyYKSBq)VZX(~{Gd+mI?o-s5*P_^( z5&^!UQv~l5t4ZHyM;f1Ja^!t=WnZmntPI)!#$Z!m*(^=lrCrLzF7ofBq`=8mVH6^jRLG zlLc(cWRcG|p%^8iq-57^*2kx+hMNtxUd)UaBrEK@U&y!vY&2x95_GKWfAUh4O>&zL zEGD}e*~L0Ca0I+O;-vL8#4jga+H(ujjLGCn5#0mf!k@yMo3pmS^TF?)*hjo*ppSCz op2>ow;ys9}l=&kP(y5NuR-f(!0o8{4&QfehCj9C74*&rF|2-N;tpET3 diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index f8b13a1b42385ab02020b71744043348c3bea0dc..f7dfdd7601038a39e41dc865f5c25d4dcf1c69b9 100644 GIT binary patch delta 2531 zcmV<92^{ve7o8W7M}H|Q00000000jP00000006530000000000005l}00000004La z?AQrV6jvSw@Hd<)n2-dG;x(cvbtQ=!V^lQVQPv~D13UsojUcEX&JY+-vj~QOM|M;^ zQ4~B9F$!XWh#oUA%WUdrt?9b8$5zJ=+xh2>N91i0nzzwkedTUe+~2TkViwl1pEk|Cmy^U>JuPWL%ssM61)l=16~c@1NU18c@5;T zkZ%NU0)NMWe}VbpA>R-AHpsVwcSC(GI1%c1fxicr!T2P|Qz5Sde-Ca4pO;jaZye^&V_m{_yjl+#^*tP8hjt>_kqtteFfwx z;LA|I6Y?U+uY$jW`Wuk{1g?boz2Fk4{}%F6$bWwZS3!L;xD4udKz<+c3UD>lCxL6B zemms#kUs?Lz}sMaBjj5lH)H=vlN{z?wIpMg7LaGd_opS~R$v#XZvlBra4WD4*cNOD zPJ{K^L*5457TgZ(0PXfW5&!;920= z;5lG_Z~*vQ*bfEdN^l_f3$O|t0uBYw2Y)XBF9iPs90m>tM}QZDBf+gY#JxIm%>Nx;_KrlPV4JqEPL18ceWUcg~}lL2(Mt3{7J{~0OicN^3jUG5Py|? zyq_Xi@&Mz-Ww7-(Qfl~rE^GD1Yq9&82ODmx`EOb_{zdM`7GYX4Ypuoek#UWt_Oa2d zWruWKO+8J|$FA9Y&9(S^I39C0pY(n#m#&B9FKW|s{Z^|pKF9BAO?%DO!4CHI#&Od7 zG>CJXKGUYw^gA4DE!ZS4aIpPjdw;@?-_x>s>HU~Z>tL;=c(OIJ`B=*i>GQC$(%e*M zYFWKBXKRw$RQFpgtCc>76^v)|OV`6J-Cs8Ei3DW6-7of8lK z&DQ(8dg=Yxe9yCVzgVs5Gs#+(HTV6&*3(=)%cb{cYnSd98!OG({z%s&mVY;WFs~Y0 zL)b&CVz2V1>f#S%M83tKtt$^m6da9r%my0DE6Gy{@1CGBj)z`{uLvEqI@`hMy-r#6%SziiVuaUn1C*85R>DE19O)Pshxw&}NMmDcbl z>ayY844o_|Z4Tdfb|?Ea^3QnOY)s-D%_a)?Y&PyST-{z~SROf){x;WE)t_9i?nD|(57Vl9!-!{hbK*YFjuhR! zsL#)}An_6Xsf%TAGw<9$ayjz2<|4m@bL-hif7%cxOsV(c6I&!`a2?*aA9D%q%%57H z`qiGisG=E3un1SC$ahT^L+8f!Eq6 zKN-XBGfY*>d8ek+>QCkp{}p$i%2-}OTVaWn*ZdNJGp_;Qv`E9hO-tp>ffWA zOkHFvDEbcN)|@>mqzvjfV(fC=!<;}fSwy;$K3bGU+8+_WnOY?!^u#-ywWpHakB=cm4P}~&9s{|x z&OOQBs=JUB`C<}&&z2;A@PIn^YEAaN{(!FVZhuWCN7vKo9^rIigPAOFk&Kunt+0H1 zSAec+iHuxNt<#qujUlBw3TTf|3lcddpBB7cOC$G|P@6upNx4o=sqjRig;{xy@@%Ngw<9zmn6&a;M%~k*h_!7Jt-az( z+E;c>qWxMHQ}x{tAxiDcv-h6(_N0W!Pwu0!9&Ln-eLX@G6WnF)KJ{;HC_BA|@bnxd tvLU1$2@5{15$nmi#CHs@RCY}QJTDktsiscW_oUPGMrM8g0kb3uk^vJw^49-T!T z=@~$MLWl|9g~rD1blr@%5}m;2_4zL4I~z00SeR#47@La59Ytd!(YU>6Y%Cgg(2Z?* zE8}|~zW3$31K(wQXY3>-#gB#dCCr%sn*#3y-VMA5crS1|@IK%S;QhcV-~+%Kp)j4z z1HKGg4O{_S4u5;M2f`z$bz8fqkKVKj6i{0l-S&CBWXm4#3&KJ0QO+ zz}J9p0Dli$3w#s!HgF{HXTa-#V}WCU{{j3da5Qj#IFIeXX~4UHQ-Hq$P6nO~+!44F zuo-Y?;N^PH7Gw^37hnruOW+j{&kFRez}CRwu>K(ED}O=H1U(CQ75J;4Eth-?TmxJQ zd@Oj`e;8NgX;C}(10xkeP0lX0EUj*zAtN;!K4g&T89sv9fa4~QR@D5-@U<2T_ zP_Gp9&*AmH2mT4*-w1j=a00}$gZ1r!e}eT>Ku-kz0^r;Tg0NxJkjUm1Y{8PbS z0{$I zfq4Bu|0n2&A>K*Q3xFSj|7p-OKraHl7`O!ZKCC|rdMoIMfG>i7Iq)UmeXza?^lIQo z;GYeA1^m-NzYcl>=#PO90@uO%o4|X){|@MPfq&b;KMS}4{P%#~1o{JD3jUeEt>C{K z^fu6&K!5s!k8b_|==$u3BvIQX8ndAx=G0nZ2a0QLm-0$u>@ z13VM*nGWm+{)>PY1J8x^Rq(tY2R;UT6gU?+8F)MJm%wL$qaeR+pl=0E0^S0g2%G@C z8F(Pe+nE6 zYy|P*Kwl3W54-_*Bk(5Rp0Hmc=y-a#@qrI$yDpHd+krBnom1bO%= zLV`bendl&M4h>Pt#`!BfJ^W=;{CpL>nG~c9P_i%%G7rT9*=X+tetcN(1vH1c%6;PK@$bdM%c@Dgr}8WRv_9!J+~t@oBL9A5*IR9wJ!bJH_*Co6eVC z_T0w83qJpgW$T4Jm=$6?cQf6jP!h9-d~em|DA-3jW|lP}CbL3gq1gDBEY^qXQD^bQ z`!QXd57U1w3w6E7KGfCmDpojamVXCp?0LX-;(c29*upa}Sn+eBuZ{BR|FH98XTsX6 zSmrO@kFk&kvj%);IkI@nvL>#FtrhEnpJ184SZ6tjS@3(2WxnD%*dG|g>sfqpK8(fZ z%hrl@_H2mjWBZDk`HRnkJ!`0one8WLjK^3U?^Re_FMIvP^|19XW0s3pXMbbinPis5 ze%bqj)$=lcR*U#NS$uK6y!_fe%BLl<#!W5*KC~q8azuA`8*E(A9=X* z>wncvn8k0#iYIpcM>6~5+xYeJ;|yFk7;7h)PL2dLJ%5sY-TX7RtbZe$Id_*u8gY$f z*A~fVq?pml@W^N8gZ0z7xYp^<%&8mOIA;^d(>agky4N1DL1>$!NxK<)h85;0{Y$%&Sc z_reR?Of$1d{W1wzn1A$d8rwCC9y04BiC>kj4jsFan_1MJ6#T6(xlyDbF?H9}rU_Y` zXTdyLk$IJresqFv%xgyi&!>^}^0(;JSdLsgHkcHi$)#3vRAjZC4e8|Bi5wiXkQV9< zAVbV*)z>SHBZy6TY?9{MB_kx4F;z#A!o+t(LiZg#6T+TQq8WF4dpgLo+UKHJ9tW>ghUiW0?HR z-C9~%okI0Sl+!s@KAIulo#)mR*V6IsV>J7W_i)pjW@y%ZZ7o;1{6quz|8mxlqOYnJl8K&sc{?M!rf_z zq^I*&YuC-$>de`sE+Mh`ZW^0WM)I<)VYF+n2(1=%O(I`m*QVY$(21K}Sw+m7>(qpA z(@Gn;jCV~m)m@ypNP{|(`a`vL{=4Kx&UU_u=2BrYr++G;G<@-VZ5+17aa$c1W$sOv zsZJ3qg9FsUXgSI0=}YT(RnvyV9Gd-^zGTzXHhS{r6`ELhnL5txOp-&l(rxEvY4nO+ zJeMnx18d}C%6MtJ{LaQoF3A>TRIc-sbgrgr7cl+@YS^I948N4DIxPR;ta}m`#-E-DYA zboPbFJHyWvD5FYugl(?hVp8Nut`pLHoWvV$A^BD()a;%t$?T@RQnh#HVE6B}SE?|n hJyl^+xRKf9e*gdg|NnRa5@29tn8ConAhQ|@g8>A)1zrFE diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 10d7877c8afb2916a502a0017dc46382f405ac50..711114e4fe541a19cc79590b062eeabff806a5e4 100644 GIT binary patch delta 15018 zcmYkjV{j%+(*_#bwrv|5n;YBS*t%mo8{4+Mv2EP3ZR0%e`Oc3sRny(qRQLRv>Z<9h z``A6%JwXa!>jVn&zop3g|0)n%@c+~Qlj!{aXM2KP`hB>Nk0%mY%e8W*UM<%Wos6!R zY_48fkCTEXiP>w#4F>EYXzZGTI!clcLjobPvY&%MmO(61;X>`gzq)=fe~jbWyLJeS zKUQ`$M1EH87V1G@7n_d1ITwTMBlFrOibPJdCo7NWH!)W z<(n!`w}tpGec@|$bbYlbG#?C1-|XUFxZmH1-)hG<V|?0fKoX6DqUH1{;&F)2_O#UDuUWpz_7G5xnvwB>4-Dwzm^heIXZBgl*7Ghd<&)~5Napj)koHdnlyH5X|(ZXsd%M-By(Fme^_ot!Hy0U$TP&jr|qNHjzY_4#@u z=7@k=X*(AHvc70gt%=)ciXLm7MJhozB3Gy~kt*Q`k&A;#XegIP@Oz_}8hF?pXjbTd zWO4qpVUgZnJbXltT}}?#6~XQ}Aa6VZkRNMMC@FGu5(NEe4Hm=6^~B<4tNA~>>V1Iu zkNx?g#ptGg4X4iHds_Bxv&5lBsphf`DBU^kFR?ZNgqhjkS2oRal#W-WH)8af^}e>B zLQd%VJ@A~zE8$gAD|Dz#<2t1ZFFb^qf!yz;hn@X>fXHRv?B@~4OwlzfWHm#*7)K+@ z^EiJ|M;IZ{?NwM#Ot;<{^2Uu{ZgbC?#p-2w(ftMI%h(i+=_je(m-vUb|8L&|vY1&$ z@*x&L8qEEHXmU(gv^X_imcRY9z#}-2XCt+U^%ZD`SZD9quv|1=Rn@kWzGkROwqtW7 zxwMzp&bQQQsg3f|+x{w4{j`INeswC)t}G|#gp*D1RL#b>P9n~{4&aRdW6Za^7lxHA`ew;t7Ab67+((zks`z_uXD#&deXAUuyas3SzB-|3x)>> zwt_TI!sI#U4clUjS8kF40*oqF;b&P&A%#FOC<54y7-sMIV(kg(2n%qKgLtgkTDYn1q(RAEv_EGeVroEO z6PQ07QT}~37722>J%*W{6}ogas^L{La}~*ind!mG4&9KmG&-dS)l`1aif4YD$dLfliSbA? zGmE~fw75ji_e9rY<_zdYAp};yZ2%S9t218@;W(t{Ue==(o1xv!-oEUKgLiDx6oeVN z`~Ib0b9r*4acEE=+9{Hbsg`-qRFY@wB9TE;@xV5*>GF+ z1g$R@y>vJD{7S!UD*uCDkR%KM7q6Av3e0r?WzhK}`c`&!Wg>zU!;>D1@N_8R zj`r_wkLi61GNANx8{-91*^xIYlPl!~)UnAD=j0Wve&EKZ7XkxiE7<^|9T{&k$Wd7s zpFa9nr`}{2OaCLgW&g2CiJTHY`9$Ypu@M5wR}NMK|GNk|MDKWc>hE&5c_A;et zIe)F*2)-Yj+g2PVO6d_MM0qY#XFola=%MH%g%4_kzj-7XSHQMu1imXG`Qv!{g!Xs7 zm~?(bj|1khNgoF7kaMc1>M|8Zl4|N#wWgKDK2g+2ZT7=J{HjG4w$h#<=oLy}di_eA zNwN_%HlQwHzPk$5m*<8XEu+{D>0u=MmkU_QJs8$qZr(oPdrZ^U?jRpmjsETb6e?W1fVB^(@^ol+YdSSHt>#x`}LZL&UirM|0eg4dluaK)QH2(ev zr^Zti;JzVSyzW)qNe56kDoCb#l#Mwy%O>2G-55H)1$De=Bk%~~gD;s2h8!RTqW{VI zZR+0k#y$J!em35kvFNI}*pWWdvQ$HR-XWSn|M&kB9fX3n*QdXwdtP96wv$gOqNv}W zdH!@r3qSvnji0j3^h9TK9EOA88sUQ?j=!xJg(ncvZW zOMz4z9HY8;!=$D+4Q3M_U+!WYau$OQJrHeXJcNX@A*sZ6@$BOtE6ovgttRYxOEnBq z+-QP-Vv;-vvDqUTSv@GufnFU6raFCdl3mT;=9T+t0md3OV7m_`ws zDUP>*utBR0boxh{yf+?7ZbrpbX?8HbTyoW`e}nzH8MRTu$kgp(e@D#(D^)Jh z#>s^pt)zsBZiOhOiO0Rj&I^8yNMgJY)jbEqWL=1_9I|E}onkXz_-94Zg}fk~oz^93 zNWkuAe=q473O$K1?0`BI0hQ?mRYu4vnx7;9W~K^P^Wjf|^AMLX@1L^e}%=5Uv%DP(VqCY1&8NkX_MDGP)%HDsO#c#0YQ zJffcrc_?u9=T1Yf1w|$3v$QH1m}~8HU9CIEDyr?jRL)G@MkcmT2hS{N?VHH9H<_sc zZEyZDYo$W|z%3^nosx>cAFQ7r<}j-$92$bFbFz|sbgy23ABo9UKLhD*D zPLI=nA$T}!jJ`q0u_9HW7e0#6e+V=Hs|2@ZuUeQ~%Qkz_11s2}h+9!QH0KOh?XlB+ z_7A-F5dUDykJ+WRs&pr-Ch5<|Ne0YQ@Z&X?CRU0>_Y!-xTka_Bw}<8*FkPO?WW*`; zM+bZ@ik`td#<6F+*`ZHxS+IbY1`I8OtL;d|CQMK`h%<-${a#D0tIGF&ZI8A9^_tAf zSNj=9&YSM>a_4$oEnVx{+Umcn3Jgw7*o)UD(Z4oTV+nT@A*8Yb3q{zICf)kfY3$3T z;4-ShZES!0RaeK#f|CxuW$tikg2eq0(UBwv0TKd`VS{s}^jTk7R$~NC8?f2+C34B6 zlKQiaZIc$J8c)hHVVs3$ZlgZ{=OJlOzJ-o6!Y`7$1y(f}#F$+3?V*L{X_$Cko-`_5 z*#hU#J#}s|z3G~I40dE?c78p~6d7BXb_1oJGm)Dd{M;T4g@A}UF$OU-1J9* zqmt&YPpi6xYIXeSqOag%m4hRLhxPgBwAl0LG^P0S6yQQ2sD(q9gZ2-=2O%%FlsGjt zY|t)GwWjrFzx=Mcb5YztNkzKEzgf3JxaL|=kS^uoBX(rI$)?1hGVN}WC8It=_KLf| z#QfjQ1K~?|X5A2Z9gllp$%eholt{%VJ=X8__4PV#1rv)W1ZqM{maInQ~e4#pgxJ$hUEi9_X;F+paxZ z2isAGp%>sKUkAJef4R)3#izsR2i?Ya6<} zTr*G#rGq1ZfLo`ORehaeBL&@}+R>=Hz9_E-tobfos=mI-$ZiB&$>=l)dPO?Jx5a9e zP_m~Q2OSK_I>*_`p0kYEoKQqGSdpD)HToMpD4LpSuuVNKe^Hn87!nFHr&WDWeU-gx z>!>0(Oo6rK>D{t9w&QzRvMdc~mm4-0w(uz&(+oBbYAU397$z)>+99%-waAJnZtRw8 z(+Y1^`MYVco>~D?<#GN#dZRT5E7CX1imZr+Sx1n+6x|DHolOq*u;JrmbWN(kbXohL zW!S4}ooE8_Gl*%e)IZA^%mmzyulMRmvtlj2>gB_v5QxBddpL7=RFjK8?L4ooUg}q> ziI(!K($5CK)QybS$;PnPKx4Qek7;Rih@n52$42_Xas>ebJmozC-o1vlsks_)`Fi=p z$hIZNy^I0vSZUF-8Aqq`l(0O3j>nkbY3_ojnt%nU#9h z9B7=gBe+61UVK|T(-l6~vPBy__YHb(&zBfl_ZY^epvr;y4_mdGd795L>i@d$2xtFT z%g8Y3FtYGNxAO{dy2>Q)(K~rD`NQTK^;mLUFXRG7DR0-tFI;hATY_0$bp+c6%k^J@ zK*B5H{UTPeN$Bt>?5oOfmJzQj%6nY7CG091@xAykbdgy}BqwYskoIIAbDqrch+8Ocj9Tzf-1l!T@m<_m0yE$aL=FmMUj$!OYvmMi9W{_! zFvdN7asW)i*KOF1_%OnOi#v|X4%kHCtP6NMt{?wnE|{cgUj_2#!c^QlqeDVV$x`3A zxzCwd>f>;7p4=7aR0Hcig)~lUl$FXUEZx{y!^#6mf?7&saGNmv^5_@+?iMJ=ImN%i z^5S$3D(`4@>f#cf7ies0K^bz{itme_gFI6)+~uIhO=5(Go$=h5#V{6Ii{F2KiVi@p zDsl1}MhjqJy1|fqEcU`pwy~&%eo>-I;avYM;%Dcb)7)o*h>;7SvHnbIzKfx4@U~X` z&Fk;kldz(7+$ej9#%$b9Jd(d4GS_CRYcUSy`RBl3?F7#u8S==$vcC&Q{x8wUTSsb~ z5AYd8D1k%jd_u=HW|Ej$fz8Iy2O6+vi!Qd^iSVat;UBfLS}CeP;rY5d;vgG|Lx8pI zp+WHBNd{W_QHLC&Jk})-N5S+3CQjZ64{clnQ8&k^qr;sIIgj2GWPT2l{Tzn}UwXE7 z!*Yv>PK-jQ=B=&Ss4Hlf=G^; zu!fLpexK#`4|(ypb%2i93PJ=Qp^(PQp+jXTaz{Kl445*0a@t%j!m-%|KeS*bO;0K87l*+}&&JTwMh}O&OwHTS zU#@^rMfpSrXA5tt#Q2<*0Wi*bcZPl;CXa@_8@bJ!DhtnAC20JiR%VQWq)M-x?TuCR z1>6268T$~R4rL6?WsZyFcR;)3u?BUOj}Hgm!_0xSCz>vi>R8n z)^xFKof~uIWFMNqpU6k0Zw2_qsFSD&2Pq_3Y{CHZC3LB4e~fD`Uqw#w#rS*mCV zAd|c6$lcQ9FcxqG?}pQh<<^Mwn!VQj*x+Z9(7BmY2wecLeSCv?`v3$GwVQ1A%9`sp zi6x?xg~`&8kQ7D7m;$itj?n}pm)%Gyxw^Pn&%&ihZDw9IsJD6EAMH$8k;C8btkT?S7@DnVUOQ2z24fmP- zOpT>Jn9Sj4-Qvun>{Q*77xjQPdqNh1enu^#}*lGJf!C3cO855eF{DZZN{R1lIx zZ$|F0(~Y&lB=*e_;J)+WPy=HKQ+E0RGX>Z3*Af)wMp$xw&ULh-XtqH!+njy zh_$``Sh{LN3q57s=HihaX(`gasw9Kleq5$Vha3?4){5=fmkq z56v-$ytu8AegX8sFB-z}0olOG_+!x2)r?cp4;H)+}f1=GQG>3EeNIU_$^@c zwVHR4N(PUyNx{{ZNy2ygY=p6>G~Xp3QMRrN)weP-NE&l6nuIEm`dnR5$o;nzmd?fa zO?q2a%cxk_T!$=Fq*V5E@l!ytg+1OoNZ!rJ=Cop(LBkTG!7l`&B;|_bBeG2*WR+VG zpUQSK75Dm?&>4?&=eC&S%vD_oX-I(4GKCcivlFf|e^7YQXiA`5FudpbzrW|k9nV#R zl0ZH%fxUN{>4EG4J9pfCWcZKsJ@=zGl@5)Y!;<3G`q0V0%743C895{yW{mri(sF+v zaQV5_MM08$_+a`da`f)r-4?&W(DKI4KnalJiEi5V4A3ZuF`arT5wQi^w}Apk9qE5* zL%nCSND5d^LlfRd-7d|y(mrk7Em1o?W>#J^TqE&OQ(Z*H{zLk}12;(K_Zf42va`~d zEluua(Cf5X@IV`_C0vQ_{+lPwR8hiQ;R~x6VEmv*tvh$HWcGulfmNo-t2>`&Gr8&` zAB~Dz%{ztN7nM+9{w|(kyG{V;AO6MYB z3-N%xQFSh=OE3n%+LY57ib+=$snYK}fzee8^muvGIze#jrM7O0bkYw%Jn9b-^>;qs zsO#$1)3G#Cj@nHLpK2NW56b58edd@?fw9-GBgt4tJZBO~%kI?N?AFwfQb1AEo{{bq zfdC`zU#-1yHA&nns%vMiY86|U-SOV0GRMW3?F~fP*e^#lEvIPhks;@#g~`C6Z-NG? zDqUTPkh?>1zkpLoM>q)pZK#&m2i+<;0rY8#!#nF2GLj#2FznKzJwjS;x*;X2)bujD zysJpyI<8JR_LYf^v7)krSj&-*v=1`@j|QtaJL1a9px{O`AG8f|np@XqPko09-Kypm zLf6ko!5;(v9D7S^yf-O*z4_e1Pj4NRWsDe=c)){46N)XfWnr<@w5S>8roS>jE#PwO~q5! zEj~c2W9U)-fo*JQ&5u;SLXiXbtDa;G3E^5Pe}Z(A;*t&k2aDhF`Ea=8cBS$TD6byp z{~OAn8CLO89ZG63YYaE(5*jgRmGONZ@8q<>gVWYZhHhesnF%+<>2c z!j$qRl+6r~6QgFS^&C*Ujg(7u8zpV?%(hrWynaBB7)tTZW`}{%W|F`{xE=J6dEIwk zHFp(2P$3~KKfVjqddtsvWy->-)~l(06B<@yyM6jnTIYhtCN;!k)Jm?7`U%re)U%Xf z5QyeWD9l-sE_*<5t;&S7fDeNj9?q5ge4(|}c(Vk6MT~JX9=pp&vvu}42;`fQIW+0k zPwx8T9Iv)LiPhK)|3*S`eT$yPE!Tkm-?y?5pI}XkV)#H8`db%uXK@SPVIaDfZRBG5 z{%c^;<8&&~dX5US-X8hq;LhMNLH7f>UNY?8#U?5JpC)e;0KgHM|IE~EfVgQ zv*-sf!bwPLengOueA4%oRPkAmrd)G-i3`*|k5%@Pj+4^(6(&5?rn-HuKdG_))}-Gc z5id`_!z&Zuckf+0cZa#Qh=49f9v10u9=@}1wCftEhCeBY_arr{@-sFuquib2Kp54= z@T)md$>dR$aZTy1pCWLYhd8PM>mQYLx}q;&LMe*^g)$=lAJgw3-PYW3cb*#h{u)2x zoPVWXpp^&jybyPeUNcMZ0u}61SAwNbW#PAU7lOcj$*5|Dtoro6?Zy2cC%983@l%PC zjtjvno3^E_%&@Tyi)=Q-o3onVn7)5i=@Fgm_U3CmzMgU~O>SA_CPBINZe(2iMroeNG|R(uxD|0x36&WLRvow>dac<^mmP`c|&`o|Jd3{ELD1n_k$$@y^a(00&MvosS*<1hM zQVg;P0p&h8gV;lX0s3G+^|Pj$M1nq#TQiY!a`hT|{-3OJTUrK-ee{!AOLLw8Y0Vzt zTdaFB>#ex~T@3l;QAXxtl(6LObix4J<|fybYa-KoZy0~zIdqcoq4S}_Ca~U23WJ$i zhhzANbCbzejuCGG-_%ut93dVZ(IC{ughrt+?57q#&rwr6eWaHl>Wfs!*`(k87fXI| z&(7C6YTDZ;sJ|XJoWXPNya@}S+tf%~tcz{{=}u`1x-ga#!Mi6Rl5}Id62{FlaRjMu z*EBrNsTFtBDd?gaTt1Fj0ngcn-0}|UEWMV_@}iT`TNDPT8GZ+sVILVexYe9elf^r9 zXBvleomVVfQFGLmd?U0kyxhzh+FH07A(3Yw1qHdxyvyMxwNUZhT7d*WSKWpPPMRD7q+9I%(Q%p2Q_OMYQipF0#QrnMMF1UsP2H+TnJO&H?;I=+ley@zEyHwTR{m`RW{5v03ZK8^yD2f{pR5`N<$ zR;jW5A(dvNGp|t8DoCx}#9AHlUslaq!+vNWmSp6qBn0T&nI1LZ7`$vKoBx$V zp5TGS*r9V93Io@HB0h76Cv!%C*&Df}b6GNH4?BWN17Co-7OgdJQmCkT-d$VH!g#M} zA!FZ95`-zP3=%QX!?~3+WUC4HR9F zH7+v6)k_D5IzBy3V87~#WJwqbk;PS9&x9*%A)IIeKq43coTZKasgK*1Ag-5h*{_am z*cW?r=y#a0$UJioNW~u&AQ$ z4*7%&fX;C-;%XCZPwuo?A@J_WrZXKVzB2{?MQ)}_WOPS+?2PC4Ng?;>AI9wcP*szt z`*he^{Ry!n^-(9|y-g8AED+MNz9!*p@s{#kuGI3}Co~MU4*!tIhs<

r#^#szHqh z9i&i4BCcsMn>bjp9Q%;eZ+I+ISRChsRI8y0SQ?H4E%rCSgJTj+dZuRWV>M$4W+GuD*uomm@Et>y*+ zylqt-KAE)8qUG;SS7zHD?rj}R&8NFZ{t}lWcHIrj7x%`IUoUn#!2A}22~_rKn|e#4 zO3wP`!ZmFBY~8sR-8ADw$ZqSU|Aw7Ahb=J~H4&{0&5u?;4CaKk~HN*p2Mx%;jx@%fZZlSWqNt2xud#I zSl63PwT^;0?cmo>)CN45ZhfkF9s6AQ8JBdusA7v{_8#yde{X$myyBJ!jyCeYx{=uI z;^hK9i`FPq_h1HjsNMeA?9%32*>A8iSNZraGbU4&BJRSDvy3>CuX?f9#`SVc4?D+#s_2rx3J5mJ~_8fc1N2h0& zzPI;ywGiTC&rv~n%EOtm_FZrUEHFIMq>mqD>$=&UkL=KHj-0GXKAya}^@)v8t5_Uw zu{{8NW(8g}L>{jS--C8;Vtpo;`o2>P0R_+0E5cG&@g^76`<7Gho!>rP>V4k>wRu`U zJ|ypMN;mLa*doUF5o_5amf*c&>=`+oi#~@lh@@|YnT7YQ9iiaT&QJURHLvNnr>iuf zljuyvLtl833qbPiW`b`u;{CZHMwI@NAx9_3SmGysi*O`&Q=HPv8)8Skm436I&`q=! z{@*LVqE01yUr`G5bp!3{2~I4>DQCn5rNDhgdtYE*(~}u z$~BQ1E10RWRkARtO45Ux?i-)vZ5T<+ZtcgaKDRIbNY0l$7wQvMPP?+`e<_?gBFVBy zy2w<`voTc4a;kHV7#(m%CQT9a62`O;rj3n>AwsI(x?zTfic$Z+qY!Oud9t84X9|SQ4{2=c z{%Uw#b25F1OTXf14x=qt4~*nUS5%OfC??l`cUql~OM>1OLn3Wkj<4N%BS$&2T~|U# z_app7M9weW2J3gG5^aw{AW;Gl=s$vLJlk}F5y_I^0OZ*LWTFiQIg{C}5{|{XGgCY# z*yrXmZTvPuR1twKF|Ppr)9JG0CL8(_KlR@%W za_fit0kjLjfH_kJByVnBG}p{Qa7cZbS_RUQRK1NnjrW=h>Q}rHsl+4uRhiie%ESra z^KN%CVEcWH%y1t$zL`!?j*Xmm&@Xm)!uqVrSYlws=>bi;c7DzhTQN8(KQ2KXznO*? zl9&9ixQ8jeJV%AZ__`3gsEn58AO!h=M-nBTtER~|9q+n57{9`%;~_masDv{Nzt-HO z19#a!%m3<}YOvmKG#y9L{{^kF2N?;;+AHhHefrbP9O|he)#+(T7ZMKOq|msOnKW;Mng;IQAEe#@ zP-2;;ts>bn1+1v&;t}yBNKT2P+B3hbI8;RoU^Fu&q81Wn2+&FnXx34@!N2$m#;Yag zUlBb{@G1^J*EWk5A&MEqY>OGZLV`%~o?M$Q85_Cb|H{$n8Q8gI!7ACF$>#NeRDj3a4o1Zr@Riru{m~D8Bq%l~GJw|7L znvMG#lSgdZqsvhI*S7xbLMuta{Ps%^OOIa+duu=o+6y`&i0yauFkxA%ZT##S_}3UY zVx(n`kFeE&;~@qzPPm(x8F@HVHL0BZetTgSJeonDjiai%`EEoW=D5!9%@MS1Ep~y{i@X zTnyNMhS#vy8O)x;a6Ka1;%o??Ju z(c0xr8*b9{#FA9Eb!5pWAVA}U(UqHgCy9Z6zGYp3FnlPIgG-ckygQ{fx&@)+u~b2| zd#g2!)4A`t)D~W@{)9KbHxFOa`v{fL7G6?6oAZr6ZEr{HRD8B6rj`!4QzV8Hub4_E z7uq388dzXPdLglUc$8lL&-Y4EH4r1D7%DRowb9}%*(5PcI%+i{(|{kW?o;BI2U-)%%6rw0J)Ak|<0nL0o)`f|I{{>zyO^z!4xTJ`}~( zJ5x#s?8J&)y-i=(rvzNZQMeVP|B8Z3OK6EVAEY33eK1a1`~DKN~dze|s6 z&uEiSy1r%Ni2ny@yZ-}{V74Zghvbx3SN{W`idD!De+hD?z-U>)948D&hHH5QL(_A% z38!F@V_AS)BGacP-DX)zo6zIE0~w^|%U&B_aD0@<0f625tq#V0|77bL#H#M!3=1eN z3&2e9>wic{dlQ=P9v66ydD}cb4*{3!ILsA_23M2;d0d5A(p=n87==skcLdcl5ioW! z*|l|ETL5(=RD7Ll&dSsrnuYsJl2dFdkEM-15qvKt<2o&OjK%a5-q# zCgU>mC~F-6>UL*|J-3p;RpjRcXe6WN@He~zGv+dLq+%==e-~S>@m#lC#I8BUTP`nf zP6ua=W#}^1p`yph&8xELWrJI$VkAfTn$?}?p*M-kpWpAr&3c2`7&!?v4av1DWCv23 z0TLLfkTCZy9_^E12_#2{PFrfPBaj-kW>|BvSS{73(O3&6h;_q=OViOOw8^NZp0nwR z7={UMW>Hu@#6#>Se5t8Cr!wIGyFLAy*CR+PikFj1ZYbpLI;O^$$i;n%0TutilN8V6 zf@HICDmjxTh(;}wofW$^gbpCE_2vW($n0p>prhVn5z6VqlQUfd51SYl~K=yQ2A%EX5mASqEUOcOxxuLWKAG9h=?6XogJnAjyrZs><)_Io7$Vek)-2KKJo1?=pZG1WW=l@!Y1g$-E4L5ljk)FAtU1y8h zg<&=(;{T&Z)1LXS=$#v%^* z^!DZ9q5C72R8gYWY80V*6TOJhRu=UWH5ae57WujusLv_p_JSJ7ZA8%#WMolHL9i>! zgKl>~u_Uel@l3|2|Ks$0!PEBPxR6zeWA+L-@Y(v$LRdUqz5oVCcl-ewqgD-|p|~KNnx=z} z&Z+O0pk~qia{jkQt4h9Ihops$DO&Z#`=}FyN&x%Ny4LRi15!;(bcMt!{8t6g^DvX& z0iFHx82R??Y>?&b`lTQ#if<~@=2^cK-DAMaBePwyN;?PummF6|MrGD4=d=JeXN*>; z1;THkI32tNT>%y@C5Nw39%%-{3Q&E4WZ4(&&X~!?JVGMqGvaaf}{xqnv+=;1?9`WOf#$hg$Cz zSbd5?IhnnHF%O$}F!%rerh88k`(nH{l}Xj6rtB^ z3@8E1j%Zp6AP?k~#&frbgDCTT^^ubdD&HF6IaY1DMcNpoq_m1g?^u%ACo{x>5 z&D6f3_LKY%OBogQ$$XXR;R4x%h}K89&opiMi24bRp}Ku%Pm^zTt^^eEhNS$~fJR;`ycMJ~T&`>X9cpQvrkwHh`cUS-T z_YE8yqL~YIuRZJ`HH@6%s~+dVc}JQ%cm0%*g8I)34nj!y*q`_x_JE!CDG?^G)p&zi zqAS>Yoz`wpue_uCpN;v+7V)_;=2jBEQ+Z&mimU|K>G}Wwmn1f zX9S<*%&SBl+=}99Lkxs%NMpiF1dum^mHQaG!-N& z3YHutO4Bfm>eP=ntxM$ndTbqhMT}P=Gxj*tc?emg=k_)@bRm-Bj1qrTqdZ5XhA+2_pHGlAf=~SHv9GO(rUqL{H9kS#+ zkg)ke*pxFvwPG^baILiXO<#FbWrs}3*x+|d zlqX}1uel<#7`$vpTe2dc{Cf4pgWe~ipIvQRr?gw44-hbQA^W~eAJ5@-M96`5LSeiz zx@=EA9iKA2q__m6k9`QQZ6@uR>aDFOg9oChQ$!L+%UUNzJHPfaZTC0}-%jfP*!K4g z4o{>m4M$Ae`>8K!^eJgyMlqzmdVMx!R9xD$s@*9j#oMUl#$H){idNT}1==QcwhjJj zY=3w61~kD%Kk$^(oJ)PS`65j$)u#JD>9FZ$yLi-NYI2)Dh3Tj?qfO4h6<_+4BCgk5yWJ5Jol|Ituegcdi<)3zSK_EIfI32J_Ccc=>6C>tQkETauxTgRZ z!_PrBqadX+m+mf6x%M{CkN^8+;%!tI>MZH3cKgTsxeET9j> z2w$?n&hSE?7d8Y6P_#;HkwAJ_z>yx3YH2qV8Vx5urC_T(7=b&XtG)>H0XP{5T2pC^v ztCP4_Q%m21qYFMZw#v?bAFs(TwHrUwQ2r7ag|o@5m~Gu82yxHt8u$@1wjksm!sA=HmxOXJH^EzR z4yT{2#?~dQ%nWOBdoa$~+^);w2iWnNvmEcvSWf@j=rlL_1N_v%2zxa+p+A5A`ERE2 z=f@LNEvb(gLIq{?B%Ao+v-7|a4HA_UttZH2Rmf-bn&@U5GFDv=WV7tEd*u=ba&U(mm{bH3QzBA)kN00Ae7@wXWfcRuW_t!C$+IJbuPwQHJ z*cl8JZIN8FK066_2R7Xv5QS?Tu}2PHfw@ZJTG~WaG`owr%6Ywv&x<;%v`F0WL?@l?ML~5HiQ`X=h z-{qG*+v|SjrOofJ=LY|C7G=^E4?R10XccmIDjplx1Ixi}0|`&vGuu5GOs??o-{e=Tp6(}Q3^4E^6c|Dp zVCLxs`j=nx*!5BVeV_A5*MN!Aw8Y={yYvam8`$)SUDJTxfnM|c9@JXtVXk4YXri04 z=~y!0$AIc@`pzI=7<5y}AGMs1TY0talBw(u=D3hNAzr@r+U%Lt?D8jRSz6Cuq|o&T zi_Y|zdX?+e*sl-vIp|rOMZm5|NRy#`$;DI)d5Q*;XT(!bib^-gz67w zx-vTT=tuCaYH}jV^_E?SLn~6M66CRb<_Lh9D4%TiCxOGwyfMtjFhq7JI-e4fpY%b$ z_uJOOz6Ys$ZaAX+7*zUO54Rvm{Kw7INH^vtOCG&qwO6fYU>u039|(NN|GT_)4|*;v z!*KTGFH0g18(vcRn9DEr3O0r-4xGcwzSnd0sPJtFAc-WO{4}t({vE&z5e+Noi6@O~ z`WpZfD8h#~mjj};)a0M~rdA$l8@qegNZb;V>{WgvPelT4+kk)~tX)_1DL+S|f}2DR z+kp5Ap173^dpsTKd3xVI>*W~;0@9O-6XDDq z3}v2$qIe;2T<`h06qe3M=g0H^#`#am5x0UM#zyz>*G9R1mK&(i@5A@Tax&&de2`Ie z!G6H8ynRgW;3kmd80NyoOQumGj=EL(-_k)hF{%Fw-@R<^ssn18ySQQuX?Lhajtv86 zzS3=$KYLzqYj}U6ke(Jhf0dw$W&!4q{R8EulTBPm9`Dn#zi@EB*v-BIk6GYcNFGSk z`zIjzB`A?h^SaqH?bqhF!@in0nqe5VZ-UyInu&8^LcNRyZM~J}NV#bC?&_i^y>Ifc z6khnh01~OZvcRjKR3_Zl4YBV~5_Slct)Y`7e%yFbMUK1#q0ZL!KAxbM8WKe#!=BKQ zq0ir#FH(%$g{Z(|aQ&v>Y)Jdl@GzQ5&DTo0%_#0biJsms(C&S^b;^7L7bh?{cw}MW zoCh2!Zag#dr{UkS+GGStJMwJdXE}1x1r0B3PYnMI22ebC5Aml^Di#mdLQyWs)No$K zh$dVF-k=rqz1d$?wa*_v7OwVfVk(4Z-&x~b=Q(;<7~-@06j>>{ zT@D#>j5NuX3)7baJ7^>L(g}&t$KG%iJZh&NZ-|uN(zA(We3n#$U)}0%B@!%C#~*P# zHTs=L-dL!ebkvyXU<%I0gtODM4qOwr*kTOUWLNa#fl zg<_oJ#?}xMAzm8M;J$6K@Nbx_OFQ$V{@`n~*9RWyV(MlG2_csGt+DG6t0wV3aNw|l zTlK55d|}nGTqTlIX}E2L?WFtIQTd(|DszIC`hF{Rq0EQ2alDIN_Tw(C&an8b@57Tw zc6Mldd1`yY;?kOKh4xj!ap&Lmckp;@yJ%1#DBu=H?{3)-=$7|*z(y#k`M_`{JUoll zZ2^7n8Nx#E!ED8(n*42e+g-@|^Z4B*W9aFX`P-LalaiX3=I(G!`JpgoM_z1tVd-$I$<%k%`WFGe2)#m z^eIwpGS>%BJXUXls}c5d}5qHRvJ4l?{T+#!S*VtbRgho}= z5B`e#Hqiig3S$dK7?51!DEg0iP8^K4=Z#2oA z@RYz&2*Y>sPjfHt7w*}6r?c_aj71Nn#g6oumZcneaEDk1-)p@#3Ccv(yt)PeKK4B6R&;veDcPui@o-~MvzY> zA#`R{ZZ-$lgzLH=D+7PrV1ODHOtNVg?c|+wl_xBgOOl(lj82s z+g46^h$1rWMYix_7qYSoGHkq_C}fjOm4EcZ>#GEuMMxlAL+5F3L^3ggD^OaN`pnXN zjgQP%NW2qMxg(j;yKA6AD0wJb429dM$&Jh)`3q(|R{SO^NFZA+7AwtH1Tf3IS3z7i z$aDOSoTm&5?m9OUiq)0x$1LW2t+4+Jyj#%sW;mXu(39Wmi;@>FB=knd7yBMNvqUKi z{|qCycAi@uNTC>Z%qu<+D1ecVLx;qelrnn!eG=FI%!S zA-Dp$gOmGd?+FlUqtlef_vlQl){(sYQ|kltV}vqLQ73|}>?a6F--!wdyj_R5r}FXz zz3Rxz{2t=XB4u~#V4w9xjsq>Wi2CP_9rK|wLHRs6Z2lQ9Ihxhq65k zCq`1>{{3G_ib+Dg;T9n>tm}sKe?)%S^l?xSzL%23k^MKX;kKyWdpI6Wlz(Sl7Ljg` zpFmUBk@L8d|Iv);4*-v@#uHBr5)dWB<3#LUL$JGl_g%vg&5U{LYRw(uNXV~ezB!Wi zhRW2g?1GZhJW4+W=&~CDve`&xxIfK(;ko2yR^panhYZXmRXgu*8rV#)jS)tpZkPTu zY9UyudeK1s^(|_=NXSJ;TA1X9gld{}oR|JHJjPWi(d?YHYr~);GZ3ol-vL{>D~{ zw|)t6>xnEZ#t;R$j8ieR-)B0_&|iGbpUiK|#t8^Smx`psQ@4f>t8w1j&XpYy$#2-W zQ(w>iaO*f>j zWjpkr_m_pon^o{_T!RquCZDvu|CGywH`di@FP+ZqlXDFU@*42SZrd`0-2f(F@t`s( z{NQh+7AMKf9JhJHue&xedzmqB4A4$eH@-#;9faZ|OI4n)HyX;-055)w~C{S4y%qCl#`=Yf{2kWGvYSTwn_{yyrx8bU}Gh@yVB$@Z@$+)m1l;2N{6z) zD7jz&Tj~_tdaqOZ)LQgjon|aJue&qK{o1t+3~h0=v>5DZQrp*Kte)x*`y4BzkUVHZ z4yHurm+;4r^yt6KpM#Ucj==>R8yb0Z_WlbVV=leNZPVX>9`r>bjur2c((H;4=ATGv zBMVJ&Qlj}O3@adwsUONTrnB2BE(n7X1ksj(5{YlFG{#%nW!=!b)`%yM?sZTkzgFbV zR9&&+^qF+M=yj9|BraXq%1Q5gX_`$%Tvh9%vl|~Cgc2+owdxVF_VH=W7B998>Z3kM zdQlsY$1MUSD!uF${w<~6JvJSD*txf@*pQGCaU~G4JZ32;0xE_yMx^c6PYJksR@~Iqf?E*&K&G{o`}T%{vzE#IqIuSP0Ou4tHLtzoQ2wd zb=rh*(hqXqu^Cr`yT%VU{#Xa4g!X_oew1&Q3$C+pCxDj^2tI;*d~%Hnbm$y$w79fa z+qBY<&I$GP^{Yza7UXQJ+AD%_q%g!s&KD`|-;TBqK-+F5o!Z;03L2YLADvskYT}Em z?8Zx3y#_&FdN-uDNSzXD_Egi5186z7cn3K!V9f4>BdP(O0-V*@YyVHl+(L_O>b@m( z^ytHwShz~V4vyxd>{(Y&4XwcevMs~l&ds?U!v_wqH0WDy++5hgr+7>U;%G#qnS5g@ zw?QoGhvgUSP@q(49T-*udpz*`$P2ha)czN?nbnK-Dj> zBs?-Ym{?r>kS4zT%U-3kEb^- zPs6uOq1#q3vJZF@Ft4{ZZCe8MFzBlbZfE#SL^%~y-)i1KuLCSq-(~hx|J{`Pb{ke5 zT;_j@SvQJk4F!DTg31&>P^_LZ*GTUUpV{5j{qEyLdg+`-4(@_ewo5sX^YmV|bCR`R zurMPP{d3;WnRLmY&z=RGJaMM)h8d%UG&S?hDMT5?aKYmFmwv9haYQ6g`#LzTtNFyWg5T?y zk66n55`f&RHG2WDL;LjbK6#aXwwaon*h|_U?f{d=x*C~>`=2;kY4t3wO?TlT%=?rJ zXlwQM_k~*G_Fau zXzAl2Tc^NIjA}0-&IUb#G;OAD@CSEFrV`4TX{;4iR>3ZL7HYc5g5Q^DOIKyz7*|C0 zWKB{uaf^|&DJB{7(wBsX(dv*E2qq1Lq7C+`k)J?#8hpsFUJjE?x)61yTWD_vO^%KE zTjxwZZ6VPMARgh>JK4HTl76_~$1;W;ggLuxqm01h*p1a4`sazE#I{@LrHaRCUBtYx%p1q%Rk~x@Y9R{b*xk&G>9#eCb0^PE zG+v72q@F8`QN3>E`BEx|nm+RxJka8NoDQtp?PAatK>|xSd6+T#nZDh46ekbaE%999hsEGL_4sneP$j5w8a&TJl z9}}!OcjUu0jcb~0z=b9ci_Fn>bu<(l0_L6JEk?vIhy6@UA!>@UIfhWICD|9wf|92< ztIXFy$Iz#I`>I^d!M2d6x|#UDY-tt7@VpszWn&n^P@b*fI(fnS3B zM@8-GSxt%{c@Itxr(xwOSF?T#aq~R^rKVu0dQaU&CRe#MRIR@*H}KeZNs zt}Z7v2d0~;a_hRNcI|WRx^e`#I$uJsu8~GfL+NVA>Yq&gM$Wx%f~guaAlP-Ua4BTZ z<*jHT$4t=Xx1d2xqiMC-Y2RPNV6`}2@uSscW+`eMWo%UE5aWRokH)q&ZVtte<2GAz zL{FDp3U0IC6T%GD6ie__*6R&3KXA;gSl>=JBt%NCye; zdW+5$n&ajB;bv<)db0c|dMy8A#AQiU>lK29jOXGn2)Y{$D`Mxn2B>2_sgZ3^4Ikv|1o{X`Z# zs9+L0wyGWtZDMEQ1w;e88+&jY+nD*nLXqS#kcDWr`#{Q|IIO?v9JD03c+x1O$AVJHm*QWw zjqEoW;#G<$3)g|_ra2xS(TXD466)U06(K2`4pjDvVus2S{lp~%mp3hy=91&Z*v;%{ zjGTzWRCA4;DE@9(WM8a=$I^%EbgA8S3L4IE-o0gYzk_cG3|u}wIg{QrEVNQX zeVRP*EV_|5+u4>Y_!EM5V$76463wtHoPGe*u!RApYHXfQ8xoVGoM;j@{OpvUVLtC| zVbd3=J&3^39$1yeRAgYtY$m(o@8Ws3EaA};wZW4dr!6)+L_WBU{P#-2fu>BlC=FHt zG&4-!LZfAI3+`Zxf|lHX$$*^|Nr|qx4tdyU)Nmr5&J7;=g5rq&GNmVX{8g+ zq0(T}Tv~$Zmq8O(b@2qA=kPaS=LZ}}?yZgM;Xj3#E~+9om#s%rw*6>Xs9VCB4NAib z4=o)ESbuqv{<7j?bcR7@bo~*%G*k z&ISSRPVqdtP~e00;U?JTPQqCCdA)^B6Sc$I;#(CvPEd1%;)=92JWpJ`=Xg1Fl^vkH z*0}L*GPl;nOYLpMn8>MDe( zt;>Uhi*yY)Yr(yaw#WU#bAId5LFZaI;K30lvV)~x=X{rZY8s(5`K?=G9y$WfbQ1*; zk)w!r^zMAhoJLaC&Gt3QZY;60<8q>mSC0Uv`rhR+{B{Rf-txx^@zyzFm~`#ydQsrx z&0be8TO`x<8_%P;N^uA*%%)=`ZK%7V!E1&82B3o2E_976ZB=3i31w28f!i*uDG`d5 z@37_mu(^ebHFMNd$Mg?EK35frc8$ z5{j_2=!Be>n{G_YDm~reKIJ7EyzZ-4j(cflXKJS6%+_+`FXPWb#IpjBU`JV50SRt2 z^TFAXrg?U4_B3~>GOTKC33Pou+DHWDI9b~od>|Ic*X3VnjRM3pFx^=4i_BGsIBA>lZ1$4)wBx!H8 zDy$YSNI90V|A^@L zXaB*|&L`m;%70mcjKTg6)slQN#S|=LZyH7Kkv@3WOtufuD-|B*W;ZEL=<=6RmSDp- z-m~c5oULlYUqo`r9~5X-+c=toj1u_@$xg4Yue}_yVzqyCuffq3kN?ATLSCkXmiu(m z?(bE)eG*MxYJL&O3arcie$?>$NesVHNve{)I#5L08BQ6?N0N$jGwWhz& zO$AA?d<)J|0#@wFMN7auXU+o;8sq;ox}nZR{`(s=pz%2;U#{iz7<njfg(N07>*Uf}GC^T{=bV;*Ln- zUJsJXfex0|bUUa_5&O(Y=#GcUcs&|OkLp1HSrhgdV5C_!Og#c-DOTRyA`OBB0tH?D zfh(h&s(V>K}3C%|>hRmQiyq z2Jr8yAu4fzvh=KY?w>y$3E8`M#WY}i1d1Vmw?@;2ilrLQAj**Hw?Ed{Q5qC9{qLM(1(YO0;^jr(2^aX9cw>Y7ml;&_>6 zK4GQJ`F@o{t-SoBzp1xJ^d?9(?)rv|bdaQ0fioDW)haJ3>#vcWk*r&yL`Z8TH)wA_ z0pQX6qV()VGdwX&$@Ed2vW}UnZ(Lu=vc*J{$1)+zH5tqAk+>h-B?EfH{KSK%$h5tz z;t)?Z)@R+@f& zYr+~>kN|8dXEP(^1>kEbfNVo&G=CL21FtZrI#xwG&GzDkL8y^oWW$PSRJQgXDuB0x z&33FZE*plZ*u1kdyXI(#sU$y2SO8hC6KUNfkup$#L>~|&DSiHIfrY|;?J*66!?)VE z2!x9kZpKNH8O%dRE3*VSU#Ao)y^g!W&!f|1bIL=zW#s>CS(B%d4tI_TaO^uHcV=!z zz9TI4!;h6bpCa*X9XG0RO~l;M4Z`1ov4h-u--RAbUPIvDj<}Qfys=fpZey6k7Pa5B zfOq~jhBfnwfliXDev9&*epVW&61O5h#r&wr9zU~39$to>eE1w?-Hp67*{A!$4>h*m zBdRV`Avt~b4chgtSWD}IOHuR^{sIX#P*I~wt8||#hSpS4(keD^05fkb?XICMC*S1H z{BjoSqhxfC2{JkS%No)K(i*__F0(Wx&mSXJl|QUv-x zJ#)w?9UihM;6joeehuGLxhj}XnyimH5jTK5MC=Fj9i&O?n0&@IW&kBRCL3cSZUdxO z>llAh2`u(IIgcnb%uf*=XzQ3RthLxjoad-reJO9++95*|`Y7g*kSk{>P@TRl^;JkD z%pe7w3vNT zekRKL6t*Axe&*j$ahc|slKNOwt%%-frfG4;1;KNZMBDU*S5a~^myvf?fXiEI{yvBff6h^NTE8` zhNZx@Zk}yt?A9w~UNLln<2)glk7S!8WDColQcqUnVtOe8^nr@{bBU8x69XGZ6JtBN zU$}3>xs<`-VCG+Cd365OP$s;NY6Z7C7S{w*&dgtFU!65j9Og`iLpjex)+BUCt>w&y zi(=M-{e}X~29Vget^{dA@&2-VPFRE{sB)viHSKdDcmD=KM~br3=0w6x zVDndI@d@$3^MJTcR-7T7b*jl(!fSy2*Etr`?;Z})ihsMTSA2a0En)n3fTDlU&cAj! zb*?wS0mV;U^+Bp!&yxbOf0kHgR2@wv4X^M-w5)9D#(-3t##e%zr0MIK0B~3sg1hXH zp6wqQm2RxE>UV(R+TvG73+>SHww95{_SGL_OnD9U`zea_#k*^jqiC?wk@hnw+GZ&k z1JkoUbofvaN2E?P*{6aYT1%`<#6(Aq2p{t@y>!BL2fRrmD?qKxi`(j$X>W* z!x(mg6Y%3bgJ%8}B&y3^$9fcL3v%*d#j2<~sDEp|Iv=#b6eH8vA$IRA9zQJYlOI`S z?z?R3R(S?FX3vLtlRq^+BF|PIc^>8Yiy31wGcvH4g=_gdh4&`SApO20Eab+DWx*4J z=-%8W<`lBKo@R84vcg%$brTjC`90;&n8U5#0rXx@Q^IYNrJ>w^S#JB|Gg`%BExRHq z`A^@tK5`l5+UB9+dF*}VV_eSx(UI1U#c%LQ>fZ6va?|-2LySq#<=>cAZ*_;x%W%Cc z9e+mXYp#&?)5t7|%+hs1?0T5#_U zzFH?+tr6I>KHg@-+IdqJ{L)hW4}O{n`TLjfE42dnA>a2YcBxzqjNj;!jp_PM$Zi#A z`1R3~X$&-7`|lX_txx=6wb1zKzSqPZ13M=-YTEW)fBf@sg;s*C;3#Fda?1or(WdVG zD`C3zhlKHx`qEBo+s)Vb@gv|jX(@tn(<9p?p@vaYP~cnm>}z2umhN9j838A(T!X-s zyBR-0^)Ch-!TTg;l6@o0Ov5E(j!uX%j1T=5VT|$E%g9%;YobSHt%ES^ zLmLMKV-F*32b1;l(4$W$nVfZiFS@m2udFV8pqe_kr`G&4LE{byt#y8zrT?m73RK*r zh|##B1NRhK(C&VsQJxgppOJ`mC!hl?STG35##8I ztZ<)#j!%;{I{@@2$-zf{(5Fe-Oop0AY)Kz|Agg|MNjh$?CtoFRb(%eN4ZB|u!c3tp z-Bj&%SO7N6fJUF^TdtEvlA3)zZKEFof4a2F5IsA&QJr$Y!6SD5Hpmi_WA12{uX!h2 zRlebro28iCZcNUtkfYG-yd03E?fFQO?CmEdvDNfTwU8=8e&WPoEGwyt{EnbMilRW) zAtjz?$Acml!Y8KXI9GUxeHg1Uq0K2IH}jZ*AqO1nB%r~=?@O*;$Tvo1*B+nu!dkQ4 zh@dp?pr>p6jfVj5_afnj7$7BqDV36+mhfADfQRx=m>?zmanWJw_ZfKVa|D_1zvi?L ziMN69$Sl61==-WJd|}TZI2!=?Tf?c5cS4uKA*yt z(QCE}_2W{}rD9Tz8voI3)Z47f9&m0AtHTT1U2)!o(o%+12q}n9joO0Vbr1$z=G}nt zBPQ+qtauKqI-h~2ChHRBfa*pR*ei$Fw}Rj!_&CwtpwIFSN0eVolcg3);!~%Y(`3yJ z$>ask9HA3O#kx#19$4JO4-FJf%Z;dL=N55#iq#&u)OKR*{HhabinS8i|H=IP_>+ZN zSm#C7QJMNN<%AwO(&w}+Le}JY$#I4w>=+J-5BI(z zByac#r<}c7Iq&nc2K=X0F7d!}em+A=t}Sg0tjrZB0zgs4wW?@J*sIqB1BhECYwIki{G7RJ0&JIOT;4I8t$FC6T(*l%?}1D|JKf%1FM|!o~t`0c|Ug&F<=F zkP{T$eXJ_YokGj_uQagSeX7ln4P7O`S>j8TesQNar{P-0eh6b(Ahop$U`Let`&hFZK9w|am3aIwGP3^uI5NO!)t`&t3)O()!&8g@ zY#2Y)A0g?zhRvvRyNpH4hKSJPGWgphx18B) zTAWh6e@PM)YIPdB_vfq^a3-GaSb(S^1EwlXR~*muB!BfPQlwmn;&qF=H5H0HqPCrF2unE1dcU7R=CfypIq6PDq_mRkx%`Zzh~OdaE+ZC zsx6x(OCg~Kuos8X%;@sVa`=RmoHtuVqyn1UQ)BI$hg8*@#3pmLD_`Vd?U`eE?RaNT zr{*w!q-MuJ6SY{yr&7n1rs9FtZ5++hfCs6Z)3G{(!N5kYt>F$X5AK2)WM)$-xc-a~p43zvW1VcRJ~>VE`)d@d!3(z&GPnFt zSgAJ+2r#_GTtrxJ&BEbyu9iWUZKtDi<~->@olKt zOne5lnK==Q#6b|Tg?_598kQtHNy@RpR5)|N1a}0#@RbO=_p00x)t1mxt3vP=#j0<| zn;R!CigyHkp%eT7P5@;TVpE`byE~Phjvkd}W&a&Xnt&sM=Cy+6fGbWN#&kfQ4}#(~ zFm{#6XtU3?2%(TSR4HrQ*L0ZR-h$V<$BI=RjehoKQ&5!&m`u-Hd(SnUw_Afhf~!jV zUoSiA6~U!sPtcrg`14O%T+Tukr>w)?oewAD6k`}wejeM+VkQ!IO!?WRt0qLQ{N3-f z9<~VKJ$&E{CHFXq@T2K0s!l7}MVrPmAj3p1n}Xs7t&2|79hNI23lW0@+ZiE~R`g(bFT^0&1Lch*AUbOa9f?aD|WL}RJcR{8n9C0Q`hk_LSh z_v*4-vmOnG>~Lx6X`{uIJj^QmNqr7E{Z?|b2?+lSn;~9MU-CapFw{v+Voe(=m<BUgH=$qaICDFME3o9_#185HP*-Oy3B!mL9m$wS|Gq0bV5!Z9nf)+%b%h^} zVA{m*BsBnLu<{m;g_)_XCPm8LCI{f~bS;`<1J3lGR&5g?mzT3rarl77K>gM70M7D0 zeJ5->{i37{-VEq-oe*2;0Zf=@{cL=0m{U$SPpehzj?RW7?0lzYZkTdHpyD^Ml!W?E zzH=Kym9wY3IcPT_OKdv%!{!~1=_8XeTbBO{wV|rtUjF42)pI6ZMZLH#EhO%|hBf&5 z$t#SAnuSmOm>XuV@Bjjtr`rWS5KdhaKQmB@$kxC6y$wizBXCTLVlXBx+f4NAQ*rqS z3HNjqMya-cvo*d4ow)6w5dO+?)Gi$2x4x@rQLsdUd-IKamIXiLe4dz?dSazW@_a~Hl00WJis zi6bhVaqeUj>c0vhDFN&VT6fd`-+`y$8OOb6;F*q&7s8eomnO&-t3GvIh7HrwDreh~ z19MF$ErVH@vS57hv$3AZW^k}JMBOzDD)$#N(TzsH-p?{K3pj!Aw8=Q1L4SZu%$VfM z6Qlgh=1k?nQ~#7%&HXQ-bExkZ|0@}!MY~VvgwtML$<7^*hKG~x&2}$xFA)#bsO&*B zs(-i!hkCzxa)ZOnJU;%a8*`eZpPM>7oA?=pOM;$_9dFEf`?Zb?Y@-j z^f_O!OpBw-<8w+JzxnuK%^wj8q6yH*DFOzTTyq06g_y>6onoev4{)9VOMo zeIkZK9AIYo7bt{0fp}sC`cz_gQ~3_b#dpmgXd;=a#991|7mpvGFoQ=sfF}DH?q?%$ z$&Jinjfi~(&QAo^RMu2 z;-T`8>j3>toG4dc`@Agn0!`1jD!&d;P^|<O`i3*IGF;c?af1Ctq+%P4P)u-NIMcCm$a3(b{-Of$p<_H#Y!|if z?PUqS*j-mIs#><5gRAGi)Q-pVAl!>+gi4P%cs{#AqzJj#qRIXk$!~F7X1+)=V#?CI zl0|m|MOEo(6b^JXZf4%U(J4}`fgF4DYg6&}(m(0+#68U#ylD z^(_Q)zA_qWy%?|KMcDI)#$YMbi6T=-SN#zL7C1;NtZx%Gzs+0nzmH6h=t|Lg`Yc@i zJ8k~LG!8OkwlS3^n?w5*7#bg<^e8TGhW4%Cnm;?NCymoi zQ_+<~U$28_$c)pT6!aLyh*a%d#+_3yh?LbhL_UZ(Xvw3qsJpbrn8YxznIz;kAQ85i zXjyA%Pk&nKE7NT~HW6>#7xQ(aVrQ7t5)rTW%nA$w&-GwGSfJ<3bhB}@Leg5LXfk^K z38h$jO4?X67(P21W`2ln#Omizaw+y%12fW*aLax{4b|3=4;<4Z$Qvu~(GPerZDTgQ zI;3JMOT*od=poKzUZj$m52e&Xm>ln#5e*wb--GR7U&|}>vMbxhlHVZ$ihu3SM^=)t zod7)qiLo5ZP1n$CoXSG|qU7pueD=F4Kwv37)C%>d3i1B9?}Z72nBZreFx>|g$4Fe$ zvcKwJQ+#6T`25JTrj~BqM(huHi`>WcashJ1zHeBz%VN>Fm^Y867V|yr#!{(UF>jW| z#KY}$?j;4pXs<~tHM`OqC2&E-mz@g(ut227gBT7qLfw^JD))>N%J=+E`MRP-70$wc zN^_6VVV;~>s}z_Wl|R+Ni>|TsXV?E5jKeBaRr~po#uJo2BtX;9kW`#-{6!T#J_+L} zqnGwmc8nn_a&Qk~f%WQ3p6&TZFRtQQ>bZm1`}0T{=T_v3ZS960`k zhQKDV>9t0W6C1F~^UEClpN&wH=WCy=r00c7iAQw`)=$09xd!o&Li3tp3zM4i;%+6r zvi)pF=`{r-eJ3W|ZNKcZho6oU*vW*PmJ_YW>wIs%h}KEu0CLB;K$$8cH8%7G2Dm=5 zDk6oTcVnzotuxZ3s+av35Z7n~G4P&u114u4(|*$4$#DP9NbFrr>uO%<7s{t~ZL=BT!eZyx_I+SLMcX8&Tx zT64$kccQJ^22>Uh=M}U?AC8m2`Qkv1w%pnm8U)1Gm(Mqi->fX+s@S7wz!IYvJ9-9I zbPS3HLOY+!uH3sF#C0D7xCwLJu!*4Dnr8@v&F@XOSnu}bvf z`cJPuewiowPQYm|?eY76{Ws?KK7a^VQhhl&C5d}j5{N&Z-Fmi`^%4Rq=`4lQj?^d0G`BcUcKXt6 aMh>#a?TDkN4#N$gpYHwj-%RV**Z%=e7N(g1 diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index a72f1d6bd17f9943a35e4390970a39e0c93ea770..f6edc6cacae8dfe9fd4de4ef9ef8db5fe51d0b4a 100644 GIT binary patch literal 109553 zcmV)AK*YZk000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*GU33jhEB001Sa0RR91006rM0000000000 z008l;0RR91004La%zbru7037YE(8m~9g1r zLRMV(U`qSn&*~e`)^Tuu43GOgq2C1R`OW|RIp+VM7exoRS6&+)V0gQkM2?^UaKse9Bn zioWuc;`_lvS|yc|U+EH8xWAEmJb8I?QJ-VWo$*8-rLW?ycqvP&R&h}l4j0N%y%rhC zafF(u?r%LLQIwUO%G$~@2?Xo4-02Bbd|%4<>a*gWO72&8rj`Hc`~Pg_^RDr)?Dl#aH=Pd0$~EO%+W=U+Jqn zrR=68SNC|*QQs>%>a*gmKh<+~Tt+VI(N_&dY!`_3P(GNKc< z^EW#8HlLm8qJwv?P5a z>#uiC;J)MhWjp?7G-g`n(saS(d^kb);-5H1P#K8U41X0G*6e#age4w*@xTHC~=-LqXOlA--4>AMZGU##uC4v6lC}{DQtlDAqKF z5oE06(U_6DMJ&7ionrQl;JsF1tym}U7WqmyTSR^{zEbUqg{->oBNTfDi+sjClL~gG zrk9Qj?~U;y6oXkzn8v8Kej5eG_(m;njk4l=a01uBEXyGDQi$%9T0v>Z6vwkiluPQd z&`#~|EP2&DQ^;q)P_TU(|T`Wp`9zZ`HusAsBz7cB3c2j z2)(nxB5&EmrpA6yX|XkqLshQD&|!BRCXso&!posJB>KcVGTfmYzmxd0ZLG=~5cw8f>7WD3pKxx8ISFz1E6Zmb^iZSg#qaz#D zX%imD{@9_Y9VM9lHQ6kuIChMyA!F!zyVIhWSNsUYlENDW!?}4gH-X*ggfhz=9f~m! zOb#2>sCy)Y$}f2=*h_sPuoJ;7p{$spT{7zH4d3Vk~k zCq~dzFXiu0ony}-`Drx0vTY^5Qy*!^vtKNSu_eb?Cd0VJ!C?+hA552wN1}Hcoh40J zMl;(-=B-^4Tx+UtRqc|8Uzqn;+~fZ}1?@eS#6Gz8tF=PA!~*dPe2=ka%QwoDA&;H5 zPEDk=g+At0jBON4P-fak-IrCEV_KFo@wO24$1=O{H19sAz5X0`- zVV^Zw`+M9crn>@z8Km4-*5R;#^>(JCzy$v`hN^Z^4n3tE zdJXmrMWY?M`Cd=o3fiGdptm;{R`X%~#8kL_Vtc}_m)3RI5?3Q2en3Uu5O|vg8GiPt znOCj-0^_DGDsm2#h@ao=q-q_#?V15;tzOzJt5A_|z&5u|)kZQS_%!kOU(y%w$f?6+ag*rer4<)b^6fKH#;QOZfo8xAbCN= zMABMaDE)DI59j^5Ixa7bWOOjB7f#`O1ZtksM4lie0+KZLtA$X$`A~kGxADZB!(qNB{)L*@r z?G!|+?ukyH_3^s|S#cHQ56%RH>+rep?SNiI?L?zSSbYS>&2q*zrs1!HcgE0x5qoKK zvYFN(e1Yp2d&BsS$V*gW5?#x9kp}vlvJU7pSP$$3&@O!40s1NR7kab*g!M%8p2bEr z%fK%oEicpQG(PtFB+0C5TJK$q=cMPx%zs!fQ+!5TU(0mOYRp}M@w%WHW=mb*Mk&^?KZ!bvXB3~*!qt9adD+* zZ(wM6_{)4MIq)8N-*0NA(R!yuod1e&aJ<^l+2yk(m>z4rPqS3c9~f~BDASUTyjOAF zP6yK>uq}>8mpx;5xD`)})*8Iq@?U0~F0birs|@zZSf{L^A{RHc5Gbt~?ED#TA0@Ej z+by%SvPNm}4t(Ga#W4yc8%SPTw^8oRxvi&ql-mqO?S=08LAK&Fq3|RbGlgl%O@Oz7 zLyoaS=*=Wmu+M9c&4j9cJpt=p%%_aTfe z!Swzc8!Qkhcz51j8n^YE3oId-WgFsMP!yQkj}_tjX{_3({)@WXSXvmaP*Q zW-&A1uQVsasrsc1_MIiwtX(XcSS<$woWG&n;t5@8M}9SwL{${4VNhh`K;`u0om%rg z&v>Qz#!mCJ$aB_3k)qz3&ZF6I?$tZ-cGk7)P8G zv}o~L>N)#Bk&pys?q?!5NOHcHKd9On_>_XVwmSDdYOmB~=a=(mu*Ti(~ zCQqiEIG%cA06swL&38mxdH{ZFc3i(;t@lH&p5|NbBn@x-D^2L1+pgu))C$siW31@R zM(C|QH-KIgRdsE=)_VdE8;o5nxw|BidueUoYg%t%yaPjvQMbPM-!62VzLq^}B}PW$ zDvRO!Z)o1WSVbpqd?0J`cx!^zdoN~*8_>i)J&EpJQ#K#1_3n=le~NjD_V=LnwS4cx zQMh(N=uI&CcJ4`!m5KNeJy!kcw;a~B>b?M>X*Am$WU+gu!xqL&0?-WFfFLqljgnNAVz)9-->AOSTv_O z*EO?WtW7jME#4`n}hEcq~KJSt`*-j@2eULqFzHA|x$njLFwiinU`jHUX}Kl0 zV%)Emjm`yEQh4JAB;U09BjwGS!9JM$s`XOaoGVkHGY2-GQ1Bf6{mR>3eQmVG-JH6L z!PSp2!fsV*1o`&8NQH(QR$i|N@KGS9!w5Tb(=v4Q&C;kwjkt^do3yvEzmuq-j4arMr@ISCx9Hc?tPd3%dJt?Z>_0Yo&X4+=`DTV#pl~dtRSxX)h$%)R) zEgq13DUfi5p*j?Yzja0KKj9y%otFFvhUO!|`_EkA^tN(F+h^oXs~|c7Twg)F1&Al# zzkot2+@qCe##;xuI!Lr6`*i5qbXFuj4fjazFqMS;1A|Z+*ADZ6pCB^Us24^ z!Sn`FrG(o&ZSMUg0=oel6(%(dE%{zD{f2AFEAxGh4`N~~PcnPe&tuoGd&F9;qva-e z2Lt=~wH@^F_BRS&KFd1Jl1n!?1m5Td-sNk|ruALDL~@XnQO_fn_rT6;Xz=Ew0!CLN zDV~lU>g?z7mzl(xO?z4@?62$+=_Icr1HPj-(28LIo`|VK9wV+78|*zp-BIp`W-KoPO1}(ehdf{0plqMe`4=8J=K4-PPEq`C|GF(l(c6*`#a&=fhxc zJHuf=`$3FVRyG|iGH#iKaF}^^CN;@?pT5o-YlUjbx!^fwRgNepXVwrJ@w-|eXJhd5 zbZ&0)Cuc5-!IP~M>1#X%&d;$aq7~;`3={74*}0A$*BM2Re`?};Lt8Bia;zjK9xYCn zq&Lel(3hTbEUp>qYJn9A`PjSMEb=OMo_?Ow*=nQ}8-u=f7K-{NPQT>yx6_&~TP>dC zs_O;I?8Il@W}Yj{>3qv;v~a*5RycR>(i7!Cjy1%{qg{_l^t_@PGhrQBB#$7%|LJf* z(R3f>M1u7=b?W{1)<$F=u4{1$xiN6#o9_arCs* zZaO{SVx;79k2LkFAEM=RKho=T-K|f0)bkzXp8Fgg87&@1SNf?1wDmfWtHRs|!AeVx zb%%sHkhg^&3J=9QA3WtEu_@VY~IkJk@ z{h`2a0=u^u8`rO*qyY*XzyiypXC8#!jpdjEGp^I?scGz%=A80Wi3i=G2I zU55Z4TU4RsBYY`!l|QXAn)h>N?F1nGZzb7Ar8dR0_wUMKjn?2kdiLbnTFt9u^!aoyB+_6m7+zNT`(J4^hCqI8v)(U;@RAP2liDY+)je zC%C)Dj*l45fN1svyS&#S6hqN?f_-@QF1$x@@i65%k*8vfwbjnCP|g_CHBW4p_;(D* z&a>pEC)ic&3v6DRMRPpCE;c{=inu(%u42W2C^vY5UF@CEsW*CmPjGIIHBoFb#_<ok{*Z>vODDrQ%S&+i znHu^MJgYGul+8=CPLC12-O>G2loeDGXV;#>{(+bC2-spL$UyWP=dN=cwDh#)>u-yE z7wWQi7iBLYf~n%@CiMQ-d{ph&W9z6cx%UuEy&2o=(D*5tRZ`h&a;%74eHRI{!RkqF zPp9*HAJCg_Rje)C8%TBd$U>O$&`qDM8AJsus;R>{j&rFFaF_D|`MtUBbQ;t20d*hJ z!b+&i-XxeWKE%)dbT|#TctI2c%j9Ur^FMPlczc4AF-uv*3WCb*pocC!_Sgx&&2-8A z6{UF+g8ksDYD77G>FR{5)^GS0*IX2?8c5)!!>`ccgo*5L?N(c%0^`OTuFk`anX&W= zN;v91SrbAc*Y3-(ROVGwrWhYbQOe8vXyYG!tqMA|{F#DNz@;m6vsZFE*712(8m|bM4ghkJZEiICdj?Hk zdyjs}Z(9CZv4ZdoBFYF`Rg%UQ9WSNlSFIR!-Z)nfkE^{)QsU5wQC;F7L(_wm|H8ul zslHnRE~*uiOil=F9g3|FXZO9Tc^^e2ECP7oJAYc@AA@q_*k-NM;P&hiCq0HAuZ!)X zt%t8r4dYPcK(sm>ItMEUuVr(d;7$rtWYSD<9;pd9)ti-?xHJB5XD?hO(ee(B{f?wpskUt z>V6i$6|GgPy1u!zXa_JL11D$p)zZ}DWJW4)p0_q=qXu9=#*f$n4RiM$x_vR8SnaSD ztrVoXjt=GUp2C!UL^OZPZIwa1;F`kzkIs}4(Xwl+6+&kbj#8riz3_H3-`QHU`-m2) zOoR58qNyJ<(6;lZti4*aGtg-g>T}L_N9kf$C0bQ2+HE$f^PI+INT+Z5rF$EQwSpm$eB!H1g5L=a;Q5S~Qs3 zs0@etow`B2va47-s6{&i(S-Z)vo?iPiDoq|m|@%~zhySG!k@5*GHQcjHf4`?Y8@F^N2Z zTxfdu8jV_~tX5r%7O7a=nHJrRIqrWsbj0Su{_7$#bf9)9@6-q~Z+SWtwdIWF9Us1& zi#}f0mhR(%tvecg28xY>Xx}f7qa;iAQ}5cBtnnH=5RqCBri;<*3SEDfQp$Y>EqSEX zdJoP|*7j%}6;HhbofRN{XjWnWRY!7*VokJByYL4^N&CCjvlhN#+Nh1-ECh#9r(6JK zsg;p@nr*fwXwj;`-0~!9@TT2V#rPn7s4E&4lCT737z18crl#YgiFON8<-mAy%jClT z_d2L0usT|_1IUur;H~@6fptdv{;EX_K;5{>0+=_fUnyjvMUA_kvI@Y|?zIofcbj&A zijRtG-+Fk)_mswVZ!uE-la88x4~QyxBsg`QLR4Y*lBnU(4pOy6FIa4zum8-UO7a$3 z@)=O90_*Ko#S-~P`noINr+{66!_}#u{}=jstVI>J#OJD2!-y5`N>#~kA+h-= zH{MQU2^?>vf6`A~=v|Fc)Np7FKYoazuKVaGKA^8V*yAg0Z<0$qW^2*prv4CZX3B>2 zHS9+Pdj!m~=Se32^I24^wbG(R@ZkTnXw?ce_N|7unWA2?K9r>GMKXwDHQq!#l<3T4 zi~(q3CeBR@3;1JHY^>LH?H0^(2ZCziEvpXo4c1A7J;6>{s9DXcB3<>*PRFZ!v)m<5 zY{f8Yq3qqA_l?qp<(BTfsGdo_#t1tJLMC0q+?1`KS{iT%MZCe&F;=1m6q-@kzvg;1 zqdK5Pi;V$UJ=6dlsZ}yB@|~w`9*T)vbBwT`k8VsKU*)6KHCJ1eb);^=xWJo5b24n$ zK>k&qNwgH!E|~>aPZfWEDnzSdjE$Q9&`Vv}xN!A8rbN51Mbp4YtD!g8tVO%S_nAep z1g3j~sn1(j@wI4Kpx7~V@81)(qs+rriDdGxUyQ9wputs{2PdC(KZFw z^qs7ED;8+?PYj?~B{S0EZ2hd7TJqT7?aRE)=1scN#@1^^v`ktw-W?uj{E1<9!xd$z zd)pu7m~i;Ky|90y;i{A5MN4Hh?$Cma*-%VBU0XYBnZoNt` zEL$r0SUYqu{f+g?epnHWGd_gg#_LOS+g-9`;>w5~SE>O=>7Q?2_Th(Ztf@MIBjLyI z_M^xBw$Y+zW2|v{9eFbHNA78teHs4ACO zf!7`nza-zmY3*7IMp$|N%ll-<5!B|_E3&8PxaJ)T3Bp@Sf{cl|`;z}pkHl(Qc-Nos z-hp5;yjVD|`6DQ0&nr}7>KLmNW6MHuR@gk#7rv+2n~Ai$fs$Ms&A1D#M!~4#ODv&o ziC+rwqujBH&C4Lpb0HqJQDa<5@0S_tGq}>D(yzf9yVvy8cBjzN_UKoCTK-zG>zD)M zRSly}*ZmZD(8sR6qpQ`87gQu#@GQhx3Gl=u#Rfp@UaNy@S= zU(^R+!2q`a@1N?QqBvOL7D-{!5hfN(#HmjNaBBy@+)RRx8E4owoNT(v1FU^&PCG zyuf_w-In~-Lukh#<&uj!&KrWQOj?T;z09>GuI;n!k~K(2(-&BvI7r+~cAW~mOJmo# zF~f?b{T+zptb~Y~_d1mPv#Fg}NPoFzFc)Jenp=zK)1&k1(H-ZIvUxjT4@R>h&3tOT zMuFK;ff6lv$;Dj7wZg4TCqs8hWfH610-c)ZS!(AEp~EZH1~u2AaE7sx-DVcrzm`_q zQaiC2g;R^K9$?#0(xAm!8je2NPl<1#w zbj<6Hg^im+_dAwaRn@{mm}u~v|UaEd_h=^dYvRXmx!BS)}hF}6G>S`3wou^EhvX{Hew zDwyhWq{@??794R7KV9QpA`#f129CAPaY1HUY)BF|4M|5*GiZ^<3*+1?Y9r3^y;){&cBjW8Mianj(2JmfRVD@}2hX zFuGP;Efnw4lJjDezMtj^k~ zz2Gd7V(_AAegjAOGO|fKW-9sTQSXm6;%-i&B@$e5!Co3)D860iS!dbaCRQuK z{i8V?Q=4P?$5B`f6>Anh0F#oN1sa7VSMgM{H?ZHZ1g2^k0;pmSW86wXO#ONg6APnUpu z+9p39j~a^jR<3&-iVo&~KgO1OGNbk6?P3c!>HiIu(Vi#v6POxTDh_dTEl)3^WQiMV z($`kU2-_3e1%C$OAr>WyyiMwEI9ydotAGh%BN^-ov z;qVgt|1e8B2+)AlzaXMKv5VI(4^wQ&EJJ76DbnLU*YIq)D>_p>9Je9UuZp>?SaiHjJ?d zS5Isn=BRKehB-HX|~QdF=G==t{{U<;j&Ek;w| z0yPKj$+K9QXx4__C(%>B-4Ng2IH;ICrDtrvS&S`fd;1_&KS;8D5WniRp}MTEY1T$# zfJM#VYKDDwk^;luGRc+hFfW)->tWbiRz+fqTllp#e*cm$GfrN^eix*`uE(muU^f9D zJKLdyKSyGVI$L0obs$k_l|v~jDKNr8cy~kbsDS3;3WrixR^XeQL^2PxVDsNWNZb73 zL@!HDyTF&*V9~Z9UTI=py4N+mUGLOvtFxAECRF4>5wEx8Swwjg-Y1_}&#a<Ob>$ zFl^w5Z+!Mer+;Y2?X>pAwezeP%1d%bL{q)UI?5IQPkP$&Jume~Tz5g&M$PZsF*B9j z9!OiRWQ?4iX9nH#7;%}o^3&2X<77v1JH1eR2RT+1Bz}L~l{Q^h{^t6x{LL@r1v*yka^(tN~%9xfq&shmASu2RSm(W zMQb@_lC_ORleM0+oMUDyUbAwxon1~XLe#1xqDK&U%H$Yz5bQ0NET^r56d3*#pN@fI zt1;v=TCJcH=N0%bjuu(G6B5$sXu&B*?yJg?V0{=+?m=n&YHGVw1KUx^vAa*w*?%qg z#l{&bSOJ$D!%LWk1NqkN=tX1CZ;)ljX&eB;`x+0Aj1LSpHSxxoviqgeu~rWs?w}KI z9m}$hdH-VXoiWq8r6ctW%$o;)<+-t*UR1hGU*B$we7m$9AP-=qBr!JA?Xe%}=>9*f zI=Xn|0^}gyVqAMLl?ME(R;kvpXi_|q!(f-uXwin9Pw008W6P+QH8g=;rFww8(2rL; z^B%~_60D9Vy2wptnTkh$r{F#576yA(~vQD*6ZG~gLP zpph-zF3KOOz&corPDmo2p7R5`Kf`F$WCeDUXbKSTp;@{$j1~`7U@vWsdO$3X=I>@< zRP2vvyx$`nWS?t_8GMdO4+Vb6aV`!Gg}GONxQ=tYNRb#3=w9bIklh0uc3dxEwbY{b z2ixzcz|UuNpcW5z(v8@&td-iKUzoR+NzUk>^Q@k+>Tu*z8Kw-83I(OJ z>&>ca&uIU`+O9*f7lUQQXH<)Mgc?j$YuJahXp+c-Vd8R4gQ-n@RnJ>+?npm&71_6s zd7Jr)9if7YQriP>9J2luEXGNO($fL*y)S=`MmF@e@kY>g9p^8Ay#dYqBO~cWX0?`k zQd{jlx{>D4TVvxSl7@^eg)1Yvk@;}R<|bq4^xb%W}v}sgF@#vRfJ=IPaL-L#7lT@Y0=D5MRHIAXN@BdrPdVtKsRRF{t zM6=($#*{7jdWxGlo!=h{=-K{~C86DLVr6?++gVmB9ZZooTx>I+Hto7eLwzS(y|lk0 z!OcF+rA%Y5(D&-!tlZkDJ0Wy6Sa#^#nD+Rpx$L2}f-JlGisdcZL(ZKOo3}?0$J+IUVBlSPwYYQTt22Vi%;H-w&D zxF}X*L#w(LiUr9zz+RJTDD8TszzJA1amg>3?6m^67Ak zmL>J$2iGbEgU|zSMDtTBA3N8~qV~-C7p$LkWg5WfCf*MI@x%P#lS7V*DT~ z8tb&^y;YC6lCuzIKlocKR`qLoD-}`;*YB*MQy*E}cT51KX_(cnFlC`NSzz3x0o&yW znUxho=m9-?U2# zvDF;xPBy#leod3_q_#hl-)S{uiG<`uAU42XHAe2Fg;~DP-Myu(B?9B7B0gB~Sd2MM zsnVi()b-Jg$QNHPaC(_IfXR8<_Y|G{JE)T!z%_SJv;}sPn-=by|<6K^))B%ziY0wn@(t&Pw zSI^!bu)k%zwhD?JWX0U{fAun!)kFuftc8jD7W2-x@$J*w4|CtKN@=S#hrb)4Df-Jz zdiFlCeYnWB$W23kU~VuCnbd&?C0&|-rhQY(L>{R35Tl6LUTmANy_G4i|5iDTvm4K- zq^`-k$b4p3pmY3LW4e4uIkXe|QOwej9j~0DMGNb`*{Y#qZ95b@%8eP`aX#Jp_r4Iv zj7s&PY!I^;OYY8i{ ztdl757%wZcPNIDfEhTax*`2&pw{Cj7|AuLilFLM}3{0GN%6vMV>KfXnMsc?zoVnK4_UKxP35It$CTN4xuqv*R_S>5vs)dkf!ZuTiPeyy4-Sl@ zO|?`%7WTi*(shNm^(P+)3DzFh+A{^{dY%mS`W}<5zS^O!Sp|c4f(9Ac`RvvSyY9-# zkf*g-(z42?EQ`+WH-!4#RMEn__Lbfryg2*n!l;_~{N#S$c{&gp-^PpWyI3@dwL`FH zY4|(s`xW%$oH7fly=cxaXz;5qu-eeGE9w0XwNrzks&HjT{(J#%y=QQL+Ok+iQN+8z z-+Q1~gT{kt^v5769>7*v0^-lSIM_Az(cg+#ZxZkgxK@KMR~kMi?NM85U2KqGC*obb z%Z~GxJZKCw=J3G8IkM)1|B!s+1)QGsX@Ezyv4PzT^6AQS_~vupRC*`%ahA%I7oTR$ z?MERc22;D@W2}yP#e{bY8_qaHbJ~@Q>C=tBg}Ckn4GFUxD4LyKET%`fo(Y)Wrc-7~ z#)!reD5i<;jCsc@pj`r2n|+ZLdvE+nKW9yC4{KB3!hw3ySrFLV;D5&Jqa*2aJ(WaQ zVUg~g&(#fds=_52`|Oe1)@?1@7B+wx0*9U+aGGk>_qKQE3bOj^G~jUyKj{JSI!z~1 z*gZ9Eai5P>WuctW@L1n1;$A=!aE16VCLgnjQUefQ`Y9*ygO#H)ljEF9M;=8 z0pg8jjG_-iFUwIv7j+u&n=yPm5|rQLJA(e5qZIqdR+ID}2)5hVYR2&Wr>I3bZ~J}P z{#GJwwI-m;kM|rWVT)OGaN1>hc7C5#MhEgOfMsL%!Zl&!-z{_033he}4eg90&%>zO zI0Z(p4m@KY6dQ$4$%~$*pOYvsWRdG%IJ75jwiE86Dxb3nao!WB0=YVS!#ToMFt?RC zO=d-Jdql1k)+6nbv}`_e3PvXzQZA-lE$$LlWAkX2++cun9Deybc^^6%F`K)rCtNb!!3)kWvqy|>y7>h_B)e9=-yhDz_9c;sCEE|BakZd`-RZy*$R9~Z@tOK z#>c&e8%*^|ueAE=P+SKC@hpXR9B$c4;~%NTJJ&3b*+_;o2>N9k?MqDk8*7 zqU1K~wTwEvyh#@`?X^1TP~3?GIfT((vI{FI-m$l`h~=$q9vfK_2emKZnV}TBtBUh? zY!=}y+x_D~Hch;Lp+Bsq+AJlZ;!%X_jZZ;z{+dboON z2MH`|9(-U?pfb>~l{08veTBV%T_~b~wYu~qcS7DZzNz(ijkjqBnJZ!0<$YUFo^Azc zZ<<9`TAgG2k$tjtw#eh9l%Q!$Ndvcd1cGu~j(XlIwv1j)OeA1DKM|kFgVg7++Mv%% zsZ?8q4I|6d6?e5~y^U+7m(iHM3cD-|Ba)v$BwS)EnOd}jukJ-IQv2|IrWae)Y1jJ< zRr?;xzLTV})k;hL4jEdS`IxI_jHEB0mA{*?y3!McAQHwvyI}7FpYG%sD_ZjI)|K~ZY|KP{1GVTa&J+?-I0}c}%i?dL%4rR|%$|Z)PF73`S7u~rTzIw3u+Ee;;uzr@Y?f$?wHg}-mE_W9 z5dr$4dgVI5i2jOgO02<50=dKx$uZ|dlg0Epmjb(yIu&77n1}dg<-LojW();>!o0;S zV?d)0l00qA>*SL>fxW2O2rH}Rod^~A6C_XAaRwE5s7BbhU3g2=64Q%Ezs;bXe=0B@ zVhHj5>^fsI$Bv_onx(>HODYa9OT(VtSZ^s?_6Qv)5ZBIkxq~%U$C?nA=I6sxsO6DE zwC{TZ>jPINF)BZXji~1VOM|IlCRLe^ah!`$b0QoByZWain4YR*QLENKGYC6S6eX&%*2sEE62zk0(@ zn9T)S5_kvvEoYExqxKxO&Dy97c0TyK9RhjGo>2O$cY3#QiS)cI8)$rmLo<1W(uLSb z1kCa%TP=2tdgAzIdiyr9fZfOAxX)RFd$#`-O7Dj#;>ZH2$f5$y{dHpVX+N*NE9~QJ z7O877z4%648aEB&WQ?)hXmE#YmRQu90Dm)mvkGb2vEfF}5i?B$WDGRk4Qr z7PE+UT($YJVX{yvu~>nbq{oASw~X!Fn6;UF+bHlPE{2k!f~}ee#k^Lxwy;kr+BJUN zURL5R#$&5QRBl zb3JW260pLTqf1?DP*X3VLuqol4kiAUmvBURH(hdh?cOmr!J$iP*);7=Fww-mR$Fbg zwoKO<2o9yzhfw!UY7q;GC)6(@^ZAYJAT#k#lW5K|1um=$mp{6Z-@r=qKDg+%bxlSx z5#kv$5K&vdo}pYZ;@ORs9kTchCyz@CtN+R{`g;8vRlBpy%E+Cc)RuNA<-a1DFUOrr zZCa?opi5hh&f5&hFIApP*?K83hSW03oq>+O14sm|*+i9=DlkGp*bji@eBeN!^ZD>x zN;_SF2k6T521Y%NruU08)cI>%8z%*ZaSDoPH({S}7R_i?c?FHy8?Ef+M?Y2qalR#Z z1r>Rx!0&X)U5Rk$iMpoEf_mOVo24Ff8i(T6>FF>kIGjzx2Zhel=^tskam_nZsm>}( zI&#uQ8_wyGW`?ExEOwDP5tENBi0BQxpNygLKTVdRxlqSibtFU{M5>Op19knaaypob z;Dc;X7_?+I^~^GgZuz{n!nEZ3P?Y$<4#S*&;1;E-)Jsw=5d8aHs+`6fg zC=Kd{Xm|Cm8C3aI(hPU8apIcc2P~H7vRGf|1Nil>R`|4i&L8)SPOa z*H)_s-to{RZ#9>4?^mx`x#O1o%+}lr8goC-p+^f9n7>roV$H>omsgf0m`f*@D{y1> zk%XcbOKpauA~zioPDf|?+0|25w*JzJ=^2J$^l#OHGJc**Ps&fSvUo*^jGxkRy29U& zyUwI6In*mZ&TA)d1^6z4${|RV`fuV>$#<(IkYgn@g8sl-4{wBwh;vWuFO0nh*!T${ zb$Mdr%U4kCBLaiZ9Ogrki_D}nj`CP-ZPap5AsGG*t^Sd^r6_8zuQ6PPvEq^xP&p5p zy8Wing0E^|@`XuCob$WL{D=t6uvb$lSziS%&H*Z2%?=6G? z1JWQ73iRh4GpK&Yy)xP>q$`s*(%>G<5~I^l+LBv^!$V!ySmcOHOOA&U*>!DskD^qM zYrdJKduJL>3j4l(q=Dy$=1L6bbSUB-E}TLtRdG5XLcTz`nG}#DG7Us;U)b|JI83fG zlQtw%;7~p4!JY$?x0wcVriIg_Uy9oMJFc~QXwe?H#4iVikyAk}EV$jfjgD$4I3(iD z6v2Vf)*X09fMbNgzrQBgMc2nFu(qku9z`I4ZEC!^8%9HVDlmF~Nq=5);Pb^fD-2!s zhG!aLP1orAycl~RIGl|)gVr|;qh}KqS}on`fUagV{Brf&MjA0dbv4WQ*_`;h9lGvv zXc{)&NTcc~a2;OL+>b~d$#G*~qltO$(_8`oj|p z!T*7$4!I8{o}}7DF{UWqBX!B;J0dQvxBtuORu5JzvYyKg@xwXJm#P&}ckFNvF1gBe z%?vI+jdJBxVEjy%RHjTY3oigVK*hfd2RfQ~%52_#9dvb=!hvGOMh~2I(@olvIG2qr zN5^zH@QYI1bwl}|_Kl>vmDLP-s}81CKrF|NIkEyj>G>z8U1-%5tCPm&_p1$a4`VwM zv(BbIL)3`NOKaN!_LM)ucg?1XebfqBd^St8F82JK8?F@Zg}*+J_8 zA`sUS#8DjRJ?!2^>bN7mJ#Td$tD^3p<(><$IVGt`k7^90q>0*Cyx~q=O%Y~WIUt-Z z=Xz10xvGn;rekgR7v}N;Nj~uHRsR*)mP@P!v*e{wQ}2RES_F}Wh=>9v(3Md%YHcgY-A%s-s16!wAiAC7%vZ!eZ`n=He^KDL!j2pM{gOaszbP5^P}437 zjIp9rCVm$%$iQi;=Fdxa)2oUKto1UwbCoeSLa{5A_t4ov3fx;4?8R;ptx9@>G7iaU zhde!ICD9JmG8o2o|0`4`Ac?&=Tn?;g{&zt7Grzl((a!#PIS8A-dn}bBMq#eA$ zE1Z1B%aNOOBvmf^WP$G1BT8;j@=E2Gq9HJ|PDGiJB$LgXsNb*;PksD!l4Tkpwb0jKoe7ClEg0*DEd)F+U z;5&>Y!6Y1{(TctOw8~1!NYa-?f{PvtrNNn1W#Y6@yi3Dbi{Z9KiMG(5A_~kaU#ej5 zhqD@EgrL_nxBE@@O%pld(Bx;wtVK`K_4|%l)zaf%9ClRlPL|iRv_NPn@)N^3oI;MzMg>!Bo(e&WIRGyj^hp#%Y zBOx-B*OB=x>U=|~$-xog@A)i|#M%)=;bt^?@IUwY=E&z`#Sw+o(KHHeMkSjSq|LJf zt<0`OS~BBm-(c#VzWWU3aXJNKg3XG=?azCq0zx)WsYN|jS@_us6SQs742=o zzfz(8{jGGGH^>^lFt$@W?nHXwb5_ja8jX(;j)=8{eJ9eWY6^^ZfMjss1+(zsU6I7k zot@2e&XQ*SY?-xv=o=dk{*Inkg zNtVFUw_8U8x89&kZM^(A3e@#0td^E-<8-_Cj^=dpv$2yJzxgIc-NeL=5ZHYF!iBUx zToo=iLnDarf2aOgNOSY3J`}8@u;4}G^LhkL6KVKveY3k)%Nzd2cptALcSl=}9NN}DGW9gUrO7aP8h)CiGU=|%~ z?RVXU#PI|t?@+G(^dQd;+P^)k#p~iK&XdDqF;Vtvr7B1*hmNQ38Maz@o)4}@ zR`g@vQ1&LAnMG~e+@OIoc}S&;$1W5lJPtE^ZCFKXy*|;g_Q4i@@lfKtJi3u)7^mK^ zwvbYNH>5Xs%%+aEG8n>Zdv~vf(`(-Y*58`A2p~;b?^SfP^Jh9bthLo#d+aUy(n!Fx z%HEx-Zja9(_!tdO>%IJAln?@WL;zke!@MXCqGJXrL4L04nkk zPBimSj#YFaG@Npt$z!>-o@sd_F$eJ4e)|parl<6UH`o zhh3q7zx@TQI(+7`6o`wsah|NZLgOAPusiAzUrJLs|9*P(BD-J*v))pAeu7kdaz>CF z$VPIq_=gG9^$^7K!TSvo^{0S{pASZ5lF8m$AkIM^K7D=FKgKn6{u+K7NXATukxs7= z=em18KVYH7p%x^O8iF|IUHE~{`ZTlXdnR?@mb=EqV+?+04<(}1V_r~6puq0T;UiSO z0TOdZ&!Sh=71%XPgaZ6uq3*M&T6qN?smBhHVBJY3Od8&&^8^`Z(V?^}TZ~qu zNk`r*9#~a0_$sSxl%mP z)eK1|(9O{bn>i|f@ABf0DUoQ}C819WS+DelC0@Ma(KCv{wQOyuNxOj($jR8>vWO*u zH^SU*O3C;B;r#~)*pH2>7O?=|hcwUwvJ_bw`%Z~6^0Y)U`#3 z_dt6j=Megw|DF)f8HINW@a}D1_h0hwBmsY8#e_FkFkT8`2RYiI1p?OlF4DwPL9sHJ z8*F&dg5L4@$VpoVW0^VnwPwvUs#NL#^}Y6w)lFB$%NUCtgi(D;h0&plDePkzhFY+> z{9=1<6s1x~m7H6~QQKQ;g=`0VOb%C{hk`u`*r{Lbq_OIdR0?hodjVJg%mp$3Pb_<2!3?%michT5CCeER$dchxq z-PM{OgWdG6jR}|B{9&sLvR_;mYY4nSQ24?VPyEipEe+$J;4t( zxE%&X{2FXjtGT>|gfyPmE;uFh{`NohZpfJb70t!2$YAF`l1GA3nM}y%$=g*d5FOxj zxXlynV!z-5XSRpiJi*;`I86PaLvQMEsEY*tkKR9P?3ip+fx2%i;HQ&rVZ{&Ea#VWx zq<=aoG;J)u_PXQ>M4F0z-u8frx#bP+JzO+p*^yUHc|3&X-#VSC^d@!YU1Khqvg}xk zG0h>cO<;Ay{%cK9d)D(I)@t3&m0^hD-R{ z2v$VX9aZBU4I?|M(gH%0X?95}_&PmdzambCl<``BSMQznSekD=lbXu5QcrRpAb}U5 ziGOkoWqG33kvTEc06YT{xCt8FQKdVz+jpzBS~mTs`S=A6=w>JBYV=77!CFx^vq zflD&5>`rI@P)hUs9WB1q$oj}bNiphF7R?xkU^){plIm1fua81iQMV_E??g`&Y>lMj z#T7US+gzq=CNgvsGW6fAbLecDx3s)oxV4z|mhsvnWD^c5F{&MXLG!1UmZ2o{mT}QD zfH8(Qx+S|!XVRAuFjg(ZCA(3xR-;Mi^)KC7sjz=g&+C1IL*7Ho7QaXl=>9Tdwyp6j{7zf6Yscr(VeKAE zo{kI%#<@@qgCF&kIgPM~X7 z)D(G(Hj6*PY%OBKQ#5|dUWxV{L5dgrT+ve3N@G3aQM)j|!jmUsB?4#U@X8c;X$l3F zU1IT$Lv`Io>G=s6Y6q;N_VusHxO>v-&Zb$Q=U;7Yz(1`FmK7wbx?UWI8^^B zggTpQ$6-fKL7DVKD8T>e6r2{LoNrRC81M4r&Cak*(hDD(0MYIF!>le^Z!Axmcw5wnTX`lu@l&M-fh=jjBM<($7+}AiFq2l4Qs736 zB!PSidUHRW};tK4&`R`bB}yvn|CX^6LYS zK}^p}{Qqpjhn_{GpmgM=S#NWw*GO98jF*y&L7ecOgOKH;+4(V{-$=@qd4hoX7$Zfz zF7sEAKEB$zh5R0o{@pfauY8%F0lj}qbm>@f-C8*p_H z=8L*7nIC_vFTYHyt{iZ65ax?-j<%q(DGSO1c`cp5i`^c0{EZ=Wu%>!{>^6Hs!l5yS z&_YOKKW+BNayyF%9{gY8(3_MsVLEx05x-O~)gcmmuy-JNrP&~o*VeI?9i8tA1e}%} zKh#lQr@>96_8+jC{-KWJv3o?ms?2*Oc?%b!<^8!4l)v>k!f)N-_oyTV`G-+?Spw0L z=l&2HlUJqx5$%%l;Jpl`NBjH9fYP$0g86T=Li0v?7%3Dw(8vcNN>{Cef0vp_DO0asemO!?~xh2)1RKT5@cq9Hb*P zHOz7ZW4d7-CeyTtUoYFv*wGd(-?d3@CoES|He%wX+sHUyfJ_=3KA6()Rk1c#Cs9in z>K7Kr>SJzw^tUvqP==<2mo-n1T{UJdoD+gy@wBCRFq^T5KNIi^6s1kUlNC>6pGTaJ!?5eu&LMO(i^4v_eiVm3Lsa$o!jYP)SpvJ}-J|o6mR(r%$a^fo^}yN~02evI@Cr zfa;JMPG52P1D#%-R`$*{W0yo*ja?pdD#gjJMw*~4z2IgP&C!VF(S0XV|1!!f@wp<4 zzxmfF1C8U%%3Y;;n|AS|q7tAdL6?{Ecn|ooF|<2K zf%#M`bryFaq<>KuRhOOx&1*_;AjLz7H-uu#+VrAw{If3jrY2iWiU;;Z7|UT6T{H)F z3isWo%RO(bcML8t{0rlGMv?u#Je_>k%u1>wwJ6Me8o}PI&u~h=@s<$(&NQRNxyJ7O zbhI^CMB?V8+gU7oFpM@k8>wbfAFGWHhe8}J&K^!Qvq7(7e5}oQ-sw5c>dE0Cid{n} z#^b1W%1)R;{d%boRICjP3XR;k5aqk&ax3vP_L`2AI|)ioZjJA~RDP8is&(dx+Ax*(CBmufVQZ z=EGwd(3I?conjSCZC@W;+u|3u)s+m9`YW`%yQm8l-o2eN@^&Zeb`-rUF>!Mf!Zo!2 zI4bsD5ns;{<$+}SGWY)WxbJw=Yq(Iw%qkrh+^(b&Z%ZnNCiW^15U3-+eZ z+sG$rTzO&W%6}#AR$@At6_n%woJ7)x`XL2RqtR(FEZHBDVcOx4h-i6`0ZTX@UA^&xawt`DRfB8q!0`G9<;G2j={HkH%Qn8r3`Dp#y=~ZYJNVi; zvR0NMw0LYCBRWG6Eyr4Sq=SziN|vnA9-Gflqb(c8Z1p{k-NY}K{K_s~!w~Ed2$WRZ zIFo()Qd<5A1c-EyBT)P;@(o2Mby#NFI}T>DXI|aSPsru_h-NTEyHI=&wQBoKYU)s3 zQzt;>y13ndeGlqj`or~i*th^nayo+?k+zfdmX3-am-2CUXacg0r9_X9h~9j}fO@6x zC|IUo{%+Z8?AZJwencM{gaiqP)h>Fsr8~jB1shBIk(KEN6L)_qYe)Ufz9+Q5MV%bL z{(DGk8b72kO*p^N`l`FwS+H74jy&_x?$eYu&U<=rex2oLkF`PZ;N^orC)4bul<=$? z_2^&`+Qw~+G-v+3lj_7%yB%2*kMUhr^ad-B?xg3R6gDg^m>kkU2EQiFhu-H3qaN85 z_6c3rW^&~=Yoa1+$@^FDZedfIT*tpKofzn6@0A`xf419513y%gpCFJd`5SH>hxzp7 z)B`BAkP3(T+G^vGKixgM*nt~v`G)Au;yWzDC+hRI1)mWDG8eJ^9jV^Xrr{_|2^UfIF zd4L**{@6f^ws^@r8dS}{;1i2-ZKTvkWHyXmd}%%UkJ?Dv@5yYw(pz%=C(B3M#17lx z=(vRb{QCyQ9X(XXF+Cz-qqCy~e{}oB89}Q9w}~ag!<9cD!}j1VgCEyS9z-PzNc%pe z6nYH{TV}w0CtxYX z>Lj!0ac!1Q6+cvBK_6(0 znyD#x!2xSEv&c!0p0Z%R%hc`JCqiG+PAT*^!0bLeXUEvXXxJgS0u86p3C?rJ;PM=K zSflsDrB*n_79kK$$`a7{juSo&IJ_CfteuN|gHrl6S4cHroKAKVn0bsQ|J;IO7Gx#h`-%76DJ2qHTf2d!k9tq}=bL<_$ zIYlmoHI=Dp>$`WSkF{jO4lAr#*+s(>xW>;b=PFisx4u{j)d7L+=O1e^2X3Ktbf2)5 zu@@5;oVy{gVgRz1YaF%xp^4F4WH^W#lGhzay<*5otVgP0xtO@-260vQI_GxXsp`g1 z%;5m>RPK2cKJXrG$`YiX;<`mY_QVXx5_TC$pLWZ!!5?fp!tG^*JcB@7#Vson`Rm`f z_Yj=lMd=_A&s?h)oeStCoaS@Zw~+Y{l%5LyJZBDYMu>-%L9%o#ma^6Ri)_W(up-CxY^swi2Cq03&TaL%4OH^lCkjt_U+4WOGVO;~ zY|jePGR1vL`DUdPJA%$CtfgU|Le%ih>$7}0q#~$`yTgZoU@tgfrp@ju7D2uuL{+vWlkrJn&YuUg!@Nc z1PZK;&N7NlUwSSS3Wmfec0a?+Z2)W29?qs#&0mP@W>NL6!Z}>gZ4R(=Kwyi|ZgoX> zgZXj%csG(v7a_35XxPKr-)Z*6JR-WsVL)EvlCSj!&^mwVQ{QkQp>ICQBS>2Y;tHuT zDg=o6HKA``$g>Fd23ohIA@uP_nBd%;^28|7kp^npvA#bInfE8C+_G>zBS4~9@j_H!L2APOu|ulen*nj& zRp55N>ol5bv^*?0=Rak;D*@t{KwPzZ>i*Jt3>LL$_dXzD5QyL8V}vVqkcSBzVf+f5 z7Y7oCa%uCpF0=qMYQj5sHplvWf=dhU;END77GgzD-(r@&ju7=L;Od#OGe@FyOK8j& z{3-8{>qvkVE6#>fx(@!Tj3`PoqNS&I-7D!mSS*4y2gH36c6hhoaGHHo&LcnNb_?Qe zNQCd)wdQ&z9n0$E8;Ly9I}GV^#UYAz)IsZ8RnWr}aq*+y@ochcI2~wnNXQ)T(*$vZ zyAD47)$LX?zcew(8c9xI2j_OcSB%{nMlG|+=@?v(D`d_Gr)!Rw=w}riX2TzAmKIIjcd}U=62z~zv*5Yb)L0m{_#U4++}=i8%D6@FZ%$g?hV%G_N4>$p z^gW>rM29m#y_}{ZKBiU^v0hPhb4{VFP^j(gxlxqwcj@+Ef}(A_)RedDp4A^91k#1?JbM4Rc8x zkcwk%WW)s=@jei@_NKvKw8|}!8q8P|UXj;A^Fdx{r@|Vps@|or7J|U!IBHT?RS(If z`Aud7irV@9fI#;=*x|hL-zY`C?0iq|Wj$@A{yQ1ky{gYn`usDln4}J5BeJ^Km++i7 z8V;vDIi30R{*SKK^lC9|}*1)FLDv=!k%5FSr_zk8hfwH4o z!o&sV0o(*>aLV0ZPaNpnr~DeOqH@pVA8RRNmm!~ef6&fvTlIBHs2!k<*60l~j9W$D z+PoE=xj<=56l#e=`yMx? zKIIMi6!WLfhrUQPF60cyatPID(L0)-Ae(FQ*DE>>Y!ayi%u9UgTx~n84f1hqeO^^R z5y=Ea_Or7CX|q!v&YD22mXI{?RCQysvH1e5JBv@72|Qlf5JGv{O5bvWr6!{LX)(B9 z67a8tnleQr>mY+q&{!=zE+6ktV=0pU~>B%uRxs|`O@h`uf4Y2Oa-D& zet1u_VkQuQ=oy8zPC$YZ3j_M@@ zcA6Dxc2v8^d+a`|1JFHTt-2d-52m$+LIrC~Mw-E1bX1iQZmNc%G;{84M3Vx8=~{C+ ze{PoE2v?pR45-q03B^_*kG-e@x_m_fUwKm|nCdr>FQIs)urwkA1^HkC%jFKdw#Io* zDfA2qro$s|a2sm4J0H#dJ(eHN77}R**P=B+H#~e>ELT9{?5^BJLiE&Jr3fV(auc)# zB37(AjdEnN7O;rY9nr&yPi=ZnrBW;8mLFD_G`z{C37#!CmE!i7*{#$Bn&bdaRW~*( zVN1S%Lrk=32p;{$|3%#@%W>!|7M5^5I4RW;=-IH+pTfkIuA-Z3i3nTN@b}=^^z@D# zuBm2g-NAV;bW|sr&!(KaWj6PcvJV{#&PM@JN+qRIO%r{n=)au+X-Tm5Z~w_OKK?=h z2RJfOBd?9+umN@TnlqK+XIT_EI}2Wv$Hx+bXxI4U*Wxl8WtyRQ3&{K`WbU1WsQ=+= z9i>pWXS%{13HwgU5^?W_SUf$lIq+zS((llRdZxTwT6knE>vP{jJ*u1Qmw&Buj{;K+ z6nf4792f4krUG5^)A?gD{rE6f*>03`6k{WI`<(qj%aiG@*&|l#^Hk}LU=0+s3SVYW z^2!e>vm;2q!ul2(6FK71^H4bLD<$J|TtnqGV^VTibl0xS*Q?lJF+~vXs$!-}s2fL-I`ZcqN51zZnDACn5buMiCp{Xk8g^@ZNqv>-i4KFUZ*Z(< zezc$qjiwR~P{b(-g7fs8>xc*E7Vv%;HESZ>9tQ1#_+m5iP+*D_kclRX$MM`9DWtAf zKsE@hE%4SielOrK6aiTi=-V@0V;~DSSYIlIK5i1`e5+K_3v>^lL;0DiI^A5WQz`ck zohNCeo<@OgQCQOUb6=_QlWeYzT~Fw_)hzW1lx`32rC-}iAGZ0paCt&g6;kCz>8bEu z`*IJb3kjuI`m$Jr0ry4e*r;2H1-$`VwOom{la=9sM%?ihjiDZ|W(y-?&SY=EC;e(} zam8@2jiV{`0GSPuG((%?!gfQv#ey6mwPX-#Ao?56grG=B?Inad1PWEGMS34XUP*j(cE5`j@5a7zU~B zN;I5&a&4s^Yli6I3Ttd@Zg)QB+%afaIE~&dfef(--^N#_@~{OaSTH!8I_#0zL%6xZ zzP~_fJiQX6{l){2rcJg|y9TlSvMAQVP?`_i=Nqq{#CGj>#Q?|r z&XcJ^3fUX%<1aq~FSRkiu7!tZ67t3u9iVE@9P!!wW&+y4%LjuZ{bUlWM0C*NYG<+H zM8(L#Bj!8TDQlVTLO&a4_F8IR`ZkRl|A*c~nSsUH`;>*~^}H#RtbIkjjq)v4_Oh03Y1~~o zTsy$LdTC6tcBfrFv3lK0V~^Zj$mhgfA@l1>V?$ve`{16G#xm#q)9U>P0Vu`cTb_jn zQorwV0qQ;_wL2)73sO_1_fD^i8w(^_jxo#~r=gOf%ZHMl+;ScQEe)8il%H}Q)yfTb z@`>y+4TAGH#{IWr*}y7PCW#;Q9#db(nJ^;p*0De>KP%n6=4nkD{Cpan-}lMdvRfTE zdI8qPefdCRZ>4c9%`;!`tAx4^PV)yV)Ui704hr8bU)2h~5T(zk#kVR_8}#r)pxJ`>k1+6oXxZ`-Yy&c4t)Fj?@v z6Lq>QJLv7~s)U91hdie+tw<49c@E$N)`mmXyZ|+_SHzijLf_y~CZ+EpxrbXPB=VK@ zL5@%0yix9|R3==f*5|@>lh!PF`ncfy_>GZt^5$Op*<+;MRlz}#*0ezxC)56HTWN5! zPx>O2&BxyaY1yE$CD}gHq};j-Q@za?4ubQBfcQ1Ir^Zz}(u?}i#!Sw4aA}7>NGQ*Q z*}0Kw9+~@jzQP0ISF>{$Mg-_VD!RwbEAAZlO}p=X$+i8EFu^MdEq9T#i+^JV2a)EH zTL6@prEnkS(esP()~df|oS_wf4-(T^_{%d1I9!Lo>TqH4m&#tR;J{}B201FD$52Sc z>KOjHoV+Us^XdUpsgkoj9r(yg12|zdvv8VOVPlP~IqW7lDD+Ir`D*;nMsk ztJq;Jgeo{vLTxbbq?1>e%Cw*VbJqs(Y6Ch``QwGD>F1Vuh|<^?&|QT`uSLA1FiZ;d z7ZVGk9)!}h19HwIDcg=$+@grjpZ#6un+Ify!SZno1kN7}cerMBPva`|ZlQ&U zAIHb1;emIT-wbLPD%TA1jT3I6hy;*lET$19iRMm*PmKYlUQ3m72VO@*#GgpRb^UYvr&dH~(JL?E{Bh0_-c|u}{pf*0=CE z0=$s|Xr5P?&mi}^Ksdr!?6L=Qd@)Oq<27QwRfUgG(Tne>`s!8sNu_T-a4XPV8v0(k zaslxi{Pg29w6|k|25UM8}u+~lx$8B&9j7lS6qN8%T&(ZEIP@96o`4gv{2UJ)iD!&2Z zgNk<{?POu^^BgVdpWNk>ZnDn1G`!Q_uNG0F+#J?$#C4rDCT0%4 z!oCy2fXjr2Qoc*lr?UQ~3C2QC^cD(L&SQVt>EZ?wYlw_{mK=?F-eGzVIqzUL^cr&b zyj(s+;nidcUoW!(U%}g2tWCR%Zg^1jDRlRl%r=|NYl1Z`BgB$))?}(WLS|!DtGT2gMD=wlwRwoM)~tP>E)mR9S6&a2%Fb6YVK)h_u=9LXn*{} z!fBRdn-uX{Jv6x;9;)ki)>yy732tQ+LyAXIwpv} zVWC>V;ALn`agOz=r&Ys;!nBJqtTnYhHHYtK{XRklq%9DiAO5Ny{)zHUN$pDgc#+;y z>ANBfjBj3cYt{VM(qH8si1&i#BJaK^DDGDoRCov#yee7aOSxrd5eou4>8bDK;|5w| zgV$)2;3CDHk(9QU?B+~;CpO()qw9R)&Ucv&eTzaJA?|o+*tRsID4TP-5DRQfET))@ zYCpj5dM8o3J;1~lr3FRGoxnmBG1F8o?cNEur;xfemB))-t5E#9VRY)~R8jW^29xM& zc-?Z4ww+lsSI&3Y+`~CVf$15={Wxfjn|9Bl-*(*>x1Zn|0AZoAAeM^wP2R!1sNPhm?~VLsPZ*IXh?z^RdpX`O z_&v7^?}@NWLpZKSh{bTzf;;!{v9x8g9EalE8u6kx4RQnt&xhoOzX^mqJ#)lo^P3@% zXO!#_>{G2*wU@nps`)CXElbxioH>a^g8!6*T!2nnz<4y_u;{nt)}Ut={FF_T(cW-f@^0c&Y*o|y-IgP4(}f1lSpRGq$w+8 z_F%@E=%|F|mV$&bf2^c_s`{FpKucS2u2xc`dp9?DzW`SWSu9%SKS$C0S#n*^0!6$A zH{4MJ=C6q3z2I|2oY#Q!YhplAy%)q%D;x}Ky$2Spe32)RdN(~K#Dcxc!b(;E=SA>P zHny<9Dlsk*KJ^+mS)MhpB4`;k>Lb?#^OsW60|CVYXkFlhWpp)2X2(!Mwf=uQZzk;@ zWyxHuXR@*r?pR8@9J2H`MV#Ms^J`c$#xB zr8@lu6Ni`B3`E1KfphW8##;u{x-qh|!0L6&pNniImPiqHL>>H}=WYc)Q(X%69hlC? zf0?XJdiUIlu;*|K9mf%s^*-H?7OWm5Hq|4-7WQ3-C7@waO1sIok2KCNBWzfxXb<06 z9q8`FjB4e^1XKSKGQ!4equ~4td=@WeWw1vO?{?a4McAjQsgn~a&SyEFikAt^(B?n67L9joM)d)LwZGx%&2xX1ka(g<`twC7_y@Wc zB~&b>HEjyEl%F(CsS+F_2f&` zmI4#!rPN7Q=;pMeVup`9d$fW!ReC}*+wIlYsnWd1jkojQarVL~a@C3>#B!chBE+&D zFw2BT(Zb`X$P+p6n8&OMBg%+^gU~um%y0|OHjCybyh}IpfRm#eU@d!%EB$-hlz=7LrK>pLGSq>(mdY^v7g29I@A1DVWk4GR=#2}QMi07dU4&@upYM*@$Y$?X08PCSl6<%6f5jFyx0 z;M%JbP8xG84T?5LG;AH)q?dgwF#VS0g4191z!pQxuXLvS>-tiXt55X>3N7Q!n5kR2 zyEJ!Y8u5x6Z|;R}`Hbd$Lc6aG2%~Rvq)O_mK$NF#_yrh0G)4Sj>|6RG#kPSqIf3&o z@wU^sYO!6DN58k~ZUc#A!Q;rG4>V$Hde_RD<@Ho5IGBObxA4f7wG*AGChIQ742dCf z&@cOMG*_rZT-II?#61(=LMIKFm*9!xbT!iiv8?Zba=9A>=c4Y2Wqs)8FnaeIkELl5 zPERWT5Gq;7MkM;sAg)$05sCN+MLdYo7pgBp_`NHR(y_=aiv%~muo?>v&y;q%-kUH zLL{Ndny1EO0sbbnS=uw(jP4)*&!s)fSf5Se)>odNc$v10_PPN_$MH}$=JRv8)1_=o zkP#>UeOum)wkFC&r<+(2R;acJ2UZLIyc(aRiNrBYx8M3IL*A=QEC4+op;2^lF*kcLBZzDI59FDsBEmxv%8+)qPlFvGhhDl@T2w^Yv3k(ZAE> zdZrJoF@e-{W{j*f)+Jz+&h{%8{aV5pRctI3aZ1<2@mmB6%>27c#!#|5UT+og9_`l> z7T=2ZPm>^2&lJou7el6w08=M^M4BizBW%wy*5_?(-(K@A%1SVbHNE0IREu3z<}S-V zOQQSn`ybgbzGj$LkfR&E`%-gd?d5b8zjH!oZ{m@7GgXk|_YB);-YmD6wFppg>Ql^} z#r(!nD*0Ool|3ZKB^Q}B(Z#Ok);l_T_Wq?hopo+fPP46PL>FUqrel?g(WyLZ^uEer zTO3@vGMUbPze>xyeAoR|b}dY=x5lIXqTgIu;$?GTS^iIUTp}P_4VcZuBXP3Xw055a z6V#!qSM=Sp=>ArjolyBTzQdRoEa9Qh;yb>kW#2qw!rS8U=v}%eP0uTr-elx9G3G~F^Zz?nkiiFOJyY%aaH=~;?`EX$AW3`o2T;!P_@8t zQM##WcXuJ^y4efBovP_acvY3mABzfx?e7@Yj(2r)(0OyXi_(&2>HdWPQIPUJJ!1G0fdlI<2G~>t!g3mBM1iqzlM|MABbGeL`5v z!FdIs#WV57S84u>O~>`iNy|p2>Py;GA44M=%eBz_j#bXD^#siR@wD%aG24nm z<%RPY4VWbb@uHcB(~@rTtX_<$1Z%jpId5AO{hz#bF!M`grRbB?TN_ zb3sQqN_!?O9TUAlVlL?Jjnc}9@ZztHl3HDOyy?uoL%sMrJiMg7St)b{JYL%vOnGAI zO7t*ricn|+rO^JYI!8Zlhx>eIPu>FV_R8|yl{>6m6d0I4-z@P>+)PcJSg$*jC$WylB9P+IWM9&~Y_G=C1ECGlm* zd5ebq(y#HY#eB33T;u$Xe^JrTQs0GGEdSxpvF+xmigIIuD+e&4w%uS8=3#EPfZ{h0 zcLNi#{tl+o-IoccxnDt%7n22PaZy^a_V{{r%jMQa=}#zK_-A)YHz`z0gaw)vT3+VxJ}v({1fQhd2Fg4Ay@-)b18xt?55&kP-uDmG{bV9n z)>6Z*d55X!*+anJveeTlXC`T5YKe)~)r3R%sOfYjL}u@1YRy;?+EWBq572n;B&=i^ zoMt)@_e{LxU0R9trIOm0rv1gcSkcWzV|fZP+P8gSg=bzq%_a!+P=VF)|A0c!lC^UY%UNrvsL(wq4 zGR0#GECq-wvE*JFqVuey$DugS(fDTH!uiF%?ENuIC3yP+6dr|2nl2bg{(*98!1Qae zfx-gbDnUsh>XfKh&nmi|*l(=Lw(1^R%E#5^LQ!!Xz+SFfV9m-dbG1JW9w|rf=5YX4_MYF8 zy8c?j$2YZWq#LH=g1}zlF|bHKdQ?`H<_iZU=bOM)GCY(*BL$)s@qi;eXxaS`0S8lK zolT!={ZM`q8WR_kd}9Sg%H6K_Z&F{}MiBATmN(|lqwr;oCd zKsc^w5bCm!F?1?og~}U-gQ$B59M?~@E_R+cu8AkIyQZ!S*E5@*%dBDS$FbD?uWR&b z@hH8UGXEyp#+ECn|sLgup`4Zl$qE{@kRko$~L&i^QZqH#OFPHKxUf z9eO9Bv8C{e?_r0Y={eUeY|Q#n)s1Nh#<4X2wD2t%AeNnJT2iO}Jp@`}D6H`YjX>?5 zC)RMuHjfm&L#i*2yHb4KL5}M3vowU;dj`5`VQ9*}G3deFKO+4Ox({J!YS1(6pICn8 zrB4yR;{Ou4SS113T>*nS>)044Vux}do&{pbJAE9DSs}Z3%=`=c-VWloAQsi`1J6Q5 zIOk=d58s5-m4tGK2*Nq>N`qI{@{!f3VLqRI?j9n&qNx%m1}IaNkF*$#aC^7WrhZ_+ zGu>52lmW!!d5Q%SDvOj@E`qL5t(s8T>-$ybt9N9I54!JB!xrZ^>iyhTI1jAE5@JaV zx|Pw-*2&eAdd87+9u>H5A(q!DxQU@?PN3cHRogjOg>z|8P}H+_9&b)_W}vXU+jZVC zAk!lh6dzrGY@u7bUQor5mwJF=E#g=FU#D%aC{>np;>7v^$^@wPId{Upt9{ThVw=p)$YTlXtH=b))8GrBIoYcR;2}k11H+iWSFjx8TT+ zy31zoLxTo|3BQI2Oz_f!gDv+l)Lr08A4vQV=E~)^J@|+7pwf2<=F#zh z+uU1B|np8YA-LOGhl)rX>CJ(;+c4o0-0myfICse%G6161j;EORX$ zOyz6xY1AN#+%YhpseORd55xR<4NPRPpe3ToF@c$Q%1?=}_+e%*Mkje6F|ub$!!dza z&@did_dU2C&-s>e%D%e-=NW)=&lH`d;Wgc=WPUO0Qs*HhRI5y#B=xCpKrXscc&-&` z;@Vm1aud8BM+@(tqaQhL>2Fj^`9xW_yBva-^SAz?*FK3{s}m=+PSaipd2UDW(yVA+ z3cS)?oD+;?+Fo-W>%xXo_lweiJE-~dPG~Y8SHO$o{9n`8d5MJikK)pzFZnG7^UmuE zHTV6_Bk1vH8Hn<0M%l%VhFH4b@!v=ljrUx>il#Qhw{;NK)Pt3HCn1fM(AaW7(KE5v zl;+*e}3w5dMCvch*Pb!;gElc-f| z8_$lVzfZ{Qeq5XMEfDv=0Gx`jd8xKDNEP1+YvLm>c_a3^u9STPzqy}1N&i!|yA0~C z2O~<)$CNEFc8jB%k1$$9FE|s#Qvj*o7Y?C?yChmL2_l5b$Mm|jX=t4yo+{%meWa>; z4kFE(AU?3dE^6>Nj;p^m+qyrLi$$cnp{wX^W;r>J2wOBPFW^uT4<)s4WtNIARt!8& zqG4TtiF385)1d&lfQ7%5AaDa~HGzqe-KUdJdzlSKChD9Fjje)<$oFjq6^K~hf`~z6 zFXSdTYQqfT=b1@KQp)u$ag@vt11RE$3QVt3K5?2$r6XF!|J_YIjN;ZWK{;Mj(VHtz zR?hSeWo9&^L&YZ0o2K)0bC1UfxLlErg{>jqz1L|nZPYU}GoszRuE*_;0kPDl&D8dQ zT$ih8YdzsSzN7Ja^v!f_qqVA6Q1yoeWJ2l2b2d`GNAl=qv)yHwHs4du%US-qyNLpp z$Mt@n4<)Gm5yA3Ph~!CaB(HrIO@f#snVO0Rw7uQ0GmK1(mfM2XW9uQa zTRYU4$Q>Dek8*#MWvvIOE8ea(!ml+52d5xs>i!V=&{m(>el^yHfhAw+!E>i2t=uIR zv+F|c8Q@;hM|3y5AjChw`T& zR398^By=hk6ResF)PRvcwO?j&w?uUl6t{9VCXGzQoKOx=%6c|Zza~ZV8`L z1_&sP$o4!}$?RGyF(LaZv=yx(Wh!~Vy7!`%9xiDYFpGx+tbTTz-5Q5*ZpTx(PJ_7& zDdHnZ1iaKu1o5(nRE*!!%Xab{{h?opMa4_OE&Z#Z>@Td=RSER!v~f=ow+;RRfng?EI|{#-hvGLXbHF+#3VlUv8kqCgU!(L5TdS3YdYah#E$AF~xuPe#4*+MbWUBXsU}@hQ+|9S{o+{g9OaORDYymP4D*)jW-v z5#))hW`|Se#OzfP>O6ZFW<5cTj2 z=un#*Ck0MSB`V7b{ip|@pJ`H>8)d&x75vkBkcJc`NC+T$s16h#XIu!P$y$2nCc~Cn zsHc0f(HgIk33MB_;lU+Ar)Ld&N)iJ7Nb5du|FK>7w|eh}i&48KpxI*K=^imZD>^UD z46oSY;~KdJu5v{ zym_nTy7m_g`eppm0VT6Ft*Sk?qR)kJkj4-n5 zlB(mh3&$_YgRYpdX95yQ4Y{Lk%e|S~;o;ttTI37cO-s$RUTRcxxw$;YF6I%TU=Mg{ znI}V{-0|g_j8PIPio8j2n2BvpAiw@h&Pomn6xC&|=NXEi|0a3@q$`<}p5X*K4c!w2 zhGtXg=QM5C?F;jvN+!5KeA09>2`H@MD1&cT5NAi8k-aaV%agM8u8kSEwPj`dpY930 zh?z+0tGZED8n=zGH9%yR0HwTB@u%)KlRZ3VQlr4>i>P@}N>b6=m`$T;pWKd?Os6iX zO1%X|Y9_fq;_%)8C6-)B{7gg8csfynDVgjkJ6<_ma7w-G z`hBF+@u#2j;DDk|9G6~mZmq-7h}q15Z^z6966$FJ-h>$LqkR$^QQk@UP|T2~09 z14q;2Voqzj)eorETpcTiJ5I|ss~dils2KMBXUg8aOPD)07m61{!F5o?I-xW_nG}i; zzw;(!Gcb=mbkpym?Z_XCgDG>8QgF@`K9>NW;S~`DAH|KJG5Xf2HhjN z@G%wg4nLYF&-t~jIzH8K$xcF1}^dq_*i+p<|?T1?=8x@YY z0_PGo0EWK8B4}SqX;g)|t!I4$wdHRd;)ohCH<%O|)*Hx7(6vPycJh+6-kva0^`kMA z9Cp1_B$?V#G^c!_L(zJ9RqElBSzc|JDVT(@lAz=!rau1HfSG!m3wqeJ(zvflHkpM7 z%MnwZ_9<1Olj5Aa!?=*rXT)NUA!t_*T1yyQb&{JwmAv2&wQ$JuPHn#Gv{~zWgbxr| zBPyBBi@va`pE<|LVVL0Q8Ml;cNLbk=b$Q6%%$a^&P?)8OMakixkwAK28QQ>rX9>dl zUNNU{M7TG6nZBbI_G8`27WNZa$(Z5?dVbeA@$|9^#tqi zUQm$`f$v{MAr^8_%EEe6Pv;l1M0#>9iMUzEu9mkP_SsPLAJEE)4Z!Y$(<#oo1!PN8 zuL`$h+f|nq+I|adp5hg?+)VI*U?V@9MRqX)8e%-`iSP-WOME=W^yfesQ86n3lJmYtYg3M>~;Q==s)@SB{yj~*58l5W|YU?_wy zmd`Qos;WOkaW7-VEsavt-B9JMLpm@$-@36&@V@FdR(nps6Q-SMHa~-s+22<+#|p1a zUbk*H{v%RnOvM3?7@lS+JHq2%h@ksoP%b_{d5R?YZlEe$KkS_y4tjQPVf_uOWg7CP z=9G$xsNQLLZR`_pth*s?P8`2&(QnqR__xp2Ji~|E)r}vnpxsr=8 z&A8mP_|Lo2cMjW6N3eRiW6RZ3w@rDuu8}>i=>k52KP4ek^3E6auPhZ_s9GP%6*7u}oma2c2o+T7|GyIt>8e?nl*bma}!5|g;FRJ|av(!Bz%yw6c4%ijmx5S`)3 zUd@Jj;SvI43<}7MQ!1!jec&rM`_8o0l}N##<_JyUy<#%hiwk7 z31v+pvi^wxG_<92v_@Py;f*>b-9i_oKpwYx2~g<4JQH;f62%ho<&+kLT@1S6tx;0f zaD^D&{37At5j{~P#xUQFOdAUo314~$wN^fXa0JpX9oL4fl}oD)?f6@e%ix(Uu$~!r z4qwIwW#DWwJMMQh;z#W2W}0|ZY2Co8SbBDRMDycgQZoLOA2cN}dfgh-jY9Ko z-UYtso{w@r?&Ed2*|hyhu;|+&wsI%H)>Oo|5+UuKu3^Q!eNvR{XmhV{SPNHHF)gE7 z(N^cq0ebsnItFRO&X5XAz?~*yLp|n4VY2?-lcgFdzd+h#o9ONtKIJMJ75#Yr6?3tt zXLL=;M7>gwvbFsy*Ao_nWfVRb#Fo7PP?P&%z+GG1Qf`Ek@Bv9MPT^L{aewrLn`cUX z=@1#hd8b6t?snpP8X;vtw4vqVi`8n&ggl>*vI>rXU_>Dp6)_#)Bc(kGF z-Zg{SGWmq#Pvr=DNE+KVTa;n!z>_4PwL6L6J3AkhH}(U;kRkpHwFg54e~5?Xm+3y| zKSlFdlI`{TM+k)f^&rO^z;I& zaY0MJw;bxA%&u=J?Kx&qTsec`g-qWq0&?{^-t{$L%G>QL^{3;X+SLoA-4yFzOy^3= zRyQbQK=RMmZqjt58e}Sy;ss_mHS91>atsqL>pqQ1q>iae-w1!*m#JT^eLk=16t0-R zX@>)2dEuxDSCm0L?NblbM}Qht7!8DMae!(f1sdwlw(3A79rm-ntS zUynZZu2-zdOnCT1#e(15@A`u%+s1~(KU)TAvCajlCUU=J5B0z8-Lo;N7s%>gg09tV zHJyNt$J?dxymDmBJwvX0j~EsktUf3&GN}PoBIhU{c*hxUcoG7_SO_OG??y8BQXJL! zAgT&jQo4L9`hSlMX@|LhOAY(?f70xl$wZhg`hLJ|uXI3q`itf2Mfwd_Oe(;C|I5g7 zZI$y!%jhSvhURHQ{|U+>lG6hRP?vcxaQBb*N|hp9i5EjDdeSN~rdt%bIeT7yPRN$l zW}gbc7CTfoM_e@fGlDcS+Dt4WhX!)MF50(6&=p>`9c3&T-D~cysV}0Ci!C}9aS@~P5T??a)o>kVVRf~T{|H!g+ zaEA{aBr6F-#w>F6oMc!W=SnC-$dqOLI&~2r8rJ3a<_1fsjI!iSRXyFDG~+?6xCUN( zba#{_g_$t6!3Gzvx|w~P`MX+0+18uiVsQRLx!xyKNqNerb01`aC&mvGz3Xr^hYjYk z;6l^M4bqTXrnA`V${!w1aV(%V*3IA9QS^K0;Y!pSwy!Okc6n}qq&BW)0Deb4rK_z`mUl#{4=*xY2~-Q|PgJ#?c=r)Nb%sZoyU(x? z_ub=CmQqyZ!Y?FJ?nlV?5>$9x zgy|XBYEjfG*PF6p)r9~Unco0atNo0lS*+}(Pgi9}fH-$Ndwsg~SZ$Is8+(*iZg0W> z8BRop6Fq1^5e`HJKuM)5toMkRK*ok^0fUp>H{7*KzW|L*K7w6Nu9A$Cr*grIipX?t zAXb*>u^~ItBf4XCX9lq}ar(G_5D&IhsKxP4se1d}Wn5cUcpmWq47_IJuHea84>@!B zZ?FTya66cH^wr`FCtBCj?ZI!<>Y0}Vzw1$BHo*ok)IPvHL^2FLMH;+Quj;&kfkVj2 z?AT)NETc^1OIgt^@z?XP%n9Y*!ptBBK^ts=P2TYk2qbJ$K|GZgT0|?OHkJ@U|^$#g!q1DoIFU1z?Ydk|qs4+y^Q& z12ezvqFbO4-UVA&OK@jZz%9wQ!Y^qYR^x;yC+1;T#I?^_Im!+ zqP+3${Qi}PM@`K&ny4M(>P%4J#ahWV>ui+lninF<;C8!?9Q9FVFJCcnQWE(daM7^r zWDmcg2Apb0{|#szow)hnb?PzDZO}mdSFaf7yV<**Qh%r)BJ)Rm5Fs<0aF#EGFFW?kK z{F5w9CqcTMHOEo%*Ca-vrzGe3v-%5ja!VNV%G`avr<%n%tAFnSpCAkj11_Lf-k+xI z(6@bsSF>sX8Gi4F;mUbFeyt#c9aT=_#fKx*T>4YoBXGE5EFhLZ)LFoK7~<4V{FB$G zFe_;4y^fx0BfC3nJA&erc@7ml)UNTnC9!nqNA6LV`_m8oRAW2bTlMejD>v9_Mv;YM zubGlXUgFQNca8V^m+JE*aU&}2j3g)m&YNm4IB$p#?Tj;BlPGAya$J5^T=)k~YR<5m z?|jnK-m!w^xltq;Pn}lsIcwD8fGeN)YbOtQ_nU71@KzHhr2GlKCLX|VT;~1dvux^e zdg{ioNmyZkn+Mn!f>4-`l|bf%OFDLzB4HeI$nmg10mb*rqP17K0pp(b+i|OL_^Emh z+-Lc-saF)-Ov9tl!_iut(NME7aP{JZ!G`wl=BqrL+oL~BoaY!z;FP~jeE-HKAtsga ziD39Bq)V+Gf zHXE(Nuz`<0Je6NY^>o$6oKckgm?DO<6Wn%gyWOPYtjG9BBIs{6>VBTTu`wR$Yl6Ur zKuVSRJpK2pEBz+vh*BL7jt!g?1!d4JnasUVWeKaz5D3`q8dmYvWmFn~3q*Fi_ccq; z_F$SAb*AA1Qp#hNUafAxISVk|ikb;8UZxN%<7kR7xLR-Zwee^AhB)TUaY! z2d?ITEmOSBcEVeMZb_2ts5=LYsGTuhVt}ju`^I_bX@nqEjs+l~Ow{qy^)6b!K==jO zB^)XS@izxb(kzrA`LA_|o`xEmUj&5&f?k;)+U4u+3Zi(hn97k+&@&bg5k?~w_b@Pp zslX>V;yX1YFp&tN9mVvwx6w3Y7-)P;xi`AciRa-83m){5_)y}Ybtb+T$k(O^rI3~Z zoWJw`4oa$oHt;^fD&uP|-laBM{eisjcut)8o7JNlY0^gh2(vzott^`!cv@((dz5{` z*x6xH^!QgZ`s~DqNu|f6G~-6ldM5}FHhE-Q1+;aLzuiY#p$|`;Hc_4QgEdV)_U|OI z>Sbo-xT1ZYYsHRD?N^|>8eS0?}1O{HMU zC>B5}Pq4d&0a8=%7MmCDcs#X19W)6G>u3Je-8V$#Z3l;Z+>YNGo|VFd?A9})n5;vW zp&@cqN)4uS|U>PuqBu%u%#x!2j=S!w&V zb>G=`{rHa?l_Ao>u#>OV68g+t35h{AWO_F{;FmhXU3qR*4%r|ge(Pu+&k|A|FeIj3Ddbvo*>b~Ey?cF>qHTE!8Z|0EdgDMi1PL7K<*mzmbJ`n^@!U`mXd5uuHi8P z|Ngbgna*;m%Ud#4^Dl&i9?lc>i~tgixu@FTAUgRzYlP!s)J!BGnjx(4wxn}cF#)I0 z`f+7f!A1DbvooP}){QyA7#hU+6i=3CNmgHp9M=F%wU^N-ACM6rAQfXwz+w0uW}iBd zFGq)|>|;ML1%FQ^GKGefcM)D_3^}InRGtP2GA*jrZqDETAA{iq=onThR>ag53wMv2NrdG3=r22fs`d=e z-L%j{stgxpS$*Fb`KL_?gfIZlEjwlkgdD~xBQZa>qMg19sU+6_{)Vf z?Ic9wmcv3ataY?nBDZ;Hg?*nD;>oix$`LG50K2y6BdkpA<~};CV0hEtqY_^aky2hu z+xY$yLD3eu8kd((qJB;MikgxA1G||6)3#--8}Jir+4QTkjAll%z)j$HMFv) zTj6;d{ZnFy>n?5sIwR%+D|61xtxy>TUox)K{q8nNjUiQn_*2V^O&a~X$U$@*!9Lgm zvl6Kfj6P|-4(1;3CMhJ&5{py>gQVR)@kJGqzl}+msWl>%UYqeervJIv2Je>edyeuJh)#*%WOrBXYFxVti&DHhh1PtF2novzJ!OQg$3lvawxU2{ZBb~RU7(;ncI*; z*s)BD9U@8Nf~$)!Rp1K}e0M$nRpp-y#o|ANej$viz>TPZHtrCS-vho=g(5qUp zpXWuQ3PQbX6Ep*`N|Oub2?Dszhk@6rp=G>YLy&B1&GXnbk!wjqLeT!qRH7OSJj?D@ z4~{#}Ve#>?gihTk{mLIix%}>V1tk>$+B2^uEoR3912x}uW#Y8%X!fe7k{Ha-ysISI zBn3$m`A-RIH^tk;nI&cP4=WM#jU{?qV{&&8bx%)+v2Ui_37*T!{5jnyQMPtkVn`lo_^;|MVA zXy0f0`7G>H>ID*@pFJfALY8%Vky%}Nvd-V2nR&&HT^$-sOUOv^-58B2y z4h%frcDBP%N?jsBBLI3e8TYz&T3uYH&tj*EGdVO6a+mTPyVqI=_e4F#VS1o%6MQ8F zn=47d-6NI`lkG?TlgI5XI>xE)AiI41&}6kxRC`saWa#!8Pr2!GE1yW5vI7bi*IGzNRqxy--io%0n$fuVQE~TIXv*2Liwpx8TvO$V zP-A+WiQ^G1jl~T?3vsi+C)DMM*O>S)6A85J_e9~7cC0!&37l^9w=G(dE)wAl}9tv8&o>OW3 z1qN77*;;mtDMU4Jp^JBZGE@FgbQdui*FDkM%q=1$7xV#Fm@@hmMl`8(l|F4%Ix2m9 z1J`z%MDYpiYjkQ}Qw|#_>*p00N3#mDQxbf=8w>|8m(8!7s=HU8pehZ4$84bUO*G@m zYzj>7<#*|bS~%}K+-T4|o|Ucr^kfX;)M+l=ro|SoJhl!v>Dr}Az#!+QifU60fTuLH^?zq%MG{wXQ$r>M-bOlbMMpE%{q$``Ax^6f zI#+B@x}Gs!x(xE`=#3vB8)^jaB?nT_9{`R`Q4$&rP1o^P1S-$iTUf^E%tS9N44An(JuAnQ%#(>1^iQj6mB*Zus?U6L-X`I6v~4+ z;`Czf9HnFBl04P3UMfJKKI8?wuYhlr;!?0sNBZ+2x#EVWLpi$SR{M53AJ*Ma|w+SFC zuejZ!c{i8VX1R`TTqDpuwJ>sK=w2&PzR@y@ug?7TU-Q`Nr5kP5=V!ZvEoW<4jUTh+ z*8yvt)acN^Ws>_0<_W21a0|Bm2MmuPvMO#TXAjilyz{?I9fIOK% zdL-te-|qSNpHh?uI}m^FHkXzxhd&;5W#}{p2{g_nD>SpwK4$v&yD;y=WoH*Rm+tj) zEK-(lU9IP}yDdu1of2NekxKZ<{x)!I5$y9hI7J=QPV_Ia;BQN>j{I$Bd3~Apt@1b( z9puPI1T>M-a!S|p7P ztGw6p^27)7-(8vUcP##(%Y@Fc>c=EUvWa-W&Eu9sr`M@NAa(cv{rAvzQzAlNsxT8? zdite~k`02r7D-beaSPkNt5v^820Wf`>Wzmv>G3CVlVm-%7qyx*f$ci<7bNMNP4e5) z_9HB4!5U>)ea)@N*#=- ze3omXG_khiZ)Z#zc%+qICn<``Jw>4-mEpu0^F9i~Pd@yrf@mGGu{+yCcvvnmA>|5T zk872ax4xb&<+dhe3`(%XozrA)k;D%&IV1_isaGbiB(KDKVx`<0xcoA{GGD>GF9UUw zNaYdt@sXarT69wt_B3~WB+Z=#k5834)eL5~vo2?A?3UBvsxePuYvtx9dTTYyoL${% zoC@;%YSVCq-M#aTn!^%@l9Hvg8>4bHBm@JzW;(49JnF7R#+H> z`G26|$*vQN%h1;h=3k<7z3L+oUgics5jpVwJIyQImHbo>@*lkaY*Oj}4{AXuzuVpa zLj50~>iEyBWKtxSId*U}TqZ{G@KDjix%tRMI%}-k8xA!p!OVC&F^ zYiJ`p|Hh0Nxc#8*K=x^0>Ky;$^=nE-@NE-j?8C*k!?}9Wt_WX-sArUv;K%ipuzRch z!!IonYM1C*A{hH~VE$mqWzdRcQ)_ycOjLuXc2YT)@CM|(6bp4T9J}OgGf+wMh44n` zwe0pri2m&zrD!DxTLL9Ti05FBVtUAQw3J-p%Z4=;SdNLhapm)~-wS>?CO#4seDgRtQ!j#o>JX=Jgml&q$P@ zY5jh@V?x@4;3#)MyDg@^)tlMdX8BAYlyFIS{zkChe#2vI+AAdv=sV*4(F2{^E82HK zYyFUmsY1lM1XLh~yh4m);Namdk8Etnvjt98M~YDiQ2GK4(dBrA`AATBQ!H%s`;gUx z$>;Oyz_CQDd=ntxlT~;Qao6F*FEZe~Jn?ph!L*!&3rc5aOx`1}u66c~yJdc1RiDZ5 znOD%DPR~Tlkazn|d|0Zbe*~ldHE+;FW!sanJc9C)G>zdz5nY!=);=j{F42QeFS}o;ZjQBy#sM z0vlWygqoj#Q`kd&SbyZ7S|xk|u^;RmuS{_IpABbIk-QuU?r(|u`#s8{R}R5uJ#6!? z4`$~9i3KaTEz$XR=D<&tqNiEx5j%!woQJWopXNcNz|1{+i4m@br1izj;Xo=UM!5S6EeqqVG zqzjw&`R5CyMDpK?Kn4pT9#x?=05k0is1xu={Wsajx%W4CT)4N2NTT2;WEQ`12cejG z*Wkq0Nwq`5+O?G4H-N{BkSXb&C_gEp>faC-?)_Vt{rNG@-o!5tkkHM`2&m>vFQ=I8 zIEc`Xj^59+eV*aK`cSjeAVh)xAp zV0(B1)MVMJqYZNyG2oXW;SI`0IE`jI{?(Fa$?#>@YL*4Q9NVLP77?X;;^fiKS%3B) zm!hXA?mwGQCH;cDK16|5Y3dTsysm)HPi=}Bm%i|~N%IT`IexZ@u`-viBh13Hi=jop zZ&C?t2uo-VhoDmTnx{RXM*-3SzzW0PQQGRM~PL#M9>eo z85j^xld7w$N5bLOa;U`KlsyCwYcQ4Z$+i$req(%MSp`KbRG_V+&^rqOO^l!@#uj)G z9f3nCxm!5heF3uOSyYlbX0JPbbR}K#o{ZmL@W9IIF|c97irNn>T~;o-s1-=zVAE9h zbZRKE21$e^lLB0SzM5p^9!=aL^3^-|tJ%;aY%$@D()jO@ZgDfcJ;y+zDr^~i)cD5l zk?2Y?HR`QZFsWVwrFtqK2UCv(<7~64&ma}vx6l`SmRfJlwJ7~3#+X6){NZhZzk`d% zv26FzI@%ot@tsDd-r*x7kJ0SabR4rnuP`Y*3ruzMw$ci;RR}OkgNOQQS|fKIhFD(6 z*5ojBqeZ=>1AajS6lm zCQx_oKt&6-w^7lD8s%u-DI&?>oOyum2XD-tT#Csfyc=i_e}u185xq>0h~YYyG~MA> zgktSv^-&$rrdtZnpq49I75~KYUfwWM^CD}DQ8lo2WQniRMz^;2O7bH0%*Xs+Q>OI!>u!$Bldq`ou_&Zo9T z&LCn^q4O(-B|8Z0UmVGISmR0D0X@m5epyE|_TJZ@!8d#|F_HUZtA~A9?bv70CY;E3 z+kN^q4fa=}H*~+RrE^=d6mhm|j?V~08FufK;k&Ho$bqk5vaw*3{MK-2t`GZZn@@w~ zRjm>25P-7P0vyA!hrFUg_V@2KtywVfj(>!ATt19dd7CMLJS{G*0SSr|-DZ7Ww2v-l zN>i0kd96}jsORI+Ihc_Puj6Wg5?Z%ijCgd#3EbVr)RxAP!3yJq{c@hVZstn^Z01g6 zyH9Xzc#z0{ffy%$eZDPo4sNjfA)4kYtBd{GO-vDes3dYzqXKSKcg_d=>rRZ9z%}2= zGcK1>_~y;agu$t)qr^W`Q&YGz5FAri&c8GM4eoU&$KM&)9L@fh6%9*=4X<6d@qaIK zSGYBNIy+@bB-P2UW~dS~9qRittR`B=I`OfHS@Q50=BTE`i#~}=c^a1=M`L{FN1{T> zkP2f1jLw4LiUG5duumUycrX$3VZ@xsUcV*Z@)7d^{R@-^TX${4H&BE6A0x& zrlHGB$7ID#*Y0{LI!@?(CN6dbOX)h8DcV>dABFbvK#m2d@UuE5s!-;(R$LP{;-hKf z-2vptJ;tdgZcM6t>lC_l*cmsCz*A(rY^5P#UQi2$PoV)x`-Rt`N_B?6D8>ox zDW!6SgpK5>1X1Ww&-cW*DX+n#jptNXbex_=CgIkU23btlZ!tG% zTM2LI6@;)BEX+ie&BaX;oIH72daMUJ(JR6v1wrqyHT?K0q&EA5-Oy}|%IhYhuZhEs zW{E_d8SBtxrQZ)WGYs7-r-qUdmw&n{DJF?S= zj-iGA<8H#lG8fJut0=}&_`6ta;Z6cPQUTk!1nkc*uIb2rv8c1?AqPktcM-PSJBMQ` zg4_f?=5KX9Ytcjl50^h{1YEpGF!H6IcIzg zaD9+KD$sm}g(3+gk{1amWj!sXMpVUIG-=IuloZfp4N*=R4=e{|5^Hw0bL5L*X7*Si z9WE%AIjYQaV`NL;nFT9)SHX2iP_pehy65?7nZZX9K{^thg5r70(r!j9(WonV)4o-(ix-=Xm8 zCUnvH=&%dd&N#jlUbF`!I69RCN}^h-+Bx}*xh`ta259p`53)9)T9>D;>QR=rwYtpR z2^9rjY@XAd$%sx5KSAy21x29NFth_i%$zfA(?KrKL6B>Z*EtBQrBK^jcLsBf$m>~c zc#*1Q06jy)7CP9i%3wCx(3v!S5b-ILzlPA)z_U(&%@-X!ns;TP9#fImvonkk!On0Q znxMfl?h!M~1JRKpG>(s+j(y-#jEO#lR`G}AGQ+A^@W%@pdgur$W0VqRcNQqm)t{WX zJ|0E)qLTAMSxF+R1dsx%J6HM0rtsUVKd2}`%q_wwT#qVPP{}+k_6ngxjLE=O)9C^y z5su>~*WE&w&p>&7d(tb2{uft5`Z&JvtOo|4_(2-X^>x=SutJ zzy(*jIYfI$k&D{GD(|ZB+~@LnycYKXm<5R1v_zAsWUPMX?h@k=ODa~{Ks|;ZE^b*1 za+Igcg^X*LRL?@O)vmvyhgF&)1W`{gZ{XOS-hY zdO3`kcXdkZi+^m*6X_qlkJF&iTDgk zNFQW}a_n&BqM_eDfdY5mMvwARJ;n$2<|@eixXr6HT^z#x!lAhy7k7<7uzeJXU5bw| zUy1>Dp7`Vlr#{XzHr@TTerVivc?3)h{?)hjwL}o8ay66vKR{{Avd<92L9Q1mpzW*mWcNf7Is8p;rQVU4xlU%h8h{v3FKb!M($*y2N4H7txvZ6N_;#XQ1R%zw3? zsDAYxofyanG1u>$oLGE`+>`_CDVJQMaOa=Fd22z_fwV6)-O-zx#Td2ui3nm1(%*&Y zL#3V`-X`*2R<|t+{Y%%JeKK?e-thc#X{Qrsik)z@fmxk!DWuRc6$Me@ zv4Vm0&m-v>_k$#ZnDM)Kd>cnG(3GAG$Nl7v%FWY3S)I0_!%ju-h=Ll=HmHC zFrop*zBb>TN~h9GetYFwbeG@FCf9DhF!W$D{iYIMq-CRF!Y-j8OBY`k=g3(0SgQ@^ z)Blk{-(a^&(~FnSMi@^6AM%_Vm!yS3g>1-hSFRulp3ixm&;@^`CYPBfFQ7!Wvp4h( z?z%Gv<eCt5M%xIUxf%Skk*~e}&B?mR-&yl|m6*{Ys_w z&T}xbavM5SUlj^AvWCZLwS#rkkTl{Q8KsR434M+5MdZY8Z56QPtdhd$Ep|2zMQ@@d zVSwT|jO8kG^z9|qO%%LE#W!&+*(c}JP3szz)*`ZC)5(Ha)PGDGA4Ow|2(?jj4;HzM z^#g!>n2eE3#w3+!_*>@(HEFh;#{A}r+e%ff)bOy1EX13C&O!$uIeZ1!IS%!KD_!oR zu65`O6gRCY>TP8QlrQ)Y?UqRxYhT(BJIJ&G0t>b%P^>Vh^z>Tob+$O>A(b2iMmR>( z(bL~_#XveNw4vZ*PQV;aI<-o;5F36!L3_OXN@%M!lonE6vRx!&Wcv;0sazZ?Bie6i zWM&*!LvJ>%ERt(toq}ItIVFdr^&t|V{b~ukV`qNh@*I2brWVlgBMuz*SbYczG;q|& zY)$>8K{p-;;8hKR$N?@mF41&8da7*jdK%W-Ld_Wx70=7T48_T{Y}!AugE1|HHcW7S zy5gQ^z5sVtEnvof)&UEC*U3TMTY{LwfCK9@4ms5Bj41?#J}niamLTm%4Bmt5nfmke z=Th<43#MYjug19zD>V5|uD`Kb;x_gD%T_cL17ZW?lXC5gm=W8s>xmVV;+eXX-k!gz zKCwI`XoJ(7eS8J5{g~=LRhMmvQxpMqSA`-hH)A1ute7F%pM6Fx#3lVGoxTX09@kj3mwro+dQT&f0vlqcEM}MhIE2DRNI2PnLdU(f4Ks z%-nCj#Bm&YD{u+X`_ez>;^rUp;cIJJMi@+J5EV;@CXFFg^`F7KQfEn1R!pCLzN4w( zAf{s;etC__8wjV>09s4;_n1< z6=_i?pvkf?m(|)DJNg>8o;yRX#1UP4IQ~7f%U`D+Q_W|jQi}~-rGTahi*_(AHPfyc zHB$Jb!zY#pdf+>$P$IMh=jdkVpyz*e6CEBk2%6{O+IwRp0O^G@;f#b+44mu+Uqbz1 zNtTE^C!uXUqgs4qOl=9y>|#|*2A5DzJA=f2m!C62_-d@wMISSx8B--om@b83%a{Kl zDiZ2NXxwzfZ#S4)CioV$OxqgyBttJ2_VwK}%Kr|cyU~gZtfpHnt(+32JE;VpE~r~) z6G7*$a3Qb2Y!^Ttwi0opy^OtZ*|?~Dx!Z$gqmnmsjno#!@Yew7V$b@bfOIO;JHUG% z>#}>}2qrKH4L0Nhx>fZV`cwd(jcclUcXz;6DI=mL>l2986=8wzxMit{J~c-NU~}q zvr)Hg^BO`$DGIS#gl|2stFaoMn#+Y&^_nECQqY-{xf0fCdwXcNl_A#{5tF229d(2d z7Rb(~D@2@J*7J2uxThYI|6p<#FXIMRzUKWhdp)~9mhTjn<%n>O!db=xev9P5r3lQ$ zp{Yp9^+7LzB}JJFv5$hrzj6fPYI3E9iZ=w5nte$t25v>o%U@i2e;bsM9uExru)t5q zi2MD?##+E>I41qaDnDRrOcEB>`${81WW=?+LP{wVm4VJK)fR=5)GBBWwFV9IB-Ig! zduxlRi6yo#zBC2ENS18q3~3E!lSL>r{hq5*8vk3*1a=CkY;^Ks@1{XQzDCY`zk z3Bsgpi#E=EjdbKJ(zyY`IDfIS=io7n-z1x6GNAZuFpp$??bd{Mdjb6}!5UfW>Wy2d zn5G+ba>x8k81a^o6 zX1ypy2b2#fbO67MfEGqKA)V@j|3u~h(8vJR9~1ZB7G+B^7d6J9$gxW^*HnT|k~ zI&}$Rb|z=$v_I0{e=4OjW+sm+j$`aSxgZs>WHTzH@YV;Gfnk(yg(nq>^{6HnQHA$} ziGFwn*)kfY$$ZVep=_Q)!~uPr>KxPD(hJ@Kh&atsRvDzm8eGCi%}#WlDt2~S9W4<9 zw#-)pKoFeeTo=zq%>K$45w3|ZXlVogJYKEU#9}${|6%W}y5efOu8n)+(lqXky99T4 zcX#Iw!9svW8g~s4+#Q0uI|=RtCwOp|m+xnMV?1a3Xdl$rRlC-l^IA*(hpw;38P%vV zdC0Cf4U*C~e2_g^h+rHgJOk&sOf)@KG7IK`m^y}%8c|QCeO-qjme!X6Aa6d^4~Rf zZB(sYY1F|D4bH2trZn)b9y4q&M!2o`zz{03c{ikSMISP(IA;fyEg8JxNS9MXvEdr1!iJZkml9e2;nuAv84ocMo;Q~)< z(gvmtAkm% z+Mp9ruHyWh*oHzGY7x)WCYd4Ve}B7DmrNfKX$1-h1t0Xm zyWvE}cEE5Nko56>9@K858wRhWox3l25zNrS7W9&$qGi%+gh4OjFIYE^!7QvApI?+*jtU>9ZRz-9MhvsXyYmrv9}v^;V~kXS`;N8 z3Q!7`q*K9Gul3or9N+1JKP@Mo*qN-A!T99*AHL}CVNiDv3+Saib~jdT{Laqr@)YXA z1ZyoLvDn`v&W4dQNlQ`|7$jSp_t=?_bi|Sn9T@}4(_phBV>6mzC0qv7^NTuL*>y$W z{?+qr*-rK_gqBY%TV_%%X(tQI& zjY0eX-!+X|=d|$!1(t2jnAG*=NwgKRb!=9OWQq?^mfAmA!X%z{_}?Cs<-Tx^CF~v% zJy)oht)FH2=)I(7NEuHOAz$3WT*1CNULN1`Qgd9LO7mWjcB$?4QydTKbOWrf;j3$J zZO4r(N0v>z1W{sQG28+?D>-DZ{E?Z~;g*c7cUPxUkXSdZ$U;*qW8xsS$0hAduM|Ia zS}Grq2&JpYupc=4buw*ocXnGR)5%2+&8f2zd(@IwxMS0tKD3yXp!Ams4kXtf)ah;% zR5+6^(SorNZ${9g2DdQ{Ts%SB>{v^3$@C%13S~{3P}0KIVcc2+Xn;Wn?&$%)iX&r< zQ^FSlDHdf|k0(4G+dQ^@z5;P3f2Yw2u&}5#FPuez8DuzARzh<9oCYl5$3y#<0m;2> z1QSokrmjo)nc&tCP*d+VF{A0uco{oi_ZO2kc>QGqPJ+D)WiovQ<{*(pZG*G63Qr8O zVJfE0iXr1C=?#o8e@K5=AC5fY+&ekZ@R|6n#csa^Wizrw7@cIGxol=km-*+>8v8VQ zem>5$wD+4fR{|3z2cAv(8{WT%Dq=E&ZU#8?(A8n%0;4P>cAR=uHk`xT)2-f{<1^~< zxgQt`O1`GX$`#X<;Spc+v&5O7mtT%VW~`NQV&g}zetI>3wbWkm^@53YlkDWewz3zj zJmqsey=B2|E^Rn;f$^)3OyH{N&+o!3^drf+z+x)Jo!5_GIFk9)GDH3*!~Px_5%_z{ zuRbB%JR=z8;>8^&akD1@D2LH}HN(Lm=q(mxdKG0}dxS{s9~%zJ1<9m(v&l4$TodIZ zk#!a~9ldDmKLK#giic|Jq`)@$ho2hE(;xbZY`2jk4#ETgRT`$U=!)B&NjyL9*(pQ6^~ z5RfW3j+@|bGn-yRmcKn#UzFN?k5*kUI&g|$<)d$2e-N(dn)XB0DYz#Kj?v`)PodLA z^E>%Zmu?Wp8$nCN;5x2QMS`WZl)>Npxs)53y=T%#y0p(3`ajr|S$IH7xP%Eq9s7yQ zDbSD^@PPW_@AHMBeA!~(;vcCa*EvNy!dp#UNe;VncLYD1y$R5dh(7BCC2J#hE-MdE zbHU+!wi)a_`A2bs_e|nTK9u%RoD(h5#gx(ZDsy*74Emwf*}fY}U}gM;LCw*KoH&LG zyc6H*qF%5KhXA{brW877tgg6licc+J^Yj6Ne?it&(q86!|4DrP8{9cOXWI6~``SX@ z^6}Nmm|UAuS>cTO=O5gUM>^TaW4_Sx2cu=-qq2Y*M%y-q##vU&;o6p z7*DQ6B0idDUx)6q29Fl>Ua-CPXN~p)MdT<28bHVv*IB)a&t{F0#wjN@$jGC8U%S`Y zKY#L*#bdW2aDp+5DvB6rhRlPH+1@KhG?2F{^W_h<-e?}h zPhRKsHA5zxFuIJW1~ecBU&kY!kK1JInI_4UtNsp6wudaOv@$*>mQK^n%u#p#3`}wj zTl|is6lwkI)#FjdjgEV7v%k_Wl9NQJ-i2ql%V^cFE7uw#1#6~fUxphKD`F$+U>_Tj zxm@G7dEeE0B`zObUfNNjN}nFDL~Dne9uK9s|Ahzi+~NDYA+FX)B*8vDxoLg-&rDi~ zjvhWUO0{E}bL>A}=?R2l#Ib9FvMQp#vHro2i@1n%UOKmVBCHj{u($1^)>-zsZa*U= zZR%Inp;BJ5U5B4hUP=@?>frQFZ!xfX7Z40*p+Rc`@cUhOdXID|zft#fB(1H&b@(x8 zCfsIh_L^Xm3Rzv#L;foSbGPi1Qnh1JOrpOz!z?INt>9g3X3d#RLzu~r10fF0(5q(c z_I{p(xmk|tm@}E?0cd8lX?_XWLex4qigR!CvHD~>&Ji&V#<*5e-Iej!BtOs>=ccnU zqf$RkybW5$&qs(ojq^KWRlE|m1K7%&BT}Ycve0_~_nS3xVx)i)y9?e@I5`du+<@N# z+2zzfI5;N^n{gnVc!;coCBa0=ew)8e(Y{cNv5O_AL5`9NY5GHmr@rxt5#IW#%R)Qzil}i4-r8N^AWrGJo zt4ge83{1MW<^G&z*Tr@;rOJ01J?Abm_2N%kt6-(EgO+GTmhsEa^I&LSbm6$49$w_h z0uq+_zm!)e*8|v2%|750-jFe%-P@8k6aJ6uA4l;mI5mf32VVOTQI^j`!T%Y|Fk2dK zr+-{3uSKHm?A0aQmZzgT2Wp;Hgq}M&Jm9<6$7>_9g@(Ax9%35)NQGeh`B2!<#1w44 z8+92G_d&GCcN&-qQgt$w#!uKrKI4_PaKtjoi5d9bvYhSCs(y`kn=xRgSo2}@Ls`|v z-m;U@j7njJB~3H=V6h{YZ($56%0Jgt`7pv$*L&nLRdHk~4>fo~fEi@_K4 zt({*Q=Ee*qRn&2dxBJxLdbRS2oFKu9<*7DRE{V!l^Apd4vw1{47-K zp}{VBA%sPRWtijq!3Z#VRA5DiNlcI>b{f#M4Y6uq_M^#EHRt2LIwoU!kUw2nB(jbr zn_!^>vA0H=qC0h5_(E?2$cE9!mmBcgW=6%q_G1R$1kRp`W(b|}O#OX*ApQ4pZ`rZu zYvnnEAfe=SFR{XJC6Zc)@GRf=reweGkiUINPouiMf!K0NdDlR-hvhuH0#Ko2g zCp2dohZd)Z@H#R_^B-q_`W?N}r*;?iD2r<~jV1wAzpn9L_~P=n?F}-2kxvJGRv&OI z5Zc&pI6Ta^`N05CQTDe~&i-8)H`xS>Wv*>A2z=A&e0b7rEFy94BBHFsV04T9M*{v$ z;eA<@fvCE0mP#X$(Cc5zqEvXQw$rc(iHqZRsd}pt{tS?I2~;;A{H7D3#!8;&lrs$o zHkf8$bIuAEK4@2>8tB|Vn*dtY3r&{l34U6LF76z$$9 zN|NZZSl^7k0|;B4$MI*Ut1|1meY|UP#2+kYb=HduwImi-F>hstw*d(M)`QcCy&tJC z{&K7iP=UKIQH%i^`^HVjs4qun-PT)%QB3>-b=sb8bqUY-&3|GpLX|j3o`Ms>^KNN* z+cgZ@L}SfQ-Y%?!CT@;SKoYnymZPSAGV-Lw3p0Cn*bBATMah?(E*z}C%gZ&A zYNCYmR>lAbnteEHEM4#yFgElDxV0vuY(8&)gpx)GjpfM?^ggrQ#4T+HuiguM7wKtVQR-VBBcV`=np6~9!z z(N;Y%9QL2Rx61+ij!Vqzo#0%DaE|lH^H=^W&fZs8n{pnAtGgOL^3b68-Q$F%1iN~~ z{tZT9J+4Xp>mu^f$wZsYpz3VS!z7K@332Oi_aU2Wmm7PX z+En3qGm2wmZ*kI}E*<{Uz@Mw>-FkjIyGnU)LZ_@>kmWq4VZYLWt}ZlYb3Ef+cKME6 z0wlZiTvRDNa)3?;F~eMb!%rlW0)Wtu=Jyeyo5L{k%Xx zKjE0(JbdOwWYq?OW(zM?HZe8uU@rC^^{-_8O5Pl%`JXZC_ii3`6eGmMZQhQtZ-pSfTQVX;!j^<+|KHUH>N8}4yv{cKEP!U zhmm4KKOJUv#78Gl+o-t3Au2=Z79y$kA-t7L#Zo&Q>&xzHfH^pboDX^7v0>q0ruM=u z%hyD0uOm`+6_17Irx|Pe9*8@kX4W8Gx&2T1kEA6)t00xRAQkV|I8_hpo-UP}QIFdRC2Q3Taf zDnUPRgwW@~Kf0aW%;G=vh% zc|zFqeZLHFxQB`v({1qPSbkWG@7FYC0< zmx#+5pN%~wezSJ3fvxX=opQpbD=7PgDc4xV(I3r3B|sBZOHA@Ty7iKx91Ha&{s{Nt znwb3Mu?T9c{*#Gf{>Gt}tonL_ci_Jj_V8823dCeyZC$)|QK)WJ+~mhrgR`%={c}d3 z{M-GjObAIXBGIo~^ELbjkFVc?N&dnRsU9{~7(zzEWBlQG=)Q=$!YffgE?*uSf$1_N zj-I60^ik!w2QsVi69s2P1-*>v0Yv%|y&#;=XVPo@5)hv$l;}`Ic>u-Q09C9jGWGSz zi}47~v^i1A`|d9I%@$u|(&y=(-&znJ#HQY#EaD5f34*K%>#wg6s41Kh*Hmjkfx@+}XjN9vzW%6A~i z`4Q`$(9lny-%|dh;d%#GPe|Tt9U8|Z0OPXxS3?_tjbJe;*<%6GFG>nZkrSfC@Hpjf z@CHg_8I#PId7r}Mn=F_@2Ke#63N=K4Y7`os(AJwK)WF(Zt$muIJY8KrDb0L)7Ib-Zu>@;HUUmP1|ESV(evLbexi~ zK~3~mYrTC+c<)m#Guj}dXe%NFFhl-jCP(aJbq)*r=8n=lt*!He0u zjtp-a18wHXrq7%n5CwUuESnT%F3GT5;-CGXX`Q7a7pY($ivk)2Uu~K$ipHDqBIp{y!MWed4whM*DlJEV4Vp1nh zcFX*|X^)%eTg#nA+atSt`Qf}Zq?lz2O0IrI4*!SzdJ)RriuXikH8U{UU|9k4JPrEM zn3t?-kMBHSlD!z7PUD={+Pk6>9_{rOqVF3gzz>;GxBe5^Hv8d~%`(Vq)oJ$a#)br? zdttB3E?Ms*e6yG)XCVj!^=(PY55N6qPas?P-!i)RJiRZuPg?}}pv{Jhmd5m@Dg|2! zGj71c16Nzf;btXe&%}|{^*GM%iOiEPzXvleS^HRgy6rf=`ZB_F_m{|-P3CH4s~mW-bszqZXN({C7swY2@^$Yw5x=Ot+=Fri;V%A@$&B0o0@Usdf!EJ1+D zA-~c>BMQBey;=Q<#WSJF_qQTv*%9t@NO9&IIL9KjwZ|DXu<_;Vp<)kyu1q5ng|wlc zVDQ0mNQ1j8u^rhL4mWtUt#DQCi)0k$cB#QOcB@a-iiU{)vixs|oF<$LY_@5+&2`6} zFRJ1#3Pbu`DOV>(>TsAW!rPM_VR;E@2HLrTKP?stG;lg$jHbWlMyTJ;2#zK*<9*ZmJ&q)+#WEuO0s=b4ZP0FtLP@B*fx#j}Y5b?Op_TFIfv0O3MYFhC$A^KL z&V>7_5<6$7uuDT-RWVY(RO+f2ORt^gC%k9&ZzUG1y!Y>D!{6PA z&ZUD8=<4-`I7`*|XYKEgIBy;SHK5d?;_Zl#CJOtleZxr$yxEY>?-Kuj)9P$^e&b6+jGvyTwt`afn#jvoz{l^%gO;ahE+8K;BiA7 zBXJp1Nz=Irw~vpU?p;OI=uT=d*s};fLwYEz-sSb2;hOKYFU$Kh6^kR%55OQ9GqUoD z#p+mv7wi4XgM08Fcgfl_W6s(r0b{m$x%{@X0$5YPq?!70kl|lLKSa-?xQSOwFRC&8 zcu4gIC_dR+XZJX&*?GhHBgY0K87_h^f_cc0CB<@4Th#LmkH6xrSNB8qE~6VBNd3`a`}^Kgj9FT=W3+^3Do9?jW2j>*+wWI)fs% z`mwj+2mIr)nC(38wXQHx)+PmW^dOQFzIh-D??rb&*?Tk0hcEvI568mtV2^A$#*667 zz)Xs(TaQUTPDq}f`ZIP=?`mNCsj-MQcNinQvCjUJ={z7jbj5xwFd52#{qCePPAs*j zG@G{Rd^?Z&xb^R=^y|FPBMJhtepVypj)lg_F*)Rsh$5$`Cb}d=JYXfdlG>w!W_^PL zo|QBdV}OFWce!wt^r2y8Ym7%L z8w->d@_X*_DXLk!LqBR5{`2)iB@fkGoOIPrjZEQGRkp3bJQU=Ch18{qg>b!ayYQczx48RJo`k*Aao+A^Yn6Q|J#tN3rA{~}%2Um=|5JaS3e zlEDu#S|M@^f`bDU*|PjxIOHe@gw^$*N3VT1-wtaMjue-A&(%(-_a7C~;On2bppU1N zF?|U_{0~3OTCF|VDNVd8P#$ifD>AKo+98 zVtv30*(Hs$fj)&_fWM~CD033|{iQ&75QlbA~YkbMIz853BVxKc>tu8~Qj;u)lPu1WdgPIlp zKlw|lcM6?13i-F9q*x?;o@3rWl)E;DRLRp#Y6hN^(z6N-0b>&*^2tTHRO7?h&d)>> zJI+#JkHK%bEUxSnHFn?AQ4{_#@;I{<3&VYKTE;3I?sxC1Wr#w5q70K)q+Ps#**~y= ziF_|Z#&ZY!(`ckt(t)!e*;e*HY^(`lf7T{uE*C&X#}PHo!0Y3F2G^Y1KR1uoVFrBy zKG7e5LO54Et$mVVoLn;49#e{W24l$geT(Y>FE%HAV$x2vU#ZeV_#c%fDf%yM{1fq= zx`_`p2n_%Szx>7M+xEkfTmyau7QE3%>qnONRSp1xANF2lWo?p+u55u{<8zNg34Ip04 zRR-KWf{hNJdyqys-|v$BDW|$)nVsb=$8HErp6w&N(>=uFSf+k5Y2tT*$gUZLiryi| zv&hNuRdrd193l1Gqa8Prpz2b`M@D z{3GiZF-{}H)AUag{ztN)AergaBQqdX{Ltd+BT zK8-%7?l1oZ_xnFXjA^@M?Ml~jSL)~7AJToJ&<;Z9T{MFqD+q{8`~2YjudoA#y>+gS z4VI&mlagz)(v4RPT*$8M_sbUjVvZ44n}Ik|je+3G4}amK!p&j6Lh=F{UucSsVWDHrY1w89WF}iTE(Q{86Q1+CmO*u}ZnemFMZL~7$goSGg z%ZbF^|4bC@BbpQ`wK+DoC6>*{bU5W7wAX>rp?!F5!KR2;t#X(mC{Toes zK@yv;Q1)Ek72Ov@BROfxYs6fyFwZP}tXElIe$Tq)hbz33>^R<`F=|-?IZ-d1-8svQDM-hC zNY3ol8gM`@K-0glrK@8~iN;UlT9%nEWZU6*-BNGEIlKIbU+1NjF|pIdOd^+&8UhW| z^s0qpj%~g`rUoDtEhO}+<#&pJm}g4#Ia8w`3pQ(}RE@JHL>*NrFZ-v3z@62UL*K10 z;^Eq;7~Uwp=->2~=EnwMyVVRB_>6sQo-)6;F@6Q}&=-_1(!jB_2mHP*Qnl8QBW~Vw z(@x9cyrZDSEV5@uV;Ts+yi0jYp{vT4P>%hvbnXh0Sl|`|OL>R%?2p&|+jiBuVGNX+ zU{N$1W!Gieqv%Ho$K60sPyYqLchS*0kOb56V>Phxu#af)WaR9pBqubz97%khJP+Nu zCzYhtxdp+)KcLo#!s1B(OywMO(b0vs*T+(c6@469Qnl=KOxYYOsWubmQ7+V$9H|F= zSG+Gn-#a<)Jw&e(mpLuF02IxXxk$fMd}&I^NayZ)sNv!1Nn#1iIK0qLY+Ev_<|*R* zzLp{T%YtW_PdtTr*5FjfYk4F0c;Q^AzM_Gx97ltxy-rsmR!6Mj!o7y*M0~|{hwETV zFNx-j`m(Od5+;Dsg=RW7zU;q=qDVxj0dlIvKV&_o`MjAHmV(0*&z*9nN`4Fb5}216 zu|4b)y}#J0+FhzM*SetbNvz0!t*ZYb>FG7QTD|2Zev_~>M(NkkZOEj#u@3#4#{l9G zGpaAjNW(~z3~sI{noHuZ#?9BE-6~OMvNBShGv~!6iGSvPVg3LI&WqX;BO3-v!a!>h zSbnC4z2_uMwZ>Rh%qq4veN*=?B#d56@DC&a(nv~F5}A-~Oalwb!Kw0at{@s9uSvKz z0Vy>zyuHM?s6>jMrvo>(?i?`N+bstP5`c`AhDP7*HG-i;4@`?&$z&IHYb(wyrudcx zG!Sj~DX8!dPWg#MB`X6yB%G>!Wn5)i%ZRr`qtC_8ncauG)%(jUK+E?2&Itnx3h73ZVQ z>dOD<9Auql%+ZVG0;v#VIeyfGA2iFhTx@@{29hzO#Jg~NtT+~qvavHs%M4g#i!mg# zWH<5gUj-v`uhwjSa=#C`)J5?gpEC^Ov{8PSvNTEWnpR&&GwEJd~8AkL;)m*RbU8YW4nqVpN5TMYZYVBurp#kIsc3AI$M2zP%!r@mgRDUujG0R z#c{Fo@?g-zosrC+!9PnS8-|`gNv&EG)Jj_Qs?BaWw@SU%tA`w)$$DhFQbthn-j`xX zIS({lwKB`iDnb0fT)+yXkVZEne8~2_mZlZ!o@s=M zhXwSTM5Qdvf`-J=S+w--ic-9%hLI<;|Kt#AQB>PDd)xYy)~kQvTO`Jra31Zf&;aDQ zZZh7*2Wi1RaaiA)Vi7rvW8%>efXGevESy7>S6%S?mt{pfDPp7NQ7+oIF`cez&3SD< zjz}2Gw!Z%!{{v8wYZDG=r@op8bNX%_beMDD*sxy8zLivP2~UiMz)`F}tjT=S-{L8j zMQ$7sJAA5Wi3a<{ye#Rc@SUE^F*yq|vrrvt zUts`5eNtm*nx#`<0D<=_3(DVM3=b`8byKK=ihe>BP-w1M+C}x}e||d~v}+&Weu^*Z zOwE!ke*F22Nc2|Gca?w%FO9&>BG-BhsMuqw;J{aZ!!Z8omMCnQny6c4J{W1i?c6RF z6!jDQ5$G^w*8D`BB}C~yIowk7;m$;VbY{0Hf;7b*4(HoOIvhNY>pT<^G!mN{0E`)D z;KkPN`)|E(oNCs1lxt%yksm-GR zQ=w{kyS)^s?w?0A$g4Zy7o({NoeN>6{GBM_oaIs{`uO^J_9-p-?H0eU)I8s=rI(ANHWW`YXO!4A_I?XL5_$U4t* zyBihlF2Y{v^#1e@3G1l+_AT`!Wwwqn3k>UE0oU!KsHq{JczBr*x-W`AQ~g50vEF8n zpUKo#;L>)^RGShQBN?6d$0B7D4KP=jnz}`o!4;~?#L}WOE=C8>@fZG&z-Oh19pZ+F zbrfoO;Vu_QL*7G*lO|~aO0O+m@~AF<@a$lWsOx24F!^;#Y8cxjah??zE-9boSR~~c z=xW^oJI(G#Ly?zYCi9d5Da+OjDAVi)i&(V>N+-QaR%zOP1~_8K^43uYZ|{0& z=6vnawU1}wXa+^gp>t2j!*UAiM@hsTMD7CfSw12cM5rs)q6wS^zh@$gzr+&~(Zu14 zm20%telU8HpnK?TieA|)-9JAK>w6d(&ZuB`{e{++GBnKRqmoqo9_sWmraRY3R!heH zk>7Rf}pPJcKqPa()buGl{K*yv*0z2R>bG`oeu5ecVE* zO;L(zPBBh|+yKoqCC$PgN#q4lGu1@Z6NiUe)naotjr4G2 zNS(cP-6*oB%@hLjLET3akfi;35aJOrji}+jo02rPmko7${}7UqfyRQj3vD(-YIg$l zA`g8sm{N$xlvs~wYk=PPz2t8nlzL*kM2(OgbG~DRT6unEq*NPfSIW0HsaGyr>`p2hm6cICqrQt;VAbnh5THn9Zck|;voNIG?4nfUmE-`Iaq7CHTNQx0<^bM#?=spSEJA&-ajEhR+oTA9 ziAeU*b=thWC32Y3X^VPTGNgG+bMB5@@p0e+A)w4Ac(#)~>{pzYg%6w=S;cb>RBsHS zDL_6|Ll?O$vJ2lc$}M%^T(+)2Msh%Zjkg1=PTlHJ>&<-H;DRzN=}?N7bXlD;x=~5Von+~IHkB1fyqehKwDq0p)q%$r_|R?6ilRnrrT{LadqClqM>JlLYGrqB7DQi~BZ z)zHQ=35XG?BP;f|3L8cRo>SEQ;Bc(VNT*fTnV%l#W)uzn2CTXNgYlBBD~fnbO0r=O ztm%QRHEo46;%fltiUQ%cUh%P1VrsQ&bh$dD96Hb#^)w%|Ger@{`Hr`-35cDSw%KWs$jT2wPJVS_J!+ zMHi+f=8OjY18UF`awDqx&X4~e>JcoN%c4!*mJ6fW~V4 zLFY~sp*}_c5W$+pL~vwJNQ(K$zl6Muv||!G3ipVIrTpYTH+;$?-pg$>nGbA>E5GGq1B&FEn7a^xo!O{%jq7ni{5% zrh~qdz7RLDTLxq*Qa^3N(EP(u*Azhj#K*xRo;n14W|s%nEs;zc4Ho-dN=|cVmvbWg zarrzR)?>@$KeIf8qe&4p{m9x5_^0DpQ%3l^2N%)oODt{G3d~dCGrOdw%6^?qiiH@A zI%7WmQ;k*nZ2OJnDs|(CCECGao2Q#ehCP{{qq&!}wxpINd)@~;$)^qp&G#S$T7i}i z=Lm#c{h__^p-^3#WX)YfB!UFj#IaWU-aW(GAjKdF8QllHd!+KA5}x`YoMKrr`>lBK zurLp4XBX!ed0!N1Zq>{$Q!I%m8}F9-_2q<8P?@J1odBvg|(@tRgOngjGU6GnqF z%qTS*iU4|EDq`D%!cT}u*$XM4g&FXJ#X@AR_zf$ud1YU`JJK2q$g^RzP?nVkt)0Jm zSh9F{g2FRhf$5H1-O;lj--c$=aXyGTxIewDV7@MO1VjSW-_C>OH79x1DclQMk`Zsz zu+P@8A-0d7IowQP-_$62R`2GX?|l%=y`AUPOpx8m9pMvS$}hT{VChp-wo2VifSWbh$}dID#13V(g@?MK$7fswZr z_$INfwCCu&?8s5h>Ith}SC*z2%O_q)!eygz1oz-EkO9jxObsue>Q(G<2`5+v!0CqK zrhh8?rM9%TpMEO40{@_^HEd&%Y@5mCgu21=ODc~^DVLU1O|m*WBHSc7_4Bq{lcW`i z!H}+IL*=8BV$u`NeLgt!pO2WvU+S@l?=WWSD8eKG-CQVP|64!B4F!w2?iMVQ} zd7fyQ@^`H*DbCDHAiTQ19O`M}bd4V9rY?Oeu);~GY~Cki)i(T{F*>u7qcaS(*FNTE zI(Is+U&qt{|Ms%6HIkE$&xkYQS0xJ*5OgC)$S4~%-DkWzh=-s*|E(CRqG|7}>FA$t zHz^yz#}p;2$nWJ?WNDX^u`NwHUlC)Lud%>qWrWDzMVm! zd1chHXVJb+krgW&zfZK#j%*ue2zxQG-;zufD~bivvvYn34Tm>)TAF!@r+WAu8k&hn zogwDsN4RHXU!8Z!LHnEtOuY2v4UOt7r5(Y4XuTG+L7F#(RP5t|T}O%NcCMO?yuehn zj8RK^g7GN_8kSM}$Pb?~V!07}Pl5&ufjn%bj1_g2;mh?E3w8A;BsdQ*c!uECjxaCR z2DB4~6iNBM4DxSeA_`egLFpSM{%z8h2N4fD#3H|)q}3uV7sD(nnXHtcbD|hpl@>PT zH%Gc`FPEY8p`a_Q5+z`-vWBX4a2fuk zVrRn{NUN}sRWHXKRzXYQwMI45&3woGFuJ`chPcHFIkUkC_>ddQXdYfaoq|?2A3-b6 zfcWWb#SK zkmAfv6~h?wtXt$t?H!y~7KUZV+#jZKN_GjB$9AJ)_qd+@CJo*UlV40P$CHkUysrPZ zH{*`LR%c_e2jo+|G2vP=U7*0wv`HbjuXoW&-nMWcq_5NN9@)ZG@ zv2%X)j+N;Bpj7;80w&ZD-~AFqTKAYSEd#3~{|V=3$-n`8FmpDa=t49}m_qjU#n>Zv zhzyCLzWJV9{${n@MW#R<^t0dBh5mlFP(=);sv6XeYYi9tp>qt+Sk1cHKtT-LMIlF3 zn{XA4UlKk+X1j2mIAY>$Qq~uLVVUnVCvVzh5Ee|oY|$QBAXdHs>2l&IoN|F;;z_X! zqjhwghs*Y>EOa>XL%ljA#OvGkZ!^I#SUbAiGE*+pEMZYFF-VxmhK(9-7nImpYCO}o zCDxt^o3fnQvC%9Tx zOzH_}h9lODj%#7UMfgXAU&42{VXqjE^VthqWQ@$jTFyAk+?MR%NQ^W?mhh@ZGw3Mq zEE(w0JuU_BNVOeU7H0c#XtLX?l?aEJXI;i5t8!q(J$@AH+U{jpq2VDA)W3%sN}_`aQ3 z>0uf;qXDY}pI4|JcP&6$`x^c4KQA}cKtmcwhJaGGAfpxf?K+OdbdTw<8GFfZ&`5sy zm=0FInY<0whG}Zy@Y+!K7|sm6ioIGkzb)#ZL)j{N7hm=)%)76egjYI0g=*@lcb0t8 zPcU5YScFvhIVu`6D_}z88n2pA47AwaAVvP8Fr{Boa^`=P$qiN?)yxpX0!ih2li3Rj zQXM=tR1qq|wS#W>uHEf1@(T+54bU&>iyfl2ga2urH$titHnKDOkMd=!0{It3%bxSEAm zXWMK{N4mX&Sz}}c)DG4G+fi}!tK}#wvhWz@^m)*)79Y~~#cl(gTz1XNeo@**iP$eH%s{PDb^2b0pTcm$} zN0J^EIyzW8W-6;Oz|d-kZ*pcA*8&xVTK1Z#-*VX`leymb%Uk&s^uD`g&X?L_dqhS4 zYsdcD_1$?7r>aT-m{DFt61M4scB6NHWF|ZzwzjnsOf=LB%$n2O%k2yq5E=?=wU}1G z5OOGfC`eVgkhJ36VpS}f#yYW6I%583_h7s0u5kN7P^-`aQik0>jVB$Z>&%AnNn;r< ztZA(>W{CleA9VO^t_xG9{=x-1x<$_d*}}feeueik08YAtAfnwg^V$kZ0w~rDKH>Oe z-OW;Y6n@C5o`u>$EPmyE)nKHqPy6MftcXUkd1-1`*h5k~rfv-_dYaqhvuN{`F=?_y z?WX<*v3w(EMPy#c%S}GQK?BL8{9ce0EKLHa3IX)&(!8vpvDaEXAXO9X_Yu=QghkXL zVoko?T-jE6gB?}VU#d=I>(%~OB8ZL0fxW393?THeoOLnt+2Pjt3LbA+ zgN67qC7+z3BR2j-w*M_$i0kDfVn8vRtBBRC+Y)=azSAM*as3g&Y`D(LgSi=@{A zLx#$W6fT3dmEFur>_mPSLm6r3)Nm`iZKs*1lb_;n6YUS?D4Wyz;mB7V_U3lp8>OEPWBVMm zrY9z8^k>pvt7dSZ2#&bvnnrqaSv+v$;-6(k%(s6Lr#(YmxL}-#T*Fj;4 z^Nmq#r%tEJ+)UM_1>9=1Te%buQT&+RdD+DU)K9)}V5fSnr4CoIV2v%^`qi}VP~x-A zFVN~%%en}w*hRXqiDYL*>Xt139{@x^yT2Qx3&V(p(^j;ypSSDfpaYFCQNOQLd9yc4 z4s)uC2Dd@hFz+tl4jGD)8S<|>(AYv@-Hep?ROv(F#{53fK{Yj-uUi?@C7iA7P7@!V zr}V~%=QBDM9D~Fe&Y8r;%Pjk$Tx*n^F3A#+D#}`WwWkh9T+3-27K=&q*Vt<*IA(0* zf|7S~%@Q{otza%jelLRXJ~u;?8-w^`xo5Po4(85B+l&~7^cVBruM z-ZUYcJU}e~d{v+!mfw;b8RE0_(G3Div>Yr}WFIOjldIf<>quo(=tvH*}%(&u#dH*2HVDaIMGG`rRsHq3dW;eDOas}qHI7> zQCFGGON;>q!ZmTiA8#TRR%R0)aeNS@;?*ClA?m@BEfEU~I19UoMA!zvbey$$|2UiY zreftWOh)LfO?tzIcG|J-&_dMN79i(*fq*Yz#W zvUG|(^WODs+tzu$X+v-ozO%@}3`M+dM7K&_@7}O*lPH zL|!|}UexUh20A=Q!M?>fQ8ATQSv;nRk7BVDe0}67@rXFnaLU%(q zPb}~8mhqkJP``WIQfWU88vI0foc->r3)`71RC`4tvoQnEQ^C!PKJ3pcoQwuNZdud8 zibXrTe+vmrYKaDJ3k~}O(79CNLQ`<;Wjbdd;Z$oq)hISesj-37u#drv+jC@T|`QYUp2pvjSv)1S#hjB7!jw@tSzi|S1zi=ly` z;hgkgr3U-ic+l|bCC<*ZAXDeHug!3h{Zy8Tv&8sH$Qyo|p3Q?8)C#)b{YvcKZdb;3 z5?o`D#l6r?L@wMCF59IszWYH-}3;zANWuz}A# z)Iod+ov`GLKBc@efM*C#QK@7DJvkh9pduy0DlpdzAq6Yo_+E-9d_ig&WH?%1p;r$P zftDayjVDlk6HJfX&V|3^YP^*M$~PwivDW0OMXwFkbZsGl+@~DJ+E-Wja-10*#n&Sp z@al>u0wh6KyNMvA*R?!c4^&^70s?Qr;-=h=z%Luthfbl>$v#c^?R#D{2u;dXC=RV3 z77#sDd)Z_hXTtf^YzmDBP_8G?nmM>Qe@O$j!EqxUJ!6gnS}^Lv#xQYRt(k8`j5`fG zRm$UoIgBB_Q%oPxK;Xm+${X&su>I>L#(%A7RB3Ff-ciujjtHPZAa_!u(5A6T->`3g zjE2C4KtrXT|2+uos_LblHMo<)%TRtw!=o_43dpdZvir_-+szB2EIMh3v-%?i#!@kr zhR2D)Y!0q4F)AN1So6T8Qp2zsV&6P{mA?b0D-Dl8;6@0<2W+sD^&4r(W>W|Pt3n|9 zlW~(r@&*k@n^RPu@HP>6i#$h#7uMJjEA!Q|c zz3a&EekDE=Q%Z%DepHnC8Sa1=%JEiVWKy0Z8$Qe8nZF_sVI1&*{E$ORcEkk~I6J*KPaqTeMr%0LBltkr_&bLB2LTC8Kw}5~>kw0Af@#cx|5P5d?h+ z!o?>a+OPU^m(Dd0<=spoe9L?tg~_ln7nzMeAI%5*ymWG++o@O z!`@lI*-<2ad?qaJ?yw22@8KFqa3?@;NkRw^h!8;!*hLfE-TiRqfD2p*&cPvvbGUOH z8V*Px^Z$KowtD8x+l3@Y1pYIh&zqf{p6;$L>+0_6YG`^sEb4VN2pc9uOEIxPrO~lx zZ(lV$x+(G>AALX%+D?elbZxo%o+y~E_Q>iT;GvlSN_8_hOHM@*?ZkK=3!xo z(&3f=R@ku-6(TWwVBuZFY#x3kv$NYa}ye22oArh;K_!|!kYo_#$7pTz%;y8IM zr2C2XbES6}ChulwEN$hlXtli(3~8W<=I6HC$Q`QtKGe1Po=Az=)9!VEx2c*sQZ5b^ z=hrQ5rgGb)H^mGi*9dOd^Sw6v@AB(7qO>~O6s^MzPx#Z8>rf582S;P3-i)|l8?s>| z#0Ywe&U@|#q2#nhM%liA0UB1A`sxPK*A_OC8~%G(_2c7uRr`uehKHLp>Hld&_)4P;MAeDp6eE8HkzGYbfM_qkK9=L zh`RpDtqzCtfrt&c`PJUV;TQ_6?5>4%>OR=*XZOw32Zy$=J1*R_x@Y_-%t&*mHd|qY}CHM=w00hvaV`?p@it>q3>8-KBrC;-IrlyTg!@n+A?Z-CVIgC40gxO6 z<`RP*~s@%fFDY(Gp9V;yjiH%t}j$gG?-k+t$uEGt~*bujuzI8*%lLKlYgLq zu=u4c=jb&>w2nSZh?d04{);~1&~$^ufu729Ev;N;V(m-U zCRa+if67_t<++<}-sWf2!}(Llnk79RCR70Chz7D2whD5Xt+b$Y- z!*vc4J|~D|>uT43&0^h+p-;WAmeiSFWOReeJ3?e8@r)u>s@Czir%$;|WH2x&G`-ww zaUM5dK85zr3tPmuso*m@pRi#H_5gq<9+-u0qt^=p+eB&oVKxGm(qtyd^<_lhPDMl_ z7qLYNfd|NTS%DVol)_FtWWVZqs@Nb@2n$7e5(WYoVXm?Qa1Fu~VNt{wjcYiR-;%-?MGGKW7Ke#&J_Os;Hlp-eUCKInAM`%a zX&0L!SV-26VdEvnuyUDijvhY!Ae&k|MDk?(eUpO{DSbX)C5b&lP6z8QW`Vet}j0e2c zull;0T$s4SdzM^FdXud};@8G_ec~TQ^X=_VdTTtNpi-FQ2ppx>raOZy*UEb|S3g!= z*5o;mlDoJ)Bo8huqD8!}{a~AcYFvWzDbvovL0XqpcnZd^CuuP(E$}7u0=pj)N7Q=G z0L!2k-iDr#^3x|~tDfXZR-oO8RtGQsR~o<&^^@4bL^&F@>LG@x$Hj++sD>bw7`M!{ zhwf%MFuygoqcq5Z51DhY0&j$HBOxV|K&R|-Y_>8oli-QvIn$Id^Nlm!3r>`grXm0l zo@ZirPZem~yA?E83YlPbj!F2?tXHvZG#L;nY|F70G3i1nJ!9;5q1Vew3W&PRm0o-3 z)pN9N%mPAANNE6!p}^Om%;vWpR%Omtb*Ds8huDHBYhs5Pn^R{62rv}a6ZObTM5x9Ubr_3J6Ni27r$TO3=>vgMC7nTw+Sys@z=6F*$ zj_GuW63S~r%;n^(0fKBB)&kTJg|JgG3fU7SXOor4F@%bRMXkDRfv^v@4{^m<$oP|M zfca_HcVJ7sxkWR+-&M*RwB6iVvGNdg69qt4cpOb1q4}T{!=;g~zWxp=Pe*Tflf%N3 z;$AgH2O#U{Lne?fanYmD^ui7$?4#TOil&J7dO~#?KT5j}Ta*KP^%x;u?!2)+477uQ z3cRttGr*=i*!rpl#T6-tiX<+*&PDiHYKiYYZDZ^82oq=e&^|Z|9I{?H=V3#w<1h`- zl39NmFC9=FIOLe>u`YUYe`$_Z;pPQ4sX)^<(m^s{RCA2=y6oC#X6W717(fk3@Mr(W zcW2ldhhcwyh;lDC)`&iR;>_w4s>{UTEa3PE9D7}FIAebW+y>@FUma}0<-EoAGQHuB zi!mK~z0E=2>!=hjP~-0OQZ&^hHW`&6T_twv^WJf2VAGUn)-jzHqw~1Ydxxwt)>5XP zcC+epjty=2ZH`vt21lWBLccs*t&Q&{FMLQacD+bj!le1vq%?{txI>hAbr0F{9Ie{ki1--b|&v4((l__V6 zns>IbxwKy@qMmECay>vUvqG$F&&7vd0si}}g-bXT&Ty`itk>J#5oIgfno!XwUE%K( z3(ia|q}8l&il1ohLQi&a;34bX(FK1`Eg8_c;6TyI#D?B)5I&&ZhVaFq3MXf)IJ$5;E`dPZjU5OFMx ze#ZLzti8{$Ue84D2hkw<6V|k=lye=u&owBQ?X@DZ1w*sFf>c5j#l~!k*mHy4xJFA7 zMz6E!y83Y=1{W6d4w@qx4o4kFU2IZ`x43&N?bo}T`KT0gAEW2*L)JYh{X5+wvmDRzR zefT|1o{etS3*6tO@>UTzUW%Rw-e7+IaVO3_XH3ATVm6WJS8y3#G2H;x&tk|ilod`Pr=W!jCesvIK-JE5cAro;^>!@;=D zF+V#HG%}E#YmW97>H0u5*{sSX)UK3mAfu7fd1I7{O1=RWq9!tN_kc6@+vup77!%P+ zv9}EtHTZR?MoWQAaBX$w*_k`jOj0x{UU+@;t7n^SV;Z0V;Xe#5i#4>yw5Mm&n8!(~ z(Y+PfQ3ZB)80y5HzmHjmu)~>FhCL3cPLtWpY9N40Wk{u=_ z8226wW~WCVvNw=@bRSxyRMKP6{#H8rnZBRO)>Bf)0VOGPKoa$$X2NDNmp!A?OL1VL zs3T>_<4!SWu3+9W#laM?`>lwJCy8s)q*a3~dy+#DJr$blNpI<6V*pjsayjsG>0{Hq zo*)N>^NtIqzP;@Y((lIxK#Ss=vZ3%}O7A?7z7f5mPb|!v^{ifG^6yH8eftXvy;j!= z$d9Emo%8y=`xq(Bf;||iTu71~6CRmR=RvbshWAuP(*3f%t3%iARsGtjthF>PA%S_X zYg(r=QI+C(5VExfd{a69$p2JkbjF?|pp4aaKv6`*RQGNIqNjlI-QX09rMrVagdRWZ zmfW>#8qniX)o#4;2^{khHy@0I`T2R^m}R&TID|n$jpKfbDt2?@Z?m;{!z_nI=|MlH zamh!!RtP1GynYP}oCIE2PKak-e6Q+}6rUEf)gx1>pOK*$(iz8VI28CmbM zQnw1f`MoX!W@+V0j(cX8YM1VNWOna}z#ErezH3#vs#^&O)*&{~WCj_bz)jLtX}MG6 zj%R=SVV8gJTNNHK!>-0ZtU5&!Q-;dHj5)%8nwwj6x+P__VtxnwdA)xUBE(W zZ6ZaaHDN`aWQKD$@6B(cNph3a!9dzyNpH@QX9l5x$#Oc=5g{hLy|o(_3d6 z*Jc*cHR71)c$!?7v<@KB-CAEsE^L2;dl~LjoOmw9>r8QIuea8Ru|pX6@MC3&7r|5^ zQUcR`oxH$V!ThoaG<-;`K!t!y>l%erYJMZ#IE;Pxo(pUbWr$7(c&u{P9hOu}jSnv) z*8$LrnF@|C`belVNdflsB7y-c7K$5NyeN!4#d|u>F96TWKx&Q&_(}kgxgEWyYNQ%( zh5Uhyqq|>SahgP;SCkCb&2U(g^a(inJMH#dr>G|~K0Jfges6js*RFz9$?ydyUR9nV zYG1G+H>GABtZr0SL66^G{c)LDFmS4m^WV8I| zYhF^B&n4wUxbsNrBBTTn(2f#gn^aogbu_>;Zos|?*tme!9<5yQ{nwmnPN8W>C*&VR zh9h-LNWxMHy?I8OJ31(yt~RkBqjs5?uL}fPy=)H5HpVM^hyra@7E&U5XaE}^yhMfr zkO^;>mef-lK)wY@q7yTk!>Y7cquDrWUsT4&$QQ;Ye8}+Bs*}%~=A#fz)(_#0V5PoD zlm0?hz(D_oCTHy;Yyg|(wPu&o0G94PfpVKqukFPo(T}Ep75Pjq?-eh!mUIy;>V8CL)%38_2vMhCAh?kzWI>5Ef_t+C3 zf+zxD<4y_=?L5S38L`g~3$s#zJl`wKTja^Rv3Twx7h54%<6tmix#oel4uR$<2KEO0 zOW#?pl_>BxstMcI%?^GJFhY`T$qxTfbNJ_Q z(IDC)&nY2kJ_O^Q@NYg>Xa32jWSh!o94(@Z$jj6R&H!?aQp7Qoo?kUZ_{;QfNLrV6 z&Dm$ToBgmdtoLYV2WC!8VkhUi65b zLdcdJ-mN;{{kv7gzNvlq9n7>ULgt1|Z=ueS2$3SKnm5apYL}TJ zwuRhw-r+j+{*mWq-YmA!()?go`1p$I9?xHF164!sRdDC&+!p`loa%ayo|{cb1{A83 zIx!1l0V0t04i_a_+pAa3f-YmYG2RImo%-27V0!T1c0>JIR} z&C}ul^W9vq&E)_;blnd*53#bKQ~3m{IhGsa%IEi4z}5ncD7Hbs_I9((%{gj!Iiil2 zJrNH9j5-LLsLO$~zt6c;E&*?Jr!I$(UB>p!2pRp699Yu8xtwW&cd=bxp;E*q4 zei-F?V-={l7yZDnBaW@!J?dy1R#N*v!Gwq8@0;hROzoZ4SHWAD9qbczM#bW79 z;KGd;ed3%O9Sg`C3=qf6k z==~#fh>UK28oW)Fbr1~GMUoLPsyo?7?mcmKP6VQI{IZ(<_d4u9ChIa z=dy+&gNRn3Dt9=KoUR_)QQs`lQ zaeO8RK42u`;7H>4P3yU|xma`JNG)~^TJ%x1)QF;8NOB+;Ey8~F&Q5kNXR4d@c0R;- zD9VFadW?Rzay>rRj2mJ(W`SrI*tTED7G0J{>`;0ULTQq;Ka6+;&L&YbCg1c zHbvJXe_vj=UG>ZhcdCw2IR!psS0=fRwCZ*HZ_vG*Kg0f|eVmljp?lwvn8$=U*It;) zV3E=kQ4CQC5o28&yOC3oQi1qrM&@NeuSe1w`qYeFS{6 z_CXs}iTW4TE2o1+FLG_ualRp$pI$R{9YEFWp{IupO+EMKa}JUopDb;H>V|sl*LN%GU&ZifhjmHVrL-zO!5`1rta5rFsZ)gXFia z_|RCiE8_4SH(w1@5$TlaO^Czv+-7(%w(Z6QUNkUvfIFW>)_pRq*#%Z%Ba-Az5XpcJ zYwvug@?TVR+!eOM{Kj1nOV2QWvoa)CLuIrmj=VQI<}Xo7)K86$*+D~$Y@B#4*B(&$ z3n+uf0&|rk#PdckL&VK+H^CuT_-|j+J8TCSOr?{2wCkw*Xwut~q_3QI*7G_)ghoM;P}d)s;N@35m|TzXXSaB;j`_6^SgnD`a@Rl~uLUQvl^0C| z=GmZJvvtMNegN~hxv_=_=IrfqswR342Ees*o$XGpKIwYnA!)x@UuLFbI0N+2(aOIJ z{TF8i@FTLT36Y#jbYLH&v4%7EuX1ds`koXUd%@GYpf6p)p6&{EE`1X}jWX9PyAB50 zywcB!BJPZmZs2CiFSe`VWbls2WPRmE5cnm~@44DJIy6V5ZPGLjtDJ-M@K?7B3dGws z=)Q*Hm?E$%dR;34{Oc45YVl52J5xlx2B|DR1`Kn{2%NphZur3B>u`-wV-RXDr@X;n z07d}x%ZoY~^DBGYNqG}i5ZHb>#P#8g;?-m$KAgJYAltJ2j1%QAFu=v!yve~2HUopR z;c)-m-PtBfl3Tcm`IRo_kAd`A;Cauri*gw$b>3l9^cC1(;~H!9KM3>2*eiosootx; zN8x4^q+F-PL=i!<6ZX(!!LH(IPXPC9a1_UAa}fMiYI3G~_keqe%pfnW>P_wu^aG?k z4{Wo;8h57c9Z}OzmOMvvoRCx(BRA^JTKkwPOz0hOKf6MuXFAG0@+OD9oLN>jg$$Wx zXjKsrQ;nS^Irn~4Bg>ZVqwL_PXK&?xC2Lt*s0eqyj}t|O3}9YMtOfWeLywheX_ zDXk|m2)_di46}vz)4G(`Wl45pzaGm~QiwTvSrU_k97z0_^G247K80M@I--^af`98n z#o$uVi`CYt4pxB%;mbfUCjyN}5ag^SrIkvkC#={H9r#^UV6-IM>vb*Vb$zV-@vCqz z2UKwy$}_6V^!$TCmYF*we86JjXA{^>AgtR&})$IUCSA2SJ%@E z-ryv!i=8*JTu>O_lzp5xrjja%=HUi!aD42Y5`Re99(N1(y;ypRK zs8{1Wq~J@ury3Ze0_}+K1-|WJov)2%mQ$f4f z?+Cr*XP#cnK1C}6{M?&tYnW&EyW|r8o8~=bR<&ZSIOu%0^>X9>fQ!Q$FYv|S4_RaBC)aBQnYOa70Zj6z(>7Z?_u^_B`|fOw+{Q31*XTeU2J}gZlXY!$_8)OYfw=N z2F(_ktDF4M(@ts$sJM%Ag%+s&sZ=`1iV5E`rSo^?GO65}L2m`y#;=g;cChh0Y{iB= zeoLx$%XJc%Uk6xRV+Y$tG2EJ>5y0Y0Ed$kLGo+UWeG2209`nZ(+$U<675Fl(oei0A zF+a{()Zm&QF#Uv=6TCo6nwxv4_B&MZcz#JZv;qeTxOfoVsPl%tN_pcdaY+&*qjXSs znkpP>io><_GMy|ErbvX$68 zX2OJ!`(L=;m=4hz0)(DR5sK2QGjj1Q&kXjNfe8~qcO$SbaAR(RjdDZ8NSXO<1$cpY zI+=n!6r|q@`swvhDTsrqDGdNx&J1E&k=|$#z4#U;dJ_5BUJoV#`kOsm3B5MWGh6tE z?`p5{OF~_A)2w59t-)B(#bLClY|244Ky$Pbn8y>7cvZo#@)?-vY~;^5YC#Y6pp!8b zjRg7QpdJ(vo64=gqoMtxD&HcKF%5@ZV%p{&s_8*noHrhDdNtAcJ_Vq7>2fU7x#AWB zda+@`;~r$V7QJu; zY?Hr?oV%bGjv<#{HwaOt5BJ4_{TNtWAHM8}Iv=$=FBQ7!xTJRhUW~S~Yl{bx+1|zc z9VBG~N@WHHpV5^ClZp8u4Pwe+lcqE_SvmfCxu+=IldD zci=yDW!?{^w?8)@N{2<{Ga>Lf+}7J=*Xle+?O44|1sa6M3Di^oTva~g=7E+)qeOd~ z6hfxuuvB#w>D39$$~&SdVx^c_$H#;XW6=?zz!BPc52d#fDUC(f2?FVoBAzXFql~{e zoZ=D5j^)|~-MSj>@{5p0rm;Ip5|aPub?hAnJL0WfH$ylbD3%2ILa*9o&E1nnI(m%u zC0rb?*2`FDCTkLL#HMDL$s;kn=7!zvk?>r^O#6F{I9L(^SO^d?Q_HexOJ4qXUD+hO z&=Nfg@7&yX=c*#(Ro@Uc%&NSE)ZQH10bF+k$oO|8?l z4y$R7i5x=^v1gq(h<6&L@ud{=fZeSfoHzCd={=AXZ?dXN*NNsvR#y}|#P|eqUYkHl z%+i~hCJ=ukAyC00}okZRQ8lbuS1!$wKrHqg0RTgteWA_7;?RXD%=LlyEp_YH&WKEc`DE1jJ=Cx z08H2O&pT1BCBsM%$t)I;JJ_Xfm%K=uqyD{z^m^X`; z7oCOnxBRRhdZ;~n(Km<#BQ_QndTP*OqL#ztL`X0(*X(u_ z??4M2_@xD*bsVc<=~<~#dev<&=$X0WUI>Jjz#v2k9l34Ks@&MpK4otp>$X|xK zxkRd1i_Fa-g?MNtU!RFo$vJrz4aV(B$`H?qa&XPIigFoxKNJ*+_Kaj5{okBm4b(9! z0u2rs@15lQsh+c(}FZ3ct+vx(VF!E)l zodf5Bf_)p{UjleAZ&TcE11La*REBDfnSf^>II9&_;N|q@3(`0TUU7-j>v8`4NV~A1 zhBUpsm)p{y@Zz;1nwpe0;ADQh;k8}(0Qx0QT$ZX~(n2;6MZAU}P0o$4NL z9?U?lzGw(=NH2S^nruRJIk{G#E^o4=Dpg;@gxDDDCay&VMXE&~7P}{d0g66_;JGx{ z82eqCyj#A>z-`#*2%`RsBJzul-mvj7xpqPkIT-pR6+QnplG01pQ_hxVD+~}Ehvtt& zj_a{Pt^*rxik$1bF@x-%cxSM|v>4<($$3M(pCs}s(hb47YBWX84Aa>G!6DX12H~@d_BRIDPB=7XTMlO0fScp+7RRBsnkUh)(YkTAo~-4H z<}Ol!H61hyIL0N6?GVA+_ZbNMm2hY??d%R7=q#Fn!9HK+5PP{SXGw(2BBJKQf~kOc z2LtEH0_O2e)p~aIb;tb8pzkvfna8}1+O~thb0Nz}36o9_IW}}kpqxU%hMOX?D$}~2 z6dT4@fnJmY`WJyUDpyoiiWe8yy`5g?Ah4ffZ=m#aZhOV1=V)Ua-ayl!%)Ive}Xb+SzD zCIx%IQQh;Zvc2juRXGZ{FQ-(;yo9^h`M%O+N{ysOSMHZOFiE)@QeCGqsKTy|eN40) zq}o|!1j~UaD@HH>HnSW;ES;0lk_&@==!H!mwAElBd>8a{?k(IaJF<8&2~XU)Ydq;W zSa4e~U%7r03s=}pq%`i;V+_8G_<2zPo z7e5#JWiN0lr*Z>AbR2xhpcpU7XH}Q!`J?nrd;%~sF-{;>9HI=V<}^cu;hM{u(+3^( z1`zsnqFc+;)yY@z3H&c=*D|=Z%rdv}{M9lqJyygi5UL0)2msCNah0@I2iorwG%Q|fq#+9n#{0_JqRiw#lfQFvLr(6 zu!Q7Q*~|2X?*ZrR4iZ)i$^pY{#krE~-1aQnaW5=ygGVBS6nY{8QepP^bX1lp!hx5u z-q}8cc@dr;2%`KePJOZh)jK5dsbIrNxu2`Ahpb(o-%0tfciC1<0}L{7flU0{cZjM=wV2>pYvC7)f+M#5{+4c1iGiM$PXECIl0v2T!kTY9Dvxo&3{S1qGI8Y|; ztPA)XqWd=T=QDw`yQ);wvM6p3qA-?F=7cvfOHV#I5xbDmRWr0eXH{(W#&R@i9d4d0 z1l!EeMZ4&YdV7JEv>lD(6krVLuY{<~fVr8z(#->{uBF#ah}J%$m#xAu*~)>Tmi8Ag zwhaHh_}b!zs6kFIZ5T`9^GSMk`MK>lhT!=3y7DF~!RM@g6U9hpt)&X~eb9Fm?edOZ zU!`-)^8}TGTR2@?pw}lsr7%5!zliEhmHt_vZxw*Y-JPBfp0@?izEtyfbXfi}E7$jMj()nrCW6`& zTR|X}j`kj>*CIi}nI0H2{mK^M%6f-4VG9|^%`vpXqlJ^@4Gha`OXbXqUisF_<~?i5 zfsIA+H)1_=(!lM9o#VC`^d)a=01CS0`aoq*4@o-)Dti(q`((>HNoax6!?g2h!dN^+ zH3uD~$8_KWlSGHnZ@Y2`hV)<&IE4^9ie)%TuzEYF(nubHoKQATwf&#x&7v5+(V#DI z3{;5eIjc<2gk1aa_Bn32P4Np+6O975kkysLC$5*JZ8XdS!n$RGnb1M2tH}}PFSBlb zm6*+X2gS`#;w}t>yui=Az?+!`J_PRd0twGm;NJIM-@b~+nD(Eh+^Ylo3<#}g&J1Ex9$t%?KD4B~A}S!t zXGP9)Fy@CITj{@G5(i7~G7xnKmW9@qE9-vJ#VkFOul3c!=qe#Qn&GaUt6(2VF_?7@ zR#UROfU-5jr*u*d@e>Tf_8?+rZeC!p^xg&$M608RwfLe~DH-tlV$T!XnNT3=(2{Pn z^c=M0F#%iFcoo6l{n3))d;{Jv({5v;e~_UAs@Qo$Inr6I!FtK*2}wj3${2Q_k_`Cc zq^#luW$*O*#OTs)_gn{qh-pASdq#;c{DL?61hDY~ zLd4VuZn9Sh3{%USlIvPp;JsdO`!Su_Ky5xKp4sbaX6|?gc!#=R(=f=|fC4Y0!2UOM zw18BhH@AJhJ;=o*JdxdQaAqT}HJjI38CDQPgM$bY3uD!%oCEa%U7<5# z8WUUUcF9ITzPv+R-g_diJc_UnG4;d9_x_;b2_WX%WK5QAZ_>g*h-Vtz&5mv?p%0Tc z;6pF)8V7G0;GT*e7=sfp$xzq5l%cpK}M?l+f z>4v)gi{==5zXyUnDb=qvgbfoSxtb)&rMz}=c;D66iPWW?=}nQltT1XT*z>qfvEBG; zF}8I9*8{gzj&UHDOi;c1mHa>o{Qaa$~VjNrI$ z>aK3H_2Iz;p7}#|6od^gqhMUNMn$;DGE)qTW~4Omh(*M_&13amA9OXZY`%i+H8YN4 z`&il%4&7j@r*_Ar#?sd+IVGiIUNTf%CScnoQGaE7q~GQcBqc;L#dqWNje%a-M=gYz z1KZ^R5arj=!l6k@XBc38-8?0;^un7knRcJ#t1w=6?hV@JDXMu6SbX<~BGRY8uSzwF zx|8kxSffTq-A68VWDrAgH^=;}=vHk{ND|J~q04zuU|4r^hZ-Mm2GN`W?si4gF)%hd zin$w|rc=B){)tu2M1sgNcjXIU}Wjg*+*i0RuLpRFk2FKb)wJRG1Sdp&2G)JAkm|Ao%oy#5g^aIdK zJSRGixm*KedAFawUzHvBdXv`ma}Zd!H>6fmeK%X#M zp%($>F7f^=OJa>)^Yc~N3h8x=K#fLdFcPzqn?Uf{NTaW)+b#AkL1uQMC@Y8Fc| z3v21nB6`h0xNu&+w7Dh~y%(TjT5ZccOav{DL1{02B1orw6h+T@;~&L}BhIZb%Y1Kd zS60n#BVVq(G~=t4C%j#=F#c)>dfA?^-2VNm?~6Cgs5npA;tPS%>2;IWwX;fI=^udaL<*OFAQlD*j}*3XUG>DHaSQS%iO@=xSZ%tL=zT0{*`tn%Vux91HHD) z(~BHNrg@N*yYgC;(<4laeuAWIK`uvBFULkR+T>w@X^TP3N8RH@X-1pu+o1B%0Dpeq zyUI>Zl%v#&m|6dX%`SA?r-}iLC@U*_@)AI~(!1WZ(B?pJ0=(mvgQmjMYmv|s5u=sW z;vZF)A?nT06LF^GzpDpUuT)D-5lt6Z6tQEXFdTMpCK~y3urc8M^~^)EgKL{@XggB` zb{H|@Cvz?>@Os{U4e)h(V?Thu7(_A{VJNt!>y4H+=#TUKCvJVI-%%hf7{FbcA5*)G zZC;?jmtg?TZExGWW8P(S87M0d=G$yX+^e&yK_WzVIT?% z)=a`bs1mw?BzBr4GtjR5W!&2YV~?a=ei8Y_xYu7qVLC#kq+P%=D$BClfnpHmGgm|} zK`P7Yvm%|GC|QAOvMqqV>_o|u*rd#jFLlhwUK>`#5o*RuJRdbi}$8=zORdom6jFjStZ{M%g&6F?(Qd z+oLzWhIcqZlWp7J#`MP9pm%2ImA?#iovG$Fa{2YPaA=snT9TW*gLURj*5En4=0`ak zfKv29)*aqtFD8mOx=7fl?(MQ{Ne>Fln7zxm$e`IxmN}UvnjQx60uS*5AElbr0G;YrH1h!n6cL>@cG?iI>maGnN(fd-MM%U0d^L3PS@>#AAwUw_a z+Lgxr0_K^3M;`{vAB{My3MuoKVg5+O;VE$Jmv%-qwV`dqYbljihE$u-WE>2LBHQ4+ z@ie5mmnOTJs!>JJJn-ek)znf`DYF6GhA_U%*Iq7PUjW=+VSG2FKlECFq%rRNQVUK0oHLDjZgz;x~nm_M9K_i2#5;jxbb<~fKt;^^;$ zpq}hE3z$E>ZIv<{m7>_{%>kY;l)eodeh#1d#kS=F1Gqh}a6PF#KLx<=&CS&PWl)?! zvjz+&5G1%mf)m``f@^RV4Z64!oP@>QCAho0EVj4@cXxMpfA>7k`Rc1W|K6W(ZSCGY zQ!~@oOwHai(>>kot9j;3vSE(Y8bg>T?x#{yHA>*F#ePzz!wS>mfWiXZVy$A)1&48p zm>z*{RLG<+=ri4i0~`1hxTH^p0?vCd%l2$}Lwvugu2{FZtKBLCE0@rrOAk8VF?=fV zS?N^R>E{3&OA5z%$?kO2q^OT=Ngr}F$AHEo^M}X?6TBTDBLMOqCHpCBk_S_=sucVRCAQiWqxVDAiyRqxH4WHmbm(9jeSIv61ob$|qY)_r zd|f%%aJ8A?n!LZ|5o3WHPvM1@egi6yCHRb2p8=c>%+p~-vJe?wx1hHWY&?ct3&`&| z^&BmoJVJ#0UazTpcwKcww3Hr8jy&lW}LmVjy1NOv~6CPVhf$XarXkl<`EpBv*W8>Z@{@G!;F0!Pm=%YSEC%>3n z_&>apKptI|9>VaPHGvtvu&l|Bq!Ut5Sl+B82Ji*IM* zdI39Q!;(&m!vqg<%c`C#ipae-R^Epdu;2J;Tro=v0StS`q$sXV3%G?|CNXa?pm09` zpM_-!*Y}ksa=Csvg`agx&G-X@rF4@Mha+Yb{C?#`C8}DcqQZ16`i^Vm%f8t)9h@rYd2XU6#nb4jFz1)oZJ?gM6<2S0b?`hYuzAax`YqN? zeKBHUrjW>I>U9djPD8`;l2>uftxPHz?$gDS<1c^UaH+|mH>xEyrL-GS3peX*;Vssa zj2s0caBrd0Pn1`Al`;fQoUScnICeIgIq?+d%-)|mvQK%d(-Za zd&21OV@JoFxfYl2pL*0?m|5<6MuuXTLe-xm+IB0Dr@z^AG)VB;l7^CY5*(2y@Y`hX zqoSicBqcvx%$LXU8m6a2%9?ywQ|6bE$4y9tcq@ze%+bMj+mC<+mr*{l1maf0(W5Kf z>F>toG7kk9)QWa0taxa|ZFP|WwCcm>^zK78j9ugjG@o7iZD?|9Sp2tJ-=Dh8(b2>- z+(oS51$&!!ZHQGRz7RYdIemlR(@8iHtA(KXs0A6%+br!Q>KA?6 zygSenQDfP=*t`gQQmbEV4iO)xLRi^yiAU=*J2q7skh<$KLm;(CtMWhpow#$5ph2%6 zF?zYt9Ys%@%K$vq_cYC09VMQ>6_;}z+v)Zboa-ZJm^((=ilK6gPx!uaG8lW&blmVe z`e>R9ZVfJ~ty9{%x(1H7Ux<=@j1z55(xeF?s>OamN}Un+E{zcOhN8!e79GvmtP)Fy z6I;-~?{>46%S8)|a0pSVCi;Hw%S8kzrAcR~>tF0ai_}?@wu_>YN-Io8R&YVEY}a6R z9;+S37$Sm^r=&zvJ|U`L`L4!7A|(YS6B{1dRnFoJ;@~=g1I8oDd3#6Mpwv)YV59|7 zAzLVVuc9!&1a@0jx71CYRV5n?@>jxhELVXpuKuI(4<6sPa=&mm&6*jlNI{oSnHRL! z*bc^d4O!X3F{R`c7F*+caQIx3CNc+Sm^Ca?Rf~Xw;e5IV$g1O7_`pmr%E9t$};;i?S7; z7(O(~@E=Zsk@-Xjf_k1VPOxUCl)Fj?!*0>Qer`hj?UiGDNleABO+o8rQ^+A(0TyEk zGn@|E^H+#F*b~F1d_!cGdeBf^QMGRRYI{x|5wNZ`W zJM!fUseVfAF0lWGSM6Mwx*c%xZE+q+&C#_WZz+LT&qOAwr;Zrl-g5?|@IHaYM1Cl4 z8m)XKq*Op+O_N}(WBthQUxrhmATfv;!WRyWhg?B&7 z``R^-ZqP722Rr`RH2U?LJ`FO?zA&Bt10q(g+nK|oh0V~1yssL=rR3S-%$^^W3IW%< zMoLaum=xW84c9H4)gGr>!ee2HX{wzw3AD0_Iu{{I=i!x}gZMm}xY6j4quK2CG+3I7 zNE4|Vk!}X*9dxvI(j7U3;KPR_U(fshi1^<6c^h=YZzpir`8#&#$8vN^bohxQ%o^vN zeT~JGzjHUm*!{nnl&r^!!t$<>lZ@z^e>8^mR)pH_cv@c^*&hrA=WjMygJ_pyp;DL? z)@2{^AtnX=O~2~pCu?Y$Kc&L1u~ z_Jk-!k1m%Pf1D;lxUHkNsfh2Uc@2@Uxk0E)qpmn>xvnV`si8x21!^qzviR3&2TNn> z=9nDT&nLM+jYKR^1&@-&6??=uBEC{i?%7-3T zr&>^93rKn97pCXadiCe6b8BTx;dAV&sUng>*Lp;%VR^>uQ->X;F&tod1caaO78(2} zkXEAlE4T~{62u&w2TdN$Xtyyk4?O<-ZI_W8_oOc#{v*zu6s8W>c0e=!wtuFjhySq) zPuc9B+G+)%Dg4kx3k^o~I~}JzZc>$;?}nLUv&U%JQl$I9#1iHTQ8zYJb<}q7=NGR< z^=SsdWPkAQkNs^cu7u70r-37NEQ?)Kd4EQFSiQWTYgpV0ic&X1lVsd*88BU zO00f3WBWSr0eKmJ*ML6ISRqf&1vxR3({$*%v9$YvZYqo4%*u8l9a4(nN0&Fz zB-tUP+l9&1b~Uhnh{r7sJ!qbSFp1tGsax7G@u*s0)45_&s7?U5nR~G`l@!nWv-q8a z3BS#iS8#3pcl+LCXFN9C8CZS?3XE!nhNQ4@qUrw<`FPsx4UAM^bnk&Y3LR|T-P1(*hKBsk5yZCnVQlvlExSYyXx z?Sd->J2xR@H0U{(K@Il@F^*vf$0rhd`S9D3R9z>QZlIEQuwHa0K*zy&rSk>>&>b;k z9k+@0iy7_rTy=51KldST5TigX)apuzb>x#`l`Ae7^<(4WT5Ii?M36pTzQv2WyW$*1 z7dGlAu?{q%)$R|$rMQzNA_wLIwk*Hu#x?rc=c7pb1SbqJkzcB16Rv$uKwpPFtosuJ zq}xGfTxC54=%+D5i7Yn=`^56E-z4C_=U>^99Z*CeEi6pnmNx`T|23fq1)zKtRy(__ zc{Gv@eV3dMQjJXRe7T8`1a#AtD-PiwN4hd4|7+6AuLYwdZz`E=9LkJEr22htoC@Rp zqryO)NCVgWZzvr{CM-}>U}G)tK(a|dHyslbre+Q@7mf9srrd<>o-3i+ihdX7;8pZn?TVLMyf%o}3@+P2&?jS7gDAFCd_Y{Re; z1GD3ePa3R+I+o_$|CISPv`c{dV|TJL5q<7?M>^pv>B|kf>p?%dP#vd>zd=)SN{n>Y zOPn7Xm-%pE5kQ;ehs1^43wjDAr@MH|=U9t~sh;#TR)jqCR<_6=88#008n|9Od<1ja zEtAJN^`Gt4k|_*~QM1AG;N+5B;;w!v`*{3fpSwdB!G$K$0z_5g=U*E(akFr_ye!}Q z6z=qu;yIT$fZLpP4!&O z{}{WOq?`)JCenXrM&>Y+K-!N8?ivFy?H-}0wH_?B$4xQZRHvW%I89m9SeRjIX^^g3 zoXcEZMXt59;Ef6y#5ES4m$j7q?Z4KF#b2l=5hHCN-4qap20H*ugu}(17QN?A&APpD zL|jpCQm4E=iUvg@1gdYl*)mu};lh!p{ruT^48el&j$VRVFI4>LGkqlg`Qi~9)l?Im zq2mBG2K50V|G}ePz3o>X=vQFv1UB<=Yv9Vm-HyCTSOCHD)>8UivoaM*u2TdY z&_*5o)V`r-^{ImM*oGhZ3d3bYM8y47_n6m%$ktKV<4K}O&!##$JVssU+sPYOM3x7* z42~GGbi2vpVb8Yl-EQOz#lC=KOVlr^u8iLC-!ROcGxBNob%<-lY3eBn*$M&T;?Vd+ zZk{4hJ9fAQ2U#mRqOjWq$Kpbjn#SC3ow++Yw0c_+6W5<|(MWSobo zXhBp=^dKrm>FP1eP768(?+^ZZKWOIsHwNNGI~o9y^f42N(l`z(-n(drF3& zKcLSky{=pe>z#rB2QHR1u9kXb!dh)oX)QZ8rFo^>>yEJE^_l0QDT`gL68 zqhY`)*hmx9W#hRrx55Fy>pu`=4~PN+-90mFEasR8HtAIq`g0?3n)nR(6L9JD?cPh6*K82q6dVH`?;a3VMUebVX@8?k#3ZKxI5`MJyrQ} zK{?lcLMwP-(Kk+CRQ$UsZB2BUJTE{`@gs@Vdit87=<623l?xp9u~4-kpIOs!{SY5V zE6|Xev4tA}K)~ulL7bq^@qwJU%~pk)(&B?LWyn)N8W0v&X07uAAJ99um#VAdmq!QH zyQy*^RqMhl2D)xWwVcA8Ya%AuB}K*0@dmhVY6SbC8d!W+i66;gv9IIJ+DP#nUTA34823QwZmo1PoK}9VzMFMB^idAI=(zB! z5Low{>+%G|2lvP+QWBq4nMl3*BT@J13gSrT4jGCf(TeVYtufBTP%H#Zwyb(>Zit!E z$awpwyHnegvH7vNa0PHx`6XR%mHewKYLT_=!Oa@33^}z$?&;>9&sWYg&nxbKXWpYl zxcQRHA5+T*HNR1wcek}GQsNYHOlbv<+;16VJ4mog-=)&X!%~-3#ZcLx7z}#Dh|Sw1 z@dF@7w$6D&KkT0+TP(BWK&ziVRXG_a2}g0Ye7+9+EaTyhNF^Yfo-}I;XORS{aBM$y zsgExcmQU7&Oc~Actx^odE&vDn1tcw*^>2npB8ZML&R{MW?9;X*AIn}$!i(nXJKG8~ zjp0kU5}Q5kqo(4%?>&P3sMXbcajvybETYizMFv_Y(iB$|0s#(K1z2Lqk&esYQJdqI z&dhP%9aJgGlSP`?lOdFsraRL+cQ&aT31LB-4D~X}=4t8j`yuCY{XN0IruECyJ!aK1 zMP`_Npf<+O7gZ4dpo0S0r=*f!J#3Og*rDdL#&pQ%J z6bzcSvAM?PKXP-%5hv)U!{-a<&d#Ghpf#TqBM4q*4qnowhc@@eyGoA*08{)?qZi=4Uc;Gbq(5IJa2+D=X1)y4!4;%47m)mIH@6P5?_Q?~ zbh+z%=t>`seF-IQF(V~mQ7K5ie54JveXElFYIrA@j5z^4(_WmQ^88kn;I_VxjCZ7;Kfa_LWSZO>c%SzAN z6dtdyF~4a-h1WIV;;ue^0b%DQ4!-)usDCEhQI7=PEj@uP#N~@ru?wS4jKfM$`M2x2 z`+4dbt_OPmW?l2{RT2m7XYUD{gsF`FJW!7ad|AQQ{*^2HbQ90xk7sC)3rej~$%6?` z@riW^(R_kiSH@>F;%j27iDh&m2#?uMuHnuNoM+7MUV3h&YYhNqyBvPs}Y0>)S_2>KizJu^(a?A-JXTb>-t(9#CCxM4cv=^uCN$M+DGC3jj z3l`y`l!8pQX@=s#!6pj&eUGfLB*9#FlUYXwCNehJy3XyAWf{Z~jGv#M+Dj@cbQ#^( zj+Q=cbch9OZD6E0^IOukoxwe5v3}yrGQSQ^o5K&86q+Z}oKXyyx)+gEy1JTD;qiw$ zSYKy*|CDil_QWFZz_O20&7T1cQllb-usn27*wccX@=^SN5W9`kavmeZ&mGgfQwU?6 z@Lo}&#Z~n(M+vcrMu6U*BQy3<^7r#0RWjqecCAXR+lL-4=MGTr2AR@B+Awsbo()z= zs!%f8=cQd+_Z>e7JjQ8p`Q;3awaeCy@#u*6T2wc4mj`!mD-X`iL)>~PM^9So>4hK& zN!V>jT^VQu3me{5W6Q_|_Z`1{dsj6K^I83rBAWttwtK=NwuxY(y;ilM-=G|~^I=cc zbREk&4<)H~z79Y}BfeGU9nG3`Sj<8@!S=2RjQv;pL_c!Kg`o|>P}e)H5xne|7dJC) z*7XdPgo?b}R~unoRFo8K0jp=2(kX_8Ka!bNi}5Qy=z?spr6ys`(Km* z$>)QYGK&Ovx+C{NolZ4{Uu@x!pK%=@!$(A3?;?-}td${VgYKWtkbNxuX4s3In%gMVJ zSt2+|ICMuhsd@>85)M_*73v0tGk0USdW;5#H}n(50;nsNP+JrHKfc198Hv5!Fv5O3 zX52nhuO8QE>S98t+w{9^GnFrJ>{?#k$ly5r`5tFy!VMj^1}--?gNM(9W^Imxo2#Y# zwH&rrTC~Rr6G>h!jzTy1U+jn&bsHzs$}Zw*&2vT8gMKH=iNcc6Q70g&h%>7BZi-R% zC7yBhJaTI%5}J2!n7ssuC;M(HF*Km&2w4uVDesnaDk7r+KZ^D1)Bbe)($7^j+H~zuuh!Y3cx@ksbJeVQjq?pFvqS|_dwtE z#3Ejg==f1OC+-UW3tgjq6#r)}!5~0d-2v;lCwlJ%zaJn?r+Vq5PAj5-MX>6O6HC##jc5_Oxlhq@w9lHPQ$*mc&Vq2_!d zioR@>m6>_P;xV^{i6fq;0h~$mA>1~&J-UeXyEPW~3?stZ_YKqqvra9X@Z9C$7L4S`z?q+0p$`$8T* z*v!Z)8)@KA4bz-X9Xs8cA?myP_+gxr7t!#o7^S9QeZR#|cj|-Ywdff$U))&$8Ez@F zWeA`avriAm2dYoyH?D9AV$OvlIBY)&Ofc&}AASF7p(O_Rubh&WYrK~E|NR(!&qxDk zV^lDLzLL@nhEvb?(WLBro?(b!VGusCs~Vp2qi>kO%2O8fFd(Ezs#)U>ZXN~DtAaJd zu8~3XJSY?jZUuZeSFgxw{f;*#0x4IVVs{_#jpRj zQ^7cC)Wx3U*`BI<;6Y0G2UM>#Kwe0MbYwVjk;9&-@?7l`*@G@Tde_})9jKeelWE~a zC*GF}J*Ec;hwHF6orW%WUU(*FzQXtlq!7x1EqLG&rK%P&{&lVJ)_h^v`QFDJ2x;y7 zIHaz;ho0pXL)`9GyHGgcK;(XRH>)teo(+ksQ-G(I4Vh5MD(!^1?`99EjzPg$mR$I7 zG`(15t5rQkD2bdX`0=DFpN9oJ++t%HPeE{enm~d^(2B>g;aO@G_T=jJZ!6~(W{L|g?RU*t83pjlM=8qhw^=p1mhQIYXGvc8@?(;F!Zv!G18KukywNU zj{mMj{zL3y{K>`%{{I!E5AK95;Qgc)KSUlKhDAul4Xv^7`yXPM{6d5d?ISJ-+MZFK z8k#SHtOH^(ZGt!nF0_~pw8l8>e~3i!|1!H#Hp=UjDj|YFkKe*j2G9o!&_jzYKxsbJft?)c%7SS_Az*g!cb3n-Kpmc0>W?FmVyd5~8c( z{{`bl@*e{Hzsz!i|BL;LoTzkP!aOJ44O!R)wY z{|_#*3|EmKT0mp4Najz4x9ZkPCUo|Z80x;K^cjo$hdIR+Lx&*hPS;};G zOsYNg-StM&v`3olXtY{&+&T{I>9FtwZYB-ezUlD*!o6IbuH^%Mtv1RXn`SF9F?Bb-DTgDh!9yNtKuP-VKVo;5<5v#DT_@#1 z$+=XEZ=5(}xPN3+bVAz+_1>+PAQ2UwT#~Pv#z(_eyR-4P*>69S08Gq&UzJq<6xew& zo6MAD9Rd3SBPb*;)sIbamy4^8*!uyPWlvT%>z(C^U~!G~_J%n6!D^j!R(~7l_Rygi z3;m=~)A-#h(*L$V=$Nbe(uV{Vf#+_0dF|$8x?}$>(jVdOs9wXkL%$9BOmaj4=eN0| zldM>0A0cX5EAQoOxv{+ZcmQ7uCzTe;+J?m5E_g*}HUov8x)3g4J-WU-FPV&BZ=--x zL_fW%!zle>R1mTioon&)Em=s1 ziygoYeL(~sH2$Xhjm+n(sS_c)9(|Y=^Qv9K#^c#ChcsAI4*GRhb|!bOv)PV)Ur+a2 z!WCoI(;oekW$$eL`>!i`zq3S#Vf)FUOk8exvYF8jzCL~$??N1*t9N>X6 zI+V)`z^!Bsrwv#&;;t4}@#ylqeS2%*q{CB>V$I-)vd}dXEab%9Q1CDUqTDk3lplq#dThnFc3>lf$Djo zHUvwx`Gv;cF(wUMQn7z@J-x>lOIHjgi7r zL8sU7P{8)Xn%2rCLKTgR%-A(yTX56J@$0z)=jeLsKQO;dpq6aKR#>BYh04|xUZOWP zs@_&@@9vHTU%ZFbmFZwom!r+xbj{Oijub*cL=w9Y-w@PQ6Dnwc@3fmPM`|$v2^eW<~uyl5<%CPLr%C_BcV~t3a8`iCY znFw3T7YZC;`Q>6?tmecsuUTn26-i$$xCpFpBSBdZgspPxT|01Jp8P-zM{7pBAu* zk9yiT;pcv~7+R%(i#8PusX}M2rpDz*%nqcH2V)DBoe}I-wsl3p<%IX_wzW?Z;QZzA z%eW7+$v!4&K9Wg9QnAObi_RGg|fLN(b`(w}g(v=f<% z35b+cmM{$+i@=OJO}V4`d9yN@>@IUGDAQLXTyx)Yxuq9vVd_+LTq>tDx=U@T|mF4Kj*jj{os zb)D1bD-~$JHyR*yT2V6$eEn$KP^Vzvwg1%6Tfi3n>-~Fld=sO?qMz^JP$B)}f997e zO8o1C9St%Svz%^&LAF9m^K5_&G}^^G|8>u;RDI*Grp)(jPixW$@73(z<*3u#&yO0R ztiIvDRQbUF|3L!$`4p7O@Gk0JFyqPkrmQ+n8u4vuXL-zR2DNaPY5wQ8Y<07|81BV7 zqH+IBv)8CumUASHEfvI?vK_gJiSqTI*FWgCvm=hZ=k=(VRpk?#B8J?kYs9K6uc*Zq zqrXv(K1J3?G22oo_uqCLA7CZXQOoHTA_VYpU(uJI3=lKCx3nhww`Wt-SQN5ph`%1z zZ)F#w8-J*W4s-8!47Kk!ZvT}Dl(Q3!TqZF7XTQtD<6+s8ppzD6##R3CM5y#~q*sOhDOY9f!@3%4l^EBm# zlnLsGIL0nMOCzaipVj`hF8g0)BUi$T#ITV-?7S!kyT^brz?IK*RtFXOCpsrEuRnMx z*lnxH8Y7JK_gW^wO$vcag!?d!bJS(g&ci;V+lQ4!t_9MY-(qp8rP>w7FLZowe9NEq z@Uf|bA`~O|wW)eo8a%}I3A|;ayvHuBFM6}bdy9?5RGn99zQ4s2y{B)ZQSnj3ds0gg zQ=y9}R5}dwLW`U?M|IH|oeJeF{jD-yq2tYQis;bz`kwyY;pX;#>&8c@GypsH`L7^m zV)c3Du!>*qStY0h<-4y=rVGPWL(8x~ukZG9*O2c{1X5MyJ9B@t7+ zK|%Jn?PBu}Jm}PKXJOk!ySG5$c#XTDz*jKM2UtmKAs{lJ8XDILaKyXH5zEScDHoUF zgD(}ADUmx;Rym60kB^7sqfr$wm;B$XINc-yiIpqv+n+6U(P?Fm57EosK)&lV<*$L- z*;UO~q+rb<7O#m1Yf`W_jAU(!`O2%&fN)VM;d5lxc0WJP>RvA@h)S1=6hSQ?eOqZ< zAdS0}Rkrm1R($i`+V<%dAblUR3FU^Xm&f3lcde(Am5nEWZ%Phs5Dl&Sj;cJj_zH?PNkv7t9(N|HE8NScaFh3 z&08mD>bM!@P;!dYZM(K~dbq+Sy!Paos9&s$t$lO}jA0By(W9O{Ly|JaE!3N#O z^iI2%9DD}f#>=Q0cS_=9RZr7mvp7aIWbfb6*P5)twMSVZYgT9Gd?(+)($RQd^`-}C z2ES)`RUV3NSrAGx4;wRv2{GP80G#`6>enM=ekHG!4&4?Zx2rDmL`G)BP@>AsB@#)O zdFV6lbGGGh+iA8~+=>bFa^FeCu5g&vR2rL=dU8;wB)cfc6Df{B?jDu?>fbiZvANtW zV8T_(#oiwu)Qc0hMNyyWquSX#$o%#8T7_nt;uY@(@TMLH8}VV6R#G zw;|nWv`b9}&s2j&wH$x3D%D^;a&-^i57T%d#boC)?B!$%w+#-l0vZq0QQT>5c*e%D zTyJ{$?yn1*^A#(UblS}hHxESEWtEhRH9lE`S$Hy6Aj4ijw*dK{_TKB>NhYdHIf0@! zb&VFnb&FMp1e3uHm+!sbu47!bA zQz7nauMX)pOv#aG2RFHGi~7VA6>pAyGve#@h|wo^B3yIi?Sm%<^IA}=$!O@=mCGnm zxvvq=J9U)TPtM9gTuC`*_3t$0C>53G%0Wqa1JhRXzn6vz?p;OiDc$m~$KepaA9}X$ z@LDmBupxDKzc}GA>HaJ5Mz-sxY#i0pal|3ke4q}~XDp|Z#H{>Me^K7bYie$bA5b+r z=3-tq`NeB&2Bd0R=~eZjUCFzYU0JX_fA@GiBXgQ3J%VWr1E1G1FjF z1?ZRyqg^s6HL5f$W$@hh^i4{gRc{d7u8G~hX8$?l&cq#7%R&8EvGW?q@Am$nNyQTe zKQs7j*^zqOIPKDrka^DS4Y2mSf2*>rpoJ(MH!Gq)HSsPzXga-m|8mDsM>@`wag2z ztwMd87$N3nukS)H6*uF(*3Ucr!2oAHVqqoOX4*}M61jR1z%KrQ?sD5WZHeadbB5iFzb2kwVHOxV7}aJT_Vtax}+ml z=e9<$?&!tv@&Z`C)tU6XqL)5q&#QC0c2-nEAz@xKIb?Q_ajckYuxhUKqIw_9?ZNTgy39m?X^6bN0$`jvkknj3weFS@^JZE))tJLt^SDcZ#Ovm62SQl62HncbCRUZGF zAPtkJ6InYoxt3UyXwC)Ev$V_Y$bIcr%3`wJ;xizwZR6+VN&&REok)J0mqcF1W5;F! zZy`p9hv)8`vM4o(H$&Sbq+#&7KVUBS5hC06cR&g!(l#r0Tz!i*Iua|`%_hDTnm0)J zU!woqmxp8qY(=ui`*bwB1TcxUv6pthsXlRXRIzQIs!>l0e)Vn)DLfE{P{XLkLWOAy zu?$gov=6hTmEG+zydbG>>)MEI)N6(H_|olK$Pe7Fg}ua@bys!zH5St> z*fOUXnB3qE8PN@KZZk#<+VgxKX_qAHtf@w*>_VcCAKX&-z1FPQxA#YpoPYq%T#US5 zS8-i#3s?(|1t8;{w73?OH~x{Zf6KW&dW1BCc`n!70sqQpxo6g zifN0e`eh@U6(4NDz(HfTNF2$IHDEje16$(IMnIj6ED3{K`A&^vzE6EmLKMu=e*00y zS=^BnQrxnxsNhK7qyk?MQ$4Wcm73>NbBVG*Em1{F)>Iz}$F%yFhO1-NIn(XWOuyX- zX(sL=t@@XUn_itjx`Nt7^kKJu5$N7!Py?a?C=aHEDZf!UQOhp&4n(PB+W zmkT1d$SA|v-IcO`$JbGe59#(}_NP3F1-pq2ZEm+lBhSlomA872z!yhr%gNo<85SOY zw--S#Yq_^$AEUu}eAglBL0UtuEQY8=775Ug*h)C`N?uUSMpXjka8w@;^rlG#Ll`%x z6|W57{J`I5SEwE`FAx9#kGAyj49tv*2~2jwMX4{wFl8EG@b6{`$<<>BwrXi)CcZJh zn*Ah>b^*?HTsU6v-2Jw!tUGRXV@a)T(BqFZjGfx5g%JR77JpE}SuK~EHS$W}7w}eI zU?7%cnenXC(&M|IncV8bQ-C2+C93=xf=D8H3ntJ?I*xfaK9}ocVkmVw=H!HdF+>r- zwTv*zdl)pq!63vD|C9S^X2SAP&~I%SV|^L_d^N zRwSq&yTy=^>tdKYujBPHjr01lv9=TUvoCjo_rmMH&lZ2HpO!9_y>ZQy8V;x;l{zlq zFNF`O-%0jG{sKkt4_%vs`Ac6t9WG8w_8L`H=wIWOk<0g``Gx2cn#w9IEm`P^hSJR0 z-5ow8_V-6$T|}6xR_@(xsV?d{u`^72xleL8FI>;gVF&FKpL<-k^P0rnFrRd=rryy# zcgu-lXSpVO)_8Hd{?vn1RSwL__rpnEM#(3h|B%8aC!#i10xHt{V;I0feGDz%@c<5v z*TxFR*SmqaD{Z}+P#Unl^r9(07pv`oW~VO8pc>d=Ar8sJ<#l`-b6r7J!gGT8%d+$V zMWScg-4L4@Zfw&>Jhx&VOpCcVR|M_)}Z;UOEbEN4GIF`O}#+YHJirqNdB2UorNkH z2oiMHnnW5QX311zcGoO|8u|vybIXy&jzG+5Y`st~{Z6(i33bLAW5HChsvXwgQ$E{h zuwdS46>@ETcAl-$@^N^2=eUaR}B5s6n0kSF5zkZ6jbwNnkiQ165E^+py1ZQW`% zermb&5capy@S!c$i?I25h&AL1@}|pUsDiV(fK|rxqJCBbJFL$f2K%)TmLDtEF?Rp`m3AEUfyqU|?>Fuo-5sfy`m*l% z57|YP{K1Z9)&TSUbC>SjaYilWqD%*Vp|Y7ZM}jRKwBvIj6vJpeJa5Ce;VNzAfkrj8 z26VNV3S*nBBH2cP%I3>Tj9)qxxr&A2O!L{-FWb{}>-VFa@itEk!gsnEJ{9_Ji%Gbe zCf~-rWv`sOv-)u3)cHsiDCi^|^mjPuXUvn5NLb!!ch{5N?c5s1=Bip4Ytk?OOr^2E zjr6{4sj4m6&(!v`8vsSBT*W%TY?!ko5|iv2o|t0Kf?tLdXMx~|y*@GF`y)bZfCSE| zyO3GFt}-(%etftr(h~lBZd55Kc+J3WEjxNT$o`>n2oT;CRJ~_iubb!GjS4Io2jKSY zAy@ngZ#ui)JX+wh0OXKfieYtmzaUuJd@(1QS-vi;pT0ZEJned$KZy+eXUwFs-t_#` z0MZ+%?17Z(Q=>DiQj~4=;}D+XuwAN5d~TsBy9wK>4Vd~&NPX=jxyfzN{}^9*jpo89 zNJqyPAY6}fRhGJgE0ZSP#LbsM8E|XFhnuM>XO zAe)KZo-w13Q+Z>Y&=NZg$TF+bvzsX$>UcUSGG!Vu*4U?X5|O+d3cwP( z*W!RW2sqO(i$diSb!2ot|6}kb9P8vEwm^1QOJ0W^;)Y9>kU^dNu8~V+RwzWtP5;Vx z9;^rkRz2AZREz4!*vRil1MDyeu?_t}{tL;7gfoP_@HK2BHGH0vvRh&XEB#K!(1K|y zx0dhy)e(nomF!V^4#pna3)?y9d~c=IOTwPe%~N&-C3hjm8$T6aTLJBz;+)#VK;?L3 zRPdUGk!ncfB46+in~6es6V+4$e{1w&9vtCEZN?=WBmadBNc>QD(1Zz!T$||sesWY; zo9frMG1s#dN+<)_u0fxo7s$BP!tWZgLfUCPCqq2|{q1Hn+^GvVM#ip2{%qH84}@VP z%g8UAP2>1#v;B$sfIBy!cJwtkhk9g1I_g2ujT0q zbwZv_bD8G?MK0>Q0u;|Br+KG~kbOrFAWk17E&tVPZcQWZ@&Zy-H2$V}KX>mFY(G{u z?b!Zs2u?4HmKkooIfD|ldJFSveG)<)-;cwM1Glmm0y>gfj^b~zNrEnP`+GR*Vo7B{ zte>`|`O0`bD7LSw*ahCD<5`?3&yWlq=77Ow@uX(y13JsY%e&Eu->TMrfF9FPgmV`X zy>FP^M5R>560}Yo1w>TXCrn70_6M~1G)?1~IG99VFob*2Pm_+YBw4F72P%I`74N6%cuz?n<&M+&dPAktfWg@;&txm;4)NN(Y%Y{YY@k_&GRIxF@2DyGyA(JU{Ci z7|$}D`x+KKYW6za+m0S53<3oiIUI)TTS;BRT!ZsAZ zo*gCZ=QZwkx8#>EuMgb=1mQ+etxWT(H%rl?W_!;`(Tj_jveMY(oU6ZW?k!OofX_+i z?rleW;h2H;z0m1ce{nu0|Gf3~o>Y0)EVPX7F4GY0^1MCe*wDtow7*0th12b#?zDB* zmrzF>)nay>H>WgL7%Sr+D1H5Pwx=|7Mj&{S!F^vt!VMi_s0=Kul79pvZfS4eB)te*j-3y_hI;&<~|EL z=}0&BF~~QNz4NfqfcNg-*IgFekOk^#E8F0+idq1_J?GJc>=3=(<_%T)zhfWOUElL> zUfrK-V~6&NL}uI<_i_YDj7tPd`KQk$a35JIS9rhX|h_B0jeXIEGUbJ;&w1 z_ix}XMmP+g%=h6V%MugNf>`LF%CxUTSbbhQ<3c89zJBg)&_%N~8m*RQkdA7WNrCb9bny!fp^V;b(ZV-NL&T2A(-Q3_&GI&@&Df^# z9$IpN^m1Z`Fdp-3x@9VJ^`MJBo2rrh+9hCIJW=;&2kK$%pE&D3h7JQd2A__v2jg73 z(Hz!gZgIln1XiDpPZq?W{2D~Xx1@JHHJ>%TXpUKi3*Gk2xqmlU11<}<;nwC++|aBr7dBT5<=>_sct{{7x)Bfp@UR_u5y6oN__hA-?pZwg zD;^i8&{9E?vtAaVmND3zCJSj9UA;kexj7Dj>=}FHj^zb9;8}tihtXpN?O$gg1lTbnr*Cn0K9R<^_GuTT{=$n+Drs20wM^GMj z$NW)-EzqWBT$(G5;}`M*A!AO)_K-^8@i774;T$jxEzPz<1vm%;HtPbT@K@LA9g!|4&ut0oByAEqoCbE1;-|G!+FA zF(O?`5EPJJ6hul8q)7?Ar3r|jQWPoD5(EU1CWI=GpdcMWFQEj8p+h8)KuF=s|9lWNY~g*7CmpmD3vWAG?o_c+4ylgk9jq3ws%W+*k7ryZ+|%+C-|g-Qhbe& zlFhqQux30g+_fnloHs*75TOEJ4Qym(7O`&0I5%(={H9EpUa@;vC**lD-}7o*H)Yn? ziZ*Z_-o{P=ed#!BO0knl!d**C2Cgs4Q(s3uWqA6AM?uASQAH>X6i*@QjT_EwjPl33g=Z#Wg@jd$^i`DwWnKqs9YX z?eYAf5{7$v^c`t?He9v50~vT~vwbB@sY87((QVOpCR=0q_(RBBCss)>0N2Nf3W5w4 zNU^2EOH8dh`yLjQmx);P;N*il%Pp3QA?!G~(WA7VdQS`TDA$)v(q}I4iBd<`9t{HA zO!1HOMi_wvBjLo+jqj#S*0;rA1|TQqUa~(PsoA?&seESjfa$>>4jRRqf9Z(QjRDHy z$}3i-lxPjk6!PMN@VZKZW>Q+nUemW5j~EkbcW%JOm9S}=NqXea-KOmi;mbqr^Ld0{ z7c$12*HJN~#uPH3$99C(QuDjtJtTaCl{ zD@J8{d)~#KiW;oxR>vT@Qp$eVF@cjHatluNV61y!_qIu#{O;m$8!o8u?1}p(lY~Om zaG}*QU6CjUg0^b5Ly4Lm7)+~T`TuyZATf7p;8MoeRj`%!tVA^dxsj!@yoi<(<(r-a zKn$>BhCkig?<89i1d}ZvyWaKGRi%z!D9y6i*~7SBDMU*xX#G>br|OL*s6pE9&_CW; zFry!nmtWxQ%vr3DHL>tgBX9`5T+MlA zgteR01FtM|$y@DL4E>xPOL(2rbL)VU@09&i>&*4EqC9bZDjKdMI0>iBXCyh{t2q-F&>hx z0858%K~FylOfK)F>o7L{k@|uA`~CZyA`)k*rRb)4)%L20$$Wo+A~3|q&Tn_{=JB7Qk$MN))f9bv8|^Bi zh@=@}Q_S@o0}#hN)Yw5!mWQtzpV0a(n3erMGrQu8M%guN6t1B}t1pOvwv5Lg%WMqU zsF(6)ygMA6MjO|CCx&O`;>hOR!oP~yyKBF`5E|t=Ash#~hv12WeQa#MwbySfNPrn9 zg~&kR-^X~r_9AlyG=u+mPm1qFpvI87*ZW^tvwe5+r!<0Xn9;_!u-{UZ14%mOfr|;| zEP;?;BNKFdY66I4z>sdJmwb^~+qX8_;um8_72z*?wf-igH`}gqX3dit?5PX8vM6 z4(_&4>yq=C*&*#2vnB3FsF!us$1hO<& zm@oUGNcgb1c({)lN0zD!6*eyuQp2S6TxSGf`nZvSz4vH6@mn3fbt=LsQz-MAj_dR% z3!qAMP>=2{k?zo*Md$R1D4m2NjWc+q0!dyNxgWSam4jHS|2&2qYz01#8we<2$?2}> z5J1B*oK_6S#05e6hGIBh;#;o&Nxz4Shr-`i_~20KDyAA@a=P=r1w`LZ&gqB_r#Lsc zwkHSm-Du|w#mwX6!hNQZ=9`CJ!x)^FWm>sX_Ev@YxNj$zjceT5F3gIr zOpisQEv3tPDOsP^-7!TkOrT{lX!VBYTbJrx8Ro%vdf*coWm4#mLcyIIU8kvl3Cl)# z88;8w8f$RHP~Hc6mpDE&VX2UQ?gwWwWR{c_quoI7HPjgPLPZvxaE>R3Z3KUqtN+*} z?QKV5HB6uvrAEwVG>~p+8x<7s9C1}?1W&pHbP{# zR*xtH(8dMIO1%r%wxFg{q-;vr>C0UWdIw32T? z+0J@-{Q<^wrR=eyC?bfn;Q~(n?`oc-bO!jp%Xhx9?>8T>%&o$~iw(SLfKJG2J!%?0 zVhg@elv*o5t6NHWC-&4cQxtmaf!|s#-*n*S^w`yF$-m3$#(1ep(6x@q8sIiJ(3FxI zmU_#Gf{c;OVz7L|5aDJ?wO$h&%K~X6*D&QU@>GB+o+kLP-Yx4E3e*UcuFXec4Ct-b zPpQisCkpMp#I-WlU-^U;pYg5T4C$jZ=FI0+8-F3(ES~|(+7df+zgh-&((FV~zwHsp zB-QKPE&j>nZx2w~1EO{}r*fcSK4#$1|BAwZ@N_9WWF1iT-XCMtJ!4o_{ffUYWLf{W1~G{@hRj3B4=vE^ z0>LoAqd$>|rd?)-vpNLx2XwUQZ%Nu+Kiu02lPUq(dk{%aDRR2RQE^^EzhLXDmGd1P z-*@}MJ64|&*TH#W4#KnV!b2a_-+_D%zVd1(mHx;SC~>#p`moi$Ntt6>#f9Tx;P=3Q zTv0^S)W?F$=jiM!qYa66Elv?MLYKcH>_zZJ#n<28P9@d=FJrB6+!SEfj2?ytEz$GV z_^ylTRcI_KX}*3>_j1kZ0~-sJDa!5C!vhNPa+E*>w3Vs_|F{(XN|Ges#!)-Y?zR#o0o%O>BF6GWEeA)FesM3ub>Ewqul-1B`|(~7Oy9rmTcH{jb!2MK9mSHN134%#-u)Z zf;8K&SjWak9yvSrVz;r;}almGG|G z=-u*x_iyJjd-*&j3R8oWoAgl6Fpr$Jw7v>Z^JZ+F8h6+Iy;slOdP9nGrDqKK@^sl> zaT#ygg5-0NSM^nAYkO5AYJNa}w!=v8WQfv~We2r&!9S}chblx7baV0q-;Yg$w^u+v zX5T`OMT9Q*aZ{q95xg6(qY4yO(l(gFJeX#|-LKGRMBH1pE?Kj3?_>kDLfvuaDSa@p zU-J&(B=d;@<|xk!)I$w9fPr`MbX#T*O*CRMo39Ctx*l+ zjw>=PGA$0mQB`v$+<;3Ub8#~c$=rza>Rinn4xjAA9s4jDEhF__tx#_t#5cwTIjefL zKAjEA%iSwfp*k+8ozXX&Zfq!VXeRzbSdv{jS}vTyE72o8!Rt-AP2 zmCe;Q$NXevb_rtrjTR`1sR1PX3LKknGehn=xAnR|nQy5EA){Kvtd%!fD=8|xdP?N5 zsrUzA8z*!ZL8NE+M+Yw5vR#zszbk5q+a9VIlPbT08OQnRChdk%Rh_F;wb7w6G zteTe?p9B4|ZJ-}vY0(jW8V^Nkh1=J}X^9i?J?_i>CW$Ce9cViMyTF`^{sI>Nb!D*u zb*Zbrjr#)kc&L^`q}d*uEYm&UCk}OuTMdlk|3#hWcPu%8Cm3on*~Nb<(8uI--BUsB zv4|TxE$!nbn9+pd{FD7PvL4@R#gc!N^sfipQVKQl zuik{2!q1?RC5E0E6IJ@9sg1@Yed_#>#mhO~@NQ_9Vy^5<_J)|SMHB5z#bI~u?S^X6 zZ|4$__vw_U#KDiU-uu0IY=JWWH^#CXoqo;3RLOr7 zHLFTzw;wsLg1ut&J`CP7vICaog5ir+g(z|A!ZRwfJ3jW2rTbj_E+5S6C6BH`Uh4N3 z)bbd0?-o}2Hr4EXpIM5s;2S-!@np1+-FbupbZ2KBDgph50X{-&W|kuKCoc_F+4V|2 zbh+W4WT$C~813d9+X_c@AA%$=UT!>WoK|>veX#8|ey}2TG2;{vr=)6vVM@=<^}ttbwyUYqgEI3Gg>i zcnYX%vUM+=q8kcrd}C|G}&5kKTfJ$uC>kIyAqFW zlE15@t8syN@*D3`{1?h^K)bx9_}HMykV)p6a>WoaSNX3<)TnWZj(77rGFl{|)DiI= zXf)QV0E`*;77Shv@o((fe4$u7F&wfMG;@3pEYemyOk5u%uJO@$VA>z>ukc~Pa}_MJmnm&YzSJBU0L@CZr17X6n`Zv8Bsu)#1kVi=?;NLR+WjL-Cc z>|$(B7m?q4LK0W#{(u(`>}Bd#fp5m#am-Ng#B)6WfQY6BmqOCb0bMm3W*IYZ+{MaUeDaW3ak4X3wPr4`4>PyL*dKWmHi9tOgo2OT@2EIeA z`c7Qxnyv!@<>~2F>j789WPKFm_oBRcj>G_X{;1J4A5TB*i8~_yqR<_!?H9*q@5eT z8}?{ErX$2I-PF(j+tnvV6+JgpPot&{R(=B9X+D9iVezleCcF3z%KpK-tB9ZWm{h}` z`%qJ#H_h(>mi54km4u*a$$y^U)+u~l_chKdyJ*bv)0O1?a#*hw&yB_ia?Mr%t$(^S zTA|EcLaVRubB^4a(d{1|5*f)@BVN1`OX%FbzHn_r5EiKp_y90CaB82ZW{S~;WZFdp zrzd+>NnG(3*JCu<<%t5)%1JtFhZM3U2JZ&TSnAaEPebhTMePQYYr*^(%Sq|2wtv~F z<2ZRx`I*ILO+SZh`r4ubVQGzJlw`hw?VQICRmgq=#J=m|yrypt#$)*X?%4&}3hUVM z3${Lp=1M4cl$Ufc)PtEni)J5Yomk<}wtVBH!kdUYCV~fv1DE4M)H60Ow1JoP0@wy} zf9Hcg>_z^YgBL!ZihrG8b(Gn6NKQ-oJBWKbofF zJuKjqcN?f&jSiax6Z)~nR_)^9>QJF8^+*Ri9q^{aY|`95g~trkf8y4|bQ?ySpRAlG52^?m$8 zLaa3>iU2j2CHDgw`tOhWu%Pp@g>{g`NAMTfF!% zgpuvpp?(uXorX<~oYYZiGNj>;t>jk8b>e;c6{)h*f@sekPdv42<0(O)kS>@Us1dUN zCRCT5=aQLR6Ktb>)HHS70)O$tYelc?;@(qFF-l|xH~*Ds{DCBFTUIq`b4)lnu(U9i ze8drQ!{Gz)t#YCe!kGOYI1KDB`Jvkrc$11Q6NoI!VMTr^fW^f1JN$pl#J`E!BjA|q7r6Cc`W z%XkE({1^YG;6akatC{V0_(WhG?Dh<{6?snhBg3=Rr(por6G*+2xU$f1Sz@)*r+(!J zUr_m(ZXHoG<=z+NZLGmi=AKth>yEi!=cnOyYx#wgIX`Yl$D(<(9Y?k2}b}DwA|E z2&;{;5~FRuq}|bjs0{v1u5sw0dp9!DXa_LCmjD2kOL~J)p0MJv1*bxkINqa+)EB(XN_MD zj?Lz*pFYv?j*kz!UAF9fQ$O!I#$%F(0Xkt>@ZKNmDHguLAJ9fR#0-g zX_w;eLHzPB2_{V&9a1?XMvwD%;EY;(0ePo!TT$u%+dAw0mihWJUz?4GjJwQ|+F(dNIW`F2%Ei&q zeB}(!A1*&LM4}YTWv^>HWm#fCY4BgX))ITq6MI?UpX)h9iT`MR!bSZL_s*&1o8+6U z6$n%$Zo6{q+yJ_^tFO1)UGHW0u}(4n{HBqCve>F7(e*5S&O1Y;X;SNMFc6;u~mNQ#B|@zXG|{Upi-!&2q}t|L>p`~8s_;ABM$w~ zc5zb#eFQX%={KJqDkh~a@1U)ocmyYtO#Wpn(k;P^J2}>){GEqX_x?ST zpII_=&afuct+=7q`$d-X>35Z7Lw@$fAv07;m|i3K)h8PDmWNdVmOQqJDG#d04VD-o z2;g}bXu}`%1*TX)cWX~agf8SYOof1r)RYS7d&oo&o*-#U{cGau8V@m=e}$>*f(XS@ zOInM%bU=F5kuV2Y(4SUn?KIVJN|AQ_i5LW|L?KSb&&UGtmC?iRn00N?m-hSOoS|;x z@G|a?hMuk)oFq)wVVXId^mSZn0gGYiAzmVh|MC2w@EmpS{@9a3=it^g!MB;$_cL7J z_T-S-zo&Leo~Gth5e$p9bhgZncY%yCIU3W^N~TMx=+W7U6d$zWx*V9`x1i^5oN0nb<`5td6s5TwZi@ zXvtpgu>7$6$9UUVzWJ!#Stut6MBq18GS?De_HSNt-%TZjC-LhxAYb`~w#^mq7Vp@r zB({e&b~4X#esf9l0#Ff=O0-P?OAYa~@@(FN-ey0e{Yq5Ku=^h^x8ZmsD>M#u2Y%Ov z`Do`7S$M~j=DdFg`bBRZ>6#of;+sSLA$}1)!uBaIFMWud4v@b%$te9R=$TZ(lL0NF zIe!HFIC9RvuqHNCj()(jLr^2YaUOjrR&f4Hr8EFeJG80Y$PX9-wnY2iSIreMPtd;5 zBV;}d_z$s}r^sHKEB60oS}E(CA>{u5Vt7VTyK3nFa=E7jJ+qAM=U7(S`_b$ZEWtg% zHfRa!2psAGN@T-DS$Fq1JMv3o1Lb+3F;^_FTM{!3y4j%osAdh`8pMJ%%Dk%AZcy3a zM$|snLVk2X`035Od{tGOHg?(T{E*3wonTMAiJZ4(i1z$24cjwhHPwP;NLsa~AtT(VMgEEHM(mEL;E>5`Qm|7R11?JDxM7T&>$|sh zxCBENoPSKYd4S$|AOSnAjPt)0aDs;DJEk8RY0w=>D%}kWc(_H?;OCekR6653;sWk( z`Yn&7M+LASh8gd>*fk0uaT+1Fhea$e=lgUIPiO-*nc^zOwa%nIjMvu_>UiThO*Fbo z*HSmT^_9n8Bd5mC^5IJiw2Ao>5GbQd>92Qf0WA*plzoy_%3N75{gN%|l4Dff-FrUM zm`WR68&4y`W;8#Q-u+>izN44v?7`MVJG&~w@LQXhsD@#whl;|B=M}aJzkS^ZXoJ-F5v-M;+T@-$Zsxhm#IKGQDV7T zLL3~{8hb;+N$L(Ou13@{#Y`SM0YUI?NnRXjl!)~RyRoe1Af3{DRy{UyXYNBqWhC>) z#HF&8_bHExm*lag#xoGX0&9Wsm_2pxqnY4aBzwXxAwPFupL<1Z#cjRt#ta0OeV@%RUQSw)!EwD%aikD$lP<9rj%B4_5 z-}=q=cC|d@^&o+cQ>7YU%ODBv)(1 z>S&#-$Y0yrerCOUR^hVLt;sjFsFmA&U#l*E-;1)D?0ACrKjg(fW;r77QY!P( zBm;OZ9XTa{tVlviJScg0;eM-j;B5zsmjx+Y*R%q-UYbX1-&ntZp~XA& z@wmN!-KY6oYCXPX?GRlS`LjMMqoMs=%BcP|LH=iLMac)e2gMmnfW-Ac z&l9Kl-s|roE$|A^yzJnuLY9;pNZeIEcNm~|b5hVN$`JsN9e>-~{MYb(+;!Peo~C$n zlTUr5aCLy;@1XnRztuI)x=r9a_bjyC4k3B9MDX8FoTRyG7^eRUKKH$@UR&va&Ybb# z+nl8G4w4uf-e1#+Lku7Py#DSdd1h)GAAIUno+5jL?BoPj+v88zxVXvRT@)%SZp8kp GFaJN2q7v-@ literal 107153 zcmV)TK(W6R000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*Ipq3;+NC0073H0RR91006rM0000000000 z005Dq0RR91004La)O~e))kybu0>xR}7I(NQ^aNRoyD#nzi@UQpEmjI`X>ll4!L_(U zad&t3#hpU)p6^U2_qNMEzrWw?XT$A1IWBW%GRaM>X_}s#PtW4>N%O9N|K0f$zWe{> z|FSw{$AJ&JIREd@66$)kEa1j-!F7fH5Kz}|{`Y6M|DfZFCFPWS<@b`yzj5W2u6nuB z`LEBe*Smg}99_S0<(@!DuAuSQCSP21Pb#3%;v031G``b%KV6{zwx`5$)mmO7zke@q zQwlEcT==GPk?P+RLlsTdJMsk!gd=t?4;(MvPyk2_2)mE-p z@)}9)s|72`&z}Uf-(2{{*Ag%^KK}(~qi7V~!L|h|1F0Cq|@_H$QJWJdc;(95wyk1&Q zQji)+`O^ytr3|jVmR^(RwBj0xm0En3lphH$(%O=51{Z45o|(iqlFn;!z4WiNhP0KG zO3L$6TqC8Gc9z#mju{0tdCf<0M#*F+daph+jKQh{-JQ7RlE}@d9^o6`qMuN1k zzg;H0CRGT&dOBa>ird zn-tV8(|*VL@0Nw{wCs#$edhnQHV<-8VJ)XQvV-oP>qiWDs%3d;4EEA$5Bo`<2DqEG z%d9feiROl}H*tHTwZ`dF^K@&mdBPZ`!0i!rxglb7{R?CbNoU?k-OgD4$@1EF zjK6#n#W5YiAGG#0C(}#!Cxp-Wl4{aKi+ecVkGt8P=WglTY3c5|~SW zxSKgok2PvCL>z1|O>4nk)=N*AL|X&*P=mL5j8RIo+{{}q&LpfU`)X10%|X=en`P`$ zMy>mUb?Oh71jLIXU&>}~e^J*M{V_)Jn(;JmJ(5paqEQ3r&9)Ol^6kkq@1_vVgY9V@ zhEa>Xn@6X+?xFYZN*FB}Te##XL-p$bm#p89_Fj?L?UKhB^&qpg!oXVv)5d#)9T6&+ zgm&Wes8uTR?3v2E^ggY70ToQ$bQUcNHh<7Jg_*uiO>a+4YZQXUi$d54|M=fx{wR+L4>u6Q`C~A_tgE3s`of`I8f|%I;X#yRe`dH{aKS_+< znb{k9+pkO_@1mWQ=+1XKk*Kz@M+MU)V1I<(X)2ASjfWoz>{4ts5sHtX!UkNUBp;Kb zpOJ&H*CATs1CpKnqRsecEL9#Xd1rFdyc@yh+2FB^30e8O-pR~anM#`vYK9p}Rhm!a zkk#h0_pNm8=1}9Q4=FrbTO(MBmI->hL$s#F$J5GF(j_f8)`Vz#!FveX#U_4zOjF~t zA})gJ*%!9z+_hurPSQ{++_sj{NcsD76w|#35-qe&)Fqdc-1c`bW~&c@^2*=io6K}< zQ2uVtWUa37iAQvL+Iuv$*>hw{_vHwD92s$fV*32Rq~_m0OPRgyE;4>9(NJ~tt1N(J z^bDro>OU4Psi#B(S?du)we+)w)3pM}g-f=@kI_4|V9Oz+DdJhSJ~5Ih;u?tWfOd&L zMN-oySxgvpkuvH(47M^rzCk%B(7H#m^psFWh1awQ5MNj|p1H%brkSsWukk<;pUq*W zO#!&cT7QbTevNjeuWpQB$=4tphOxP|tBAE?rH4`%-%~>JS}b`J5S|5R-G@UJ@!2QC zjAn{>!f1{bly`ko?^Sfw_n|P$E+u(HB94|;Y+|db#|U~DW0pi*?nOBGFatdaLebS@ ze=3hWCJFZT`OBD60}Ult1V@Q9R=J-ADE6N7F^$Hbw*G?_-FB7dGFl6!T)E>V%Z? z9TY&j63Yxt#8Dv3av2rbf?1Y#{!VSO7BcIH>@h?!1jiX%Ti{fl2(62xEk4Nw@k@$$ z7uft82d2K~kPqeEA&Ku)#M^>xTi8ZPUe>UT$tu5jaYNJ2F;u^Heq`*Md+QQ~j%Iu-c{?Vc`bynd}5WYmTTCE3;rPEEN zXnj;g79MB}5=-vvrnfnwsj6=uW32L+8@Oyi@oSUGpE}2vk!pKv2@)jSHA~>J8p>lJ zD-WgjsRx7aJ8+ob&gZ=7q}Cpz%UF-sO7ilKUHHpWX@bSr122&fZe;gi7)c9A?&g z#F{e8_AJTVr>Jo7;_I~3Ad%*;rmbo~i?7e6R{dugg_K!-er2{>zVYc|m1*>8-+3_tcTu5O3!%6UUd_8; z9F2;SW+})6x=6uo5V{fKT=8CHy17qR4h2(rq$>T1j_xfaMxtfPq47}h;=^jaRt=@J zd8I>(E1O5d+&^J>Mf}#^iQKm<#T04oMi(SApUx~}*6Xv+$fgu?f)`fXMkiKT!sZi{ z&Hsc>?K%CmuYA_r{!&)E0@0tMbj|p^9(^skl{(L@Wo%Hq zo50o0!66`H4|?-ZHvd7&CCh-A5ArGO#wFsr`zhkt@SzMFNza$^CymQh(u88?74fDV zsaif3)M{LzFEyMXiC8Zkynkyui7w=maejsaK=kL_lX&Zw(HgBC_JgYZUdp`CVTbWj5r2U&`v4M0 z-iOff?Vsr8>uyFq6%IY&%SdjswI^W#WL(`&%{G(q7FNcwl)a&Kgjv$~{XzF0&7ejX z-WW9%@c>xuGX}GWPxq+v7l)WxjN@n#l6OWuf6TQ-PtntdvY(L+*+w<97=eQLC(2&4 z_J7cgD>G=`ia|zC#$Jo2h}S*2jRl9qhl1$&3pqgZ)m9on*C0cWqw8sQIfzzR65LS* zQ#5K;2X?w0Oqh6#funHTf<-#xq$VDk&qw1=rC3Usr8LW@^-21eR-JJ-Lq@hV)~Phu z2@=DZgYJIHm!@2nyvM52^BPtwz^v`k1KybwZ_MWOp?tCw@3{#-8s!vkF$n#H2TFTK z(UfcM=7JeSO36Me&}kxM&3; z-D!8<-^`tBqKzm8hP5r8Cv!X|k8dV8SkT-!VxzG{A-zY~?15z`WZyuP@E-Xz-)NM9 zx;S#X@o6l|a`_G?X-f4Uw6E??!wX;FcmytOk%zx@6^d+ z)L@QMHv8;7w*lQeFoH^MxMY-7MitOdj0ROoZ>O|YLNmCQxA8?q%V5mw&oeEn^Y(?* zF<45zUrFwdqO{hH<53y4(2}N(YsfqtPchVt!C$_&qC9SwI7TaiuNcQvbtn%F*Ku%I zE86WR|Fd7I-UrPc3smB$0++0S2fDS3qRWm#=82tCj4{e3b7B0}IMKElG~2jA^#-mn ze3fDjT-O~&>Q*m|-p#v8IcJYIny9Ln7ctof9MTM&N_Sq#1(oM2TFS9cH1rO(Vx5DU zI&Lb(KC%k>6vV`tJ5e6p&0~~c&uZhSQfwUZhe>nmGcSaS23@52?{xRfDh-yQa0zg= zs!7av@k^N#?)Ns@t7w_dLR;NXJcbtvqCK@`EBQ#-{1|$Nd7t^DY~En%I>#L)+Ip;c zgd=2@eM`?3@dG_i^1xW2%(4d`7O=-OegA0+&Y#5WJ-?c9S@~OIy)A!aXoA{abf}9Q zEQTuHnIQE-zQpGJX4!JbBE|b44DbQNT+RY%>F+-8spGdrh8K`25GrZ?R%>9Rf6ib`N@vp^W|kqU`ZfkX$jD%!82r6pAI^ zv6h}R^QFNH{T=;&0~Q|xk(_*qm)6az4(*f?(*%t~&QQhMJ+6jo$ptHtQbl<{sL)icf-kI*gP zSP2ci@o8PEtu*y!LeuSwr?Fa9khx&eWYzaexWPJ0Xw`p|xf zkla?RB}B^%zZ57{&|_DP$^u+lDfSAYJ>{OsRtydeN6o;|8(jWm=Jo)+X8jLi5+uS= z4{5L&yxo1iQ0+3=%`H(24Y|%ME>Nd$E9X*p{A=|6-2-Eg^4LdceG8{;4WClW_gT$< zMz;|OEV`a+h>Ho#TOWIA3LW}!UPz95AQp;0Fc}@|idI|WCwVsEV7j{2;B{nqoPuwP zfW(|cYw7Thd%|iK^uckMZEm%MBr`76rka6%f_P))5;iI?{1MR-i+5ASTU@k&Jr;|11I2qB2Fa8-xe`yIh&O>$$Ny8b>MWK19fo(shLOd= z(?HF-8`tKum1sj@mUkfGik(`C77vIhZm}eXEaKRMP`r##bj5~|1-K0+*qM<2zXTsg zFD6Xv3T9-9T?Rur**+q{PYy94;Rg3Ix`qVRWZIHwt~U5iHwRXpxu033kArJADX z*YVxOT@;W!2XCa~livMJ60_5tLgwhdrWz+yuV|ugS%`tB!;MAMc1r@Wx&u{2w4O$K zZNaEkGvQ*2e?o#6vmwO%doGOHlK;1cC)hxUNY=h^TB8k0gt6@aG65glAF=z#~JoQQY0t3HJVTQocoI@)0`{RIdH16R+%L) zMEkUMf}RyKw}4Ei(5Ih;MyB}(o1byNA>1OYzX`h z0%96eZq6SpSMW*JR1mKXt6hc*)cCzOL4d&}Rn7%11Z8224MFn)X~OiyLNwbdiy5pf z18>?om3HNpV8lIqrENusr$<-j{bni+s3O4;oJ8V&2`-U`iZ#^php+~Yeh7#5IH>`; z*@t-U&%qMMH0V8@|JSDtw`f9|!e)zAYYi0*nj1@@BMq!(?Y2=={AlVrYM+4#H;}a- zC@b~h@ADpOskqxWYTbFeQCx`4;FRcS&LWz7W!OO1ct+QK{CLAT4P%Q~X=Mb9*vM?pOs z^xoLpo9dR3*kQ_QCuPU$ebM?f8@GTOe0oIpT2(i4GjGwShQcKYk%FH;45M_rj)_QJ%T^P8 z>U+4PAmme4LsN8DS?vv^s)xT+U7P0j(y(LA4?+aNECnllrTUd}nC;dD8aYY%WrK6MgBgi0{{ zEy`XwWM2lHl;mv!4>@YVmN-th@hJ~JEsU3)4lK6ll-nwU+j4n$B1j*D_w5PmDeuYq z6q3K2kx<2X6Ig9MW&rKG45ln+=2PzF-;EC7hGQK%lqGP`;ESJW<2Hwxb>|(UgVK9% z6hp$%d34&ohwj}@CKi$$thZQ5%7NiMDIzs~Q*UaSPAXPZ)wLdo!e`L?nEr!`)-=pB zO~xAks3e-hLR-B0;-$TvI-9C3lvBmFj3mq=c*`ygynZkG?G-F=qw=c#2BV#!4c3YFJ``kgJq=uC{{TT}`TgGCy_ z@X93!rok$h(m-XNw0c=8m{L^F=-ycI7D9{D)v#1%+N9;pX;&r~*?43XRx1plzrif= zBZFzHDfMO}TVjw*gld}zX8BZhKF!)H!N05fg5Oad6N7{jZQ}WJj>allF2JZ)F%pIN z=BD=tG;^65VDv;r<0#K%Pu_qZGRU8nv_42D(mixW%S-rMbZ_7M-%z15S4O<{6dEAFB_V zviT{G0AsbX`6lr0h4E(RFn`L>;vfy|w#g7TwggL@X0d42l%9vEN!qV8;(dRkFpDOq z=k_&iL;R`Sy4RxYA-ct0pf^|?tB7zY_tT#Szn5S)u6DAeVuR^X{HHzow;SKp;1H5l ztIK4pM=)xd;-kq^pDKu_X1#^g_%^Jji=cG%*q_Q{&*1rNAg(-irRf*LTY1a?Ly&_23GaJU|WBY?JDmqbu?O>81 zoRw%On)#F`SPyTl1?QNqie^xxkJBY$pT}w#vG+P(D%N!;B`&ni5I1K*@(h;#enK>A zvXP?CzNcwb${M#&EA1l$OOqukbfEql7f`e7dmo4KHzfS)K1pr~X4^zXoPv zG$_Hbru~6{D>{4z<@hAQsZ^|;0PmAX6{T3tDqkEoF)79|8D&p=YBQ%e?Fvt6Hhw+B z;G1>wz*qv8cx(F_22tM7tK@xbjqy%}VolVw(R_`T+B`s`4ty5(BztpJ6lri8*L4J~ zGF2y0)>^Wj&*mf&!88bnVpUo3{_(M>kzPeAw=6N!mK~`E(}VnLMKJM1Mh?(o6V^iJ zpsVh_^}Y~C4`oyndiRC^)!KC+x1mL7>b@|e1O&!$9tIo8V5{SZ)pWAmYYMhz8|(ym z5DZoqy+hTYr4&%&lDLcP&Wbsch*u80^~cAjP(Vwm*df(B)Zu8+w;)ZG&CziskBZ^F znbSo|q1u{E333`;VU5_w>7o^>Xr~3VEcr%bmJ-c*$<}1csPu@}bS~X^qcn>qq6Pb4 zv>}*L{ZV2Og_n}$o>@!cwO|%M?zpUZ(-+bjFBvWUISRzOLpx-CHHfc7d*PnYU33)S z8-^I(1@ZHa`bpWd6*6aJ2s6$on->G`j37}VY&LCgcZ0CzVOPb|;QbsVG`@51vs?D5 z7x{V-4zrN~RS;`xfd2bi4aWqfH}`?Ow+68~HCIV0&@eN-3s2&1hax&ntr#kD;rp7| z>u5o-p#iOhFv}^lf_aGP&5 z@Pxa0w_6D#6Y~~BtP`B$Y-ie2N)D38STQkJ)PS>YLNP`Bdx|Jynj*df&f+_{URv(f z-)QL}ck}&&2;)3AgTmkYVHVzztWOe1XW#yq28bzZgg(zt8&(susbOUKqp zFzY2B#Q2j#Mg;MX&e?r6;g&XZlvP1l9a@+E}3!OAOEFgQWd4T&J;7Nz6xCH##26BF)Qh z7?Sc}$n2Z5D0PVr6jd>R7m~IdgKW4il=y|+`(K@#M1r+SXZohHz~Hwy-*#B zV5;Z}wrxIw<3ewa?%ow_TdgR(Ar4otZSy8T{1@29=8di1+B2kzD|T|$Ti84mW9ry9 zti3C6irNMyFbChSnGgKEC?iLLhr z<}Jh06^z>?g7+dem^B&KxDvdrWLs?TnoTsxfW>JI1 z$GL%yqbkR?V*q>svy5+DmvZ_$=>79A#vH}_B3!+TPg>W<-)UGkcN4c$3aA_#0=BJD zTe`e2PFv>3xt($o4t6Wff9N*h zu|`Vr>kxW7U!uLcotNHpoF_bHXURo)BPnBB3DV~Ah<@TJz$mgp@(3hnS%|MB51u~N zF;W@TfYo+_Rh@fvD21nkcY=8v%av$+8`ewXozz~M`PPrBRNF2@+owcZ0p7d$q?K-# zhx&DsNo0%G0uHUpC+(zHL60tjD+|$jD$(58U|0!uHV5%W`a7;F-kUhq^yLu0ZR6VX zbe4lo%nLO7Dexi;wkcRK{b&6tlz7-qI$rL9QD1>4z-sFtz_!Hi>7o1YBK;35$ywo; zh6Ztwkh2yP_`shL79`Wen#3e=+ zw;0AF5o=RW9a_BGOvkHVB(INM4W7TnJrbRrPHo@IBxMlbdBD4em1P>lUAB~Q*mSc6@Y=v$v@4!$!jk6jqy(xSBpC{dqE`8sIk znPB6E0%Mg|%M3}%8}lgTjSDm>BBwJHXChEKViZhMqy=x4o-G_&Pf6YmHT5UY?W}!o z3y@dHWFeX@If71K1=AHy?C{Y0NXeO+l>8N2&1weIsU&(-VYkCBJvlf_v`28L&+wj9 z_V3cPF=Uz{qmSiX&ywp|;n15Im(qkMS19$O=0-|2@D^on$ZKD2=u3l6Zx)>guX)Sk z0_Yxq;Xll+Oclf9+=I@EtGL_q(#CG{^w|1aNugK;zFvf4cG#SA##y)!EsK;B$5Z^3E@fxTgNLkfE3PPZPNcAQkA@l-m-YS%I) zp)WtH3emDF(IB%t%w|O?i%ArEUj;Q$$qv}w<8*_wce1lXTCm5jdswuBU zEvimQo`uJ@+6ee^2J3C@3Gnpz&{5VF+iEdH_#ahU;81(-oD-}Znj)y2@jR?5B6M+aocw+Tp)bJj;k!LOZp4IQ8zow5xQ?~cEG63N?xP&_RKbpizMvD# zlDm5~8aFwQ&^va)76J?ynU|*fc!+|{y{Sw=9xd5mC=rTy=IBn2u1c{?7#}0xpvz?{ zP|glH$n1RFIUf@@Ta$1w>C0+drI+&)3zw8odZ%N_^*5}T^|@^Yx>PI9yyOxfANhZ+ z(gaVBBxhv`@-Qbw6Pql><3>ufX(g9Cuq$00qv6YpI32#-f|ATPDN(I>?!#kwOkCLV z#YYNCn^lNbT8Sng|1c}PUFkolNc*L+qeXzz!QUE3OMh<}E%lXXw}5yW{hCU_6lp3r z?3Mq#K(tW3dQ>h=%YV;81N~MTm_rG=6EMn%wLRahi>O|$hvYlN$N5NK16)^<^|1Q+ zdC}Lg7bxtHMMg@cSRss$`*G^Aa5{B*bc@#LFN{qJEI5dhU*resRyULA*F05@Il;I0 z7NYI%;|!9+q1Zeq7P!&VqyAdylI}|HNl>gC0#3Q4vfp;cLFJO$e4RD{qZQ@qLOVQ4 zkpI#z#!w~NW4=zuaBbBe_nB5EEht=Kzc`~jn#=-lXr`j2$R}Bxs(25?vBf}3H_y}K zNldixb3!s&I&h?l4Y0OLmd79A=%ILL!GvoZu9@y$llo>aK#6RMTJJt|u2RiEUj ztXxtPb?qJts;?YXhpMJ=r?BdC411y(je$QBv+O<90?6&>X=-sUhkFX87=z`bj9R8v z+0Du|nh2x*<`yHFPB8@)}jP41O zZ_g*YKfg1$v7@h&{C*T;i%q-{p}b4Pk%RK~n`F#a;Cg6GrorYrM*CCU@oTB<>HE%& z_5X0`(OCd5tJJV-v?(a1kUW!;JRL?Ep+(|&73fv6IK?AIKmI3#TZ^U_rQ`;)6s<)m zl(alN)3tBLEdFo+kFI%(Me6}!a&aYqp4&wfUvKSoX={7RU6D8iw`>ov4_?hye7 zj#wdsIrU+%v^w14SPeq*BNH@ z^iz$CysjwjGU54ns|zwTXuv`$`An|H-epgS-7%qvb5Gb@iB>A%@9u|{S$IO~tySW` ztn7)BnF*7WHRs+NWQq_Y#}P#a0)f6FCPhj zqlCO);7}p@`Io^|f46*EVMfxJRmsGBoi3(yiZ|}I3{xw?$VIC%ORi;VJCSP6k}i3{ zX)RpBYinNGG)(q_Hl{Q~k5)JL?6_|%Q}K`n>CaCzct4&qsECh7RV)u;(_W3C;Dqv4 zPIDz%Ze+kyj6}+6xal}TVG|r`vhsTP@5^ZI73WPykwj%doOcdc*37cY=;&h!?!&}I zw1^^uZ6J2EbPiZ#_$!yRfMRYqWf{1b_LPY)R-t8ZGSVWL1x>E?s_|mFMiOj?LuXu= z3?(--WHEV`PARZiAQ>$q5Om^}Wv2J5XvMX70(_Hei}3dySmY%FS#9%L^eEimFTF(? z48i#L5Q+Bscre{RvM+Y}Gj7aLq(d;B4Uu4wbRO{$Ed#R8r`C@p7?~w5iHDMQpLtuM zB^FS*+L3}d_J9kAM#Cjp5sIohJe-@@sHj4*7{-#?oRIq25&rZsUOyVHTZVn#|1>6% z)nU5xZ9Y-AjM>Z|ZKoK2DVrN8*!?-!EsxIgsqZFvf6SI#Wh%d8W9xhx_d$Zku|tK` z0^p5B$c6G1-_jGed}fule;fUnxUd>;A@$NailOk^bgJ0xtxybpa?%}(`g0|E8vQd^ z691ctiyI<3V(kg6q!imW_P8;QiHqAQ+>7z^1|aTN`~vMCn@czp;qVh4?~8TCcp%Zr z<0AR|Bf+*}(>cVgXpne2^E@RkBf)M?cd1W9R$ri+TO=64C%&Iz7tQ6BFVgpq5)6+B z#s0>$UtCyr=mmPYNP;i1orGcn(hDS%V*bl78|#%#W}(`qM-s)Wxs5JvO=RX-|H-+> z#Dm%B-Ux>^(QnBN%4TA7+;J{F!Yt)5mIw}MpG=@4&*Y82{X8@Y-rgK7dLTI5(YH~m z1&PcrpW?aCQoMVyfvlhK(5T&s&92=FnWH|}F*d8w;3gYL9}KJIDL#OzmX;Oyq{{DR z7;Nt#929n?J%1RfmB$_-6m!F4H-DQ*0gvPjuugop#c7t;h(~qv4Qen)mm>`t2v@NE zR1SAE-a1bOocnr!c1~?LZo+?9$yl*i#O>-Z5`_yUk44<(ofY;;85i3QhqD;MJ^|fT zG&|PBrb#Rm#Nz#lFNcVl&L8wPe2Rq)ABZCfK2<;_xe`~|udRed#D%V4P?P95??VIO z3byrbh6HID^Y|v z0^k3_#!ZP>#O-KF38UtPiCu}`P&QA@p{Vm?@v+mu#^!AxmKDO;9?N4kxC2xauc>h* z&M4BM90^`p1jkw|o7>nM(coT%+g!0La{`O4@%2!Yc=aW=QP-&ye1?H)B6zuCBYI#9 z?F@H&c*RO=huV>P3mGboUt)Ve@7pMDu3#H`4P)vDSdmz~g$qHmCC0~vki-=m?WZ`( zz#@m@iiVL%fV-gd^oVN~w-{tRFt1r__|IR9mKYGYC6;J*DDo{}FY5&?>nfV6*NO-K z>k7WBMC*uP>VvtzE4Zuj*dq9wA2M-1P6&b%l*f3<$Xn0BqQnx-HtIB30IQO*h{F;1 zPQz1o`bNGc7T8wo5bMovp^KxKX!n85lOxUA88?A}BMulq4?$$QDrSqel!dTP@}IH5 z&y;8jSt^|$kY75b_)a6qwu zyjLlg@JQCMIK=|nM%{)CxEeQFY%pZB_QVAN7YNaoeauwEsizq5nx-VCRhsw)J*HJ@<|a zwt3@&j=m+9V$W4L@XW~43dW5!dmQ6en0Q$?P=8#lX2)7#@Xi`{EMaOg)-GZ!d4zeg zEA~~Dp^#bo7`MC6q%xFWbHWQMaTC~93~PXzi9~cIZi96!#P(+GV(D#zEv#qk!s(aT zpII?|MckTdV;^L$Ruh=vm)PR180)$A#R`Wce7QJ8fg8XdV~J)LY+fPb4W2A`ENtYv zI9kAC{rO`oY&*aCDGe{}N!-T72FDQLe_SQM$EFtj8sCrb){4NZYRzNT-FXIIE!RAb zfo?H~)_LS?%KKh!1F^$F5J%+4IY3V99rMDRT$0fF`Er zkC9$(NVFY&#?g$$a(Zs(x0C?qHI;aC`#=f4&1-wkDYCd`)6ZLU`ePPzO~V?-bftH7 zIFxVAcx!Vqji-Y*RIxXHxb0@=*xk zVX(xe0u&HSOImv-ZB8V?ylqXcQmum7&bjeP85PeHWl%<)!sf=CZ}>UMJY(r)jrCNt z=VD{Kl3bX!1su9y#%e0sS1vQ#m1!g+>MNPHm8;)s`j{iFSnEL`iD0S?d%l5Uhik2- zjHxBKAxE~b8n15ff(rT;C0ZK)#O_a($9e(rCAyx(DPL3Pwpq*`(cZ=YwL->BVvd#k zDxaN`<#MYq1in9v{5c5@H4jXu?kVI_?=)p|Ulvdw483<;{fFp9X7fnOg~lP)Tda@? zG7$i8?y;E)K9PH&ZQ`{NbZ6a|O}_M-Y1}U714BZj-YmIQ8Ex$N3!5n4JP97bvox{X zIuZk*xbSMOSM)GJ784K7*y~JUeVW@??k6AaplR1M^Tfx$j7v&1@ia$j7R@SuZwi$u zAQy_U!&DIGRcN*vqPbMz*VLywhvM2x#%&f|aLfa<@EuCt&0PByjeGW+nW*t%=N6Q? ztdsr*!bQDYN5NGe(6pnAjX=eFF%m+EK5#<>-MuaqQ#bZB7({!5!QxHT4HTJAE;B<^ z!Mi3f=i=0&A-~S2kOYfJ0i3r=YkF&pRvA1t(1G72IEv##C^nDnDd{N5{|;+wOyqD7 zS+W|6jfKDOcbH7K4oZK!v%ke+ZBx+oVcvSOz*p4DBa68r-yX60F8n;7GtB(}X4rCbA7$tw z!72l_>)=on@z`n7KAKcpg1P;ZlK%!@zQ+tejRuGF{9{D1n}y`{5h$RbWvLoYWvj>+ zI3R}v1ITD~g;@eSgi*11=S0c1>)K}ISTIiedWKV{_7d9`P0$sm$GO6(i1DA`={{k! zcDp2QJJgBYAS8@79+zO-YEJN%#bM-gT7n_36Cyr!SQAE@uS)P$9*LYKcOMp1)JT;5 zspY7yMk0YI?D9{9AJv#xo9gsAXYArY7UiCw2=dksg4f-qm&oD=NPvKvD(;sQL*W{Y z1Wp!qi82(GU{#p3aaeDu45R*~U!v;m@(65D6Kux-aj&qf;}Xpr5C<%-5vQ}&F42}u zl6V`AKrtG0K#yDyB|=I5XxK|*2+BB)eduVgh2AP#@(jJ+n#K&${xXtq8i;U+g3bq# z?GrF>ho|T1 zd8*{*-P`lTSR$+@5GSI*q8zuE#^Hs7y|<^4Niz9}V%I zS%4m3@p}bA=G382bj~-knQQn?{Lp)@)| zg6)B73E0*|0#DBoN?8X=u!GeVqO}3r?MPtd&{Yw`oNvW&5-;~=93{NGp0c%wFjgs} zI>8MWhSQpM@~Iq@JrRvl?SkFtML1;{EWt=3aer(evz1m&#gC6p=q|x-3>Mh@+)+%q zUm3K4I=e~mMpYF{vh^i@RTW>C2z72EX|94!JMgY8P3%{U%AWk}yk*%36?y_iZ9tvL6;M`IN9MWj}497xA2$VPMvkKb`J^g!qRl>K%F z^W&Mt#y1t`?~(cZfVa1{tH64?-AyWHcba&kEMA#yX*0V-Q0c1@Y%eUN22_lp;}@)_ z{Xr58bwqVK2GPFaHt~=K5p?XV1h3+p6qRWu!XW^>-$kFJ@8dI=e!F$|J;LBjID-Gz zqhZ<7ec#h`FT=dz*kq(&$wlWOZUhN7jT-NvZXYFa6j9*|-t+He4MIef-nEL$TTaE(}U z>lun$)!^OKV4(z$SE4bKnB%8^LesxqNi)7*fYD!BZ6w(8n_u}IDgg^9WT#U!(Hz9% zG|+uPe9pxMwDY_KN3k#>2pXc4i(~4+g%s9Lra^$Rc|8QiSH#-%a{I~cVg~cg`!~)P ztUba6HZhW@<%PSHpka3NXU||GGdn>zRLQMrNA_)@nfGNl2)+Q7K_rN4O8Rc0(Z}Kh z(_yx`l+AnP7MdKBM0UY;5+8qd3vGHPv3GDIDzan-hOmzq5>z8Pcvq;i5$%J5og6tf zr`deUpGEGLP;HC0nd^WQZD*Ewbgr6nr-qQeDCVI2bP>O+EMhL{IV2cGQ%JRnFVg+- z*TLWCQ#Ch9d>fZ4(cSZdGu*kcBKAhD#icJhiYn$opfTT#Pw}4gqrxxB6Kvi{g-aV$ z`cR~4*^+N5P3Zz=!eU26uP7RmGl+x+=p7U=a>@R4pnzg{NUx?cl{^lng`7w-5OUarn`xa1R=`@YE-gs+X z2$^Rmm(rMN5^UGh^?Zr`4bk!N`4U7SxLkoWE4W~HEb?%jA4 z6jgBpTTK^x-+gjDqTmAch2FM9A%xWzQtal(@jC`cup7R>!A~OaJ_<i?K(KWik2Z{T+gh;Ud4#H=7OJI!M?>Vbo)X9#O>(arB5;(<6kU!Plb6uDm(t&xSZ|Tv!O;5%XS?P|vV(Z#+tGoi41VQcUAltaxePU>jF3vzELw!XmX{8&|L$4*T6We?&^Tf@Qq` z+bAX@(#aLfUrOT77+c=}f4hQh(K6%0KjZSYgXt@@v1Xs(vpD^Y!i2ay+UVFv0)N?f@`WM zJ^c7QZ~q2wwY}|Lr9%#0N|48&99CK|J~#8}K?CoUqDdvI8}XD&?(=ne6}GXqkslw) zuy{I^XmQMV?G}SQ6)t%Xk7mfaiI#UBM%`$_vTAU^EbPl+`>6o^!rL;S$238vHVM^#K}NJA*m>Hiy7CLwt^%+61f@$*E*FH(U%$<5v61{k+hWonC7vLi%m`DY+K-6kiRtyyp9 z(m*_P2r8H^{dw8Q2T#~XH1g2#{5pB_WAXlXmKpQy$qcS3#kBOu#r(RD5%=h2v1ZPP zZuf9R>p2i`LoSb{NA+berBjN%M?~{$qxnIPQ_npzRhEkJZ=_1a8lpuNLZqfklxR{0 zv$ms)F`gB3t{e!kZ$3ZrO7m1ii|ua#5vN1F{Ah4#3BJS<#aTH})3x=UI~=@eR33w1 zRNfuqt&d;agTm{Vq6)eA88c-T-sS0~b$8=y^X>4XD=SaZvdNaa^yTUu^Z2e+Hcsb*gpYvMKp z#Nt<Zpnk6@OPwO%h;MCe&V-1#vm2QJlJz;L;S!*5wy1bYf%;LN3<8AW*xzx zSmWGK`W_$oJl15^Mql(;d{pXPOl4>~7_~Eun#zAY?fdeI3Y;!%@a;f( z@Z+`I{}5a;@lo;_#Nb4S}vSi!KpZOMRnMY z

~Voag_ioTIE-tZjry*ard1S13&-!!uL=?g7r1j5mgim0&vmU4HcEkC%cs=n3J) zhJjw_FG8mIQI}g1Y>U)qEDk%Cl?-?Rb{N#7dF{iHX3YD~BbTglj8U~kydCE)2F1g( z+S7*TMJRaBO+#o3lADnMVTi|^jjxkYDXDqw@)2W$GHOTWqBVg_4&=B>;ZKr@MN7O= zN0i*|%oabiW$9@f_)%C5x$baJP3}v8?soWlZQ`30b@(@PW}6yfwMNY5%A=zh1lwe~ zH=cgnlSwp-Z7#Z=R`B;RwvDbNU%hIgF;j`gKceNO{S5)a#{^KFulvROQ}4%eXno8i z$8Q}+KNiZNCYVJN4&}S9-db8{r?ByxP!-r?LbMl%)K>_Zur!nCuVr!*mo4=obGRD2~4g9unN{-cAGvujSnT-D8U0+7@_xc zwC`DAHI<HrK^xU6AXXpMIdeTSV#CxsK);m z?NFuulw|lu!ox~0*x$~37DD`lTL(~wQqte;RWLafQ@oGtzGnofU>XjiF5!!{jahEf z_$^t?u+Z^FJPrpjgU-SZ6>l2Shi9EdZ!by3+!WEFNL9RO!FslC7G-WI6S$R%wHz2! z62N~+j=rX0dM5EJKUm`uN#qOc)Bs0)nLraC$Sn!0IlRR{RS&)_fRl=~c|L`Cvro)2 zRBs-mM1{~w@99JVm0E~c<67e-YU&x(mQx6Jg=HW^SC@U{;Mu7>p0Zi2Yam|BcOv=atxWs;Pdk6GA_EM>Z?xv+jr3b- zkPv1RGUSP#=PDILLZiOEWK_#VI71f45eOb`xyp zan9IfZ=7$K!+wDxH>~`N51hc(ss3SE^bptBD`! z{GcNB6EvLX#@FN-I)Ns&KPeoVhm9r5-flLp7K+N`y4|T9cMC#t2UOP3?f#XF{pH#=umqAdmSr_9RoN#2iMZd@ru zb7$g0w4rEt1WRQWJY3#YmA%QBa|p0{xEzlQ<7JO=j#=|&QNZ`3v?O@~_dHNH_A#b( zV$;v7kXd%rDdUC0-V2?$Jn<`x@9m_6EiLl-bjO&Y;@k&D-32<$?!BhS-kD9zYOuXo z9ElQhmhdmv@(TT*lWA611}O-YD@+k3nhWtfl~~D;lz9K;(yRy)57Q zUn$pB`QoW4w;1A8d6bc6`Mpvr+S_27uo|Y_;)2~+fc`i5F9yr4H^0QL`AclOom&&d;V=YQ;)=~76muX; zxXJahT*3CfTy<4!huV_=AK(LsbN-dx|1e80M*kmr^XrcOm)^g&nyq(Pgm@RsOI#JR zCFhs<{lecTRJ6?hrN3{fX!*6?Ht(lUc^o+Wk~e;PT<0IVifwaSw0anmn*68L9AGXk z{w4AM16&x$|BaoV!T*jmRkyTjXx~@FHR^sIYMdFmf}L&;l7)J2ahy?wYZx-L1%}w< z?w#n%(LX3f?~O(uHOz^JLwX`)ruW`JmD_xzquIxa$0$Wpl#pZCx`;pyKNd*+cFV3O zf+xA6o^M0T!UL(771?kjg{1gI1Ll2qE|9Ne8MR1uiIz0Md#VyySB(COwRRLWwIaJj zn=$eoooiP~fSI8zc0ni}PvFq@qi<2(ni33wL?a51Xqn+q|3Wt@!Gnqd8&+1TYuYDZ zA6tKu^rR9yN)c}f(R!e2UoZZiHeQS)+H#DKD-klav)`gI?h@OMwV}YqTg0txRo_#E z8xq@-8xApsP6l=eYQD1h+Zm?~e*I6}qw@28)V);_Gu`INMoJz-<8--ic)cZa4qYhL zmpe@{bs&r~{9Dw#B`O9RKQ`S>VV_3|-e<}5kDt7$S!Ov&0MuNAdAP zj~#q#N**U+znOfpGF%u+Srb>IW*7Gx)0NA%u}icz%t5>HaU{B%#8v&_a z;1n8fEYYZ#`Iw3pA4E$e$F<%i$0jP)@++mjHr@z`)!p-+U`x9Lh2xO;% z)(C;zbx$ZI+%LiQa;rD9#kHEYt#1&$93pq-VAF|ki8l}hSSeOan`Gc6AmaFnUQv89 z3XZ4e;oE6My@Ad(O@OSl2xQ~ncpBVd8{sG6E-0Ieo#u!Jt#$uNbT6G;Zp9Pn!sd9` zPyc{8SN(a{^JBzJQoJsECg-;lt@blNx;;<6yb&IGjj!v&-*{+RyFJyPwz&lhvmmuZ z3g%?eAQx0PYvj=hfl?T>YCf4n z@7GDQ1SzwKi}t}Us?!X58|1j@93^AOXd`RZr;ddk;-?o1a0JF_!26u&N3Yx3cU$C~ z=(Rm%QZ|pwTv^PhL|sdRMFT-Ud%V7sp`V-+^;Smp;i9SeBUKc3-BvvfHwFvd;>ppi zY#Y7K^hq>7e5!B>|1C+LfVuZVvG`9%(Y0Lx0-RTM*h($U?y#rUUgFrA#Q8lx3IV5= z3~NrCLWpudYcJj^EhHCL4MdWcxI3I`K0Rz_iGWlB;wu(Z`|ap(DsxYQd#eV#F<)eL zhD%hm-02bF7^nQ56#38^gz}H;LdDX1(!SsY#$5&Oh>@s?2&NiAG&R{tx;Xfw@hvJw z?;RLEA0bN?w|Pfl3G#jAHs^U=tLs(X%fmi(XRA(dayt_NwIIM~X_7=(%?C_m8 zxBJK?ZUmDczu(RhkNK;qdJ_r8(+dKrDx4LH?%ucf8XB`yg0YQ2WJxP7-P#jZ#rmX) z@4T_!5e0iV6l*y;shQ{WAL0i}qFFRCdv9-dx$iR$q`)3>!sW(wP0XNQA@wo!(-roN zGJ}k7j4h&NGwAkV4pzbu)9GByyVuOE%34cRWzh zG7N>G4hx{Y>)4zES`%%!Fvqy5c-KWt&cc|Pdf*Z&H&wpw1HF!5{T3g_vwQWuX_ru= zt`f`(tdjSi7{dA>kQMI-h0i<0FWAPG{G)Fln%J^D{W!Y9D4=Sp^OB!$W>Gi)Yt&@e zBcmb;JdQ&MQvTIvUNs&4mRfpbHT|#uY2Xndag>EiKB0mXXx5i@MJ*)nYKR=W)_x%^D0)DE z;b38zsnBUXa_sflh19jJ1pnJ3+T9ro$-lY;FI4_61;ze^VyZf%J2Ktzw?a>fhgVv& zV){Ry8dH={VS15eqmfq`wE`q4;06J2Eu^K39ur=Bfp_Kzvy4F+6oc{ycp2(!Cb5D98<<0@d0rr@viWOlIUM2U|C!}3K$-2vt2xbw8 z=&-dQ6pCx@7tox}G5@)-!Gen_-5)%Q}Bn4KD+ zCK}N`2qs>w;U783x0lWhl^Z$i90O~4oNu|89!!4lxBWknA5}pnq7Lt zv)XsTyV=2cbm@z%4sMEM3w*%FdhMSC^Jq>L32wltB_g#hEFfMKr(!Mtw1(o*HqmKj zwivy8x6ZgkdIE-pG+3`?~@BEaSrsYM4aKoriK_LcJ zf11c$ydwls?dGDSi=ifPeKNBHl`uQs@-^>VtMAaA2-!YrpQO|*_%@Pd{ zf3U89T0n!^%hgyFiCS}9gO_4!d(SMOh#~T>;$oIu=-mTk#GPg(`5)<*IC`t%aVmo$+uiY-a(O=bDbR``(+d-UgR(3-`M#WU|6eW~0hd7tR6 z%FsM;=w5KBe>9o-`EX&g(W_7+sZxv|l;Uli=r6_=SVC*VWH{K-VoRn?o4bT&9FSmJ zZzuR?{Uualy#z;b;K!*#`Z1<{5Uo$4X}cy~ET30gc_IwT`-+{W@ zF{Cyf&mTYy-sUlQ6-FLCkO4JNTN-xqr297msC1%4?gq+5?4xVzMCQuHp62N3C!N2P z=e(qU?OpV&hb5lUz}ws6Op)h&52TCnNmy7mn+ z;rZXU z&30}%>H^2}E6Oe1H~B&;+Cye2e<_VB3P+F|EB5H}0!q+Kf;n2eM66ZiBBRfR_-Zs5 zo~^O-E)(`LSgkRJhVK|e3D(Qe;5kRCOn+qlQqD2E8BG1`vLmA^T(Gb_>KEuw?E{8V z-WyI!Jy7PL3KmCXfMgjUk<@f4U#P8Ip4nzVTY2BG+fYlXey zYj@+C;=Ps8^~#X1euF{ubNOb%yZ-QOi%7v>u2jlsu4^#S87)T`QLn>-YD;+6pZ{k`9HA%(ltzYz z@n3p^le5UJgB-`$($XcD!L}yLWi`^Xy?k z{4~=PqCrmW3MY}Ju&1n<;e6^&fW_%isfCm*_zI0E(bBo8zzM$W=}n6=$^JYqJ5)&i z6GlCS)AUmdXkDi(V&cJ1e9IsZKZYab84*KX(C~&C%}Ysl7#mbDrGl#uLa`Tl)>7^R zx9CoI9ivAq#e@Kdo{S-{k#a7`?{u@;hzG$t?je#h1O>4iIJh3UImbSg;BT%n zqPWiX*yaRX#jos&;_-sHSmjaIntk)3J>GI*!LB?#K{E<}Hrwt)nd?dLRSpMXmQkQQ z8YEOWJYU<-aAV%0?D1>L_;-46&0k@Ysd%G6;W0Lvv|3dZ#KstBw>=(URhJn8W_19WMm(V!lML?PyQS{ELz2#5o2p7|0_bEHWLTnD4|i8b07M zor~D&e4H>E_RNdPNi*6^?J6WRdk<`7jA54uv%~}TEmY)ZLwx9VcA0{3iP%w76fu16 zKV5PLuI|ioNW;fSg!e)Vmt+B3@zYK!4bTMhy<2%a2HVnZjIEmBD6eyd{ch$A=x7eH zWv%v!E>ZIC=}l~C=&U5)3A5lAkhDGb+ESL+vg^T}A>pwXOjj!chboWl{82%?=~v9C z_@{Kdvl_$w2os`#)W44=Y}7a{mFhey7x>|5rBW~i&RPWC zNwfLV{_-`+zwaPpj0*Hdu-Zd3|BJk*(USE$M0K#AY)yea(2H%Tb+0p)a;KNo0b4JH zQJrEb;vshr8ul|DPVkN0r)cZY1ZMxt>qHA3XKA4Jx1BVnu$;}Yf93q!iQVe>PWqfl zf|cOfG!#UBB{3^Dc^7TzA;EZUi!cjbc7lq_?Y@k})) zWiggSpgc9NIgk7bO3`q~L*zv#xMU_yZjI(rzdQ2j6qN51`QMyu2Y#|lD z!Q5NV2khayc}u_L1{9`sRP2nDe7Br_&I((?LI9VvZS1$VibJNF{w2{weF3`gv&1PEB=JSO2QIx~He7 zJyiGYv~cRwSH2CQSCxn?ERCUV~+{c=<&x!*!-zCHi&NbgEwKj>*Rs`lM9s zV7!%U@1hR-;(B6j?P12!Ayr0rrfwK1YcJx^;+_iru}4(UGMr^}$)^;WHrqv~561O0 zN%z4luPv0fkOq5e!h2m>7Q++wemakQlbH*b;6E+;G9I!Y_YI{BS&lmC{k8;EW&mW33@~f&?am!Pp80tm{s6D@2|uik0wz7+zy1XWn(ZHGa@8 z^;8jFPdwjURMP?VtjQNazb;Z_{!^*t0B}A8WLX= z7O5F5YOrX|qA9E;rkQl5ha%f(m;-Ju+Ax+(nNID_tG7$-&|)~+BxK*w07w4{&imOO zS?>9iJ=Hk>4r<{o{?7ije)Xrir)wj+41JD9Hf(7Pnm-{2-Q@{aZSHSrSXo3pRgmpS zIGpO2xGi4Mmi#xY>i2?Xe+nB;>6+gc@^3m`djJ&jG}@V958q0TKX>G9kgXM!y|1=$ z;^RktUQt^pzNIlm<6OAUK{xta8l7V8G#5y3GA$2#ZdW3VC4D-qrs}tz)7BpUm_;@B z2_6N#88H-guknl$Z81HVu65HU=!o`b5q_^GyK>k#M5hTz&1{@IH>zLKQT&~0uQ3QZ z_f7m=MTOLJ=|iD$b6Fm zr|*?0zqxYd&krClDT+*swk5$pd4pSkTok>*a|7P~Qaqf-R8b~)i2a~njO=R$Q6p>| zp%FzIo4X)-gEw{lM@V%9y)h55qOa(@TUXWn5C1T#Bhyg4Ijfg@l*U?I1P3@w)U|I! z1sc8OTiX8T3@4_f&0yxnZG=&@a6&g;BBA}S@Ek?X{2ihWo;d)INO(Uc|gvSz)m+revSO>VVu=PNckDLgZr$5EJ^ zR!hXpduCg$wJU-Pv?I;f%XDr}N>9P+b4+xo40GsD*KV|K!jz2^@`svPVAx}VVKn9+ z-bU!Q)?aR>1>02sZi~h{&DGKR-hzEL(a7Rz+QOo$#k+Wb>~q9G5f?U5^+?5ybAqw+ z#kd9YTBobR6`jN;zlFO0h1Pu2GRCHi-_Awt6>}eM=-#KjVhB8adN_|NVZ~@>jP!Ki zL`5?$>s)|58w#t*t#|#rZUi+fuL6_f8pv{1#~8lF-qepd(JpPkJkjK5K*;o*DB-r^ z=3+-A{j225a`gCRXWF}OvAJ7!i4ZG5sncT5q&0i4Q;(JV&6JwF4U+djFpwsAJC(i| zR~}(udz)bGJ`3T+_aR+Jm++yL->CTflEzwRAbPBX5-)k?UNx@gR)g=&6wECH^fL(E z2IK7R0)y$!NM*oF^=ObB7%mTgt_^s|!`{xfk+8GP^%Pp@H9CS$C7mYYHzv8#ruvK{ z?=gm!aqf0F?VdbU$mmRo*LoseYl9m6ll1pU#B0<2`+V zm7FKk_~+hKw3=FP!RH4hIA{bEZsvRJ^Vfnht#tR$_I(!BehvS#vSLem+d3abW?OBt zpH>YMd2xW2E8elK^zF>+v?BX5^P%Pz@_GcTof52~1w~)T`gwodV?v(7;=8(~8A#nn zE0?>CeUTV2KUU@AeE252Zj9dvSdG$Sae#|s&`{;N9jNcuYW&8QSW#*VbjdKZ&OeD# zbD&LQ{FPefzm2HV=5Fp}+K3w2qzHw@sp&SJcWs zj%Sk&#dqYrSh9BQ+H-|UzKSRB%_Y*Jy+o6*q475-4xzaH)FRe6zTa;Vi%MLjwkhL# zvJLc_Nwo<&s?0bezNdWA95Uf5#)XU1bjS%ZO{AlJ)g$UtEYR!d-Joxl?!X_-ZKY}w z{fYeKm;t`DBaW*s253G_)o>-M*^6@QRbBF0JFbc>qj3_V z>B6&Rsc7bBZgJR2AwXOH=h`#2AMFiOM#O)s$YTkDj+*Fit(K6R)g@LGBl;Fn4Z~<) zZtFp3ld5NPe88rH!&PVv2MOBVVOs4)?qeFMsM>gnIeNv4O=;TfJQU}_5Hl<~T80hg zx1iGPB^>pcUAJ4fB^w?)~SrFxZ!Opcw%iG^cnN!TfB{ba6LT&u;Ydq}IL^xdNy z@gsbGBmbAT}T3Fzcl$FTl zqT2ji$o4I_N|kh;`(J@W?2YXE1s$knDdlp{YtQsOe04Dxnl^(%saL!C`~sC^b9)2= zZr8gbAPN>yI%Q@B}2{(ah`A`gu_mR4*fmnC|WvE zZGX|;-YMHC)HZ_NtW&i zGlBa@-yXxvVwMhyZi0IWT%(>@blN|{tgNl%4bKIog~sFB^Jv=rhf+9Ps&qNN-m6l# zE_^yfIYkD8Y=)e`K=59H0MnO@q!R5E`8;0~Gr)3{D;osxPf}%5m_dlYQh7tVQYyb8 z?e)rm+}aEh&8i{&Eoe!>>NEH}Kz=(V!4P^hS`CtO^dRZfsDT{s%4YW*jR$_AHpHk0 z4?=xf($uB-C{E}D=X-N)7)-`8Aa%#QYqaNDYB_P|7(YJq8%r|wA4h*is2MZ{Xcz%I)JCb*+w}L9~xK3rWxpLs!mkvdK zJGX+$HwpG}n;tT)%Q#Ec*2-hBz#|uLw;nsFWeY`4!>Sgf;&f$iEW|BZ z^2yeDQ$9xusow$Ok2tpB6QXuepB#xi3F|B{-!oGEbpABvdMYznJ$$p9&F2w*0`j{7 z>nZ0cMb;`e)^G*hF>uSN>#e5?I~DmQhjY?n8BljO?h^0UBTv!MX9+#-pir}suKODd z{9zb@?-g1=X>Y6kh98FD#(e~2eR#w$o~0X42i_}kI}L~ISjQOyZXLHwj#0)vM2}-+;Wd(5xmvv zLaYmPU?T7HU}qzP#a)1esj^88#E4W?d*4uwY|YWed?LRslpWXI3-vQRJCCFyu-+Gxta5Ia5mP9fOk=M z!}noSCYf3Z=A$YU6vOz3D?MDU?vlhgcDk!-xb#8vypHEqGLf#>e9mS~b{S z!vai12;uv-Q>tUhJv9e5FgG(=#4};&_VOufd~R%t!Ib>dBg?~#0%{NKqvV>T@^?UUnT zBZ^D{@74LlY$~4aHknh#nXgzhfrF^!8brJCasqvmOfBB&W*ZNnk!di~r9u4d(od(a zf-Lr(5|Z=8g9C_06KL}-Wkmc&ACZNe+eKx1 z#Pr;|{lILfT@Q}dGBTsx;YqI0w4CWZ)v~QO^J|?i0nGBVXs#NUS5ev4H)Xx$3s$O_ zK^GRnB`cRrruU;mi4~BKahW38IPObtLUd@o=`W~Y>(rhK`&XOxo$Dr<5ucy7WFS zCR3RKiu_)iY)$^*h;b0Ul|!X3=q3J%)7?`%Ee-m7~oCK{FqNls4*Q~hH z>0X{I6z4-F*?24MUI^}@5Wi5B0aUx9vN7HiuIg?C?#IY<;gx>gW0ur~CkOWyWYZR} zrvl4g5k{gI+@WG6e}S^?tnT$dn~$N5hks(b9~%#EEH)oDm2d~a_SqZK97 z(}HV_%&OclzgcXLQ=`Z;>X6K+Vljj5AylQyTa2RZwH5g*jTT299hkhXR?|I4uW>o# z<#S5VlC9|pFARCL893x@Q%2LI+^X>JG>{##c0Exhnhv>dugLwty{H)sp zMH+U7?Z^AURvoT$TFhM{!`uV>g{Ny#KI+CRA*xH#GQl+r1F3IfPo-X?Ov6{by?_oa zdEPqJopXZcFt^bOS;)-6bg9sA(fMoUmZ4@JD9he+4VpX3Vor93mCJ>YuT!B`bUWsc z!i~s7rY)%v&hZf%POR9p&7bc1+KA%A<4uH>bV@sd>Ru~FVJ~JlPc)t8{5>R+Mj!f3 z_Rc-#9&@6->d@M)kr6a}t8%%G`GV9f+-m_iU3m4j&zxxQHUg6u7^SPtyiNCtr1k_o z``OII1}sqQ2A9?XWo%16mVRlV3b$dn3S;OBh=1hm7|LEvkyG*Q@~lSc{%j^CbcaSt zBJ)kD8Eewt`JnScAZeXP!CHM(I|5qsUDU{~b?y|YO>o}zX70i|0Pn%~x%i0M5M$u( z;xy?^dRm(4x+!OTfs?;B%Lmh-hWul1QNHXcJy$nuGWY5p%M8X+82uM#TSg zXr2*n{)U}OJ>IkASy;uc26?JdSw5zB`G=Wi@F%L#6qBAxESmRH#z{0U-*qb1WSkkM z$@2eG1TTm8Os7jPcazm7n{y~meb$=6?^zh$tQ{)SfMt{9_3)qcipf$;y@7bw(acRL zQ)4v>?$@JWZ&+UhrtsaTET_UjH)!>tf6SITtO-YqTmd1~H-nzj#ah`tM!s_<+m3%a zy=DjXUYtO_0+LvJhm6o?2GsC!(g+$@@}6idpN?{GL7%r#!^X{TDQ4re^1i_pmfUY7 zir>5`Rlln?L-JW*$}6Tp;f(<}-D8`Rna-l+2}qa-9%S|4=G6akU8%bl!$ErN8`M1o zI@bf$l?g}OM|6)l&C9l@6ZIQboHji_WNwY#?gO(oQH@5gWgNpBp3YQp1Y^Q=`nNF3 zutizP0G3=rs`$WpU(~H72i7?BRfl!Hu>t>$tTCcoAt$fBg>s#IOy7S!#FFNys<|R( zX7b+7ZbCmATTwol6Y~Z#VWDZFuHdaO3 z7@r%<2N@vScW@*%aH~BgctcR?-UXLi4_W2nPifA$O^RRO;29l6)57&S}`K0-CDFk89GZSDRF8|lsgt#rRAfkR0U-Q z2f4?1p8^YwxTPx!_fOJm2@y^G3q1NJZmt@j2QZ^ICh+JRBikEqeR-VU!Q3T&vpL-* zJ$eSa`)IW|9Fr=1PuJgMp=+G~+&%IDj4%)$Il}0^}F1Ddtg$vWYd56qx zdVpTV<$Ccdmg`yOjkLV)-%%G9M85ABQQj42=g}WMlmXAuE?3Bs9htI$esTRxEys>A zH|fE`A+O9ag)&E~m727c^w+eJQQ;7TE(!PV zZ`3AL{>qFlHpmdEUuW#@RkSw^{f+YaP#L9TucIj`MpB;wYu!h*3GRbboq?9$ZndO>oeEH= ztcRRgjT?0Yp{5iUf29qtVtWR>u3~P~9m>&^S2gigqG2?yp~4z}`C4`835*6ep%z_u zwPy3pvl=b$*#uRWlrJ@v1{}Rf1L}=1XCpL5ijBZter4qoShD5XLmh6;F@K8g*WAzM zQ<9+bazr`4#Nh{rmMcT)^|wm2c3h=n22!}M%f)`%|6y68FLV33T#Zy1Q48I$#6Zgk z_U`tZZ^ydcHSzr&N&FbyYsd=%({o*<+$H0Aa0pTyMxe|?Jy2LN&b7^+%U#i{neG)rupYcuGXx0o|G z_#`3!7b8)7S{F;rEYL~f_A0>b?e+&Un4}-K0)?gh&7gqBIqp+Q*Nv3}mRFhJ0hIfk zy`pP#wZZh=Qsr`au|fek8?(BSU^LJ}&92LP+#KJjlmZHqpBbqgRg7PdLXNC7ZO`c2+q%YDxP zm9WOC+y9s7@(t?lfx3MY-jkPMt~=byaFDdt?lnH^o~8Zy6!c{r)Ez#iJC#`0Te>8^ z?viwX_)!!-Y`|dJ-$?Bd?Zfe!3>Nhu|9mVBXl`tNwIBS+h?Ndi&HrK`c@C)qx9le# zm$??BFW{=V+wNa#_Say7%qn%^gABsIy($Nl#L+hP13NecCeM^m`t`W#68j6@>+pn2 z*ze?yq}9VO%PcnP2@S*Hk@I!!LB%U7`$l<9z|gCvfJ}>*sY6iptL96$lEC~xl8=4wi-@vR$f zk4HHr`D18g9O_QLvpXIBL2aJ7t0hkcjYzrLh?dTJ>9*f5acV5JJ)Bx~EJu^4zBGAf zxJoYc<#|M!^E1AsVUttKgv+IE%!|&-fl>NzULYTMLoH(6XVJvQoWix%PW0&GIP8~L z4Jm|dUg?pvqR)5LU%fyxDu$SF9s-9LP`7ZuikwI11}iY}(zO~(K0@6>)(u-q zeN3)ejYLWUmV5!!EQ`V^5FIwAb5WTM(X-?K1>X5_t zO{93s&xlX8pKv+k{Lez^;UzUaFTl|CgQ70{-&!lpqR}1p4^txf6M$F6JMdbJzjv@g z$5Xq)Di~bPeI#K`6B}!e6zZ2?h_{G)y;J>N?o#b?-@%A@rWq4Xmf8F-K8_ zR%!zayIil@90&dUfqBOGQ6p*18)e@Bswj@&5Rakom+yF@S59$1W}W->nASNQSDENg z)Q;<8Sg3Qxg43KjUyP$#OobM1?YQ2-*klWe zZuih+KRRf64WZ9KH*<&fp%qgVTCQrej6!GeSDl>UebR~z?pYcwJr9%LckS-5xIUoq zGs=#lE)&%_R)-6fO(Yl4^ELbp7*;LSo&w_<7_e_r!v|lf{8^-4VWrA?>L!dtc`)8+ zIK0R?-`zwjRf;@;E?M($BO1~)57ixU-Q=wXDh))#aDKfpBK@!Az7)@cec0u-;|fKW z9EE&Y^N*xaf1DAIyoOCsCiepw7e+pC*AF^I)k5QWj>WBHF41$~P&OCiS8xybX)t{m zc3il#F_RNg6@uiS1(OJP7?QWl*@sS!P+)>unt)V=)nepE-Tp~5w-G_nN!0C|uCvx8 zPIWHov7~zXnQr@wGZWCTQOH_YHE40sAj&Xzv&qYKD)IAWUXbK^TwCg{q8W5ej>Dg? z+buV>$CJnNp&?XmhBBfy3?`@DOVFziPp%^O;%hPzRnlOphLxfp(W_}EO`#`C)Q+US zER2jq>p8|yxcP6bi2zmF^&!tGKdqJfESPY=XzevX`6DA${*q!i8vH zJ#=0OaL^z5IROfnMO3!jgqMm)2?F(;PpP)ERv zFT!X*PSv3wxNyJFLKhzQ+9~JzL=JbS?=BFX`qG1KKbtkyp24k*=dz5_l{-?L`pU*4 zSgC@wQRqk>>0J~#1*=*l59cPhu5;*Ui}qn%CG(1gLvNT@AFMOAc;A%DUmj1l53e*AY02&S zjiXIAQiW8n32%VmbFzNxYmJxBq5^-ZH-fS<0>#}ohd#sLV6=C5o#KT1JFT@ja4UV_ zU|J>UMAJtWp`!O6nuRc+M@{eh+@vnGQ+w{`Cg-Vxb4&47MQFjt$x-)8I^<=qThsOC zN5qIQClV+gVYL`BAeuJ8XW?gL1eO5&9@uX|#Sg2lqbHfvIVN@tERWH7l=d1iCr+V% z#~hTx(f=ZQTJ&2gY#dD7b3LUWuef9J-_oJ;@k4&C37tYu!xZ;>#mh8w^;fv3S)BFM zyshGf(xmXlP%3|L-s?46PN4|{6gT=)ik!ng4E~zEVRVW$h33^$ zdxv}jkGN0h{tkw}YzHuntkId?B`Hqv%Kql}1+l4cPq~`yra?91dA20!X`a(P#-9V> zO`z~Ur$dI*ox^)+UV>%jH&6spE{x6X;eV>MSV?87T%~Ox-OcdmV7j_!6t&&1=Kh~l zs!CA#rfs9>={`lyqC<-yS!aT7x@7r36P$Tzfw2XgUTju_LX0Y&LFWUX-7%Z;9?fEX|6lQG* zcQZ6`p4Oq3NHl7@y$;`%UoDV9Mqr<7;i$2z~ghI*MLnBkg4B0=xhC?b=({kvqar`jzQrMH zAV0}J-_7rns)dj4=6)5|#Lw z4i7@`Ouf5|qn&&<6js3FYjQy-bvKkcxXV6zGVnE3n%c|!m5oS3=ouJBq@b#4$I{DP zYO59cTReVlAf7jrh8Sz|kEJ4~6!{mvApMQmuJIKzU!<1d3(}#pU|xlwS>JS5cgaHT zJJ(@oR=2ywnn>rvEPHumSDwA|@w(K1p(RHcXNo?&x2r#Z_NF`}NbStE`6*R%V>fdb zji&36sta7t{LT}p+)sSe3D06LX!9=@izQwSM--8)Jr&QS7Hezp{W2QdfIjaa(>8|p zL$TMw4-U&Ox27-O^$^kUHj-$~QHE}JjC{-8d<>ULY%L+iUSy@LCN%N6ML#UR>TIUK zRu!WJvVN%fqEG=xRf+D`4T+ak}ovG+H z-7xGxV=L^PV|QjjvxXxnh7&1YiUwrx&q+fLqj*E;7W5gnG1{6C#4lsD3oJqaw28PpONy zBI{`J`+5PeUkEy!BWz9MXkd}$-9mUFn&)3(_ZpD@z!6)+;urS)YP*Twn}yJ^=z|)z zIc~*`3D|t`QKH?n58E&nw6H!^2N)RL`MX*!D1mMCSfOqVJY&Cuw5mc+%=K;8&t_T$ zWi2$yrbg@>BTh=@;GI_-m{} zwo2b-;LuH!CmHCiNxz6O*B}R_jE23z6&aH!TlO&j7?k!1?YHQu^U>Gi(9h(`Yr_X| z(1$Le23Ljh4M(Qj0Duf(DrOzgZ+_>x*k3pc-oln%(R>k2{VV7!yK4NR@J|>OKxhB8 zFp|mxwcSQovYEZn2rhk@6ccB^RY`VyL>aaK#hsR4i8WAmY%G@DTNh+Vhxn(w0>k)V zMQdfgZMJ0IWZQXQ@G?Tc7rIhUmL-_lk9z|i5_4>UE%WY(q3}%yD~fq_qNFTTw9SHo zM%aATVTaWv*ML=d*XxI=6JH%Mn|u1o%a;#LWI|qvrX}qWMqy;!Zj(nC&+(?0^K`D{ z7ntM2PmFeJb4s~!0{RUk%1Fl01XB@8I;!$f-C}0LV*0kJFvq2mUA$5$E3`5B*7v)8vUV9WDJI9K`1;Rpe$93o>NK*K}p*H!zIfR!AO|yVsn(^<$={5aL2W}dRT51fM^&i8 zJ>D9Vdh(F}a?$O_w6gong{dnNElkRv!-<=i6tk`HXOGNyqxXc>$2G=goBpBoion-FcKzHxM42sYKi#_d&7B~GxgBCNXp$@+3?!X%=C`2f762O z`W=CD^b$ay)9y*KirvpiEwOtqua=*MxYwQdVXeh=0E_$?o_;n4hD~jY_!QRRCXv*~6KUX|zxboXK$g8g_ z>W9G2mwy?LI$GJ5piOs(g}KB>fv>*3kELA2VT%fwZ06`eT6ZbFtkf@ul4#_j$yOzq zk*p?}hvK}cl#aN(rX#dE`_GWvjxdSOv}Jyo*n?P(ml)eEl6$Tz2*#br@L&O%uWwa% zspX^s3@YIYv*}$IbALB_XD12@^qRkvy5RDe1$mcA9ys0-KquG66__Bh98#PMJ65jD z>SAt%9-oh~$;o1J_M`A-D<#U5^ZZ(Mi5X-3dioE$Jb090Y1bSaQtzMjs@Lwy%MQ%r z(bO0&(5ctNftMk)5`E;Z=0I+`-lx&cwh(c3%VyIQ*A-dX02Zb% zDWC}NIB#M+)s=K^9Tj3Do;42K%3nAAjZB*(GhOx%D}SFCeVyDCl1wV5HrhqH;y>`? zwd+Rd^%()}qQDE`O{aiGxR}>SY^OK^FjKKJic#jI&uU1X9jgzr(UFS3B+!UbkvvbMo$Gt9O}@%l7+z zJBu8;w0L-q--R4C)-mj=vPTB2JAubm;0u5Uv1Hm4ZJN~c0jZHUX!+~+GV60XFh;bD zIhH(Rc~97;kB#Ky{KZ-wtOVPvktzDmJ*lM=dXJO>7}^$yd3hcu<37@_!bs8GB#^MA zXU`fjW`MmMt$n=g)vZ@m!jILo3Q|hSzg)}zQc;*kk2tHTW5|sK6(pp0H@H23O%Bh# zWUi$&g)D-OY@)!*JNA|{hp-JwEzYjBDgv#4b400l%c$cUcf_7w$$nD}kt=Sa&Wy;d zkmlD~zO(|-gKMLXKN1GbjzrrC8Dm@U)(eDKVft!JbDT;YSgb>0sF{Srh6HlEkw;6& z<-EY%O{R_bFw3L;1TOyNl2F=)IJ|b_8s=5&s~UESdaev}ZYT~_*nGoZIZK03sv~SV z;Kl=6)DdtC0AEE=$lqY)O+hSRJlBbMT$RV7g7%8Fa=Aj@e#O$D-sV3Ka1d@&9t`lF zvQ&-U2)>{3Ujb-{w~aX|B;fj5>4TY(|0+QH!n~Rb$(i)uveG0uVWF?bmFbwj=o>1P zS)X&}ZBO5!Ik_h^T}qWLE;k{Fga$p9W^nt`N!4P#{Y^5!^Fk-$c|MtMu}TQd(Q@8H za&c%fe1naf<8{IB9&rvEzT7yjx%On9?MQgMD`Y(6&??i(Km>HCOjj6*Y&KQ$%QUrj zB6y)KssmB{pmpc453Im#VS(YO6m2!h6?oa?hO~)VyXC;wau%|7FV*3cDZ!Hx$T$Ph zT%<>?ze?=R@Tomg+edF`Y!ZpdM&a;Zdl>lQ<4?>`y$=SSiqj&8FDOL;hBHzfs8sE>_j_*y7cC ze`6fCILhMz=RjcjWFJ(hn(M1Pa|X>JyZQs)kjRnI^K5aJ}+57@^z7gR-0-ta)bOMJ$>ECol=yh zKx{vV6aFy^K&L&YaXm@F-QOKZ@MqxDqVW7R)YvCM|EXp-K-Ho)zTKqbZSGo#`lV+8 z5T%Si*QhOQqu@NV0)TD%p^Eu%YqRG2BBSsXUwH&}y9|7!{3)4yAVHnwP;SdOlII3m z>@#aY#+y_!2^HC40I#ai`fv1m`ayAeSzed*$fP%wBdR+-D$vYLHtqHPg&R`33EZ@q zMAytQN9|_m6K2ou6Cie_uV9bSXVswt4(!u_&Dq!d6M#+D3KhD*$o7}|kPVlBPcHBF z(SxXdhE?~9Q#mQH|E_S^3GotE+Po4Q;X^(`adzu0-5DUPb*N2Eswk_quXC zts(olu43K6S_OFxyO%VDkS?AV`b%L&Ia2lvP~fTC0#-~=Dzp;wocl^7oAbcaGElS~ zM89nu)y6FyR%}A#O$zZXuCdZxOx<#xPMAFRmTXA2xs`BK#Y25*-|LdkmoCM9YF-f~ zH1JH1#=M+`7x{5VF2S(=(i5zk0MMV$wv--doNmzI-#NM#dM_!+vm3+LO8?TUTid`0 z`3q8f_x6Ei{k>$S-BwkSK`k987g`owq|aKpjlC-BEK$?aI7qYzCW+5iFYtLQxyn(g zfAQz}`)0&>mkY4j@)e#jQ1#3E-SF!JKhH2bbMT=vZt_Zs2aq;4!uMM8_tn#8Gv5CY zZ!T#r&7}LBI}^g+Yt^HfJqW^)?=!)wX(vYDU9d}Xkq<7zZ~yx8#N;TEQW1?w8FQ)1l8 zGW)jza`GN{5lG_zU-OS!;YQ81!*n@$?Lu8tkZG^LzVM~-Jx}g#l@zygt6)=UD6Oar zkcvD}pZDr5$~~JHi9E<*3i|Ge7clAlhRjbfwb-}#@BXI@@aLfKexn55pwf59rZ)Uv zJl_(@usJ{hZsjHgy?^4ltC06+rDghwhUJdFU@3OIy$3<%s^#$Sr{T8S>D`U5v*!J` zwwSEbo>8qUk^wqB-2%Y~%PI;pw`_1u&r`FvoqktM$J;F|sgA!E4BMfJ%^7#1xm45j zkEBHiExz|UiP&S?^n7G$m4=&Q=G9-LdTJPFd8;7HRU8l7ew(|OajBN%d11VB)?L#+ zkzG+S8?o|@7mY^bo5ZLO79lRFxqf3;ei{gW!J+w*D@@(C8QRfy%2S!HeK_5?DD(X~ zgQ>ZPW%j*cakD;{=O#oP>E+RUIXU`Bvedm>H_nSz1uvdk?mFgpLPAy@}e4|4rV zH=x}U1C!i3-?Wt0lA@Ej5lWXnmdm{l`;j!P{mi?+VPIXkWQx}YA=&X=DHZkWkZe-s zW(p87qhUe?plrRSJ5v}##7_=IKW4KXx%4#rir{x*D3L4nO^&DhV4d{;j&mv78WA`! zY1Gt2YLpE;kYUubxnCEA!7klvlGeV9jsK9KRFl!KmEd#7xkP`3D?>8DZhgk1l%lDz z$c3BP<6Ou7BXZ)kQ@vSDre`plc~z`;OXEhV$mp3c<71bf_k}E9rw)ghV`U= zT%ksYQevHsN>&q1MWj)u7r&C5#Qa4CNXl%^)yYk%7TjkX@7zV>lBfm5=Xsfqq|@Cj z4ZBxWjm4}wKPm1U$)=8Udv~72PvC@eQ(0;d1jnOI3tBe%rMz>g;Ov^hxTBum#u%nD)sBrv%KC5 zXm~2*K!?4%=xT^Pf0|;^Fw*7E=N2Yz2Nf?|8pO@9@5kdK6G-W_5)r_NbVq|Fr)}u0 zvf?3P$muv;&sX)&ypT*ImEXDif23tPZnruF>!?NdS)}mimU}dJnzzS%n2 z{HNHe&nGwuY!#T`J=T{#bJ$H-9CNiOS|X?R2N-j&{P?XmBjj)mBMjg~Ccu}nc+Yp5lsP@GOPx?b5rbUfY1T3D$T;#V$HoY9JPiS`Dmhf&^=HY^RlzeX)LZ^@0ZVRwiulRZj! z^N3jR`e2a}J*ARr9cBOYpLwR_9DxkKF$lDT^W(j50;SD3r_L#TkV&RyoK1|D-q|L% z(`;RiL$D7dj%1q8<%6wFzAZL+T5W?2m_Fm$%{-35Qu3EKwPf^>y83F;ajIvQKanm| zr=Q7JEa+r9R0L1*DTPIl_chNbzcOviOTMh|WK_6gxb#JC3DKr=x?z~c9~nfN?A?(n zn^rW>rx{%$^6)p6lIfinLlSM9WQF4ngMM@$*tZ)UgE%pY60dJa{NB|=>P_RxButYY zhyZz{nuHo^?*iYz9I~3oge@8DK=JFt$V~@If(c(c=m+$W){;wq^Ah zZ_gMzQ6*r3H!Wx5>C(=1amKkr;TC2`AzIAF-+7BRqE@m+%2kM&aJXpa7$i`zxzpO3QmcF|z7JIjNg~wPjgdh>@D+3QiAXE$* znwdOk)g?Z6;{fEiw9Es%wZzq4c1f~M0MY!7cdGHLg3sx&ifC66KC~O}Vf#=B9S#p} zh|)|k5bnw@h8`U~Xlb?1>JC?~?QacU0%#JXT(HH?VbvYVd&EbqbigCF=;9;^<*@Q~ zF*;6Poq4ccTH;RQ?P#HcS1Mj=Wff3!|A8C}pbk;#l2B3V5FCZl1O&oAF<7Nw2$gA1 zcv*T*(Ok`x5r-OFvFOMXk(lT5ex)XZ0d|XP8TW6+g8>|yIK`{$%+>b{Moih#lsC3< zImoHAHp8^?fvMfOb-lOOpVFVZrJ5)7oAygy2Yk$a5;k5RB_YK?6(Y-VRo#KsYl780o%nzbrSSHm z3Crb`OZiA7FAi50H#;qAXFIITEcU^RlD=R%4{@s#iqZv9FCOVjB8L9wC}gh0^Zxzs zrRmJ7b9v(^bwgjuChtbI*}xiXX)pVz)FK_E5OYmDbUOLnu@ZDBX;hFh0-a>C6&9thSo9yBjBAeyy}~6x{9`WB8?RClu1PuhkjEk=pZMaWiiQ1wH%4 zk3{naB38ch_&c}Hn6Fgl3VwW{5g7@{es&1&_o5al+NpPHN)uKOF`Yy;xS?H%Lrs>S zxv9h_4qY0~m$OLs!4y0wli^EA*4O${@bBa^M*d!X#18&nO(muy&Lpp|l<-O3FwLJ4 z(v3=q>q>D0monAGV9a3`@3VF1@0Q#vi1-aXcfiBPdz7#a^{i)AR|SNbsnG!ne`c{F zL&{2ObC-@IyMlrh{!#s?Ew3sIq%awAi^+P(5{JP*S#gmmJNFdz%c!WkC^?JQ!5F>^ zODHub&x0l%b3x7=ih{!;5DZr?^_9UvXL0`3AA zKEHs^rB% z0Tvj``DExW_mNW#9CK45nOMoZcD>-T)W5S{0j^DrJTD0d95eKBwerrJ^Lb-GlJzww z^txKOe52iEU8hmX{!yCQ;-F~TEm!XAEuv=N6Sq@O6-1O{F|JCqZ8{Bj24B$GP6!4P z9%>%S4-l{{_!o2I3Kh1R^V_03MAgahn=<`4qsR3%{uDV@hY$e}U~+Y^3{PN(#J>fcknbGbh=`(}FR^5o3WJRAPu)6{R-aUhC6FMOY3tN(q|v1S}QgfY{x z?H2Q5qc6oOcNC%>G~NMgA+@9E&^L5RTF&(Ac5g_OZ^=Qu9?K5CYuFyDQAHDWrTCvh zz#FQO)vszc&;4S5?qo0Um}u`HU<)j>i>QS4A!`;TV4MxK*uj%etvP%qSUfTJwrF4) zGNrsCpHGLbO7Yp{#mAt2b368Dw`phQQ466~kdKL&5Mhj%Z$mf$@23phek!aIYis_77GqoF+TgLJbHf|R*i+oHadH1-T zw?C`dTHNV0eNnx5K%r0hDZ|VZz`EN5Q9Y?j1z(|szoMso$C_h&vvC=e!!5CQINC*S zz2bk0NG%r;J+glcTjDzAz?eAH#A~Bj3k}+OhlRes@gSNY2+~0!vm92Tip$NEo7kB< zZU)|`?%2mnwp``6Uuo@R|DNQyee2$Hqqk=4>H(_6W+VM`;+A^A(Y3V=8rfC;IdTFz zEh0~!fCK+AJsi78$nE1l>W+pams>IHUEs=q%{pC~jr-bs47MhZM|1$)ci`Ev?xBzT za$Awmc*>&ZzEG_+_%{?BhFv( zsV)D*ykUmBS$v$wh2j7$8QPaYnH8X$Z`l^%`hXrukeJ@nXf=b;rFL0-Su%vmujMxP zLV@(&OH5_oRByhwJBx>M2kCNrH@1gEQM79Or?cV1jj)3ERGg1;72nv5y}>`mci!D! zR7&+@t}nyHz&}n|F8m8}jU6N%1R4<|?`0+#&-Kq;RRl65N>HJq*Qd?Cr+!rERtSbg z(gSZI`~7>MKYm|NlO>w?my{^!`g%t%UOlvdZ)fGjYCD_ zSaptJiwFreQBVi1<(Q4?8?^iKk{Ro~TJ>YkpZ*M;wbPgZuk|tXZ2UtwiaBPSRZ4<7 zWci;)1rBw)GP*xc(S0qOrfpI9&dMHa`8GFdw$!l-3J6Nz;D;zBZh1^?R*l3K3diXt{ydIpBn1AMs#M`zlK4^ z_u;L-=DHZBFQ1Px+kO3~W;xyI&*+5&Q)LJGh;2AGKCL1fR`Tfrcwr%Yo?ne|dQ`zp z(;A^MeuK0OMpo5WK1nW)4g*!-r;%T0RthcbGD!=5i?-Dv&rODaXv8vQmD7EREgHja zq2>X9e2#UMx%MlYdc)zzDj^j#oeNEsq1{p_w_fH-{!Hxi@i}2F-%@jufH%Pv_Ni*3 z+C~6;c&lEW|Y{vrYdh%(#x;Rt`38id85upudhRXAA4JW%sA! zU$G~4SvsSuJUcnl8-2_9BVY4+erOo5JQ%u?Uok=Se)b33wKjree`@)=k96J9@$Bgn z{b^fr2rVP6`6DTt#N;f%1>*Baw5M2CR)9cNvI%gNjQll2V%<6vH^~smJUwS;$4{G| znlnoOw8N~JHDox4vUwEc@@@)K;<#xf75mBM5hmdecdWJjVeWZc{{=8t z#Lj+K$U8lk2JYv#T%5cSo{9}bxO!chvpBe>y^C~Csj@Bc;H(w@er;12&m<7vZdO4g zckE8jSzid*AFVu?gZ0<)B>Qx6io+Xe(L;36w&$m)yCUJ<(xbb)KNXawo5;J;W4xQ8 zZlYh*gIK`WR!zuz;k90Dti3MSf6KY9%JH*7`9h4hga5gR5)cFr;Wxu?C<=1DvqStN zEWq7y7U>4z_uoE9co5E?J4gW{MCLG)r9M?eJLq8gbC`&~k+_kB-~apU4?IvuD7eJm zLh~aq|Bib9UHZ3IhcW4)DKJ0-&O^+A_h&J}@3J67g8#isgpEb!TS@dkO()5~SfmVS zpge{GG#-XT49}3#xnKU@@c)gW_|`y7EWzr-{=Me_PdYdnZ7cf8r#=*mTjkms{jhQV zh!bOi6?*Q~f2cmZ(NJ~ibI8Duq#wZgX)qm%o@uN$jb-F}K;u(kbm8KJF)7fsSt8#s zoJL?3au*V}BaKk)@G4JwKDw`7(&=5rVoll$%7BKVc z0j0wFK$c3elyL6F<3+1s7^cF!vJC^)oL4-7O-Vxz&Hu`|u&Lxkwu0k30q%L&5V{Lq zuzbNLG6sseG%d@{5okPL9K zSnuMq@lYB`|DZf{O^wgy5oh0yvGOsEqUx7iM6*Pj;l{(%CCgYg%@sD(e$;wi^b+kQ zgAg4lN0xaH%O_fkErF=2`fggT?Zh=9Z8&K4J&nkyiOQSkhOG8fp})n5HIWjP7b zrBbS0uo-Q@a?-!8{F_@ZU}~ro^f(u&axxPw5YtTGiDO7UI3*dRm}<$tl_5#9y>6ur z-%Z^c`}35$|r(-u;-HR&?-?&hFBt_F9XiRn%X{B(RNM#2l z=;=vMWhuri#>LCF1BIqkI$0!2yJ^EF7+?0eFW*Qy+Im~GL6u+W!K=HP9-^#%BIH?$ z!8abSSyojsSu(718>H^^q5F9i*Tj(y`0E=Flr#KC3(;aqm0cz0skLUvOTPdSa{C$o zx63Dwc{E^8iAM&HKqVTCQ0oeewo@bNT`>otc)U~ra9 zPp|6v^-Ji+Z-}$w`=gTd7|Cf_?>|$%V)KTQ) zV|g##vr?zM_qG*?jFUc3QuJun!9e#_HtECa2i@Jw@HH--BM@;If)d}~`v?^?kwx;C zrP`?W7`vKC>_%WkwsFVz_;+>{A%s`zEjkqio5nsqN)owjqj&AEeas-fs0^9TCyt%O zFW}9wo{d*K4Mr#(HWF;^{Gp)kRkTcHv#&)2DM~d~UAo--atvgZ0f9Xx1GV2kKwJf= zT`wU)Ij6!}h#Ss@RZvXKXm+)(G20~X!uWv35OSLdaNK~icT|3LH_O*k@R#rQeXP{Cuv(ZA zv|KNsvQM?byGTjhTW?3Xdn6qEuc4_Vi*X}cNDVJY8l^A$V7jtLL<+xaF;*p%f5`Q1 zy;)eRvznH%T{i0i_avrhSVjgaa?&oHu2+3@t?w)195F6ghn;C$gIt}ne|W7pW7>%$ zTyi%Spz6)7d#QWL^cI&rFe60e`y941xvN-n1fX}ud>22N!pGv~H2{{yAu&Xi_-&+!}ylTuC|QGykT5)0R+i_Pl5m?pZdaIB2znVz!T zKJRh)FI`ksmk-0Y71r@<&N-iO?GP8H_F;(vvZz!?vrE#V+%Y$xcDl06F7Ia#E2TKa zdDJa-Wv35*Q>knGKSeFJZQ*mDoQQeTwhhp((Y3wNgHzB7*Mtalgl>A2Y4PnE;boRh zXPdQ3t!47fY6QjLn~@xXg}2*!BPmxme=2%ws zWt-3NpxyUkT4wV$IIQ+fZ6*gDZ9JX=u(g8JEdM3G+vTVLFf2%bAt!*2qAE_h$i}WRjQP-#I*%vrZQuKKL?YeeHTYG zNvjSg$pOU9ju8tT{&wwZlpe{4Mu{HPEIvWcNdF|)&ytTlZ-nADsqRFW<%}tofJ#`p z9YhO--m)mr9fGHL@esID3&YLb@;7n6=rHMrTt#fWNhkcDWzOGWBk+It{8qm>VAchi zuCU?BU*K8j%e_ShyN~-?@iD~zg{Bte6jh^_YcC)ltQ@qQ>G#IXk+M0YeM=btpg1E6 zVcc)qE-#T;;Vb)(@*%A{kayQI`|bYIV(mqWycldSR)5uN!=L|Q?g$=K;n5Vh>|PLv zhZ5G=r;h*#;>vc{Y1lGzl>F>vgsB`_R(+C9SK2PP8JfaI2qoxWBMn<(6*3RAVQdvn zuVhnT9zmB`H#gB@)@!4dU41!L?n&YIv z7Pv9kGA@(wSgypsGBTxJ_!CQrMFW?HeO31D5e(_#A|=2mtxL>^sj%cY6JBUU5PhA9 zVklS%(gHVs#A}r8s~e1_1>tNFyv@kW-T;iVB?+pL^nmCLkI{`%#%QkIrZP?GMK2^7 zJ#!4%+R+NBBz(iD|EITqE%G88z6t>+Xp2A8fxGK zgg#@`Tw8P6i$HgJ4FRDLbTqW@r=zFAfHQ__P*nOV1a4767nk<<+GkxdKsxEFKu;=n zYw%I!{wHw?xCGhRC&cG19`)_y%i2FUT+vddRB10IZ0Mt52m|lo*7dHXcu|&NDa5ib zJfhdp(CYPA$@v~V6jL)%h2Kf;u}R8jb_WpFe&p|XMul+(ST?uRv!~k)AqjsA$=@AG z#=jFtk8nMlgQqoeSSoKM<|3U>n=i!Ks*zzPsL@oUvnf!=>|MBfV^aVrf2pjIJ};U% zN|0W6pVOrB7Y+Sd@ntFVC5Frmr5Z=6WhRo0lsp$_o6yvo0=`Cc_%~r%@LMb-bJ_r~ zPht$2mx%?2N{1Hmrj7R8V#^io*wmOxgPf=2)|u~gvOGx{G!Fz)+coH4d_>PHmq74c z=X@GZ_A~51pM}R7dOKu{(O{x{?(!aM!C7~O^MzBBS7(8SW#h$3+1lU=-saZO90fhv zx&443dGFX2PEw&$+G|ArC(cy`}~1m=Goa)6^~+Z9qZtxijkNes9trK63Ts7cpO0aAo-k zg*!Ty&&N`lac_vqv^Rd)GPUS2$>^LsmpUs7?PGXqUV5u-yd8RbKt&Pr#wU>4JlH|! zm_i*vH1~uB(?7|`a&98Amb(4aS29VWy1HNZ;Gm+-Sj`9vd4fN6=9Y9I!xM1YvF+9S9x(!nYD-WfA|GPG_(lj+Z zIqJfplK^jhl7&Le7ceTstFZ{#BwM>d@fq@)OS3<$2%NZwutl9sLJDO#h+97Ta>8cC78smLE|XXs8s^br;0{4~50DV?A}vY47+{zOZmSf9R3 zaovwHz^HxM6xzQU;sHuY7Zm$pG+@qh^{^We#5;4|gpb9ct&#>tP^|&7E^5i=nQRcY z>~H|8NN%MT{D~6?s3HFuM!Fu%+ zkOp~{X9%-PL+Ph70c9lHwQEroywYNtZue$Nb8tY$GdRsShW-WzjP5u$tNKnFhJJ1= zY`S3wz0;K2@18woWf?dguK{Jx@ORA)2neOapm2* zZVVH2%AFWn|GcJpm(o6tzs6+q;CG~@hNO_+X0V8amxYrrWw4Fw}ge{5ssp} z-Pu#a;-0Erf!@RPv-ZyHXi%za;A;ahi`d)lWc6*qs^gep#$m0Q$uXmB5ks}-!ar%O zXa`4kVQtsL_50Q{A0Cx7Ws_WS!{2Z#wUv0aRJb>SmX~)YF}e%)n4&pbB`_q|{&gi1 zpNpws=duc{0Y`KMKUvmgw@u8a&nEH-9ejRYGNU=88;=a6^n3xO(kamMz%X0^S0E{) zY;}H*G@?1f@~aXpCU4Xzj50K&yx`$(R2#w`R*mkE!d7=nl5iSIZ^P~so<7HYd2|)3 zJ$@ZPiaWu&;~SK_-i2sz>`6*KwxH zG>J4sgtw+Mf;1ADGy)1)6bToUG>WL8sL;=3GNHu#C7p&Pm%oQ!+1@$V+t@jFN3#E< zsk`*_HuX07)Wh`k;$diKw7Aoos8R54`!g3^6>vsw=3 zf4%W!v~+i3$VFLm^}ksuIpd!s%eEjhjYdJx0WTo!Xi<~T6O?g#=3oP#f8}Pf<13%w zNU5ChJOnVnc#CODDdyxH$m9hHl-yA4$Pfu(ImfgVw|>3UB(}%oI>At*3qnlg09L}c z=$#r0dO7Wt7owiRzxW=a)~{`nCl<*ITR+(zAgq=rp1aVRSnjI}c? zocQ?{&tacnrX41vYD^V2R7usyy&EelEW+gn#0?j(44fiIAxDS{yGfb^v#O|eYc8mA zQAcq>{~BovOntapQ)N4OWn}=4@2e5~tQuw2+mvAv!Ok6h7B`$@?l1Q<0s=jqy&9i} z>T~fNr!n%5e-xkzRsgQ7&U8ag&k&U|raXR8%SjG{!AUT&2FKM>EIGG%%wc562QWEZ z)qU|*K*$MH?5t{6my^ytE|w~-LD`*(tZOz`s4l6JKf=aM zvm(`q&z_dTpzGcx(jfK~#IDyTp=uL|1hub8P<3gAh?5aqA1WOu{Y*N=?Mgh8dq^f1 zo$Ld`L@3Ks7a-qPT}8?x23&v#^P3aURVBI8l~}c&d*QxuoUJW))_*w(f_Evjmbw(p z5|g`SWv2jMI$_!oy2i~mMM8PC%DjG|)A<)J4n#y%SaDs{!aiu%3Iv62;ywf584f}r zLT1c%ju=&7;(mZV8X#ljZzmlUKQq$8g?aM2<|+XQ9p>nkX^Xm??MBLS8i9;oTDuf zq%4<+=}SbmU*2pp|KTtkt9}Px8s4ublFg2yA&XQ?yB<7&{`EO4C}TB#_zT*HqWrIn zYlZWIhC$`DmR^c;ZGcR^JmAZw!WHK#vElTRkG_p zC)FVH3f|99Y~Z#MefsELqT+nRB8_Amu+mbkT2`{MU7k1hkiG~vL?mEwlBn%9Uum69 zYAw0CW_6>TW6|_b6}8kk1qX%9`P$d~BuLj~qr(<69XrGcGMhHb&|x*L{brrZ-68G3 zcEeV=v#-45+Sjf2Ag2KZQ z591S)G{wkXAM(Z$@M|zhL@RsY4-|Z==~REA>L7XwdDjE- zZ2GqrMNBJKe4HD2_h@0AW1#xj3M%Wqm?e-j*245SU=z90S^uB|Zp;An?yTLr-kT@u zBu@lK8Kp&zzpK#`26s zsjPpS3vh>@!RB`}+D>ndheiscEzB(mF7OYVQ(HmG1jpl9>C^5UiMQrj;3B8h20U2W zA2vIt6OT6HouAHtOeqwCFSQ4QUVZWFb{NfWLAcfpd)#8+AZl0-hzm%_un`e-% zsuW0A!5}*>F`s4ele;W~1K1_TyDf_*=>E7#|Js-)f}j$(ImR@Jr_Vp_${JMImY0T3 z83!xH!af4&RK>%#Ne&KYzWIug2}qL~D3?{Q^@i&y(EBwkYxpOOT!J(!hDFNg@FJeK zBrB_NTq;5jFej?dCZfjNL|SGmg79nMT&i4TTWTx3l*iWVC#&X)Z~fc*JefmUtf?&S zcfRB$l}Ps9d?&MX%?q2eBVC6!ij4XL_9Tv`j!MfHb?hEW;_lu_FRm_@aUK5E z?_kzas5RJiw7C?XpR844p}Jr~J>Q+-ZdVYj#>^CVC*5eNo$>bXygB+p0)*WW#<9Y$ zY(N9ZJ?Y364cso(DP!K-zK2wI-$rJyl*k;}I!Jo#WSZf!mCw2dXO- zUY{UeLq$5o3oQ8*jr;l5dC7}0D)O@PL!1|P4W5Y&6%qTwc+K98J}u3rSnstLol!g0 zS%RPDEnufOei9ayn2y)zApce?#xejgrizk@a80*_=NX-SW|s6Hn34~2d&@9Zn2ueBLP|5bt388o@7S4z2(NkQe9omyX72|59R6@a7GD==8@ zU0!v4I@fw2-ZP$el)i+??djnRl22!A;;6Beq~`I?buKBvZsa3t5|>T-oj)r+TMog* zOrcQCQzh45z;K0s1X5Z<WC2L{yR$*12X%+>AIc%xn#%^aIA6lne{kz%yPLUP998m5V${ zNtPChfsB){dl?LBd_8HFC=L!AQzaFfn^`+kGPJm|eDn#P$=OjTSrk;CU37O33VpOm z$)-*ab6!v>V<#3D9O(V%(U1*;vP6<{J*;qitCJpmnWc+VcN-BUEn3&5&9ZZxXtB1 z&P;ewL_sD->R5-%GO0WfM{p3+0PmVGn~(3JTSPY~b?drfVP{3NnC+n#uK5IHf$))h zy%JiwiO0(JMo^)4oGJX$y`S|;>*ImHafPE2Bv8Bt958tCPLEco9!3yF#*OD0Mv?y9 zT6e?kj)O#-3o|$x9WW-Dn)`c%tJcrVGXPPw+P$8?7r8!qQ?ldTF$NI4bG(Y5DE#u7 z7gWSa`O2MP$)A2{n6Ruk?-_UNl-59Pd~@-}etCox8L|JE9)}8D7-ic`$qZ}^> zWvY|25z3Yf!D5q1hu}YhA>(sz07zbZXZ_jZwt! z;H&(B@U$~QSKe*<7nY+;O19&*Su%yYPjO3PkXlWI=`q}1PZC$P$B$h&&+((#mPiNu z5_a<>AXJ2l2wUucgHmei(T3oONEQ7O1w}TwMt+!Xre{vIC4F7gprD>}fV?-{fP1wx z!H=yrp}00wCnXDBqJstdt*OBU$onjWM%*2HGkEQYA9XXT$zlCzv zS4pt`u}s6C+!P#Wua&@Sip4`+VoONo)+-Vz0-LP%4YwF(+%dO)ZU$itZs8)!nznXX z-3?`HkkZasB7>D8ukYL<93f$h0tD-f$3z4t;!oph3C2G?R3cG}YPgZ?YFe*i(g@0= zb;|v2(>V^6vBP%7UQ(fVk!E%uxhehIc%Gv7e-cHELUlG zWoK;9DRIi%hKC;%Ko*b1iJ*?G)$)8geU(4tYVCdiy1I0`YNz;Y7inJ%DoI^;fQvF$erRE=&lM$PRGoWg!(4cRLeZJ<~UX6mPMV#1`xp?rYl{IZR0iKKde_D$1!_k>b=~qn5znrRn5( zFITH-vtdULeM4xTP6OGv3+3b%A!jWsVi3m0$Y#XyWgg4S7{XRd+(NToLRy+DJkJA^ zGetinOQ^UJD$jR`q&fMF7{*y{eX)9VKLGKut#bU15 z8Czg){*nE3UWveTA+BOuC3B%G!^4q+b?E&bz6)E(O^G7L+X30k-bor6#0(9G;2{YT zY97DswT~njLb{{*BZxyB(*XJxr zQFV!Ahj2b%6V+0aF~sRKAF?J_nQ(OPV=grIyYZ;i5;9+tWA4H6et1a1GAh9&q_1W) zq;#LzbQIgYSMs{y7XU3J%M)j`#wmameXw=8AvT|{yBwZHu^t{fwqUTYtfRpttlYEO zrx20puM^{-m(mAmh(LI+v1>47E}?6qnK|;Nj|y>Cz}5DA*ey>o;|l7JM>=XT`pFKh z7l?;Ik@Ln_y`%Oj0m2*I&0i=Qhx&#O2Bl1@DyriADtf&k}4cZ&Z- z*nBeOfApn_)4bvi5NOP8LdjQxB51U=4s8HSxZ>^yEc~>2H;THH`iOeJzx)dF~RH)wV-Bt`j-Iw>nX|zN0@9aoCu~LPr@paJV;bG9CF>(YVbp-^J z6t4vod!r<(LZQ3EOm~Bbso#hC%3-0W%$kJvbHWM--q)9kA9a?DsiT-|Wgm?e@}*{h znEzq#ExYP!x~5TFH*OneV65ZrA;kl>Qw?(Q1g-95Ow1$TD>1cx9m*Esi2I3J(U zpXcl)-D}k9SydCahQTeSm?x^j#&I42pDf*#!q8vS!hJulI9kdFWd?7S$_?(0CwWt( zuBV}FCtvn}UAVKz2 zQwA}Ard1a!tkVJ-U3)-q^HJP(Rn{~e$?dqq5!rzxjTZ^WL&EWyM!!;E}1tU-wscCgV7*ItGGwX`L>%Cw!3epL5Yc-xl&mAva@s!52<92CUEg4Nvf z?t=jHY~dEUW}oiZdsDVl&_LuUItext?2n;q=3rdEK)iF+mGvNxM>8u|1oO9G$r>$Z ztXpHnsTEYA-_dU84Bw$^e8z4YSWKYqvX%o3;dN|6nwYV`nYowfwn(|;j3*7LmlJMO<9+>Pfq+GLOJ&0 zmfg}~7dNg!Cc>J5qJ%ivTiNP{QgJQ{_fXb2 zOG|(ZcSo;&EzH|#Eids<9Y58Tq0%BtjQsc#R{khiYgw0WPK$0PahIl&m^~l?0n$zPdqy0R zBDV1;yY7w8hSqwhei%j1DUn))M7kdmZHB+OICrN}Coj zZB8>}$7z?ZQ{tAF@>xd0SJYr;G&j}wYA6$$D<-a3rxo{S;|OZ++pJk!zKfsjJfzf74Wc7JO#7*cA`0V_pP-L;ie z#VshA#Vu{D2yR^WpXiu<=fN4v4gU*VLRWG9d0F^vh~~sD4(zF5l2w0a^BOYCi#tth>I-n|-}IkBJ(h zg+$CONIewykJ676tYajSxbkie6d%FR{D}?@<6OQ?-3=BUDcHMIOB=paiK82^3R>qh zzR*hFjV8nV_dHnlZEcvesovdfcxxJq6!)Ed?3*9z%#k^&NCUf+p?$e73 z9Tp})N{z9i>Sb17L+!wDjb3J5fP)BW8AlQj_ubrr;qC3H#WQAMc%MHq%y`wP#*;EXaeVGi8|cNw?p7^no0E^~F%%=lYuf3R~6TBs;lt@6E=O{3>Ci@9~|K`TN}`oGA0=6dFiD*UTUSj-dEebcg>7 ze-pHPg2CK^kJr8xQd=k3+#krV()M*Uq{+LWICehq>z>}5VlWHBvla+JJ(vx{{W_QK z5?-J5M<@Ows2o-^nkhI&^wBM0?Vrjd9F2e}W@jUMH+g`!~XZ+JkvqZvo z*7ptW995lD9>@vdbS0}d7muYJWxyMpl|uez8_aH6m!4%(L*)Kk6N|nplo(zAQ6O`n zwr2M58&z)cc^#V?@dF)CUxWNkk`tk5K#k#{46CqPBfZ7NsEJo5_obhS`+()cobU?+ zuLOTM0a!CA{iEA8n~(DAc~?w@>HBPOZl`qUOn$3DQ`H5kI(}ktUevXF$iz#?meW%k zu0>c=(2~A&dq5hqsL`o+j7zl~b_XpbqoYX6)+T#wO*qY+rJ*EShe~c`Y3Y11Ls-=X z9t~V6^%=*iY})s9=i__|UNfUCu-?Mn>a5mtVw*dR7ojMQICX|ND+>NY!SVvm3+UtfbmViNMfRVDZo1nv`|5oI18XhA?>vnd4sKwJlAKo5!fx&*-Hx~S(@y(mot%?JnRqMtb$Gt@OLJMMuM{81Hm zPeZ7u#55?giOAeD>eHnVXQ-IpCP=a5jG|s1pJw6~>dpOb(W6EnL<$+H-@VDohnbnN zTuyA=>p`TTA3(w$?@@%X*jB}!_Y0HuK9W9cYN@i|(a?5zGu)Wi5tAS)LVHwr)kpQQ zf~+F?gkf>^wiWL2{L9RICgWEYn%XU9DonE#7z6AK1U=qXPS7rQ2$zG_3-43y9?1Z7 z)fTynU=uZ&7)L8B0Y9%x9nGtbr?ob;(4DChOMb!zRHrkzY^Hx6BD@NZ9#5W2i&$9L z0Q6~ekS(1|58K9SKB7U8A%#ee4;~IRQ!4XbVgPBZMX~8Ohiegl1N+edS0a!EnruPI zdZAKInt>NaIxZ{kHi8MWbE*O868idjWIOz+fXYPSpLk8qoz3(v z;>DHuR*FRc55e3h;6}sFqA;;~gdtL;cLs2SzZW%-KhyZS#OBc)W3hkuV7)xQR@LUu0?#PV98KT8-v({xLLo*(=u*Jk zp8~gL)XSoncJ_6nPXc49k_(Ur%|76%@egy?6V*I?dkJ^^r+Cfx>1efhf~%}$){ z=(+00Fspwh-197tZhvZgJgO}m3hckt6^NaSKV$xQaQ@HiTVS@>gbilq75_*Is`hL4 zldTZDSF4O+CLVE@Cj`Xq-Y`BF3XgxF%@wRUYUN9%qQ>R$LKlQnLiME)hQQ7m_;r&r z`9eHgJq)&W1Mxhodyi2p+cgNKv7>x8R3=66PwD&N}}TI zF!(2oh$;|yBdr)E#l;}dnv0 zDFr>ObH%pPZOB&occGPU;R8C)hPKQWvlQlMNigu+@(0RtF|C9zX0S*xOAp+izjy<8 z!Y>VIj$nYx9I`7RyFZWQS7u3}s*?Qcep?;!oCI6{Yawdxogo27N4(jDEhnwKsz&5< zI)0wLYV2k4%~mPhbE73~mhlC1_z8pWf?QUA$Q$eHg<8Ik^(@kk$2;jmpI1e^1dr3r z08~yIJd(*P^q1yA*>?x8f1XDnpf%_60#ZbE9MSgn%2!*5qmX*oR6TayCS-Sxxgead zOvgk1a>O|_K!!)7V}b1NCg40gmK*4mm1@BJvr(E4vOs=umgGgqIF5W{d*VpJoCaE+ zS(aKPF2XzvyY$G~kzM~ks(X74<3P?--z5LSSb+%S481TE0;nrINmDlF1Q=;QUwlN7 zU3?X%HoNwpb0{6yFAu80E>QM_=c+pUv~*YQAJQ$~%IX#j7rZFl{^8>M)|obtHI*Er zrL=X+HDHXDK6J@dBfkHO5sdW-z+RB_Q_crMw2e1joXTNMM*aQ$>j zU;eYgSwYuDWnuuMl1{~y`?JHi5~Y?05oO+6(dEdv<7Cj;rrpH(HL5rPu1{WCyCR=C z7`INg!>AJf>|m>W3*tyZ7J_Bx06|&xFSqiM)>zhkJcy?>Qr)t=j(Pt1i9V?UG;kyP zd-_|gdaBj_kQZ|Q5FX@frdB&;v=n}fi`CJ0`k%2cbIhgC<(Mv-&Y@jo(k^umXQ_IH zUgwZbr!zm18+GBeo&dHu{*eBrF_g>;TiOx#kRL9uEd&%2 zkp@yaF(SDIb?|J&BBfuM0gsdbvYT*)mz*{OL~xrFm^ zRgy0W4+SZAu$ayw#0x)yU$F&u476?2-XW47>@S+q!3*VFS-=HaZN6}Y*rWt9Zsl4b zUOk?9$`=VL<{#3rc=TZF<;NQ=q+O{tD`xry**T(AH`!k-L1vgbP6#*&GRYIGj_fd1 z0+ECJ=EMjj@y!D#X&^i^$ z3rM2Y2@_I?D?rTU@)CTBcQ@FKU_Su;^--srBu%Ni&Mln%RR`71>b%1YF+ErV+vI1s zq@uK`I)sdI7X)*q-k<-kA*iy^Wrygfka5ikcTiRLou66Wgwr#yl5CRtU&>k}YL}-0 zN5sSnHez>q)SJ*~G}T3vsV7cX|5#F!Df0dbzy3k~eDpl={b!sW@n?NhgnmVtjbxpCTw3Lkm67=NOuTMwBA%<)S2aF-kD!N2xW}p(a;VGah$;d6 zO+M>;p*}5t?J@pC+75yLJA=~%Y{hJz2Yn_)6Cq#NK>XikO(G)kw5f$J@w=3i|F)Hi zozBM6ElIz+W1Ai*ohKS0E;F(w2Lh!Y+W)2I3tP4!8O)POw=Wo1e4FBK6#1H>A7leD z3%s@y6zWm*K7*84$GbHP*E`OJnOj;*zgw&de$_=>(AN0&nw98OsyFXOPjI6WoGoD- z{udJSDW)fKac?W&Mt?oGvi6(9j)kblCDcv zw@V23j4K#Q9kfTBRVP@1u*o~_q)3TC3rAxeR?t89Odt=T>dN~iHR`4d+qPU_~&x?d%CHhKBtvf@|1X+CFii{rFwjb zYtxN=Jxj!37KN%7hIAD7N8H=@>IxZ5X&Xsi&y1F>idOJSffhZfvr#`C3_%;U5a?|z=x`n< z$XwT<9ri`*_vt^_7n_%{YYS0|a;Z&}IQmSg%E@h{Bf#m0E++U-(PgNEnNMHCdi3 zk&~}tCeqN@Ht_u0R9&~yVKZ8FTOBU(s+K!*<3dXL^g;AAt2+)>w zIBf{I!%Ydf6B!@Jpwb!@>2D6Z+mkT*4hV${kbQTr(@^qz%{Z>_$oIJyh5Ehx4XQZWIu)j1YTbs%)jUB9F0ob$s2{xI_GTL}*#(5v%4xe$+#Q|-6D~rb8J9!gW zL>-Tx;Fryrc!A5v^7`s>2N6J41Jqk71~LR2Yb+OhxJ=nOki|T1My26O^jtE-{A78( z%^hz)ooRIfGsfXh>t_vw(*141@_yz1{XvVYe@?^r_Go87Gf+AAF<pvb3(jW`Yvh2YSi4P> zn7MK51%_B(_mSV|!aqm)+9TIm`?pg;OKXmIm?*S*p--NW)6tz&5`*V!uf5M6kLfbr_ z+VLE0P#q=Zs0F?BedZD%w{(e*Q4VekZo3j1Vw5|ZoSGmfrGOBq%l0-&(xrLBMAaBd zPZrI}KRiIBs4+_mbE&8Lnit&#d|g;PxMkZiQ-@*#eLzpH_d7S7(q+w=M^8yctUKUs zB1dpvh=Fa6k5T2eY^{}fpQfn|RP9i_%)x=FiUou3#dz(evnVKKJ5ba{mWCm;y6 zC&@~m;m6ZIxGm8aY$Dp|(doKUCYP?$_h;=4211-SG^o{e_dplIKX~nOcC<+OF zy@!nY?GZBr-IQHAPd{kS8JhF}wtJXg znQyzjT>RP#>txQD3@kmpOMY3rE)2|?;rz}NWiyoZr(WX~C1-WO@HJ`lM0z{am;DGr zt}h#-nD`KJ9>b-({dLra&rjhbMFAp#RlVjVkPGfn zwqg}DA~#J3TT85P&Z`?vmpL6l?jqQuX3j-tVE_BB+05m_r^#;-dU$@N)}`>P6CO8ONX2$Dw4gje=hno^Q<2C^|mJK)wb5C-@ofB?Kyh+Boih z35iIXJ%67bgYvq${R=L4qBU8IT!ppc{sJJu=D5BP2y3McL*95?*Hp z1@yb2=BqqeeU53+0-QIeH|J|YP(5T1ACUHB_`fhW)c-H+I$MGkTbMwYpxXtZ8S=jr zeg`JDy$+~wNWcEhUC&)-|1Zq@7#%4jE;KImib&k9_rDaR|C=k&{=fX*$Nvl4<_i|z zE&Ln;VJd{53FfY4{x{eET_WxOJ;nc9i~qlFmv5+=nEHLnRE!LhDpki$9M-R6Ngp*j zTC*>6C6YBtEJR^f6a2Aecpq`TnwJC$F+D)s(Fgj-yV8A0-Y>NEM#<%s!q0M*8ubNF zXcPm}vJX9(@;I5*i!$PCA%NDMuFkNiG9zWN=9dVp`YWK2j-9$1y4)@&2K`KxYzjjF zPL*W8ehitI9_4Osu?F6`)ens}>%m6GQk-&2H+*6Z%VSi{kiE|{JRj+PE^j5%$m12nc+(S?8RpG>58`l|@UNJ-c9znH=Qf_-)4YrK=nD^zV6 zR$wsSWy4qBjIiizY9pLlvxs{E6WKoI+d4a*_4HgHNjeS_=9yIFjcry zJ=pesE5S{ZVb9bZ88l#XXUXEtDp_51+ijgY3QJ!lV4X0Ep$u5hdkxgGrgXN$UC*l` zuj-KP*L%ZQ`FZJ@FK9|=j1`m(V}P~yU89vcO>LD7SVK~eh|-o;T#wAODA=eS+*MWyi4s-ite!>{aa=S0DA zswv-8NNL0?ouf3Uw3R)IFnCDd$~wg>A!%#A%N`}My0*eKFMbjw7i<#Z*W%2%{B^1P zSlad86;w5iDLduRsy$WO?_(3_?Vn7VIkb|;LsS&I9OWqof)jU@OzVy%+CS@qmE{5z zWkZ3x#N)GIU5l0&lS~$7U}^wRglvG2ZoRDNS)pQ^a=`F_s7jaYo*7SjQx;EUK(-&H z><~A&>c@+*yooARHc17p+zNJcC+xiwe!oKK6xG1?u99>-@frg=m1+bjj+Svm@bMmI zXLZ2vgfNBXA5f5n!A)1$VO`*dn$H1MRHK=Oyg>RC1+5J1t)>k9AswYRq;8Q~L&3Nc zq<9CV+{xD-HGL|C?n6R_g-KDDD?gs8_K6obTg^EsDlzZI1fn zclZ1HD>$hbv+Zm~GMn`IC68(v?r4H34GbxN3#7l>$nkb{;f{ra%oq3>!q%uge~=YaLS!#QO7K z_1TOtV`S()ro*Qg)QBVFtr&?$^kolKxcr=hVxm69kGe0&@;c6Tw#jk5UHI%Gkw zua5st9{LpYT1TIxiP^`BOKBT@l=%tFT~W)a1{U1;;p|LJ&TZ+33dYaczPdls2{B21 zCES7=PL-*0qY3VT>mfJQKtC_GOy$C6hJANbTU5&t(J0$tt~25d>y7*r0m{1nWE_5m zw4k6*LUXh6;OjEOfwc+TRnpuaqFSIDjjklmh)Ka{QQdvi4)FXqP{mNA8#*EOLLQ8M zGc>;c1f+{{dh!+s+W2tjg=)%bKD^uh3N>*>Y86mh7(h}B{UjqFezqSbNwNrE&IOTZ zaHAnD4%{(l$*f)hr9A)msNNdQ)v}kURW}D$&LjeTM(?9Qg^N*pq~&hqUk;_4a4CVZbX_Uw#X(=iMwCOcfTG0 zrA1dyKJZ+#@^VH`DiMM2qME~H$r+;inpO&Ot_hdDzm}x^q#GrLk>GZR*!@Y4Z!xT-**`4o*IjSDP+iAQfu9;k z+$|x_2lNyfXEQQP23Sfw{RGB^gL%>bjS}wG5@H>f4=-nfW#kuV9#3z`j3t`h^p4w8 zm)8HHWbcI*8PM$2dtq2q=fA5NmgSRdG3H&$hd%nxVPLnJ_`%uJwHKw9=2!SyF3BNk z#1zpjLR*J<$3Wiv$zu=I!TYUOQSx;q(f)#wtXfDVDuyW$;7^tH5gC0-E9X!vJ7?G@ zW}CA6i0AkvEfeEs){+!O`VT1B}KkWy8v4Qf+)bl#Lrm9=<*F5@t;0C&HtJ^&FYO_QE6vDtn@r-AP*Nv-DM zN&~jTfwhPp3|u>Lpkd#{-W*)|VGa!FMhF{-;E&N?1S@X0apEi?FiCD_CJ0))S6S9J z4+qvEvQG$B-jIH)S2LV~VNB3gj^=2kU*QDVs9gxfPq>IZ=e+sCH=fx&i^J|R{$A9YjzEWS z<8Ug7G3msHN3fE%Vv1)`D?k)nX{ARkpREye6+GT_d-pbh@Q=G)KL@S?F zkbOx;U|7_r>V)6L4VC9L*dg}UllJ18kF*#iUdB9Fgl~WFoQw2vK+L_IbSe*<4=jIExg@w4Sv-H#S&$80V$3n844cZf)nfMieVesbJ zvMQ{hPtA|58@L@C=UT)^1|7Zz1j3K15e@RJr6Fi%NM19SC5M!SeI9?R!T!@yEi6(y zDn#-mUv(2foH?P1G|Cn0H@hn^bajVYs-)9I@*=G8nve%H%6)3n`&rLh(x(*L#)VjD zBl4GZM!Nu8(lrwWcmlVmD$iXMHafM11={eOOcuOG0*Wc8kF@b(KL@_Kb5l=UEJz9o z7|H!WZ_E%M<>!d7uYUGKcZ1}Cc}`)y=srL*ljnuUZN!f-=m`%#EF%*@kw>i(8V-HS zfcOEyGto~cRypZKUh|>~*rC#AA-HJO^{m9zgf9b;55uNwIV592mxY|c^sc-cnUiEBV|<}F~`8kZH$GKUot>NpQ3 zTvT>NR;=J+Qc3WyfS19B-2L=(fKxH+y=TH~hwGxua3q#6*1@RSJ7x%8q?s~A$jT}Pke@8nDS9W1_7DSUZo4Sgr7v-z=B8V-*9Mx5EO>INq ziZzG&`8vvqFfXu^MwnW$Dx5zg%O?kY;zRm10-Q>HQ9035gpPpp$DyB%!8I>g=#4=s zOu(#+%rwjtmR^`j%+(B?UFv?*079$Hwk2_~vm&chQI3`x{Q1iJy;S60At&glx6L3? zwG`PD>@NDoBywu~?eUTZV{Zah&pGsodhWn2%i>CHySYk?LE0}75(8Hd?<&kSA4rhh zCS_B8%!T8m;J~k{V(KYap9u7|&ZD6X!N%su`D_hdH-!+}yns?Sl1B5ZqqYA+niBrn ze!y-jA=N_S)^l6mP522xz-gzn{>WtOLv{U`3qhJACs*|M)>ajgY0EEXj>+jz)tAl0 zntAzdk%!-Sq4sAdybS}=Y~vEa5Sn;H>Pj%rTW#%PIMVq;IP_H$-&5!#$10$I+gnAv zi&VYk^s=&NYFg1XtAdC@QJsPrJ9bJ;L*v0R@Fu1G&7y6rH!Y-uxSlf`$N8kn>|)8j zCg>MN+2vH%QAdXsYPdbD$?-hqT*(bu1VGD)03p2qbK6x)$axI4sSQ1a#ov26zLT3Dl% zm?ilAE7)%W6&0Da&A&r>9pK59RORe=lExj-%Yb+nv~{dkUJ1f63Nx0am+y70IKGh2 zUWhI);#6S-3%}?}!P&GDJtsuAIyD4R+d3|*PU4+_ zQT6sUQ};L)Fn{M-b_*)nNwM(5B0VDQu%K9owXrhPPlz5~DmB88UlyZ%EtyUWgD0T( zLsmPlB`6=*=26*J4;3^l2-gWtg6>zix~9wXx|MJ0F!9$j?@gc5vkrRT(~vK}Za``@ z#tPsID7?? zg*NqSP1y1yCYV|mOcwB6v!|NoV&W%NNZ`xWiV#Sl{fC4*PDz0zJ%521wFFZX5Wl!e zZ1Av30&SgfH>~0zTn}m2rW-S_yTVLSo8FflN4Pd{T-p4utOH3#UJ@+6X>wR|OAHJ) zeC0<#;7|e>koWHINEn}QunbeSGKyT&AI%djH5DefA28f$6n@<* zm&MVa@JdI^j$@IU5%Q=`T=3RQ!?5W22U`w=ny>1se$)BaFB=!{g5o9Q92++azf{Oy zT`ZP|U`$9aGT_Z0dL0&@HahjOgzJtb(T~Kc%65Se&xsR87KC09Zlck0CPT;P<-`d`dCqWTLZXZ&XLFNXelJZ_qaV=AO4ZGqVbbV? zh>?+`Dpz`>ra)12#m1vs1WjS>9t^Z&Owri=`8X++!We;gFQYfe8;6cz5QX04>J)WW z%lLP=bl07Yt2p=EeqLOkh>q8~A%mp#3-~%gF`-?I_2qh&n9p(FkoTrnEPJ}(C zly3MvwWj)N!Q^WI-4f{(O~t)}g*)yda1GbXrq~;Dw;MTyHBCbvEXzy8VU{qfA&(mV z3p@VkQ?1H}W=dxiD*5*uL$Pqk#Z4`GYzD_&)_~IhSq~n38!l@`pPhKOm)ZaT9Gcwr zWD(Y)cZeMkCD_9ri-NB6P;LPhBn62P^{bAO8%t(nJxKUVp%LR!`Hpz)C|0|#cQX!` zFr)Z5@+2hpYJACh7|^HdBWmcK5epK3A%r6O)v-E8nur7sFO+H$GY6y(qzTg)k9+6x z_&-%XTxkRt`WiqBwPn>5H7#qlIXKZmSj-Du{`vNj0=du**G8xiW(kU<-AAuLuxal) z;di}2wEL~91{+8(N*5YcQu2D2+V5U-#u4Pf#MtKx?7Y&+%0rE{5etn3p^ zvm!=#UQCD~z*Igd*p{%sH&e8KkYC{>f*K!k zS*2ruY!VqK0d#S0CHXd$GVLx_f2pmXi6$%l>>m+X>QkG}zOen-DKLH*ox)knJB`t- z;2A6f%q&+wn4nI3Gf{iOd7!|lu+1X$O42~nwrh0-z=@uKQKTKC@AkSIXeiwwbSVdP6L-C3;{grc{3VzU^ZXJ6d^LxJqWfftXLu#$8*xW@ zG!5_2y&3*+_@8gidyAKg&$)$s)$o9)e_B{H@V)XhB?AfCeI=1A>os3VfeBUWzO-^Y z?6{(W637Fum;62JCND}sj+&Yu+9+AefhmmJ3P$;o5nqR*-%_UdC`f~azI)(2g=eT` z+RQ)zUhZ_foX#^|L#Ys9(lxjuU4V3Rv}1{^qL%+_mzF8Qa)5mn(4h6C{D(wvf82Zj zJ%|+$^e?jS2LkAFU-saa#O)X6$|r^24;TbpCpe&~S4yEDD#zRw1*E9T2U7N+#y1AI z3 zY69kz$bUz%DN2i6T&Pe@$DLXYC#YoPsZ$Wp@T!N4S9ZWI1_w(mew(vF&$(%P8lTYk zWRgkd*d>!iHFN~Gj9)BhJL~$eS(QRK&wdR*K{Eb;T&33OjY!z_HjVUTILieUQCg;> z0RKuL@`Hb5)=qq-POFkw13j<%BoG}gD^3;kfmgh(H7zN%9hJc;Q>U%$*+MfOE9 z(J|1IecTyQ$&Q8_R^{weO4GWx%E2nUKj30Z)3##ojz4R zF0Pp1*ane;=4*zw0z(^%QUH^4f1Z}iAO*R2v%O#LLoQ9+Kr0~patJi-EchFzPY1*( zCiG#ORT#9A7uDJBhmeepD!7quK=I-l3XL(sX`>x>_w8C&l`}&PyTn?<1#6zx}O1B~~p3ZHo3wJ?&fzPj~Z%3YJnh2?+%Yjm?k!NfpK>XC0xLtg2 zx|(>P6cih_IE2t62R+a=1a}@u|MeI#aaS6TRN!&d<|IcdnlrVT>$L-!^UNb%#Ed)A z8ZhA`(!gbrXz`sRhLRvo<%{kLcK!8o!82OP%4IQ!hQA|zs^DsN!qFx9-@r0<`q@g42a%UHNTfi+kh^t#WLHYw{>Ho zHpt-&XL9)D25@1e#EJ5j43LrtzyDU(HL7l=CUO~XkXS&zvw9% zw&in!h6FwmgM3iWi>MIKa5zTP{*HG6fQ|WobIlf|C_0u7bxr1vcq_WG{fG@n&6*tZ z)ICG0Ux#H3$(X%xkR*$Ht5r~If|2L2{sdcM4RB9oE%63w89XVhr2_M?U?KM%zTt|_ z@o>86A*-y&#bX;H))WO-{Ud3i?3F3TK+J`ZAdrEn<}7cZW7H!=+=gTr64*S&T#{y( z^|IyG^#=n(g-p4lU}>LVJ5cWu^@OP|&%N4M^uE+vkzVwPekhJW;Y&sTyqh(OGhWf? zI69}v0gjnicCo!A7ArEDQp)7cVVH4a3;=~*d2IL-2DrYKxmF*fjly1(Y0;-s~Vkw zg}_ai?JY8?AaW5Fn=cvlV=uDn+0yE3KUN{^Ss}}*RFs%on+=DRJ3Rt{#Z011qI|w4 z{o^OJi6R0hol|^)n`RcodD060KxOU*RA6@C)=L{pe)dG0dH^-b=CxVVA2QgiQ8ZC74wpJUA?|ZrpBfb|0U4WNREg+9;J3a=BJXC_`0c#Sfh%bGVX(*hWC~IODgv$*W zKyfyz+)ePHA$DyjQoa@x?a9>o2D6$AbTbaf0<=qc3nemS;t>g4-ixwkx zVhcz8^4|sAY;)TMAj~>jn1o|Mk3I&;7}vG_>VGb7C`eg2Lw`S zLS^6xHo}XNegUqwA*Uq<%NVbZ#C137jW6$K zqgSmi^Xg!yWP$f^Zxkrpq&R)Ji@3~%{1tk*Rpq||i4Zr?$S%bIl6GtzVqf~jYhb-4 zSj+s!Oh6=8&P7n@t4%5F{fU19hs7rfs~Z?Ubw|4`idVnT_6qq_XmFbvjAsgVoB-dD z*cl<3M3KA``p}jf3Mw1PlsgUbaqhN~Yl7w~9}u^8_sK}f1?^mu+lqB zK-BVfoUIHA-_3Iof;ohV0rxKgQ^XZAF8BI__;c%IZC6&~LD_C(kJ1?_ks|7!)?dy{ zcra&8qqe((jD+hN0d9msO|+6bE4(pm&OQ$IbnaG8Sut;d)BQU|lxwI-aAdW?j>cDv zm!&m=7uEhWNuhnRtYU6;-f@4{(#n}!eBMy)uKHJsnC64XGOdK}@VEP~F)}1Rr10DD zooli_mqBSze2m*6^|6WBEn;dr_*8KkHq9w}+<7)PuTRbRRBPOv^ZhtKTSJPC5Gh8r zDv==Ujn?O%=oL9Jl!fXI?>De!7>>z5PFE~fOu%{H^jTjQ7#a<=ivY2BNJndpzA!o4 zAu+N@9?Z#Y7Cdg@oPo7n2=#?vHL-f%_chO{X$r{nyDilrmi{TGkOp9YTReUJK4;P{2TeZYx_k)luK zX_b3FoVf@^jfel|BS!u84-iq0#t}a;a$)i0?bq>~YnNX7eg{k+w>}rD0Pub~Blnk| z%@^X=gnxD*9aqrn$M+W|bq@j8T{WUjU?BY!&Eu50Qi)zmE4j8LaE@9c$T7vP9Eu0FS=+uJjlEAo4a^^CIw zUo|eC98Hd<#QKdC!wEkH1@GRt=^#v!nc~xyL+F=jzI#EA%w`A2C~d*+-gY|*@@A*p zo|F}+M{ciqt~H4lj)78rA_P)n8vT29?xBnzJA^=gFm%MCW4#NXZ1q&Ky)5zHQEynt z!wfxU)aa57kd9TTi0RHf)b)gB1_8#^C(<7N(rCHXirEbPjQkC?c6V|l<27P&;pr;; zj3+c;)SU55rYV_+c|a4PYmK5wB%iPb?i6jS@)@z_8&hO<{pfZZV6LiDqHaV=Z|q- zpA1k7gAa?+}H98KztpX-Nhcw-`8fB+&MnW1e?zUYR0t4MB+ zvcGtdRw4&O%-{*z?shsEO@-f4o$aHLHY@LBD;v1td?lOetm=)b4d*RXqP~k%^`oh} zHML?Q#5{27Mx~nTU|wiEDHp&O^JoL$;kO)qEpC{^av7Ki>rta-MlO~vGKL)7RN*wi z@csdz;sIE@yT}PwMdzVVdY5E>Y;z)ThEt6IZHiY`5xkjSE#hw3$%ek7Lt40G0G{Q* zw^{aEl(6D*CB@egNP?0;-spM#pca0}LgigfI}h5kLc~+Mwn+i+c^Tg8TF@I*3SqD? z8>R1u-w9W+ak%`}!8pD=yXRqm2p}{OfM;)eHzEDBUVxB`TGC=moBH=QZ5H-IQa^2C zMOq?R6SVNChH8(SnSbM}2tN2l%M?OdM5VQ#IxnZt9)y}OeePij+@0@jrJt9j!;%<} zfY5TNz#p)XZR%m|FHj240fr`%;Jg}IeVEI_)47$Ft8z3|c^#-#DJ7?;?KcW1*V(@H z(tnAb-!EP~rOex9x2vXNtZ<-B`)1rVdqo2Ha0!zEg zO3G*@!eH++pZ7kshD>m-Ebb(@UO)QMPzv)Csyu}hD@uBcn!@rIzM?)w{Lo?Cc@y

&BnKrF=;7{H*kCg!qGq;4PyLj7|TWmWLXwerW%n`A%wyr-qUs zArk;TN{Bty5&WFOa^sPT1!+4o?L&f4+qtNM4lx_Z z#A%VP8NwSHOuTc=R9@S)*<-ozIMr)c>Mf2rc+)LI{!|eyi&s`$q-VkC5S7IUHZ1Hv zjLr$$P`t`v>i-XJK#{*9K=El?2=)&8LW*NMy#95d#lyfZk+3r=lpGa(K#VrWVH#*W zz;I%)*Am&mZ2sY$AWy*A0P?^&(B7X23m?nDp0-A0d5p#`Xj=~bvWRg@kgo}bgXqnd ztqgELvL7MuZJvavR=je<71TEE`cK$29bOAsI}d)Out{!~U`S(8%N_{55YWXk?IF^O zBNfcP86-^h50{$aWmp?V!k?DHC+RLtn#`dpF1!{==w!tZ3sI3e?@U(1XoSz9s>P;b zXi%V_!fq!M2Plf_$wLy_<+o*3*n@ap#NgOhaw0njjN=48b~>m0DN)`yW!1p@Ro2=`95s^heByp`tkYI3@K|ojFmmj)diy!_O!_Y zf<;Vph?&IXorFUZjPsg#O>oRdg0Kf-qg=ya*iQ}3E(wp0paRZvzNC6(;Rh2&uB;;A zII2pvUVPcZqSZ^F zd*Qy(5Dt5qtklC?5?3xH{GK{;YZe49mtg{*T)?}mKsmriK@P_$P>ke zue9vNXJ@J3N6l3&EMzzY2|nlrZ)3#Zyg0#^ey~IGEhLl!PE#o!7ec}K7@$ETCJAzRPxd_~5_uJQrFYac}ZXp!SDMtbqyWN;3x(THG! zh_i_UuvTKeObhI^8@kdM-uY=HYy$@R#sVExrwrk349U}A*}5_8quP?SuooSJF~e z`12{=hI|iRGzXkdG0yxbig_Q6sQI=?KD?HfDutUvDHJmYKuV>UbG}}NS04VwgQax> zlt-G7Y@$N%0GGm+L&x=_oE{Fz!O6m~IX>TjigA&`xg~TQ;Y4DtZHB8u-$`tu2x_yp zp=}~j@QSxF3%f1ENccnsb)x~Z{L!5JsZ}2qh2xMIbeiwmB&PQ6@JA_e>;hkPGfl5G zdWUYXD15{Yj%Yj8I|JpZT&qe|g*D6PVuz{8rPwd1>9LPOb1);KVqjw{07$S6GkN4g zlH8{_5618p8qo!?C@Uexk61#=aL7Guh(iL5#z|D=W|URBTqT7i{I3~6DrPhN+5Z8p z$s^12na3m~A@{HxtmdriwVFZO>gIA>lW`qRWjPID1*pnCn`XVOPr*VEIz`W(p%-5` z@$~(ZS!$mw1|@=ot0}QlC#|Q-ZK}g(E7%`m<=(=v3`T6@<1F zp>h2omKWh;xVZFaBn{vpE;by;D#N9)^`0aXxBo(cXv;X{B?4L*smDhME`moyNBYlH zV?>O_Fv0ym10Mp~KBI=}OJXn@h~Zj4qv7Bil4vaugBL)<$gpji{X|&sqVOB^u4@~- zkAdE^tS9DGJ2w1|K=hK%;6F;{awavyh;# zr0tzvb7&8_nID6)6`7`c2C$qkbeko-&AAHh`O2u$n5-ym=13*P4UiXIXl zT}uLSVF>>W8)-AggU8&n`G_d@T0 z^Iu<*UfjOOfd;LgskQM(mGRMr`lQ#l&}%qY8p7_dL+4SJtpPE4>ln(SFJVU*5{cy6 zMrmmK0jyiNO#3<#P8G~;Lr88Lr4q`*1lh!eL=W5y|0XVbI;vVoMvT;WiTZZ0EHfv1 z4X)XtTnS0Zim1xNa2fQk_Zbk%(A`zVPdUNCMmPx5FMA}+DX}Uot=yyFD=P~7u?!kC zUJqI;$s1XVDPG{Q!VFK@UddDt{u@8NyjE{KS3y&(K9pI%egt&)>5Q3x7s(YOv zHS8A{bl^*dIOyd(jA{<}bdp0~h6~y^>vjzMf9#zFyj{i7!1sjUQrzX?&b>%*in~K_ z2_Xp(h(H8~ycR8PAMS*rEmkN_ix-L%D^jdDh2k2>`Q|@+XOG>Nyu2na!k*vno_p`v zv%9miGqba^v$JpZzpS=^2%`1De5-tt>uDytkSOqd?Q?l7o8{9~T@G=##A$6Zt7~UQ z>p6Il$PBp1Olhn)JA;r6Vxs)+(^I@>FR979zHi@QR9lOiC0SK&Q^0r#;4SHJk&p_Z z7y%o!Vb}Hux51zIWD{Sa8V+;6#nytp#t0h}X{oAlojd!<^*j5Ws;sAD ziX}+n#e++B6Vx}bc4bM>{7pC9TAN-ZfI@g15Qx>J)vfa6%5@0tb&~*F0)a$O6N*~- zP>q1Po3u;WTnk)^QPBusQLq}Wm*5HZJTmfK6qIDrdrBF}jh;*wZVxL#wmlH+V*ZR#FYbwnjBfytth^w#KU;S-De^H;m z2&IA|_=414njxC1znL+Zh_6=n9(1_OD!;DrfjG1rSr-?#gs$?NO(Eo{w6vjR13Lq^yYW>0IYJUdN2SUA5zy~am8Wv+~)Aw;eXAfiiht{}=Gd$Bxj zBweYU@^674)v)~r#sfxCvK3aMG{co>d8nzVEegRjOg5%MvYmGJ@6=X#uMKS;dhSHX zhc-4eVg5%rp&Mj_7hJcqZehcV`;;QLgA>G;f0$!-h!z`+X%yv#(`3Wp(}n)p9Yp>7 zhaKy(jVcqBy{P6*$>sk2>JD|;T~*&j1_kY}OQ>c+$>pp-iK^hQ73r^g027_$wFh^_v_WFKgBsIOj z;|_xzFs0*Gx11GtESUct;|P0~YJa?#UQD-lgR(#87e_hm=y0WU7ks{-$5J1GG`1E|FGP38Ad0< z?BT?_u1&Ibrc>6UKQWAB#|AFNhMKq+rvxmw=%aLymZ(_Ylb`+8IzfXfOGkJxdXtwZ zkBMR9Xyaww@hzTdY04IAw%BP)C`MucNz^u&j4_$vMhq1G5@!Wj`U1z$A<7cl!&Q8# zG!dt@H2Ln~{<7EXi27SLp_5ZQ#9%^yAzT^Wm}ToMU|+iGT-r@wPb>(yS?g#n8wn(` ztI%Fz5T0XT?27n0xU0Z~d?Lij+l5Y9MhHK}vP5{U3k3}AlqZD*lU@ia%eA(F(M>2S zy#z1;fzxY*DLoi}Aj9c2+2&t@aIY+FN^83+>q!i*#n0@b{iT>Iz=>3uqThKH2dtj+kKM&HXm7i3qE`>+vq3M*;3Ova&Vdw>$n+JIa!> zZv+Dy0QRS_fY0LzFUQR-M`!5w#3qYbeFI|sX@ z*oe?=usJAUpGXGTNQbt(uexgAAmpdmSen*$k_@lrW6Y$N2`vNUFJ4XgP?K z5HP0;)odX0GDV!Qh3Z?=HzpJQNp?ecFwhhAH_SG5h2UzWEbGf`Z5nQ5vpP(o05Q)) z_AV#Dm#7yASz;KNdU$~eiPM@vhA3dR)-urEv7b?Jg( zsQH9#S;q8@T?BpUje-sJ{hoT`t7?l&b5yAi3+6D_9V)nf#8w*DaYWY!82z9q{Y@}n zrb{7W?KxP1HK`J@wvk!B%#m!ExW`-_h%rl-U+R`ocoP1cBl`SqOz5)93cTD3yfbu) zz0}=uZ4x@ga;;#wt_(3b{_L^w$&C%Y#FISug*~dfAG}zC&Hpz*^Vm zOAU4P7CDye7)q7QAxVxdN>hoZ)f!3+NlWr5{44w!MbfflYMyI0Nh;#dWP`gM)$zDI zqW&skIpdTk8E#A$ecI&4m2gToh8s4A68=Uu;rL7BU7E_a)YgRa)0kzm#X|@BDG-&~ z0ybW;N_VqNd{iEu!;pMAq7s7%#+hIwyj$RL9}0Til^a|v)7y(Oo4HJ3Tr#JiSGHbW zB+e%nW=5xdVAvS|lN<|PdqJiwDV$%DPDmuyiNKmQO^%r$*RcOyQ1ABr`MM;b8F~Ol zZzP{gu*69t2gVhQ88SGFQR;{b#oy+FOw||qll=~s>-d8%s;?qCkd7(8A=gpJOT*z& z84MnmqF+kn6Q+W{k};*EeR1pqL)!q+h`i05uG#`(v|RgIu4(8h+4buZEEOD4N8l#S zbp<-vFlm-JLHSV3x+4q#{sCUjky|Pz=bEfZ)y}eBK26Z)WDYAUsuBU6m_#2~FJA(i zPmg$GNbG#rwoa^tgr!+|%=B-WnE>-`K-Hw&-2n;>D!)m4(TF5Odn2c|5VO!y$?&I;Q# z(BVY@Pwc*x&zroyh@hsVi(0$xm^!pae%wN*p(zvJhCp@-p}w&-V-9=G()V}E=9Mx8 zNZbQ3Z%G70)L!0RvZdlbmG$y(t-q#$R(GRVcHYZzU9}KqXKf_5ghdiHRnA-@$i@%S zxxDJ+Pym^tfD$oP)#0(LjbRr-#LH%=VGg?}QKud;hTS`0exI*Sk^o1?D*K5d&FT_kb?Wp4nDdYBuLp{S`Pb(pohrSTFe%0~ zCdMgWmmESVkwPIht4m{NWYF=8HQ33Y-JrI($*3$Dw>r#y>mVGtUKT{Sy}^;}Ud-m^0-&#J zSfCBFibyVxjrpXC^e`kgyO6P`NG`u**BiJ`Kr9m_j87H24on~q#Rbdv*WLg>0N`zE zcCckjg!6020O0XH#&agnyjG|;j5D~$%wY`M8v2X# zka0{3Y_9vZ#Pvf~Zzb3!ajUypLA&sSkx1hA4yog*BU2$yQJmtDYETf9^YW^<>HUB_ ze2&Hun~iW!uS-|zxGWK@THQ#j2iBGE*w`k^G0rW5wS#UXw#dAO`9BNuC%yT1f*j~P z@Auv6ZyC+Yxwhi4C>YS)+#J4%Jd`(`yA$-iEhiM%JM;k&FeFB7<#5s>h(lF`~mL=VT0rTDYj;Z5@{-&807p7D0CtLmB zTh{qZW56HD0?m6M5|1FfszNVoHG0F<4gaXnt}F@fqy3gSqdr22vLpdGL>E)=Fe890 zmy8S=z?oynGJQkSFIn;^@9Zk<;MpAX?Iman-bd0Ep3MoSnOx40j$(^ZS4hm`@Jn(6UT!J@?rk2@U7_pg#D>o#5XTwVR=OVcGhXK_J8kZ5^l1I7ZDRz<}+nlXj>5J%#DBoyUY4|4x4(#8~+IjWdP zf#DOJ@v=U{`2>P%H5_Wv8?ffEcMqyxBgd;OAb%8x&m*iSgZ3=f%U0JNk}X*PSctI% znc_>V>~IJ)t)&tZgDI|@Bj(wG2x)9aYgH8s2xVWozlI}NME<2YPAXF@N3BuGyN zl8d=A0s^wpjB`ka^h)`c5a*-e2kX)MJzcel6dra-2=V$5?z>Bq+`r^+!n6!^4zaAK z|J+EEhVmE9fzNxAOR;e>5IhS9R7Sm_jLWjMWmsyX-m214B^&WRYE2}RN;c|=MZ;gS z0Q>@EwUEWP6gp*l#%)%>`8*}%C>Wae4?@@>fOFVQ&?r~F*r~%rD=ZXdNd$c-xXdEb zDGFf=zz(rx70{7+IScAnKr*8pxt&sI)mNVa# z9_qo96A?7;Q&;{{COH&AGdBca*Fr+`->fYEegud5)Hp@>!!zv1QgXT{as$AbjRr24 zNVDSG%HLPy_+7%B{!?;klaHjdqsVGq*;VRNk@D^cA&c)wXDx-J9|Rd58yg^K#F`|> z$IIC%e8ij1cgl@0$(MQECPajm`17f|Op;Y|U6Dd_ z02uBVD~}fRs*HM*T;dum0pI>SNJGMafMh?F11om2F#kBWWEQ*=O{0~x= zTZ>LH%nPr16T!kjcoPHw*3Ta9;L7s2oF{au{qM;jqtifSa&Kp()F z)%LK1<}#_&^%vZzWMeY2ka48}At#tMA`FLVdzM5zLIQ51&XusC0mQ`pS9-$Fzp`9R zD`vm#)QnT^LC{E0Wr3E3-@o%hMJJ40Lph#dbW5@2|CO5RM zCy-I>o?++MnTDtj7)B383?O?6?De4yzH=daPecqbU1IqetG?3f@c{Q{Zn!kF$Hyqt z9S#`cN+6!Ro1Utx(&SPIj*(U*n~)2~f80AxHUgMIC?u6&f!P%ZU?4;CRnSI-08+|Y zl3unc%xT8U67xW;sZwAf{x2Hw?<`B|DRLp112$(@>#FGoHCd$a*JV7_pKK0AQ(0QD zR_e+%B-|78FKL#4S2N5al#;L+igrl=8ZU^Z1GC-XKjSZX*-N(Xpu_tq1M2}%hGmb2 z&$TDKDnnluM_M#Oe_h77EQ{~MoNV!|@tIj5R6(@1WOqx@IN3$3CjfpU_)!7-S2Daz z<1E*=)?r%+gdXqcHblC{Fs@Dhlk~lBA=($yvt6W-*{G)woiO86su9g^INUZA$N+`G zgl(Q$;KiWqR4GFb7AFpuG}N<$EEA-JiA&wHdkj%s^j>-L71d)~PQ))`SOWz)nYjh| z4}$>g3M3@Uhb}oGFH%C%@$xj+bCNYASuxr7<$~27#kEn>^d2zHA$!}R$j%~7R_j5Q zbnH1h)Wuh?{+LP8hoKlvH|l-XI%UnEvzaQ=3u@t7NZ?7Xkun_8hM|;b5qiBLy_|}( zo=fmOz#M$HvAL#yD?E|@YUx$Q1-+%-)jDN;B*6a(BkEaxEH2=)WGa>{+W;en5Rz+S z>*W=o4ROGf1?_*XS;vsUNtCK^u1$X|%?(Qh8@*o^fu?f)uk^=@+^k(MST5fL?&oc| zU;R+GhYnJjYxO`;c_vbte>9LNt~mG-0j&w+dh&=sz0AMZz#fKCIgzlDORCdrZ5u{+3X;vF z=w||%FnWOz_8s78e{PuIhzZYe(}p_q9nFyECW0ZTAoOtb{tE=e2XlyJ1Aw(>jLjYb6fU8y}H&vz8* zm&mCRvU^Je5$vGR;mC14obWSXuu<-bqgSjCGIr1c^@g#Ut+{Ln@4=Jb1v<=LYEYE4 zD|J_ZL%ZbM3QtkDNHu8>>N516Y3LmgdfDs%`x|=CBA1r+*pxfymNy9m?R7S*A=BC9 zi6_yof=EI8VoZTw6(NgBE7H#dQF;m$>H(PfnmRsUgq;aGw9Av8{VRMgC3UGh{D8r? z2R9?^5dxtKy}1~Cui!@Pd1eBSihL>QY*uO*==H&t;Mhns2w|VO;4wFK!{=CCBQq>1Em0hD z>WZ6_YVp&O%F#Q8OqNlZ7$Gm?)gOvICsb3XV;=4Zad$ zXdRZef@9oc(TFa!Az%xE$6bX4V3Cj&gdayV5Va#{*#fk|Tga)KY-rmPI-3cK(}=&q znfnQ%bl~^|^zI25SOST`aim!tX9c}i0tR6-F-HuwTn_^L8PaY?FknokrYpI<1d4Pz z0V=tEpOe(=jJKSQDf7UvkHP}hYE5uIo(N*aH_l#ve3ka}`o@T^JUXn1kS_6dWqCT>>Julh!47uf9`V+%D^X zH)7NMuBS0Y0nF_7R z5C%a`^2CUNYlqUtXHsd(IU9OLJkHQB8*NBD%G8}sE^LP=oU=U6UeT*(2_M;PYi^q*mFR#1Y4 z_|0C2)bA3WS0*|g)$vp*I@C3dphj`1QEg=_n{4rr{UYeSxL_%wdQp~mRpo$^Bt_Iz z$fyJIh+4l&*fxyLVuXEM7?(L;cb5}dYPT8z91-+GJU=-k_*a5(mA(DJd@n&ZDwgKD z)3CvoHn+I|rOrYiDi}Yp#wXhe5jli85lINmp;`)Ozoi>P*hJyQ4ltd8@hnZci^kzX z!WtL?OTnNPf3Yu+ad}2#2TKr(n;cTfKKiw!z>>1WA+XHPIYZ>zB1$d4qLx1=1w>Vn z4i1R2q%{OJI?%sHFW&~XDkLhK&g5)3$sx4ybB$TYVMe0QNUj8HMeu=H)yB$)x(dZS zMJqXSN48$njz$`D4S-XQg};#Ttf$OtxinpG7Mh-j&?+4C=|x~3i$1ZWzct~YNlyzD zp}>_TmkPZj1XQ;lFAy!9j**{!gguVIwMKvCbsHsYe5l;DNKpg>UM3Qp$am$PVB@#a zl)#m;2$;L%>o<6;X{>*oZV&ht#?m~a! zOUzmTy`Tu*IrK8tXpG~C%|IU>R<@H6f?W92*L5FaS<}oQ`GyU#(^ByAB0M;TO#QJ5 z#;)jpyB9Zv%U)w#V)81=-Pqg6MQ(QMWbwU_1i zwA9Tf$&UE*m`pD>h85W>yiDWp6%hej|HaWZetN$^xahi5y_G?8S?;sm2Zm#RH3&oOrkI4>98cDY(huPJ$U)j0ET95 z+!U}Hn3quKts!N8p?RH~Ykyn|0*ou=T`vLFq$_0^E=}+vWQ9($d+J>h0kWG6?tz!( zRZpU~AZWJa1~st@^hJ{Za^|nB6hrt%q8}UCs6*pPD1LZwVaQ;Puh+ZMgj76+oCJyb zq_+3V|EYO3Pace*UER51T4V(QvzQXwip-RD0=TSXX0lgAs;8(A zB}4iV+7~BY@~KKn$LVCqsepM8XZfy}pNrNmPP^@rc!M7EAE5RO>nd{IFfSUB2VURZ z6%s}pVi*`xmzTY6b0@969^lErh7FnBd3KOx9m$Z#{KYoV-%Bnza!~v# z8yf89M!jZ)2TG#X zNS`K?QDOelDt4n6ugW7zoDn^u=90#zO2URH`b&E`ksoe3ga_uM>F!VJm)gLQ)nKN@ zORX>OWuzH~P_&bVRI?(-29V8f7%(}sSJa;R6Joko*_#!JmcrTtgOtkNK9uz;H>>L} zM!gqN)?(ZkmvQ^aPBjW8{&d))s-+wV5{lQZ_iS6=VaE09s~PZFGSg_J&8-4qNjR{^ zfgUC0l?xU(CLIFeMQK{gIVz+x&+nFit_GmoH7Wz=2ps_fQD z6N7L)lZXF=1Rs}iJ4Kk|GB`pgs)R#4xY(x}nzaMesf{Db8u6+Og^qYB=^cnS-1zY& z`btz0#r#hqD&1y`z~GR0M0;3WYcSy5Ang)%I7*w0JkuH;L8;h*tOQ`eE2yt^<+jYd z@vsQ~QJe*(*W~Ory^Npilj7uSJ>iB2*F%Rl)61y&%y9l}xk&aG+N(Mz31h2LF(qs) zyKGw%T$$Knhy74hk%egd_QGUgz4B%&a9*&aLpEv;KBHS3GrlC8;^vZ8Ce(x9ZCJ?o zRx%~8z~-3X@UE8g&%8XGQR+&+09!WPFl*EPQd`O}s1mgiH;7@9q?i}lYMh>6Tq&>R z={Q+u|wZr%Y+?oMf3LhKDVGY|*vi^-IXic5`LRd;gT zVRD|KE4>%m!CM@4j)3GU=hub~HvkL_LG1LORxn@U6!fSEcRGkge-GjO%-Uu}5aHLu ztRqAS`8Q4e1o4Gxz@+$V0VC6vQ^0_7an!J8x#=LL^I;e09UXuq<*%H!N~ zaz=*N0pyj&Wt}oYlCru)@z*nUHC8aBp`@Ks*HuzgN`Ha*=>*}54RIxvKqLTfgYRQT zQCkU9CqF;{wktElBEJ<=)s#TU77r|sOI2~mUULE}diE7q=0FtgD-gP~#UGI9xES4f z(4b9FHSQ7s`4cY9c?JD7l_Z67))nAYOA-Gk8BXMRnD|U-S6O=e}o;js)HoIR*#2NUmO20@e#QfEu0iCTm3Ng)Q}6H<V1YLiA(da6q$ja*_<|CRxtHu1+r?Ux$Z-<{RN4Rxs))B z=L@cNdb_@W>ei84=#|g~m%(~jsq!oQ>xtMcBr#-{*7Zy{pxdZO5u0$U6T_86nT0%M z$Ua$mSw+eMkj2U(M#7Qq>l+uz0;im{F33*JZ+Qh9Ib`i7bsInhcsUUhuo%pXh}29EAjBkLqSz!BZ~y~&NsC0p_<%egyaHnvsVSa?PU*(2unr?KZdZx=9mLuOZ*X|IL7Hta5Uk&q% z0elVqBQTmcyVmYAntzqLo)i!hZ1XG=;M}EkJ*rIxOop1;@F8_^5cGAePOc}oS%K?V zfvb?~Rc-`S22ow4Qb=hT~I+n);g+WRyS$MQ^Zs30n_{m@*2iM{ejE5iL zCAF7-hKZQbCWtOV(%2}fcyNnKT`7JCpx4UtNqVQR*rOtdD$|C&wW5p{T9-X=!QFyT z4@yxI0HM(-CYFO{{s$n?teD@hEpI8nt4PTTWPb|Vn!3uPz?nXoH4fGgXSQC>a@lHy z%o)pp96`FFjpjU^0k9bKAOKq@#{8`D+JFh%U#JrSk@nC|W=$%L2OAn@JjU7a6c#HI< z6g7Yw%g&gCITc;S@`F6RP8g?P2vRy+0*ZM2!bmcX8b zMOaXnNXcdpBBK|@;`YmeP{8v^j6^4*9$?WYX)bw9ZOaNq<)%5$rM11d*)_MISNp3Q zI9!d#724=VHNC-xO;ndK99l6hXeq0koIg+AN$#gzu!DFL)-)~zIr^eHu1Bf3Y3sHe z!~BM1*q>34^Iz(DOp#Qfk{uV!%VQ8jmz^<)}HjT6)1zazh zKg$Wx(ihsUt${#}6Uu1*|4fVy86glZEND|N0*>t-X0S>CBiW20U|@5o6D90KOVaO| zX*WTD*eew_dbdK@ssui>$Y(V`+0ACYtxUb3$b>zQ2t7_0Q%<=M=|6<28z+>q7SP*< z?0wMLgieR0Oj6O=gxSg${e`>s^v0FzgQN8*l~ITpM>o^H?gK~nQ|faUZCPhabXN$dzOZGKIGCqN+9UnonO>nWgN7kfg8m_c)VT{~F1QZfGxy*L;g+Dz(k z!+h2R+vRs>wO#DU#5m4QlWbaXz4T{7oGK#79VuX_daw-rA+evcX#C6&6~Y&S5{T$x zbLiYg8yOfjv`K_7e?1aJJbDzCk%0L%WL);69)4KphXL~sph8J3>ehs5J7*!BArNXW zuZyPlmpp{h<9_g!^Sc|v2{t190+~AK{7cB#rTam`GkiCN+A0^4q;IG`g`&;}$94r# zId1^ol7yf<$nOT=Eh*%(2if;1k{G{AigcbUv(Z6Ivk`Vl!MtMQXejDI+GUsz=A++2 zqQ9nHwoY`4b;>qmko~)@?z#szl~A#Z)weL3pO<%Zw>J@?p^w*v0kp1j5MRscO%B7v zpKT>0Hrc!{f%>`8l`IuNY)Uo*fM5tW^lPDDjlrdaogv7;K2pU!0d@w-#x!5o z^w7K`t99j7ri^ZZTv(5?iC2je>RmQST_a_scT2kyq#9B*Odb^$iAaV5bC{lPe6fiy zP0|}~0yvc4ZUQz-V($|6!xm|Y5Ws}iV6#Xy9) zh^5x7Wcj82btbI0Nxmz;lDc$gdk#UALft0x!X%4htJ2B9jjaYQW0*e-^kT+LBvPoJ z;&ER==zRczu(JxC{tCgo3OxmENeIO1`l8CQ=A?0()s-~|(ZyK#mGxJabovro)q4(K zz5clISC(|&jXiaz>@5tUv`IiLO_)=nTB$>Ft>ub9!_NCD^oXy@{(T=L)qm}FlF1m%U*C4^okH*SDH z3{j68JG=)3`_s#;F5$lswB;o!cR5POT*?;3KUt@U4XAM(dH3h`)3_pRBvhKe9w~Y+ zO|}A`vtAaT?FM?;8_1gFC8%m#{}y)eztFz$Pu;C5H;CH7{G5ojrLM%&iJ$|0Wlofl zs1|LvRcuaV4>Iv}SaqUmi0Wm)%XSorEJO>8uw{#xzjT|lI(uRhB}B{=LM=KHO%EdG zmRpM3>NT>xZh(oDWx)IkNC-@(Yz$!t&|EtT%OuMnA+W#VWDquAA06n>NK|0(B$~Ag zM?Cb6K!*n+QpC}$HG4;XDIJ~x#Vn8~QCWWVbeO$t+LyrP>-vDQW|f<6QDnD{OhP@e zEk?-iw$Kgj&EWTKp~u!tkz#| zT7PNMCuFt$I=qmi>Ev2rp66@3R%H~yHi>B0 zISd=(lHFR1H)Y>SQ7Jd3ZwZ!B0xL=JhR9^J-sfR27^g)r{o`zY#$ugrw)BkMh{*-ji=iQ7(T1!Z_xYz1g!Xgv^E|(C(ExMhuhLs z0!wxUTgq*8)r;WJ9ELA5x$!dSt3d#!idH>Duhrl;%5kJn2-?6FSNA;{5#b)Yowa;DF`EAuz)i(Sh0@OI z?S}$}(tK8+VIv5=*(r;2y)?u$gT3^mhwEd?8jiM%KQ?Q7>`KiBUoF+R@^9A5H$tMC zWcw>6;JClK2f{VaG#FO9a#0YaZxJ_wsG4HR^L4!z5M{tiRprs)gVU#1Z_E(}{C6Pg zbEp8{`GS|}Hy8x2wHF((IjwzGTDuTN=zO4!Vs zoWwJiLpM&|ug*RWCi_a*3scuL)Mf3Ga?0(hY`!+QT;NKXGL$bOaxK%YyixX*nxy$cQ+dXvLp^5Abh~3rYzlv;&mIe!OA1SqC@cf?x>DiLL1DL2{^E@ z6r?yD)HV(uVyxT@!nf-slTi}od{}x1h%^}m6X|U94~&(EV~-;K1`cu}zEU-qY)sqV zM4!c(;fZ6W)IF+-km(Q(*H9ofHU|1n1&1Zfn`B$RN;W1OM1L+{$aoxvZxoeSg~N(K z_>E9yg3})d9xN%qt04N3?7AFW>E-7E?vJ#aUS<;hn)UKcApHVZ(}uQ-t(Uh2>HET( zxFj%lkV7oIJj~$4ErH0%cVv(37onbT3TYC-fE>6b+$QZRtrKxb8vCSPFh`f~fpBrU z5@RF>r7-F#^|Apcd4?SUfjx?gDVvE zsvt`3QKurYcgzdD9)>8b;(E}_1e{}jCbM3?9N>2acw9T#{jsqLhrK}W?|}tNDghjC z5_Zt8>;(z#OfEtqVZOh{=0umEeO*f~Lg15YF(H6VJs`?{VW7|0d^ixIVnF~jxd(s` zktVwxs4IUdMowX%zk#~!dR?%gx~M(KWN(O^e3`)GWRr@xG)p)HY;E@#HhKyVy8XBj z_W)ME!rGM;NSGandtV`dtX`j5x5TH$9>DALKXESi`|33lww0~j=y0k|t!ix;7# zFcc0K^eO>-2l_syD^2zeHDa3@^vzCJS{QsWjF&I`H?d0JV3-$gOR)oRW2G28K4c>0 zH*om5+|aL?{G25fD;U=xXpZv2!H3)ry9p#P^|169a^V(EyOy_3$$(+?dyRtz)qj!# zOT(xEe^OQGVVmcWk2{PuWHs0&+u~Ab@*4cdY#s|;z{Zwf_FXW~#w!BncBS;trg=%nCy*eAVy*EcrpYftj5~iS z2V!r0d>v&{Arxy)Yx0uNLjdDd>q^>F)?iJ|l22UwJskfs z-<~Xq9+tCwRL54b`HwW&EeaTU)>9?$eX>d1eK~<$PKII)G3aHwd?uSmB%+1=W*_Jblp>gF?)?YhO*VzyN=pFXahP5CM zDbMvs3~+u5CZrb(^WQQ)4Tsm!8u0KJD}%qLVSL&hUI#j2_x!F(060Ohm$QMUMppe| z-ayjAHjL`iPatfz*W*2Vkc~u|G%JMt{m+By_16zm9A-4H>G-oTHp`!!Sk@#HdeW>i ziJ~a6ck~Hqsgmfg5wJfaQtVn?TB?LCql1JV1vjR_%DDpGnV~1tD?tmg34$Qrn@l$Y zhYul^S%JZR*wsqDgAB3^?%ckoF04BjiirB2PLq`iO`63-%7akvl9XypBrBBulI;@W z#~>`FMne2jP8J+yTzNGGqLdMp)Hu(ek#B_S#*-hAZS&01upQzc_aA9jNp&=0yVr>A zE#%=p&@4>f_|mv&ZE2w!HbQQH7__WzhvcXZWxcl%G&h41wpIfDp)H>a6R|ADFgNTKz3W1|x>~!kBo3TKsd!bA z+US($jU8T)cij7sD9fhes8JJRqraA+Kjwx+IWPO0lRvDTXr1yla6cn0NLUU&@Qnd= z8Jj#d769($r3GP!^_^B%2BF0>Kf;pkN9f%Tow9R*1<;u-X=7x|OTvE%(_6x3CPuyD zNH6DdGS!9va0+=+I!~#0O0=u}1(ww6ddhNTV6a7I>&17eKPuFsxekMDrUDkr72;x@ z0NH$x0EXsSt_o)_W(5Kv%SdOKNYSKMK@?gF@>f9i%-sqOwUJnQm_M8)W2Mr@s?r4s z!G}|JE+$J&U0+mySCOLf=~cjBtYkk7o9fl7Ph^h_6#JzaWX&Z_MX6}Dr|u%@FP%w! zhai&pHW@`hY|1HeJSagErBuLR<*}<#l+p-Wp939AF&@HY==(?E6udqNraio-vnP-d{m zFV0y}I@}b6Qj=_GyGp>wG>4bSYVA7EW*nN~G*m1*@JRMX=B|sO9l8?t#QsL}*$X&M zFbqg=hg@B2z+X1pgn&7k>k$eh(hvK;R)Wfl*WPk^I6D3BP1;`$bFZSERgzdhcZ;E&Rg%W2u62YOvL+W9%NQ{T5wnnxdZuG*ehkPTe>bqcLt%EK zNmd{#mTHxkV_cKx9dO!fg7hZaLV?7MBKe)P+UzDD4dW42*D*laCZsr5M+@>LU4C? zcMAlUpo?#Cm&F$k?gV!TvJgBh?suQ}dB6JZ{c~^Kzqd}Es+q3op6NL=J>92zy6g7S z>tucyCfiGP7}B6+kaF8=ru$~fW#F|~6nxsfqS0G^ycD(9f923L+w&ox`oM}vDxOSv z?bl4xOzCoRmh(;Y{Q8@CB>{VlBB?7Ogu`B+hF>O4>!I!nn&yI}1S9(kX-!0S0H zi}M=((nGQXqzD$V-)3tp7cp||lO4vnv{V=gy+LoJApy4AMmYuyf4!;jDKo~WNfAbf`=8}XDtSCOl$h-P+LY% zy|>q9$1ZYxQmZ{^~hc_RVUSIwu8mRe%Kg9Kt zSyF4b7_YVeg_({c`UR`SSNp0%jc)H;k}S#AXFCArDT^cAIrO=IX{Elx}C35>;S)Z`}bs($2QH4+sIht?V?$5=UZULN&r`JTRwkElnZ}>~u=ZBkSL)R*+7np$cxG#byg*6v?QX0|rv!A1) z|GMXOcO^Ospm#5HPkmVxU?ea*eD~!?U9Wie6mZq~q^AZ+s3G3gKgPM#Z638lp9`m! z_o%P&{x@(nt(XBIl5lGi;^))dZJz+SJf;3ZaK7>4nmgD;i+{%9=+o{`x|ds`OO^6E z98*S~-}FOY&zgU4=-2tfSE?1|py2GsfV*N%!#TAZoM(Xpc|{U{(~+tEsYZj{WZ2w+ zc-i>SLVg(?>;o41h-55GCV+5Z^S`TFEC?)?D0SV&`1AdI1SyO<^%3nx^4I1@3j}Eb z_xOdNG!`kdcv~(!Kf9f867F9aohTeyiq)4%PQ5OnXf?+9oVl%aYdqlk_ z%Dh{zsP*W4DbyH#OjjTwbCtjQLap=Wlg7*K4@Tb-%LUutjwnmPbqrjuzRR9|`;wLn%QUf7whBG&Li4a`tekLgeo{b$ za^?1Ins=e?r32)5$q4B8k7tsa){`n3_&L{O;4k100SE+NAAo8e6tfJk(!?QIfFI8mWK# zPA5S6!OBUwR>DD~Pl{B#i`G(1=UuWv#P&HnokRvTMn$YM%6;$a9 zFdJQT?hTz7kB%bsh7dnal)$4HFM-|7pjgZjQYv#+&7i$S86c(o*QN5(Bl*G2DeU5q zdZL%5$;qUXSxb>$Ys6fV@QUAkh$7T#wo*!eCRb&=v$vBRBfk0-AQTXaNpMmjA9UHt zt6rz1RfHip1Zk2S1^j*e?P0n{$}zx7UT)7SIyva#C< zJm8@VmbfRj!Baa9?w?zLmhp>7h=@h=v{4SZ|9F@abog=$NkcWrD1oLR3Ls?wEeKa4 z&D`#S@mS~}sv_#hUdFJlF3ji3dbp?{H+zWjj>3&PD_Gp}&P0=vS_VHxR{%&2q*i{z zLPMyK48)eq7my5Lpy>wy-kF^F*}>6_Jv-X*F}Rst8}{&-imD|QtQyw0=HeEXh=27_ zT|6uaY>Jww11@rsqV1)=VV!q!$J}gh;^b&e8r32IRar2rxp4jiAio!#xONeEEc{B@Yb42Jd6$4*FFhM>`mSBIU&JdIQQ^l)Q zg&keX)O$NS@&{{&@h{?NJOW&-^*w`)jXSlcE77gvb6L+NS6dex3+6ixYjvgMi;@pj z#Za-gL_wiy=E-%{nGQ76GVhL%i7L98OsPvBAJ^4v)rS0ZLIOUe5D0WH7exwTNoP#Z zE6YCl2DcU?>%FS!QdwzCYXr(Jkc2H>l$J@h&A&vC(qXeQ=UMgut{mSDEPHgdZ|9as zzM^S3H2C3z&i3ZKl2k_1EJtx=?(0lsDfiOA_uoO@s5C>UI69k0{k7Q7ME31mqZHEg z4DO@j^X%nt&|ioExBqNqmo@t%T`rhBmIm911MTn24w_1XL8{KQ_bJ#KKNgKNlaCDT zFBUp9f8$X~j!7;dODvV2)}($qb>8Q|Sbd$vKed-Z`a7t$)&oX@f5XaOd87zJB4d{h z@o=0svaeCQz@!W9&{=kQsj zRUKUfk(ZKk4UGU6npH5TREo(HdMGE5E3pnVmrA!pOziePY4<|vYLa&sa*m&*&J0N# z|B&z9zf;Y>iBI}mtZOG7E)}qe@>5f?a%8P5uuKy#L9>4oSDl;gwXrce9-GXYsnL`o zo%`!UgRa|gL)(N*UahQ$KC0JPZ;{xIwVe%2ghGRi2-7{Uc{!v4Pi5qf{gYl=J32mm4eM zy2kG6FNSb*i*!I(aYb_FX<_#TYoh4e_{#c$-OAN%hGG)4;sMO1BLnEnw7vm_mA__vwB^NF_QFh#lmDA2s>eurnJn=CLoCjQw8`(L2O* zG`w3}+vR`O-i~a%uQUisOj>J`7*b9~Dya;8;^)BT%IsyT8y;^4j!tJazRToL0xV)e z&s8@T$P<~}zy88#;RWGzKOt`>cUQ@?W=Jjy>$TOjeva~bI^M90(0UtuezFMdu1mx9 zOheoP?mN(>3;rqQdqw3M`OPrsopEgq#!-af1X^DddQX}}hJ%Te;Z;XAtkokgWA<1U z)0k1pdQl*M+5Bwdm$rtIJBf1SK#5Ym{+*qMwHdMFcScFR7taC>XGr{tt1r4h!Bfau zd`q_G#`=x|W6S*}0bROBYs+cKfV93IBuuTBv8->Eef)E@>_8tdjZB0ZP`gQFhDXUG zVhZ*j-~EQHNN7BlZ~a=#YmOSJWM6#AjmWdmu=OT}8+Tl7{0$3st$T=MGXGc4$m20c zEbb|b*BZw0j{`goIv$+{YC$uQXUOfPXF!!_m5A!tVIH5K>erXgcCXABGTXV829TY9 zM%B;d))iI)u;^ zLeoJK>^J<|8Q$+2-U~~P2glGkcM8#%s`6-ac>yr)Eg&}?YWYk{>;X7|{jN0RRpJ8J5!u;Gwfp;v( zA^b-@<UV%}{j-nxUQu#Ou?h3}MB+)}`Vlr3t1MMGi%s)q1&K_I)tGiSy&)75 zIuE6X{-y5wL#t~M-=$1Vh{@Zs9bQ-!;>=5w*byRd#)YBI84qyxN?d<8>I^2vB>Z-W znJP*8v$UILbE}aBWoj+^YJV|&LN&8lK4iqmO-_MYGuhs#n<7p+;gzGqOWJ6u?F5bJ zElTwFxoOp3@Y`}w-_(_+m(S*%;pny@#f82=X7m0i)Kp`Fap3#mLhs8Tj&Bl9X?`pfu?LUwv(qHQ)qF3_Nqr&$)no%PR?M#Fk zmKkV*ju@DYVvWWMH5-uX33nb;-r`=~>*A5>e)(~0%f9MD5PSK14k%IB(GdSzmgykS zyOA)*@}z~DNmS-CZ@A;LT9sNMJNS?~)Bmk4Gs++1{Ep8DUxY+b^-J99-?c&JdDHb# zTRXQt2oI7vFQIj`7axxJ2z3l}k{;1KmO{q}_Rc(agkH3O0P2}66Bu0VGu7-d zYFpX0h8Ftd63Hi0Zq0|Tnt9O+p>5(lFP%p*YH(ltL?(*M4Lge=v>$B$Q(m4qqAXxq zUu&!RLCtyn77;J<&`rJzG=M*Up}9OWS5x`^W@rNtxuheqS^kQe-DIo|oTAKx(do1N zS#X0SS}?>$^sx3~$>{Yt^F?3qHm=|(UE4hG@}h)u`qkJBGE^Zm6!s2kSXePpFjLuO z$>Y<)GqwRja`^6BIkHDN8yBaJI!}Vg}nj7MehmHP8pcXG)Ek6NY zn(6Nf(oZH-6seS<%DPUIdOp4yCSRu%B+;78%+|Cx%(YJ`)IG>$^W}AYKRHNEhF1OG z_hvrRCq2AXJJQ(re84=utf+3N)sKuUzx7H^x)0uerNLS*`kJIDn6s|084lDXM_;iF~NTVO+y9J>bLa#fR9k>LJ)e8((()bMM$_lR}pkvp4 zjRlpjb*D_!LPduP$G-t0bdvJ;Nf$wMI$GfbbKJ)HD8|%C5x=YM>ma?loKvlf14n2* z{{0dp^u|8{QBmmUsM^OIOJG*JFW))alw5{+b={<14dAU9GFKshxb8EnSW4q2j-Hwv8_7E_hRc zQXsks#rFNx{{B3nTl%K%X9keuuBB~ouh-GpX`D6m7NFx*hsHSRL3i?5U z^VGjND`LhMT8O?CI^fhMd<_IDNRKgbY=i|HkX@`0T(K{OqI)Arr)1hE9sekApsGdh zcFHC&?-F1|3f&=oDGf{v1!e;X^~-dBQw166D!o=R6$am(VHt^>3s8B5LxMx1>W0dLy+rjx zGEmwc@oZxM2TKMY(?|VZ!1t1n$hgS3Qo+|BB9E{?Q;AdaQS;>^F0KB9MU56A!u&5_ zXhxzB&CV~ZJrOpVCBF_iERb;kc< zA;y^y{VxE{gAKuf;P6IByh}LL{fnh4fJoN*FCZ8BKm6?eI}!gcOdlSW zup$Ge)5ma%OlYuw6LA8CvGrkQ@%LXcPadf%T)YWVx|#3=B`UCVkjwU3z|F@F|GGhu z#EKjC;gpI~xIs(w=?C;cfHQ=3;OZ6L?+d6hX? zn>KPK%>y74OPQE0e11sbOrD_HJX%3#eEnd$x|yD%gD&zh?{%0+x#ULWz=&QHWox7c zQ^hK!&+JZ>tB(BENDC+y#>e-vaV?<}SdtnjX}v4H278`>FBypW4Ksk7+8|4~;c#E+^p5FV0F$;@?T&vhG1(i7bnkJM`xo1Oj%)uSPd z1cDEl4OfgQ{8*MWKssH^#%I4|y~ftG=w1=07k+V^fV2?w!`dMUmmRemAP(q!C@J%` zAofm7f=^qOKGdLRiTo~#lasDF67&8Oocnm+WM2ydu%TQN^R(13CdAk;?EUV1(Cx6; zgz3GvS!zjm(9P@A4}iiz6~Nq{#?}X5TG~ol0Lt~jOpVdx%K&6dGJ7Tb<9e%Sv3wm& zO{Mz#D`ES_leDZa-Pn$Cb{MzHn29T%hXpmeZltSjpN&Qig(cATd7Zn15m$B^(8UT* zzZ>44efH3@lX805rUi!SHl5CtSghpbUn?N~l8TVi#vZ8 zIpHp}+6W8Vy(gnzJO{jt4$J^GXOm#;18;T>F$BUIS;K1Ps!z)1DU4MBCOi68s%^p{ zMo0p3UW>HJdyN`Z7G*rL0>$XNQ|X1bcq5V18q)e+x5lrHTjzGwfzzw4h=HYMrPCr` zE;XMTXXrLxoUYjY>b9s~;^$}rz7o+i6j2o``7XttWADHsUUP!^95Z29MnEbUg|J)y*yqU`8Ol7^I0_smFxe2dxh(Bd*A-N9g zCFZ~IQVo{E-yF&MdH{D%QTrXG94zY#zW5^=pL+1XqnXkbIT|_o*5wQCKG(EG;pmUT z#*rF%Wv4h1avu@6_s&^xh;=Eio~N3gatcV*5a2^xC{yteEu^Zyc);e44J(553+U3u znyHZbZ7rH9?&`v{{wd)N<|;dXj`|mjs352?M0-+4QpJn5*6_KR=G2lYZ3~D^#cdyn z5_IjpLKz9%v51K5;t{Vqc0({cPEVh%2OFg2XUTVIb@CLurCLD+w)~A>ys;zv{HYpV zl)H64q{dXlrgG*_E^^j7T2e;w7d@$)v0K^RlB$WuPkjR);HxAyT)D9; zXTTb>Do1-0GsKdwaZ{d({64s@S5w$=scsx?rNRCMO`^G0#lw&qc|P*dMKV?uvXs&k z<79_0Z{Vfw6F|?tb-a$m0dmR5wh~r#+s+%Nw>_Pkt@LCa&$gt%8QnZbOSpbgozwz3Y`?^-R?$Ss_Y)nLJtd z#)P|@mz4DXBn8kCVeLHenGIKoiMeXH8OMz&)4+_<#8T|2=i>`A>) zN5h_~3wys`#}-dU!NVnX5Gx{f`7NWbsd`EfN($<=zWkuImGJz8igPs*as;grXQGCG@~Pw zRR8@7uUh2lj0a0g?4_`33;gZ2nd7QuPITS3*UB*l)1BU|Re2UqgXVCV;O{4Jn;EKj zEscCUbmB}|Nzs0iUC{agso*{!I!{YDBuzxFe7=q6!EPD*W%_#B9lgHqBZv}T ze79kZ_;H5VBi3hh&?7$n+I-Lr@Zp7*F9w7HE?V7|S)MsRb(VYNJ;4`3Y$0j&*V<}! zs(}Qs1b4|P*cM$n%OrX9V!`OljV}%BIE#d`J-Lt8MbKS=BXa`#kC`Ov>Osi?8T?d)!wgvML zS4Iz_GA3fRZpgKcBO6v-qK~|leR-z2v{7TCAD$={z6fQdF%aa&9tYo`r7Z5gua2yU zWa)p=%vi(RhkaY{HHi_(%t(CxyDhPrSuWOH-Ht*FH5rQf4aNMDS!XDvN-^6PB07R;HyGt0L;yLdmAir=~Z2` zz~1TgDYLkJ61LIy7pamh!Nx|dCuo2DfxRfw*>d)o@ z+mK(S7r%e1UB=^AdqZN(3$BRK(>i)_%Rnh@d{>Q#> zRmgou%|~x;>dQ1`egVemBzWP9e)(Xt*Y@C?lO zwL8}D1t?xnsnCGA2j8wU$3u^&f~Fe|ar=|-7LJo$1uGs)1h)8R5&<=`Mdfxdz08(D zOSXA2E>Q#95{vL&cE`CS_K#d-9o4DDUW$gSf-Zw&pZ%wy9v+rK9+n38fx0I(~=u zD70!_l=h?CNI(~RJdbi0&Mjf1z$`2}(6du(pA6knQt@?K3nGNowN|E>t}la2*7%pc z#UXe7;V_=Hve#~XDu$MT2YiTXu8nf+jr@!ju>R;Rc$a1@hsKX4mnQeo+K>!H;~>2p z+3+#YV|yqx5rqZ|KpyrEaeu*SGFxaQj*&lqHPV%41Y`T_+tFQOm|MyuAj871#clDh z#lBBvl^MgXUDO6_rMl#s(NcFQ?6P1W7&>o87ZVq>z=7r&hy}#SJtdS!gJ$cQ4sW%F zwr{w1B>7gd-}?BvbktSp!}U2Xz&ruoYhWODHO=HX9Yb}I`8hr+(2jJ1?ehp-5KskB z%s*+sx&+-;I2E{-v~idfTrG(HTiHNlVdX%GYQ$7sV$Nbf95|75Ib&M=@|o12y3gUW zjm_Y)m`YK05NO^pyKOr{p^IPFrb=!#G^t_{%|U&8_kMPoP3tOL)P}R4(M`$QVM9%m z99pM&@#Nwz`ezkcEyZn(#rtYf(NVihXBbbnOC{FfneP0a1A1%*obS-wyv72ILMO=7 zH?L=8v+RzBTOo6&qPtAMDyMbgoLScs_A?Nt&Vcs!mN?n0L(r<{o-G|kI8R6ZR+Xw# zEYx`3tnsL7Qy)BSom=e2CnCiPa?_|uzymfioUBKS4|B+DLMwIkUtz6KH+zDQkYzdiJYVjSa4PM0wH7{4n zWNDPQO~RW}T(m&5fxC-3LEr=a8A8qMH@ldX+X;$R2vyt!1>zQjKRs;L3oQde#Io@a zDg73_QZKLVSYYmDi*YDg>pmrL^M|zhHBt+EcXaXl$QTKn3NjWe`%RxO4hvy2;&n~D zJwUv>^#mn2jlRh6^l=W)V@D;{`?8{gC>OnN`Q^FHvRWb^2!px&zKR2P69 z!-rdIjKDW0X>Q~nw&UfScmfJd7pPf1AYSF%-y&E%r-*^j>5KZ2$R@R-Y7O9N;l5;C z($6l?B1f9B^6Vf3mPsbLA(KxQuE_YVu=JZB%B@_LI6J|7U*YLQv2_Ti~zi?0y?=&MsmJO1_l3;{mhGSL?j3uk0oYpqn zu%1LE`S||hu8;HT{z2jZb)3wHtY4PD5?N@p0M)Vj8GA@ki8_!4r9WxijDv7dB9A9( zzO8mHCk-#iduhSapWwfb5NQfgFq|Ww-LD!zn~5q?BasO=6S9sF8ia1 z1SB8U^X5k79v`@=Q}Lyg!Hdfqd!C7aDbvK}@2&%WySt8&B>5|fSWe@lh5QLrz^jV2Hh z2I>vidbBTgB~<_|QZoJy#=MQ=@YL<|?HM@nFro-BB5lT|oLdkNAmuR^(o!6~|+_uTx>1 z97!VI!09SC+<52bKZiIsyY((1;h>{<)_L}HM$=D5F!u;4h;I>rvL}E!;KI56Ro;g5 zq5!l+Me&`WbPMl3f&hzs2-?nc)Aq&PMU~^Rb$Pxk0D(=b#0iQyi^R(;y6k=GD*&(a(V;MXnQjvZ-^^*B{tw z$=w~zhxg{8A#}^mCwa_1~)nTtPcq9)wPUM4gg{(=*JSbK+sM_~es(}Q(9u7S4mRm?K(?ke=FyLFfvl1f& zFN$||JowOG4~gr1YGDdOYH-_NhurUD1)jr~`%4H7yLmk}8>|-u*jl~A8{AeLeB}>K zvBX+E6FZBjza^B+9`7yQdeyo6F`ziofZS>nL84^bWwy5i9@V3r?AOxZ=&>Y+DqkHS z-k{}x2p^yrOKUfOA!S{DwFg6dwlcc|*p$jcw%#p5aMv{Xb8-L%UbP53Lo-BSD;?zs!*|Bl-ud@fGFxoHbg{1-qh%L1JRp5Y1Yp^p;NMRYhB1hbFkF9%x z4Dv0a-**X0On6;TCMv&L&p@!?IMX0D+to{!vA=5AX0a*rn9Lo2SJqH>dFucpc>fR{ z^VdBKiayztdK1boAKT+!-FFuMm5RUS70>nk*dA^|w@x%n&U{Q8l>c#yb2@wvgE6n* zp)qe~+$}pr4W6Pj)0LJ>>)1K{!6$R~t0hmNlHWp7N;+(J|F-hW@Wi+y2hX~Tqv368 z#1fQ20g{oOg~$}%??)~^lRED|a}gT-eD;Pw$IGs_nTS#(tmBpml)Bn)U=V$lQVa|- zO38@QD+uf52`IgwbqOk~cW&H_0?Z3EE1%qQ2F-TOxqx3;S$w4s>>6vmZ0J#bydS&NSq8f^`v zJvvVlsr{oe{G~DTSfiRSp>b%CAyIYwM|YpfxVkNMGAAW;Fq*ousCb^5q!O>Fx)YR! z;=lk60Xb^X`RT=?3S!wVinm4aOo(MpBc~rRJ`mFaIA!WR)IC10$mY3-v%Vj3`_zzO zh0sE#0pW2ixnE{8m!(KlHzM!C!HF6HNHh))Ts8%whX@LR84WmtDTpC**g2>=K5)SR zq-y`M6so88iZ&LPjfe+RL^KP=ML2B!!_{af&NXFhF5d%5({7!f*#@JEs3x1JU!B(V zQAW@noFw`zvhISFuq%3gG_7hxWx$fP*wkQddC<}zWda6)JRV!vS5i%>9>GtuS0aDE zP7#q`osZ+@@=jo|VKz{WgzxA#v;I*psNUe8P#Ye}DEKmwlqzOWgf!VGe%D&(Dwdkg z?CImJdEhK~+bK7rL&$P{UnE*dk4OaatmSymcS^Y3E#L*ChPeiE|InW>V@MhG`JLk` zn4p<&{3yy&9J0s865x_AGTb~0-B76BN)}WQa6cJD!{jJWd9W!%$%(nx{&=|mHwG=& zsN&Z-9>!BX%`j}0F7-*M5|O$CZI^<%AIDWWzMJI|o=R|s^YcBsQ5&hc))q{bxe*xo zXUcI4iob~k}va6)u)Q`K)fG^?Es05oin}|` zDDZT3@l^uaWsPX7+eC)<6lR?Ik&}Y9R&7#~PVJ6>`GFfHet*^2S)eg(5cRg~c9DE- zklP`jJ!V?P(!@6!=D)^;Op@NxZ*pVsGetzCfb+3DWXykg4DA*@^8;vlwKE6K*3=>v zn29%H)V*}0dU9eOQcYOlge^m~B);Ccfz&}hx*ywocWOjV`_5Q;!`+SztYRN5R^3&k z>XBkx9&Bp@wF|+7=1L;Zeb9T73U85P|3{w^G!Z|oi`(*&k;Pf?`J&uRB-q~nbSvFR z`oSCVJ$JXY`c(Q7+{nOPg!_9G1b#gn0Z#;Hc(*KYt;BZ&IZt@7$#aMKAD< zNp!$Mgva89(w z-r4p7K)6YaXy0n~0&magSvW9I=bWRRprySifAreoS#cWf=D(+$UG!kSGQz%`rSKk0 zFsrShf?tX7x%~V<$i!@UlY=8@ndJIMx}AN$%8l_p7iL2FQGqx-pDR$zzu<`MOwmFd zk!v&H!Y)Ub-Rg2-6eglkha-61z^mUWI#67GRJ)$P1s0SYZeD`o5QsknrY7xGq;UHT zyG=r`zvUmn|F-Mr%{`^MVt!|pIS8oUNj_SoAaNmLTmwB=6lIez-}p36R}X*4rP2|+ z*0KdR!FMvyL~6AB3&E8;<+2aKyMQ2>2S%H=OsdBrU%tWb5S) z+%7*>&zSP^)UPx>C1n*=^T*w8_c8sZIpZ!FgC~%8Y!>&Bsv6@q7Z8-#RkvicMgJg> zjf+~}pu)U7SV!*8zRVAQAm1bOv2TzSkG)79B)V`b75R{_Fzk58CnRGhvlF!+fm+YD#UZzE%4q^ZwVp}4-y}Hgi&aQkpJWel@7ib z*^oGhI0{4%#^MeH$A;$rs)<=oN!%xHA8)ANyT7*;An;;F^pfyp9?Xj!TxBie74H@O zet*)4U9YLeyY#_)rfh^xoQD1SYE0@zyWh6M7$(00dw~brL0^kwu(>nQsu-@F@)T?* z-i*3A!+%95efN?uv8!8h#b31#>$&9zIC0;g?lg{JN%)@cX$fx+ld%e!{v<2>Vst(6 z81T)N>n(FXZ{fV3_S$bm`c)rPMWHjprd7eB!&T#_3gpU+r4g%aw$r-Id{5b_5}zc@#y8ML zMQ_WOPdDR}w|iN2U)9XwLTZPb{C2;42Z?_}TvBL5`VQWJ!(%He~9^)aGARoH}X5s<$oKO6rB2cTaRnFtML?~uERR{kXr-KlEIr(Zyi)K!y z+)jKhj;(_oao!^;B+qv8E|_-Q^DfO_iDefV5A!Qy>ey$-3Yx<~sPuk_|0Hn&J-QqT zZ8&@r^joMaPdI$K%J5k(z5v&MT24SGt|DU97x?DZW9HHTYCuDD=Z7}P&)5!1+BxWgAA5M%qQ zNSX3;@5^(6WL%LLbuBWJZBjlJHkYt*vtDnjql8-3n$c~+F9zf!+wljQ@VPKzyDelb zk(@13zQdTSQ*ud3=YE_fp`Lg)9l`~At`Erui*X%-i3i;hsR#L$qiR4>lk-K+^$h3B zR>s53OTx)5RJ~LW1bH=JiU(q==zywf6V0(0fG5vsv5WiMHn<+^J(NF8)Dn!UJVBLjhi_$5M}6)t;mJRBijl|2 zm7f=%1IssO`njLYK?>D+%qk}pBKw9+RzDR;`{Orn!xapiwDO-k?W8q#G&Z7dX;Ts` zE>un&wV&#}iYrp;bzs9&G$RP}gvrOCf^oLf05&|4x~#{1>bzBLK)xGtrPcX}=aSFZ zcsLem)t@w|(pS$woDgTkB`SNHT@vQP0H(Kk*Ws6=i5X$X;;mFAU8N+8pjpu{z<7oTQeYiPjdRzsFuc42?97NXN{b=Zh6lwVQhvf203d!0u~WL)-p}e>$}@;s zshOU>T5Jpytm9v1KG)~8`6CBWVdxOc`D<~ysH+xP$FI_n9KJ5hw-7~tbZe8+;d2nT zZDWY_Y*DorPa+oQmLU?<4^_5K8SZ30#}>*I8$H(x!t?e@$g@0GW|@#bNx8J0K#Sx#%6qJ!~4K zDxgpqBoiUwn0vu7>v2>EW8kh<4Xhh`YY|(e8@Ji?MQ}lnBo*vV{DpllZDCX;aEOby z*5thnu1H{R*-_@C#=5uFr2>|rz7L!*3fXw>HmOa0J;Ox7uNR?FGz=W9(ttsuKJ2pS zojXnnhp7`C8hLSgoy?%~=OY8ZT0;$w4gT+xINxPUBMI0S5jTmjYq7{b;?ybvz9IE% z6>xrLj%LIdkCk9+a!%BeNWw-#Ws@wCkYy<%yA5sX`J4S!B9!*C5N*38s`NU1?D#IH z@%={Yh8X|t33TKB>0}IQ6=Wwqx!iTRm{31Cyp-IjY8NlM@1bL`G3J_+0n^Qd`Lg?6 z-7ejlJ!j>30G=4_8bQP0p*T|UuEjQFX&BL^%Fg0J#}I|H1mx2rDP$@#u-IQF9(I%G z?~pEj5kwv2dM|UY{8Z{tzAFySy`9VvRk?BFZV*2+0$0@U$ps0LUF6=_A?41F1B)~ zdWnJ`wB=)-Q8n5pz~if6#}w<1(MDAP%vgv?#n@tS4TTdx zKXu^zFR!YS)9Q^6+pFifFHRkPqOg8~OJM@db!`=>N7kKw zX6fop*VxR8_-JFoQ4x`k?%;JD4-*T6I&Znt!=b&?AdSxOXg$xQ`T0bRRU`OA`2xdZ z1nYRiSP4d!f93e3<*}gfiVl27_%eG#WRy2$E)aNyAG&m7I#Zc_Uccwdz~Td9U4ZIf z1=0e!1^=OIi}j?Hb_f>#JX(^?z?Dif66YwjTSn}AI^pSslL0|#GqMU~P9EXra*)a) zzE2V2b`6~uaW$jXJKy6vjWM+7L+%*4>6AWfSJ>jruU_#VisEji!iiw{pfDDe#r=?pTS-d56Fn(Sab_725)P6XmbB%b$~ z>>#@kLGw1{G6X6@@T|ta-EmU4uUt^M5cx&4(NOclT@(&IWwHPNrc;#$LU@Dh*IVrv zmnMDw90$Emiy=~^S3;O8P`|5)C6OzQ>_PSyg)F?$MUjjXX0nbvcCyrnJ~9i~OAvI- z#(Ss+M-wXq4_wh)hy($o07vI8r5ymfuwc=$uL4Ir2>Q%vT0l5BN?OuKe)QD*AP_`! zU$NX-!?fh>b-4U9L=tYS+(x# zyxw{c*|Ra@;OA1wy7xG`9_l>-msIhIPX;D*ti~L=j2~PZk`D3$C$F~zs&%`r2YvM$ z15Xn!TWlZKl|{j)_9A7YyAHY~drp(P4&^x?W@FCzj;{Xz6|)1K*6#dxMK>t!D_e~w z>o*G8`Rz7j>hC%TA8#$kC$ICxHy+O$8ctQ$+r~SamDkVtmx5+2p8OAY0yf6L;KVAg z>q9Zy5n-5tm)+-e8eGPI27lIxzUN>HcI{+Ghz4@#C!d5>>v<6e;RTD<@fy3mR`g4M zX^u<(9YEK??fm!*pIv9Q$DvD30G98ZdOzXQpWlNwE6!@z-pjgp$_y1`*EXn53e{2@IL0&!zLz;%<#ES2CB2X7N6}u zp8t4K#&QiG-8s!II2d6o1vwasd{lTf86J^i_50NmVDD6d8K}qd5}MPMybgTF{M66{ zxyUM+dswflG9)J_m(R%RkP0y2d%ikn9e-fO7**|LMjHCx3d|Hg8Q5%IW5h=LOix#O zL1QaQLMrI+~#NxB{sj@u*R?yN7S=OncOH` ztcY9s&DXiN-?pkJpNp}x#aBpzz7P1^dH&o;8S!48wAfnWYqUb?>c;tNA@yLID^DG@ zL*gSrDiMT>at!;)uW01-ZXm03U0u>~W45};-8@nG^42bYB_d+(`hQe)=J8N{{~r$@ zThc^*kZmdnWy!wJkRn8uN@W?6P@%ELjIFU|i$wCVuUVt98&mczV+)OC7&Ar~G8oI4 znV-+++jsdro`2kP&f`2@_ulik=XLMJvnwM{v0L;XN3tMCDC zmsv}r$!1T!3*Kw=ln+#D_XQ1pO>l6b6o*%N~3BzwZ?Q=bz{Ulrp z#YWXx?cK+Q)mLyGbPc#y&AbKAD|c&jCOvZ6hC(y4$#)OkS`@3V3S>l_319eB{gX4$J5-z*kAW6?VUZtCYYM&44PR*hD8KY>Y<84=oBl6MTN=YlR8zopAUBXui`>=kpoKN^=oqxoTjrMRN%7ftJ4C8{ayq$f9 z8@CZYld&0Gk|W0f4D!SX{z04H;BPwm>Gahf^R3w>aiTI=&d@lby488l%7{r*^N59p zM$w@+r}~qfOC;~DX3IKN)1)t|W}qCd-O9AOvKJ=Ln0&R0wVAR$@gc{0?{#N5ZJx

@O)9YnsJU41#$>BQ(yPjA7gP?k3o53;fHGvBUlq?O+jKVkLZhu_&(d z$Cjc{w>^mCZ4&6u#Y(VD{|HnQ?ib(UXO}igzi>h*C!E@la&k8`StUeGp<5)OXtD{3 zOF4W~O(#LL2p=rzrc1Jg5qK~X~}kIO}mJg)bYnoJvpOiqL%v&tj8{fkw! zHu`Sk-+B|YDh_mP(S6A)8#Xrro8fxsgZn;LK0o-ROx%hp3spaz$xi3dCg?;NALI2A z+)HY-={%+%u)&%#^2C%2c|{U6=2-FuK<#l4wTrMi$S4}~R`oipzp0(gj;Wh@IfklF z4vq`)#<46IzUXf&nqg(j+H#j^a89=+{;+-|;?^Tv*GD)DO|x31OXA}-B!-%OWDR~4 zKDSbn$)qc(8w4BvMr|Sx!^p@f;m!RI^Fc>DggF7!+Nj1Y|DTTxy=PRmr$4-oJ*hQ4 z19cP-!Qm7_y}@8^J~v zD5%q(Ex+fb^Y$^&T@V|H?hMQfP=2UA#sUDQs2x-HG|(##b!AZj+YmIkwbQCf-wR?w zYV8^uTEN0xEHt?dA8O`GdKtwuP{bZ=JtyrotndwqNLBC8q+L$fQ$czmz1EY|SESTF zBvKQom5?=&wUtLAH1Y+f$(nC4bt}?k3qW^qWf>d2#!7xh^Q0IJe!b-I3f{{XU1bRy zchkaF&Uo^LcIHgCnh)ksc^JP$8y~taK8QZB5&w*Mn2>L?v=gDrKPWc+swTYo= zfie3jPhCt5wWCJgHk02^yAVOKBtKVK;a}munS>8({-ZTlK2LrD&fV>}UA+w14{;e3 z^FCCZ`0P4Of}n6~6U($XzfhV$%FymaQi%NCed@%jaF@x0lJ0}`WzyVbg^Aw%Mv*zr zSuyeZuI42 zGz5Ah%Yd2d!w65L1qf!5w@xcxH)>~PO}B~og*w-ps0RP+X-b0PIBa+h6qpFl%tZwamze6yWlPZPN)UYB1bbN-Npr$Bd1&TE9A zr|^pUd=an;W4f`eY;GOD8W8RCOb^!P7G0eA1ewmt>tU$1W?)z}`c7?u*<; zX!7+8+NKC@mNqb8zdjfd2Qd6$yxZP$ES5nh1NTK`YQSNP4pfu>wYia&Ft6CgRF)wW{|QVfVHL zMxS32G&#nLhVu3kk++SxkhVp3CBYcCoY(tw0tRBPvL5poULSdoL=|+7 z9h$#Q#%DXVj+wTx(Q8u;aZELF)OEXIh}B^#*{86rG=Z#eY@m3=lr5{L0PfUz}lMm`m&ij3&mDXH2nt|HkoHb1ockp+{mK@UOE9|FFIutRI}$ zrb?=N>T{ngRI<`^&>T>Hl~gZ95n{QxMpO1a$uXDL)w*@3>1qRY;e|u@(}D$U!?qlL zoZR(hfH1EUw}5Lnl42(@zEygRt2QF&a2EF@CntgeK>DaM^x8ulMs%t1wBz6C`7QDO z7P<835r)pNyt;7zRx90Z4nb`#C#+*y;&e1$(#rK{x&`!rTzcCGKA`%=1P{eLm6EN* zFgw$#Vhf5vr*=ztbwW%QM=+hhO-|T%8D`j?1S1A4=0nW~8`Df_Jp1JFy_kQ>>VPPX z90O(&14u{DZ8NV5GOGr`0VD@e`zv3X^&9E|jp*A)Rv7xh>fO$9Y|;u9K`Gb5OOEi} z|12_{aai_Q1}z%u`}9zMzv%GbgDPpmdZljPx_@JjAb7a?gqcltW?locagxE)w^xhc z%Z%O23|(S6#dN=tTq3q_rnMs2WB7faZAI`Xaf;5i@9=5Q_|w?IH`Aj$#|{tOb?SJ( zk;ylj!08>Hoj9&Pdq^871ta=3I;%E<&fmyE%!j`?oc`eTdW_Nhd<0z*dYkF6!$OB) zA-(NRk)-6&1ZtZu5kXm?rZ8lPYzMh@xH+;v^A4sz&~#hE{QXz)>-4eUk4gQ2;U@wC zMYE8?TkiLgE!-PKJgW6zU)(s)sK~*1LA{fsL8&;OBJ*B#s$65MjqV1gP+(mwF+9hn zFOqg$6X%kj<=R22WPn%*uMQ=cVF+^+!2Iy|>s;vZ`<29VqqId-<2$z>Y`{%e%A;5J>nqhBqzM08% zz4ZB_^f-SRk1GXE0D*oZ5wJ{pHEr&XS0gCib6r>Ga1<5ARs;RXpeXXR^z+*ZHiRU+ z+@niTeLza|0q2LiI7_IQDZPRgk$c4r{S+r$5FG7Mgy!>bJ293$`VR*UGVTg)3iGyx zB77Z4LDVw^#^6$DRQn<3=ji0}1~6hj$2y_@>ae*YR*hX~JSS*m$!qBNPe%V}7SN4n zg_7S^{m{05PJPN2ADp$DZgp~WRhvr-vc7RyRBV(!HA+6~cGUGYKraIs>m7`6|L7vx z!(4k0mHOK_vGdHQLKhfT`S8N=oP!(W>C6S)Y7NjA?FS&znU4FJ(gi)L;c{^*PqnRz z>bQc{*VsqCmBM_k0Q|nlhF`j5JRz$h7P1&5HC!yjyD<>hycl1+di{goGo@bGvzwgL z9N3I->YE75U9SNmaUn}}*#88Ql%OUz?4bBziygxPy09_LPa-;19!Ku8o6%eW`}XzX z2&Ym! zIJLN-Xf>X0BR`g%lasunJhPh2>zOxx6S-p@ypecRsl3emqJNCoBTmp=Cb=fB`WB?A z?D=W!&XYAA&@{&|AB3nY_wnY?+ln3n|Axc?BkBraW&ekY&5dYcP#R|P1=HNbIoRuT z8y8M@Z6W~h^U9b_@sVgon^LgLLI3tU>y=$)?_Un{#@_mKPywI?;GxtI-T<|_CWQ7NF=J7@Uy?XV>X1&KT|`^_9Rm+w?SdNy zxBiZY-9N`9Ir3mKNv2siw&?onoil8brv;dbFAm>Io!gf|~O1x;pmn z9x~D<;ASIIX**5As96pPdMq_v{Bn9=HG4~X3RuQ$CW^9BIcXgpN|-Bh;ObN=g31<@ z@=p+3h$=*lZ-O4@t--yprr3dy+H{?y%$Jw4zpro2JRXv|CZG}8wRw(?HXD7M9O?0# zzHH&d^|H2fuM*1nV5Udbv*ys;dqs+(`y3i484pj7YW!26p%)`th=s}E~cGvDWBvvA}Z%!=z(zl)4LTc?0D zduk)x6JMMoTi*x0fs-t9zR|zjGVaT}sShrixt+(DpDHPq$`8#4ac}CwPXR&E`pi_u zN9S;#XIi&Oi-}LSvXKgZQ)TT2af68R{GCo@hir&Mlp$5M@rb<_vZsJ=cvr_1#leL&b9(r*G0$@G-9+QqTG+v+#rnsezIDK z0|cWd%9rDx3t&`zxhXpgE(+}raJ4$xKucK*glAZZ zx$~eH0A50}ZMqV4i8QH+ZaW{WcXSiqdZM$#y<4+*N8-1v=NDTYUCVNHDjE>?c60>h z8J#bxVybbs*P8ftva^@==xKYlXJ>jU%Wgm?UAnVf7#UJ2D!OM*qufOGA$T zhyRCG(VQ0SJ%fiXc%ZNiSw;_s<}t4^BN;q%TPt+sg`bln)ddhEbYh4YUF*Padv*D! zz&hs>7!5TDO=rl{?GIWiw*sI`->M1ibT>US^Lo9#r<$}v!|97=0$4f!#BU@?R%l$D zif}+~5v~I-(6^DQvhEm{$wvvCQHpE1)^CuZi0cq&=)|W*De10nm{2M|!Qz{aq z^uIo71W;;Dk^D!3xp%qq(eu!Ble5yw*bBRoGg2{(SJMkVauB4K=QE7F%+`a?8RCLl zO=A8nz{RRT-@$0tc0Vg)P(ue!m~WSP?AS3T^Ce4ss`jljmS>_c6#hR5 z=ea0vqWMpxxTTgxe)|LyytFoS>xt`ZZr67q9^uqKy50+MC%nUQJ)Cy@()s@8qY9)_ zX^kS*eF5QCpopC+C4mP|40>Mm^!D-D*dGcNhb>Vp;`?LWLY(kiUUxdnU#Ghk`(Z!D zpC<~8bR5se-H2OMRCD}(gTyBd9{IqV*r8aqGZ*+W2mh?hVor}~RZq=LaB~V*32W<@juJnicmg2Eg)#xJZudmEP(UUVK^N2WVX^Xe-cvX|ClQN*D*yBCJGQ zCFXB>Ln*wO7vTk%_)<&qkNBc^OZlmfxex1Q&2%rb$DVoRR`n`anY`4J3ApE(XB{)u z$@7D7EE36SB}->mZmQAaTN@IR`fC8 z0NwGRb@;K0N8QI1yR7)$xf1XbR_W@)!>21B-}bpSDU7{XCPl^~o=zxR+q%EMU4jcK z>(D3|<-o1D)TSk?)w%Obo$~yQQGiFoR~Ous*RfWe^>6gA z%U}Gev3R$qK2p$u@IyN#{S9Oj);WoeLDaTcdsY#g7 zgF2BqU;VkL`2N>LQzuS@a;NYWeLu*ZrfT8^HuMqE6dD7uIke`NnrbI(iW#{ljX8Yp=GJq#?2%h fQMm!rtc>LE2b=f{oGvb7S|s7bf*JHbkMjQkHpjR_ diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index 10b095c..dcefe2a 100644 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,40 +1,38 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1679994392961 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1679994392961 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1679994392961 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1679994393007 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1679994393007 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1679994393038 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1679994393054 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679994393132 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679994393132 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679994393132 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679994393132 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679994393132 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1679994393132 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1679994393225 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1679994393241 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1679994393241 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1679994393241 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1679994393241 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1679994393241 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1679994393241 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1679994393257 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1679994393257 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1679994393257 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1679994393272 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1679994393272 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "temporary_test_loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 417 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 88 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1679994393272 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RestoreDone~0 " "Destination \"RestoreDone~0\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 150 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1679994393272 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1679994393319 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1679994393366 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1679994393366 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1679994393366 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1679994393366 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679994393413 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1679994393429 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1679994393554 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679994393804 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1679994393804 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1679994394585 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679994394585 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1679994394632 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "31 " "Router estimated average interconnect usage is 31% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "31 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1679994394866 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1679994394866 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679994395147 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.31 " "Total time spent on timing analysis during the Fitter is 0.31 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1679994395163 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679994395179 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1679994395225 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1679994395272 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13733 " "Peak virtual memory: 13733 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679994395319 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 28 05:06:35 2023 " "Processing ended: Tue Mar 28 05:06:35 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679994395319 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679994395319 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679994395319 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1679994395319 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1680020962117 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1680020962117 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1680020962117 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1680020962164 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1680020962164 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1680020962195 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1680020962195 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1680020962289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1680020962289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1680020962289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1680020962289 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1680020962289 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1680020962289 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1680020962383 ""} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1680020962398 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1680020962398 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1680020962398 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1680020962398 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1680020962398 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1680020962398 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1680020962398 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1680020962398 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1680020962414 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1680020962430 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 90 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1680020962430 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN~0 " "Destination \"IOROMEN~0\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 90 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1680020962430 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "REGEN " "Destination \"REGEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 85 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1680020962430 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1680020962430 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1680020962430 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1680020962430 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1680020962461 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1680020962523 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1680020962523 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1680020962523 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1680020962523 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1680020962571 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1680020962586 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1680020962711 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1680020962946 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1680020962946 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1680020963633 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1680020963633 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1680020963680 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "33 " "Router estimated average interconnect usage is 33% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "33 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1680020963915 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1680020963915 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1680020964320 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.29 " "Total time spent on timing analysis during the Fitter is 0.29 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1680020964336 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1680020964336 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1680020964398 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1680020964445 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13734 " "Peak virtual memory: 13734 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1680020964492 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 28 12:29:24 2023 " "Processing ended: Tue Mar 28 12:29:24 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1680020964492 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1680020964492 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1680020964492 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1680020964492 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 1680337..d454bfb 100644 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -34,8 +34,8 @@ C25M => MOSIout.CLK C25M => MOSIOE.CLK C25M => nFCS~reg0.CLK C25M => FCKout.CLK -C25M => RestoreDone.CLK C25M => Bank.CLK +C25M => RestoreDone.CLK C25M => AddrIncH.CLK C25M => AddrIncM.CLK C25M => AddrIncL.CLK @@ -64,7 +64,6 @@ C25M => Addr[21].CLK C25M => Addr[22].CLK C25M => Addr[23].CLK C25M => IOROMEN.CLK -C25M => nIOSTRBr.CLK C25M => REGEN.CLK C25M => nRESout~reg0.CLK C25M => LS[0].CLK @@ -86,10 +85,6 @@ C25M => PS[1].CLK C25M => PS[2].CLK C25M => PS[3].CLK C25M => nRESr.CLK -C25M => nRESf[0].CLK -C25M => nRESf[1].CLK -C25M => nRESf[2].CLK -C25M => nRESf[3].CLK C25M => PHI0r2.CLK C25M => PHI0r1.CLK C25M => IS~7.DATAIN @@ -102,26 +97,22 @@ C25M => RDD[5].CLK C25M => RDD[6].CLK C25M => RDD[7].CLK PHI0 => comb.IN1 -PHI0 => nWEr.CLK -PHI0 => RAr[0].CLK -PHI0 => RAr[1].CLK -PHI0 => RAr[2].CLK -PHI0 => RAr[3].CLK -PHI0 => RAr[4].CLK -PHI0 => RAr[5].CLK -PHI0 => RAr[6].CLK -PHI0 => RAr[7].CLK -PHI0 => RAr[8].CLK -PHI0 => RAr[9].CLK -PHI0 => RAr[10].CLK -PHI0 => RAr[11].CLK -PHI0 => CXXXr.CLK PHI0 => PHI0r1.DATAIN -nRES => MOSIout.OUTPUTSELECT -nRES => nRESf[0].DATAIN +nRES => nRESr.DATAIN nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SetFW[0] => ~NO_FANOUT~ -SetFW[1] => ~NO_FANOUT~ +SetFW[0] => Mux1.IN7 +SetFW[0] => Equal1.IN1 +SetFW[1] => comb.IN1 +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SBA.OUTPUTSELECT +SetFW[1] => MOSIout.DATAB +SetFW[1] => Equal1.IN0 INTin => INTout.DATAIN INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE DMAin => DMAout.DATAIN @@ -132,35 +123,78 @@ nRDYout <= nINHout <= RWout <= nDMAout <= -RA[0] => RAr[0].DATAIN +RA[0] => MOSIout.DATAA +RA[0] => DQML.DATAA +RA[0] => Equal10.IN3 +RA[0] => Equal11.IN3 +RA[0] => Equal12.IN1 +RA[0] => Equal13.IN3 +RA[0] => Equal14.IN2 +RA[0] => Equal15.IN3 +RA[0] => Equal16.IN3 RA[0] => Equal17.IN10 -RA[1] => RAr[1].DATAIN +RA[0] => DQMH.DATAA +RA[1] => SA.DATAA +RA[1] => Equal10.IN2 +RA[1] => Equal11.IN0 +RA[1] => Equal12.IN0 +RA[1] => Equal13.IN2 +RA[1] => Equal14.IN3 +RA[1] => Equal15.IN2 +RA[1] => Equal16.IN2 RA[1] => Equal17.IN9 -RA[2] => RAr[2].DATAIN +RA[2] => SA.DATAA +RA[2] => Equal10.IN1 +RA[2] => Equal11.IN2 +RA[2] => Equal12.IN3 +RA[2] => Equal13.IN1 +RA[2] => Equal14.IN1 +RA[2] => Equal15.IN1 +RA[2] => Equal16.IN1 RA[2] => Equal17.IN8 -RA[3] => RAr[3].DATAIN +RA[3] => SA.DATAA +RA[3] => Equal10.IN0 +RA[3] => Equal11.IN1 +RA[3] => Equal12.IN2 +RA[3] => Equal13.IN0 +RA[3] => Equal14.IN0 +RA[3] => Equal15.IN0 +RA[3] => Equal16.IN0 RA[3] => Equal17.IN7 -RA[4] => RAr[4].DATAIN +RA[4] => SA.DATAA RA[4] => Equal17.IN6 -RA[5] => RAr[5].DATAIN +RA[5] => SA.DATAA RA[5] => Equal17.IN5 -RA[6] => RAr[6].DATAIN +RA[6] => SA.DATAA RA[6] => Equal17.IN4 -RA[7] => RAr[7].DATAIN +RA[7] => comb.IN1 +RA[7] => SA.DATAA RA[7] => Equal17.IN3 -RA[8] => RAr[8].DATAIN +RA[8] => SA.DATAA +RA[8] => Equal9.IN3 RA[8] => Equal17.IN2 -RA[9] => RAr[9].DATAIN +RA[9] => SA.DATAA +RA[9] => Equal9.IN2 RA[9] => Equal17.IN1 -RA[10] => RAr[10].DATAIN +RA[10] => SA.DATAA +RA[10] => Equal9.IN1 RA[10] => Equal17.IN0 -RA[11] => RAr[11].DATAIN -RA[12] => Equal7.IN1 -RA[13] => Equal7.IN0 -RA[14] => Equal7.IN3 -RA[15] => Equal7.IN2 +RA[11] => comb.IN1 +RA[11] => SA.DATAA +RA[11] => comb.IN1 +RA[11] => Equal9.IN0 +RA[12] => Equal8.IN1 +RA[13] => Equal8.IN0 +RA[14] => Equal8.IN3 +RA[15] => Equal8.IN2 nWE => comb.IN1 -nWE => nWEr.DATAIN +nWE => comb.IN1 +nWE => nRCS.IN0 +nWE => RAMWR.IN1 +nWE => BankWR.IN1 +nWE => always7.IN1 +nWE => always7.IN1 +nWE => always7.IN1 RD[0] <> RD[0] RD[1] <> RD[1] RD[2] <> RD[2] @@ -173,15 +207,14 @@ RAdir <= RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE nIOSEL => SA.OUTPUTSELECT nIOSEL => comb.IN0 -nIOSEL => always6.IN1 +nIOSEL => always5.IN1 nDEVSEL => comb.IN1 nDEVSEL => RAMSEL.IN1 nDEVSEL => FCKout.IN1 nDEVSEL => comb.IN1 nDEVSEL => RAMRegSEL.IN1 -nIOSTRB => nIOSTRBr.DATAIN -nIOSTRB => comb.IN1 nIOSTRB => comb.IN1 +nIOSTRB => IOROMRES.IN1 SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 3eb0cef74c25e9861fcbbb0ed461579bbe41d5ff..a7019e119d81e8bf06176696ce42b7434319c731 100644 GIT binary patch literal 425 zcmV;a0apI34*>uG0001ZoNZCTj+-zLy<6%(SlUZ_2y1B5z>%heP!XI0;c6K(B$fzl zjfu3{?Z4MRy1P;$jBLO6#xwKg_rR4Ndc0Ti45$Fr%dW+?XW16PKL*)U`1^`r;ypUf zqeE;DXTNYPHQ=C<+Gx?zMfTEjzahHWy#L9x%xP1waf{&sjf2SbE7EMojH1}iJ3)&D z&a|n;JYFiUp7EaRQ;!#mkqO%BEYN?-5n7XOIGxuJ1iXQ=0 zmYy ze#|z0LJv8Mqg|9wmd{lM89k)yfMr`hjgs&Kb6f9>(;GYr6PgCB2+}B~;akQvO|m>a ztn+Mo^*=qqequ_Ybbqa9NeFopBs94za+YMW>?FaAN}R@Fy`a|#J9D8ruG0001ZoNZCTZlf>|y<6IUu+&TU5Ng<^fg_s|!iwN55U!SiA+bcD zYfPl7?Z2;qY+ET2Mz-I3E%i0+fU7Mb~1}HB5uxUxR2W{J12TxDS@~ zU=h>B>2Dkf1=z2I(pqFY$h2MOC!*{1=O0f?pVm1Ww+PPAScqJ{JW01qEAq{}6SP?1 zROwpuAym?l2U{UnTN@R4yv<1|b5 zt1O*f{ZCJ@7wb|e-CgRj2_YYXn8r6nmQ5zhjsoqn>*DV2?gR+#?(PuWxo~&=xSzMa{WCSYHPf># zr%(6Rel&v3*Mr4M09W52zx;PSsQqvF!vBBM|4o!N|L>j~*l7piwCbD2=2JTw{GaVa!`Bk|Urn^K> zY&Aa4F5HG%U0?U_E=pyLKVBMM6EPaO`pmC2eM^n;m=U-TiYXA=7magdW8@s8CfT?_ zjx0HKUEq|Eb^MT=V8GVgfTpgpf0y2gxu&k{sZ>)<+*|$BL(c7r@sho)^OfOUCx5H+ zRdvoq{oQ-JKxmBlph4n?1il{Szn_Y7NkQdwvx{)g95<4c$HoO~Z(+yNJ#tgye-j&S zMKrrig6qcaT`Ku0Fsf&sJ;$nc=BQlAy{(v+u8UlT5xY8%34zdH{QMK^-h7>t*`3+r z5>g!b(fj$z$$Y-vx@tZc4bYwgYdihvErOOO8LrVZ64<(J#qo*K)Je#c?Ct2c5YWi6 zfVYdUfQX*yjGX(U8$a08$lYt;vVfaQUkKve)D{X+gwH7Q`**O9*}4pBZ6B9>;C6Zc z4k9YO==L1@2v`-n4M)1h#qm)JP+vBWP*u5+@fD&~3Hi5m+4hf8v&l@7oYo^(1!LXk zD-{I49}Ezz@3O;Gz8vWNredska#Kc;5_zsNku4}Sk}ol#NRDSRMX~jR5#VV5@-b!Z$dwU;n`Ga8m0=YG1Bp= zT~8A_zkbV3j6NFv=52R6nH7IYldtivnm=wSy<9Cftkag3O#M(K0azHDnH)?A??f0d zb(a|R{rfwYTwC%Q$RRV$dCS>18YuRm2qj+ESi(e96NM#7S3V@@y=jiWpS3Zg{ZX#$ z(0#c%3*bnhK|r95p>EY^!`+%rCVKaGHzJ;hRGyac6MuACREG_sM*9u5EVFH6+5KJp z(FNYmPEPyGLH+)#yWny+u^v(^Pqjvt9i}U5#Aoc_gIMl0%%<0VxNk}Z?u7F7oTgBb zTi|1cqmGS5|F%jm%~@Poj+M>3L!b&dh9RvD-%IR%?zxCB`2@x z4*Fg`MtmP$4&uCR|F(@W{oKcC|09)WEea=FwpPMD8-Q73y5{Zswu$!faFyoeK zTD7wwDB`{FxV?ImepY`M3a@M-0>N&hGa7F#Zy5n!^6`_|*xi)Z7@LD#exGUpI)9D3 zagLpsl>oGz*3V(k7gxFjw<)J$(k5Y*3n*$?`HEuV+1O8n=yAVV_noq$I+K_8WQ?ksSQcFXXL#thvQSPzJQUt_V~^hpSC)_q~TuW)dYs#$d#2ld-RzPjk!Oq=|oC&~Wub*>@&5 zxEto{PJGX_X;3imz;EMqn;Kc5h=WN#T8&y$wK2;-X{${_t~PF4JY2fqMs!V4aeg4L zLSot^Od{6Pq;-g^!#6Ehga$9s6Y^)?0VJpAUFsi#XubJ*A0PkkF{P^jv7xr)Ik5d? z3>kg!E>NIz=Ap5Ld2*e~L z;KceY@Y*+EqQD2dqY|UomVfbh^-%amDe#g%Ln0FWEtq3*FkTsLaRJx++*1HL-3VjI zV`KD@2j2|f-HvGOI+?VE9WiB{S*`f~?BHO`XXDTsL|Ve(np{cz6xg5KHWBO9D{BXD zs+lQ2=Va20`Ubl3nRT=?euIX?%YTodJ+-V6{rBb}F~2mtTv<$g*UMwE>3&Dqe-W>% z$CoGEuIoTpfYjAC+HV4H2N&<`2mPX+Ll654WD*0EjnX(wzZK#XCp1=pwem-a#TdZw zs9T6?JFS`i@jX<(_=FaI)T< zjJpm$zAYI|H4h<45YOCj7V=e6IXR`ftAT{nve--E1vLtY$YKKYLg0Dk+5)6t)+9*#56=Uds$+&Qah^HK-6q$Ivc%V@iKiQ3PomLqk=LtM^Z z+lJFId{1)ll?S^@?NdufKXMu1{dXw*gKJ=+*8=HsF^TxQ78U+#CNRhy@!g$S(v273 zg(Br8XpJ?CfQ^0Z??L$%`}l82hVna}UKB2BOn~oLrs>Z@dt_q??j(Er>5UGpbHD!D zM{5SezBG5Ep$by+*Q)Q5z8Dj6wRfZjy)&gX2(Ck+uv4*=TdE!$< zFyRvdO_$=c`Mtl)NOi~}gsMQ29wownX-{O~>R!`QYQo?C-JYcwR7`H~gE4_IQ?4bi z?Eo?L`hfw7p~whDNykoXV+3sBRdcx24Xfb0uPXrM*KLwN_h}p{gRIZP(2Z@+oH@JG zFXLJnEY*(q^;u}}!$5LO{saU4>uKjnm4`kHtxZu^6&bxY! zQ1d5`x1-_+mine{FzrSEsu47Bf92~0eF>ZLaX>=dyjJMROYVorn3DP_y7__+Ddg8b zW~e;jRF!5E;NYFZpRV>NYsCcHCdk2c{$XgvAmp{gViA;nmp-jZ!MS;%Ha=lKv_c)M zZYgCa_)g=6X6>WGf%fOKmgN*st@#AIX!aYXLr-Lwc6dYlmCj;y(={~<4thpIG z1h?Vg7)0LHsNTuS!islIhM9MfCpcsx!{z$D)fxntMRKjyHgD(VJcKsAj)Sg*$&@P! zgwE##OD|3{m_i%Pm0Aw!cHa-Uj4-T8akjl}LDQz|Y|-i+ZUy;I6LfdI%K_pYGbKuz zrkh_866f9Tvp|`m!hnKpCv#}nEk}8|6=lLz5fhCml@a5R&=Tx6BWmCT!@Z&^-)TP`mJ%Ys%U=-KprAG<9K*sD^ zL&3ZmLFxDFH>G0~+|i2X=}Ou+4(6@L#PyhuUSZ(HB44I&I3UzQ;5UB`)^d4*;HQ&?>q6F zuB5$&UUQ$$zJbaICc~Dtjl&J_G!HF)kc=};SY2c%4@~B-wcUGDzP)^ z+I8b60ICPKi@m?x92DB`f}`s`8I{4<*YH5<<+kA2o@C9>zG+$ z51s}te@nrmd==0YR=8Kr33ZI)-k#e>0iN{sL?k&2qy_?SWafM0ot#c_M9{=lh;JP^ zyFjO&c%)vLZr>z-r!lCj+1`p394#Alwy;&DZ`NOm&rOY$PmPFh!opc=PCso#kpRTk z;n6p5We&=0c}>t73%rGXaCtOqQ?obh})QSHv#QN#>zQMQ>|Sl7lc z1h`2zP``-GpxdkQULCsBv{|W3mcE0yEcm9FAR7ygp$sLZhuD{IhW!f!f24UkuB|jn za(;trcksEcE9xMsxxp6|4Z}LnG6Zg1F*dwz>gS)kig1sMk{tOP{2jMuZn*bwDdcHL zD_u`Uk=hWJ>AT*7a#e}Go*YMYHfmrvz1%0wyC)kfMO;5vg}<6j#M-L6IYzWOY59u-NeRC@(vYycnQY1#+W1MAvWIzWJ4PH*DKP)}aehJ}&p`f(4nW6N(A~$6 zQq0J5g$}a}zX-2`fvy45C(>L)JonY!v3Lf_6M&9kVb_3PgZa2q3{2t4uUU?vomS{S z_+iRXSg0!meSa0ua?_|)G4r~CtlLup$$(hOeJ*)!^TZL&vWa<;9;?n)~Kiry?| zD{1I0O3>H}-w$X&OLdR{D|ct*TO}MN{dj*DeN>mRu)R=`_tGXkc0fOkKpr9+>OPIH zA=T=xURsBAmBc%p09-CK%I0uN&cZ*!R9&n011#(v$!>Ohl{ug2q9|-m>k>LEM9sXl zDT|M~6@#xiif>p_pRgB;?O|C{8FRxY;^8B(wMr37TxF8uymSYIdJAlM8Xxf_eAj=y ztZ)|n?cOnisT1=$Lx=*q|90WEgt<2yYYjzSiGAGw{XTw({W$005SlqP&kQMZz%qw( zw};fZC|Rn9DZg)1J<2t6g1pqX#10`rrff)j?cJC-S^tWrC$hwz|J>rkCv4>uS-Q#Y z=MkqWtHz+|x)cHI(CQXE-xun-RbDzPGptlet%S9{`+=-t?Xm!; z1eqis6=a2Fx^c8Se4?jk&Nz3~F@KRN*dZB*1!KK=T#m_p@fth*FLge`X=6kkWg()` z9+Ir{oJU4wI6nGCv#a%TFiuJFRj=M?#A^__i{R+VYwry;Gy>(`Cr8I#e|H$+=|V?J z`?1}hjSdKpFvA;}lE7l zwoYKe8q+Z9zcx&V)6`*wQIm?tkl5i0`y;HN3id8IkV*@YQ6r=D3x9$`eThrK*hQzT&L;s?$mOkKUi4Yd`a7<6DTv#8lS&mkT8ObkP>CDP%G& zZVcl%nOMasMX1*p^@BdXX^|JsIdwt_j)C4s(;_QJUYqv->-y~`60YxAs()ZJ77&Q- z4~oD+$COPOiZ%Pr<@mQh^!xI?J>Xr+Wzk43;`0Xxwo_#aex$Up3RmgSoqxz;&+_)v zvwlNe`7o9-D$kzE&7#E-DL-TvR)4IELj;;nA)|5xls?P3!69mbn+&byaPK9ZI@;Z< z0L4WB9ARw4y*fKq)NG!m&s-qx6~|-9miaxMtcaW>hdyOy6Z@*Uv>KTYD8CDZGugOn zzU1!V7S7Km?uTN6ddq5imM5W4rsyJ7`D9D6T`4j7cM=fSPeeWLd^`R*W>UN05eEU# zJAykjUBjwj{g&?Qy8l)5V0qv>OgrGva!9cM+BDVU^DjB=!3ZZmOjYed!yZT-;i9!N zAW{B;Cv{dz{Om4=hkXXxVlR0-WruJ`^Sd&;?JW}odky>Cqcvmv0*9dgqp5eAVDN8p zM-N)aDTX`tNMD#%u$UB>Dc??4I|m;OqYJZRS?08uOc9dZ6qs_l3H`61w=7*JOYg9l zm^Qhujy{Zi`y;MwolsPbwHR+;=j|KRdB8jG4U1OxDZCX1ynz0(J&XQ+INQY#oR}<4$6C{SPa_Y13A1%SfrWQ->zaTd{yGQd=VP|KPdHmL+g6`y!gRgw zdN*5Zv9C}fC2gs5HkI72ei*amIW_nqGw2=u$^nv&3XR|xU^(wah1&NYMN)$!Qj+_C2$PkGuI@(kK-Z29&~BgGFm2atodjBgOr}!p z8p!?nF%w?UY<;ReT>3Q};hB1x?9A9~`2Y$gdq$z1->{;%D7xtw>MqTi3Bw50U;0Iv zu+3v&F}VgLX}5z*aU|E8dI~-*EVOM|(E-Jl^SL7m>Q@=uh}6HgrGMx-1b4VX!_oqZ zTCl@PLPcTSyQZ>lU=bHQT!;cYJqo5SjF};B#6Nlh_6eBcI?v9Tof0SPyPy=l0p=S6 z5hmJ1LaQ2HjXrB?7sN-w9_j{7B_W+O$^yvT2dTGOezcRDrQXp`8IZYGOHC&t@GC}~ zVr!(GP=5Q~S~1P)KYo4aI`AE{SnC<;ba3Iw?$!u(O=$@EpP*=-dBy&wT74Zt{Ev)7 z!2WC2RG&4`(Lr;H$smS}ks@XSwo?WBU|{8Yjy(z(TEBUQGu3p*J=XV#yR@tCiBCpv zUy+1WNEu7?I_#NLp(4zrN{*MvdhL9b*)|#)@@fBxs5Z2i5FQ4lOM!upO>}h`l5I*l z*{<)~&;C;UeuIsQ7@w-n`;_`cxwbb2XL$RZ_ZYH$c3Fir$G3{V&HsJ|aJB2t4(dQL z3F(3byOaBdn2|E6ADhR?t3u5?U^kE2iVIo+-EJV=Fzrhe!CZ^+Kzp zdX8d&5JGujkAv@!K1Xl^CmZ!M$>RRaKd?eqsP0MgtBRlaszomYmAbcQa89^)AsrIs z>o4zEw@%vv?sB55K!*2hK+wfaRPG142`#1I&XZ42p8~!DMW&VC0<78z)nFjS47f<| zf=9UIYeIC2M)u{GcRvfsV*%e12-jAfn&W@JF~t67xOTak=G7f!3cF2a{Qjc~j^|@n z-yX*wSbZZ32J|E$Wwf}7`8r<-sTNuM#!K0B0(>6ySv6G)kSlO?y~RjxBH?yj zJu|YucLjw&Qx}7k9{nEo z;r^#h82Dy!vqx`-9KW7EP`>SMeIJTza`iAaHLh}SS5ZT6$i^k=i?m`;_zxfzyG5-^tx`?L&n8oF5oNk+0YZ9>2fSXw?a=N5Gt*47>_nyY3j~HrQE$Y0 zbw?2X8|;iqmvm2pg0 zDW~FsT3K$r46EnyL0k)~lOlnioz3Q*90*%k~n1(}Y^kq3u=)xFonD?yG@>L4|6YYBJp{f+byk7~aw?J* zVMU!5V7u~8o&Iojfh>!rM1Hn^C*S{jH>u~9UALQHi~m_RP2NQIkH37C9DkhyVZ)w7 z%!Vu6>c~NcDR!flrRsLJ8?=^Ig^yU@B}Q9M3K(V))l@>}=humZ-i)ky4MkJ1hPP@) z_j48;k{CjxFkHS-vH>F9YDC*x42-1Ol~P1k_X2eA&|y<_)V^jSy*+xrB|p=Vpg$o; zu3!Im0f%mnW;$ABSTM zoiB7QTYZEcPkr3I+lbzK`w#89>jK-O7HwA6nOxv^b`g|c!H#c{jYS@(;RG_z&^leB z`>LTkaHw)1rEQgbzatsY2=ixkC7X&S(u82k27LkhCQKjuDseym{?W&3@>2)QJMg z-5)%ORPw4F-GRjPbb7bln0p`k9QA0XaKqas*s=xav)>GkLI&!vWxUSDJB~dFkmcUw z(v{pWB?iuCGM?mb-W5|+R_<6bK^G4PPtKLRBQ@MpAOA8y*BiV*6US6j{dIe8UVaq_ zEi~N5B^tvvqhwN(}zC-VHskV>_1IKnD2q<-wSy_ig7hd`Gg+{=V8bOp;~H z4ognSPnHmD?R#AN!>A2=RN?aVrEzg|<VmUKPf zcVTN@6So%qdnS|C&YS7`ssQb(JI}&!y+fj+bTop*S3YFpmmtLX8xR+sgwE{C372=G z93q*t;(ErAz05*r6{Ya&c=)4=+_DeX9LCLA3X64O-<4FjFx04J!Z+w(fr5b#6O3vANu%y?v%YZb)z=NX*Ux6}>5xt{sc?`7j0=jxs@XWr#fVu=CAl&iv(zptUC z8zyU`=(7)&dn;pd(51v8qA@;dW5B$k69%EqYzF#i^ZS9yXhPl0QWb9G+3roI%}2vBE##^IAawtyE?z%AbcD~48+;S~wsQ0Ccy zb|LXI4P2t+(%Joc_5&Mlj+C1N`!J#-_69DS%{kJPHM^w40jXQ|+`_^PMt1*EuJh6I zctOF=k!f@Gi!tv#A`T@L8zG-$p=08O-SaZp-Q@TSsZ*zHLQAou&I6ffzv>X6reF1^_z>#-SEU-v^0)Y#91A`(`Ex}b?pS$;L`wFp z$kYyz{GaX)hZJHX182EHEkAFjPS~p*>s!tr5u?_$DEsmeA$Q5qJW zH-i)USL&wDBi2B|b8wvSR73px^!Ff&*^g)pYx0RAcT6wA&^MF$N|itW?-%XPX;+0( z`pr~j{CT_g8!Qt+)rJqGdA&0Cb8?550Zc?rPkIoGI`jlpJLt;Y;Gxhxx`N{76gP~c zCf~gsI~xCxvbH_Kw(uyP-k?=v_emPS%Fj zL7Znlvsj^mjw6eL<1`o`%zHw9oZi~MTE>GQ8kMh~|Amj~ke+$kLgC9Oz>gF)BB{$w%6X|1yx>s*{I!KUsXr`F;j z`1d||m6S5wwO{0P@`6Vf3V&_I%hrl`k3tmJ8;CS2H~1QQ;6?%R!WRpO{LpXN6F*eD z`t}q5e1(rtl^IvaaO8RfWVh=0%+zZup!wY#Fl)m=#P~H5AgHQn3RQTV*(VWC8Cn9J zX9+UzRFosNghB}H!Vi)-_tIpq?G_yg#XTJlsqLH~r?Wy2hwmeOv#W00MWPGsEy5v+ zN3tUx(_3YcfD=#<{5r-wB-|6a?W`7d%DaB=s95WMa$rCwY_K}>TZY-rki|7T!$*&- z^ki0QuXxjSB!3K=z_i)vL=h2wjp^eaO|Nhm7(Z)ZT8&xhZ#Nhd=ppcV+cEg?-_ zTr@Z$qgrkkY+Ll7Eis+w*u zBD;OEa}pT+!Yjslqg44Bq2-e|Up3ur;y0U65-lcg^2UkFzryQ=+=))RdyIFf;!h}; zWZXJQFWQe+OMC5^{$8+yDDtc|lbX1e+b1r(d25#WiqZk<66W$c z=%Y=vc)Y@$Qr^!wk|9Gu7<(TL{T$W;xsGaNZt?c6T35XD{va*VKO;AVZZ@n+>Rj2s zBB~De5*7O!YO)BdHe)3@7NLwC1NrE$UsP4`i#E+04mEq{c5iSs=~_#7P85|QrfsID z69BTJJ+rpWscF^^-?1$_RY+Bb+Q@x3NVAWzKSyT?x(z=k3 zx^pzE;pvsXo=g1JN{L7@d$niFQ7DqO1Hj6C8beE~Xvt8jUntN@MWs}2Wi!!fDT_sh zca{1~4@CoY3XSZsztJ#B=Gxhl^ddI9!qVqsMYYRTuHKdKs!C8v&TFrUM*kP7p0!vu zobFN#+c1#9E~*_-v^#qPZm_`1|0HwaoL_FOn*y{4zanggl?!KEi}Vs>R8s;~ z=<2|yoz)7ph+(X=T(0HMRbp71*Bp8d)SJ)vZPe7O&Dt&%=2#?k z@~6>oX9EQ)+>R@ACcHH5BP*@-Vtw~4@rfJL#a;MQ5ar>n@f9qkK0i%?KF{ebcjc$f zEO<6j|Hq;Fys#}uP=RM%p@0Ag#5XC97@|+Z_P4`YU>cbxegEw~mD2c32 zVi5)O<;!X( zSKRSzWoA05Dwcx`_u>%HoRhQ}Qnqbhy3gMy;VdYnB=W8wFOi9@hReE@%sp`jc}IS| zh6qNbDXnq;Nby?wM>ei%L1)gf1x7-f~V!sZM(JsyqET zowq9Q1M{7NWA>V&ZRe| zJZ4E+gx>6304a0OJ8z*6-9y#{#epC&Iv;3DjCV$NrDu42cQX$3Sr*nX85~}09q-Al z#7oO)2@W=!=R1>6-AV;oaTF=z-FuWJJcVLykDL& zN>7UPi|v+@T%26Pyhf8chvAt%`6laU%V#gePntIXTH}ftiKayfmOVJYO^T$3qw4Zj zORM-uoW9Sk;7I&+VOLgs$`JkXG>8S`eRh|T?RAp8dfvl(R}NuzOOKrrY`utw42YhR zv_e-vxCwCc8r7GR4F;XTs^2oYOF>k2N=1+ibuL$>Ed)C>g>te_S(tCowNqy$Q^+yp z?#TgHtQTM#OCoCxY@;rFw&4y+45MTDYcmnoe<&aB@_Fe;E9nyZT2Y8GMO)f}P`*RM zk1FLmCGznD+Hb$I<(H_w0&m?d!p<7;S@CS`&;T}3(NFhh?7n4aHf1eO(2FyZgYI3! z7`^*_3jeCsGeTIYjI(w~5$btLB@JJJ1_*E`Pg1l)p=2A1ZhU}z{fSzH?($HwMgg5| zKN)fNSLJezCh<7&a5<99fn}d8zc+t-<<`yPzR4`5@QO6;K=X1ewl5AOA>FF~mhMp{ z?PgC7C-B5MjvAq!*;E};CMqv~ms5#zU+>q_|pH*PQNkY8^`oPv_M;-D@~8n=G9mJAslyoYyB)^o4wFLyhL#N zqCm$sQqOCu>*LVd9bcoYTaluK6L%@sA%uccks8+8Y_JVhLk<7 zk`ki!xY?Tb!3Y;V^zEA=JB=Xp`Pr?oy<$&6!g!%s(g?KrPgEPW3Id*3Xb=bn`!^G} za4_oSPwTa+S@-Vv=Qnwk=RjtkYG;V2oCQS{^S5q@peH^p-AwNf&lSst7zhA1f~@Z$ zGy)4N8v}iJ3_=Rj2}>dXe?+&Ie7`%`2G7_0MDj;CpI@+8tjY7OneL)wb=_x8^>){# zgs>^;t$F=?$XZ3frYSO`5BD)qEzTVCte5{1+;q;xjJ}V1>%eHKt!4XQf!EtFNwa&R z1nK?SH5a$0(TSBq$=swy#{u}d)?6^w5scjWw4JoR=0@K8219{Jd-s+0UDz~tRmXle zdjQ6UMq0T-EegVhH)p?d5XMHkcIKd!ZvPzRfnR!Ox}@T@xe{WXH#U>I+q#Iruo5}S zalaOit)lj6_C?@w*0mu;M(k(NAKAPOYn3G^sFDv=2*j^X$wC8FsWd>>zez-yc<3&c zMqsiwxWww0Pu?j_F1rT1 zDW<$z!N51U6GL`Ze+M|XwuLPAM3M#6u3%|L*NFor`i{IP=H zJ9)Vmk8O@)JA@$|@YVqRD<89Y!Q6nzB^Yzw6x-H^^|SZVtAGJyx)diaZ)HoI_tF-4 z5>77_+;^z;vhTFZ%)#r#jnk+n9GSe`5*wcWwE4ow6& zunjt)lrOQKcruz_U|I|5?B2l!X!Y>6O0k<5h9LSkE8xGh(EFL9 z|58i9QGk12vB}dyBK}gVz_IOuCTgr3jY;e|5V!hR{{;y}z*;D<`6P?=i%|q*wmjKw zM1S=7&b8BGU`&pNnsS>9R?`(k*_KH$bhFN3NQUVm%vvTeu8&}M<6h^8i`X>Rd-?~j zaqQo(FC6w6XM+;}d(SVJoe41eTA~d}!C*wWv@aY>BP~%OtHj$bvceoVZCCbvkRXhH z+$rc;jIHGOzwku+@DIn5Q3^A1V&!~ewj{Xfn#wk^L6PRe6aA0}FEEwAh&Dd_FayF& zxXRVA7s%6rr@pR~$lvdb;2jNY$zUFQ1=0GMU$Bs!Lkb;M1|wQE{C;MRRuh>+Mk>qX1qhZJ;%wdS36L3jaRD{ynOA5s=CUC21Y;-dP#fcx+ z4e_mTt{6|(tmZb5cHJb}pZRa1){hXU@vcLp$e9WJ%n#7vcd+D16%y+6*g5t~YP z5w+ccfXGfaBDXEm4LIuY9UY^-Sl-MQiQOX8k+b%5i_I?ANh#<0w8JmBd ze06HtZ}fp+bbryt5F*fC&HRcS_K}*d=)~j^WfZzXitJjgxLwq_X>1%ZvhkC%6_Knt zYOm-z$ZSYxq25juH>=IKy*zY0o>m|HiaasG0G(NInHXx1sfbl_nY1f99XeETbq}@H z^(1#KXT5Le5Hbo})_z3}FumY=?5!97&bHQa=IQIK+ zOTH$@`^MVn|5Spb-4Fgp<|*^_Q#2oWp6Tx*3}sSZ&I)51R*SeIHbHQir?TQzl-715 z07GMRoY;C-<5^I+<)nR59XgpHK1Xikd)Eb)`Z0FH`Z))5vW+|u6DF5sF(f}(vpY?) zLOOeP5e%5_Abm4bGKysgsU1A4g&1aUMlmmh;??zOE-^ zPRr_Di<52*w%r0qC+4o(w5}q-5nKNoIQO=R#BPmur9B&|-(`gNY+?za_uiFpjw7w- z8i96aR@Yha)cqH>wNr?df5pwl0(i%BxfsFPook6=kB#Uq^D_|fY3RKbCl!AVwVhR$wH9oYuXh8HJlhwi?w3FE~Q<#o%mUTF03h(8<}Rt(~P* zyrG*b|Gu?$k0wVoV?z24?bShbAT{J90=s>W_+aNZ?{Hy^wHW3`A#(|QejJy{Fq1(OolLfo>#jFFSb zri9cf;E;`cq1-qZvYXjj1MB&?J(A&!)|@!CN?!7$BF62 zP?wsbnPLC#b;orkQi%Li~G%&3imOI zWh!D9f&}jP0w>PyD{$B>1&il0hLFR8KT|oNPxJF`f}IZrPaH{MLdPtmFSczdFdD=d zo}!`f$4)&0b8#dviMxK;41ysOsmWLqFSQ$vGa~OH+8!cy^vIa-eYqIQtSLpu+7Kdh zF+31diWnsp`;_liPfFZ(*YJe1W|Q&vm%d(?LM+qpEJ(+W%^2%MX)Lv?cxnL|lhS=)3~NeDI1^&Ybp?yPQ6!>620de+ zU(KaCx+WU>Mt}XLB5qb0%l210gxWGjsUH{yUw1iTblfBn$2fGEZMJ5v736?CUvlUj z_TTwC+&k0p1!$)m*bY`1e+jdpTky(iwnk}JcGi@~JD|-sl_}pLJ9|>QL9d;~La)f> zTuXr+6Fb)JRwRE5K?p5L@Ny8;9S;3!urcSSuO2wotqB4B-}YbZMP+ z*7a`_oMG)gbg$7wrv%03SUM;lx9~TJYOWW)R!8%)_gAI4UtKErAGEZXNxwSw(7+eF zlFN9UWa`G_)^!>L1b*;!b`APJNX1BBTXo_E5e%8=rlVTN*p8{asxS_N<=&v6KNTbE z_%li}4}fZ=V~A1B@v~Wb(xC0}jADejUclI+J_s5RioH<+tA|&u1V@$5@1ba}Ujwb= zog0QXX$>}zVG3r)xp!9tHth>T&HmDCbUhiI?y;m-`(^g!g4kY@VU~*oZcB$co=p?R zMe_>-nMV})+L(R;M)w$lxP#l`c}2dKOwT5f4e*-Sq=yCx1;jvc6;BUlz!StKk>y;o zP(FqjgKOjKnhiG7X(%zH|-A6Oy%q~Gt3e3F5sV>V&snG5_^H|Umj*R6l z6|p#H)ttxTp(Zto5uz~aY5OeX;2fRi>$;GWXgHjEpkaP8FcNuso|-aXT(cnHrNN5vX8ISF%31>) zhsA|rN7f}{BN{WObzPiBMw|_QM?m1GE2}o+FK+8VDX8baEo2~*Ev$Vwu~VGUgB8| zy82wZvt-6jE*1mGwTjXfVodFNFoJc>ZI`5T*TKNgYFwXVXf&dOh6yIf7Lxs!BZmj z?`EJi;~6;WB^4S+B<CUj;4_Ew}zwQXpE@3Xmc*I=LusqWxQxCifx52C|fQ712RnC7Y;R7 z0pBvHX6po4iMv5qTdo<+;|zSNm{kgWjTc!u@F_EW1P~BN*==2Bf29%vYpMeM79%A_ zF1bbb-vTyyDFgRo@9*uuYGVm0^!+2M-TdLxQ6)pgN`IyZ z#X70X*9{Ry6YJz>bQ)_&LkvT7)N_q|EqJ$275!Z2V2vQS659muQAYI$+j1b?(S`pq zjD4nWIwgH?Gb6C}!y1{Z#%fNcOp+`%*rwL)bt7blf##kLTzUphKA z#I;%gH^on|dD#QzTH?!LNQtutiQGrgZo^xv3)(b8Z16g5FWQ<8gSo%%fxWl$6xQc* z+Ktqy*EH4=eGAbUoRQf%L}hJmNd2p|PP)}%gO8AL^1> zLYN_h11pj~143e)PA9cGK(X(zH|g=mE>2>4LD;k1cWHQFK)Uysx-O% z+F2aWHUrbQi7YFPU^5=mL;IQ+t%;7@tNqGJ1tzqHfg)r+Mgwd|=^@%FI8rhXib{lE z8)-SupYo^a@rpyzVZ?L=)K#NGX6g(ch4_NMb}ZCD+ha)7cZPo^_UUK3GtZ$5de0Df z5>BO*2<-F#q%d-C$Hp$68r&DZ$ia2O#Z$G%IfZfyPUX2f!6r85B<+8C+1OEcO+R}> z4|RwcOZS>u3tZEhtz)MP$m-}o4J{k@93&_9%m96kqUcA%4gYw#65 zFLus9|M>II1TUicB0F^2_?X;M<~~!RE{j4Th5dAXeGK#k_#ZqBHg)0=uyn0_j7w24 zGM!U5f_P0%A^YF#Gn60++4F?d9pZ#B;C7&C3Dhz%qCs}4Pmio)l~KTZAJ z+OT^qK}nbN=*tJ`uyj`kOKK!LQ5QH9VXNkC&)qb10i4E{^}Z{HP5-wE#h+VWu@az(>X`M@ zoOq1hgzUE}*DAwxKN2=?VmM3?#y9U}D8a<~W|@nr1!tmQS}1E-rc0rc&liZBpVpHw zOo^Qu>{jWgw?susTg$!AexPLyD*(;2m*x{pc81q=a%1G5Ts)^Lq-ezmx?pvuKhmYec$D zspPtiS3|a$PbX7DE=#vP2_QiYStSxte^xE1Ay@jDvsjq^!xg8YhxD8Lssed zCDjnWW7bha5W30O1>?egP*Iyq4LK!F2;FSv1vO+=B!yJ_7f5%VTVZFfAt;3p{SMW9W`XLXm%T25N}5<-p<$)C`@RXTW*t;Oc=Lx*AQ;{T~iHlp@Flg zA=_o`S<`L28nQ&3j8U-~L~oVU;I%|P-6bzvvAXICuo-_op<1KHAoDjV&8UeYt6d^-WT|Ei?}Tp%?_gr z()p}O*x#Mbo8*N>3UGfNBJjcrd4X44xG_oV@IqQK$MZrw7?~F3l;FBdSgl~&g;Hvm z(2~xS=!<%+zr#Efz{^$c8gaaAnH8kWEs-4&*$E>H()osIy2`wPf9y(mZL4u)lk#AZ z;Pr|W=*HQ{6>nQOPc3l$MX@|BZvG7yOlYa(1&ibZ_;gkkf7(Si;*3iRpJTSn927sr z7beyzdQY&UhETiOF81{Sw-d6S+hNzNvjsO800>Fw)e=ivbn`#!V$2GmEtZ-}P8H1t z&zkXD%|n5+ysq6efASV*VQIYquW~Vk7rl;J*I5G#+-my5jjqf3!I$kES8Kryvh~7k zyEyt3G%x{f2Sny$R*MOc9D<|B?rTj$wgjXmAk=R+jmQP6CGDothIOFZIY~IC2)PH1 zTAInWHv-*OODOr^+yX#ghHmS-g%;79khKVf zgxYpa%Sm?BfLFT}j@m^a4{MLu-}2FJlneYA-VGIwP0*+X@a_;x999yA@SYYohLF`p z7r5TdIBR4rf0kkbLTQ`L(m*%!_{9D|ro4Sd6Ptf`^ zN^lHrH(~8P^F}bM;m-DHZh%{fp8u={Z=X?)Ub?U1e+3e1IICoA2$hy@SN9RYJJ;>3 z%~G9#@-D2@flWEZs@ zH=*cOe|fXuU+Bh_(LS-fUv@>7;w*gXLId5ln(K{OHmA`#(CxT{GSzd^0Jmdc zoU}l~>RLiYEzoUFhtA1a z8w;WkH?D{-OQ=yrKIJ8&#VTmCMi<})8Ck!+fBUk6(S-}UOJ-?++nt)T0^Dv1BxYRe z&1r#dHw5Jo3BAE_wE#B;@Dt+Z&I)up*-y7Q=_Yg^Jt$>Hd5SA}BH)kY0^J}xEi!*_ z5a?Ft7T|VT+_;-J2fbQ=8=(bwN_d1Q%fo=pjKY6{*F4zOz&L&{MG|1(3DsyQprjR*af=L%@eYMRv&?GYeaHV*6uU9e?T_? zIgywU=mwYTB0FT~FLc1PFvm!e$-L`h?c29?S;(5B;r{~3ucHIIg(2e(09|+=O7DxeZ0Kyj} zovZ`5-6A_|LW5kOn+Gljf4X%8H^@$DO$&4bw>iOipgR}9?TBbG=f<_+!AY4&y z5VytMg$u!a&1`9R;ezZ~;?p1j4~uNCg!-MvAS`jzz9h2(6V56+n5vw!AP2XaPuay; z6nw3U7Q`Ew#q^VfR%O;8N8q+v9}h)a(CDKwq3S7gm=)O$f3a9)bb$#cCDb{w4kF~P zEZwS+d^aYlwL}QI)mw|DkgYI_?pTzxT-W2zhr*1p-lG^mwEHNjH*n((aK5Rb&7uW> zP}i1<#WEuc0Aa>u6vZk4WQ|l`t^_>GakcRPxh~z#i=$gQ9w5AGzyp_3voJ!q3_B~F zM*zajdFRABe*k2M)W0Y|9)PRbcz|$wlRxD;89t&|3h=A*p{tQ_v+70c4*Pd^j;cPKg_T0MJbcQihqJldui|xo1P#!_k#I8LOne-Dt`69wd!v|6v|b>)Hx;dYLb zunr=GH(pO!9zYfVlH?v#W7ZJvGQ!clFkVq*LVGo_HUNa_XW9ZlcoF-A()ozZ90EXi z+vHplbK^zGaVfTGFqvrkX15Q!to;(Z8g>VEVdNEoai|TDVX{Mm-C`>MWS=7Bq9zy* zEd~Ijf8~{fb;7K8zH2Z8$QR`2M2HIQDOJssOZM-)V4vX6bE$RGCyV9+~ z9HED`+>+3nRxPVQ8fXj|*D%|3(RmA3s0Fx{2M3?bxN6U3V6a)ZEz*+eR%un9jZiLk zwvG0wzZrSdObB#4DdX46JIldUE$}H*sS_gIe_B!%SwEgn@q%+3-=|l^ZPs)f@H|-MFn5ZcNTxxAAi!we+drR^&lH+^z{b zZvk$^*9PIXsT;RduYTO_DBgIV+yMz{2*d9Aez>g?ZacbhTlwn8?VfO3)OKa$K$dxW ze^Z$G3&kAih_@xeZC^KTD_;G$JrHh}llvh-yxkCPcWk^Gf!n<=4x%?07nTdR^@8?Q zT;CR{JYSI+9$o=%*M-~dYC!3Xw;Q8zTPA^9EF=*;{mW~)h%5*(et z{&j2*B*TqG$yI%ofQytn+MlUnrntEbZhPpqHo(n$3Qigl9^ID->9=o^`3AHI1dv%- zd$D`|#tY9IlBuY}3z1H@-@+-X6Bl-{Mowf4U$m z`AvsID5VBB38(yajVe zUzJ(|5^Crsb?dqvlBGOW+GQvNy7^Psf|Wi3-D*&_T{}ZUMcOZAoLivVSsmvFmXo#U z2Db+y;ohaUzzbYSo{*(?IIh;hf30x64)KQM5hDvMT`sZ@ilCEGh!sfOlmgIM8jWk?c3Ffo}XlRcb z(=Unx-OlvGjh{auvqtE4QtET(-CKa$ZdtlZG^>m*(Cu75-L^=#!=rWMt7X?l>&8o- zhosjC+%ELf?V&hP$+7mxBE5%2JyDV z4qxuhQ{JaJulnX+-bAR$e@6!zyS#qGK)$x3BZBoo_hm~53 zZm51#n4Q+L#xg(9jm!dG@S_E~ky%F)F9f=66A5F($%0p?+wztR0lB%tQD@6f^?78Z z8?|@8&OU8I1KsFeEai+U!fjS~El+$O;I;|{lNR8%OSe9Ap? zUff-4v;RQ16Eb0ke*|B!Iv416N}PC$$j5qw)~o^tx6I z$%Q}w$T6vZAbAre0OYzXy}}m*tOdGlm(b%0w?MbWg7>tJ@y--2(CwxUT}`4j&~2Yc zZYE@bZg(Zjt2jaf-EjRzaa-K2o4@S}Ct9Ez{wxW~&p@}0fAYdDk&Y-1nh_M=2V7w+ z1Kj)tN*5gHwpK#n=-dL`5W?`W+t|U);@t%hy$3|HO6Hz4+yZU@vP&O6Jr2BZNE{Km z%R~g0BA9pGxfY}amL3wPQ(8)*@LC4ll7bXP$bJb+Cuf0fX{>eVc31I69q|_6hLh$* z>SO_K_jUYCf8w1$w^@;;BVd3V)2O>z4%;vi=!OuAs*?q}9TwRxaXYOwEzs?-NJ#0D zDDc#L3Vu^{#K{8PxSY7aF>8Tt zr={Dm#0`ONe%0aJ0^K$%pGj#a3v{F4-%rR|bo>7S00960cmaHq&ubGw6vrpE)o6bu z{b6G+)>8|{;-xBUjNRSLZpO_1=!3(+99RJKCiATY^07A2oChXEJO{q6a50O@5RNX|Jf~) zoH#!1LUGVJv!rQ?9cyRLiOX={>Xci$LukZLe-k{jQ|3l1Z{Ba8i)UMNccD)3xs`i% zN9AVP`nJoJg~z493FqMc?FHHuE(LbW>Yu*2lm%nioNiHpt#i*fg-x~xje~N!@c67S z5Rnw?&^euX4tFu5BxK!a9A;nTU(YH^wK%|v>56@ZXJTSesiomvc=|H|XM|!NV#bJTLqjaD4~@dIx zZc;PQ3oi?mYI(kLer^;j6X*)eJXyK8CMY4H>d#v~hvG_qJ%WcDAAb~K zKn7&@!T4{BygVL6pI~b8-Ns!XMxj5{e~hrO=H z?#qRZW%$&W5NcOs?+Kh|Cxwmylezjlc^e85$9N0l31*-CYyH&PH9%!0qCvuw8J3u% ZhsCtq+7A!2*A>tO57S}f`~?62|Nn$=%$ooJ delta 22166 zcmX6?1yCJLvrVuB3&CB2JHcIp+r{18E)pd8;_jXVF7ECw!6k5Uch}%Wv$L~1Ok8j=y*=hGu5~(;M-0a=NSID*PJm)? zyWp+f#N{5sGqMcf6|?=RZOG7T$tU1Ac%$QRUtv-A5GE13znG)@Zwma)RZRAmsM5@Lq*~rw}Ncv?3#L3j-9Fa3ux;_UocC zGA5}XdvshQcWV$MUw+Ca+fO*)t^~dR(IqRK+F=h%gi6CmFg`L1=H$?>6IIV&BRQ=q z4?TM~^94p&-_4@yHx;}YHIYRnblX!3O7H^r4tP2iuVi7DJ1T_eLB|g(h4{NNvuCvH zK**VsY8%&x?ur$2ARx`>VF8sC>X?!sA-wYu2=N0B78I~w`f4$sZ!`4`Ui~FURXlH_ zuJp+t%06EV@VwB5YAu*Xa;abH4v8|V7tU{7d6h!!C*l;eu0%>1Tb`-8VTJtPCNjQp zjx4gkytyv1Or48thEJ=S>2B5h;sZ0o7)in@`isQyHPfh57_| zv8~SuD?sKj&{{aPai~f3S`35y_Q-94T9KTi%`2PM8Vt0dbcxlf?)aA!l*}a^g5%uZ~r2?N>AQBYf zu$ReeU2Px%QM$xT7;%NgAepv6mElcf-4-aqOh;P zY~t6VGj7#5j?P@XqPh2-FZ;?j78Yl(6Pf*wR1UEKdxpvF+D)5BK(dalgo_&4S=kwr zkM-rRTACB0)itX2RqJehDHw5bN|IqQsVJu1a`p$g#YquUex)L(^gOujPU{tD7CCu` z-Dunudq;*NSDTQ;tF*Zr=2-_PS;Y4rYAq+quw!#JT?G1>k}hk5Qg)(VN$qThmN7V@ znQ-2K6VhkjhFk=J4c4p+(Gje?aTe{Jq90#rW4!Fng&MMWMK}aikDYA{U40kC1zVam z#K8pT$HLh~ulGdPH)DhwYQVX?{>GA_mrD#4m}fjA?Pf`+(6@>2)f26q32OiAd@0$v zU>5QBU-4Z}?h(;S@bqJhai$H_zmwJua*E(MUtE@?OF;mvly^pB~1SGh=jcRMa zC*$Hk66i;zVpPPU>yBnKWXq!HhVjP_Z&I%cpswG4e8EdqeC4A ztpuDnJ}W_^Jj;?~QDz0%@|n00P&Qi`2t(*6>mqKv8=eRTvHJ0#7?rTeLk;XD_S^C@ zrWCmoAELKI;4WlhtFAv+klq#%f=q${{X4PZkEX-U4uFlR?8?J~6B@^%dJ<^Aznsue zdN=3P{k!vovgsh3=vL8zsNH<-A*D=2qS-<3WG=HT~z<*wZ zib%qAJ6*h>?SL4*b(7=<`Y+Vg^sBix*W2RrCbZ(03k70MRSjsY+Rn=Z=kEZd#vlLr zbpQKGOb&}xUJ=vXTf@ra=<o24a!r@+9 zuW{M!^Ua*4P*tLfMx8HU{|Z=`UGMt5ET=ybxZhpIvAo!Oz&lSgz9xT0#pR#c`o_fS zP2dWftXD2GD&b`@+*yKAgii>%%ODU?^DLv|^Q<1~scxAS$5kj`(RP*ElcxbFB< zRxSXG){XxFLHqKm@qvJ9D*JlsqnuhvF6+OZp!?^1e9D94*OKJLIAg$^nz7!GpCL98 zv0w6eA|mpl2D>k0%E>3!?0mCBN4dt`LB9OBc$UZSwqhj4qChPuFryHi5L_7$OGvnO zQ!$A!ewX@cz)iS_{W*klMgL0~FvDk?$I6%aLkS2v*~*Pnr?G5#|*Uq@{`2KRU`#Ss2E2kW)KQdJbHUZKaO9Ux0m4r&hYbw#vt zl;QKud&F)vDwIk&)@*tS)k)#uCMA8EZ_Mf^>W#;HBmo*0iv48&e%>0!M8 zR(xGynMg$v1N=$_3iO82SP@MBz7sq_UHP47`Oq&JtBp(FtOMee)3cMLa21oJ$c^9i zl+2Am=&Vp`cu~jl%=CJgO^aH-!qeBZzIyDm&1J~VXHlE=%&mMmWaEH8QNEG%9jb*f zLEl2OBYwX&Iahcs0>kyD4j!0}QE5i(@LZA7ejk|uU__0SbgQFx>rZ2T*FV=-UIby_ zBGrbSS;`;0mPS@__rP%#3vNIpV6doDQT?$<_DUDqM`adv2@~B>E)}vIA80>k6I^>L zX8QN%9fWnWd&YDLH=4xhe85CAHd0Lu3m4OU^S1cyLv1b#M%pw5^J>Y*ih(|r8aOAi zJ)8vK%PLPQhqSM0RFfkdQGAeV(-H-<5M%qH(|e4%3Y2ruhxAXEPcmmdt;X=toad3v zr(26T*O|Ia*VpCFhl@8Nu*u7*cbv~bnf&ys{&@6>$?I3@gA@p(O$fC1~t+@rnEp@7XaLk`hd6K;+yhTg#dk4nCFq4rT z5SmM*<1m4#vm$CmS@Lmy_55==$WkEOh`=A0bw7Jc5#(t$SlAor>0eMx<=vd5ao4fid32tU5497+Eop$Ds>MlbE~)p$2I}( z62E+fhCauBSd+98$NxU<@-95a!xFytq8OX@pN(-n#rjeo`8nFElGu9;;W63&xESUf z&n_pPV>0VpC?(%DG$R}Dh=`6ce7z}%QfP{N;v-b14jaTdR2t0ut#JN%(Nc@yM%s5V zLYRPy-Pyp$TQa~?Yf~L+D`K2+Uk{YV9z7duPi4;f*LU9cw9Hq40$?v#s_7SNE3uJx zD)UYf3SOPW>S0DYo+9)8d;6T%&_0!$4lnuehbtds7{Z_|+Nj)d}Sl@Kl$GQb`6KSn~-Mty0DfO?RHyDWg)AHuD zA}j0pN#$qs%DJ?#4g*z1-2etT?MP7z@M*WdmVs}Z@ur6dyi442Is4G@K`OWLU~@N1p3pX%#0b)8Q&i_ z)_R!MMq-8p6MvM2i=FS8FT)q#Z^5R$@W;!q9oIrJQ$i2c`cB5V2g0}ui#mFa)^kxv zE)UD`e+o@Gp0|)PEJ<;>e)-1g-F}eK%VVuhYMO7yMj2kX{c%dzE3p9Rmc7r0G4ULT zV_9YaQ-51UUkerBI`fJuzyvI+3#Y4ebVHsnUAK%&-+43fSofX|F9?-cWFH&rd;55t$M%N|HfhB+A4|64o!$dGQ+UeCh%;Id-g7Ap zNsQ;{*E^|lBxO_hcUg^}Z)34V&F3b^$>gj$uAgbWTK-8PNwTvSO^5WWnDk8S^LE~; zt7Z?_mHskp%D)Epm6*~<Z6vdCb(?>>$ySv#QaxnM!nPjNrOTlm*&?Ew=#v-3EA6S1{fRBZ+S5($J zrsM0glpKcJ$b}~w1!WH<2r#R<-lzgyQ@qgG;K;laZB&s^ zHAxF8!oXjE>q-2`&z9@R1IE;Yj!)JbSt4%=WLRVm4Yo9q27@ zsS0b0Mwf@x&B@kBnumspG->xHook)bYg-s4+#{8Vv=HfDjE+gSbw=Khc*r7lWnk`W5r4D;b>_(TuEF; zTn_#9(iHIZKDzj=`Si2QlM!u$lCchPG6AxAR(p3A){mBP5z=H>*xeFyB0tuL$QEfxnbPGJQ!HH4jWy*K>l)P33LKZDzp*z?c|r`QvjBfmon^5G zPO!ty5YFi4IbG6PM?v^Q=kY&m+~{Fm8A^<6rRZJhs?)IX{}4HJvuHVDNmJf_L5y{Z zcf^{%0qe8IpA}JT&@ysSi|i*3LB;<8GQQN4=3zlAK>L%$YSNQ%vVBn~735T|7+ViE z7V^Vvt>Fox{q?01$tD^yh<1WYR*Hu2e9)>&@+dT1x+HNOVJpGq ziaEw2Fci-A^v@OciFf6V@qYE{)BA59{N%eZ!N5##9q_96js#q!_JQC6{tt~laL=(1o<*ii+ra;k^Sj3P>< zX8PsAM@?Qzt+Tfg7_T4gde-S=r{LnVSZ8@NOJ4HSOk~5#bxzaax5+yw&2_hJutTmV zdNhS#=GpTh-quG?ex#1;4lBk30;%JS$X9)Ve+_0b1i06D`w_@v5}DDIi74HUdvKdD zaBpmDs$#rP+uUS9aPm*1WzKQh{@vNE#vDs=xtou^HK+@uuJMRXzZl4cM6t(+M7ISwQ zY1N=k2uS%l!Z;~+uR5$?|7Yry*B2EGT%pP{`S4A00;vlci6(?;&ln0QQ>Rl2qC$Q(hkJo44bTO7YYud2Vclt;KfH zJX@%j8+g0GT<471^fuI!TnCVPJg@|KRwn^Yd3!VxnL55(gN4ECLinBmqaSTtm$&Wl%hSb3oMDmbC8-wO z>~Laac_LE!B^Ok0?mHZ^36nZ&a7joAGoQ>BGzA8vR|A;6B00A^cfL#I{^6Xlw1kH( zUyM6<1}{}q_wK)fqAAuOQB-3HBQ3x#o?@X~DRfzM2nfQZe2LRja@JXb5rgj~k9UOg z)-@$2xe*Dl)n{TnXYFmgddr+AwAg^UJZ6*4LS(YVxVz#PQ^co;H0jzu@zRg7e@>1n zP^uYTEWyBBmUOv+oqSifrEXs#J|9AK;{afMx22wPO(0i-;)L}#VD&eN6L2mpy|tJ4 zChJK?C@rR1NG`sf$EHe=O`LmWblQ_!;>3T65%W3PY;6$S45<88;Itvl$n`A@xdOh~ z>sBsb#Po!}PKCj%gmatJNWCt-raQXHpcY@Es-y1#z94`JsW; z1O~lVB8m9rY3X2IZ0YiJOrD(o^Fm{^KOF72MXxJbFY`XYTg-vlBidb-wR)QG z{AVU1*}2KnV>zr}>ZmtNyFOFH8?I+PYs2OO76OUf+s+RMN+^rMQ?D<%=aHl&n6!!g z5{riPd|HXbb%G65!dAiGI}5g6<-CZDvZ3r5Q5_Fq3$p{)zv~<;_H?6w*4JmL>o&3F z%yDU*zpp}B7_Wf78@nHsK+i>*k(^=s#2gOp`15{8!ms!%+fDo*8{q-pwavpygM;ai zA|(QjgkM75st`n?|2ig;>5=K$+fhqDUCaZg@|LKgNPU|rF#3X~@)uyi&_XWt?~E9T zZ%VG{F9L%o-x?Uby`8W3Ds}_h z#kv#SFj)U!ni8=7F}%)B7M$@+=iB&Lr7wSkkBN>E7I7E^Z7QZ&%@Xvi6M%WEmkMUo zneXJAlVYL5DhB$7+>lLPa@X$tCK`;2SxS@5q5~B&W$|9j$nd^i2@qvYn$|GwuXr-7 zuwpOZxCkB7b}Q|!B|-McJel}ye2wX@l{JO*-+5B5vNGl$)atCM9&Tooj@+!*Sn(n{ zYg`t6;_}A&3M4*}#1ifFM=EZBa}@uj+HcPg@mvP!@f)n8*%`w8Ieey~(BPXa-~A=k zYvk-LgCt)e)I6tWE~fO$M(kp20Q^InYX;DNmGfQGS7?^f7%gjoB&U+$^ZNN z{BNZ3EwhOUnX1a4IK>Ho`(ahLxorgR$Y@R&4rf+g%V0WgTN}kjIq^e9db*YZePW&% z6Vbap%GBg1MK*tUPCW6Ajlozm!*5lzbk~6WIDQ$(P!{WULx)v-ja?px23Z0 zut)3(5n2rRolNeff!-l%MuH={RV*}w1lTgqG(_07L@yYgPrI9{(!gGfC_Jcu(65`h zTd(Edb_vf6j%(5G)9&=--0cCo1K{!z`82c-ho4*6@Ft+&?g^n*1{?g^>I1ShzV_48b9M1w(_%*>@l@Mv_k2w`blS~b7#ZJJJk~by%eegcs9rpp@I$Wq)Qyj zQ@%@kSAOcMF3$;wk&@mEkQS>MYuM}JNZ$~RPPOFff*A)na#7zGYF>+dz&NherW^J; z_4@d5AaTIt;B1?!PvSA9dz6AjI3z~0Gg`iHfW9^-8=K}s=|-LvIcrwgO-S6?7n^Qr zvcIOVa#i&D`=#6uiv}y%YrB{57&nm@iIUwj9!SznMMRh*Jk-lFkT1RhHmyNY`D2q4 zVKqGmMY!XWSts`xi8R|LKtWa5Tbz|M%xr+8B>Hd95a{9CpshMtg1pCImy+9QDnGa* zYatNFb<%}3mCDAN1XeH+HIZMv7@Oi~sVB>%t-X9tj$`|qR$Mu}{|l33qD!h}x|*b_ z{V$-DdaY6K?g=BpFUrtQTE`p@hO#8l&qhyHcC5~_P9!b&KL9N6(n|_mG!CU9IlL6- z%xX0bqIQUtcgDaLo;D1Dk8UjEPtB&+SRJFE{Rjj0#E;g2W2Dg&XvERJUVGJP#?N_i z1#hZh==$+N{v0oXlw|9>$>xj4&9GE~?VSSTCe6L-t#Cl2Q;gLvD_GdL4(Rx=+W-C# z8$eW#jHi48j8-(D+_z#&KcDuusmwMOM<_q|1?jQ~_*@BQzUwwZm+{WkPZD+-L1zU9L6NCnVC{!iiX5=Gce=ygt!YSg ziArkRobENRT+31z7#dr3nVH*|9y#Va@Gja63E|!WOS~*aL*n_us$pl(X9*TnO?Kj} zvYIdQBWelDte4zG7{t1XNWbSFx7HvdHfn4j7V4>U{UrE5E!WHMcl8{B$ z3Z&o=+TX)Xh~#5Mb8x-kM31IneY|j&>8@HliKn|>m{S#}u#DiRpF@=j0Katr`wvP? z*-Rs1nL5hnmlR`L{AIzWNY03v3Ns6A7(WEp+(|qAa{BeIxI0rWls@IxFU+;d(U+&j zATW|2G2Apvy@8Dw_mkszyx7|of(-;hb8qE3dy}aMgzsSg|7hXft8|N+0CXo&wC0wm zOT>D(lh(1WIcxnv>R5*V*JEea`LToT21?drsJ0?LZ-DW5M%<*Ns!`zt&S!cuoj7S} zpWFJo?|=VJsCXsRZso2-sxGjv02xap>&E1CXO*TyG$Y zBQNG2C-?DLN}*BWTw;{Z3zkP5*yJx%Pp93Xd@WLD%{=3#$7TO@Q-*0UNaNpI>D}-y zM`ZJHje%$SEn*3VM<61B-i0?rG*73wBUPsH{U;G&l2j%}g{Ko|$z}{mn^CsaSWu>f z^%_<$t^G`SjG=iFSq}lwJ~QP!3Vc4xMqazM7A#~-WSpJY%MWkyQ+huUATD;;3bOS) zvQaQmNsaIFB3r;j>}<4>lSd0Od#p3*p7|v%PgJkAm9j@$sZvTsUCnRr*0qH=6Yl9o zHXQkNf11!o;7|VA!F*xnhggod_3Zcm)h%1(Zx!HW_)F!2+!{c3?w?8PXZ5W+@``Qb zlcp`kLUo+kfHR1i-++SkyrMEiTSVU5x9^CT+TxB=kTWiAV`WI0{--@&0&dw)bsvZ ztI);p2g$e`tgkS#$|4$DXt( zSSW@OMzYaN;_R)saCmOyiFMjF|a-_CmiEP7I&@Z;)d{G}TSs1Fnfd1v#^rc?xJ|9?(e`4`eeR7XFjVi9*?&r4Mx&3>nvegpUBGGX%yIJKf>R&2c zDd*nBZG{fi?7f7BeD>SpeYPHl6gGp?7#rU1lp<``OaxHUV2sqUL_fz*AFu3$()cUEe~M5CFRcP%!2V;%5=M8PJ%fI!y5m~9ZgguB-tO#o^XzEyK)G!SNukMK zHJr8ceZT^*WsMMr{n1M&2MF|f7ZLB-?(O`!`nt|X!T|#6mI7u=_R?J@UvsL>wTsn- zmtO0V_lU1&Jv#Eap_vZX6MtA38Ozx7-64;knAD$FuU}68(XPe4HsNT>wA#Qu`-EQ@ zG~C4p{M8_|Roob`@{b`xQ|(Udt28>26Bcn5MTU;HxMNbJ5X@E)rC2othHNhZRaJl^ z3qHp9Y1%`lg-C(m9F$)*(p_xpIi;V#LItAt+<=hE42jVg!WE1SD>VF*68yytkBSy5 zL&}mhkKEU(@Ko@Pla{4)nuJYyJ@lk=NEY>1O|zP?BG}>ZzC?160ufZP8)3}cBSjT_ zc>$(F69k3r9S3;Zh;6w>(fd0{Ip7u}DU@_EiTmgaX~^Di%Kd5`w* z8U#K-dlG~)&$ewU@OIg}Igsvo&)dElLuxlYth)t%G>~T*e?k_5U}LB!`aXcvQ}JMDmfa|CTQk;6c29bdqKPee z^LGURsYfnnmIt||TRGB3IEJ{VoTMEZs%Uvac?%gj-lZ4myy(f3y^eW1bE|5ENT8TY;BSj8gnD}q}cb)_p}_f{?yg)C*}l#uu?L{CkCckiP*}+4!_i+JLhPE@6rN|l%6^7 zz4a3l7$!AsFIt9$?5}D8xuhdm4Bc<{A_CB*Qy)Gm0+cxr?T>ok?8z&~F2_Zjc626> z6g%{l(gs|H?J4`PlIIy|w1Ek;VGwvRNy^N4%q}p2ndd7V@rmLiw3bu0S5$C0{X5qN zVqY62n(8+XQGwf8THzeutDTY>(fg;s;NZOz>YnEpYgW4$Hs_qHpMJU=G?B}%un^ru z^jRMl#lqi{d(%fiSd+`+Q@fdF*+i|@v4erbDt5ySTJybUTMg=$TrBH{pM^l*z z^DnonE!b0L!!Pa=%=<36d#76LU>Q=T!d(>GV`r2C*Zth>OU(z6WEvx*A-NxFo>92x zY+@LsIeqH%B_ZsxjnAXxwkmH3nJ{-6fPD1_>x}~ypGX%$TZQ9L>=Bcn%_ zgD+p4s;WEDpC$QUlHCVX*}j$TU%!4Er0{CIj|T7zaBc0oZ;(~$JQ6PgWL4<}8;DUq z(R`zE>r|Kw?LjmMP52-c^YksA`?*8YQ$IAGK(oc=i2i{o&ULM3?&;ry*v=yr9iLD_ zTKNsf8pU??L)1@j(?9V@hycjpke5aftw#?Pf;D`adeSq=tCP5X(D15CN53f!tCgV= zYY0GR!E^SLS;wSbp6SB#dpMH}5)u%qt^EuJbd?^W7*#xH7#B0m^cp3!T(fZG-sbF| z%*8oyz_=`k{UnpQ&`a)p<*z}yVs$=|uR$spCt-Q4T>kisT<&SF9%0Nr`aA}rK*;KpBQMQLk#P;IXA)%&fK@Yk@|>Tf=ul&U4PKTKK4>Pkhbk0R%-7k3 zU!aq+#-hG?+~m;)(OQGh4wOH@3N+xzVHgmi?*GXN)M;0$edZ^0CwH}EL;p_ttjGW< zwVgB-G)%sFOxJ(~D?PN&er#R|nBwlz`Yc6Hoq%5388$Nc0`OGYlF_?|=78cRU0i39<4iA+K*bQjdJQZ6Ui za2{gy#P_4W2%D+?-MVc6G;^!Ujx{{`L|zHln|GP|2I}$Zf!D8_`hLIdIlFY( z=YuAQLhhGqb&D@HkteUoL7d>V#ibkA8Y^|wdt21EkSZJ>6RhI3Ay__{9TKp+nsMDrvOXqv*N&Hi0uUc=t7GE|*l~VvHG)BkJprqx6o=yYd)DX+QDOZ!D z1?9s%+vC;rvnz&0a3{s~?M%t7CFNozee?Ia4yu&99xa6>mN{>%qlGo8+aU#H$J+^h z9iKX?iPiI`9u3kA9LNDIoC&1G#6)%~@}XIIic>16oLSFZnO}hvoCKYlJ$srF&rP5c zaHrf9(1)I?N?}4_LBKmGZr+|g=Bax}JvMYI{H9_rvtiRivdAta)9MTkYG}J2u0+B) z4PMKRp@!&sF8NC1=!=SSHtwflu8gotw=V`fq3w%f-e0%p-^OkyPF_~wpL$-5MY|^3 z;9wuwB=p5RIhT8`P99u+K^x3;knlAf$vBYetqp(b$eYd91=9O8%_sZ`E;4N-UKEip zfcBXjPBCBIfM7YPL{Zr5qX@oJg+>L3)i_A2jpvKEgW#(!tHZ_g<#BKl&ETt@14MZ7 z_ulK|@TJAb%0g`Yg&tiI32f@Gys8KKnq?+G%6D)_ihR%MuvXHk-;cQ-0=dWa1*a#_)t zC(=N}Kxx@@=1$i20qbFB0#<@6NzdT5FTP_VjVT(*z%fR?kL(gt{luB&_N}pv`?7tp z+-rs+$Eh*&rb2Fh4Gje8KRXWD#X-#-!@olhRgAa)y(+-8q>99I$NFd z=7h8cG#uTx(LQfMlD^OT-VrBW`7BPhg*+8()*hd8CjH2Ra$$Y|Jw2~18Xvjj$2`@S zna(E5k}6eyfG#DtP;JNvhblm{w&z_h#X*H zk4=xo*K%agoR8sD+*opxEz0O_WZl8>g82!kd{XUk07pWm^QbRMUC$AyNo`2JJk>u? zLt6u^RmFy|d(^J5d~tU!+i4xB@%JW>p#_j>-o~FyST`~`F>SoTq&*|;^V>4h_tgE z{!7WI6@(C@m^q`Ixk6?w%f9feiRj5%fpVg1KPTlk0>oc^`8+K?u2}SIWtS6#IT?!n zYw~nv_lqOmt`wSpwhH8`Q56zU!vz>_f>u#gdrp%Or1uVRp7oQ|0)d4r`8^ax-aA$6 zT-wlkQR4Gs&;j;<`GA0+iKOaHDv4@^f)M(*O~ctuyi@`s{z?fj6I!IrAT#izZ`r~! zYkSPaIeL$~2*mw|lXL|KdcryECRiC!^8%yJzvH1yQgUu5^^!yjnx$Rx07%exUT}tu zZR~^@hE1805I_Nwh{}A!B$<#K=P|Yjjv)-xJA|q=>rGwz99I-Zq zPet%|Evdq7$cV>%Lq@{SS1M*zXW53HX=y+$cidQ1g2}kkPKjg^h-hYG+BtaK!Ka-Y z98cUamI&Y8op+K~iK$c4Nd>QaC`8K1HjW;zgCmk)sC(R|oYjjT+Cpe=SZv1zah{Kt zg4R@@j0PVKo(3Z;y`hR;&&OVFpPmyw8lr=EoCyPRtO>cAcwiAZzkuIs%XYsUL5|bE ze4jU|g)v)SZTY|lIF=@AEF(Cf$w*raJ|&RbKo|1L8Gx}vQGz?R(HLNXiLT_5d_ zWG&bqTbj>-0tP6uq~oPS8PUOR|0OYmF723BjO)&02|?&u_1=9l?szWe#7V3yRLwMx3f6+!r2CZ?*K^DyjE2Dd)F`#v{ctptw4Jp#gSnhTf6 zLbO&FOS5ARUte(aHuj1>tGgtSDfqHn?|z7_JNK6ZP&;lrn@Te>NMJO14%j-`wOBxQ zToCu%J|xf)Si@7K8D79t1*{aKR>>!ujW%i+gSza!Cat<(f&W2oB72F+%f-KflP%5p zTeC`I=H=pK7D*c0yq*vhu6KVNe?;u$_5)eTV(7bRm) zk$3W2N~rM)as+Wlm)^(VJPII~Md}Wtl;=?_Z%u!Q>0(lJTl$K)ylFOu+Nic!R~cgO z>nS&(dRUNDa;5pBWC?+SF#ET&ev3T{hJC;g!1xAgv=$mHGmwUY_)!57C?0R2AXjJnsD1_>D8$-~Qvyt%O0nDAo9A2B)oT!+!tI zKphR)XI&WNd$k%JYns&?XGL%+A}akte?p1QkF|USrI_cX7WH zs;3G8k-*4qf6kb~8x%$LY4U z<)*OQfZzD8(G;^U8g9GR>4%2j?;{TUW%rrWfS``Atjk~jG{ZOP{|o)CyRZ9>P8bkB zhj{ieKhRCob5oYtsiju4hsD`^4TvJeYR*XO5+o8Uwf@d~`?4NtfKu?hLo8u#{M(9c z>opk#)p?QOS8Sl*c&o-aGYe`-dSNzV*uNk}Su_|U@oC~8Tn)r5x`v+zwGOKV&LpU) zyP492uLftmF#AsYra=lHYg{|nik1O7M}tmm=+ZaTtpN@~UWcdt0aQs}7L4`&+@9zfxBNeZ>kX1;7gS8IB}!ij{V~Nf z7cHUh?SEt|Z@r{8xGWcuat9Iqy!QT6njSV=6Sh7-DJ;f3qVj5@WOSf|*me$xIH3L> z@0u({%JCs@HZ|MQruRTz9*wGUDJ<(`Qki2qqcdotuK^e68quM_FjM)#rF<9mFhCkp z>{UV9XJWeSkKPBL)1{1sA4jZkx@fiN*BYAQM6+#oKTo7!ILw(JNSbm(K-EbCJa)@4 zaueWAY2wwc$pxLb4?@AZi!eYYBrcx@%V7ZZ;hfQj_WJw)mFXiI<8@9|9~KH@vW|H% zYfYC5;}XN9vm}mIguPN3N6k5tgc6z@`h1TH&*<+M;uJRFMFz8~oSsSNi@mb=XomxR zCqiSob2rt)%0`jHGrHhwl!sFI6p|8n52FJoiBm2ouX;1CUD_#jPY@v67&!UZvL8>V z6)|j$%y{j1WrW7)%C^S`0o@{Ut5}Fj@abNP85Ixz8&B1Sk@Lq@c|~mRQbEXNcp!sT z6Ru5aQn{?o!(&@_Wai`cB1&3{h%r@7Gq}rO?H(9-7?%sVHQTvtX(P2s)kUT8mZ|#+ z&9;B}It7z?K^u}u!wZaq={kkJLVtW~749T&!iS$rWgGab3bXgC37p`Fhaf~XOV)1M zfyyXoRQM%y$z3QTQPHw*=#;Sk^1#Pwk>>1^mNF_MyurI>BeS%1c-X}0uNZ9oa5Tsm zXU0sp{p`l&?|5mm3tKW=$Ag3<>FT?*2Zn2B_#8Dx@2s+1YHR^&?}L<_YkF<>L;u6y zPRQx?Vg^m6P|q0cVYn0x>cvl>L;>+~b7;PtD`NhRxgle2?^-JgN3 zM83(Im|CP^!lb$nj_15#veZ)~N%60aP|FJCq%#sF+$0bnLJ#^hD~j4o_0_GIV1q+v zU6mTglA8J|BB+J1Z!Fl&Q)kP?0z-O-YsmEOehP7{)#Lq$brS8WK|% z;J=MDoSE!XDcdE5K0nckjoCwO3S5{88+zSezf#;~54WsoJg#^R%k zj?`=_+zvpne2jF8FlpNz)tJ^^gwO=WJ*WuZaMSinPh_LGa48JBz{o%1C$Yz+x_Yw8 zUcNX(7%>Ziq>e}C2LDU0!+ir<7Ia}5C)w3%DAp)1zi*A$PM+F#pbSd>r@!j78W1E6LA@$ceJq8tl@#?rjF5tMhm&;BmjtCC250xt^(y6F_3-TpY zB7YA;p2by$bX}b7`ITjv#z$?XWUw?YP%%6~r}=!^SxZhCn#wv&7A~R^7lhYd>m@jF z36I}hWw3uL(GYHUnsV))yuwngmAzX~AS!~NK*oC-ge1ZzdnXUN3qP3+l}UG{pgSsn zfg0j<3;7a-uUng?D+5&XuL+VyFlPmTB*jO{!O6~SboW0;i&Rt0AM;cvZQp#^-b|E? zxMphkp^ETbxA#27aInv7CcIrZ&w(`IePrAon9)%tg3#RoxpC^S(_$6|35N#tPaNCPMPp%r zk%^?Vt}WLex~9g=5NoI7lu(_&`fLlV!QJQYb(Pxb=iqmijcc>8WS{Y>Miqg1t? z514R#O-^$oqgdq<+|sl~(?$h=H%El#LD^;;HVkMX-Wi!3FH`J(e6YHXD&YQ+;)7Wa zF$FUDJZZiId(AodU4wZlD+75G6(i{&T!2sN1iUe#J<9F}ra_%`SE-ByBN}AAPJ`}- zh$S~nf+mt|&APiMHatcsLFy5?Ik#hqvN~bg_~yfP4Qx9z!54U#sf2!DBOUS5zT>Of zmSmeCPTLdqL9`@pmz*dS;&UZ(eHU_LAbE3^fNSJNL4_w>&{b0l$V$$3BLp$D&JJTi z-)b7has_=zrwJd)zqTS`+po-(&1K41zmX!i=nwt)NtOCgBu<~dJC6S0vW z@7g&YNzD-Di;`-H9j)*ARt@;uzr~N*%Q5>sQQR-kMxwUNSOC4B@|Nm}j$R_V%NWzK zVIG-QVccVTl(|m|qjcY~(0z+*rF#oA^!ArElbsnve{53{f?9F_>7OY9uUhKg4O&(L zB$;UMZvS?hQmLOSOy+~M8+6U^YKzuM;}M~e9K?%$Jk~|QwVxu7C4c;3>4!JRQBTC- zk;6j1rJNsvv1DZ~!#UXr&`c|YAXmB_H7JO2hb_@<;p|IFkiX~eSllqu!)RRTXZo1; z+s(5UECn}-O7X1`ND*maK}J8s`QYFCcOzghhLCJ)lql`%FP%P%uXXs3Y?X|c zdHLikTpEoM!}jmO-pt5Y9$gqTPh5P~*02;+l}|1zS%xoBji07;y{Q_}qsUZif!9*M z-OcIBo^jFHJ*%R&fq=I}rxz4LusoeiA7HP^n_7osAJ?7*pvSd36{6&%qJQ344fwm5 zcNtor&UrbmfTzCf8a6(4=vjn5{t6MxA|zS=8O9}n?6P#Q2PWKaP!x-eG^>n<|9Rb| z7>oBlx?~Z~zgK?;_WtS@`WubKD6tt%;QZmptdU_5rN9$4YXUf3tpC1Q{D-bvHPJ(I285ds4{Q=|Qy zVU5w@!W&#){d05B`3ducb}WJrOBLodS~3404qbc9|3J9Y(h1kPn44AFGCk!}Ya33Q|htuLX-0t*G+iYqC~215=1$se!OoleqN|&XZR?q<){RzO40xq&Ghf6!FspeSN=5ed^*zN#bSji>(TDNj9I$^1 zvm%qjrLxCC|Hv|heU7ai`Wvq}NE5|I(a$)|Qcphzo2k*RHKbJ!TE1KQ%CQbUKOk_u z1f-0gpd|=r7cqkd=ChuJ8L;jW^^PF)nu$Re_h!%1&6BW(x_7H!oIC-HKt|~1Wv+$^Xg#j~W z!@0iex-w#k%4gjtE05lrKI*U~9#(+VJ6o4KBv!i}t!4C`^+YtgK$L(kVK1Wkls{?; zhGko403CVxJGmoaKTC?$z|#0-Z`JnljVxL4$Xawi1CFQS&<48p!GPU|<~N2j%A%t2 z@0Q_W>iomK!7rn*Ira3|E#rBJ{2}UORO6#M;WZJ2(C0sKpWQV2>+C$D=ftipUyrVg|UwZpxAKTbKb%bb+f)td@Gr^! z@0GPE%mWlQDs0si=ZmGR#l*Oq>PC}_*!vJ1ah7jOHu3kpZf*O~nKN3z_av>A&t%RR zEv0Hqze4S1B;qpaB4I7TIJgjs^Hc?Erm=3(={VIPDLJK51}5R4H3e5emEa$i8&fF( zAV1Ra8vR0Re^C2)B};M6K}1G5m#DJ2eY2dHCLWonvLH<@y~ZM91U=PRS`(OkF{|i- z;sxD!s2#>&-r8s**r*@qvrPJ+VD&i#OI@X(iI|0PF4PY%&RokrPVw%lYNa0iivgm% zK6y|Dd&w7y4nB9?^oCO3<$5{YZVdaNU4(c(Z7Eda!;ZNo_;a^@6mo&=-k=o!i|X_~ z2IkO~Q&45(5`hz+nHa34@;Ar-3)dAW>ehJ^KAy9-_Hz~=>0eeL?d^6JDSvYYOAUpf zh8z|*eqO=DGH@0^JQk_HWFI)|Bw$FlBZeDZ&|#XCxc+%9>yjyGi*7{6WnH;LR_@R~ z4cE8KxaJN0$&{M4;>L{YVIi`7D1**PhC$=b&N zrq&W6c!3DHpi<)|1kp<{kR@jH`GOf6MCNx$fu)B;dKfaRmMzXg=n3JrL!fE5 zXb4qTb*w{#URT$CDdR;IpVk}$H^wT8!*=b{0-xS6gC6Dh&SrnZY;$mYDcQpFoS#Yw!Tykm5IyDn?5S}p`(c}nWv){y7UY6(kpL-1NG)s`02kXPlb z`on~l8gi$fvrb5T{uarIsnla}+bG@0Fk0X&iUWhu?UHItXMd5W#;Di?&e|oi7bMj4 zl&}QiqyaBAgdo3T{gJbDnsi>9L~j)v<)t|4fP_9szV;K;5bo*W&f2fY-75Vq znBE;j8&fIwLVpmw+z4=2=~L0Aml}*aFHQ%gn-9BK+A_4E@)qgl-_{5$B_!`C&F_eu z)=@*qQzsj*hA<_dJrqZ_fwKq@`mMb^nit2XdL)de7{1;{FqZnNHC9^&tSjtI-03dt?vb};cmOIR|r ztrV%l+ff{_bc=BtFDx&~(#mx03;?Od8UR_xBHa!Xd}={h5?^OjLymBbS`e0u%)Av= z5_Q%PV}DdocGQp^BG_l_f_d*IQ+XVZ&wYFIin2<{tg4=p~4tSBA^8~BIK3;+1U*U z4}aG99_1SIO$Q)+FlwreSwk4soDfW#ZYsNLGNIWH=`3Q(^ z)>ZUt1%q?qbWph6wcCq8H?BS*^>^E~z<=9v?>r}#M+B6A&xp$`rtHE(=w2P*SAKDn z)*{r-l;>>?$|`krFFckBkEM*?2!I>jNgCYF$@)_Y%Ny3;f*V%>$Yu$-U}UIYb3NSl z)gEXCfmG8Y8a|GgRX!UGoW)>-TDNUG9&Y#(ZZ~aW7T|^n5A_EyM|^aCO1SM7M}Hqa z0u$hNR%H8-tkz-zBb#iuxHO>wgPK#1Kyw|SYs2SO_? zPXgWUNw14qb{N+{H_W&!vTa7zq8lVfw4`4)ft#*MgB%lhgt%GsXS7di;Re}NmBFj} zjzB@9Rbc6Eal4-k1c9a8#$FOf=YJMhx(>{A?w-*Fx-kV!Tc8{1d|T=4q=9a$Fjws+ zAgTqr9T&H2f^%m{72zfeioep~^$npWz%DFG3SoIpq}#1C5XwA+DZxq8EdXSbxV)N- zSuKFT;IvHO_7HL!LeaN4C|9To=_z%exBfurelu%}>DD6DxxwhZ=@)q6h<|iDD4|!( zw*eps<&8CeE)wWQ4cQ^nUNvrkZg_z?`3|!*(CvZr+NR~WaSO(*16IlXS5q({NeyHG z2-9}o11fLll7wHBQ9!#a~N%DS?`SXya&n@xxZKX0V?w(8v zez{v^CYIinrM#baz7BtF=te3Z)3UMP6%IyR&6st{$T-$vTLSV(-JgO6VaXs)McQQC0!wk& z4UxHSfu;QN4P^epOkgSR9zT+9*UYDZZVYYvMCL*R-FBPn&4S1a^#wZK^jAs3u1(0{G&`an0{520`!vaAnuyCtC~6m#1wQUcx9itMcBmg`YUEzoT% zs3a}WZMOvStbCV|2D-rvA3ij)KsUhW(aGBG5)Ii+kv(r@fo_o97uh-^3v@dxGOGEj z=K4T4g8O{(a6Z88kjT-P8R4!8h>AN8f^pJfc1g}5!8Wh z8+Ga+=dA_0;V7Q4%-U0eK)1_=zH zXQ$AuW%5(dBr7awxhbXu?v%BwjVrTO+x3e%gv5{84j$=Ly_mpY#K)M2%w%-K6G?*` z(}B%${fKu7Hh+ukyvTOjW5rOK2@Z;YNVp5!nuC)FMDcazo4dk}3iO zXWbIn79$IELl>H37wAU!CRtn1Y8B|l{V9_&N)9bZKz{%^otO~lc32#4itJdys}(oY zJ|Pn3m%EKDa29|d)I%T;iltX&hLg1bf>2Q`TucB7gn9s(YEc=4Bf09g+ATtfkhLl` z#jq>Fw1wcKsWM$Q*Lp9n`9P#U1jhFy3LE*0qJ%EdbL0|9wr>pGH+yoZUhoD zhfUo+eI!A=C~(P&Dnhvw1*{>5%$im09Wf6fv##rMzcc`3tJFUrA^sv|00_~$PeT1y zDFZ+tTcgqWVK*QJnFR9{5n7-dA-PrDZj@BfCx3UBjm!4rPJC&@E_!X3zzec;T_V){ zWeAIs8>5=x}dnANmcx=KR#PedrzZIq>V zCF!6^0ykh!8nHv%&uYa;LnA`W&Bd`+T(u&Zf6yO9FV%?CH;qLQz4rvjd6mi&CNxNA z1bRe^=?bKxm&Hpxpi2Y3hl5I zvek(N z-4qK>IE(4$4w3pFNJh7s z@o<3~>Fl2a24Q(hWLJ{U+5(~bMV2S5j34x`6k+#6nl!W~jOEJN@tu}hL7JAlGkgOMG*M9}dR_g>1 z9WEr1&QJ}7L zBINq$2;noCRf-UAK~de{=WRP4AUrk!gvkIx8BC)j0K{jgZb?v74{B)JDVj~fj}`!O zP2(G1oG6LLO_?)dvs-3(>xd9W=ogHx8g~7x+VU8TK{(=G7n zL1DJ#(Ma4jXp-#{Z5Rf)k(WF4a_shQ+^!2Z?%Z6r@o`HneJZ#e7H)h!#Lykw%Xmi_ zZ{W7cZcsQA;6}~ZAl&Ae2c_k3Tg;Hrn#mcZhxzU+o5jUxLJRV$J+_v z#+OY-;I>Yf`8UoX?TEJr8huW7*8Aj=&Afz|LC-ZnuP+lLccv-@e%? zXkWwi?X+-9KiduRlpMX6496X~T^WtrCE>QuLK29_9~W?td*P{!3u`2h&u096sQ|Z? z_TU>UX&tzg1b^ika}u=aT%qN$o`o=>J!`WXaBCrhJZ-@@Q<1t+EG0)GMSc=z0r8R0QmdZc^)wqME} z7MY6(aP!l?1(4bkTCO}L-A)VQwdk&fPi<~n9BTy_`+tPbjqWG3Ty6q4yQ)v1b{bg_Av|*3tw$`EIIk913b%VA^Pg5|i4b&KFFiKu8U7iNP(wGV zTi1^5tze$`M2bekUpOu;SCtp;V=(-lZ~-{*+FZLps}Qwwyvqf^(Cg5RPW-1s!$ zlze*EaDNNDuuY^lh1-Z9Tiz_PEqXcjHf+=aOE>7$X^|Z^vcL;#h4^lHVRyF|@abMf z$c63*xk)r7Ezqr}7j_$`TNV|87gj33`7r)ecb@JPHy$WBS)kiR<8s7=2Dn|5PfsV& z8t8UPLN_IVv_uGy@obRn!nd?QH$`Rf{RMyK5P#@)Pq8*9(!G`wfo^!=lvoxLwF-1o zL@wMHZaZxl33P+oWpUeOLId4+KlO1UG|+8cBpY3^SU0{v1iXI39_S`!#X~SB@yvyY+(2bPYtU!0NKsVl#x|6s+&~2~SFta&k>;m0HQM{|V*2n_g z`0m1WMekNinLsz7P00e?AmhQB$$yOEZC2;EIgPd*y7llWBq>>-8{OAN=PB{V>!RpL z3v|0D*RQwlh(i|OM!b;}0RR7Z0eq9sYZE~f$0xPbYJVmDVQVhdQwzr8r7CNz-G3yL&Dhx= z*`3%1kwK`Gf&?`!NQh-^+WuNfIM*LP+e-zSbCg6RZoC&1EDm>WPrisEG_9cKgmz-!R=ZCR<>E0n6L8 z)X;~ozYW%3!wQUsc9<=c>wj!B`H5QJCKeX|XE#Vn<_5flv_j|9qGcI&s8M;zJV8Ux z<}z=)jF;s}MklxH-173vH(Te@sm-}NNHgl(7<+uvCGo6k29u!_ zj8hp=A(-c2A$h-(4C2`QR7yLnIyY@u)wzqam6+Af9$#Ubp5(+S9RUf zx>dIu0|W%51|IY~1p%@BzBs)x*UmuwVOGKq?xtbcUeYUu>VofD)&DGgb?w+^gn5>%73vJ=%oRCCZS|nj|n*$ zotW1zxC{_b)PXKGSrwD(sZENEDe}!M3Y0Wf<%}S7guNP6QB_q`@))>ZzkW^7bv8ud zVWOsC{=oR5sVeG>jf#p2iIIBw^>VfN;^yhD<^DpbV0NC9T_xoH>8h0H^ZanFLYF2K z(Uw8k!=h%W#6y**`byb6F5EXN36NRgm`Io5J7TvHO1DLLC}mB~uvYumrCWabYhr0M z)!Rv;g~>8sKvj3yG^zi`JsG(idao29`80KqEt*WLV&8S4*d<(w=(w5SSeE~v@??Nq zc03P*eF9IfP=z6`ACrp#FBwVM3Hc{HnXi{HS(#FKN}jM$S98Dp6rVLDd*v+d0~{X_lz5O$<@VUIF6EkBr5k${8&n$4aV~);5h1 z2L1D)Vu?FInn1qyC!w2RJY`ygl^ijwqE{AM;x!t_cDQhy^ki~ZX~;o6l1nw9*!#ET z@((jx|M{+4tGs~{>*S17f#lY*0eTloN~LqNl%xv%$0o9}JU$y=UD80cky2=?b?}Za ztm(yN2DVa%A(m1T_x2gv*z$|6bmFoLwmfVhSxMz}=O%__a3^Qa35M z63h7Er5_(ItyUv#l`M4xXP`!ljZ4*Wd-`2bwGyRr&p69juq?8IkMRQdIMFJSWb7Z5 z7pAL2_WWG(E%40y@ky=xHXjXd0PtyYR^YW1eMBo`71 zNk#C_1~_%KhuR)uLXFrzjJ6*PvT#4wrM^7();_8V`HJI`dRjIExN6@3`RYg z>|p&;_HO7$TA7`Qv8L!_$mzwE8sWSBA+&p+^$Hkf%EW;mA?5x<)C*|E-cw^J%S>w7 z&x!l0I^;ElkGKOV11Wkfb|$!W3uv|A)A?#0%WrjOaRTiHaWpjLsV@9Kah>aO@51|7 zi3*DqHB&QQ*~pxeZEy$btf_6+88CGXh;Jn;%Z-b#F<4ez zQ7XnmDiiI+Efl-adMeWL<1PNR?qXm!NjW_<&-$%z)#8%WpBj8%^DBcst#?hP`)fzT z`#!gI(u1G<1C$I97)BHPc!0t!^!g%f)sf1s;Ul&xn;!v9^|5$6X!bQvzE8Z!y^2lG zoautPQH+>0$|v!5e)F!eofe6YQd`9Kf=bQS`?Q+Q`0uSP8Gt|l-&;Bli17vA4~w@$ zk$B$Y=kPM4mx97x<9_4%;4M*1RnGa$M1^KIb(ej>y(-RsOC=)k33j*i`)%|B)qGd* z@T%+=@_O1Lo&S!l1Is{^Nz?gAym-9ndJ!hvJh@^j-Cpd>pCjR#77~yjN+4!31o<#C=a%c82 zL_hs_c1m1pfTn-0OcbH{OuUG5>_f{ZLH)!g>>Kd=5wnzF!$?Z5rxM|Q>wUN!fdER> zEK0weiLFvOjKl2mHYg`{)FZ6}Cf{0IiagB}n?Iipw>Z+n=jq*NvEY(hwctU!bpxF> zkTYMpT>o0KrMyGxVgT6E@%48bt>viYgeM9}zy2(cPU4;>_#n|DXueZEB3MTxW&Z?Z zdwtB@#PV(m)S>RK1NfR<%KD!2*}j{358^AQ1rF`}n`lWnU;su(=lkAmYMG6>Khq$) z!y!LV@n%z>5hy;ijb$B1x2_0>BI`gyosC-FsPIL9$OD_SPQTFe@muV?>#jppiSD0L z@m$=p4keMegRMK-h&EN|3>1j|LcMV_N?&BtLDC_*1xxV)b5&Q11(@7do^SD>M}O<* zB)c&i1&?L8>JdqY-j4AVu7GF(8Up1T3Vd%2jab!z;$Tng&wl=s(b-H#3OY(_3!m%I z43;Ro+ydK)gBLFIB1A1$ysJ@aE99{D7e?f~kpi;rXnfwumst^Pt9F~CB{Y><%D*2) zbhoUz!M&{lIcUDTIu5TZj?we;84Zd8Bfy&E5N`QsY6gy*L;Q_6Q)RwkbV&#_J7X=&iiF7`; zX>xqe_qYdbdb77BB+90TGX}k5=&MwU0vO~;T!Uk=pR35_Z0NA5cMJtmL>YG#b!SmL z6G=WQN()_NT%~s^@v*(7tcOcm#1~W2;{Wm~c-Jkk^GkWf?Tot>ND~B^Tw1t@tc~oo zny!76Fneec=G^6oq{3WJEg476Kh1jm-%QVJ8BU5aRKUU1xAKDn-18<(hlH2)Zt9BP z=y)9${HG#{>}m=|y;&{~n&L>OXQ_nFW7duL54!dSPUsR^I>t7z0H>i%JwNZuzUMrX>x4A|$-j%Ewg6iYxc5b=UHKV!#XtRi3V|O+%$Gmgm?dR*O_#hoe zd02kPk3;@TeT$mQwt9>wAJ6iPPnLmle~5RByt&p_T8>?ozxKm`vVuJ23+D;@u=FPZ zAv=Sz3(8x5@su_14)Wewzx;r^{TKqH)CC2y3w5Jx_U0(PE<13Q+!dL(hvc*4wD)HP z1)-y1*jv`0Qr^EyGU}y0rlr?*rTCFKXp0Y4}LhJ z6uj)2W-16plc?}jQB2f z))QOdV^C7)#grQ7s$l;KvF<}<<^+Utj+ua0p?;br-!&-|_yuyAkVLg6EwrBaLkSl_ z_GkzR=gM)agkgspRQXNkGY+Eb)w2MiYr2==1n6xKmJjrl6d;ilE(m5^2z+f=vPJ*4 z@=aJN4*i7tT>0E+NEq2!0F?Q@H(f>P>zztc=)~}zg`zo7m-nd`gmt>NH{z4*^GGmg zh4Np*bK_Sp{_pXC_0gUzi=8dVs}00^W!b+8z%}8XTot7qwLsms8{~YBw?HUA)UW&Q z4t4a)k`I3J0NSG5XZ67B59r`gyFk;=H_R*P*xA6i9@-CP!|hCBV#xG7p5Gtso?I7> zV_(F(DbJ3VMqS&@!d}UTUohrI5DC9?TVg)YHD;bNc5)SxXPZ9g_;0 z0-FGqbap47LEpBuAe+CGp7tV|@5uDYZ`nV)#%4ac`ic0R1HiTAyaXsRzP?{kB_$x| z%kD@Y|7>TP_TIYp>ODum`_lAH7N26Ty|?{ejb@OouhnlLY;=ff^(Y+7Y-ofFRJ0T>ZoD_3@t8a6@gB zVN6+9_^co@?wNbp@_NUUZ6E(xT}WKNr>2XwHad{@=QEJ|vIMz@lk|D*68XhZ{lopu zjM>r8XScO-!=Lt3G>Lnp;f>kuOJaAeg`iXRO&%CItDztMue88xMwvTg^oNqbOT~>W zEj4l|tTLd>Bh%v}L;!)R%fZNChIR2ZnP=W0Kw=*<-pj&b7YnjZh@)M$Orf~##45nt z1e@UQj3c^hvHu-3YHG6nFf09|(wao_F-Z*RTt9j>K_-T3QC3*tIrNxkmzPZXniQv5 z{ev}x0^@qfYy@?@54E#7mUM=L`_p+ceaj>zA5k~W(w}lpy31lv#9uE4+0Mf$Z(+9U zer=jNG=k!Bv!I%q=Kgp}V@d*ywZQ>GlESGG6D2Y0*J|L@E*O&w#2K5iPgD>%F^h-@ z!_beA(AWnc7T<$<|L(2KG;ZGo{L@4g`Z7OxW$||F-*W`x?(>hC%Y4ZX9fsnNq+g9- zZ1ImB55D_bR~3OHZ*=4{&t5^^{5g*d|=x@K5dIL5!_X6;?*o2jcMiBwdpx9;K zJjI6&()CSTkrC&}=i@VEaLgZ?HM-2|w-~iXi_W3pn7LyxcZNK~*!L97QuV{oQeY`w z?O&>1H!>>snH2kVeeU+-wi+?nRj2X%g*NIEX?;wxD5`Z3%lC(84EkG>roTs`CWqw5*BWeF=VJp-#t)@gtC}IiG)+Wci9vnOAd;86e|r$PReGUhynEA`q{&p^>#S zCXThF`EjJNWs~g9LoC*NKwKB4cz^Z(}K9lfN*DA&QhN7R)w;Hd!wwR~qr8S#$3bwBqO`; z6%Bo#a=I*_oiK*&SvG7B?F($LDuNNOT-k2d`EAu}+exRM*ZJ+#Yr7}8yxE)dd?P$; zXXhNQo;?m8&!5Fx&8^mT=-K3?8W zbtWbQub@`q@PLM?=>8nAuIq7Hw`I;fo@k`%kXn`O9+(*)J;BSxzw9?@K!P1z8tzL7neQ`rrw zek*Gz`vf9%Rp*;hqlB*3D*T*oj1#r9q^f@wJ@XunH>>ZuW+YUgVsq6xT#I)C8q5oM z`Gc>gAhNhgHU@-ts!2IKZX%FR+0_KkUuuDuv>PDC9tW5kbG+Dsmw4;r;!Gc8Z@6t` z@}$UlD2K7G38Idey-47sY@wSd{BMR-qwnb`Oo{h8CrdUq%=DPERAkOud-UQq7un)A z&w#Z9VGnwlZM;0D$5Ad)pVi70d$z`dGZ~Ug1R+W{{S@p4Ssq2vxNS=+d+hB(kk5jU zEc_GhG#X;;xO+k+*UARz?nQ^5j%2IVU#zlEq$UY`EGo- zkaO7LHs64?Bhem5D{}q=JQN=9pE`bbfb2+|&^x2M4a>hw=i#g+bc<_tq;UnRGMiwwh!8Y6G^K{GlGX_@|O1 zrP-~7=V|@G^Oe}#E+5M4)isb!Y_Q$2E5(r|z!GVWwyr*Y*4p;A!@*&(Dt;|8a zbuG~rS9q?!mU;=`Lo4$4IEv~Qk+ke5Xp?4*R$qBK#!fgox=3TFA@sxILzzS8ewED0 zK^1v*4UDVZw(I?}#Lf*1nbo1mM{4j8;=%wlz%)Mx7@dMq7ZHSf{L!k_Yxe1GE&&qq zIdgW;zL>biYU>n$!i+@uH=s%Vkys8edy@U5^;3d>$*Ocm=(b7aqHSeL)3wYnuji&~ zh(imm#65>3cG)0=MORD1q-o}4fM>LsZCPU}W7Wi7)}*N=o~5HjA<}lzO#A`{o#5I}X3_!_KrGMEe$5tGkKwIYns0J-HtJVs9O9)z9A5))> zRLH{tTvo&-)ogoF&5W#7#=Q*Xq1zt(=EbL{k53`R&uP`(j~=EFDoUy2YG8Gqh`ptp z$s9O|%TJ2CiXLR0$mBtWAtz28z#XQ=Pj}Q7Y}pS(G}WAEmGB^pUToAJcIZ=oj?=US zQ!2p(Ho)=6dJ<1C{OkMm2Ux4-3_YMt8~S4toVAt@N^>)uwU+;zK(k%LB2>4bn{Q&` zXgf1cwK4B*6f9^yUclVkv;#1!YXczv{4wf3KiB%(0sOz&>_e>&PSa<*eBSIWx^2se z7(|!af~1YrUUYN(PA{_7`V3o#>#~nU`_479XJ)n4EbsjT*?ilRr*wjRpzaA?_O8)8 zOM*u#^q%)vI1}#L(J1Ni@g7vYciwn)h;p&4v6i(!bVQQ59ZAnB-6p}hK=1_{JQi2^ zW1FXW)%45;hHZ^e%F_BvcJ+vlwP|?sq8)u5o-7Q($S6%LI|ET4A}>OMRM3(YQ@Cv5 zARhPlR1AW!6J=LVHzDj5N?sd27EU)v5!CP6X%O((r-h29rlrmen`^`SbjCl+)#>w^ zjA`Pt7p>a-vGi(9KM{#>w3MsTMbPo8M_M>vAXbgHe#<_Dtk7h=kT#>@SP;T)sjg=J zn&g|#8=11PW50Hze6^3D?LXD?KWMNHs-JH9XM8GB3&2aX76@89*XNQi;Z|vyM+xXu z)k^rhVtFw%F{N9&_@>t!&#{pQh7JYh`9CD-)Sab#v6i(VX`^FWA>@X&N8+cg z{?xYKa9@H?cS}pKA+Bd_j?`Lb2AYIDmDX9;sa6Fnbe*vrXdjP=iZw17J4Vi1dmZs` zacyQ?#dAGumgEe)Fn1Xf;r?V;?Cag-GD=|gE}j-hKcj)|L0wJH;jg=8MT`aUjC5X= zRQ7ITc3Cw5GD2&bs$1cIw~y4exO)R-0eq{!PI?Q)|YAX(`?eJ zt!wC=wBw4(A}(5X6yI}X&^-imipg(VM{q_bKN6)I`f!@4X9)-`={*a&E1t>Afh|l& zV}EERgpOFj>;imD3H1i&>Pu2TnVjVM*-N%(!2k(ml>XliQ=tQ-)_v9-qHUQ_xg$Qf zyat{kSA@^`1eM&H+m+j1cavk*1TNVrp(S?$5nF23%Sf(~^FMQ{HD4N`Av`6frB1J8 zS;W@R7X8RAR{w%>PBQ2&4O407RWmwx= zK6BjsN)P=ETGLP$et~AbA&nM%jKgn{L{@ZE_=EiAsz{H$X{Iy>Nwzz zYcQJ0Xn!pKh863c9&={hs>}@)+w6~sa{-3(I%$G%VOyiwpS{-6W@Yh*pR>_@Yi??! zU4y&!MaJY|p5rYS*Q<_Qde-^Yt6Gu}i%v)BHnw1}ns~n@ejBB=S(xrxw3AtUQ-=XJ z_C{l}LnGHB$r5pWtz+xNnPpfhl5PLHTzkByw7!npMrD9Q42yB+F ztn8Lx3qq@35X-^LjrU~H&Gj6vc1{_jXRtEZJ?T_4=tsKkm562ZmtF`AHx*N5m+ad~P&84|6p1VJsUFQR5C5P9NaLbkD8 zUFKwV>@fNi9WdX{!a`Pf5*Di#>P5O%vA0=J2}#O z+oA*+P{yea!3*QL$9GxFvp++guw(g?K>fx%O4Y!8F1gqbeJz#BME`6Z>E|QsL-vSY zI!;=eNA*+boh&Y3m{`n|uF0y(o1-8deR!-MKH>J9qe?w~Ts$jQEajg>3fn%#P4X{> zz7Qv9;O+G%>f7ESUa}*DGSGg((R(>)JmBHqBYth8^Z_nHKHO>hJ&5~MmxNj9U))x<-*D^`cJrp~$@R+n}Okk~&w(978V;46iIQ)jjP&FF`4-lEd?x!#EL2G!8*f}}(}Gv)FCR=WymrZCD7kRsv{uSf zlt#)B7~+e^tTXD0qJ)tT;|eFl`URvl5^q2Us6G2C0#?wnswmo48nLGk4dQw3t z{Y@K`oA>CEL;}Iu0+u(96IdjxdU}Twyy$Tpnn<%)nKwui#3tV6OgtbzS0th&G)4$V zalRtoErupc_;V06dgOSw@h1XkP_7>b;}1|Y02hULlxtOV3KeS@&zk*DwhwR0DOq#Y z8BCH9Gpv6zMu`dIhqJZN5$xiwhSyZUMCfDcOre1)8At>k|3jpn!!?1a|v#W`m7u7at_&*H^LrVb)}_7 zl=pTyN`)o-xL_De{SX$>jimF+ttLhA2k)d4g+R&;R7m?XpS$21w?2shq^$)ryrwhGbXwMuwyUpAdU?1oy&HIj+GV?anvH@wpgbnx>h z810ik@tKfJ|Fz`(UG}JAAu$9nPp0DSs8=e$=rAzU4IxO6wD;Spqz3*+QbBOw%&oaU z5+EZqfc(@oX`eZY81jmfXPaoqHmMi)>?AS&0atNuC$~xFYi+3WP3wJTR5YVZ*+DVgqAT4Nxe4@|whGGO7R_|wirkl#HJ%3$4)PEY^d94;Off}4o z#yuPId@?Rr%Y-AZEr1u^QDYg!3v65v5cDFAGLV0Q5%fwC8pR%H{XUpc89jtT*m~1R@nGznsYkczcGFUr~M)hz#zebCcyqja`&fkf05E zAz7`E2`*Y`7Fx*#5%}sv z^r6}dDgx###Ha$1uP4XUxi`Sq7V@G@X$A|lgs;bCGEDPa?BsfVu-wkr;$%}qg=S1H zU8i~>#eCko#yU~C1fbSklY}73PsCUJWnLtFgcr7wCUSu!@t*yxfc(f*E|)w2`vP@h z0LqzdVgL;7M;90%ArZ1s4_WXbaXX%aRzLKG6J;}xQQJ6WDX{!;xAuF5z_ z2^NZms%>SK>hgX0(5hE>R(0v|`e8lsyy0DEBA7B9KtpcO?qYtjdDOVlH0xm0{d4;A z$bRyqTDRUp(swjls?^iS17?w%+CU&6g z6K-LW12By5tX#Rgk@X{?rx9AFkiQN8Ie<$cN&yg#jWs0nr-wVrjT-LO+bMn61s=wRjBY{#J+|YWVhOqOr{Urx0>KuV0qsWDsr|*a<5I`{WJYgmDGT-IM+-XNI z@8#XdVh-+trgHdOObb|th z*qPw<_4rPp^zv&PQ^94xjlXRQ&~kvoBYI{1?y4@o58#m{k>3LB!Jo-1*LDxD;7k%b zYBj|>47u`~pDa7%1@j?qo;sPj193DHf zrjU4IpuPS6?DyOu9zC+_NS zyIN1LTyH34CH6-0)hB~7vHB`^=%>$IU_|PZAM$=9O1sB>WEWNMj3m@a9XiSj zPFqX&WUR77w13A1_06--~q@C@PG5 zCb_$XNPFU*Za;8GHWZq8vZ=IA6Td>9pxi_T}^p%6VAF~<39&z1M zJrBb)^sYRO=_hYg7w#uad_m|Vyi9_W58;Am3BnrM+p^w=K!UPXgHtB|BiQ(-a#n1P zfBQqyNv<*;v5yys+x6b+OsOpH1F>4m9iqPapXwnIL=9 zN1GKL#2WQyE(0UquW9h5COp;<0XyVdm}j9f6{OwRw5&rnAqSC(*RhWYG6j*3CZ*q6 z?B<-bt4NkH3?^1e{ICi92+qVLgbtmE)Y5NaQhn3hWw-&WD`W|mW|@zD-&<}3zjwJn zAUk~?0wx7lMeIi<$B<7*j&xZB9P^c4{Tz78u>&)2;6_JsDX zoqX#XCmv1*HV7SLFSmWjn}Bvba+>l2v8l5V?13PLIihphundq116fD&LbiWeSJ*xr zOHWJYeh>^s{>YPYxmk$6p~&k@aR}U5#?Ug4F#6=ud|vBN7|R&JQBZ0|(shGt zXrN3)Jkq6H&}72Rc*j6PiGjGI4BQJ$BX3@-Js2OYy2QQ7n8Flw+if@X6MoYO+GC22a-ptnQNvqVr-pJggzb9{f= zRY5%+bZCYC*(1h|wUz>nEn)aQt{QX>tiL|Nawxd40345GIlmn>4}%Ci1}!nsY?S6F zFy?z1s@Fd|4sCy>zZ2kr@!?qfOK9Q+at*sGrwqc27%4vZ)ITB8?&#&DYG25vEAI(j z=58t?wXqvc!qe1$#SQDi-9^A9= ze2dIa+bGZYqwHd7DFGm-LMW>EOw3iWOgOY!5x~jN=A!l8{r>yY;!h}z zGdS~%eH2`Miq}04?pFgGVo?3RGJ)C%pX7bk3ir7K3lvAfQbqQarF%EG zcm8QU=N>UYTItAwpuX`ULEnTg+Cq#BL+kj1vjtWl>g&sQl5g**n0aR;;m<6h9PfPwr!NJg^w9iY(3_pakoeUZczA7 zljy|Oas*|7E`Bk%S($NRF~q(n*(st<&>maH&#ItKz=`Viwb397;Tcf1Z`m%YsOaGl zaC-3|&V3x2k9^v=Tkg}$rh?yZR+UYXs%Uf8dc5+{@;=vk5^0!742*xD^E{61p%;D} z_(tEnRAONM`VJ-}LW@z6SA{c{4=I$QG#j^fiUr5$#Hl7afM-J_Cc!mZs=nLjswe@U#)sB+kr zS>#SjS0$$xB$_phFXCL=UWm(n55Xkuk%>D+F(5w;b$#&%JvJ<|t>eN#JB6<$cI8EG zwYEE=8W+PE`SxLXh8R8mBXXz+F~ z?l_730a=KftB#c=ZBlfYq@y$KF56+nV&-pjDDXZ2LC0z z$y~sDA+oeuWVcZ2A&q+b1(I7w{s}Q=InY;RlFPui?XU3!pxx#59jUA4YLv=VoDGLM zc5fT4%}ji#pUU3tZ5dos36tjhf>QCZdu)^Jf+>qz0I2Z)k^B_uW3^A^v-Dt^f9E3} zp!?{BOplD8j6(D7O+fg%{{2&?i~qiJa|}9YYK@y{rpAqxPeG|n-p@+!S$@M5xA~bv zu$MqZ$ZYTgd&EZE|LNS=-KvR=<=Cm0^Ph4ynLIsPcFFQ?8g7x?MGoln9KUb&T&tNl zo$IWpSgoXIc0X&3^H`)eRK#1z+p5t3Ygj7QQp89Hz^@JaR41rw7V&m^ZDLHu{u4!S zg#P&}DJCI$5=Aj(3sZnj(!u7j2QiT;*N$mm1AOoDt=jtFyK(_H^WjfrKZdFqfjG zde@6{l-piy%^IrR)oM{?;azaF+nHAA?C|5W1B*|v7RZ@%&wT+?=YNK!$6WS1NEkJK z0isOCw5PCvnJ45)bgJ~)`>}L~U5{%fRZh=Q-{=h}`3a<!-%I>Y7osEx$k_8o|o=tK-bJ(7m3;cSNf|;!zstcXE z-@w&dPgmDHT@uI`k3O|20<2BE_8imXJm2hN?c@x{wpVwQ_{jjdmBdT}h`_d2O(IkP z_2n?fwpUIf6d-T4RBx%_v@ek{^Asz^ejW(iq25Z*->2ip5u0_K$loGc6BST-u2Op2W?@*16cWX*JfCp>8IaIp%Hr7-!HAN(yq zA3H`@&)@r4cCW;)W{Q}F&34@>ztx|UHat7RG4s_x421Gt1bs6fzL~dxV8y+b=p&yMP&~I`++e2*knSyK6nCh_ zD^W5(+Md~TA+ZeJ_|LWeh*M*u*C_uAuZohRM({}^guP95kv8`Vn8`e<+BH$>lNpWD z4Jr_=v#oxJTQtfoF7PRcy2VY**b?zzW8yMPhRN_hXRaa6ZrbW?(=L{K{Si^_PmHb8 z2DJm0&=3Gdy{vO75*uWCJrH;l4?&Kk$mL zzlm*&cF8j62$>m+KY(x5xjxU(>BlL1^WUq>29X4LXu~i)67TV2q@Q*Bc z+6s1!kMOEn`DZ^k{}-Erz70Mx@Qgm;L$!c5S%*jdbVLVqkT@Xqend>|?cFXmi7mr^T(U=dW61PW!)9 zAq(zoJF<)n1?;6igEu@qN_5;x67!H^VM#IY*c)qu9tG zEW{P*(Jp;cW8WEMj;&+^bZAfYly=766a$8vy+=Z5{K%?HG6VPn!Nbl(n`=@(&7cj& z85}LLL3>N&eTOLjmF$%P!OGK4S*D(ez z>iE}mq2vX%8eCMl3=|{KDpR?Y6$3?)nB8jrJxCE=qEVjJY945)3;KumiN$JOt968Q zRD|Iq$S2S*uyn})47s^amR%bWf)S|im)!_i-0svsMV|JUeF>V6Re7L0u@_#TVqM=e zQNK-1N+#}&(b09TgJ&aY>*ES}j^ie7P}K4a>EjwG?jpl6d#*c2gE3fG<1p{n`j?cK z!Ux@o@$b6cF}dc&VkKD5aeEq)z8=>eF`*kc^7}0(iZ=R-ViAesSlqjh!(m)|(#|)s za5!vrA$OdJd%xKnb3BpS&c*kJPt4t5%TvQ#XdQ!G@Kf45k{p+KG>PDkG1gg_ulH8s@*R0eYJS8^uBl`L3&N#yWvvoOXGVHAwKiRHL zfd(IsQPD_lmTH>I+bqQ*N2Nj56cN`=v0{&>V8_chO;ny=EYHZIahfAe5a|qS<2y9v z2%att#6nn>lAbJiT7L&D`LuI2S=Gmi)*Y3UZ`Uf3G-h?+6!ZL9VmxumG434pqvLXu z-I&UqHr%kwQ_Qvpo29ac%7GO1Boq8?`Ca8{qimz%=US<( zKyd3FZWHlij`kW~p1r|$RVIT?f9(sKOZU65rwi$8iFIczs_b2p6tk@doe}NqWrvR< z{?@hyoR2)feG*1-Qa5BtB|L{joY%w{*@dh>)6%MJlv6;;iQ_i)`#>+ya4s*kY{>+# zKV#9VJflO||J~;0kc)$I&FL#F@{ymTu@_lO%GR2+09YJM{ z7chI9|9B{VpL_Lazr}1)g_z(bDl@?u_Q2Ziwwj9%INBVm1HskVZ<3v&&U#yVpS#Gr zf;6T1vD=jUoS#Arq!L-volc2OROOLzS!f5ONE5s_xRL$#=#3`3wj7BN4CEuQ45A|Zco~Q_4 z5?7|%hJH3C=-7GTn;l+CVt)rhCW`yFhslqUf+v!zWZA7{iM*gCoY*su&UEMRX24cG zG5ZX)LEf&xR%76dcho^99PLNR8PUgxx%g$_T~@e7<@h>5jgzulZwAK_9#?A)}T`FAS+LQ2feCd_jEWi)l6 zRWw>+8_94c$7ot&hv?LVCW#4YJ+dP>nelcCe=wEzqt!h9EPQ}0Njt)R>p!WxtGW5T zq@KCgAJDSmPwFU1jUxp!$R7#;r~1uMlrMT_@qb7e}9bhJph~b^*7wsV+K+ ziuYTAhulZPpuY8Bj zMS9BIqVz9ASEGBn2JP`^S>u|%rs3=l%D)cu+6z*|KwhZJ6_82Z!R>D+_i6KaJJsl{ z^+DWm#{P!!doCS!y!LdZ|M;FN<4z@^v#Cq&7uHWh?iXy#O}tTi$OBgQPua9hoxT7tVU^rWWBSu zpdlk`BC+MF9%7LelzIf6J7Sus-X@Yyw%xTKjqG}#{UO=H5Pmb|J)7KoZ)rEkCt5(% zl7&!Sk@rN7c%g5P+r>b)yBOrzwC@_BJ0{(&rPVz(^5W>+*w^>LmFZ_|sqAwgfy-@# z;_n*NFu`o9eqmLF0CUz?>X?3E4TR6LpSOR0^?!Bayt4;AsL?sXroP#d`wz-jx!;7M z&X=D!t33o_scA1;fR%yP&A`7WboG5fpaixe#Ea<|)53TlWYJC~5_tIMK3sb<;4n zYRcWZCO)pcOK>3%%ynLOEzfN3xbI_{Uh=X_5z#g=cKjSIAyTptx|FBh8cO{UmjteAY&=#>Pott&vg~G zb0~S(JkZfdHxRjcr$-eI+9x3iRX5?r3x-@x4t|`%8}wmOC?@LZF#b%kq3{_wZ z=);6MP<%{0+>{$tp&Lq;W`7}Bh)KV#ubp~{a#X`;!6f8uze%~-MQ^YQV`ap@%G zIPowAQ4yMrh9_zc-UFBt+S@y5^`|XHkc3W7B}0CIn_jt|cyKYopNP5NSf_tb^nL_R zA%EZ(K9;_0X*==ugY$czZPx?dhx8dqOeD+tQXb`j@TEVjP2v*YdK}DH|@q z>cx~$gq~P%Xu}E(5T3i+XskpNDg2$X{d6U^_w#D{x7gH)SZnOvP@v-@#3wjEmEm9) zkoFtgN*;W4*T!5IoF}sMC0tz~X-yA5EhDg!2IHs8k271B%sq`V(gUN$HxXLlB9!a` z{;_k>vUiETwKdBVM2A!{fy0*p&n9|CtD+mza@A%#E7=3AF4oF*@mwTbko5!ALZx##OhV{pq znNmExfGg@%f%%XuG~ntW-Bh7d#Z$(h;6-3s88>ji5XUFJppZyaTvb=Wy#hm7)dn9Y-zz zRYe-KkBj(2)GN5lq;3FH_wQ!+PivDe%=Y4t@T8Vw=R5WQ{e$OJs1~r`DyQK70BM&d z{2zA>vmkYXPk3N$olwjRhM!iSwIQ$)7Pro?HK$I%a?2B1z;*V_TKDVy=lRP_iQ&>G zWZ%JTzo*tjrTR!Oj7sfo=+fu<{y%s;dY0Q-EZFJwjAz&Hvz1^05h)*}O;sO7-<|b& zFvug*Rcr#YYnm`1uisVB;g*lUGKATw$_EX2`g5X=4(iL(^Ysd9R`3w&CgS9pr4LX- zf;7Edv?n<2u|o~5@4f~O68Vu1im$f)&mPE8PxsV-kq^P`cgz6)UPz#!b#H{gQ!uHc zdofx^U%pK(U)4uC%-{vP%ar0T)9qunud)kh#=ViUw#V&RG;hDgLK{lI8#F;l?ZuvA z2ou_jT6WYcb1&j5yvul|vd8P{#_1}->1xF3`h(-A$?C)?N^I#}(QkM4s(hKyevu&`|w;BNd zeJW(jCi0-Vu1Qe;L{PrOVghu|yRo9%)k4qPENKJXuo#<`yX2if?rk&cDv`x$AY$q0 zyb7Hw_pgJ#b9h5OZJ|@_X&*Duy`I2Tp0ryt@%)k|6SC&HKm5d1BNC%$lY3iMd01^Y zgM1D}mdj5mE9BP?C#Z$Td1AFH4+2ZDti|oddvR{CUjeGR{v+cT%Z@mD&0yZ9Fj+M` z+fjZ>jXMZ5?q3%Z_3jl8Jb-_Qa&|QM3pKUjUEum%TN@$?HN1PJOBG>3=Oh&32GG99 zUaO%1%ozaqVB1AB@^M`JKE6tHhMIVB>aW51Tj<^Y6}=Ba@OWflZ4rKGetvbR%HMGx znm>l)+PpD71vxm}@4W=2V4-Z1?8E#qj!_okR|iSZnU2!~M5*E92|f$^zzn@v8FMy} zmTp18oR4lYEC#Q4gZHBQZ2Xh|n4Z%9ZRDpRj#LJ{kF*Xh9vH3h*T}1iDU_u#7oaau zTGR_Sqb%JEY3N%Dp}$iI{oO+7?*Z-5hDmO_s~G(5V(?!Uga4`+{Qru<{|b2i9`|@L z6r^?l9CqvzR4@Uj`M z8!oNg_A}b3wX@JM%c)qRK=ZgTn&hRwxg*mdxv zXQ+zrwpWMxHBRU0n_IEN@J^ak!CR5Hbaz4tW83TSQar`Q4~h)d@U8dJ+VIfW@X$cw z2Sva*g;EA9$Chg~%AwiK5GiaHFt@E2(uMrlx7}G6M7|KuUmK)LnP=qJ9HoqolKk`h zJl2&hybwtG@q(cK<@aN-v{{v zk>2{(EnBYtW8?AjH{m$c56GC2;PpP;mtr!ozYhzI5xj1wWw2uDc6cXa8bY6@Lt=*6 z33Oz-&^@CZF@MA=XRrRzQK5EAXGS5sRuM8irq(VrW_k>=UsMYxo{-uY#BRs)tukU- zydPB3-65uSLI{{H!?lf7Zbg)1LhOhMwYOXhG9iSR9o`C&t)V!xg}RjYB49Qdt*9Jl z;gB8n*@25d2+|5Wa>!^!GvrXa)k5sk#fzgY7aQua%Ee~fSclQ9=xY6O%B+-cT_a`$c8MI6T79(8cXCLofExAxq7Wf-ScTRTY#G zYq{O5LSqw3ta7oA8{^2)4EA--F4R8uW0p<`!E?}de{Wtzk9F->UA(s>3O4qH)Wt3| zRu?;DtL6A-s>@dE)h+sCEW++|n){~)DPT6TzILtPW~ z#}ZY2PmAU-*R~#o=&l{>LH4?MWA18Yn77%}LOsJ`mTHdJ);ebTtBu>Q+m+gbj2v2v zy%{_X+AqD(+%9`47xD^oX_}gYywk`>)eKW6N2(nxOy{th9`l$TvW;=vq#E1%b@!N0 zIRQw^<@LutN=6y^c)RSVTAv!@95KU=RQtI|GijTx{IN zE@pT#v=*<^X)Rx%9r}5i-KZv3mz`AgzevbgxHlqR{}l=Ia1-NPW)MF11kU)zkr570 zttNPNIT|-xyb^H!67ouyDu{O#e~BV^va}4d(0LH*HBc*s_D+PQ6C!jb{3t#}i?}qy z@i_GokG&W9vw-J-*aSQhFRTZRx5h3}v~izysiLj*X_qV78lQHBqOI_0S1Q`^KJ6++ zTL#*t(0hlX%>-=)tQz8V1wUMeu|WHlDB9Ja-3i+9igu;Xw?@$}_i5vbb_r-RA^S8% zyU^!5SJBS(X%{HkSw3x(qVc21wC_?yTkF%VP_z|3?J7lE=F_fGw7O5bUeV@(_AqqZ zq-aNib{A;3Dq1&aaAE0oMdOEZNxMVQX8N={73~n8c9){f@M-rb+H{|GucGY(+FIy1 zgtLPm?!;P4y)zY!pZ4y6%^ixy@0+tvb}JemVkY(oMZ3kP9jR#i3_SUI6^-AlCvBdh z@r(VW9j$2m)dJFn6pg>)Kw4eV_)8X~Em1W77RN29$1+9ZkCTw^ctzunwUD+#(fAWK zq^(gj{(=u_YZZ+@WklMzqFn;oJxd7gD$22d+!U$NPEd3?ls=lOXbm_ry6(b= zqV0V}PqtdoctjC@_--@o-5xGQQZl#Evg|dAc7{ix=n{z8DOC~Ygp95mhd{k#JqSho z3fUg1ijK~%=%$FGdwoUwv|7=_XrmRdkAdC$eBX5&Qx)ZK-3kcYsiDVHbQTn?h5Ik? z6|G@Y*tc06j+}THXzytdvkcG+vx&JAP%UOXtBr=-luZo1q{WOw4397M#n8&NpxuvY z@p4652kipTu2Zxj(AERH zS<#LLtsAuK6>T19bcfI!8;8ACyQ3FkI9J~2i#8AV9CKxNr1_47qCKF92B0blGfNId zS49*Z0Y&^|(k;FsN5`BM5yLm{u7a3bvx&JRB8D$7(wf_|i8&wax|#U)Cugxcd@<%` z$}x*kpjO0}Z8=!)%w{j=C_)+bz;N(}7^e#c*xeX&i3Ik*5X3t>>K#@YF`;Gxn`TD}L*O@GLbWwR*ep{0rx%A;>m1eR*A@8`CBy$=UY$56 z%aA#b8!~O_QPiyoTT9F3_374Fm#xL86Jm!qi?-V96C!j%svi@&?Q~pR#0Zc5r^fV0 zqH5r$~BgBvHpjvS^>Lc-m08}>)67jDCKnGn-sqtdP=cC$4G*;>4Q z$+kP8B)gDHJ;Ud-Z&>+uQE0R2onfTz9rlPqVvQX<&LM{Fn+Oq(>!Q2he_=OFwIil> zVhLjD%*1n3;n*DIh!`ZR9_@9qHSjtTAjt#Gpa(q8OrEjB0T==`yB zpkOt1_gKu1n9!JEt?*b(XpG=38rfPuR+pI27$H`dn2cD<*$s;gKDch(8Dz14e%sG$ua&7#<0lnnQMY z|H0-)n8cAGLQIA^5si4Jps3-1T&7YFc4`dx^ygn3=wa` z(mS8r+g;{EM*9#)s;I3*G{C~~%hwOXL^>w;0NO0@KsCHq~umHRZKm% zsT>DV+<_wtg`lyb1QuUhb7B)rq-vQDic7OA4yX8%clXOk=btz8V0BeS;Xoj@Qr~`|~-hY*Gld^G+RBScjt&-{S;!6;8 zcrVSXfR3nyfsvpqisNW;Lzjj-K~z9`m)|~B&WYo8cFdHmN-(QirUA%_;wor(_e|5D zY?j>%+S$`|D>+HL0D!hDy)$;gjJ2Br1FagSt;6wfT*UyzxN9Fd;`9+7sg)+ypykY1csGwvrJUGAfuG@j2a2P&w3Af*qT7y~1_ER~e) z#V6ODLMbMRPoR@9ZI{CEqLHaoytchPyEzg*$!^+`0GLK0{Jf#(TTnrqF#={x3j(H# z{qWbV_pU#K_EAMpD%)1lgqb0*$x?@|t{awzNt$s@CCp4w1v36n54zFS-5w5ib#LkD zTtAD#Iq=4@9qcD(GQZx~-c^*zJ-s~>89fu!Q8VXt2co@*8BNa?%>dyKx6Oq?9&B3M zv86G~m)fk~O>@Laz-{jPu!l`?e$<3!%_Xg8I&WV@&4^$!fX>w1w3pN(wqmC}OVR9k z*TQK>Wq(V+&xSV5Y&0 z_JJQ&Te>p$fIlG;Apc=c-2=w+@y+3Zg%sAdTMtSZ#-x=4BQjqkb_1xoeE#6Cr(_sgOHxSxWwWa@a zgHuOLbF{YO$2!oA-cR){#BDQG;C?-o=6vrz_bngJmksoeLJywIOJo_nc7`4~w+40M z;PVuft(qzu#CBNw`qvw0VInyz3NoBY*m6o()r~vY3*rI{1nN%Q3oK@UVG2F{%gf#8 z0l;-Ypex(leDsQf#;u2412=BQ<3ZcCF?eiXIngkaQQm862|PBQByuR)++Bh|j0u?? zz0`m{TrH+ayNP^*W%>|@-KVHAuT`-%B?5dyw+Ox`R+7HY4>!KT#`!c8FKI^1Y%U0O z1*jW1)GY29G+u?SuJF_UR#t;357sF*%%2kTC)`+E>%ia>e6wd z7LE2(D$S&5AfkWZPQ%+iMA$~dW+OE5pW=tkO zis&5(5B?Os+?-Vfo)7%?#6IFp{e6`C_DmKe72hFLrOZDfA)V@YbLHtS5KwKn?<~cJ NWWql^{{a91|Nm{?2PFUi literal 21028 zcmZsCbyOU|vn~?cJ?LUVf&~d~!GlYJy99TK#Vt4l*TqSK2A9QM7k9VC-4=cP?!E7x z_s2VProXSNYN~tA%&9Y7T|)>52Umds|JK66*}fe-Z>VYE;%e>aK+P$@&dL6XTEgAh z&YYT;?-TVW0S+!M4z5p}0@NDv)QZ+_7Sz(_)^2aM?DPo#<$_J|zW|OB>%Z|oExr1G zb1(Q~KQu7K_Y{9d87V3A^>0?)wA7)E7LsAtzEw#);8=eSw2eV-@?kEt@MeT;y)0_-|QRBWsHM{KmqpGniJ>NLhd}C*oY;^jC*UVDwdDrFAkJ%0V(j^6Hvx(W$cTvZjx| z>V?paGBcV+u#x;6oP~C)WG08jH`j5hu-BwpeyETg?uNMLe_iybJle7tp5jSl6F4d| z$gDE3n4FHw_BfPWUciPWaWRN|nxvYzSU%;lze{00nRz0s8fg~}PFx8i5FH8=I}$cz ze7~p8*j%A()lJvDu?>|V(Vr9er^rr~_yK(3s??Yg)}Q_L`k-d;dMGww!$?21zjTNM zn60&6v7`GV)!tduukyy0RAL@{!R5^(Gp~>{VF%6p!GbZCVoTXwX5GMLoyo_aGx|1< zgP^ZCiay5Ncb(rB2F#G+Ruwof%0-I9fF#BvrK|@2Q^Q2N#kO;-BDSwdD%$lmD~pq^ zaDAtCRi}r%^~@WU0y#RfA|jNFvsi9XhZ(L|=>WP49au^I;B9ZIp<7f@g{qamq4dYI zKQ`E@g%qV7KY_(l9|G3uA`3DGr3J5U@>IUOC{v7P?OXE;ncZY2RmxS+R85LXdoc$Q z?)~C9F@sM`#T|2{QdB#kEw;vC4j(4AMk?mO%}vc+tg|LK@;b_J%CWjlp3)i(P;yKj zdd8;+HdSW!+-BNdsNc9i-|#vv#7-;c=eV$-=>1x0f!a~Bc< zi#9Lp_OJ-gu@68i?dYHH;H~kqDX00YXV+}Y67xo`IRPF2XSy8?2%S6*r=pz@&IOD01^o+6j!OwM^21?iSM&MmO)ob`!p)9-l$P5h zME#Y}u4Xt;B~11<$617sO_+6gM>tD(W{lo{@}&QCebM2zmVP)7P>0!EP948*tn(NO zRU}Y@@bVNb?+%=#InS~`UJI+$`8A|(>eKKRL`L!+irz;T=ZfNi?<2g`$ff(~MOUhn zAGCrcb|EsrbKW5OLul8`_~kE+?{* z@EA4#LG$Re#gjG4zmNwhIZ2smz#>=8@dD6dzk$riuXPdoG+;{<+I-r0zcS>X^f}hM z1(M~{i@XOx0S)*K^I8sE^!CQz=R9otL7Z_Lx!3N5)99aX8~1$=n-pSw*NS77ZI%#4 zN$2aXng=CYGm7G`+r#{3e%sF|1nl#w%<&0}zwX{ZrjuS$&N(mA<^yQnhta-xZuU`K zDun|5{b{UecQkmHaXT3HLHRzsT?kcBo&1df9#_=T@Et-%2aVnOf6Q}NwUl&#!cRK zdHK5+U=n}jw9=P!zEWd7z3c4jRnd3Be#ie|{WC7Dc5^`D2cuhrmU!Uq2$iUk=-mZf zkkY8TkQH6L_xhUKuM(7Fh9r-lI2*%GRkT1_Xlg8$fc2gG(A_Ob>YB2FiuoW-)(8~| zjytEt(58qm!(|Z+A{)0ibQ!;IN~MzA<&N%;U1ee~T<5x05)QJ6uY@s02sb9nYgHRm z^uK_OR}{!;xD*S@LS;1O>#9q1aC7w#eBEL&NW6>KlFbx$@L)SbHuK=ly*km)*PVMj z1~Z-t#;#=X2hrkb3sv4d4JcO;4y}-T2E%?&U43UD1S^@V)DdifGH=L*jljuJ=rH_a zychh_?o=xV?xxD1CS|bt9+;LxeAUoSSUPDLW2A%PMWs^I#!ti%+Gq7*imXfJ~*N$==tx)lYdO zs$`W~fA}J>#x6pF?wc*UFUW|4bKnUO&^gJ}`Y0lk)#p#xwx_bD(RQ!0X#06!9o!q@9HuJ+R8Bl%T!lJJ^(|6G!;36;87=CTeu;0#G)SN}l$Y6L`! z%Kj$tPJgEmr^d8zPXY7{|Jb)@WK=$uCT-_#61D%&1h{N*6*(fK2be%+h|6!5O;r}f z6_}rAG5rVeVYo%XDLLcey6RBtC@y?~?5$~#)%<fSEgA6Qp+ut^A3dF1CSU6d8x zeFY=I>|V1fZdEw{b-f4yP(UUrGv^~EN~6srnL#~!fTcVBYAy2_jn_WS=h%gvCqG~0 zT*36IYgdq)RTOXua=*#F%qx6Ux_PgQp4$QwX6y*G?5SO|09-T{Z~O@mqG+|)e-)dl z$2VFQe;H!F`~!Nvnoag;h<%veig#s%qLk3S_hDURN=n6uwyV*W8}%n8+uc{e?cHSr`%L zHaNeSIZQ)d1m4N2$UL4(tag-cNZ%EbdR;nUE?g@dCoon}eg6?}QpTukCs!6>oh6!K z3Rs5hw7f8=WInMz3&F|0u4fh)RbNZu!76WS{R-%mM7KK<{8y6m?K=7VhJT0=D^Iq~ zOum%b-2^>ZC)lR|>Xh7y>f;|BFNO@%yT>e%eDO6iY^Ex_#W1vgw>H6wpQMlW7Zx1jz*&5e?SI&%`! zDO#o@feFjKc{T<3(8L=+!8+2MoRJnHIV~P_Ko>?a(@Y^NhONt!q4HqDg`K&*(ZR{U z?*i%hokJXhXP)Rr#lR9L#}KzLW`XHkvPfk#xQ@nqu$X6H(V&Iz*ZWLNaAVId>a^G; zLSbq4^@-{hiT?|+_o?z6#-;PPewPF*UQdf0ZBQ1TQg(RKOCd6eOQB>!CHEBFyX<#? z@Cf+K`S=v(+3TJ~d}2hH*zt2<_?4b{78Ygg?by61pY_D=j&RH0&+dNk5#K=n{X~~x zSwkP>Upj&~_C7AFyp|u9#rQ0n!CgJNARKyso^iF>FnIocbGUyvJ-27uzmNagWZe4L z@&kKs>XSA(R8@+3+jz7&7tx z!?v}Oj89S^U&O(t;ld68Jb-}cn`XH-oyIv~rP0v^JR&)NB1&&o9g65^QqB85D!_Xz zrLB`gLB)bZX+`{td{)b_ zlq@k;i5W5saqSN-Dr4gslbE;`4WM&i0g8@PL{P*4m|y@nE&>X zQKyMlEuC@MwhrBZR(JzbGo7@F?~LD%s&v<&II+X&+AYn#KE&~zOZ~zQl0~2;m6g$W zFEA~SPCwotKl9iV=$y*2t!UIwWz_Fr)X&aBSA}2ai#)Yr=ImAaoz_>0z9uH!+pmRg zJNqCv#b4(^{@+5Ru(caINAZ%ALd3VQmc z5|7TaDms2|6~SYrklZZh<(Iasq-5Awmn$VQBc1UP?dWMzXu5G!zpkgzyBWGK!RNyOn7KTo*GHllIMc!Hq{j>FL%SwuW zy&U6f88EVP#JF}>B(gfG~ndKCk$E1dhgQ@3fgZ%en+ z9{%wGI_kJnSi^$d;K!;)71SkymD9LioU?|Dk7l?yf9sl1htM##dkO{mJ%lw-sO> z3``y8S&dUjc6;p=n5HCzD&DDPbFWG}kGlc-1g3Kcp>@8Ir!lTerAFkXe#H~nD_iGV zpDZM8Eb8y@9nF6hQ)D+SG;rHwz!$diAQCApO+h%Vq55Y2LYiIuJOy z8cQMW*5tP~MMa|QxsF=e>KZz|CIpOV!Ny!Ea$&I?>Dr&8{IvA_Dv?vI?E`)0vNN;Z zx051%@iI=w@svH!-X`SxHX$(} z^=)F_S4`eGW&ch*hURhlFYlRu0a7au&=*zZw#(ah>xvomsti77l3b2xy>4?U)qbT1 zI1CjHPxF$E<*-NE#cp0ZVs-ogFl43VD~jU3XCP;+>OEpnF=+J}8-AHB(+meQEi5bo zMe8@W3gNxT-hqZ&`I()Ga+#ejHn&-N(tHFI;L*+?Zjrlt5m=Yi$uGYJPRvJa3%Lb_ zo`wA5M&t}TX38*RRCJmtKozY`i^XjUS~)vI4xw7eBF)}<;f?epdpi)8fKH8eG|-3H z83D=cK7T`>OHu`hpnF3?`m$+udHv?Yxv~3TpUvFBAp#$r1#d~nx0`}8>p$G=4e!Ep z)b#4J*A=moD!xZ~nhgF74w)ylHyLSBhf1l<+hu@X$7dE;jT^bbk%on19nb5yHNGFA z1@!=%4(SqcVw>;z0f`V>Q7*NpflOd%C4v!lK9Nd(3WdalVtQKf##JLBj=nb<5~xvC zcxoS0)q@ariRvDgAigi*n2)LseORNASJqS(#yv1J51nLAWFs^1z8v0NKG+FusNt*)B4@dz`_x$t%kCQ}%W4zNzMHzcAi|D}0&564SK~NhPkO&UKog+6T*v z%!FMv+i+KWE2)?rV^B6y6j(RYH?UTY6`NB%+-LP9z_a&Hq-5}=D+(m{$>%4J0T0i9 ztpHzn{exP&`qA^iea&1ru}^gk?B)YiOuu@^?Nt|raO2@2U16q5zc1%ykUI&TZ`%cR zRdnqn*;RTqiLDv9ReRA%U$kX`|KokSI~izVNQ>McO|Y&1Ufoh_v#^JzirbyPpHu@1 zZ?Z#>)?_2>elk_hGLV*2?Xkiv7&KT_s8Gi}kC8q>iVSA18MnroKizm>0Mt&~ur00| zmo8LWYi2yi(9^956a8>Z4)z;TUu68Qg1`SZ*HWZgwB>4Y}w=Q?IbDVCX`r|x<+-b1tV zS!0dD^QtMxZmrYx%GG7wb8!W=kOmwL-GlCLBbj?rY1%^0Jqqd96pB{&oEgd*VH1f? zS)_p=_C=`pZ8p7N>&0e?pG}{}72ZGain4X4;eIBucI>Vhv+0+OPjdA>Ul!8&bSfrE z`8t8}4xr@|WNrId^@C;^zM|1nXWNUs_dZ=MKNfT|D9)VcAg7g~r>8C?$y&vids zU72l?oOyW5I%uT@fZP|&63NxS^1%6pf9PLg_unYjA&oM)J)KqW5e?BlLjLBf^_0@j z@{r*QMMO6EBy z4hmJ%2_Nj*b0PCqSBsrZTU*3KOi9yrTAPj8imajc)(Tg2&t$rD)q>LbVg$?01xn$| zXxpXaTfsf+5!FbF!_!UH33M!_mb$ci^-8yoM-h})-{8@wSfEokqU2$#zCFLCCGf7b zPgt=(5p2g%!lm_24OsrMxLg9w$+LeyaJx@*GTIXe=h;|)#9G+ynkqu=q53N;H_zPm z_r*zLFs{nz^cEJJCA# zwGS8q6~xiPdo-o)$+`j+V^i7qsZ<7C+P1>Ire_#zygakbT5l%o^}}^*PE*-qG6H@} z=I(+i@C5$vZ9Idp)18_)$trrVHu3wTiPx-2D)r>5E@nj>#(x`^it)6{D*k*FR&=Nl zj5R*0hQD4Y|3!e|MWxJfB2&Um%TG(j*R=YKd+Fo#{X($fJNV_cMBk@Czyy7_WE4z8 zhaNR=eb%rM5B$A}Czub6@8jN4YMw4UQC^tZY+p6$;ruE|c=(IY4_$now5TW1OJ>%qQAO=E_7LBiHZt3aTQw z&w5jx_dl-#rPkLw97-6%scF3=nd$TL8pqLkMluO3@Wh0^12J)F_k8G@UJ9CAEHBp{AGE!|Yx zj5y98L3Hsb{eED^mng2Ooy*EP0!*=*T51A3Si&~F78uFwcgdw=XF1Y88rn({yo?qL z?bo-u(7&=0Wn+v>bOlgt&U)*YW+z2(d7~=1kSiVjOsaX?BY?x07VJG&?jqfGa=~Ke zO=W!MJ!qu0?KEF`vfm0nI+j^U+e|fpq&)t1P2CmeRMgw#c3-PErfoOyyTv@tH&_uH z6bv#=ZwOpl4dK4*y&+?z!r3sCJ+BldjO+e32rWh?u;|@w^l3-3tF3oLcgq;*w>*D- z%=tsfToI&)B(Y$cYPjQ1+f{0v%uzltgLckS?}4|*Fzjq!FU z@!MD?U2~EHP^eNfu9#2;z6^%Rx+HX8laJclArjo!RdSBOJU#Et1TVR;trgbvpO}sa zUDIOtIV>iSbEv`qurD+O_AC0&_jZf*C=YSuat`VTXI$7hry;MMroZgzS^*!uJul)n zw8JC=*o}#|$-h{4hP);h07!Vu@$XRIcgOTHZ|bO0Cx$ToQWucj@^X=}E26-MVYIVG zMD|)v)FpHxL~>LQ0lWK|(=_u@yJ0%2^ojRUp}_?B1k3>EmI~>+fv~+K*x$Jg;ISAj z4d@m%nK9`+U4d64Vg--8mXgv_CUbP~oG?PFAB+pC%^q2xD4IaBo1A?c)@z(E=|qkv zsh3#r)O5Qk{fL1!m3^b;rBN`KukCTuM(E5NxX%_12u_HT zuU0^MOr5UXv&~1#UW$T2YxkOzY0&Qu zY4h?zTEh?0_>r0!%d!hj63Vt6dYjp=wD_oEpCr1_A|DjuN^+$PZ)ETzAA~wfe)U3R z-mD7h*I|X<6lntAR)j_H^i%XDsEm(HU0;*ZX|rP>?<}jSr3C)4b~R)6hK>@~pZzG0 zS%jrd9Oj9;Hq_#6^K6^5T=1S?x~T3AXir4;O8HRXiP^LoKLN6i$_x4NDX-}a2I%k< z?v@``(M!|i3WSfm)N z0t9+sj(3DSaqzF>xm{k}V~xYG*3wv(R`c5Mp*YB}$w8HV*zO?c-F~0d4Tf_&9(0-d zRpe46Q7rIjcJS^ij4xjdz5BNnr5_chnsuu(G%N1#;}fQ?Fye&JrD?pO|;_ zXhFfZ7kg`;`NgndX^HXF9cVCw9V3aGgKE&a<}=MDU+|0B#Fz_)AI<6G1}OP#XWc4QAiBHgmLWvq}wTAvx8dHuc((PgQU{~bRFn0_=%gZ z6#94q?G3W+*aJs-ZX|e#wicy>}^MZZ(bCU-6pilJ9_od><)+&YB z3=vmbSig_M*ejsK`>P1=kozz?uV{D3okg)=(}Sh7eBm@uZC_-r!8@%TuK9LUXbj84 z&-Q$XIM+*&QCi^LYS7v=wFAwtCtaEpk)R*qOlTY3Vk`!BjHy@iWL`v*H`iFdc>PGvKdK5{!{737|vWA2?r#B`C=5cc3g{1 zGk+Z?Wxt*;FsGgQ4GNuuZxnoH-KGBjwik!v!?3bKC?T4Uj5o@+9dQAeDBPmWT2t4S zEXoW6P;;OIw)4GRUw}Tx03(4PzKXstPUpX?@BY!NVzMf9J&zL-leTk|l# zriXiZdfU@|#_EVAhu+dZmxG#Og?KT43)%UVW^N%%Hlu$rz+Y4%hfpmO8a|=O3USOL zP>ZR+oR?ih6W!*f{EEf->1>om#5>wl4k6e6j8`wNUMId&IiNEN0p(2R^oRHj<64Mz z+g_2MPPhthBjS$?DWUDEwljoSw`JSYuZ?YUxjCfZbPyhO^pqG&l~s;zB=q4wduaaWc=T%9*!;HG%&{RAtN)Nok}$*I!~OR8%GM zeZQUWceRWIP|Vxh8?yW*i&g#8geU0-Ucx3oaSkzzoM7&nxWM4c6f4@6wXt)18COL2 z%a0ug;6_cy-r!kY)$*EQ`7yI5VynPv_y<^r(WSZ1;1Nx&sq znP#exkWW)xx)g56P*MF8B%=r6@G#D}8}fw7Agwl^J&wxsmkDWRdKwSj+xqYz(&iUY zaq?_YhAUmvdv69(Ovqe0P($3NcULO+E-Y>JiA$8AHQYqlLf!|I$f{Mu)gk$nJI+OY6m;uk}0$B0n@b`(q40VIz~Y-aAuU-Q~sIWh8vS zKZ^+dpm?MzNTM(CNv~%k6mm%w?xG(d%2*~EpJs4_BRL<>cl2nWB0Uv}uXr%O&cc27@>1aWo`7MY`TF1CnIQ;L`qf%v6&7#%5lsk3>p@?T z>5nBUg!|@q&mDBb$)3zl)l>op85?MoK#mx13_AgApZ1vTJ0^_}e2O&_u20X>i!jsd zBgPV=h^qj}=e|LR_>01h-S#fO7f!Z|*GmL7N8#vI)fW=iNVPbF?1pUYkO{pI4??1T z1w{W;=jgtYXf@f^#aHO&M%&)m=Dp4Y)tciEKb)6*tK2*2NRU|gHdbDm^Neb4ED#C% zA=T_CAV};zOov~Vm&Tg8$OX+3M4}hc_~KcUpp7( zaBe=^f$illQ~wV58V#%xuiW=06NH5ju1h@wRQFnbnjWz4$!TmzyQv|2)V9_ zuKFB(T^Gu^ut6<4K&P6tH_8r&1k#Z|8s_}oqqrErn-P1;n*x4GF99;p1q*h>fqlNj zNCbrd19r|jzpUY9Uv+N|ZvauDr3H$59XOOIw6CAAPyeh%37XDr-lH8VOO7+*dP=gl zi;zIwDOPNq{pns!vq3>mraQovb9IVnRT<3(LhMV5=&Ra<4n!DBqH8SOvsLz09I5>l zjNHi|`)xQn7C-y}vAogSs_rkjd|TNq5LrVRfA%=%b_bTgNlx5T1^+$I zgW`_w_C4mX7L8G4_OpwSi}?ppy7RWY(dTYYHBoW|W{tR2@$EHB9O-o=Xn6p)i#Y>U z$hpORBIEKVKLvCJ3MPb+B+e%~inD`AD-lv7pU(P&Y+RjGBy`LEAj8up!L$&XLzrAo z8Dk%<+lR9`$Gqs34z*wMYQjL9Yrn^xZEn}Ljh_&f>QQ0P1dcD`7q>LYq_R(Tso<5e zOJ>;U4;!fwZNT2r@b82zVM-Kkrv{x5NlgX(6Fjez0v-K zexuerWoVS?iAd9@Un+Hb6MvAmX~cCY>cs|sY3~q$vcygEQ?s|^!OV$s*g*fT3J{?_| zcYi;6y=Fy`$5Z@{lH&~4RPry>;~Xmr#`-joUatEr(D_vX6sGOVdP*8@MTa0&1bJkR z?@T!X;tT5uypM4yo@Q!?RHUmm2x9xKV0{Rkh~298=10Ttz)G~|wrvdRk*E_-d}S8X zg&jfG_APr|ihb!O?pZE^57XAb^ zIOf%HKis;rWe20>a47XpPpb!{Yj93BcJJV_sqRDwP4Z+}$xr?vdD|YA)-A8zhIcde ziY$%FC`5P-u_M;hw>_|%f%cZgXZ{8-WYGK}~ z^}LS;iKdyar!M60unCA!hBs(wdmMK2tE_OQ^wAL(Y;s(s_T0c3dEHvL@>dL>-&!*v4$rxhdOd>009!IWh%}mvVk-r|dkY&zw1kfrn~xoI0#%XuHvnel zETDuD?e+X_2G0A^f$i@d{%Wxx)~hL&{KcT{tIPG5bzVJV#cpfjck^@JZ;g^%H=uaP z-I`E%V|o-m*3SEnQIZ@i*ljCVDStkKMGBAyhyrUxeVsQ>a6b>de@a`8n(kk9@5_JP zt<%zdH1GUFrsvZcSu(V&r~8;kGR0dVibVgSpVW*s{Gpusy4c}2|FB1^BMD!2?$)XV z0g27U5|Qdt3h^BAc@VB`)Vpx>@;JDxdMBA`d7pUD5U&_y6fI}|8w(#A&K}~|x#S;b z$HYs5j2xP)A<0+@w?7-34?a%}k6%iS+qP`i3s9~|r5d6z8Kgh*PMUc86(v*Ul9W9y z>wzo$`tm)AB3>vaC|3WjxSeB=4`wtAK@;E>bI2Ff-otdMF~&>Eq9kAc@Lz+sCRE-` z->E)rUR{!M-+j-aWG+#%xobdpd8?L1+ENMHX>1P4|A^+# z%0k>Ij@NGaHCGXGrFiPosM-Cx*$w4b-U}=1#V0 zUmdx;E4zM0*Ut4}r<30)gs+KKInmXSD&4wwH`y^0Cyt37z+f>54P^f9<_vc-7+~uw zTsr5igu;Q8jI($S3%giSHEI*orX(xFjPV7oWzJcSxMUX2ZR`rIVC|;??2*1d#Sj~KAseSD;!!6j1I>m6f4ycE-y1^*dbMx~>gs*! z&E=f!5_6%9k_@*>JV|LLf^v05CaH@f!o?(V@-!4w|m!a1bcK}ojALT$p0}o1D zz0!>vBQT6w3$a6HUuAw8H3w>6YCYbL6R4S|<^2NUv)uB<&5PY6qfh24H_4P@K`rwd z7==!+$v=Tcl#}=8y!P9WLB#*w=JZ?(?+CD80{!kCw;oMb*MU(8~wPcY} zrJ5eN0B?<3{fOgfk@=``C-1$vmQ5$H6tk~j2jri&YRuw?a4P6L$6_DwPPO5fG`GiJ znJG&}k+kPA=SY=9+ix#fz{K-(k#Mb2z(RQev|Dnn2_(ppU#^tqiGIekfM&qaMS$a}ci`AYW!E zl^d(@Hx z#G7nSFNs8j^f~TlU;n4W7$bwMOuh2i6NV0=9EUqz{4Y5%RX?pSFYak(D>y8IQvUT_ zw?Qkd?Q-#~3?z zk6eJg#(xPnXKq?b{#%9?XmpFVvXyBtj$Ed~G>%oc>qPApJr4Gn_r??F2Jb|`b92zH z6P@t+4ugpL6_HR4DJ#0OjB4);r$sztMK+O3NL;*K-M)8tPue%!W94cnYFYUhG5J?- ztq80|M^cYmxe!ph0mX{Q2R1&`Ev8=Gh1E#aPJ4e{A{saP{ttZNzioFRX?%;fPFYEQ z6|^zB=5^!LaD9+F<=Y);43Ar)MSjLKP8<;FSQXySf!Q}7?{fS1?0AhRZa(v;Be+a( z`GH;K$TDnP0AWjMX2zgr=&m+R$7F_rbl5|BXM5usEn_bW{vNIQV5WbhsdjbCH-Cme zxeK_f-oy5N!1%K5W(immzFlbI<326Xf7How_)LIo`U3sfu5sm^GN9@%bYu+` z_o)}7iW)-fSB&3AFr2Px>o@BxjLD^05g-5UA+DTtqey-0?1$Rcg4z}HN@l0&oa8@u zlI($ZrVWSWhBNmRH|`ryl+?rRw5Elo-c@{jS=qm{FBeER@*SK>RVDOH+4ctbcSw?s z7IlK-k#1g-@3Rak0aRpw3QSGtcIn;U?N|oY31%x&w()yp)s?N)E>S_v0hDoBl;D2b zp6yE_EObBe(3+%kxxudJ5ya?$es$1CnpS>Kj%4yP#rH-$bykGRzX2j^5s-#>3L`Fk zu^RP=tmc{IN@sE<-oz2T61tm1tNQk)kH6wi_4EN}dfKF9f610b4~R+c5FJxBk8Vtc z|8)1mZVuI=|4RA|#N5pjZxaj(+TT;{Okux9NR)>7R)?QLNv831C9mtzYJA)&D&8A@ zJ1dJ*zkS)~AHEIn39li+nw24@;uc-{L@ZhG`djU9hLPTTI5XX;awj8}ApoehTxS&6DJqi;h zsL_X$sOT~6K~bEyk4`M1P|`l%5Rp0$u;A2Z!LmX@!8i^YY!2%t^GT~uYHPVhf{trE z#eAW+9Nm)QbUio!oHcAP?Y5s(rzv5dot^kTFFszP!1^w^v)j##w^dVUrd-XrB>YkT z+@fyQBCI$#_cswEo!US*7w}})3w$V5R*l=G8jS5W?^WH=28-Dy0-mIF+hwWF|3#s- zC>Ai`RWwSo{oIQhE0R89RM*8-N>tRn#`^?vFtho><2PC)eTBqpk2{uNI#qCEY0aiR z_9x=|naZxa01R1s9cKa#YdIpnf2EZyA>w2Z9VdzOU#G zI1rphexhG=$x`Lz(amg6$yLd;eXFP+`<%a%)KAQHkm?YBW$M2@P^PH6lz;fZ1+s6j zwxcT&vfIWo^(U_uUTSoz=H=C-Dw`)}{XI8QpPwkrg{%Y_P-@dN5Z~i=$CGl_EEB5S zWDhyPzWTF(|En@X0Mu5wCO)$#{ls6`9Rb z>Zeg42e!Tym1my8kr(g3icrRekr^14 z0$qtR%Gl<2F|l(qAj1jDvr;+m3siUh>Z?$ViS7*V#L|?LKk--*c_l!1-14ujYobb~ zeUCGxoxMWOE~3e*)ALO11^UZL^k>F~3>m4cqI{nt{BO&7m45QbGxf|vfm5ms_SI`a zJT?{f?)H3Kd6jL_$jQ##MObq}5i%9C#tsDOJl`DA$m+3}5dV0SjMYEcnzPdC+xop- zUTteNv-TYPaaFzTr>*&@;e1pMygM6&RCQjrJb$vpCEsF7X_m#NaE=V8a+e!%iG0So z!pC`L;&)qRm@1u_0rz@=o1oRVyd(=l7dBIbMsWic%{RBg7UBlc@!>L*$GTQLTzkgz ze}BQ>7;xW)45w?Zf9^qeV}uvyzcomQt;X7U z?JWNxrnqD$r0YmM1#TTOAQ{2g2Gjr5{9j`JMij!4mTWJo?T4zx{pK+-W<1CKzk~$q zO*L69ZZU~U+ar816tN?)d=T&65&nl)a0-b=U>4IKQlh%MlfHZ?!tk1np}AB{_t(s%ahA$!pm<}5m9UXsWlZYPEK)S` z$EMFLoD_UCv*8%jKLHExf@KbSyneXj##ga1ZFg;lrUxd>6=2ZBs+1fJ{IutqIwz_B z8Gv%Gry+I>_?>DS(p4MpZRf>kP8d^g6X=r0#kJ6CRVOT{s-s_T&A>K>covN6fP5uv zz#_P|if}8!X3Sv?|F}k6oz@WOXM~}>W@9z7(F!&QuCz+a3vfuI_CE(>A-IM%j*w`L zc-eLA!8GO3EO!8bgyYIyliKy7=Kd$KDorTy@htsvoc4BpxKoDc>(s zgD|UQvX|A*M@g(O>LoY)A<5@<(IFLM%X+@f>#JtaMkHmFQkLP zcsDQI@?voGFY;H3m%KM2a5WJ>Erz3CRip1&^m>hugj;Pq97e=e3ijgRjH=73uw%vp zppS)pDgL8>-2$)^sEcC@;}Ih}BpNlvCJ}DQj2pw&68lzjC=GTueVPRq78ijz(F<(e zna`k53Sdvk%%og56=Zq?WP{~zZ+ouNi2SN(dk$$pe${`2w;sXT&v6!^$HckcNWfw}ysI;bX)JPM&hoACYv+=Z4^p5Z*gt&v`*e=*YW4V$r}75w9O zV?7-nM?1XNdg2Sd`k$|Y=SXSoj&D`Xwl`y2@{a7-&zjErY<>Cb$aNFht}KCWjxQMf zX9%#5gz}vbWaTuy) zvhG*L=u8m?5K~WWzqwx0%s`*@OZ@qq4mE~#VcF4N2 zQQ19s+CA6~m+@-Jg@SQJ@i9gpk6Osp$fg`K0ag{Og8a*5s#r)T?L+uh&^bGtx0>JK z5KI3$aES|R(jv$;VgK5pvpLMrXK86x^uFoA5S8JiUb0N9V#l_%f?3Izh(+A_`YCk+ zLsx>s4$Z(8%}+{UpYEy<`dP@GT1@x3eBYSeO?Ynn%eh1#Og&(_z=|2)`tUou<5_9ZLeTLO2VG(|=_S!B zoxAC++t+sf>#D%1q`kJXaqF>Bg};;fV_&nJ)pczfkyuq6nTu-?i(yrSdvHS& z6glgRMzfK+(X~7w&^;b)G|?G=O|WjgdjSdoEB9)^T2d@YeCpoklhZ&JZP2OZoMC7l zW9IHu#aJVkJN(K1#ykBA#R0@|8tXNuC`K+{TeHhuz{jYfP>S!5B?v##OL)w|0v$NX zmjt>JSMDg@BEOSC@AyOf7*4lYT#C|no0AZ4493=TBaNMZA-xVf*=sp>d=%3qZEX2) z%`86ctOMz1)D^AzKa)71nd9+?g6-xH}?0;vo zAIvIrUVOF4&B3i$Tx-+Fq-lgH`5q2uVVK-$vt=n#)X1TsVzd1h>Ko3jh35W?y?TMB zK%+?+CQ_h|%c%_0(=OXq6;m*1o@WEGb9zXC_+33=0FzkDpB6WZz*DqTJ5glqO>B04tnU+e@g}lY9ol;vBWeE{ai@_ay-W3F{?^ zMDl=>kYUgFUPt&Q$TyNMmK#0fL&|5WAc*aj5yfxM)tBgv6qp`S7t1pT+9>4S8O14? z_AqNMt%1G3aMOtFH)LA`X&v4vGfl*Xwx4DFxWM%Q# zCGI;afVYdjwu#fxwbktHe=bO28NzXG<3slFb1pfc^7B3PdDBx*_)bXdp4IE^kBMwC z^sUJg12WO7#WP>Rz_Nr@6sLu=_tkj2N~;Z81ZBD!Mdv(CyPqw+H>y5PxP5qq>xAi# z|I!unH{iVL7O8+oY537q2LFEoKoP(0_nGV?>eV9?2LaEw&6p2LYsca}U5@*15kc&k zARP=r#iL+GOXx$aX#H)gtPtt|8h=^eq|JhNwg&HN4c^@vyr(tz%+}zu0QZZTCoQwy z`CjPlG3nXR7qo=F&>OwcV)-wC_8GL>!1iY>_}_YI-zo+DJD~CBiuW}56Rp9gqTwxQ z-_9RC^-s-T2$fmtPB$D^eWfknI`b@znkffB=Z8I=-7`AMqa%J#c!^%*+>G*dD4la> zbV9+{y=LwB zie)HUQ^ah=@ivqTntcJF)cxk=OR=}z{3dUTR0aC4C7NzyfANU4DWzSy_FgfrBob(j^798qes?8@I&(pszX)& zj{DGpah%uYkMk+W!Qp=MB`5`p6w_oM9E@>{vIxIANrTStoE{)b4Id-;Z0rMbM6)vH z93UNGLBX7lZnG=~Z}o%sB7D~UA$UwrY5zXT+v6Cm44Rj;4lWuPtMS*!tBNU7q%rFe zOOzJ(!tJQb_Cgl=j+W3rXbJtpme4-}+M^HC+;)F!@L#kBf1ow^gRQ}T*&6&Qz>7!R z#@3Wi!9>zRw@)nqS38my0gk`)FUx0*wJm{U{hXQjXH5w-2|?N_K&O9uCV>_x@|U!P z-Wq5+9go>7nUP|a@?8SBrU)|E}X5lH$*lzFirWp;y!mO+egs?BEeKR&n1R>qH&2CHxV#g>m%Xdj*o=U#0-dFn8(!h{E^r@BszQVxLR`=tYswHQh-ok za-5KGNSMxsM!I2noREOv!XyfDcT_^gUU3--4nsHsy0~3t>cu`fVyPX{V$W?yRV~U$ z^xTe4p@|74(YQq5CO8UogL|EG3w4kEgryTgFbx-RaI z#j5#6i{`MmwjPJ*&`v~KT zke(~*k3E!(GRnz**-G_3H6}R{h8?Ej!(7hZq2l96$~Z!Cn0~ogaU`yQ6Frw0w~3n> zMuyg6f=+AsD(%qE)9gq!iMDK`ntvl9XXCRG@%n=#%*Tfq=dplv&0lcEw+aQ}Flsfy zwB-nV*kXJM&Icf`bftoryZDP0!N}4w>_X>HgwS3HHR{@F>4XTK0Y8eR=nWX$=Y6^%c3LfUbPb}eWRLGOA+I~z2Qn z8YsFBVlE4m+Z7#`U(us~-L#3bKNA&g0Y!W};xn0w3M?SE#ahIliMtv~w}1guZg0`? zsLAQNOJa(i3>0nCZbhqNihct{H^JVWGZh`1zeVw2co>S_4>5aWD&m}w({-yLP)FVU zP{g;8?U$+Oi2RCfiz&J{P&A|6iXKNFErWeb4DZte*IkmSsDSI1L7-mkPlTcq;r=TF zMaQ!%IMAd^IK4y&X79$~XlhVNdyj{hV*$B3pP2gq)nb;h*--F_d}7wctT_&1DE4!K z7&hTp&>qFKxIxht2YlBlS{*b#o_K?z4S~jO!%d2I1Zeym{ANX)58A`vyH(M8L0b{icqGVF&xYglW78WH^y8lfe{#in5$FHMFLqE zm$5WXxGYYX9w#L1aNj|_*C`_*)J|a6Y(-&6eCJD~wRQ-*MVkNo;xMf)(0smKkxx-F z{Sx!)#5q}p%=z46YD*8NZcW%+dakHXH^;VYE&=5u_1aW8Zi;gxJeKIn^>GI!z7msoCKGq4qYg@RWh;*_NLwm# zEuoWz<16vjCK@;SJY}?_LKhlO!NGqULZV;(Hfo>eS`w@2^Sm3(rvscjCN%il;bix% zy~Nd8Vooj){E2Iza5eS!Si+8k(1c;_@K{1=hczzXrlKMkVK5x zAE48t;hUnx^eIl(#ka)?EeV4CIYzA|VMjtnf{++M364Z-6Vp~APWfXj5uZL2CnU5C z_+J%>3kUdcmu`MSXgdg#Ul5CSrF%k2%%|<>h5|AYf%_Ix7%&n+kHsVCD;y609{>RV z|9AnES8Hq(RTRE0P~K2prR7;3#dfXAvy`Q_ot?eAJ7wqL%q(;zaU@i+m{g!85Riy5 zF=$B8Xox~EB*X$X5^&*&YjDvwl zL(!#U0c41H2bSLb6u_ebFsE-2H+V#H2Py8rk%dCgI589!Us!u$6HKIPxetm}!^UI+lDqvtF=!)VvTHMg3;Z79gklq!yPnB`vxSbs{Wvdd*>XvB$ za+0_T8s0n8_$QlX_kwozG~G&07S92o^-9moS7FB5O@)D04b#@)csQ#Xo~>QawLJ6G#e@ia)!&#oT-laDU*(M}rAXO;uyR6mf? zhfa)zkzJMw%J$-u>rbH+lf);`Ntm`vVR+HVG%8-}-tOHT37=#)ZAk!3rx1SL*!>-- z5a`fI7?>6W%n)zDU$@@B{uFWqst8JD+e(@+GX*wT>fqJ&!}BmnGp?zGnI$Sg#vkfI zH#)o8!r{)YE$tl}W>Yx(-#oU1{p3vM*Bjfrk}|ocr+X5kXMs9u=DhAev==d>={ceq zApGIBc`(R>P3zjXG-UZwoAtYKt~d#}&AlIXvnkGxn$WCyr1eb4?enM^5ljZqnVOsS zl3K)8?6hYonmwPq-tl_%H3VbHN@1YaQHg!;r3}rjBI^C(v*QQY9#dvU;{sBW+;{qW zRDu|8qL`M1f=WvoQE8}}bRMFdA`Cx-27Hpq67C39i*G@)=gQsi5SWoR*egHth^i^z zlO_P7>xFz09J_E>)h!RY+D$nF@Jfgby;xJX4^)wRd=IHfQ(c9Xdsvi$+xstm?xOdY zF=l}^S~99CcGS}qLy1efw!pz=Ko9I-en$<;e2-+P_VOQiI|)n==enP$yv$AasAvW8 zS8I;dz(m1JhZ*eyKdQELW$pogOe8@5!=7dL8PCTzhX)o?Sle#hFJTyyRt}8Fe394< zpsKP3+mAxFFkyUzkSqJmJ8DAe%3{j?fza85s2O8pw60j58SBSmJ(ti$zOnB}a6D(g z4h(M~w5MuI|K|p088OX~+V&rpf@bu7nr|U)o2df#>!>uJ_WaXl`Eb5$pm!8{@MK;h z%jvZI=5sj^;dhqZ5hy>S*MlCz>9!*o zVg?wd(9^%X)P+Zs>wrL4wz~P~l?9Dk2fGGt+>FPAwrfN1*uZk4VJ4%z*VGbtY&=fn zP_(&gC;~AiWOnpY1Nv~am?rH;@(q^hLmYOWqQ<<{imfRT;2XL{@IBE)`o28W@G=|c z(@eai88NfDAk>whZs1U}xM$FK6}q~@PySm`1$q|1U~0DD28_@Z)3YTM0s&=X6wGMN zR*bk*DOsrt$B9}vHWcUFpY(KyQbjLZ>cw6oRZNjS%VTu1fNhy9^7$qdqePUH?6}AJ z_*T{Mu))!bnel>Tg?;x68MmK}2F+E1u9dydUW>9xZu9=lWLG1*SVsm9gC9>gX}yK` z<-}{d?qZrTnfxfCcOX3YQ}}XoRuyTis^iV3 X)14ro+Hl`liVewxe|r7{00960H7n6f diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index d503ea7..d2c0ed9 100644 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,21 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1679994373538 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1679994373554 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 28 05:06:13 2023 " "Processing started: Tue Mar 28 05:06:13 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1679994373554 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994373554 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994373554 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1679994373897 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1679994373897 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(104) " "Verilog HDL warning at GR8RAM.v(104): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 104 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1679994390038 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(281) " "Verilog HDL warning at GR8RAM.v(281): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 281 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1679994390038 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1679994390038 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994390038 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1679994390069 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1679994390085 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(39) " "Verilog HDL assignment warning at GR8RAM.v(39): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 39 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1679994390085 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(128) " "Verilog HDL assignment warning at GR8RAM.v(128): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 128 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1679994390085 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(136) " "Verilog HDL assignment warning at GR8RAM.v(136): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 136 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1679994390085 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(143) " "Verilog HDL assignment warning at GR8RAM.v(143): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 143 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1679994390085 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 -1 1679994390538 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 559 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 562 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 561 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 560 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 563 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 558 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 557 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "SBA\[0\] GND " "Pin \"SBA\[0\]\" is stuck at GND" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 442 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|SBA[0]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1679994390757 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1679994391085 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1679994391100 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1679994391100 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1679994391100 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "336 " "Implemented 336 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1679994391100 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1679994391100 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1679994391100 ""} { "Info" "ICUT_CUT_TM_LCELLS" "256 " "Implemented 256 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1679994391100 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1679994391100 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994391147 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 18 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 18 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13094 " "Peak virtual memory: 13094 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679994391179 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 28 05:06:31 2023 " "Processing ended: Tue Mar 28 05:06:31 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679994391179 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679994391179 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:39 " "Total CPU time (on all processors): 00:00:39" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679994391179 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994391179 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1680020941950 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1680020941966 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 28 12:29:01 2023 " "Processing started: Tue Mar 28 12:29:01 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1680020941966 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1680020941966 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1680020941966 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1680020942309 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1680020942309 ""} +{ "Warning" "WVRFX_VERI_LITERAL_TRUNCATED_TO_FIT" "1 GR8RAM.v(21) " "Verilog HDL Expression warning at GR8RAM.v(21): truncated literal to match 1 bits" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 21 0 0 } } } 0 10229 "Verilog HDL Expression warning at %2!s!: truncated literal to match %1!d! bits" 0 0 "Analysis & Synthesis" 0 -1 1680020959164 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(99) " "Verilog HDL warning at GR8RAM.v(99): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 99 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1680020959164 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(278) " "Verilog HDL warning at GR8RAM.v(278): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 278 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1680020959164 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1680020959164 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1680020959164 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1680020959211 ""} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "RAMSpecSELAny GR8RAM.v(69) " "Verilog HDL or VHDL warning at GR8RAM.v(69): object \"RAMSpecSELAny\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 69 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1680020959211 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(31) " "Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 31 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1680020959211 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(36) " "Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 36 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1680020959211 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(123) " "Verilog HDL assignment warning at GR8RAM.v(123): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 123 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1680020959211 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(131) " "Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 131 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1680020959211 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(138) " "Verilog HDL assignment warning at GR8RAM.v(138): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 138 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1680020959211 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 -1 1680020959664 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 556 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1680020959930 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 559 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1680020959930 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 558 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1680020959930 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 557 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1680020959930 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 560 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1680020959930 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 555 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1680020959930 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 554 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1680020959930 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "SA\[12\] GND " "Pin \"SA\[12\]\" is stuck at GND" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 439 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1680020959930 "|GR8RAM|SA[12]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1680020959930 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1680020960320 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "321 " "Implemented 321 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1680020960337 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1680020960337 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1680020960337 ""} { "Info" "ICUT_CUT_TM_LCELLS" "241 " "Implemented 241 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1680020960337 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1680020960337 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1680020960383 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 17 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 17 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13095 " "Peak virtual memory: 13095 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1680020960414 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 28 12:29:20 2023 " "Processing ended: Tue Mar 28 12:29:20 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1680020960414 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Elapsed time: 00:00:19" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1680020960414 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:40 " "Total CPU time (on all processors): 00:00:40" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1680020960414 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1680020960414 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index 999fd86da04dd674eda9f689ea0241514d8da3d2..7feb4a04bfce602e33818d21089a1f7c4816d878 100644 GIT binary patch delta 918 zcmV;H18Mx|3F!%tPk+_}00000006N80000000000008L(00000004La>{Ux|6h{!A z35X~IilPK^%AqcREiL;P5V3t*ENmHJwDB60oKWvf&A6ebd(;oZaLP~0U*i`*)%1GT z7@K1bQCjNho~o~^>)CxhNs?PM&qkUi8SNX(3Y$*MBtqjz~YzyiM~4O%nZ$ zmZ=u1bJD>*n0MNrAn)g)X@u>Fed$PlAabt|Xv6d0`svN4^LTus!G`p-A89#8=cTS; zUxXT6&$;B2rhgN4HzMg=U58`FN~K3UgdF`POl}&u4y|f>k|(f zyPJ@YC))rA+JB>hU1O>HgqKDSVX74LFb5VL+EXlt*Ma8jrSdz2Sw?rHp}CS{kE1izdNy zvQJZJvw2v!!pfGhFs&^jpFQZ+MUg)Og(x_fS=r!9a1G5k4iUOH+n>V0>=F?BACn>4 zR>?vv6n_G(Y(ys3$F5>HfOpcLQ0xiS$QTT^N_gnb>VmFaicEjLGo-H#R8p~C&WApx zHs-`ZBXp=l;e(}EFS;yR&gDQln6mVR1MexAfvm9d11Cm0Z{;F*W8Dy7rcjXa7Aq>B zWdhDQw{nVYq`I_yDVFGkxxM2C`_V_2AGgj6iUERE04vGLS# z(GygCj@H-pNA5v>)FP^tdd+)HSAO#Iigzk0m!#qvIn$G zwtt6{;TWC-N$Gj~`xwUCqdXt=cgg%2)tX0m8jC#&@xyjR9ms0ng=m&n)b~W*KiWE) z9!3}+eQeqX3Qy@edaAwj-8bMYz9$-~mTx@&A5Q;-gM+B{ZQg95oc5 zp%sWJLWr*uhj(d7r0wqPRt-sROVmU`O|@hLf)n!2yqOq0_Q)S;hZBDi{~^3*Z+6o( z;m84D$zzY-?>)b7Kg_c1BbwJE&$EK|o#=nD*csPeQ zwNQhT4xYer(Ebv6zYJX`>_Fr@NB$ulM}a^ap8wWQRGaSO@fQtNB%Xat%LO_wbqgmV zwCEz`j!&NdL8m*n<{iNQkN(3R;A)@>;97c#tx)jFcv<0n7U%i=L6#kvez3B=^ssZZ z3$t>10C1{3Dt|aKma0#9Y4jN8NHo-!02&Q?L=W7Qw6<({s8>8F^ zlxbxJP0$sKbWjLggQ4oQ;7sFR3yZ)=`jDt4rLYAQ^nJwuK$<$(ez)u<~~fjC9`0Rq)2TF~CBhAmuGKls@YK z+%Rrq6zfQNX=5tZ=!N8T6~4IizITU{Nz=FDI)6pSl8a+pnQnrVEaM_i&(DSNRB_1? zR53<3+BJdVqn1#;)LYtuT7LE^(G%@TQY=Z*TbMr?!;j(*$7irorur@Mv)CKs{Knagw_iq5R(gPO(#9O`a diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index 07e0e1721fa69e03b1b6917eaa10a99ca0ce7f54..6b4d664aff3f7f2ab27243543e5033b2bbde730d 100644 GIT binary patch literal 18273 zcmZsCWl$x-(k1TB;O_4340eaXVQ_aC+}-8kGU(tkxVyW%T-@E=o#lORcVmBSbadsZ zlNlA2m0evGmEGUKz`!aH!M{o{FsrYN^Gm9kIyza}*^;vHF|#qVl8U)lTAPq^^RSY# z^0Bb9v#_(W@sX5*}d@Ky*d)(2J}r9Q-rTdXYH_h-LElc-x^M6DJS9W=2!16A*)a02x>8>88iB3Y%|1ScKJDcDr_b+sP1T07hssirIAljxpewN{{*r z8mqtepZcQ!2frhqn`Q0^4wBKv7q|%?b0Ke!U2g~LH}{SA=Pgj}^%Q?f(zQgljL_}D zZGo*((?nLeG53cc=jh}LtxQozb)OAKV-UR;EO3%B?h;k@ejGVnezUfv)$sKJ`LN?A z3S`#w%S*i@Viu*E zRBr88{b8Uybi5beP6gr0K-=G zEEsw}NkdvFm9OgV(8tEG$5p7w(V^(v2JB>u#V(1S@W$bB%URk9@E0CnrM#c_} z+2+ZdjgN*b(%`gtyN3W<3o?=ufVCbOEsZIh%Q%7_!94Pjp#5e$`Q>XS#PoqNV{U8w z%yvOD0cOrchxne1@b};GbgJ}zM0?{GgM+>=sB{FwFkU0KkL=HG)e3x@`vIg%+)bNr zhsJGOx-lG!FF5Y?<}3S^udooAzJshRg0%)$mJBHsh(iKCa&KkY-kq;QG%)0Xe8%U{ zqj37m^AnsJxQmrjj_xix%6D0~Bk<$|UwY(=rY+Wg8m z-g~=;&{=06cfYQtv>m9KPwz9!tAEZa+n$K1p{9vTTBB0YuF<=-b=1SVs8?? zy=Tq>+|xe#H19%{a?Z$|?lb=N(3br0sFuC?v2_^(eJ)^CUPQRo!(ty@&mM=~@y>;t zyI4$nB zn;owe4#Y99n>>H`Ydh~jo58mOqg%KfCo7?48!4nf={6Rr%H61;mi3RMN$*?Qr*i%+ zr=FXY_-NR@us0fV)YEQ>u4&)F;yD8K&j~C?K4DhHk}GaV%*F8DA)lSUv_bIG(|&8& zs6*NC0?w;4y8Xg6x{HxRsr@31B1$nFjg~AbY444x@5vE9jmFwyfY6wDeeIZp&+r|J z5`tS!68Nd!Yxz$-i%r*G_QFYfm?V&pNu!3~{ys9V@$R;sQ3x7x2Nav!q02A4i{J9n z9jR*Cjaq3AG{`zwV|@67&&@n)8*>ttWW z4V741`zj582)iYV{y89rOT)(YKpF20Sb&A1k_05G0P}yLF9qFa~>9icMHTR%v>r8&o}96PWJs)ZJ5JF<)=v z!kI3)9m%SkAIq2XP~I-}Qu6X%M7jL%cxaz$=wti7$5GTFT)PaIt+Y}ij8)-wqCP;Z z&DIfSLnf$aT3s}cmX0b@V7!`!9FZWo<%b3d-fl~JO%oR}PqEDp0p)dXtG_zc&~5Cg zbeC=SS&HrpgM|!UJy=c2zVy!&w>1SPjDrT__ijJKsc)EHX%@-2B$qMtn z`>s~L$g5R{z=p4ARODQKG@#fXzAw=({PT;Z9+Ywdm_+1NaG=}CMcVYK48b;+=+1I zeRf^iU`=SKDg2FKtd_;}VBP@48E5qJgACTiwwF698k=bk(N`lZNM7U#{RPVFBGY~p zq|{hoe2vE8MTzNk=6x(qXvyql@_6xXkU)&ws%qv#H3N8s5t!rA_ui@vnlg9dOzuB~ z%8DT}*>(yPq;|p|Bjn(zL;L54>}cf9_~*woD(fvN7ScTv!ETVOgQOJyU+0|!&pzV@ zklFq-6! z|DY8Mvj4_fUlWbNkwWc2s(e)Hjd3P0U162khvSTl@dwL{1Pb;IZ)ez) z0Vk1<{%IR`g&k_!i)-&|AI)L(+;+mP)XCL|2ZhViUVA*hx8ueSB4Iwee<;~!VZYup zqK=XKJo1J~7Y_f<<4RRbe(P1p(LaKtSe?rgx8kR&*J>$3CcTj-2;PGOEQFu|1V1k#{yt#dpv~tzQ6zXJQu}{1fV4qrHg?}_maMS|p zrjf)m?Sy(U9sfU~f=NJX0jR=D@J=R!OKf5(C;teOY2J9@PiRvr9*>x?oU0`>-fv4Y zv*#{`OkdY`f2_9t8C(@T;)l;Kz@7-I9(+m@1W~+RSR0eO>4N?JjdF0SneX9E42qf> z9K!ctEo4jQ!>>agKHWRG3V*IBJ+vM%>qyd;w(9tVNRQ@8@A;%?pf(`c+G_GROy6)O zcB8Bb`ejNpd<=Sac@M_kZ&fy2_}d`Jpi)Zf;EUN@nYk=QWUN%#z+T|2)+rB-1lwR? ze?xG+{q4ephlpv4)e6ibR0MJf(#J2RjjD`nQG!BmKZc1NZrEqNvfs2hOo=;aoL+kB zO0FPM){iPH95KK2ob!!My5Fwfqa?ARbR>qpb=SAJm8S5g z0f>$~tzlYAPi-TFp;eM`9#^TwEp2pLkxIREC}-jUB4qK84S}Rg>m>P~fCa*s(KEyy z1BMuMg%+b7*F+aLpK0=hA#I|mtKTD;XeATIt0z9X$;K;puZ+I~Td193=Qr?=W|ra; z6|E$#d|1|`=aoV3m0c5cJXzq7WzrbR+x}Q=N7!>we>A>J?k>}MN2H&~f>@JGH*D^_B{3MYeP~eAoqO4del|Z683S3CK3aEXAkiuiINu zev1f{V=f}WG`}!I)LgH?u5Be?GI43r96qtFjhkOLA}ed_5mR&X3Ms!hK+rs|7$6gu zf<{pwv{*wyllOXW@y7VuW70xyb+cs*=w5q-OhhuOuT!ajSYvh4Ya_AANQoxeUMrcE~wk1^#qht$s?X_g&&L|1Q z#12{qVTE#}7$~TV+J`dK511{F5;*KYA8;#NMfI%J$TZ;4kj01XIS$&g0OYUj4g7t; z^4WTEajV%o!kO-CQC+cXj;w^q@HDJQaG8v1KE}uvghMs@jquXM%YC|Q!Ulfx0ySPO zjL{<{Jy2>Ma!1Iq4`D2gJXmCRq+r;+XiuDCavat(dEg{7#{c0Nz)QCI%5<=MRvsMq zn|Y@0Mn&LKFQB|#tUw257X6m`4XAEghv=DfYE3n8%lP7G{MdRfe9_@tv#184+Fl(< zmpu6KFwcnHzKm`sQw<(@@!%$P60Yihwi5LrtHYdaV_&)$)B|HfW{6R+rMzynaL*@9 z4eQ%BdoA3GLS}@|HF3`|>&^Cf0l?KEJc6P&8;Qa;hhWeK|66w#3X+be6N5Qaz)XdJ zL4Dc6=HIrS;`kQNVGS((|WZZL%h6jJPj*C1xgZjmF za<&eYe#%0(2wY>&qA<4q2Ot=7CUj{cBZjn8SO$aExqFXo3Ade_V(eSf0lequx0v%Y z!B=Qt>i|HJyg+I2|E9F)<>Xjb;${^M-s@?qauAN`0 zF>JfN@wFG3(R6so(iBYSUHxHLdyE40vZy8eZ2oVBGPI&kUAixEq=nH$yk}6F0hVVx z>!NQ60MPNSN3Xiu3May$;ks>M#v!?Y#p|34;eh@T1Z3Xf+3PbY;}s9Wx~b;;)=Hij z7AQw+iEJJ%=E0zQqv5uuXqDMws^YXXKp3IBzq2>;qpmZ}=(;~WGCk|Jn}PY^Rs*V3 zLXpWv`LDBv<$exTqx5>aA#C%m9l6y76XTO$Jq0WNT9qLZHj2dc1ntJU6cyJx?uE4* zudG@*s|DFO_j+oa{q|q&gDRdw43kQSZbo@RD=OvJ4V}i(P9~UE63!@AQckafd~0D` zf2?5?%eUp4 zRJSr;yfnMXa@g7|Ww*v{u%XIu55txm{GF5&)IwgkvQ9FC%m5uuH;BLY2qzN%eyEsa zFMeBIb6kkAS#3hHOJCn{6xFEdoWuQwo(Xq#)3Y?`lsQ-nX&=w2ghMqB+JVAQiB9~R zuyJ_X!|Y-912TKDG*-+!JxfOVY9CF@=|QPZc;L42L+tvgO5y&QJG4tt@aBT*oA-v; z^<68+ou<#tKKjYoL%=kbp4hSyu*rI*(PPZjT$Do@t#ERiamy)206g1?75*thMWy>t zM;^+1GFSME9rUu>9M5a}gcXT;G0FPY5qFPZnVjL@0FS>`ZpnDKyi;5I(2&I_%qQi04V+FzWXiH4o+#SyXRE#JqiE-kJx%nvQKaU{ zmA1_vVcYq4mJrF<-8%==tJbd`;?+rwj);aq^wqx7j@L9Hvwe_VvoGw#MWwys&mm?& zRefa~3pGk*9xPILgntOTR7=-I@Up;BCuLWF`Yr29c1QdTZ5VS=<_s&YCnO7br)1#{QghbrB@Hk9sxw}SxEQua#xEWNu8X>ha}GPkAZ#i?}Mt0U3?>x39; z_L5;9XjE-Hq>DL!=K#vR3@}gyjC<@|qUSDY|0RDT?huuJR&;&5tBl=JOETrren!nc zFj=nS4sxuaI&Y39evCfI6|_N(9qGJ^2byNeXu8kdFbWfc(v#yuBSCdnSV{7dECq+3PHSYLx(1Rtr3^C{SWU)^mm^umlTmUi`WycndQ*vyoWOOS(Wa3w)GTAujjdU z$O&rjiZF=TfV3DqOZ6h5T5)8udr^^`S49IQdpa09fe_)JCJ7_3)a2nAz$Fu@=4SCp zi4%xx0dC-U2)Wk5;b^2cK8f>>3$bA!>R$tftHw! zd9j_z+2V=)^6vZ9DyxGZW+#Iy;31X|BP?8Q0L4m0Ewhy_sW%BKGuYacB12Lch`?$) z$-UW;H4NR?Arnc2mJuwns~^7!9I7KI9f{G*4}B##$SAe224+G*rNjK!nx@x zX*kqrw}Pwtoq!!x@IAzA->|wLttQkK@HHKO6Kec-y+p*3EC`ZS^M(Eyp|9>T%9rqWjpCK_2M#{?*1dbUMKReyaUuWQzJJFeh$j!l1YG;mxp& z&fE!|Sa^M{N&XvL<4`^a%l5R%B=i(yy8&1JMpT1O#yxX7!QEZgvs>?^M{~+g`iF+{ z_Wd%_Q^bHM@t`-8=?~P=KNbWa@$>PgU6-wqGMOh4*fZofNutDwhfl(r{OW6M$GrrN zJq)=^#Py^$d;QS0fYeF>(N{i;DMaU+jC7kHx>1%WMp32@#>B=V2O#CMz9^>$H{rRy zlvItXhG8n|tZ<))?+g1xv>YTot}LUL#{vG??TLLn%9Su2HaVSDIkH58!cox=Z1T;Y zuS>&#*K0%yY9A(E>P~`gwuKO0BWB4-Q?jukBV!|^jnD|?m|?H>n*@VC@9N#_($Bz+ zkcY+V*b9S~L-C{Ov=8@z5wTC5u&HM>V66Jv(0#4nAm%%!LWZ4w$T{$}!@G`f_eE?{ z;CE7ykZ~14_EfTY{fO#7qa~=Ypp3hmx4}*FGC8`aa%sBGaQ`5>$oA}9z~wesPN*Z7 z^M>T+>QN*Neq;PjrvYkqYNfp-l)*6eTo|9z)-t^87xhAIw5hIdV6;`fQ=^T@JL}$* zr0KybOr30A=JgabAqxI+;}m3^?JFUeHrZ1Sc)C2sdXEbIZHXxvyC1IJVL(3(9e{`*rHE7iloDm8$L`vccL1hR+4@bM?0h;7Zk%n~$?n zU8tYoP9{~QjWNp!hbZzb>!-|4-b(TQ>5CSVkP*t&y|<6Q1#x{>%x2@<%S#8JiQjtG z!Oo#hJ-!YuD~J8zBX*DRwyqWx3=;og^Cnn`Rk2YL9`k)-ds}OKGY>MaG244KaxR|PfYQ}I=+al5EX$(Fr zN?X%l9l=qj^f)xS=GYs;(X24JwFo3lkHi@>1;J5{-bp3Ta?gWMxgxt|o%L#;*U(!% zk3q{i?b41i-lKF0*;Mxzi{CaqcNH3V;B1X@qY(Ejy6E5`ZccUImE_pp((6`#{!B_{ zilsG5buO3bDUWC+b8cgc!MHM}2uO9^n%MU(9?pOQwNcBfZs|ecSES+M_4rvCSt0!5 zXh#-+&c(q2>M0YxT8r*hS~0e!@2fGP}bg4MP&; zbh>(S<>~+G@~q0#oiJQnGp|Ih>np$&+LFxW8T@mf)6;TS%III%I_m# zlALZPqz5SUf=AZvvEITt=Q!;piaNX|=8DHAlUu0n^E6{|>>Yv94N1q^??$7Jsk`gM z|9sgG?4a35;M(u2_>NLsanHVe)~oR0MmZLMNH5(OsOPZLW1Dm5q>l1;s=?2oj?MjV z-CwFF^1b>D$+U8Y{jx|ZR$g#6jyA*ge?I1m?p7IXlw!4BOmMy}v#!B>V8y-eJUvM` zm2+zmrQxPqM=ccMFWctS?;ph_mV;zO?eDO~E(Tm4jTx^}o_j8(1Ju{;U&8IRazVMI zamji%FQ#+mi z1Jm(Lnlqo==|P5KwaiK&`@q3M3)i%!!C&EY%+icIRDWV5Cj!j*k(IeLwFYis9U`kJ zp23A^)go%(>aP+VL517RrZt#=zTg4$u0}Gd)XGu3 zh;Y)V`Qzq-ydqJCSnR(Dh=i0-25-aAOnbXv7ao~(v4O+Fs9Tzncd&t=TW6+nd(Si0p@A^m_6zg;w1LZ6Zu?KRNR~y*OTB zuGzOn4-Tz!L(*CvQ>al+chgyxWh-kh3JcNv3VD}aO3ZZLUAgqkxEbPy7C$7;^ zeX|}Gh5O}MTIW4JkVdXC;DAznkt<0)(Ks%`Go96dKq*{1sZPv`>i*WkED?I@t$Ka? zp3_L(mcx6;G?0sf4b($8vg?zZ@U*F)=`|?DXH%B7I(EZee6QS@cKe#jYJ{F14o6-Q z;+xupo{|nnuU|-?(9_i6NOd4ry)Bph*D`ag4kT}^xdKW%VIB+~sO6)#g12IG{p%$# zCGSis^mPjBd*c#K1FnAiYs+BC!-fZEc-AtBHudY6~(^qm`;z0 z(;=6-3KD!k-&D@{9^Yi)8$1%0h7dTn)lQT_(w(nJf{m|UGA94D5(5r=?8*6bUIC|< zdSdbEXuF0BlNvVTve3ps;i4!5!5Ajr^mU(=6Mu71`5SYNv*E><#L&Tgyz)zHyT<-z z&yEWbJ<7Y!>#jzlW1(CWt2*QM=!V%Z}RBo)Xc z%Uk*C#uy}h_(3MOWr4ylp6&h5WI8UeW=i5d^>Cj_M6siy0SFAbK!3VoXMX>Oy{>wp z1eH_Kp&kOTeQ|DYU&BH+;kokI`JtlU`5eTL+MpF{V|k}J!ge~EdX@OmRqzS)%u(3O zVc@R=y_1kV=pVv@W5D#MVPacvPK69SEfuR&*qXv^z;H>_{NSRbKz*mGyg4LE3~W1Y zw7D|yci+8j7=EP!Pv{8;MMI6$Ypd%}=~Ew_L)jBjZWKnl_mwccp=EUCxla6<|Zy_^e@!OO#-}pJ1WwMsC48sm^d6lgs zD-{Nz2?Mmw#l{5Tnr_L;XTo!@o`YD7vZJ||akDiyVgse?!%Lqe1hiWhesihC)&c(Z z^@uoL`=~i+C7*plkIRn`1xwY@q6zX2p!!7vJcP3t8 zmwSD*>uwpctg+}Vy87an-p#H&JWTm;<#v*^Ju?)N%*QUo?H>LRHBtkmDF_q_&Br#z z>bPF}ZoNkHtvl8JFukGx*YB7Fgnh3Os1n>agOKOTGuLiktd9Zy6Jre9)x9-Y{ABHm zRO>ui$)U54YO`KMjb1XS+IMb=cb&GHZ-a3~!eO23VP0^FMh6O#8!?I| z%OxC(KzVR)AKU5lmmj-7X$9||4T-iZ1NON$QSd`|wsY;xVfPPpym!1UdDl9{f^QeA z>b#DmvpW1Fn@K%QN!$1J=r%4bpChJk)W3fv;L$F0=z1Mly2{}oGa1z5FYv*<3s_KL z{nZ(cE71+$-F;S^O_yS0paR)`PVp8Z>Vwon-;au8>{IqPdi$W!Pw_P_@Y}pYs^AV0 zeRWCE{#!xHj}O3vbBosP>{Sn@0Mq9F58oaFtQk&54sIJ{w%axgniUDKUo0KgcE^pF z!+>*Rirho?ARU(pEm>^pCCdy-#RG#`4@zk|HpDSijBr*b@#%KDI$7H`*}@%aR+rL| zc#dLzH)LhEhuCHw^LejjJj-|XBW>5tAFH+J-w7EOb#IbNF*S_rETs4!l66*p6@8;( z##EEn`Q7$wz`wNHVBKz_klAfgDYrc*m=R^Y79K=s?Gom~9y@~YIe2-M`k?y9SfB8EgX_8wK0#Y!C>5 zhX)${+5(n`#0?S@sImaoij@y;*~TXZZ*4A4Upd-NkM=rMAHZX0br-jU_bb8o<%LHN ztu8_5Ne48$yu#xX`OZhZWS~+Vcj9@(FrfPwlRcA#|7rvVRD2#wYT7pNC{ZFp7&s&b zI*pm!^Ez(0mH74wSiSQc!((j|)OOZv=H7oTDU`fE&b4kjgD8q!eC@Ne!+_hk?k&o$ zmDDeixCGX&iP%QT&!=-FG~_lk%oF~iuNu&h2v-@3Rcz)87#If{oq{jL!uQ*=bJkS) zklefVOc5lXJjm!+>+D2gU`%xE{hgOv6QOz1AcaE!Xk)lU$Ot0A!dg|lAOTv#T~s){ zeJ#z5ybDzc%3`-x=kEWyV$tr&&P8FHx3^%W_@OOntH`a{3zMO7f>KlC)vajnD$quy zdh-PVa_WGhqC(|t81gpWN3_Hs?Yq;##fFnUjtNZrI$tA;^YNGPgB2}I+k;;! {x zwc$E??3vaJ+V=;}KGbpEz0=MK3N%r%i_tbDZjn*10XQA{(E@RDD_2c%G6HWT2f9cXH85$}B;Q0WqCtz)!)f<;b4EtGPQ@E}XX<&|w5y*b zG^2Vv8>u=@JF;aqjII4w*G#2&mgQN;-j*gfCWldoQjXe_t=&(@xnvT97Cx=#yO-jm z0ys^DyRG?z?{OMnbR~qZH3_`!45P?uIrNMmG|v{CqXU$f4E6+5S1Amjo4f#$6~) zV(wXbZ;sW#g~>UZSFJIxlM1|cLH?S~^FJ;X203q1zQ^tEp!f}J{wVV>#h`<^D7prL zMhD;GE$_R`ZPt9LqoaWZlOj;uG z%3_?7?3Ob_J>IR+CBYUisnU@LKwv9r8ri@<$x|;&s`7*BzJHRNYeYao)EYv_w7!x1 zcr`aB!8vFeqRHQ+mNPi8*~!!$!3n$$wbT__u5u5s3OK)eTN2k1^^ebLJ6GTjRETRT z1)+5dJ!3*wmNG3WzY`_c78A_Pc1nmNH&Fp3Zp$kXqs7={S5BCt-KI}eH1XNqdiXT7lsiTBgRedY;? zcf*$Yurb_QM5O3a!u7z3BV2XNkpKDQQ&&CPhDh;Q$$FEugZ2K}`?E_G*Tc?muj$JW z(&Q)O){o|h%)y&OH8hww8OHW+%}1mMTAzg!x?`)(lockk3n%kdue83o^oA8y4rwvY z6+)o+%7Ox^!L$$=0JW}ChpaV8gX-PYR-Dv{ssaD34pI2=9Ac~Uzm^9jxRE5BghsWh z+7*D!y)#qZYL;aRh4e$GJ56;;}m%PP*%!i$G?i$ zoLXs}iCGG1R#(F`6I?la34{3T$7vUl??%46=c?39=eRDuf2X)tSs!Ts30Ut!8+8t7 z+8|fpS;@+&7Ntecmz_@hHuiSRyz9Bb@ogx1EX5r5F02fakNGV(#J8qey>2Lsr7bqg z#eP_~$fb3daDd-^D=k2XVlc~;4}0%Ds{`pHY+2bOlFbP(r3>m%asmWDK|eWfiQP6s zo=0>#6}jAWhydjgswE0+jQEF{CaPe9rbqtCb(CLO7^TBExsJ`nHx{6)-~=69m1dK; z6J$%je(wrTVmZ>e^%SM6)4U-tkZW(ls@Xu#XOMTIi0`f#l z+e8$PMs~%Z!_k7I0e{>SA|dpI>ieq&!Kmnoj-->^Cq7;z+@el4xQpBwK7PaFSKKN~ zviiB$&8n;hC;eLs50Q$km=~rkRQYDuk4sPR8hqyPQ7ex*Ao+0#L0b2F#kopex|q3N z@i*(wb7r&nm6Kqq<0xkY(Il$Np$0R@C1fh9n-Ci+MRb;C!O4DLuvq>F{63Qd1vr|j zw#nBA@r4-`H~E65i-YiPW%(a$RHQJD1&k@trTbU3ovco@|o zmzv+o34GA|Mfk!k8rd*DFp#7NadfL>C#bJo(NH?^a^jNDrIVI~i=7hep(Wly6zJYZ zjmEj0(alk2?Cx#jf`v*9ywV_(TM;0QRwz6j3Nu!$OAqa;r~Jt57D;(A{Iuv;!9~pS z88_~0Bh5GXrVB%>PM2UDbgDJKU}4j03zF4JgJlN7F~i&n>N4VZ7G6o(cxeS??C${jdh1!81Iog5lyB>B>2b3%+3X5g#)?MiDPdO4yxAhxWz_{KS zcoO_Fo}r1VyKDHztr2IY?bt}@f+ZB$22>ln@qP#rl$YzKx&!f6iQ7S>dr{kmTf z*D>!0Y*p>lo1orRAL$MNx%e3WmUHpxXl&#Uzw9DuVD`;Ks&@UEKIRL( zU0p8f{n^TT!$x|ozIW{Q5vTV3=<6&_ve`gU@e~v({z!+?k;i-It(e2#c<_(1QchZ2ADJ`u{s3AJ(tu6>9Xt-2;$)@w=O@~a zH&v;)8@2mbFcU=du|%BDfIm;CKX*jn1k-;@+K!3*QobdD)UPh7LXEl*)WdZ1()!`o z+&mkrk)&2a_rvn12m<8?g05CaF4Ti@_XT7}0!YTo>!lQP;sQl_MxN|DI6K9sufRPMGX z%pK$;Qt>PTNhi2q3~35($Bgh+m)9)h(T=cx;#b8GIAN;HzGqEkob*k&MC|7*xPc<;*mvGJWQz~U|@{Cb~wL^}hG z0Xs&&ZQ5IH3HA5!e@Ahi^r?Q>D}N9uyJ5QxZo;^Xc4@u5?)?1B<-OAreGZm{%&shr zR#3fNeLwHz`j9u=6Tz;!@)^ke{I#@kiLL%3B#L-nY2$8Ezt!w!=5UlADc*zw%!0P4 z3Gf6s6bM9$Pcfqi74hEbh(>xGx9|L90ZT;10P?UXHWt=Xoom0j+@3_rcZ4vkspGcP zvpUj>9(;O$YI^yH5Gi)&dt18+&fhpw@hDaw1M>?f*%xo#7NF4Q_jv6>1_Ogw7Nra-D3t$ z2aZK6i9QR`FuQX~(Wp(`-QPXwLaWOY0FmT5Kvtmub5HdOt(6)^t%y0F9H+Ckf%DI* zooRi@p9*Q0mHa?14(5`dPTVv$BQu$v5;B$z>#)^=6$OcvaES*ZDjM*V;&oi?lvO7Z z6$5%{J!Ovg8L!kHZ{6&hRaI@uV4!{ZI z%1k$WGd{dLbcXJ%_x3jh6BO>ky?qi2y-%58C0M^If4m@~H-g*O9LUNHHH3*NqP_bZ zWnca+qzBXHn5yA;qvXR$xVc9cUoYyw0eS2gPPpl-9*s_ChRksZmcc=}QGl<<6MShlpME1| zECJ(IyQiZy8ReE7)Ky0Kw!XiW0zP?r(z9Y=<4yZsv%vVNy8(@Nc^nw^==yySOVYHM z?#L(3aIn^_BN?vWKkkYK{=28hRr8t>TbDI?zk3#14sIo*7CcoDiOi%fd`MR-`zD#yjMI6JNuaL(Q#N=u)Tt5{%Dlm_f_@03#xvIPhP?U;I=>ZoW#KCr`H~QQPn^`#pe8G~Zh*z1B;B3?i5{r1EG_K0^fdo_hHC$J)f{IMF~xwzlO z`Bo>hlZ~00eZ7maRs65S&sO?=3ULnSZUYgl$YZ&Kdg2KOW)yM3N2Gg9Vlr~HM5OrN z3l3zg2>jiT@}m(S@lWRD~KG-~bS5 zv8p>o zDgC`{qFXGc@6VykmAR1_q7>ZqqWHhzI5iRY?FklF%5M7289(HNL4Tm(4J8( z-=s7RQAC}78uLuxM%>Uw*-%I6tS4;mBJ|eedvff4H#z_xD|QRVd4WUn0;R?_agdJ*6LG~@0cDtf9j9ft(ZfLyIh7I?B7ck6BHdNHOf z5he^sX2SIa_nO>2Q;0#EUb21)4g6|WC>13S%mTG5i=adEG>lfPT0)yYW~0Y^xsY3^ zvn|7QUVaiKT9nzaCf~iLSWr696#FGj$6Cy7S38Z(Zlw|{=!_b7+!;opCwv8R=H3V8 zI96RRc%2SWsJNA*j?~nlk3Pu8!+Q@D}!|5iKSS(dRsi5A>4$n zd)iCrydmlDm48blDm!rEe<+?cEpSs`i{F-=?z()$ygr@ao$*MSJ$>6->aX^I|Jg%6 zz_|>7_95(G?5%R0&5?Nvh1Jd$BsuLSoGFiL0s8^aP-IQou*RF+*nt&+-*!6jqagvk z^7mgvR;UtikXRCF^YG7aRmCrUucyX$f;0-B)mS(tSQ>c{!+xn$KC(*|+tfvPcN6uh zH%wb4{@-(Ew8u{e<%4B^s|%{#y4^=0(M!Jg)333g)JxD|cE7n;dleIQvs# zJo4-Lgvsa}>157SyH8n88k(vDZS65A-HVquzS8Rx(z@wPE7ZO3`|q->%zL|#Xu)`E z7`QX)__&Z50#7%{Gfitu9j?EZ^SjS-=nc88p6>SH7meLbh-(RS)#w|9=E=Sg84PtitI7ohWLJHky9j? z+P>iz5E?@lya{~AyWO$X8>S?xXiBFMP&UJr#w**eZdXv0+PXXlNA{5NZSmq+>|;dD z8&`m;8z=GJ!b2Q;`y1I8w;8dUB0P(d)MO}vF=R3>&5AtaSI(;<1~aLUCx!_HInS<9 zV=XW~@-K9{XgMy}&=!K|FVmerFQAtse6*#^Pe-SCb(YEjuBt&oR-xDhQ7$#hpAciQ zH-ICL8{A>_n!G3~82dIzk)IIb_hGRAwy@T!#6I^R-$8#&u%g=-6{(ZhkENb)J^^72 zS9_C4(ecPAug)4i1i+bnEJ_9xd7Q-l15z%*M725NI)~O;-$2<8Q_9f^ybLCVEx7^j zBA~a2hy*@29G%(_04_!@j4*63j*;&Q;V8NGT?buKkQ0t8E~F~l3|=)yUmz!98wVIYc5(buGvX;Ws7UeV2@Ekd-UuenAob6 zF`9K07bG}oZ5A<8y^qG8FswRX;FSQnk_WE2u=!kQnWk!_H_2N$GK)YQwTe!!gG zSfwbxA}|0Kc5Gq#zQ0l-oS)Z9w8W{WGQ`pgj9cz)MCAXBkri-fP4Bbu>@~I>#*ErE z+c&vAy@Sv0JvJP}x*zKKDTTFf(#EJ}BNHth6Kn`9;~UMG6fQQ)X-J$Y;+1V%E8@j4 z?+#D?a<_i~>Fd84w(ms^-dV0khXHy&xJFuwPy7PnZXK$`U&dmko1tCUW|ck;aW?Ih zLfn*tjk^}+t76eMyk`4=sa|Zo4p*}>didMT*FuZJJYr7+(N*}xSBnr$N}(gVGodU9M%tx(+~xZpHauzD*o*u&mgKJ->7-*4GF z2lKYEX1vfe?t~_PE2aK+>2}+?jaIGjbpAM;vSqdArpNb-3a(editZW^TTzqTjh&6- zF0**^Af9bx!1v1vtFOa~7MXD-vS|AjO)|L#m!ACDYP>0w`Vc0hHgf@W?mI2@?Yz<7 zPG`mz*#Z>d%3&dM1S#3ZwzXPnu?Ss%9^tAgDxg2ZjA((wurdIC&Mqk+lA~K-u?o53 z64A(&vtDdb^fjZ|$29>3pESB80-nQQ0L5Z#`$^I zG|nS#rFqwfq0;~LLsN3sPBX!-Eze<&>fBm#_cBLhm{;+u$4~EExzUCqd}}d5!;rGn zZm3OdITE4TD8#$n>kv;B0gi2XDiQ&Ewvti*fIX8El5b#?U1T0YtwNTrlF-y~0>)hY zAwP4r24y9S0x614E5qp0P`<6N*+?Sg{UG>0!@T5WRhXA>vq(#fM4{-+)W4Qo5@swW zzc@jT>l_^uLhf63J}E0Xw6E@LE<1B0IwQ2Mb_cg|WVSTaf7OY^r#m!HX!&r(yww_A zJhPD)CLxJKzKQUtk59{=B)7dt#COH2H2yrS0C89AZFB+qE0T}Es4^tBYMACg?AOo8 zWfZoxE^f4-yb@5~(xGGEtLz=gbESH{O3f6I*G{oMIwyC)ZL`>du+P2eom$d3+XL>E zhXEf*>bZ|CX%#3%V5eH|aKC=UB&4sfQw8(!3lqXBnau`}=SRHe86KV&K-sQhDMjK3m95Clo1tDz2y|%|*k2sEzhS*0uHlU`dFri1 ziOmJ+{^K}R`mg;&inF@I(Ac2E8y1ac6crPHuCdv1tkn;PA9*!>K&k%flmfBf-GwLm zCrhM0S%I%bCz&*vSz3q(D@NBLCU^)#&O>wUdpM3AhJx>ou_rTI zOu-eZ=5XPz%N)P6ceF6-j#b+6+$C)NcK%lYnFeP094MSk{fCQ$9SNZc!@A+IgwO=ROd9-GqAm%c2|}VS34bJ7&TUwt zBPZtD#40#p#~Yl%UypMf6(=NW>oz?BNe~j2CODo^E^jLd&TC zS%J846c4IJv?PRfgRt-#TC^$M14?2%?M5>ckdX-7HB6!Z(xwE_7wuzJ6+}*j~ zeCNC8oO|wRWs}%6#@JhLcLrf%yC*X?59U}n2_Wr-UB`##93$eJ2Z27DaUY!17_&7|&F~SWn`U@m*xy8&>w$SDLvKIPczp*<1fC5u z2FCTe<;Z%xh$#QwcC(@wR`ClQ87KtJV)^iL_trCeU?QsZE-1=1hieJdiNvL7Fypg8 z0N>D>e{V;3`_7I>cT}}^^fVQdfsxjiai7Fh>T*d|R0C_8Eh!o|ODF^LX5D#C;O1uH zEH0UH%-O_~v)N~`(C$3BVhLnKB@EmNN|7u}iE1j>>^ZC)+`D1qT$ym3NM}n=m@)^m zs-bIuEM-@L;f=wjKZRK#&r4@VQH}Uq_Ba6At_&>x0cMQdd>Cj|(@hnQhh<9|5aU<# z2>Vy;_gx~!xtxeABb++)Yu@WFXNAkDay7)wY}Wbg5m>!kSyNo+qRU*gmBjOzA)uV% z2dng;h$0x7VW}W*uQ|K@9Im31#0j)wx@prkoN#CX1+VQ;f3MhyILUU>-~d=iTljHT z|JOi4HDfx==oSPlVlTqK8*dIjjP{Yj3zca~Ny02@QnDCDrQ;g-rI@mFC8JQ6wiUDM% zVke!r5;7$-=@^n?t{~M1UZ@#DFe)#BfvUqC^WI5lid{{m_oEL^9~JgQ$xJb>B$D{y z^WPu|Vnh-}lsE*G8(a%>O;)&d5BU^f_#o7)Cn+!C7rq+y6|D4KxfMV~lVJ!|uICX| zn^RAk0f^Fb>xp;l0s&by9LQ=rVU1B&d}QdU+J?hGMe@WwB*%4m6GrY{Rsyn*eEy-0 z>QSGl0$Y@LSeDGNqe_|-<)%MJU<;tf7C1Vi#;tt!rAqC$e=J3}U_}+aP8TXCbT0`DH>br0ZnQ?yttxATYN78tV=UTeRcilPZ z9nVGZ1#WLFv?D9)$aUA7&v&D86eEIbNG1?MFH-+MIjsgNMkoF4-#_hgE^tLeO+Asb z!}TQL(!f7=3>Pl$BS2{GI66xyQR!$1Jk-T4Z?Iz|lD+D-Fs#etTw55PSa#HfAXL_as2x&1) z2()>dJsSN$&M9aB^w_5$wYPC|zlpqutr_M98WxppRBN^tI+tduG?O}e@)3x8!fOIm zDo~4TC8BV%g|xwIdJu=HEXfgPvt%k#2#Ob7N!Xl7#P`YZ;IqhuSdl$c3#;?r)O$r zs!sKw{`m2u5(ea3{`g`3{jz>*RYN;_Q)??iCN6p=dPYKFM^g&}LUs;DLPjnIW@ZLv zMkX#oC22xgQwKvrF#}VF@4ha-e*TY(5aIt(KZ;@hSN|t$JYfSh~6wBxBbK1rF) zC=O7Bl-NWdCQ7$gw@>f#J#EJC$Gq*Xr~m3LUTQD-CCf+(X@d0U`M)M{FkHdEv6(hs*Q2uCx1VaJ&gz~?#6i(m}47`iE|&=&w21xGp$oZ;<%<7 zTc)Xvq3TGryrwW`r^i%I*=O@gY0l`!_GmwfiN}$9{k}Dvp$a}SKxyH|$c|4+pkckW z0gUbNn@%;kZX6bzzy&vm)Kpqkn{bfh2Y2Km;}A9!|9gY8h`465NZ`(%di%!@f4J7; zAuxRcv6v(8LE8w%LD%hFU}{m%e&luRMD0>;p&2bNhoqZKyBk>b6qq#57QOgkvsFy+ znS84<%kY}wQT6){m-elS8H$e8SVPz~-b2db)?;SRf1uj%qGUGexSaYq_^0ZqI;#NY zC^`Gl3UY6J@Dz{4iYrbnH(ftSQ{{408Q*3Wcd_uN^53%~i&eYD#>$7^ZBCZ0+bzb~ zE&5s=UDn60sO|x8_uV;M=^*&(Guh5=CM67C4iK5SZYR>9hlO)hmR(IaD@@I^`AVdC zVVRd~*rgc~Ch(U-T=?5WrCrNS(Rr!EV%&FuIri|kMi%33#}YfoDm>1a4_V_Z`)sQi zkPKuoz1nd_p54eJB0$_Bw(x)|x&lmUtcL4V387(Gg!8qgXbP?cVr`1F_MAtiJHQ;z z+y1Ae#klF9dQS$YSqG>V-dZeKlktzC3-yK<12WgpYJCzpJ35hPwuyn_sj<%J=%aG0 zJel+Hu|N(|j5cAHz~ELx0U8Pf!#iGkO9oRrT9;c$>$s$Xv6tzLx_B*o_UPmz?PXeS zSJz2LU6al`Vt+1_wS}McYUQ3ihvS`Lkr(#_EbK9Gj}P+NCb!nRDR!L$DC~dOn;^WN z&pgxUk5bJ)?s!*OGC#83AbxguiE}XU22;g*G>&IqHIm(A+hubsA~=23((>cz^Zs>u zAsWoryBOj97cW26_rbfdO`{)CoKww(5x+mj;{Exf;mprbz08`vM1%G@hN43v4`8Hq6iSop6-m23zFVK#+u`*&)0J3-)9C*i_&U*L zh^vYp_Sn&%b@N7vAXc&)HAY@v0yXH$DL7}~F$i83eT(CV?dcgurJt()LP*S-7uk^A z%~lgAa;l9!I`n+gJ=@D2Cq)>F_CA0joq2l5=0}X=q2VdcyW?4!H?!_X8sB^Z-{;m1 zJ#xA`YTZLu9i32gm4-MKtBD35G=vytdV#<}xX?%LViGTu6+ocm&=*5CoNj8@>FL)t zxJfxmJq9JOnmx)KIsx^{dMG*LKWi0UvxN5d-W9=rI^{hhl=qtb+FjE<>DtN%Sm)b?5M70-WETh(N+HR=}66zv)^)q`H>zX6c)p5D|Q z`nwN%elG7Ff`7VQbe45NsIh3Uai<=)+#+zQdxjaLIP(U!d>qEGdR-;&u&17Nn1j1I z!c|1Y@Cb;QAoD+JfW;N3qVgZQV{YZYC=}>FNf`MZEfev_lRpfGs4Qdo{NBe*x+K;-NzRSwPme!}j(_>)Qn&aw zY!qsSZ7RNM&VOSH7%jDmv}Eh(;W+8^34;*r!TUW;1N`{(q;9V0zlNb^(Ei#>w!o7j zEIG!1?y%9lMH>I*Q9M{Tj^my^6U}7V`0CAH3fs3R@$jT0RUe_sUJ`+m`CB#CbGIHO zkWHT*D0*P?LO8;LtMG-PFBHXo?ATS15pKI9f#2bjvfooHP}Nf~M#G#EepQP@Y@8** zGj)k^zeCbOFFg8bb8zx|&Gxqmj)kzcQYhGBxJq z^3tzdQK_|PJ^UVv3Gn8c5%Hvi&3B+cVy8-ol^56zvfE%X^$LIixfrcyk|COd5-pdq|-CFk9-5?-YokdQIvph1YcpRXBRXZ z&%@hiYc{suc!nSl)L_P5gqK1e`)S44>LBX(*FSqb7y2a0?e4NrWk&8A2S0>dq=G4| z6Q-Z=&j|<1qI=_bq6Lc56r8d+StjS}^*oru<%4pm>Po1L_^^a~Wit&u@3c z*}84Xurdit28?KN@CwQJ96t#Hd42DX%x#6h!$iQtMS{Xak`6_tKg1{2UYi9^=<)=2 zzOnL+8M0srzb?crQIsG%2+MAyfexv!3$gg3r-`p=;?3j-;{A115(TT}GoSkKQEj82PM_?~ zBpzh+3Ot?|Xot-ae0dnS+jkB3|0qwRwM!M8Jfe(5ul7pA#jFAzyoQmT&7AOvMV**p zIY&x6y*hm$6Nyon3VHF!NI;PC8q=b-z#MGX_SxSsK+vT+o91-Q;(i)&ABomd)S~iE z-4Et9e5%8G)wcxVBCPD+a=@^^vWAT?%0>_h^CK6vb75KwwCeE}hqC|3Sa5nNmMhxv zxZbyv?0+_bIMrx9weO-~Tni%ONb0~_$YtYepHToyrlkW@joHJ-WlQsVA0i!fDC{4& z2lIsO4W&;eJvyK<;mu~Z4>)%7iPp!gur*_?7Jq~}c!S&gSpD>}N}>&_2pw!61ywUZ zRB+j->Sfj*r%V-n3)6eli1HBM3Yzo(?kDKNN$pd9uVVb5=zv@FPD`LCs|&l3z^{(#i@8 zxQkt1*M4(wX-dvh4Z?Dd1mTfMwhkci&j*d=KF$Yp>su|-C!73z;UMk(S6{{*R-j2W ztb2YYyncBN15*onH_Or=24>+UgKR0mfVvgbYeP)+qb5DHphfY>msAaDSrT?nEG5)( zE$$Q>j7F@;b&jQ3F9Bs-jByB4s)*Tzjpo;3FQj>Xks(%cATvFjAuhBbF03K04fCzE zTAMNN7+)0hsk3xJxoBQx&S&zzG9nnoGX(T40{T7y^>7!E@T*S2tC0@alOc#Q1!?S5 zK*m)sLN{^}#kLu~l!2MatO@UqJTZc77;G3fhnH+&ASLJAKEsk$&xd|0Zx{3upJC;F zD$icaA~>_L0h0}Z%EEPP7v}Wpv-Mj?w=2K3-Ac{0*vWO{k=yl6jXS+P-B}D|^E`|F zpx()7fEgB`H$;{!k`~J1-5^%+sTr>R zk%(UVdPb}Fpr+A(T3s8m0QiSg-qlI0>g_02ak;vCFPZ0qhsA^KTaCru(?H`_J5`O)HP7*91;IR%al}uBDAJSRL3969ZgqZQ}`6 zA4i1=adeJ^uT7~zM?AZqeOL&s z)b`$M$PF3Gq6NjxJzl(`RFfN9SU;a0x0tuT5Q9aLf$;J^srY*(mKnQ>c3#avv+Vwm zjCdZ+@=a1x5YMeKRBN8xn2DCRqALGh1sV(2bZyo8b*Pm<^?Z8GLQiJK+q_7&n$Mlv zNS#D+Y@7$o;R({qIg2)PZm68^IxMAz&*?zX%QdO#WL)k{4V>VYvQZQlw5Lop``FaA znuo%;DrTSa*SPE(%`?;Gcd>utKTZD)>Ti&LgZLZx-@sm>J+^sj&gG-e_-sXz^FDwq zZgZ+B!GVFLZGBCw-~W4m))-;6@IF}BPkIz<#KpabEW}efcGd(OJL6~^OSfbgXANz? zRfs1`l|5VoswpNfSu(66Y8A3y(L$!Q$WZc)si9@mdsc2R8({!gHN>4)@Q~|qY=!ll zNaPreICg*O|33s>oIQWCjY#<4Wf}I){ZgdOD~$x_M*~U5=P8n$^T)engIo}}7R42m zxKx;&AFF=Y{vBi+I5GRVfh{|r#dvPTQzJw8sCO(WvwE3!Xj})GOI>bi{CpEQ=I$Iy zT)Uc7s);d#xguW}r#)IJ%0Pf;KpMnjqHxdbd=h1F`*2R#!r1~oyXka!nc3DDd9hwg zw>uCOo*B4XugcGl?c=;6$t=?u3@!1WzJS` zt2&_jLmJ(6ibR&YG_sPWF}rmINA3po6l*-W(pbocKGH9jq>kcB0@ZQ2E$sjKrtgZHD6eS;KBwuEgeL z)Ce-KFPP{+u7QHHDkwU#pw4M}t{ejBxDLW3Y~KSJbfQ^VgHp6Ght5PYg8I`^lOIm* z5Vqm)SkA6vQ>9jc#lX($43*&8DQW#AeK9zDXpp3gr7mm8ruK%_7B#BO(ApaK^w_7U zQi-QU{%ca3M^LsfACZ0&zu4Rq>B0Qxf_qzPmD`ocKbidt-Gtb;TwL57=>#vn1OPv%@pIC5jD}0rVg!KbH9MP zz$nYLI{ULmolPI30>bN#kCcNuC(fj)wQzO*tBAf^FgR^Wi9;L09@7I?9{sVSi*QZ@ zA?vQCnGLzIvoregrH#DJwFyZ5m|Hkws}1&pXuQ>xe-C9>b#8EfOQtM%aHc9Q@6)@@ z(y;EH26aAklg&Oh?M#iVM+)HE$xFb`8w3N~v@#go(!a6x4V+9yH?2&@AojL%(?Fmz zjVS-h6F=8Vs^85MyAy7OT z9>DpbAvX?!`dg+nZsx6;TU*6~7uuBQSmGYcZD%F1%%*5hVL0=ngG0i_$+bSAip-`K z&k9=+qI?jZ=+$-k%8!Lx0Sd&$8%Pm3dc^jiD8)NLM6*kcK&i7j+Gr z3YoX%@pWi`%-Ajp_lqVAhGApYFMv_`?`ybWCi@NSK&uBMr)#Lr@mP+YWUg!SXXc@e zUx33J8jtp1P6`NaK**`UBr-b*L7!3DlL!A*@QVv$=1;XxGF#%Aj4Vvd)AWn0Gn_~^ zgBN^g*XAky7|WC42)KPpKA&hvJ}imzfKgyq-*i;zQsVM+A*>^Ye{B*4f6zt8avb`S z(Aes}{%jR542txU6JmaJOSS1bLmipn0iHRZtA}0G`z}H*6NV=95^tLPn3Uc=XMlu@ zW7a&?(0;D0m2mvJSiF7zh?gXN-H-5Dgc)e~CW(l?mzff6YsRZ4*RDh?vBSN??tVCl zQ;{3IUsYJAoww?aP`VGwx82_%Gu}40n;qlsj z9%fef(!Rh#A2)-w8_7m(6w18~) z2W6~_gM)#yr1my(-A%tD`H=&%{A5aGg2*m;vJ^s&i9v{BQC=Xun1ExCyo2rL$?ksT zn|j`(7`;nGIK;keAwFW)&aI-DShzOxl^isk(Yt+FPjZW_blAGJT$Wk^uR_HpT1~wc ztd|zDd^2p{3>Wf)GuA|?UYIT#R6Wnn=mskyV(hk!7({})jC2j$f?+V)nT44dk|`ou z+fgFYqOxbYL0hP$i)i&%;vol8&3|#CnYaWldgHid#ZnyRIDx~wN%$Un`iIv!DNjE>I1WgJO5|M+ zh_<%D8S;^TXlG93jaRyk;#}Kh$P)*Up(f>gU^Ht*<-Ekn#c-qk*a%Lt=!hB*^;(0m zi2dk2*;jv&+8^Rc)yp{dQpy0vQw@&5GY*&@DcH(U%h+0hGm+KjJ!0t__D*Uw?9hTt zaHaBa$9_k~KjZp`AzUf81IS`%9wzwsA?CpS0yeTnDP0qG&HV~SMFm)Rnd_X=pGUpiMU|3SlV%+xm}`ur^nrj(qCN3rT!w05^*rN zX@{xnggzJ|%pOP@NG`bBL@*83`gqUU_!{gaO*+!$5|r!8Fkq6>AR6+9I1eTawiQ~@ z{?!KgLfgniqo$X9Ba&)|oAzdt9kd=BP?avk^J~-u)v<0rn_6cfG;9b z%SM)O-&8L@GJ+5Pi=W+et3qD4k#J1{b}F0!XW2Nl69IHH#4{`Y0=i8;)q^Me9z*|) zFe-Loa=-}%S14U{$v@FL01m<*4?*?i=wWiHE6SS{VvhexOl0XEf=2Fe?a0FeAJ+Ey z?|`==!sdTpK^y-}w`L7N z>fZ&aJRX-nR-GDjqKDf1+oAKuxGp;)&bz<(CAQ-Z-ep0FGN+J9i3aN?jWIKdxwCa_ zvQ9mWdR)uyGy?ajl7>CRiClXzcN(MV%{Z!U30P@pyG ztWmJspGSUq`k9Q{c@yXAm)^xg9wxk@K(b;801Fmu9DT}K1?Iy*^P5pb@@E*X<0coE zayj%Iq!}GN?qy}7kDskl!zbmV4X0bik;r2Etf$CM247R^TWc%EjMNsMOry4Nw_C`Z%C{oZr9oZHP zYg5QjG$;%_4E)ViQ7Tt;Ox<%=Oouka)D8Y3%S}!Q?(F2fr#r|^1{uAT|Gk^dV(5Be zXOn!7yu{s`h##3NMeB~>y8D&$SJ zexQ$Kj(dByqED1T2iVoWi!~=uB9+c6lN91zVIx(n{=2Qq2G z==nM^M9PV~#;a4m zF%P7Rg*Fe=WJ_q+U4ti;l;*{cKXz;W;V$2^bi4AmtsSoPZP!53DeQkK;Z~l$9`zhU z^xGA_dF9*~Up|N#Y^0e!=Q6H?eUimwJj%&6P+;#p%#?zlgw|BHa(G0&8JCLwPQ5;7|-EK&X65v_xVnSb7(Q+*BtXu^k!{)WZ5 zw6uSHO}bAZ22X4mlA8cbN6TMV6*%kKXFYB;?OE3I08r2Jr57 z!BGwzV5D8R#44xEdSK)XNaVZ!`IQXxt{u1r{^~WYll#(Kj;TQWluJRHna-83RRGVyz*Wyl^f5se0X z)h!~x4)R-;>?lh;;Sw{ISUfib9*M%WVCX>ub+@IRaNvemYLLA^{U*V(Z~8Q>dJ`wa zzbXi%A;&hHFgxjCy+~Nww$I9YIF$XfPlM+yS@};Ixdf%gE^GCC!R4gZ`Vv~x9yP#n zsoS4^j2>*HYQWY;KB{}Fn5)uCA2N-p^i27~1_)MGclyisa4Nx;bLxUsbeYFW+W)?r zYFfQB^6eiwd>IAB_z^9zC2YVtr3Ti;2zy#qrJx?vH~WHg;x zCLM8Mv~Zt3dgf*CdaN}n!a#%IY3_QwHY&2Ei|^*@dd&09H1J=mZl`I!*+sCl+JPp% zE5bH!wg9IMm#8Cik?lt$z*e=bEtmHm(EccG>TSg3D1XhzkVY;r@@Mr5f=FlMl)VHeRPwSW4eOZE3 zW`lcWonBl`g^q31Dru3k5Z}1`FJJmkTexf6g*DVr2g(}MQ)~8{T$0muAuB5?1ov&4 zY8a@Gx9RI1+kEOqVHi*{mFFd-Pr}3X61^oxlO2J)_h$#WTOdHe25OE>A7io*ZOvvo z-M_K^fw7*2u?#=!46Ix8dGDXbL>QK-r&vJ zgkCU`{10qgf#Cz)ZPjJGTj1>m1|D1HEHeF@_sAyOopMjlXef46K^hqETkkfis5?>< zUl0mz7LPzvdSTQ2d#{SD1;Q@^pZv8FIC?DY>X!#E*z)@?iW=VU%wxjv8?7Co{RT~u z646&V>n(&}Qbc5Sf@&)~h?3%o8_WewCy-A~;ml^KC;i8P2)l>ZzbZDaRVz7G3S*o- zdyprs`cn&gA^UmE_Ci9SR?I5S4?4umQ3z|=Amu|{?125Bqyz#7eZM{4;W_wMizgzh zOo8M6>SsF=!==Aj$(IIjfSoFG#-Icb5ZV!gB<1;$wMGo0k!(v%;_3F~*eI2gtxij2 z`+ZbW<5i!4rgY}`#b16W zC6Rq2Eklbv059GIMdilVdC@`NsHnj$j&3xg@oU(TnB7)0>pb@uiNj7Q-<6vWz_}tE z@FdZz!Gf#8Egy3vbA&TRG@DExEEs=m(F!&tTRoCI5j-JcP#t2HWmkEAG7vJ4#_$Er;p6ZY$lIhya1g4F#txqhMGO$cmYxzMRy@u~0grU}Z6{jn9`BM^ zkFvX-2&&5ell!_YBtU3H9DB>R3iRZ26n5#7cQXOPiq9e_&A#z9LdZm$-QP&R3RV|L z)IR?r^Q=*u&G$MBm3~$DuJNP~<|th##6M{Qb7G_+Igt-?z=`Q7n@^0@&zeQkZIJsN zE~*vQdvjfNUdBdUmrw7bgm#ut_CQsa-?Lk)v(mYG-#{G82N_F_7y7k$X_zGmm59fd zTkL4aa20z_Dfb+f(%>!@Z6E>id0%y&h`(|K7KQdPb3HDT7q#QkMAyaBHO8W@5RpnI zj(=3Fqdwq4Mhke1>o)2lj+>y^h}hBJv^DuvL9{m=R>B>90)3^DT}NCt<)-Gcz%QoV z`Av--1Jy2+MW07a&H?k^5vzp;Na!rqxAm4g(ZpVtOmR2Tw3~GyLq&UdX{$9?>$gw= zeZ_N|Igu|dbKbFKB{LAjH!kc&IlXImy8^9XEk4Hbp=RtWud%*lZ>j{xk|mM^5PG?a zeQd5|k&+-@3|&!=Gg`tN01$}Oo*MHY?@@7=XCO&F90hV}q8G<6f{fxPSW`AgX0Kr- zc$w2^m-W2rF04d)8kGl~oixhNw8n8SX`R*CFekB0RF>|3XT6b z7)-QYESq`Y+iTU|oj-xI0;u&AICq5$ z&^F6A4NaBv8P30_^{S3F>>k50@TtsM1KUxjYwdqq=RvOV6wd4cS9V0|BEq(YsB{eA zeliY+;gp-avOv+wZzjO4p$ACLV$gZ4pavY5~Cjed+3uD`YaK97-Yjy`zaXE{}p zGe1u}gBS9+YZ6P5%K#5%Bu=^Dzp2d^V%g4nG2hwXyr{oyh()SA*-;}H-mWH<;)B(p z{Y~;Wi)JQQ{D=)pNg-Dr!jxODzJh1TVv}50yT9jwo)c8}n`3p9W{cu=o=7h!HbDWh zPvP($bv?>vM>tY1R!|)Z*kP^Pp~Lq@#Y}sI@lAxU%bjn z|9GTQZqd2-VC_ESnk=V-`#HB?8jN$cng)&2FIr9pk5>oHoTwWxavAda zSCmx$G_&{)LkaBo{0f^cNSBrn!&Um#;N@%Uw$e zBBDMtlAb0cxZuh~u^Oe>pPv*hPE6js6t%GhzF4lueA6Lb3tPT~F-g34~>O!^YQ{|e{StcG|4>J-yPLE&Nv*l7UEU?%3 z_c2oMK`~^~FW4_XqpM7N2URW(sm`7ide+s=`7Q+;P5ZV)=r16>Q=E~SDKtyGycB-q zvPl8b3C%f<6v9d!FJ=y54FxdJBvy9h`Tjh%jx64%%^ezjr*EYqGMPo#$~DW6S^?NA zFe_ktezEJZ^^@3LUJj_SOq<$UH#c3vggDx<617yQ2H{sJ&MGgakn<495m5{9(;{k@r14^3CNV!sn*(JTdrf1pcX4$v6B!~q zGqR9)IoO?TahXfpMB)xI4pH!XJkMA;W3||Omz=X4V5_xtW@|aSr5PBw#h*Mjt+A~e zLB!HbkBX@lF5?k?uJ}=`b7dgVS!^{8AlBk*GqS2{0oh+ADiPR> zO?vvGBamkjN|r(;%XhztEp!VG5f-&cO;dU(k>+sa+>3Mgr+me%M9}Ix;;|3oEmYd z;$BiwKjaaldutOWUkcq&kYtuDV!Z<_-+CxeQW%g9FOb;psKtt z|L#N++1}wH^py*ot^RKQgZ&aYJ8b^9p!GqyFRw_ z#F9NumD$w%;k?1VQB_9kEIq@B#M6GtqQKg$G&a<1XFgU6Ls=e$$5;nU$3ot;APdZh zWa3m(xHxOtkYr+2f{N5wS9VpCZ|pR~F>#I~bX5HHP;y1``^3XJN#8Lb@kB|nC>WOq zS_7b+QdMltGS$g>!T(9t>8C1?vudX4N;8m8EV-h_AD$_MN$EqSI!I*)?AdwkU8s=L zU5Gk*YK*h1aX1MSxLfS~WZK%Tn2M-MHJO-PG+wJ*C{Mp|Gq-cg1PUqLNhw_^V8jf_ z>OlNCUs%mDZ>IU%HsnF=6i`rYOm9?O-9-QW9bb(2#*_uW0)I*8(}98)?pM88k4$eS zQ{;%&g5cc!EJ!Gr>xad_LtBVdH18w1vwe4O{7lsC5b33EhXjD8I+S=$yi~fI#>r+s z8?x0^Vre;d(x9x)ue71^e_q>`9^@AgALOdFId_p~0|k{ADdIJcX^0#BaCqTnby;`MI_b zZ{XOGvYg8tW&466LlFZCsngipMw~gh`az)I1QFYrQ9ny+sMCB{l0-xzf|c2!+Xj{O zTL_9kz(TM(^nVixSm8Z36z;#A3G|oqrWJ}hB>&m!eLMNTMC8931I`>0c!ai~|Ln%U z?S}sUWcokfa1hGia5z|pQu6$yQ=4*Iiyx@1t1dDa0sFWj%LMT<#T|vQ+4Pa`urZ-T za_RjawDtm8_-;xy9n47~f8aPP3py~q|L5Qp7FC~!Mb2Y+$E1=I)Lz{LvmswxIvY1r zN}JNlmm)c8SZSDO%kp{0f;a>bt(Vn><}Rps>$!c0mQqG?yasYNG@BK1&zs)n6BCH}a?t zF=bAh99;u*_b3tb$jQhq zZakKLKg371tkS`F(80%a;K>(zOVL3&z3|OHzPWFfia(b`6g^M<+8QWe)j{>;>g7SYqj<=czFf0ZshZpZ?cc|9#3iqzhBG+Z`6o2Kf!CVB0@RtrKh(ZrKgA7$d30qkR3>V z@wK2Z9sYymPqY1bxL!Zt-TfBdf$~tQi)L+I{mZk}Iq*%k+TxY=*TbdX8M$O1AQb$K zt6Tc9h9f!Hs}tDKl%)1rcstFI-1yWuJ6xT>@l;-z=vB#ji!M52dpTLsnakEz)S0`Y zu9ZFbv?Z^etW5!05nnf02N33@r9s;2qKX%*sjE8g zPynRUKm}kKN#A+<@p&sPu&u0V3|dQ7j`u?Kjvtgs7$LI4>QxXMnQ5H>wSN z;cb$jX9XJzcSGk2J3)k`DqnPc*%t#*;L)pg4)6AZp|7_J`t#C{-D+i#j@+M*)--zqZ^?r++UD1d~$! zBlI69o4XhhfM2&<=RAtxrI91Atx_I|=D>!s1>Ewx;P|kunAV1Nme0+k(r1ekf>D}} zq1$Pm9|tJtbRMl`Ok7$FR!F z{g4Xy9c9RFj%4>~(#NV~_alkZ=HdClZHe5vxRqY;PI-vjSmxE@*TO!orCsKKJQ&bT zPnGDaseIVnBwFo~g-k|H203#)vQS{~D{o2{>_I&}|CMi#n#RZU{4@GUtG#LYOr(Km zOB#66GbFgodQ)|M^VY_Hr4c-ly4z5(ZZdi$Q!$A;ETD50YQnwgsF>vksUdDCOrUR) z4hK+G{D{ltsy9>CT?o|*&!_cQLuXZo;%CA&^V3Xp^yVN}H!Aafo8l(GS5aMh-Gjm)7)dkf0sn*A}I1ufU3B5wn}Z4q|}Q|v-)U%*Dg@P&Ev3XqQCesL!o$2{2u z=&nQP*j$WDn|NL3lt3ij*8ecBVHn`{`Q>USKWyqAL-V~W#bEx@u6=J_Lcf0EF&0<>1Mi~h#rX6)P zJqix4xe@MN@Wt4=YG9c`xY}m+j6?rH<5a=(T`8*V}u`(UFU%#yu}}-DjQw2rZu=nxz)90!2w*U zN4^3kDi|S3WS=65(**QU_?OgOjhwA8Ri31no>|&jH1|XP(`wtJ>w%rMoSF}C*_2VL zN#4lEF`-uDPHfE{&@NT6tb-h^a$`D(AySwpX*EsFA7%I1Al%#76h-ajZI3IvqiAUY z47x%uM`b--e?}gylN73~ls(#-Z?#$hkY9382cuWcYX06$*zfM>S$8b9=l|-3FCl#H zJiHLn13$0K8o#=bZ5CF&vEjQ!Tcwf~iU0vU3}OPVsoh}V?;J$bIW0N~AOgRxW2)$3 zzA(!-m98|dx9Uzk$GkP3MQC=t*V3}@_TaZm3`@N%vSPi5S$MttVl-&Nxc-<2wE z;|v9Guc>42fM%!BWhI{{-Fpn(&dEHQ8!R9PkODKf=9ObQ)6Ix*SJJ@&#$c;mGJC`SkMRpI9am;;a9d|2Sf?)D~&>@u$aa9dYPy$X+0Zvc`4$y?_guSjwX<3^& z>)?m<S-OOy~RXmw7#9nfoX2;tN2g$bZL`{u(9wh+*_P zytI5c{Jtli-C`eHLu#KU%(5)*u#184LYMW~gA)8Ik9%6aM1g%V!}jo5I8}{s4;PSH z6kruhE1zkQYNsl6%=m1{P2lQv7EPrRzYpU>YkC!Ukc$Bl)?8xA^Ocd=<}_uw5#ebZ zmRiZF8~zbz)CHn&>h+S;lIiZ0U2oikjI$PbqDu4E8Vtkw$!Xf&IK%a^(OzHB5ive@ zv1bzuU!1Y4x5do^+L`aBr+r1k1C-jHij7>l)CGk4Qyq7z!t(cC@Sz$9MfyA5)5wB7 zr&VRzo>B~olKvqXC0O`{(FlJ}qb;xwvx|G!j~?m_U)%ClcpMZ)w4V8JLC~$&&#&~} zO(OX*jqzDLU<&Ii(@XYB2Jwy5f+Z5_E_N~0aj%~46WbL<)Hsi6i7<&W@{QXQ3*tq0 zSY%5T>LXG5xLQ@%8}8VLd)#hqV_|!%68uY-?fI#^=c@zz9m6L%y7{e~3g8n}Al$$} z3!=snCjlDOb)I~1x4NqDv;Tr7b2F8yX!4zln$N4>%96?j3wv*($t8ec&5Rm4PaI#i z%DOGqY&LdeD=)>@fScTsDMw_IuLc*uws|G@*5NKc9%@dcsIITy-Y-|UH|DZLQ0W|| zexf}#Uiq}>zQM3I%WaLW{K-Fwodvn1urbuO)7l`Zud$z^-HuTYuX``cezWm-xSuk3 z;`w^0JI=@{HFNTt^Lm~uw+dv zBpvdqB_W#!*i#LA5fz+ocV`d~Q1L9+4-g)T4T1tz&I9$Gp4}nfe=<9dz!kUsEm9)j|H9FpPNHq;m6Y8i+PFST-R1u>qO>+-ZGbt01!b*Z(N0kUQyNL;p#ZjycJ`wA9mdKfZj>8_1Mx|#Hx{J0KAEOhvaN6@V zlkbhtIvo(Sx*O&EBlLdvpsVcPMO!K~h5%JjQXcoYoEv5hG=XQAKo?7}sVx#n&|o>97oQo%mT8&E+84lT zRSZ(vbGb)j`z|UD`s^}3*cIVOoR(Jxpjg=2{aE$aEnnZNwHn4SXV3pATG{4?-H zN`$ei8w^aoinjqB)hp2d0%Unj46toVAw~5WZ+^sK?p)wpxhJ(?kl;!nbbh8XMVW6o z9q8)^WCPyXcd)%9lNf}VEx$(JK64sDd5HT;mVWiQFvBvjflprb6s@X2>s_K%sQn_5 z8MA@WX%GmA5-e%1F;2R~$d%cas72+degsqQld<(RPKw+)ch>(3J~muANB^j^--Bsu za2M2Hrd0*D(OtNRwT;atQDO_2{66=|yD8r+pi^SyZw{4)9{S4VEcrykKpn%ycX?Y%se5$7h0q z3ftQ$nu#mVq)GjpT5JyiNpW)|Y;en#)KYQgc`mV_0WDJCMQYVeY%e-5uJO;zGdY&2 z1;?;#>*6ds4YoJ^6MMoQtk|AB3`(2MOjR8a@7uVNe2ZR8A3aS+73k7oF|+ zYn(^y^%1ib(+)im#@dvJ3_4sHifkDaSDw3_rY&adlb>@&FHgB@f~K=%E(S}hBVkFo z3gd1)tOBfc361NqVMf(~Vsf`ftCgob=5}(vz{fNIpTL(A0Go~eWqqtFyEOhw*Q$Sw{3@A(-qV2pT!e~slXMl zbQ+=#`3G=~N4tP0#~vLuW^NIH=1edbBk{op5pyXfr7)ABY^BdVCZ%iz53_0r`-#{0 zPjStixL+HBsR<3m-cT5}JaTbeS9ZKG)C}7P^HI-v-z}QyPfgmTET!g8B#R1X^!j8^ z)LdDK8qflo0o3IUQ<>CX;(uZFs(cZYm={S6Eu@jFB$3g{ps0A>&w$Quh6MqwP!(w+ zRIs6d!O+A-II6?m;CNUR3bL>%YXy4N@XdzRE*2k|VMu>TmCh`TH0)bF)f#eK+K2xW zjR$i0Qr4#jV_6OspY9hsa4FpT2-+_~_e=3pLLWMTn_AjEFsA=B889o8gp^N95K?7H zg;eVHBd;G0%D%8f@#i52MOCJvaK2vR^^$6peg~y)>82nDi`TDw=yoXCF60D@>Ep#G ztbB(l*1?)-Y3_{eh$JLc+0jEIV%Ra65aGBnISc*`hGDK9DYg5TAeJu4yfzgMvmmKW zPkAg=m6s(QlzQ&)4Nk~~5NiC6+h2vvMf20aG|I}u@q9PX>Pr<_`bs8P*Gr zrG%ykX3)?>V~Qh1NR=hUks_qZl9G|CIlp14hMXF2Q?uZd9WV0+e>2H(LXwawt>5$% zBt=MBn&Nmk5hlDoO_i4S-eTQWs`gWmRE)VF)6=ctv!cb+DGn}5PK#4oQUv$Yl3Gj3 zj+BfPA=Q9V9I4W#x~){4UQHp|um_Tal$P=Es{(Q1I384sX-Ns~1!4X*w0KqeJCsy^ z+KXx^AtM#IuOx-hBN6n2WCVST&Efw800960cmb4_Yitx%6vuC0y!(JcX?e8;TH9K+ z1zO5d+Ro12-JN#l;mmC5O5#Xb#bQ$FgFsMXjbExEL82iF!H^IuSS5fJKM*tqjeam{ zOniJRZGuJ%BPH$ckEKA5Eejfwnxt~e03?mQ2CrWK;NSin7g^#W z7MIalI;(>h=e`^}1s&N~<^t|)wq%C5ox#fB_4?-hR}lLRL@l0z&0|&Y|G=y7eNvnn zH!I4c7v^(1V-ihPME`$b*IZW|6VA zvaVsV2gCa2(p&?UmoW79Q*}2xpd)xG^cWb|>y{(ykxVlA_wL&T*)WPF5&+11yOO%seazk=%| zTe+?+$qH#On`TRj#?2hcz|^dX7X-IFk+ZmD%3-I4$Ck3sVW87_X5%`@h(c(%5|koY zmJ-rbuG!02KDc+=_{BWoIFZhl9yMhS)2fE90h!CLgM~MS8~zm23VB{SJBn&Vma``T zXd4+?I|4n%ZY4CFRntuswufa)8W_f}RuKDF`1idc#>qC3%Og%5{WbL+*JgohQ{}3k zn^Uc>WRJna6$P*D$Y8%%iP*_@%-{g5rX~Eickml9!BEgbXy_IM*07i1->o;t9>p4gCcIFY zrW7M)EyE;>p1j^Ze;O0fLyAnyI#vW@;z2toqqnca=j-k3>FnB5NXt2X?Cc?-Q+RTE zyfM9t$ddy@gSjF*)`2-n=4si1wBy$Uv0~N)hEF~k6qnEx#Bglv(3Mvr@C^xtk;F_#(>pt=+V)!8H z)swiF@QaEv_BD(QjNI{|qBLkimFsz=%9H9zBY-G9xt@62&gYX=!-1@}qt*;{rGh4U zw!HQzm?C-N9Filt+=7w2pXGq;<6nGaqk7aQs=!Vq5|AY`;HZ)&g}7OnB(MqSnFUVI zs97uD1MyP(-52vNjn0Z0Ff>=x8ykp~v~5KFTJy`P2>m5DUZj z_X9Qe1TVJllsZsFvmUs0Z=S$J(VBu$F|TI*0F~sG9^3)mLWhYhgtc;VdP@#-RoX<} zKT&b{BwEJx30jp5M-NW&7|+dgknf#1<89A1@C7b!CfbpeE#$hJjhA{+If@a%H6#-Z z!d;~1f7-NasTiH9?EInMwYdsNpvTQUsmeqLX>g( z$}ZH2O>DUUZ&hTzjU9w{U;T39GITU940%C_`_xAQTdv;C6oy2)dZ(2WC%2RLi*DS; zoMx*4N0X`*ay)Huu%4V0`{}v90)Wsgh6zENx7pL_9Z9>O7U-FGL40kq=6(Zt53gpJ z8#uA3Y}2l0o8jitNR=j~yDuFs8WGiWGg|1n@yw*Uekjdrm`dlomRIc1od%I&Z*n z8ldxPsHdU=21w8%=y5hv(n6dkiSfC^$>njfbj+$HNN2#2Cq@HeHj!|XAH)6(>LHpJ z{UpE)`0=n6Q^QmY&cAs04n_hiy12p6r44T9QM_hZN|N%KFNzo^@zG?Qrd|}Alg=Bw Qw4jsR`)kF200030|KDl14FCWD diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index 142d7553d0c5154d3a440b34224885ad717dc4dd..d880104bcb5f633c70c37f47ecee25963951b967 100644 GIT binary patch delta 148 zcmbQmJcD_HfBiiM2#{ffGJwd!?odu`jj;0OFI}m z&e@(&I&o2a$z;J*Yn*2^GPdwL9R1ZOq_ERqf|3furbhmb|Nnmfx99U{zM}AWv&Wyu o4yH#v{$x6sSy?cMGR!>4cSN&Om8=0A$-VeE*P+_!8k2j67B?k`fLQDMB7Oj1w3xCMvjkFr+ZcPx$}s{{R0s9i*19Nya-o qde5+GrTe4vESoMKbX>u3f~QQukH=HTv*-MoW=-ZucBY9p4gdhq@-XuN diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb index 02debe078e4da07ca32436bf07e7039f73c1ae69..2194e6a67bc118b987a7a246fd3c6576d81038da 100644 GIT binary patch delta 1303 zcmV+y1?c*P42}$tPk&Vf00000000sJ0000000000000gK00000004La?3&+e6G0Hi z6A?iW(N|FkLb3HB+}-Zp<(}II1$`+NpG&DVP?OT86#VCP?{Y2s{j_YFw%A`A2<>EU zXJ)@Uzjl(RyeP zBxu``d+CxIf%a1atuw>QL%TWN`!!40-Way6+Zs2lJ+@zWe+4Uye_Fd?mw8ty;HDXO*zLDwb`a``c;LNqH>WW-6h1RW#c`@9w4^%@pW9QWv%Q7v;_Q zXU#Y%8)jJ+l1@om+K_9DT1e%VSru|2%2j#DgrhgEdX+|#F$Dvr|Iz2L{imVz5Byqkl zgL&g|M~X30QvjXGBqNzxoZse>z91^@lQ#%*03pS{y5U(0>F7)0_GS`L*nct%BSCR=!D^6Gi9Mta9lJuzwbMLBb4@|Es1TGhhpGt8fYsg$pmX4-!{+ zkPrpEI24)@4Go%s&Jp9*brSZ4bsQH#qysbV7wz4Q8O-Dz#-|zoC*L3Z9c|1BoUOdNIzzunQy}hJPrS zK{T|@LraXN7t^il%)V&VGLH1{*1{R!NVb$#9907kLliP0P9I1X>I}FbKoP8h=giASRG#KjPfD$3hfln{lf!t%WEIQR8M{EDRE&pf^p4Lq|eb zq4`Wx;t;F2Pl5~gzO6{%?>F>I+w^Oe@O<$F3bUF1d<9&@5u5=eVb^bJAPfptk<@Q# N@9eKx{sEIn1-*BRTKoV2 delta 1294 zcmV+p1@Zch422AkPk&4W00000000sJ0000000000000FB00000004La?3&+e6G0Hi z6A?iW(N|Fkg4p^HZhze+&-$RCFGcaWNYy}1ibe$gdEMNlvfoe3rq@=iaRVWpY;I=u zJKvd|o8I-oU~ntGk6**#;BNeTZ}Dw8n|~a=n|(PLz1~0idVjpm&*AWP{OZm*-#6lQ z(cwn(jd%^TVm_BK#T8~Y{^zG5;d92v)R|#Kgz*!E63|V(uVBOe9V4p9( z&kMBek$dJNH3RL_6|~;AbzpDy@zb(kduiBqw4JoHeRc4mK-*Q<%b@Lpl(v4Z}Tu=f$#1^sbYNShmenLG!$5wu$b#d(((!N%WYhn_B(T>Sp)d zYMfM!tEx&x7n)S`cAAQA>QXVdIu(8GQ<1Bx3U(nP4^+91b0uEFejS>6U+I1o4L8v(sDB8}#tVUohVIC!Du5HzXKdf&TCd@* zvvnhzuwXmt#`a~3bqd`?pmSQ0Z~$S|IjO)_4D;WG?*FHTzcta${@unTbm z8oI#^XeiChRD6bAh*pn9qM_gb4Mf2kNy%PrAQy17VfD{t3c&eWqR|e@PNjN#D|r-* zgnv6UT4N_l?;we@;Y?9(cqf|CL7|0!7`$#l+bx?vQ?w~U$B149XuxMwevm(ZrZ6a4 z`C$m)ASgsb`$?;s{}u_iz^>756ZP46={HOxsnKuy+U4f$qH)EEgIWil^Iq|I(jyg` zQAN=NViiv2-GCt!bBMf8(V*Zy1)4A727gpxzuVV+p@qPHF)Kh&$PMkT5zq}m(fI~6 zLlwM2xkeuK$`m9!G&7IXXVeYa)l!g01BC)!<;6;eKY2rCHN8pig@)1FLr?|h{w2=7 zDjgiruJ#PDdx^8Js+Lm{6DrKjg8mpIDI`0aF>Ui0Bh`#%3_T&-063TvqEkgbNq;xA z^!?|n&ImUE9eZG%xRMMy2j-25<^o`c-Ck4Tyc=LUash6D&+rPlfK?C}$&M0~cLUeL zE4Tryhz8~xdOw(V1K<=fJ%A8Ugshw?DOM=vAn*ppmNTV=^3;DdUd@y&XG%(bRBxOb z$OWvHGbKgxYO?(zUZDprQ{pt-Ab&qnqfCiYtS&;rEw~m#7|e4p?ZHf@-}ZGhl(&oN z76!1GUc%?RSDbE@DRG+&U5|tbByh&mv`mT9GJ;kdB7n_P6y(pVDpTS#3M^1tHzfu) zz$+%KZlC`I!D^o;BsV<2p+yyTSx3kyLT{Yd+ z-8E;>fPjFiVS#^YARx=1i}i=97&|zc+u9H@anUo;GZG0qn_C$Xv2!pIF>*05GczzV zGI0?p{UMSzcQPgtGctGjk^TJm9~Fi||J4NK4fj9vKQ*Pq|6n)Z3vbvoa%JZ$Y*q_2 z=mK8R!G5SRC=pk=X{A8#_VCpvi2yJuX<#)dMZM6Sk7;AA%wr5-*2+4IsqW)5|BM zjRL*2*F!Vh`&z;9_CWq3mC?^ca7giPQTnH8GVh_~aCft2gv{WijiJH2%&YO7*fjC> zjd)ntD)7MnYL$7kiaFN&1~tiH%ID?2?`3cG;kNbZ-CJtBpX*Fdvmfr4>TxvroZKG1 z$?n+@v-)yBL&alRNSpoCkI*u?f>4WxgvZ??=mW*L=*VHk?KysQ7IL}Hq4f~qIF+%k zc&YvP=MT?<55RVCtg8Q0O4W$arcmiGgQm?tY6;aQ`2E$XC%T?S&{ZjW2XS3V4|bUB z`Cp1$kCq52g?Jrpkxp~d&lmY-6b|uIUSLl2xLd)|?vS5O7ut2!@2ktD1jMzo-I8w9 z{%A)Dte2#~@w}qrK7Sh}PgaB@@)HzbYrSBC79P&|JfCieR3(DWMH4ZV`3=7;JvyYX zj`a*>Swb9-1{BV{S1MKzXj;3lIBg`#C&_81q`c5^?Gr4q`)bYE`MtHq==lj4I>PDe zS!ghIakJfbNjC5}fkheJLWK;LMxr~QfXss|9Vpr?To$l_^ zE?Z}`hQ%NpDV{3DO8%CAILEPcK;pii3KUrp;a(h0uTLLr5Ah#*g+d01u5S2zUiYjO zo;qZ;E%p2>zj7lz*<~e$pwf!S;77*+8 z(fKcNGdBn3%N=%Vz__bB5nbi?Te(iGE_zlQZ03#KHdhPnP1rigYXIW5T@}l zxbU8PFc~ANY`+eccfINrgNTlAtqYUK4o8SBrj19Ovu-(Cc)B;zZ3#egl_9<8)pU3o zZvF7$zeNx9@zYK)*QT+OJ@(If+JxzcAt8RDZ<*-raVxyE%JHHaGXmqh#lFAy-J13x zY(6Ps*2`HfKkhM6+#-(4CGAI9uZ<^}^w62~lpEw;uB6Pw=NlfRrtBa17Q9HF4e?G& z^flUb&i7!hwcw*?$&tSf4F3}>c5njH+n)0w%gH1t1W`$R9chWyZ|0w33qC2)`b}G_ zHm`FZ*Nlhzy2m{7{5b7uq{ksmB67g|953V>7FWOwHtnUGO58jrYm;2-^fB5R@t*bG zzUiZXo2s>MaJBDP7i_Nkthzx6Xjx~%;syPF6pL1)N35wv8My=(2p43`3i`Nk?S}`wC6T< zn7^N^H86}F0ADA`3HupePh(qmu`ih#k#D)od&gUy{3OmhuSwCN+`*y7m~v}eZaQ52 z?HFze*6p?L=}%0*@$NMeVrrjepL}Psh%I|$Hw|v zvEOIl3}dwA{Cd?#3nRNMs}%#pI(@;$b`uawcpsiW0`$mBy>ejb;Grg*aql==fSYU( z)%vBa0Iz~+L8d@pbhTykOX_Z`EnDLtPzW;K{rvyeC>-yK;YGc3ZZ!Aos~irn?O!Ni=gH&%AKJaE zB7}5xUcb*W?bvy%E^@<7Gw;6Q)FtApRwKZR-}(0T=c7HMJ4R|%njX#_@Ku1d{HQl( z+;BTP)C$ke&!4*2e@qkm^fKZ}ayJX9UT<(;Z0oiDaUR+9+7MPvFOAH{9%b8Q#c#iA zW(m6XZ@_+sB+K%q5&QyndDvh(D!rG9!1V3h#pFu>JLcYf;PXn-w zL^`2k!8IUS?#`vvJ&m6T3ui$Allo{XRJ#_56xHW&4PDSck;D6K6$SdAoPm?RwR6Nm*- zu~&Y(!JdBvP1UF<@i!%ZIR~!}kEJX@&m3em)O_f$<+{t&O~_2eZsM@SJ;+ceun``% z#`)y51qVfmqY7?V=OTeEfTF9qAa{sTGg`~9d{#V`!;fjc3i`bOwEi6~E3aNA(#9|3 zRCWV!7!zaO-mcEmb?lshMM75bxDE#Z3)6uV9Y>Y4ZN|VYVh}Jg_mTAV8ELSB3fZ>9 zgZ9cQd;%tsI0O}qX@?BMQ$|FJ$v9VmLmF@NHWsQHiB?O;t^b94J1+`r!*AK~Fj*H` zN7N;X%B98{y0p{etGDO=k{tRReW@0F5!SX4krmz%QaOo#A@PJf)eoeDJPAxQi?>VPEVS# zILu-98{x4=N+88k#}vl9eMwZ?rXcfV4SPl*!sDh5%VI*r=Q1St4;7tCgX}}TPsupO z%g!eqKA8||WLtsuc=BHl$XoXJN+6Gu`3J{Ms;>H*C?+iaoFDM-VeDkbr?gPjqCd7C zYbrlK+0zcPPrtdwJde~i@0egiUwKP#ny*zv;=iP&`2tIwPN+RaY!ZGN&bA}`yIgIefbBJs4@ zHe__w4#d2PH*wr3et*DQf}JZ9#c`)6ZOHD(YJwZLJUY3nbg!vAy_zuTLDQ13GFbsSDBr5 z7ZY6PD6zJsv7|ZmS8jAhyoG|^QQLt~rPjnvCjf7M74QM}7n~l$!>9XIN>zv!YP4H2 zPxA;7(X(?0O6o3&_$nN8B^lp653*RvLKmxJQ{k`Sm26kFLorvDs;Qg~{r8kM{5ACl z8#ba3&JlBY>-(e2272v*4lK&O6k2@dT+O_m26W7TB3kj;nR*p76amIpEEPk{K*a$v zOTw1!>h2shCm8jA4Mh)u&3}c8Bx{w|h-Y3x z!qk$lvqz*j6^fC8R&(JcuVN1Ls^F34I1CP4Qk`GD#feeGws7a{E+%vkDP|5gFTS_a z4g*e~H5b4fWlj((y69#K>q)8emm-$l_*)Yzic4;_d^3-McqI^263L4CfN2b7s>`s+ z>jM%fXp@N%WtB4$_d#M?)jPD%8otgmXaC@#uxf9(U0n~A(Aa~0^c|{~Aq~rryM0AE zq*;wU4=Xqpcu_BLXtI+--~mV0qwy~OL7s@$RMhK*sRXZMfAIX*x{+$1&S2QXu&<~) z#IHNF^;i6IxSmy@fjpSWEN^Ssm^CiAhk^2YF6-ly>oxW8K=*2Vn!tZ6u+j#_eS&-e z+idUmu5>Jb)6}DEkZazMZYrI?%6{s>EYfkUKl*VoD~*7+OH{Ta^`W&t26lLT7>gg7 za*V6TKHkA2x8n|9$Mun?(*^Qm==x^uvgz)r#sNZ^s>Rh9LC3Yu%AQ-`>+qfJ=F)6#wFPWRY==z>vyV#!a8t!7DxMQ2d| zHBP+5AEGv3fSn;y<^8WdY|w%;RPEi&5IIuJ9ijdyf0P8{7{c7Zok4m}9D>=C>dY}F z&wewT14=Awq6k|LTCBrIs*Bm9=4gwMrzDhXsw_<3;PT&fjW*GWdxc4n3s}cG9nuAF zX(Y7Eu=)@04ioj_3e#WjSlvLnu7eOVAiFe?srSmB@<-@83fd($(SK)x4O*_!2T#(Z zb$853c$El$_HEM9k3LIcYI>^&S|*s z)Dk`7#1Uh+rWs23vh43KWovU7Ma0oFJ_{Ni{Gx4Q6_gBmHPRN zEo{+t?d#&4o6)3MS4+sUuJ=%-UEW_J&a4hg+W^OYt|e5uH=%YiF86yUPr~wq0jZ7U zEOC=sJErLa>11jYw-(F!W)7Pq?<4kbUg}(nCKs!ftIkfs4LK*3tS(c8>7pBU#WbsS zQ2(!{6uB?#a`tfj^Bd(kMq>wh*j4#D`?;}Rct_Lso7-7rOhW4c8a_9J;lbaNUNz%` zq3=Q=49>gKz~OrP90&bIm7F4h=y!Fj5APB3BrxpxMQmAYFo)GnqlTOIBGt}UDKeA# zn2uB~$&rbaq?2zr5re3<^fn$9oBr9^fy?|@GC2wEjf(ZlM<~;O!>#AK@intPBRH%z zm7-6TJou_lM`ZDR2cqO%|?A9qQj>2C)I4WIYV*l#YH{U`oAW&>bt{*)2#YquqoDv_pheV zB46&HlAna_H>!1QETcT2&~*qwgIsAvYB)pU>vywY*|nTr5!Z^8pPRh(gfcs?@0y61Hp0390H%(FcGSYu#XAD zhvcMWGgmGneEfTj$I5e-ov15MdTdrQf#;ZxU2%P%L6WICwO()ip0aE0sQE!&I+Pc7 zBI=ilIOM8x!kU8b7g;pgPNkoNK^d23Q8bbDz@LlgGRh)?^U|r}jmEwFp!Fw!A#^6A zF*f6oI;Z6kGh*1+yJEV9=_7bA-jyML=N==XbU8bJ`Ye$lR^GG)F(}3Z+E@aAtHFHz zh;BU_cv4a*jXSi_Z<^~0%xkKbY{yYn1~}J|3;BjC^zDaB0qHg6zt6Gub?(gF&O*ZN zy{YfquDQSyimm^k*!@*CsY$U}FT%54CHsi4QVIiCo z_uO?!iiO9L!g_~Q2rIiw^3kcZoMd73Flcu5_@|uyl=Gn3mi0DOO#cCX-RFnbW`kT? znkO#JcueTlvXNG3=$H|5{6;9(7_J7bYx^bsgNNkReY(cdVd})L#8f0cdcNBaPQAwr zSal{x^`%n~1ahw)2=%l%43{J<=KQ!J2`O!_DEWJMLd-&Q()&{aOiHngTH-b=YLqAf z9}bxXpVK+=g}UbL(+30V9@f*rHJ|Xs!Lc)rS0*UT!uo~UFE0QbgIGHm&Z3vVOk{lR zWgFJ2=q0ATcGl%u+H-7|+IsC-yPCSaR*y@4`XdTIO7ak;(;b^eYROX$maau@^lfp> z8dLXz5YJF5x5@B}BIP`m?wJI@DDGJ9f$T%7Ck>-4M3Q|t&QqgOaEceNv|k!~loF$jk=h}-J%W5Zaf0FuvZ8^5yW zz?HqXio9a$J8&({oRHgMOP;XVcUnuFarfrdsw(g5pL0!2yzU1v>ydEeafZabIhTFZ z#rq9Z?bJTEaV~GtsEk>{x|u^1C-wUQ32Yr>wKyCh-USl^=23!yw|lQGtPc${B<;ZD zRygidW9sfLh|b`=;h};>uJNio+`RFN-Kl>s!R@9+BaI&7<=&gnQMh3AD1Go&KPZU% zo=12W3UlicawiSqK>CHj$ZK>Shmju}@^)c-Cb$A}B(>OA!D@2Nz1eP&rTr zC+^(?<)9l+gh_fmKXiJXan{_J=BRHwPQl*sjr&;P7MJ*-i?KOE<`+Y9;s+JpKE=Da z*IR3nSk1+-<9~8F(*McjYyq^ae)HHA4tp26Q^~FO8#XX_9q6kcD`MmTcq3znA4-gi zcK04=y~K*E!`LABQ1{KT*88NJc0z+W)lMMqxezwcqysvI3slKHsuJ&f{X>n9HY$2u z@EmsI@roF;!|S7+^$K#l*-E&+w%~ke#dQWLoJTVw35|0Mt`dH>Z*XgQ^WrbaN9m%t z&&mS)Ixd?a$9BM@V!uAM2TPPtzxI`Cq?>~;=y%+mZ%sLUFxb)hTk zxuns&MO!h^B)yWOTSvsLhRTB^CWs>j;Mp&Ggy}|*C>(~wa9-y3{yNY@+Y9**_%@6l z>?6dBPLWzOlWJ`co02&DzgTCA9ErsDOr#F0D^;%cTw@cqcyHlcl~DD8xPj=B5=H{=%{CxmGNJgf=in~9x{}t|a&M8c(VAB^2DG8_vAiF;K;gC@=|A&aP#Axrk5X+l@(+p`b#d zfb~fN#sDbcVMTx4f+BgecclO3!7@v!IP&kPq~8kxT!L&@1K97p(g7t+;iP;boYlK@ zuIa)|<<;i+A_(yILd57c_`NS&yJ28`)uk!7gtx5m6PkwcqOHy!3xwEAP!0%b32zc4 zaw(+YqUT5tupZdd2NaaKvr(eZ;jB5-eWU)|y}xWPBVVmv>mM7Z8PJ^vA3Qeb0Yj%km)q*Agz%A@QQOWFFR*clFWSSk=UL%)b5ar zd+mxu^UnK)VwKc?e8~_L0~`3+Bba{rzA^k!g zjgxktZ^(9MOXLgc@D{@E$VcI2&UHRw7=?W_P<(5_ttzg?-U+_Gy29`Gc^{WsdJ`4P zQ#XCDyplaFJsj5HH2r#o(lR)#nZE0BO*E1$NmZkrVFyB(!vhPsKvm>q0nYe%kAo+nLn={JRE}#X7H> zp->v1mp>}{iAlEgyJKZU;{EoWh{BtVlcF2O+d``V?@U+pm%fz6pvcC=wdOC#>9O?|t6!yV&zd8M*~T1-YKe4}I_`M; z2Nv$K2&UD#&(rKkZt&QJCGG@sxXY04jQ|AeoHfHkqK&72ZZ(oufS(Ly_|=Yi(ko{J zQn~<0?Y-b0xi9~aoa-Ktj!MgDL-&B3`Yo~a7E3n_(w&(Dk5(6p9uQ^v1V_HVwIp$yyn1yiizOz2yX9*UktPG5 zFi+M~G<4HALN6Fb=CwY6B2@w-flZb!KZHr#qV`k2RHA-Rz|GdhjhrtpM7MwHDU=<;@rqY*ykCTC2igXkF=9#(;EXKPhdF)e zh8|B)J92?ofTD|6654wb8yPI*&4q@J4|u1V&b$a;8MqvdOP0X4ei|s`g}uNl9@efy!haHZp6?#1H5Zrat36)I-w9iXG@c%B9x9K|k54scn$(@QTB--cS74|6BcIjC zX!ioWDl^~=A;9i=pbN{gwIE63Q@v|y)&^z#v=44I=asSep`e3jo?iL~30@;S+;l!5 zaTpS_z6ol8$Nk0EB!sZeKZlEgiU!^V?3^msGlj)rI>rvDkesBkRk*m3B;20JRa&k6 zyGkIF`%Ov}(c+;38WDN+(0^lcLO?N0NA-`8PXv!gu>JR*s6|2v=)`6!#%f(@Oh#r) zJM@UgJ2%FnPEq|#L&;)uLSP4o*TNWg#wb6NBzCNeUcaeJ9T>3=|C9>-tP|2@bnJxA zBIVID!vp%DE6{Utz{j@_`k4}le*MA#!T4`iAArSqu6v;`T?)-+EO2yBgnOdONjt~< zjcLCf?hB?MLC`*G;^gg`nzNaMR|S(wrj1dD|6EF;h+=~*14QqvCR7{Z@|vpV4#Q*M zb|y$|`|bnkE_hOYfUsnzm$7z)_-p6L>0No4u-->v_e`qPF6I%<21tkK{gGq z06gNONtShzB16#$2dsBiF&>BJ!U_mK^Waj+@=stlfqcwhZ9T0@ zcCkc4b8&6J&bgCm7E6~yCp!nnMyc50mbZGb`;;9DkAgO)$*Jc3V+XOoH^|O-t!1pY z2cENf{A$g7>>F8LRW<*C_2U!Rr)+6L%LZAFi`yF#3<06*mGrB_Pf^RIcr_MNDv){- z5h%l#-2+Rx?)Up~9!^Y-Ag9dIsb?GfZ`j@IcWh)?y0HkzND*Hkv)kS~2`=jGp`NnM!$pD1g zQ}#K-M#TKvX7ynH_XR-J;(gvvDHcq?slfbBC}r(y1lAl^#TS9>ODnJqevG<`=%LM~ zjC0JT?OL8AD`#&}7!}jvjvG$?Ls=ZxQaoN=iHz~D-XqvbRX9t4^4$BUbn~mby6lOd zM70(fZ+DAGWXjxywn9M-&Aq{5lbU*+(X(4-rVf0y6|LNX;4otC=;;nZ!`-^yTyPr$ zYIfSP#Gg~kdxKeTC_+#pk##S8EFHf1;?AJ z%DLAdI*iOd2Y__8Ju?q%*1D>B5u3pvEr0VRi0@n*PTYu_)}wEDDn54aGc*Qa^Fk-C zxhac;Zvj8Ul`>lG1}E|Ra|f`>hi{s?jmIqUq!9@aBi%FS+A!JRkCwg>LQr)^b^^L8 zCw!2Syt#CswZ!kaCo9=%P<%*Rpd`fAo7dpgp#k|uex2O3n&?T74O(o#l0QF#{|yA{tEoi;Yvlu9c~Z zbTRX;%$kDW$3t{u!kI2YSPVJeL}Js*HHTX?m9W^4esaEyQN9gT+Ecm37RDxbi!Ayi zn!K17Kn&RSHhO--F1(HPVfAMQuxmc3 z$ThyJhMRF`?oGZ!uJ(CrHr{t+TA}|k>*}Ud{O2edRk;VArJ?P4O=6w|Ps!C+txOPWat<-5X-DBzx_y-TYwK zdvus=r?#smmyNV_o^XXrCna(UNp;$Vu-;W1O82Ju$3wTJD74-!FQFTtIoEF=Noau7 z^Xw|+!FlB1fqFWQH+TJnr>7D;my38RBZ7E1r!mec!9+;ws+*>-1I+i55~93DTBBEgInsz)Q^Rm4-OW5(dh(22H;$RaYg* ze=50B(iI-4doDE;^j~F?(=t>YSSP=AJM)FaFmNwcu_@ksaP28gzmJobMC-VN?e1`i)c@Rs%#Qqn4(b@9*WIP*L-wP?{SSYuuV2k*9HLL#Ftz2T zPUtjOu+>uefQ}bV%;HBjMeHtw7wL$+f8ktnH*QL30uCtfs&{<-p)Qh{O02yymj8sy z&H2iX*)Ga(gNBs8z)L*!ixCT()88)ju-iu+<4K`i0BNgw)>xer|5n(Ds8h3WyrE7^ zcN6))Osvz|Q>g2ulLlJ6cAt(PhyS$N0qyYQ`A=&Xjt}ZRLJunI13EFFbP8BCjy`XV-!WP&g*0d*zfy5}3zyuBLGrKa;WT|B z7g@Eu>Pdyx6D%b(d%^`t*4%x6GsqEhlkYQRV>e622 zt@zO1nf$S2LnxM;t_#1|U)=i@H+{k*qous`g$vqJc{@tN-;cp^p}>T8&7=xs?ZYMZ zcl4^2a}Oms+H5>hrWqz>Wha^~_8*bGV%z!GYe0gtA~R<9qBvUi?hqk8E!%(6W2ImL zCb)@>cNXx$-QdEjl5R=IFX?A16Aw;j^MXd0#{}UHc9A}t1MMo_Zq4p6HcN5{)!Ett zt6G|teUn)hzX+XiW!U4#rAm}0Ju0`d%BLX`e0meRc-tJQ~A0 zzC{Y1S2*XYayw%X(I*f%Oza>Ve&c>&IGBfi_jgA2@@)y;-v@#~RYSk6fvGq0M`}pd zSRyQHCVj%N;u*gSJc_QKQ{X5SmQFRV4HZbiXEe^R>4N) zV&e!KMJ<}H@qZD3HS{04qh$);`hgPw)rSq$k3HYxj)lO2w9cAM#1u*izHx5#+Q6|7 z;mnsRUVR5{cuf_T|G8LcKV93gg0=lXl&d-Zr|HhxQ{Gv_7lFAHLQyIkT&|ROKC1^6 z`9=)|^Uz(N!SrNlM-y~>I>-R(X?AJlT~XWAS-O=mI9`}|zD}>c3k0>x$~JDs7}VTgMinsGH%8=l=!IJ%XxJ;IRkY!@OM9F6K6BKf^$6tn?a{sgt# z2%=?UKhO#ePR|2b*9Ejb({6$)x2e}D+HB(Ccl{0N#XA6|O`(t! zwlrRVQov=%Vm|v8o`h0Bo$x!7ANpwCTAEyRepp(ARe*N^d=`$Hf=**wibkI;lz@WH zL)8_}fqB3I&J2NaSwdqI{ze`%%L%U1zer3M!%H^f0Y!FiU^D|@vC75;#;aWheXQuh z;8ol>{$^2{Cg(oTe#h#Re#V7kVdz@W2H`B-o^PWf^^OA1wvmE|JlWVz&k8fhXkOXD zx>ap06%*Et0iaj2E_qE`d}FN$s&%(1MmKm!W5dU)4KiEbS-%`eUZ3&LorHT6R&_CD|bg&w?=ce9$j_&(&O&#^o(Kq55srqmY zOY>=>f8ZS)zx^xfgFTMA-j8(mmkY#r?z$kBAbtG}%1%LGn&oF^j1!&hd^{lGw`Qek z?PcMMaz#*6&7e8=T+@@ZM0-jK4z?u*J$|~A*duej_kzl-VSoruxvKxEq@y!gi4w!f zT>R0R1TmSaj`W&F*O&!i)8c$n*dK}ZCCO9CWfUs>Q;ppsQf=5Yr=lu3fbBF;M^!~D zAV!ea6iEP0@qjyr!2lmvz}MK@P`qq{z|(=_&FxxMEE=L{lDya#Sjj@m! z=Gthg+tlYr*A$*(V?J(NX45!MJi_^R|CcM9rRpzwjv(j3MSUfsZgn z-U}M4%MPTVXBwJDg4mSOYkFM{W`s>R6UHEkA!p=5?`F7Tf@fM{jxygk*pX1nTDic^ zG8Z^FP0wjNaW&;R18mGDwU$Fue(fd4aAlVCOEYH5T(iul6=&E@-U~R0HK#0~+*o+? z8jlBM`3j!esQKbU872b-PE2Nh^Ae4<9ApeYS_HLOR3H}E_>2X$6JtZf@h>vWSecLX zma7tgAG29v{KwK%wz>L2elV^4Hdo+GSs?bkH20IWk~qpKk0DunH)=?6!A}K##-p#6 z*Uj=DV2FfcjlB)sU+|FTk|~a}$Zq(5TmBC){sBrM;M``nb=G5bg5Jk)unVqJ|6f1} z|I;*ACulqeMbgiEIvRSwwRXDRuKQ0O_wqC#F3S;W*wEp0;2I2FnVUK!NN{n$a7l*?~PqBvT4G2Fj)uTh z^1g+#QYodktqSt~g|cG#N6)=HpCq-Jz~ifKFfN#iDp6D}BE4?fe8J{*6B zJ@3-S{HyQ3_xnxM$6y;}Th|t_Pvz%d&x1Cr59Cm1ThPmwaUne*_)p`0=y>|qR0yAc z^gXYC)jU`Z=Ib(8U2pybL@JvrzTo69ZT*Anu!6$NKm})SLk~cEw~nS}cHi@MtS)Ac zEQA_Q@uYE&pPiZtQMa!;)+-Ist?I$4>sOqL&`HkTj99Cly!`omqzED{LRUNIgSQ_B zY}hlVst0^tXBByA{$-lXmt5qYrT_8Z*jCQj%Zd4Kt;~dwZUi%e^GVoFf`10xZ+t|s z;tTLUJ>idgsf;2ztUeGO>!`gK3 zq_}zl#C_S>7ZcY1`tdS|C+=tYJRxv+k8rArExrFIRUM@8^}@v5R!;YsQKJUo_2s44 zl-T-+L&p3UmP1bXKHnu;=%^3QhvDH)OH!b3C*FtLSnoFg?*sL4CzlrT8*QgEX-pGj zaaY{Z%%Nn9l`txfV%c5e-WNyFtxAIB+yTcxeHCa+m36mY-RakFH`zjoZH4!eM20~ ze+C{qR>gU7Qnof|&)B}QMX$MSfhGN}cMHD$(O=s4H(eS7021>?%%*xTrItQQJZ-j z$o+^>W2dWsFxNMd50w6WEHeyyo<$Y4fk))&m}_AL9rrpNJq?_oKUa#Yo=P6F=WmDZ z$6L;Soi*8LyV9m0;-tQFLB`z$X-A*Waj{W92}!6b0M%R6Wn!?tf#K7I(v4kH|&_dU+)4PfC(}o^@$%@P%K$gF4xw{ z1-W#Be=(wskPn`UYv_09E~|B879~@YetCX++W}LP#SV;}Wnv;8I&9*pTCK5GPpc8J z;GO4q!83UI?K_y?1D2G-x~=VuU}>VIn0#abt=dK||t&L1I>045V zA{k<0X(Xw$7_Jf7#Tux3$f^ddbBV|%RDH(1oeiCmezg5I{}d{byqHYT8ZbQnIZ?<# zd=hT5TE`-B2D&!;54sfxdI~H{d(LYYP0k2`z2xwakW}YeYD59jx6}_i5dQ=B?mZ8* zCQBJ+avJ~OF;CHleFyHzxHYDBB;Fw9cnW&q+1baFB4AJ!<0Ja@yk^gbUO)r8r5f!` zEAOHe-zxn(t;aIyl-+|=hM^Lu|xEj4fsez=2~5~%wZ!N;EKh1@R{ zx{U*L+GT?2Z)M2)ro&KAG2TaYv6ZhT^@DNoOloT7%Q`4$_h$_bsp15V$rdoDsbr@V zTc-`w_GAUeVen8G+?CO2TR|4~H7%sCD%VTLcyBFO*70IsQ~lb2{F`C5`d3E@uUYGau_i2t{h6hgU^nATcLr^s%Y3PFR>t#mJ$Hv4}?HU zRtE}PTcpga{_!jagkvYHL7y!ZaxHw8!;rpiZtti05coG6og zfxj@Hp~bX4)Pk-)X6$lZ0$MPi$a%M^KKjh#=DuiUSK0&|YEO+b9FP_7!CX0}{sK5< zQ^=)%J_u8VeQAHjS>Z>@#|c8~oxSbDtAkDTOzxwwZX}B%?pyPR85_ITkFV9g-1EFK z+L6~65AMKs+@p)wo4Z{sj==rHf_h`1JMPzOExyI__@?Yh#(3IkbjND7@?Y=w$di2A z@IEw)t-f7=56{F0UGHgn8t;Q|t}*3nKECw0UJ%E6eX;(r_)x@szL29>>U@RZ58Wq?Y;ta|VD zOAXJ$Oi}W6`~AoOLf}i#-LW=zt^-14`f~ebXQ+Jy9vwM*Yf~r5IXC}xi6a1%EW|xD z{spB`jTAE;i!nG73%O=E5l*FgL*V-&gE0;N(%aH!&;C-Tx;Q^WnXL2`?rZZsq!<&U}n67f2b|JOV8TqQ&co7V+cou;S~_ zzgMlPw;@I!ZKivEbq(+sI@8`tpl9q0(z8XJQ_h{&CTzz-fIUw4{9)@A2J%1(^xQ4d zUtXA$pWvY<)`NA<@mrmE0hINtVXJ5|;5jAmIXRGl4)U4-Qdb3`8_%vM&3%UXO#3RB zA0sda{_{!k#MP~kutDt$G|!v+lBw=fANK3p!(2Bj;0rPD_Bi6lyFZJ>v&f*7nQxB3 z2Xf@`m2*Fr1s?Enx~chsBo_p??b8Fj_MDQn%q!n6xX*8`s5?ihuF8}L;l34hp60*j znbT|bOU+#R;m54OCUvnV0}KRrn!H_7s?X0gSJ&k#!;_`VOsUKT$F@XH#LB>AgUSZqO z2fL@qvK*qYUq&UI$jlky$Wvq}78j*Pr_9@p?enp;HiQvi?J40`IKFO`t4bgAF*Hh% z6N-^T$V|;cqg9kn^!Az=o*gIou%Lu*AFy?+dmN3dN0>mK97~h(a|!|nS30F1k9#r^ zcrk~{W%*`#P1{-~dLpu_UTb}oJb!7gKZWp(?nEeHn@!6^mP#6GkkuWQZN_Yvas8Q} zg=lX%iYGmwYlZOh8&Cgx&Zr@L6*}DbQbT@o?F^D$)jNz~>Jw4VUc96GRiclw01xZF zjo+zzU#bhiY|Mld4c!mTnap4hk5xXY?ff3Y=={bV< z6tnKhaV@z6r(Qa4k2v+Pnh^E3iu_P#YbYl3Z;A8E-O-pNY;naQ3ocs1vN7Iz;^~o} zpWJpm(hh}^Uc>cLUIP(%4fWFn9PL@}*6ltbB-Zoq*e@jZ(xSMaOLkvk(M|u1{CoZV z*DOFUPXmG`#X6KLeF5o>6*qx#LMD&2F_-}7Sn`Ebfy?z#E&|qBvz-iKw<#s;6uQYK zA9@}&j&xsx(@uPWg2;wP=Oih9#=r;ZPpF9SWhWBjhJ99l}LW~u1n0vhaH3z%IZW#X6tbd{)SVGyx7O_(y_ESu;r$nS@tDAA>A&NGi zzPZ7G3>e(;nhmfRy9}jGBb)ZwICHE=advDnY5`G>0}+XtfZh@0E&Mr?l0o~k=7`%P-3ef zdivCK2zQKyOPAke-UQ0Y3khazn4G~B5J^G$;s0dp(99s7d5XejlQV;8;dMp>E^vo0=@i%G2A2>=0 zw`fBT1JY)pNqGA0v-DTkR7^c&CiNi6QnWK0_gS+J$8y~Lt)*+I^?NBUOmQ9A%qlct zo?TnfCU(o@Oy+IGIq=Sg8-$J(Z{m?hb;~Z6cm*On$U;}`Y5cB%%oDUv0C_{TKE=s+ zH4RE>-!A9ZCe3p1wqy}Ydyc@}yib(iCl~bstr41uyMjZMPj-8z{*f6C=^1mn+(P^}_dHB_nFjibw_M5tgALsHuRBr{8!_!D zr=;&!F^-<5J|5~md%N@YWihC0zRP&h$u6v2PJ`1!hH$%$yByr7SR@~paT>^9A8Z=k zP@{lHT*9}mF+3QO{<3ATem4|2ZbN)CH2R$LiM_zdL!0y+de zfdI&29eg{P@_==awWxwi5Nl}fJhK)sFHQ8zA-`tr*&28=Oq#W1;7ew)4%$5Jax+Xw zfF-)Mr(lP|Y%>Hv6YUai#;(?3nqDju_)_RiZdMi~n%JSV03HI+fo|vrinL0paAF>T8HW8AHZTxyb`IUaA_;pgw-Il(y2E4z~&h`2>=m3D>5qk!WZSe^bNj^s}K}y8!k6#AXl257Vxplh$$rSgbsA31Oi|lRPJtUPEd9#xz)d#_m-g@?p83-a z{Z4yy*(`8Un5bAP$u`WhE;1E&qRj3xKGzMS!o=W+9O%QCmjMCL-$*V(0~^2C+9}E- z#lL?dR}q*tdWew%3(Dt7SB@P5-lZQ1Uu_ftEcMf&oVF@}=)C;F=Zyk0yneTqPt=GZ z{(HtJS8AM4B9B8AG0OmPTw5go^aaCkv*s*Ty;JB6FK(zp*?PkW<(@9S2<`@e<4~Zt{_tE{e;M zc3bJXVSI4<8Ruhy)?UfOilr2%Wr7V|vq*RAMU`~0UFG)%GY1lLTjkK5K z%Lki+WHDl<2mZ4@^(ke}hQMf>@q$BM;|mvPKgFz-wx$eNy`kAc=)`A!+jrs0y6CP+ zWmS_89f0)aazetGDt+&g=t~Hj_0`$9(Gs%?F(yKMCznYHn+3#`N%awir#RxKoQ#CO zve(1NuH1T<-YoCq{jUH~2Cn(X#|eqrx=l|&5`=`M363WdVchA{L~VKREi!B+T0a3v z#F+gtJ>46=Bw9?HV&~HMvN)k7L9jn9skJ2RNXSSK5*;YPk*IBA*h<9dO%$RH`*oa< z&@$$KRv<1M!-HxOEeWCBAS}Fw7HvxRfRY$byU`2y7l)R_4AB(w<{O~fdv3TpA3vvYU1yKNIE zxw*S@e{=5to^$TGS7B*v0b}fqH~X5QW4#%St$;r0^E$d=E4t<=n$1nWB=dB33P#F0 z-H7)aF@F9Ru z_bhN7zzbsV*xYafz}YdlZEkoAzzburaNjI48mpUH7R6v#-&~sO!SZ5;-hQmrYCcJ!n*SWpWk*P)(3UJNgT3B`bAt}(D z@R`ShZ)mJ~U++Nop5BMMO1papTXJcF$?Y%VI>}bPYfG{M8qB8IlA>`lk1{YlXX;tO zEl=buE}3%B*})@A*{3kj?L4uu7&4*+8mg3&7_6}h!8UEtDI1ovlx zfI^BNjM9T5mOx7kOA&dy?tJG397QLI9cTr0)23xOKJO|DUdQ2)A+Zv%lkJGX0a#5- z_@QUyD=Pi(&^kikj5imva#5p8~ba@9x?rxR`vX6cC zfsN`>pQr*mm5@)COrN7lniSw>b&9|?pl24CoKdq@zI&pj_M6}FbRyRXU&jlT6FuoR zu?|2!*?FcCI$F$X=y86ikFv>aK7E7V%Yrce?Qs1a!HexXtq#=Cvi9G+Gf!ZmXidYY znAfr)fXWKW4{QQ&p~J)$!de-h-jah{mFmd*$Ez-#K+70*L93GC=>9m5@!U)YdC%18 z*!EllU*Pg)q8(Y;Law{fdT{`iqZkofLo&f2+(qjDr%kJYiqYxn?(d#*ZLV@f1WY}g zvcg7^@ZRX(Q-+I+^9a&QqB~LaveHBsqO4PwccD&fV$1n>yCU;Sy`;^*s^prdhN zC>DgMPd((*((AV{UD!gfgrI5#oY;&}a2qW>^5fHk*#O~;=zIii-eD)x%_+Iv0QAhc z9aTJQM&Cz1!3!5g948j_D(S+t8SW!3R6o-C1~U=FHDU2bl?qfCTk$L0Y$a{*njYjZ zK_%Jmv`eNUd7&iHL4#M0HnR8e$>wL!7P2BIsB|fsUzbvrx(%?Imzq$XW?iqBPB+g3 z{}h#hopNZRdK+*^%5(~i_E%NG00~+MJ*wZrwlbOg(*`>7H|%)n}%= zk$`}JDxraYLLeZ^pPT7Nsu(*sn%mmo(X-Rg)6n4wIGbA;;jysN;nA_vGBD6G(9yHw zDN5i;nL8Qd2^*O^{k%)@``7=NFckP70p$JXf8~E6iP-;gH{c6z=niaU=V$Q-GxEGV zyu3Yn0VRstt~-H<=M7KUIKit3M_UAlY@Pc&1iIdTcsauVb4hA8n90``CN(L^=MyM2 zhR3KhD47cv>b`a<004<9WhDpi-_NU-Q)#K(_0JO-fxfQaR~y{*CZEe1o|lcd^~d%& zn&pc;ftO~}PY(mH9z*n}8-cnG*&q87RffYO{nnd*t17q~_7hb)#VDIhewqcIm{bYD+ zz`XbLS5P(@fWBjkYA4q+-gDQ@cPi`5xp~-y-L&gMQQNjqH5it;G@c2)vjH!XM!ZENY-ARr45}bL}WjnYqYUGBqA9`fzD;cJe z5VANt*I35K)&pk^aNrwF2AoAtZk}fwP_K%la90Y_F~ZhFE#Tc^3XT_5w6EN|x+wSt_8ZAZH<(NGHZH!6(PTN`~eYVv% zlwll#IvS8aw_Z70iKc1g#%8k_E}bN$9F_FK#A-JYLGGjETA+ScXLlz{KTfsu6puITjTd!`Zy?g13OP#1+_ zxX(mIpGaM)LP_b=xQt*ieR8(4D*pag>t|JC>$WEl?*l%ZaIc+J6IR#C{j#rnIq$?i zt#zJ#JezfT@w~wcck;;C=Dy3Wm3mNb{N>e59rm5@-cbvvd5{ z56wPyzgyq04)D>5ptMh|zSj3sj&mtH-nXq+z3L<3;(Cgnz319%QscSqkLEnuCq+Ry z%2}@UJ9;-TzZSR7vpg_|wn=KklP2B8mv(z_zdF9P!|8FZ&c6(%+WVgScB)1dUlup& zx~Vnq>;@KzC1*cmguK!OuG5uMcv8i#6ST_n7RL?V(KCTcK3@J7DI|TAV~u|~UW~4D zWozSN1s}mDDUH}4?pD*!yVm6Oro(VmS#z9l101JmTuP7R+H7OSp1U2o+pzj{aoXq? zZcrr_JLTy7en->Oa`DBA_o=y^;UT%jG*lXUsP}bXR3Qqpb#M0%YaQ96pJto|m(nVn z=8TyK|KK{8U-n*d4r*FQ0{b2a;ksIMU*gaDDEJ<1?wtGf742Rb;wt~BN-^~zXQ|5) zjvkq@e}Nu$zk}APnE;*se7%1V;F^3dUjOFO+6#DQC93@j4zX+;OzLUMAMd^2aKbfF zmmYF7euGfOJn9f~Qmi7nqSe(dx3B7Lz`}fuV;Ff}lyx@Vp_3pGJYay0<@X8wSI6*s z`a>@nziCd!rjgd^Q~aCMd&*GTcC;(;k;D+^9xgk8S^~ViJMJr|vopGP1bt;S`wNbH zp;vmDd!4_oVzlkJmZ;XzXQ6Y6{!d}vb41N7vTnofX7xq75hHy|Ifs_I=ec;{|3>{zxKtEQ8ri+=$R4EJ{D{5CUbCxwveI0TB|UFkQrQk}JIKvB5YA4z`j zME^4`y`FM+wmB&3Esm+>)h#uE=iK@ta0ri^_q;nIihD2^KAxO=dwFL|wsbho(DX)U z{Ln&|d+6{wV47bJV+Mk_J`>bpvNKGHwtp=>z%$Kwi^W<*>%wsV9c!26ebAR)pUrK$ z>BM6{G6%EzH&y&Duopk()T=Xhd~*H)UH$_g`aBLVI{>d*X&kS7vCp^Pg*DD|-?qv0 zm&oyOr8Zhr>L6!|dS$3)Ht&C3+Ke?guTZ+)I5$$|)9i|CA-El4Aaz_A^^=~t;DtHL zRoIcSm&ClJMpZj=nBXgr<&JJeZV#BjV4Fj3)Ne`y)TuAP3W86ujlCaKE|v~WdbNIJ8W&+p6G}D85Ay>HQsr=Z9@6EsXgyHYtbyLW zh<0vJYYgWN7hq-yG$KBOAlUOx&h7Rh+mcN50%C!qnyg&>lD;R;d<|TF5671F{9xgN zU=f1B;ets=f-|2Ylk0CSe5cfTe7iqb{lP4CpQ3|y1WGN{wkpiPU0*125Ip5Q{ByB| z-MM;ufwc&AAm^L}Q*5TNr;{}!n%>%1N)7D}BbutmTG!I@l*PJXS5xNQ*9y8Yq4Py# zUMz=;$gCJPXTDiMbf!F$!tfLsMya8x8-X$}si8){S}Yku=wD$G=HOW=SBPEKXWQGr zSWC0nTxTH>vq>Zz7GE+BD>wx_EOitCuG^P+p>-0XZdS1-1UxPdtWbY?SZq*3od2Xy zZwh!H;(c@+>P2R5KHv3(jH5{b=7SXo>`KnEpI0d1Kk1%GH$rt(alEY1SZ7=fJq_ay zN8aDu9p#6R(wG2L9JHO@rD-X`l;%9wfh z8HoV@O5>%hf_3c1(cP__h{z@Ff5kG+WDW*(h7I(DCgI8?#iOHv!Ak4&OIp11f4XWY7}jyfkLp$47YK^bv!!Jk!u6z#o1m3Uz~vT3 zFKg!`G#9DV;xCV+^obcU`$$(RIBIct+TK5`7@2{;-{oKAUlLZQJ}%ta$jo{?mHmmv=v?j=s`AtZQL;Q`J*Z|T}L~dfUga-{50zrdH*x9eXrd=0k}W zvk76;5AQM1`bp7SbM_=q4!74-w+B*UF}MSY&b_Nfut3q*xQ1eQmfjs?(gCG{QP|T2 zu5y?7DltP_*F6}B`-MCxg+&(RrBmivUoP{~(I^Pc;q(DAP5PpcaW?jWu#LM8CEU#T zH!10jQLX?;I^G!f`V53+)q;tf?lQLh{KxlQNwM8_1R*P zfPSZh6|^cG`w^+auZaEGA500eUXMG&0HqWza-U~xF-Sn15T+YO6E9+LW2XFl)CXai zUu2A)9K=BL*BA@R80(KQmL0>LglfAf*En}H)S0V9LAg*~WzJXfff5`j=?gg29W2TL zF2zW91KxL?9H5E1p*MpMaT>zZrGS{dUXXh9G@5xUVmSjXlR*Q<6Jc@`!8pV?ZXP?? z%1B(swPThst)3g@Ox7XzH9o_}=S-HRmXU9Ea}zon9Ep+r&LP}o$ah;>Tc455fape*F=Wu6O3r3X zzXGDI&jt+%;{^KqxJ5wIiln8Zl}tzP>h3o!@`Uz?l&3u^DRV*lrSUg4P7_#5J23`f zF&x+4}{UgPO{Ww|hO&p&TBjbwP&bH}%wCAMi zA2ENaj9TpZ;%MI{YB4!VPELEoNQ0MAaiy^*khMVC4oij8iry$Seo`&{ga4%D4TKv2NJJIjkcu7cvyD1mleycToPN~3 zKs|b@MQBFm?Cy>F^6o9_^3G%(no*jdnrp#GoFx90w^ty*4ScxWJu2EA@1V%{ zRidVT3^NX`;R8+*kO%uG?exFFl{zFi7O3 zN?kKz!O9X62jK)lo`b$9s`Lp``)P)%b=wVBwHl+2mDv>n*}Yfn)>YzKRN>?(Rq68@ zVo_aM`DdiIsBsyp_GX{wyMAfeQZzNxaDMlM`nfD%5J~P7lc6i*>Rw5h{Sk!RSb;0my)u_IpJ@06|+#P6? za8QFgb&t`$;-;l=8*y~Nk$4l1j9l(=f{5ziS^y9~uc2wETsI^{O=pl#D z7%g(q6T5u=^JDieLrK%?sP-fNTY&2P_ROT^Wo?z}cHz$Eboe2>L(HkQHtR85&W8H_ zGv#gLM~oi1>{S<-EcMM(R=-6i#-r<~_P0)&#kbDGxrwbfacnnfxxXuxeh`mMZ2Au@ z?D`K)KVaebksSJetX)>;q0FyU_V`M0!38Ysdo&|DK902Tk4YHWEDXpgGppnGHfOX8 zBpswx)yih)WjH6t(JHOo-br^a?mZ~>{v@uVQq@;aQ^r%LO6CJgN`yG82MkH0Oi&eh zX!qEJ(5B%>#Fb}_h=#!k>TQ=Z+cCP4V#^fc=eABi^m?->+y($|2F(_8_3RE zJWr6!6`9HD0cxDKu;8^WTSrf|buZQcWV#OwjeBcpE)5wSDZQwvBVs z7SmUINnaR5w|?s$^`!5NW4R)FrS@0d+~VwIV4Zm3mwmfQu0(qMNjKlRf9=3M+V6FO z(t1rE2orMjFwiTpZR)PJWm@`o=t*|4%k$3HRG81m@-P1ZkB8ua2&)#6V*U$8l(T5- zSzq$3JRqrYv^YoG9fc&J>Jm=`*HL%AMb}7jY>acs1E>va%Uz>ucFsLGuwJ1Kr( z#2zB%=8UUUF?v)mbt90ZHG)+fkS0Lgdsh`(pk1x2KR-_1T5pieNjN&p-RVGWyle8P7=K zf25_!D};Cfj@Z5L4wO?y&-^^qM5$ziWEP@h6g%`P5R{cR ztfhQ7=luVM9bnq!+rT~WhKM*SEqc9P3>~3tXmqb($X*B_+`%I&4deSHcZqmmj$20U zQL=ex-w9Ns~xRoXt~tgad4+k zrN>A5h)>;L(j;8*m(U)hB8%y*?gj=Fr?2BoDUM=?TR)IWI;KJvYIZn_eC*{k3XSr z8p@7Wf(Qo_dlef2wI$Swt2SYjJ#!$Q(S-2uU(2ZRTmTscdR{`QS+3-)toBX3Rz`C> zoBKX@Qi*pgG|v7WFuP(Y_<(JDhm!m(LGlD=yg&r{cZS7{F-?3N!E<{2F)}$UQn@SW z#RW>hcG>_UO~0K}w9u!ruw!0Io~m%)MRbp0rDka8kPR!*KnV4*2?{v51F)o%v(v-* zF7WA12D@LRGev@6 zOMDA;(V)Yf0e%w1aXo0QP+t87mXzVx$Scbm3^@Y-9(W#MyCA`EG+L&RDIHs;S2Z*Z zD*-`k0(yO{shCt@2=_6+npCkkc;rdO1^fA@XqDO?f)JIl$Ot?=3)a8+)rLbb?cXB_ zqqNLIS{wMsiUvrMPH*$-Pq=MHSvzs!#8fv-p38nqs=-K>criq^a((!9y?-$&}#5ofst~GT?#ASNY)%BRYq96VfISt;HRp_ z9APpoUqqafOl!Eu8c3bAM^fYNbrJWNa<)dJ-AeH81o17}dU5fL%s-W8EMKR*nG7L5 z-VSbb&=3`|v9!Cfvf4QAho@qHj`7pq=ATlwt7=s|nXa9dy{A8x8)Co2v3h6R{KNeX z2HJt)!Tw|pTl`Gbzg#n&k&o@THkCS%$X3)z1%A3=MjO*Aj(*4{p5Ogq1Y`V9^(EWS zdu)$z0+nwV^y$Up!qLiP{Am1c#NgDMt!>~goO|?xF%*U;Qqb!zp;IX?DqDa>sqzh^rHb{zh4W6@=OM zuWN;Hp}$kNa#X1rpxF;$IAmjb-sJ&lC4|&w4RC=-m?b+i>Wr`%;^O3JLTz%?$&&os z4RN2Sr}_@^f^!;T8Xs&;9hjNsbK{IAw`HB8*A2L2xsW6fDfXo1btgAvZ=&q!Q7hn% z%+$1#;yy4p$d8KT#`(r!G2gC`)oH?87yUCGL5sO!nhN+0vK8o^6@LlUE}QDr9R7f6 z_zo8xyExVFf{4YRF0?F|XdCz!JOCS3`Stj5YPmbwhY5V1=UPN?`5}Zt=4k!c%M0g^ z{fpe7j{@yFDWZ3r6qadr$Ysens&%4chU3WNnBAp(2o+Pky}L@5mb2A5*jp3Lw!_g} zFZDR9?b&*h`F)YJX;y2ct={2S;pcdh)ut4W_;bdaw@lxpm2W*H^4Nw8(`pMs^bu3h*K{yJV^Q^XP%55uEke5 z|9hq5euyc>Ojvv(*;=8ae(+JmA&6#gC;4_iANf75l>5zrW0->1hGB?Urx<6WbX@Kk z3wO(rmHrs46GS1!r1yL0a zE|^aEd`17g)-@h64RHcZ? z@Aqs9MpaRT@fsz2Z_awT%8KPG&Z+yJ3h7YBXgV?9#JR}{Azis#50!_x$-rZGveJ9m zjK=P#4tB{82+OQ}i8v9t;#8irE^gy3J~=*i!am#KxyF0 zDiF2|%b=|h;4I!q?k&vz24BT15^X!DbU6@CDQ_I7&A^8hP6hN^DOlFgkld-~cV~{% z!(k4G+_g*&;`Br)po4p;K&N&{pQK^!bD$7W?6_F8ax=R$PeFVN299;~3|kj-`=0cj zV@6*Y+~60==R?E$p;z*M#+|j(W$M#NF^U&8sdGv0(J&|@8~}teY#GGGslz$_n~b!L z;hd^S+8$oC!79RlR9$*Yf1XYpFo*2&#)*_F5U%bbHy1*aU+`<8bUSO=A>=W=oMQLi zhB}9ObYqD!0UiC-DRQb-cU2k1MI}))-~;;oY&EBV9(SJh^`q7P-5LmLxr1*-tje=D z)7}&CL5IS3;GA32t7~DS%{23uT)GX=FVKG(Pckx%q!{~;v!!9DOKyfuiPvBfn!#Y= zqa57Wfxa9qf5+cR*>|S@1o$w5=sfqIlJ><1E*EUr9O_LS-T+pHvS={)fuU9fJbkNe|}I9=ww0Oa5{-l54@<;nWq5 z?ThLLXh`AYW^jQty6QjV`3K8zUtG&u=(}?5-y$ibtXPkz@Q5}8ym>tOZuNiF|C=3pc{z1p zNF5F&L;UL3;H5)*N6QUXB;Irk9muKMRYl1{WIoUdC74Mcq#h4|A`nV7*n{Puj-?tI1%! zV{j?76D{2jI(_fGzIJ*J;TA_zh8~vzgX))8!FMx0PivHgo!bs)Svw&*Owv4k@@u%D z_}ZIi(|!Zl)r_wE?171aJX5g9qOD2qS$iS#idxi6_PJoy`M`s^*3y>DxEanxYI-F- zXZ0%`evHA&b0K|FF0bz9{3mv*l~f2>Xz#%OSFZzStsJ!-0_v(rgJq3B-$)0|ZprC7 z5S0}bdqUG?12*dobd zpVbcdj@neEiUqzz%?~>Hk|LwtB`CE+e923lI)k2&b=R^eOPpKIccgvq@G*J1>6X*5 ztXWCZ;_IVsTYcXv)t#GKbJ#8ZY$?F4QHxzh`J#l%90sr=3sN}J{uOWlj*H2@+a=)v zgka)c&L4{`Gie<0S3KSj9xRYx#Gmi|)!3#euJ?<11ECSwk6oM{QmaSJ8%jIZ%%5yk zjtWyT)o!;`zS~VGQ1+ry@POPRIOP&!Waa2`fq6bpkIy&B%(%m%CM1_ajqNKcGSx(^ zjHtyx{sJtnVaN!8wW;1QumHI?qY?XZ8X_mD$ALJYF1_G)Dqqo?8LL%KpdWsseFIv1Itn8(S)3S}8abAOa6vbF(-RFP6vg8X;5q_UJLtYd5!X^;2CL3<=pu$9^Ok z!2ROiQTHd3#Qrb|=UDLgwGMnHEx<^$anxpRWGLYLweD4V6>e2<_L2Kgo@JY3G}$|1 z*yb(iFD@@-Fn7G$b^!9CO~&kVoYyI-FZICPSBa*+u52dU)^$7%26aH}Lx17}Am*}n zE+50YBaUZ=@>HIRhhH4`BJrVQ+aoWIXW=I%dwf^g!nsuRtDA9r*oTShKCZ-&ZOeN6 zdg}V-4+@f@Nm%UgD?6$Ry)NWR7tm*4;Ht?iXF8+q2%stc{0Jo3gQ-wVndkE0 zg@=~^5NX;1!R#%(5G`{7^{kFV#gztETe0MzyNyiY<<6vYvk#)uQhg1D+(i&B0v3&Y zb>1!zL>uD)v{dmQ_YV@S9Z75K^Y&J)xhWAi#iiU}rG!Gk2_k4z+wC%k*JXh<7aGr_ z$;?bS1rqtlRINFcM>LiTchbe|WSn`r!j=JxwvY5N84yORaQ3&!Z--X4HjrjcleDZy1AsQ8&vJZH691{(BK=oHILf6qOh9h-(~Ov11QAawtkUr^fW!3!X&xEsyV1P+qhK146Mp zQ+D_uqMNHhg>ZjaXm7pP!;-nd6*qLvQgXnR2S35~lP~9SoZvX)*DZ;~TGtVh$F;FK z60;@YYFB6nYz995{AV6WwiR9C+N+2{m!<%1LU1098v#S-skv0HWc4O#-U<vyqj za6M~{NV15dVpq0>1(GhwnCrNXU9g)s8OBqQ-wrmd7dnORgR;a!>`LtWQOpWE%m^aRyvd*U2;B@wd1)c-eG%JE+$)fq6J=prrE_PGm zY?ijJ$zntj()6Jw*mq%)&z`|KllG|g?|jR&8hhn-_cIP2B|6q6jTp{<)@wJe|0Fs4 zaF4WqUxlHRW#c08nMuzrlZ>oQvL_f=XnQuW3$9KJ56Zi|D9R>u+SD<39zC?CM%S7l z7#2^-O_nX4wO%EQJPEk;w&)SL9#qs1RpVj{8%44%yLx@l!i|8b9>`lk4T7M!0S(|| z99wMD+K^FBf!UQWrls@6`#wN+1Z-lMM>U(s7H?$p+0Y-)DG|GPZCV)`*?`%8#7JA~ z4QsYnx0Grv>ja=Ki4+&fhLp}LV#?`5L>!qzKs9vT2Gc)L+EE6gnh#Ecc^X}6IeO@s zsOvhKz|h9XSf8{QZAM;_b!%vGpxU<0aS9CI{DGI4$;xE(%nY{9z%_4cY$0K}sv>dK z22{4FZS|vbW3$Mxpc4pM&cok1i#dxzWFzl5MQ{%_uIx5AqOHf*Mpaoh-!A-plQb$f zU7nC;VfEGG{QWr0Id}KfeYj6fa>5XnC)ALIq6{|)pDrG;BwL^aCmk!L@jyJ)P{t8>=BPp|3gIm zhVb5KK1azG20|*yFh4>&D!+5eFV2=!=e|pr#l5u5Ht97+IGbRaJB~E1D4*_$IQh54 za1PlyDs4@*q@*_bAjM2)@i9k%ELdD~GyluRTGT=60XgTf$AWQ!v|=e)9@%Tsk}{L6 zNz2d2X=akE=JXz~hG;ilfPYI1<27h*L{ozByNT&8qA*sMBI?7z{9rp#1W?FyS6&#~ z{A;wUvgfcMaC=#T^3%iofK`I9TfHmCM_1#tS%T1(GU~&{{J_O zQ2zh{dK!pkE9~{CdsS@vfdx~XGPR-6<7tguv%IkKQCg-xwyV{YWsbFRVMM6W?rfw0 zx~w=Xv%boorm2`oVft5ntcf#GuA=NoU98DvK2jVbEs1qyma+3x+t_KkkTIdRV}Vt{ z&x{xKFlkp8+XX4bqHsjI)=Uf9K2gTjC_{zFgQzc7XP7ES!laR=BSA|tx$KSLec1W6$1w`$Q3+O)nHWMMtcyn$S^X~=`jDKNLph+4nAtcm)QMNfpd=7a_R z9Df1lqrsdPwl}?b*Hm{36QqdtT)(`7Y+xYhi^sXZeGABCc(-G*^Ia!zj0}{WP@$zB z#}F-b#c#ry(E{nt3Mbn=49Mo^u?1ypNy8$VUz7Wbbi6k#Jn>Hf0K{^&nb)Bo^GhyL z5$j+YvQSf=vr=t4Z@GsCMQ|vu!1ZzZp z7Zp)G*FYa96&-TA#KmC}f*H&M9{&_LE~%?*I}w7PrE4DWp_59j>2W)rWH@6?nE#3o zJ|pKpwgMmHJFCij4fctW5(>1fnD%=EbNL&!-oBDGN4yx&B0_TU7`4JKw|$cV@)$LU zfk8_Fu0f)$#GU6d#j)SZ>UG2?dcj_)3>%Gs(Y(wGXJM3Qp~9X(^D7F<$>Bk=i(hI7 zro?t&%5^J|sk2@IO71A3ekWKQa4B3J3Y-D#+7a_U2n%bhl zV-yXj@XLj4{h4@Dt8fWjMo0Q|HQ>KZc3|@XE4*73%_JA~F~U}E&_)T7dE-EbRK^|# zMw?RJCLOh1r*l#oN#I*x@ELzl+}l)~Iy!$nVI$WhtM+u&|9a9!uDP!AJyZ~s#n|@r zAU^5rM0j}bL>R!F4k4r~Zq@4QQXe*auY4H>C$>m6Z4Bo1MS7BXnNh3fHU|{O$hNGL z&Nu$<%qG5s#lN)Ivx=NbVm*!VP^c;Q&>wsEFc^FHBsh%ml+P*mG#CT-AUF)*j=dx0 zj&=B3kbo7(^NZ|DVnU{hI_iV$9~Rgxrd$2X(fP%~M2St72XA@l7r9UZ)opfS2;k>O zmv&6B8vMbG4fLL^<2cCk{IQlrhr92OmGeVY!c*#n>FX8kc>0~H?_RY~!w0h-H#(y2 zNn%d-X<|;yv&0PPPu)ZyJQPR`Ci>C5nD&JxT1_LqeV>axNYCawDYmwBAm5~}p`KTD zRv*|Q&Ne}prT+zYBm8~3_f5Rex21vo6fpPf*3vmu9TV)!f|oAR-hxdGt-Ud}eR%D)9Wwva$c$l(1AupHDuleg>zM9T`Sz+O=C42S zE8}Lo4|Abw^yC!I^T!3V<#0UQ-A_1ysZrssDXJefeI3*#X#_dx)4#Hj2UUTl2gkm; z-Uia5%(X(|f&j2HT+6yhFFHWuuV>GGROs@mNh)vPKen5oO3mcI;rN!|YNdp4eU#vR zl!ZUueNC0AX{}!0DJ{MXcO+FF7ne@# zV1eI%pJeLru57c)Wm_z#BYu8vPPdw2wq`HFdZ9Vs1l)UPCItTM$bAql?kuYW_%vXA z$d>nhS73a!S={hegMFjzXh|GW`@N}InmAc_*IVCp zY>D;v%{z&1aI?~-M#PxNq>JY%YFKY$_mir-nR_7WW%+BGYA0Fulh?!CY6{p+pcx09 zwwjJPRgf|8u>5q?71yGQ)Kkko=X(#i;;%VS9I_}Zswk|+kv(2p4tb4ZBl825hznY; z(%MOa2wlu<5Tbq~KGnLdWHbq|zIC7j;Yaslt4z<=tVxW#XV58;y!mzDV%7xoKvq#4o z#Gsi`HBV-L)L#>lpV|?7p0#3%*$(c~z!kHcbBXPL1SDlxhky_7Gt_R(qGT(xFi*~* zH9=Kn(gXj%FrF_*Mom9fYq761QgxwHzS})-xQ?%X$KTE9{*sVQyRPzRXP&1m6>wxC zwH}3TI}E)xPJDv&MJ}Z-bZS-B_>j;C{r6`wRXP8zOlCs^%NV_*E~i)GMtoNeaiOf@ zy`8?7LGg3_`r6A)NnQIqfYOa;eVXg1uvunTm@Y?RAbg$_Y@n*#y{W$S;%T%Qdtno? zOBEd-h6Cq5HjrA0{udM-jI7(yFU>Ut#Naru%SnHGb8|*5d ze9z6M25MLby}I%p7Zg{nNTQVcE-C`q&`j9L&sJVKqpr$x03G`V3zqhXe08MsCnQm5 zBzB*yI)RR^GK>#@iyP?jUfMD+ALbopfh*>odK_q{#j-s>b=qxpC76#k@w%=qq_;Tn z17;cWM-)o5ZFl)t=;e_!qwTK@LZYog3{BSXYZK=6I{`x}Fxa~TEse=YR@H#+LX3~f z#hql}sq?egAvZ$@ruW(r}MxWX+`d5uB!vT_9c>Zt!FoJ)2J1$V3h=X;LJXZX{CbQW*b?{u3lz9)Ux-GrzNd?zvU9uH&p$d4=ds0lyD z)SpRuT#Nh8cYLVC8-K)C-o+X7BHU2K-Y;03#gvwOnfL6|_P8c zYtOVm{JoY42{Z*=>z3RP$(<`2@(rdOYP#$FfhzJ_g@yt z)_uer3W7;;Qhx$d*dWdP}yc>hd-nhbRZsqqq;j-&F^({1a(MYudi-`R>NM zj9{4ghGhEky3GRpHfMejKSW_|S%dq1Y0 z){u7e(1yj!17He$4&wk~XuOusO&*RvN5iMrS_ReO*rjvPtq3`8BSJn{Jm>wa zu>%WhEi`G{T}^4XA2-taLA;_G&y~a1DxZ9^U>ovooE{B}Tk!za$ zBAPupPg)tLIZW5x>2o+jN9E4-uEAglQ*?K=xOsxwvtIPJE~vVI6I&B75DS$$fRKH# zqD_~Yif#q(%hThfzoOp{&e*Y3 zSlr={{6O>6(eEwrxb^val{`B0B|W9lya@Y_C%z?ki=L4~xqq!o8TNSdxi-*7Qyet|9P^-=r#m2Yw5 z^E1NOcMb`!{4B;$a|lc2E}PG7xT+|Up>u{369Kv2Iw!D?SpDbQ{>N7 zo5Rub3o5tGzstzUsz}Ca)JV>$JZay6yqzs*Uza803(6Ncno=&pe1ajt9K`uhyBBAnl?D)@e1JuiEsS;ykaIN(FCo^~pTu z)XMy(`S9b)rf}~-aFECnu&9UK5f29PX}S_S*f@s%{Ad(%0pB9WN=?%(jaF@1l!MeGfR!K+sLgAIli z^NHE}z9Y+#d*^{Qyq6LQ3R$%G5(8=~G1~Jeu4NXkm!km&on#x*nz8`*#)KQkFd>^m zcpt<=JQ{l^T4wjPmmP<6*6bvX(ASuha!TF^$R(dw{AWtsShF8lD$W1l!nH_ASUC8B zmjDxzu=Xjy=ZZ^fU1paakM%wnogn8kS%~)@^sg=e#1Y_CaG^Ji5|Dz54(nxHj~czY zdyUNoF@RUvhm9scTejPy=AqZ{(jIY4Y)P}YpqNzTv7yvsR}m8wz{{f`pvWyX7L5?7 zAMh66xTsAb^c9+}(;p{3bmsP9LX!`BT*5>R;J~Dd}R`xEnR0auPxyLgqdI zG9=-Y%B%vTi3pM$f>zD|&PTY=h>Mb(a8Ms2JvUVf3|Ru%Ss0L;|H8SYXwL?De-#cTFOaF^ z*_A@3`D8F^*u0uIW5OzVGMH>A(1JOXs}6}n?PXU#=V5#pi~rRCi0KJyCq4|rD0}4# zJGMx+b3@MNW`^VWs7s}{E1;W@cxsf;QyG^YiAh%~7Ijasf3B4;@C=XUHolbi+RXsM z1YA3*5Ls}!bwCoo~V=cjDj~rj5W!)!W-BawfsxzzrnLLMzY}{q7bzf{M zqa}vC+O!c$n+k?$gvKid%p$4dtL+OA4M_}ONFk-k>&T*KP;APMr7r0j6Lbs>D2PrP zz%qGw14r27q+-J(&k|3ghO-HTm3QZ z27l)jp&d7AK0(dI)Lp6E1jXn#smR83$9Z} zwOMk23#nN$*&1;Uy%3FJLj=_&l<>N-xhnaZi)6dVEQ{uvb9mG2oQ+%qi`javE4sm- zRPk-0@*-+datxn38z7eK3bT(@?xBOwyiJ4Ja-=9;Au;#jpS*`-8^ne8WmV&rRG7XF zNX^fYqj$aP;ek(foi+D z3(Sg?pS0;v8t9#JSP0JQtau7m|!^%me?u3eue#_-FNtl5k! zKS|gN@5+#Kic@y7tVZ4p;V@{|H*nJp&ZyeAie_?kOSZ!njqN9g4-2Oe>B7G1UZCKJ zSB780TD_%{pAa5l{pF!fPwxs;HkbiRui7`9jEd+;4^=pL;g5!+Yd)yAoN$VR<;c-% zNb(lrrAoP$%624}u}rpRG9$PDUZu?Rq@Tf}U2JB>=(_*nA#8{$RK1a7W<6uJ9~I6L zwr{-hM1et=bOooOcSvW^$f?5r=Y1@_!pxO!R!)T{e)LnmCs&IS1NecAtdEnJU0zdQ z))`WmRB)DA?!ma6Y=$0-q_LBff5I8g(UP8Cm1Ll1&1{l2BCM53UK#|hU+1Dp>zbYf zN@e~MQdkntKkD(x;HfT#AmNnE#n-7Y;t8RxmqAbbUAn z`c6O8G>kg~1?FA7(&RcGZnSZSnir?;6+g+{EzubOe4B1~Hp1Htl^^|Q@u;tQ zDpA4z2~h{G_ zcK;H@(j}SKrov$sB(>=&kEN>evZRAjFW#h{{KP$}xPwwvS>+)KDN7@%C33QGd_3uo zRN>~I+Kg9JqIQYO2QuT6R)c%@lNsXqz=GDLzx&7a-_rl5krM=YETB=Vj5&WrZ zplCD=?=Dhyq=cpn>xIWsLQ@1YXy~Ca#gQVU%97$p5mIGI$w<|l->_6ePK~#zS#ZjZ zmwAJ~ndCSzNl2B}Z+Z%nBBU%$aXgX;6JDRDO3Qn1v2H6>`zc5&#@vtT>DKT$(PHWp z2Nx%&#VIW*g8OMnttDkgN=Ay1YCtKDRB2P)Rw_=frVwq|gGoY4%Xs)zfw*uS530qq zq=fc@F#j4_yej=2N~%BYMKzR=kqX>blEUbb2)Z{JK_6#x`2PR^0RR7Z0hE?&Y!p=# z$8TS}X$ytY7HG?(wOy;Wg+5qH+u7N>y8}B9XJ$)R5=YW1wkDN62m~e8_@x>WFdCu| z3<_q*boYO1Q67slZu0HN+V;97te#o^KU;d+1< z$Klrb;jI8KiNnHubI53@YHVH_hhcs5X|4my%NTn5iN>3q&=I@>dJK%~b<2_U@NzQw z*WTNOIWUS}U`wD7%4V7H^2t3H_CrUe8eAyIHHT{v)d_^9U{k_pUN63(v8n?-{ayQd z9_=jc>KSOxp$R5;zJ%)}TY0W6$qH&Pn`TRj#?4&H!1U~?=LEMZk+ZmD${}YLkFH>! z!9bVu)aGKyh!SYH5|koYmJ-xduGuSD0l0VDQ{}3Uo5@z!ut#9@T1iDtjZ5ddv=znunINEm;s>Mjporzr62nqR z-mbmaaS2D!Nn!_DA>FiT8IIpqM8Ru6Iy@*=B6hMJH8=okX$e1c4}T3N7z$bp4c&sk zI(8iX-FkiEVXP5oA|5K!l%m9}XP9J>Q`b8d&S1iNP?3o#W~DGD9<+lp`Ug6_-u{8U zuAY4*w44(!o;xgb3Qx|AH>P(fd2(oEI8V^UV2+Y`Ms^_W_;i1C18W6DJoworXry5O z-mbo;1T9qwzneF*i@^2%^!BirO87?(DaIzUI@&XN4J{)fiUDM%Vn>~@;xi>P>KKw@ zZYI@-U#J*I7(X(cE^i~GN1`nuIG`eOsOX=0HXBNdJ^Au zUazbg4rH|*v1X|&9-8Rs%KBqqisXrNNDk}rE{xp0EEi;-`20f~)uTR91$HZ8zbu)4 zN0l@w$jz!0fvrH#E^uZ>%~|>Gih20&Y~Q3hP)*A^c%s=3mxR$Q)lDba~*tv%bSgMWMwP4 z?qZ(M{eo=G>Q8ikzU*rDy?NN?Gc5z-C`+LV22Vy*@hKybt|TSO#{=p^55kz#%Eq zDKygO@xTBHS^_=JW=dL+`%}bt-Qm=tH(e0s)WWHIH2pE`x_};_dC^Y- z%z_^eT2VDfTl~WFNA6%GuuhA630=kD&K<$alNCx)KKu0#<0L+sj#KjWPf0mN@G62% Na&M)c{{R30|NqJ|rMv(D diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index e3abbbc9d5e4a3601aaa4d361d09ffeff16a01e0..2397f7ffefe672e28db534f93a3260a4bc84e680 100644 GIT binary patch literal 29559 zcmYiN1yCGM&_50b2oOSm0Kq*3*TXsNiv$P|2n2U`*TatB?(PACyE})wySpE79Pa#i zp66HfzO_}|TiZL+y*)GA)778(^6uTca&&|@`tF_8+r{<9RZSe7EbMG40sO20R(47; z7Yl1+N*-QzN_KuW4h}XBb^t%+Zy8EC3uhC`@5UC+Z|~}~ApUO`tPcOn-ocUnkN*#K zbNat~5W-12R-5XA#(}3F@$WK1#Z=6Nq29bb$z_hMIvN&6LW_GbIHnJZzYrvC&Y3k0Y_PZaaixYQ)7pNRhZXj7*udd8e3zSYWXWz^IxL^!Qj& zpXDav+3pE*gTVNM-|?f*x6bQMc)W-}Ctf^--OSC+gE)?&)SbcQnLz@H&v2--*NV-< zy`zb&Tj`dQ^Z6#wDyL0ouTn`P@kqRd4xbxx{t?a5-4D*4&->B7vgR7$=vuFb?V>{c zrGk5;<47mEZKqml1HRZw?rnTk?Iv_H7G3c){b4vg7)YAkf;IN~Lv*XFo(545hf2e$ z*C$<{HnK!Kn@y<^`BxpSTujRJr}O)g*FVJc6xxlO>z*(SRTZ@Sl`4Ck?hoXuQdwZK z3v}H3K+$i5{z>tE*6Z_rPu<_zhcVr$ky;eyZEea2rH%;JS6H5nWAq4ZAod0t4;frI zue~Fu);?mEv@2}dDgX4;lh!|Njwpl`n*HGpB@NFaRG4zh{t`Zcd(!11aE3Yl^p#8^ zRYa{`9o3hodtB@aRR!yaTNS?NIqh4Wb>JHdW_Wm)uCTQkY${P+vlAKVe;dG5G~!2LKAb97*LKj zW0p{&g^#|{)RwTvtbfmSOpvEWe8bD|H8;pXPDeF8l4WL_bH0+R;nQ(-wNlg?T8xD? zXX3B|Z8{E;nF_C!q?L>HK6}_w-*&&fVPQJffayQ*mAhMwvOMGi0-s4; zDxIY7n;c`=oT>V`wEw1rTpnTc$%3YnFzEo?Oenkt^MFZ=z#sp)Si@@kz_2P(lzLoB zPv5)aceCIln^oEqM0M>z>Zy)I{}e`B(?)>J+{T05;>vRNg)cy~PUdP`pgV$`MvsvY zw4Yxjx{9K_Q(TLqb#2@BE)54P`?ybFqA`UOo%)jDSf|i9HVU0GjosgtaB3em>W<_I zXRp=3%UZHl)`@QH4i`4ON-fXP+N0jN4ca_%uED*fI2tuy8xB@me^pN>{EGkg78MU* z?A0bD$JX<>i2mMQZgGd^C=7EG6C=@}PNTwK^cFx+yV$)#eZe$PHpDODfF~0o8*PSz z(3)WQ*Z;s(s2Ln9pzc7bjd#X8w?pVBezl@KH~hpG=7@t-zzaIi+oY$pR_$lf_a8J3RepATYu(<;jl#WLKHlSZEXpxq8s+<3ux1cFlj)#NyxyPhVlEOf9$=s zB2TQ}1bXbEYN9#gaa+{<%13~cwvMV>R8qb4J2~Rm{%cjVZKC&UA)xg}9^0SetBvch z1(Jz2X=io0lnj+BweZ&x=KnndW{V@H^bSo@{v2a>7qTa zHFns{ZRw|#aYdBcw9N8^>K$T+oik#)1g_sg4>JH`G*Brd8W_jY3}0{ zl2s%Re*_nr|J`_S-@WIMt|I##lrJ@Ju-Fg)b$IPF{G&<}b6Z*-#pw;q$i}z$LGCa8 zj*mFE@_>;&QK(>)$8xQ}j92LS$;s$8h?-l1i2Rp@25ALZ0=F>TsYF?{SuE2%V9R;e zi)#=~Q|{BdamD_*A!;RvLMnQ$mK3ZJng}5Tm9o%S&-Okx3c?ghYa6i%?#3i-Mp(KH zMtbbcWaD?-$*NeiC=&E8eeEEPAAa}p#4E*h$jv?gn3B1Hrb48nnoT~R=Nwg@06gO= zkEZogtaJDs%^Hn#51&$BN1!(=hM%%?GU3c&TgN7OXGWd+y1Lyb?ZbLSZ7$AxEeFEq zPf^uBH)PaW5@(2icqYc~7QCD2#5MhRr^sd_U!fMz-LliqXe?=(C}U6S5+jo1KjBLI zr>TLG$1Gmg`1qARwr5gvjz|-IE}$x@$O%VtfTV+L9T(@xlf#;iMD5FYciuIJNq`4|0?Dss9M4u8%U6 zz8{P|TET9^yibU6igpW>A-iy(5?KnrbTeI~mBUZP&s^6^iE|_U3>kE^m6v!>%#1x!KAjk<^{m2BzD&^G%2)m z;+XOSgfq8?ti819)yHxo077kOVHzsKl`}J!V-)3&*P>OoSMZ2&jd9rb;q`m$q10tz z+kWs*r(GpWoOUrM9t3Q_OWKMll%3$&r-aQ`>vFIKl|mzR^~S`q2=^uIvmo|r!+$nS zm+&dP+;gfquj4)E{eh^NjdFjWu{?$2JSBeFt*7$bqhWiYp>5BO3Lto%Lh(!v+ouF6 z+ry!MBXCt@Ur_}XsKc@3Ng}7pJvdCe!izmW@iXKaOCjL;k+#RfP}{THOLgx);r5iMooJGT4Z`*N*uuP1b1iKg5Ip;3tLuW{Phd`mHH z*>(w^_C+eNI=b*WD$H6^g48w{WAw3+uK#fick8)vo8?LV*n}&AMeqihtWEtWki|4` zDD_YX%(lw`op$dSyy|AHK3mOKc=Dv0DaU0D$W-vAa&qz3HZ2)v^OVHIr5TDDVX&fA-F~wq43Lm=b8LJV*8eqwd4>#CtX3=+`y)v zWZGTDbiw_>oEu*gF@a+Xvf0qSVA=n^)glOg{eIeGm+aHjB2Ho7uYFRaaf(p53_>&O z_-pfogoWcZXk)(^OoYiPOG2uJy$N7_;^8}|yry2M3Bj?ix=e~oltC>%n4GK>@Mf)# zuoA)FbW#g-KKk1;5FJP}URW*89Yu9(pTd+Qjn2VWTVK&Y1rq>joMB7h3>wc2o*H*= zd^E^zR@(hCj~?wxGk%T3RL_X%rPivCDs<=I^FwVhWuMeliNg~q;CCJ<)=tFUht{FpsQ_Wen z-Njh;`Xhq=iKiVti8oEI!cH8unrRh<97ILbxV#F9V<>Y539=r5| z=H5dGSSR;XirpC}f=411QGM1BvYLgucDg@1?Cg{+`}{h`88F&FY zMLt34(pITZR?5K+fDkUvt;iyh@U9bKcuf7r=%E>7MQ#@1*<8HibB`elP}_GLUWBRn zAhWJF?yHkh7mKVem%-XlOZU{Ig<#tu?eYAyB0uQJkMU6@v56BKm)$XTxauNTCy0A% zERiS%9`JtL1$E*CXM-?o)uM8y>&Xnn|Ff3voG~rvz=#|?AnV%I0zgQ&LuGXG^Z@%0 zB3dZaMuIp02K!h|TAMy_4=Dwst}zNO)5TL5Eh&vpEHmg?@Q*bP#*J9O`BPop>(6^k z7iO}4KAqdBWK8L9s#K;sfEo6oeU$mT19g!<{Z)=I*&Kz<91u-F@!w~nA)*$N>3CMF zL}>0m=sE|9ufq)qI4x##$LEoC>&vNi4WMV$)8uS2hK{;+ZG+y7ryTqbI(GbpQ51G3 znT+MIz87RdtBQPqFgn>F)uPyHt0PW4J9Uy#SUzp|{@qt9|1EqVT<!45)yF{8tS$@kd^@7+JAfwPe-hdM8Bw9}@ps)n}R?gF>5)wN2`r!;i#LKIA- zUJ-g)_IohSfU#qHn;1-<{JuoG?*jAGwMx2gVy(n+g^V;yy3gNqR()q2ov&s-=d0*3 zicGU?dkRN77k^P1ej!G8FkR5il?%kwb}D(B5tw5n7;Mq`4oAKjQv-W^mk)jFN>cgx z!;6;T9Ct6iUifl5X|pK&W)Ip3W8S);voOUNz`f zU)MsRn?_ZZ)-|RvKfst<1ts+Z-1WNnA_||vqqYF+Ld>`R!5Cp~vqSuJJ8qg)<;x*t zN&+pOU~};Tp~m{9fEqnPXY%(GpqmkxYJY9JFp%f|(3PKqBK?l%r0d#=dzDMgALp@l zZ+MYk7}Td*?X`};wyAPJW_cF3m#`fH@Pm~;p|-cAn4@2MJ`4Ro0r|piALzcxuIc&| zKNu3suu)*m%O4q-&BS&IS;yXKJSuO^onBKz&e}VM;$hcnvRKwh6S7+Q{+W+R6Gox# zeb%Q3gZY-cy3J>uZRJjBy|Q>?)KRp69UBZRg_#~gCPfscBaQW$v@*q{GjD$TNhF(fIS2SD{itH5peHy1x%XxcGQp95o`y^A}*lf1XS+24Q;$ z_iMtGkd>K5uIH8IJ(DGi&z|GNF&l{p_hUpc8+*cceFXM_e|!0p`?#z3jfVP7(g~lQ zPO>7mx_k5%3fnDoMDgPXCXhGhy87|_R}!G-o%QZRGh80{vg9c8xa2%U@3FFGK;q)y zXDz1m2*w?1!@T2`;-yiTo~u=v{aEohY?Dy;Y^8x6BDDlPeDfK7FpcaQIWkdbKBLAH zF~;i1z08g~MfCt_a#SDRv0XGr$-S`fMj(wLu)G@z%l6mTz3}|;S4W z9okUCe08#J1=4WMuP4;L8oB>Y;&!=1BH#Imt+BrTw+DCyb$39D9%YM{hZ2H>bg>g? z&t|6ON&e=meuR6nH(vz_-`$%v2KUz5*U%9VNJnaA(p$5Ro6V5eiTM`!|yS9 z{fKr(J$p)bXtk5C9z9zmp`8|yAS|qB(Y7kJ6Nh)7mvMT7dqOQ4ApW%9!lt6trfbPn ztt6+VOc+tUf9lA-R3^vy>1q7ImM0SA;Jv}jsOZXs4xZye+M$~NX-t0^&Mr(n8*ZwR z6f&^7-(_oug<7ErCVr=?%Fu;s2U{9^hK-Y9ctb0EEa;xQikm}z@>crZv7 zm(A~(Xic8Y!r~>X7gcid6|bALSsUafI{KJ{v5vEqN%YRH`W&R#8mf1e2j8XM4HN#D zXg{_AJ*Y&-y&R2IX^9S5N9+peF?{*r7neCApA+U7 zg6LCe^oA_iBmmTV74G-w?&nE$E;jIW-{tRnmvh>WBQ`vPP3?>9w)gmgJNOz#j3+e; zEd~P@DN*f{Bil3!-i!vcvbM=Iad`Z>8&IR8^}iMwo;O9WUMA-uG_V!Ac)PJ%m1-_U z^@<+K4)5l!Mzw3w7sjJNkz+6AeE)kpMuX*-AOJ(eI0QqLRux^LbT zDSgAJr6==J>ZgN6ai21A&wbDKAT7fH@JWmQyX74)kt$MpReSH0TctfN+P~;GD)pih zFO@&TXtEnoe0jZ0r9T>5`Gpz$j!LG_#Z{!gEt=1bgMzB`cFK=rR%&q-_A{A|A~UXS z)TMc2qS(87S)-@@EMsTxNEnJYzXs(^x-$Bdlf995l8C7mzkW|>g!L>F1*q()uqJgU zYYMUg?gE;WtAJ$IUcUZ1PZ>0>1}F8tdvK1d6SRs2-#hr_wejJ9PhEwP89yKD=eF*? z99C@sq4u3el=J!UNuH#)2)tTAeJTFVL73SCnz zMXyxfibVfJ{P;^kG*e|M^2steKFzJ7kRoNHf({~jdSTfWFVGEo)r~YdPU5TO zccnS2B)V<6n!Hc?y|6Kjc!)bF_mxtWY9@I>tXt3ZIfBukf9bxtE*PuG!K?MrA#;i~;}o0^R|CN`2Ug$JeZr4J17UR{^qL=QC>;`| zZ0$bZ5V4);A>%wO5ix;!{gBJOVm>#7UD%@~L$U~7kGBfNp_7x)X{p)HK|oH6ibhtA zNK6UwtR2aA>FYlQZ?~uT!OgVys+#G^L6KdBfl`CZ8~EfuWE;}-@BfUHU_Q6CtkgnD zaj#Jm8;jQB3toQIW7C{XAVnX?M1Knu6QND~@x#I;}0PV#Dw?h zK6xEa@Z#x>96|KiA>d*5$r=sgVZD|d<_P%*1O5fL5L$uAlE6jMzQ_{E>j~O>!){#Y zH7|9Ray*@EW-0bKF6EOPJ63(le^N56zjhDtd`d2M5K8TLjS0=9uy)$C1OcS|NATMS z3N*|_a$B(ts-2S~r`*RioDk`t_$A3LO+Gc&<4_thFOv0KI#mQ{2r%>TCK5BvRr0_W%?tV|j{+0YH_=&=?LM>^` zCqlcZ3&rY<9Bs2U2z^9j(GuuYQ`w7n7K9oH#|*oiC-3*nB&6M8@$#$%-Oy>vN%XET zsXAFj3}ohO5Ixdxtalicb*%&LATtp1K{35(MUITB3KOHM&b`PUs+C$ zRy5A_m9#!j&0R-$e_xoElL~sj36Wj!*XY3h3k@PW1&(#*#9-u=0&O!vo)?R8DAihU zyLkzgWt;dAD7cS5S(ukxa1KF;mm+z!qj@li8T&JjX)OUlz@qW}=M!0?NU#XazCy9P5 zV-Q6rQvlZS|K}SLL1`eNf?e-*=Qr)`WHsbg%Z8^7Hz833B8}t|ZYdxkSESd5ZV#38 z`Zk-|^D+!qPGcK^tPSxth|@f@n&dyuY?SJCG=74q&+&1JQ^rD|v}=!(E6KTQr`4O( zcvx;w<#o$WppdzY zvJ2h(_^V3P&l8!&6*NU;VUQ9C42l++5S8bQ7|KY_D(ZF!K~^W3$&sP}ov#BzT+8x3 zl6oN|I^C(#;S`3qiS!&_UsKFWl2^?9@jtVddml_3;5d6Y2LtQGy+CS#Qx=a=gjX3M@gkV=_n{#8*SThi zEe71<2u(4QKHds<{eiVDtRq9=N8yT8P?n}6)pIqSY?Y6aaSmt81&L~fO6rmv3#5Xa z5je!>Ym(p&Tsl@2Lo<=K_uzBz0O{xyi4^F*9Cu~ve+)Mh_wGoEVu;kzIlAr>g%pgQ ztKWBE30|LcH)3>Ae>;IuhxSLKO2fsyY-2sKEPfG-6MhDKBi#IhiKLTeVSG-7k+7ie zQs+XPWk4Mw3S%5Zo zzYE2|GByL$!pQmN-zd33@jffeY;CZI@56ZZ``eINA336&Ebv)7$sWt}>*w-cKSY9} zdPH-+Df;+x4CIZ>3yy!{;kr`g-Z7FD)|Nkd$NcnD?7~F%qLOQ#RXxVbyX7jLy0(|9 zz2FA$!$&i?dE4v@SH?F_<>TyMv|j&t+e2rp;x4rReAtG4ksInY`zy?#l=W$w?P9wU zEhzaq?(S8N>>)Izq$52aXc>1&UvHkjYe&VI74lj2NY|(YlXaGTUie>JNSycL&_9Xr zNKQS(mx*B&E%t8AF~=F3gn#4i1b;=BiNLZ+6qQwi1`BBSuPSwjn)rO-yZ4Oi8k>|J z648OT4|0H^?`-gwW8!tr6}WJ=y4sP@boecaB}gy9kLBv+8D$;n2?zhifW@DJvaL4T z+X-N7pY0$es|<#RT8Yup_DR!6grX4RjdjbhNey=zC*LS6})r@ziq?5R2>zxj) zcg>3wSBgKB+JfB^UE`Wi-nH&z0Y~t1UYzwlf)J_sRll>Yl_%{&X!q~q{&egZ zp5+%riFT2up1!lWp>DB8r6ypko;o9j!i;;Ns((whJA zWT;0KIsRy7V#H%Byf%{DZRHK8+Sxc(Fc(`OMRUrH;@y>Cs(Qy z_XIR|ky$bk!*^Rm%7xC&Y&PkvH4u2MHSkKAt#VrSc)euz!?6y09y=v9Kbt{iW4Xn( zZZ<}Sy6j<`(vhue&glZlKa-cJ{5fFU!Ghz)o-%&UQ6ymavJ6eF!lx z`5^3GDkH`sS9Qxhp{GZ^TXK&F=_Xn3{Sd0Lsnv@be)tg5zx9KxZx0c)dUqWM%6h2Y zG8Eq{=DdE1<+w~g8@p-Bx+5Y|CF4fwoj&Oh{b{|xQ^K{<6DlWgpTU8X@}>P-h5x~H zHqKbo+Qzi?EvnUtZC=+*|JkYr{mF|)F}~?WCL#lNsq|3EA)xrRi9@NG6B}P8`x6-o zKX4q?`~J7qM!fv@eiuSonDth1{PRoPiI(#>#7yJgK5JnWYgu|z4*GN5ziA#}p`ii< zTZ|Y-8}z96J&I*UZ|OV*!_cdBXS%Xil)KwLJlYC#{s)c!b=K3Me#}WedEsv z{)GjvFYJp!^TGaIZj znSLy}*9~IT=Ze!Z!oFp4RqZR*LLRF}hMe6NQ46Q9iRGu<_)q-h+F+a4?T;n=1W~uL zkzU@7M)=~itjwzk$e3z0S-*zx<kh756GtJf-GX-ME|lP^ zfs1GzY*pU~kE_n%QoU5UmDzQ%<4AaronmMsBOlC_<*$d|Smr1LR$`@1)-nHAPYW@6 zlg|gX`5l*4H-1tR**iX2ilorOlS3LytQd zc)2cK_2;Ml*%K8d`lQS$|35m zystfSI{wucYERXL2HY=8As@s90G-Fkbn>q2Dy~DEOUv<929chtt4XNnaIK;uVrG=~#9F@NQD} z&MuYmC7murghSM;-OanPj)>Sp_KpRdc4fwtc?DTGj~=9#$bw9Vo{k31HZrMwufnhd z)jU^ysL&W-o0DMogk-dd+!(xL(j}t*+GI*>gWGj!d9}?f{a4VhXZk-+eyS`59@PK( zW{Z|t{0J2AzS2dF`Ei$8y%6SAU(7^SUzym7-e?CRTk&AHc+@YAuN3v@Ofsa*ok&F& z|DOAuZ{D?z`}Je?CjJe|fr{DmCoDc;#t@$ev*LHU&sx>vcUSxi*#6}*C`N@>J;M@e zP0i~&Ta;zmE?*B00x~ED&abjwhm=z0ti@i+V?5kn>K8n{_wyKtK} zCiq+X)x}r7g|n^76w671462PK9+FEHs^}vxAyDC?jp{t?Ul;lBrEOO)N< z$a^c8{+IFT;U{~Q&Q2ptph6>3&~JZv*v-K1flj)lWA+X^T#yw z0?asmj*9uz^srzIOGQ>b(S)NT;k`G5t)5Zm21LkhXNaFtPfkfwfSm6=Rc;+>g$xJ4 zhM&`Q?Q=PNoF&RN(o^XCiq0xN7;>OL_C-C|nn=u*+Co)@%Z4W>-5607gNL=~sTCUm z=l`n5lfMDWF}76c;?7ONT-cl#ff%9MT?Klvm4{lQM^Ox z@|^pbd(3~qAbASGGSH@-xp}?WzZUp0ucR>LSjhE&l$xNTldp)RSC`!{)gp^BOI5SF zn_>#pvX^gV2O4u_m2xS<*F9mlbV}nTrh!k>i>;ReL}ixqO`&pRE}C;?N@aq=KZ8`c zp`&&l!X;S4mcI5Zh*tBVdgZBr2QoE5&G9BIyOc&E_4ic# zqY8OEA}-P`GUGnmt4aAwlYk>-y3Cd5pQ|rA!FFXSw$J13ugZr8Z21chjCAXX@n0wf zrT*AIs1Ed8%MKfp0KAC41sIuD+7A++&HR9oH5lD%0h3b z1y3!cR))#54ir~hjruVT9$vareD11r#8YRwc31jYjBC*#-D!E=^-4N{wZcjh-q{7G>~z&5p;^ zxlN+^g$(_#O$@L_rsME#oazM$g)=!R^~a?o4a7HQPMblOjO-LmmcWz zkegp*vdV~SPBtIZ!}d+hwcR7CXH$A)yt zFCb8?N)qd&8OoEpP|i9CELE{po4vU98@ZTdG+>+J>e)a$aJ+X+lu{m7a+lew`fAEQ z6QksVxyA$wm6j5uR|Y!!_byS6kd{|3pZe)!DwmSkS=H+tgXC4Um`ZKpQwWUfWjT5* z8BA4I*xHj0?^1?WRI(J^lgs)GlYcyG*eGh1!ndyPYeqqlbF5G09Uhf)Bdwhaj|4VR z5i!4uoaw30Bg%Lf0|24?tflSroab)!qn2fsUJADX!^tM)PK*a7tL!mztRb>YQ}Z&L zr8T3>8U>cR0*s4?|1@oC7dwYITr2CAZLj%gO3Rby8f3D=YnH!j*vx7~dj1G^_L89q zzeG-SQnn=@v<&a->&^XAZ8^0sQ^r{{8b@4uieo+S){Pw1tPGbVtsZ>iuVeU?yP_&d z^4z>YDOsE@HPF=f0)83JXjV!}GH993J=BO}lZ2m*R5LnHTv|?WGWgcKAgN6?_cS&! zYRFPy*fQOuUKZFvS!xrLm~yI6BFM}H$JUgj^(otolexhXK&e?qZ)q3AYE6FgYkYM=>fTGAI(+6#kM-i%=>}BCcHtVTtbVolU^d7ms9HYVK1nFkVW=RbOM4x1C$(12oXqJ6MITX5tKh}PV97@cuTKEi?aE>7me z;Iy|ua^iD-SXxuhya|&-XPx|JpzpZ}-_3#g|>*XIA(9~dmP6+=(Z+(p+i3YpSPc2MBUY1b-S7Sdw>&*A&?4rz=0bu&;r+(H=2*28X|ShRxl?+4YncrZsKelRLvF8n%OoT=E6TRNd8 zCY@|>XVHLS+soE-Cev1;pJ9OKh2ECSVz{?+Q<4T#+1J#>6vx*GxPDBV#pfFAcHwhD zGy9lD>pYs(NnPfldjUc|2;s&EcRk!-1(bD?aQ_af`76=3NQBpseWS=Owy@0S0#Ls@ zjMz^e)X}r`^o?&iu6C>~+nO>}q}lf?XE=#MrU@tfCzRr&m@&#f$=jSBpHS`! zt9F!5W)V19HK!Tpp}Q#+3$9@%{W(As#IQn>QgV4|8I0$^vyNxxAb(arah$@Ttb2MB zF;8EnKhN-nzzW6#)33B1>e!+-{=wr`;G8csFvT72CjLIQ`5nE!rrjcy94j!e#KyF| zn%RSZ8>(3Mg&WI?7;6Sx)SzMOVmBdbFavG^H`rb%ZlSjR)Vazc$68pCI%yv%j`>@p z5uKY~)E-y$HAyZ^9e-L8s{0zID>ZZf2>?`Ua}`E|HRowL)J#P zFxOyPD4wtg9(gL`PO3yvucJ#letDQIc4pz+z+K`DTHMQHvqussSjDm%JUbwb-0k57 zZrATyzV3krd!94u84rT3#^ykZ;}#Ht*fi3afvkCULycdImj4SB!=4wQ{dl~Ym0)*p zL{uaa<&((xE|jJsdB93m@TZD4(&+sf^TIoyBV=;gaCj&f{F1@^++b;LFCwv<_)!+G z{zGULUsQ=fwccx$n8-TgpJ9)e6j|EvF}!N#Ci_u!UdRDxRMv&vzR-X}EU-NibwH-s-96r8LE^+0LBt$z&aXIG=wQ$ESJJoO5?^(XR6=OWn{^ouXNPXui@-v$w zg8GKd;Yqcp-zA!JU?sUFDuAYzxH5D<@;!CdwxHgfUEVVD_}K@xTB%D8*7j&xs|s9= zkBop{ds}^jY+$~k$!i;Y9<$njUo`eK{fhaz`(L9}2&ZI&qKeOB-o8c8_=#eP1^9P4-{vO4(2rFL~Jy z$lXgWnDhtF zr@Sa)pef5*Jz?ViCJ`@cS#~wYZ{fwiYB#(xT_7PQ%P_3rV%=Nt-92Fbi|lh5f^>hl z&mf6~>ck~LcxTlgJcu(Oc6*Oh$Go@jZXs+zP>1kcmLA5*atM^O}%~P|wRn7at zJLz6%Vd93qz-N47XU_4VIGL>q-h(^J+F@%R@*gNb+!<8%gVhtjGrHfLXr4;N@=!C>WzG5-nbl7 z0HXpmJfE*~hj?M~(8vchO-MY(?T>N#sCldMy~mj6yNNQ=k?!vAV;++n^)Doxs*H3a zK2iTl;w#1f5e1V%DfGIwUk*;#F+Jhopt**qIE9{EGDoW`qvR*D^LShNC>Vrb7OM#O zb$is}9=u(2jz3gc+Qa^zUjdx`bj6Ya!?M=0?Qq5LDbxJ?RMg!%`vP!1LyW5y=2N# zQ64R9Y?xyiO_IXVom;=EKmiNj=;A>N-~&Plz~_Cg^Od#EN(R~}?(_7yPCGj!$POl3 z~F;-V}ID`&r8sh+ds?u zEjN(L(QVwmysy~sb!`(0FGTWmV1M=$Jy)C4a0_fvoaN~OLoKz~e^jMdh|j06nyunk z(DxYOuYZ6?>T#M^Y}ocqv!)XA+s%8Ij_n<$K+QaU%gaHAu4ALo<}(}_B}El$$-m1g zwkjF`PSSQI+(aM}trA+0v{S#aios7-+`_5x-fizVSFX^FSiY)k)d${tYBawV*gk!4 zE;X`n95C$=&ah4q$y0_mX5X7MORSeek%JLW#Y%Hc6D(3SpG|oV8^z%R*MhqzQX=uf zNr9?wqb%1B){00RE)Jgh8GIlPPxESB0lMJo8d;*aQTg&!HP=}4F;au)oi$cAgG z>?RK!@C@-v)i~NZ)|41^Ct`fWyMlBnk@4u!N zerXQy2upLw@SwopjmR6jpt$@n!M$3xbNGWZe|YF3o4WXT_VMt7g*}P~n70RBqhd9CNabV_iXd+~d^`S|pBgYB7rT1SPWBYPzO z|1Ydc9vxgA77`fF@|YlaKK+OC{-rYaU;d1d}-|HzT?8{7W{ z-G|81;U@}n1yj5%=Wyc8 zD9krwn%J6n7s^%T|L{BFz&6*6v}E35e!IlQqcuYOvE#^PS*-Irgc}cAdA7iya#Rre zRQMD%T;%`lVq_K5gMO|s_jg8;;@)#dJ7GYt(7NOgr#HqrF1AZd_=s3 zZFpK@joduI&G3U{3yPnQhx3`@Y2$^3H!o9tK`sv|`UlsU73p@FQARWnFxkRU_q^6L zuw9hxMo#ZGD@)II?cQX$ai${@5F^!Hr$al!+Sjtp(C=+EL#!K_tap0@bKYNQk2cTD zf@^w9sqKxq_y9Fa7Jy?LsC!->LmN7+H}_lVr^w_RDqNliuKeE?5tjmQC+%2UB@#)PX-UG3G5 zr!=pu_HC)&VzTUjmZC`U+z;Mlu%dN8EzBk32c1RJLFOg|lr zIEB117u=VwxOQMvz`Hj_oIUgKolX9c4Rgn9{nQ&GVoUxw5binwzqs}W3K#BNO1`u* zHF|i}NYy$+%V3Js&d?XIM8MwV^jN*{m$!dgBFOkLSxXdR=;J+<@Y@~QYnDTCJ1*C! zr3fk2fors9H#PU`Z9$-y-totPwZ|+sTwQMFjcj=42iZnLuk|#j9`>6FeTVHD2Lv6z zeVC#lTHf9%^RRt#pP85Rn~k^9j#%{U#x%62ydWE5_bQ1|4#>o`ncwJLOL-RJ(LY(k zgr@IzK5ckDY1Ut^HO>wH6IiWHi#`U$nqLTt%WYvL1u?RlFE?Jyuc~Py`)>44$~ucX zAs`);bzIwv{xsUsvNcJ`r0#7uMaaWnDa!~lFswy~^^qnBO+fTjiHW-#Ij2)PWL;hx zjm|f~Tg<9IE?%@sb|QyYu_m71eadQ0^c~3ih34a9R#7sNh^{UuMMoR;qeBc>)O!@P z?D1^xUDr9|i^IMg8ctd;Lbhe+Re{G$`<4szFlmNql6~U$jWuLWvT#(kMbimRDq&A+ z&ZtGEzSI%aZ;tNF%M^aGv!fbOCmhjDGM~uzBb&DOQlw#+j=1TCjU?u{OD?rZV=OWf zjXRGTc)4YMabasiUgzH;L;nRHW{*17UmUp_f#1+kh)um>(9Ud?EjPY55Kd;LLh#?{ zg3N2HAqg-q27M&-pun@PLvhuvnj^B#vhNgNSTyW1b^ZEH7}i6WmUqxR1*hW0!i1(k zIGt5#*k2oG&beXlMyFam*wA=8MhYZpp2i!Se|YH$OKMxc3lbVDc(H3UNcPWlSJ{|J z&F`}{KfIj9!DYY6W<%rAgEgsjjc?>EPP$n-rbz1w!0*#=Uvh|De~m9{A&JB8MFVP> zy%bt7Q6NA4J9%NGe>8?*c~31uY&|B zVzg8aN1Y1tr9R%MeMliG7U8K1XyqTTYk;j>AoFYp-MO^OrEGv!Ws_@kiHKuB4D8qB zMk6-Ffgf>^bWfp$XeUM=eU_(8?gF5oXV|f+G-$ygsk;Xe_~~^f^OPI42e*ae93>gx zv#GF$6X?S}7}XL=^@iW)FwzF#Tor|f4pvUu6+bvhc%H3@TSD=0RX~%MfY?V@_wB?- zSdo`;N2FuFo(sh`$?U$CrqlB{n!L!<-j0Wy)Sd6VG{%u(ZV&}uiQiT*N7+fR7?3`& zLR?m5Co^S_apJv~K7d7~3mZf|tSfKrTRwqKck93PM-3TjVwFSl{4o?OOd+$pS}n8O zEo7d2&tQ*HV{@`HBe>5_FE^N#mZct!qS5d%irM7}C3ep^4tafZg^kK=>AHFhK9)Rq+Um^*9hgS?bdHD#RMfgYB&mUKVz)meV1X zpEQBLhj88WMJSt%cE@c^2CL zxPqg3J$Z|nL`^t!>lxpz%-%R|R)*o+eP~xza`!s!{pbpQXuh?TJAo(!_YGN=rLTu0 zBiTqU$Nm*C@J(M3tU2p?J8Rlja-5_sr`g9@Lu}zF@5|U4E*+Hb=fR^4uU0h@qy7t zZNwzlNkrm1)Stk7be$qeFV9~4Y)8~$6fiyHx_(G)*(lKYcGPvJU`oU)yg@e^JQ;!q z{X4oXY}V~5Ay?_PTy##04z!O18KQ3QRUO`=D^^Ik?FpiWki2C~!QBalWzH(=Y-woO zGtZ1Yxb^7=L?W*IsgH^(689u9Bp}OBAKmo+=bszfwBos~n?&VfEd=pYbozA739?2c z{%K-jMK3{sI}+#;on-`~F2g#+QS2geW4=jkI0|zJ{cl<*u|8496rWIb5}*V_*e8U< zVo1QA9tn0QHjuctECFDjKz~u;1p3T`PQ;TyCpiVG&|gmIFR3f=KGEk$K)BnY( z4d`RNxgSv1Fi>}@uaZqlY{wAX!iV|+#-k_epRswHaZ(7^&eNxg6)H9@~J6S2^)5YtL}d&?y5lCCH_^> ziq%X8UgxJTy3bdJ(1VYRKC))zT1`}c88lFjooZIPL;1WiYZrO3GSqzbnz7Ekq(0!q zP12&~h3>zrv-)-th=@dn;nltw_E=eeLIkT;Ry4AW&Q{e)*yvI9@9Y}>C^Q#87#k!r z2d3C71bTps25utOQAt_QEwUg1I@VJW&?);w$6ix|&V<`GxGN^&*Gj5hv3br3k39&6 z(Usp2BJ-~Tdnvb5jY`1S-C1O^PM904%p;SPyok)VHb-W@>|12Qkev>tF|sme$bP0^ zIMU3J?R#BhG8`a&Qkg_>v50melPTTrbG}%|CRINr(QGEAMEZMrWP!t#_1{;CK-xFG zg|?Vzd7vT#Jt_`Cv7Hjqzn9_5M!QW@#bcR`_#EEEX+=R)IY5L zov>gDc?vnv`8IZ?$0g`q9Wn2ack9^dnD=mMpQDllg^gAT6xb7aZJX+b_#FJh@TY|X z9?30B$2rvcBn^@v6{#!%z0Qk(=W4yWxj>_v3!k0cpV zlFHOMg1^_WTlQ|M=+2|)XDaYFsx7d&jb0+~vYyNjFQ?n{rsw<` zUK#b~c>)f$6-U}1puuAJyRWdz1t!*O+Xwpe#(E-g8KIBYvy3%W-RYJJT zQj=$7z>a7%gy@>568v%?jAsU-cQ=PGQ>4xXj+;d^odRIxhDY@sGq-H z&N_ck*B}iqKHn2*!o#QhyXVkkA>efFHDNy+$$-a6nBn?+d^yc%h1e50%c*E7YSyD@ zDQdSS?0VY_ekZy{O|&gAi>26t^{eA$Dtue@_j95gNWrj=DUS*Nj08F?*I*`gV=C*w zcd*!O7qD2(X-55`?l~-%GwuV8UtwHixzgd)t;3b{3=f#su9fjNi?waUa^1a;B3`wR zy1tRDdCH{h2k(d>g|hGi`fZ7<10>gBfiqmL!|hIY|6B<)Pdb`840w^svP=dnkEPp_ z|6)893c+hpXG>UqW&s#;Z;{Hr_5Slq78@91)(^xtm&hR1({hILD!C9Q; zcrP{Z;}pQ+EXTXtfHxf+}IQ9_4t%1U`!G5P(Z{ zT=$NbCjENHQvdPU#1CD(#PN90xz5jLJd?OhX2NEtG+)H?%P0#l{>BEd&C33KjH`9? zl}UFg=g;h6dGdB;zotTSyZG2cI({t%c3k@q_$^lUYa{$v8_llyvy4}hoa;MyswjcS zTt^*TZ!`FroWTA51sJirL1m1mV&4Od*o9sdjM%M$4Xd5lHCTLBQw>VUc>-aSfJgme zoWbv8+7m3GL+H`%Bk*z`agoZ#OYS4HHF%L`sDwvj%R#+yyX{hj ztrd>x?)SprLC;_@J`3F~K9QwKcdr99dEWvV3q0`S^%2t#o>lspEMCs@@`3q15OU{n z#EI#b!!8QX^aJMgTcBgp51v)}S!8L!sQPvej4*`A+J zt`VNtGhUE33elbhD|7(A8y01WvCI6CLO%GubFQ=#%;F|JcHgT^M6A{Yc3|9gA-dqV zZaGi$Et7~k=z2f^iRlIQsT7c4^FSMi;UT0Mf;K&bWXeM150!Ce`2iasKcei;qdHF+ zco7zht-1vTc)SG>!qbR62LiwngkTFY83r#YlaT)fWg@${W&CS8@(ButZ59}J?C(>Z z_V}pM*XpS4UB%xJ7QCN&_JdD-$NS$Z>ysW)4(hwdz*rlQ!JZx6le9zw_`92`KV$+3 zMDihp^0xh=epH!zD7q)P?%Os!ZTFyFucq7qxeX74uY?3&u6&2G-P(Leb)UQsHVXji zDyPAsvIdEAhXUw48;1dwD+7;{QDm<}e^);lI51!$TduxI2~#EZe`o%?7`p;Fg90s0pS zIb*8UVNh6{WvXcwI$UaLmv~R0!0S)oN8Bk^JhgF4nOJjv|&Ryv|femf38;|Fs z3>7kdkF}@+cn1U+3GD`pcCqtq7QO;6b_51Jro1|s`Rf0SD4pHB$-%XDq*xV2k*<2m zNg0}PH~RJ+cdI@$^joEoS7-tUu#6LRo?R*^+?Ob@1Pj<%W=sa7VDkkOwD-}y<+K|g zUAYdl@RCZXq#aRCfRjlQ1k5l|2@~NjOoY&{TM2|FL4nk*ga||>Vuy}HO$kmwN%a&W z*VqgC3sT6$7UYfzihf9VJYZLgt~x3Xdf_$E9E+?=zh9D6d04GSb?(ilIOy=d@e}nd zS%lX2$$yl0X_vC~if}kk`k7!ovrTNvf7SikHVv^Qi3Xui*t6XG{fX2rseeek%nA~* z7RMf$fG)N&oJctk>=N+b1$ufoL_C&PZ{~-(d1p?N?VL!Cs&0=gG~v8G@!=BkMacy&smVXj z#x6r!)%Qv1zh1tjDV|{m0*OlMsKQQV-lqB<8SAY00d+R$@{hgF#_DxkeD>S{MhPc2 zxZf{NbuMJg6_2^H9Zf1!c8L{we@()fh0RpopSDu5cQua033SnCx%lizaV=BS^7(~? zvsfhIvm@z4y-qee1v)O=Vey&QLZ7b-^t5#o4zzc-&_~m4N1xT=v%7^p#}bZxCSywr zeO{MjJ0e`h!$=E#zCPh_Aqst1he=KT;e}KB;2)kpZlljHC!E>IKTo#MCtfDkV~fHa z5uYV3^jU^rN}H2Dn_KAflGA5HOMQMd;oP3|8Ev6YT+iZoy&yhE()`vG*Y`(thIS-< zHY9ywbM#W`(kXbqgaiEZWJ`TMm2j>Khd5Z(LZ3fRIGmz0PS>~a&q~oIF=xSNbqoK* zH9hLHS$sC8IZ;*VS#5*Ufy>YkbNqbuA5ZH-ldbPa`fL#npUv8m^ob%?^ZACv=Va1n zq=i2JE%CuWT=PAr3>h`o>hsaW2b`0No1{*-2~%f-zfW{|q#0Ykn2@3-;kQevD1-V7 z7rPmsCfPoiWMdD3orjYR;F)9#`lW86`2L`4eEe&+7B}OgsnKlECEK?r0^{m)K?rxX z;PZbZKAdXLZOP|55+6=a&x_C87JR-h@!2Tcc`f;vg3LK*#b-&1>)3A4BupyACfM@0 zz)XstK;K3`*op9w&%r&zQ}e!Z%P`U$f26gD-gIX+_=5XL9!8M=4LhFm1Z!9{g3oX}XaZhP6b>J{$gv2(($mhCs3 zt@qzq@Nu#wDn;?DcL_v~)x}=H?$abow-HZe{?DzWBXV(CH4h_JkZ5()gE)KwF#sK0HpJ9#je)SoaplxuzD=8GlPc=?$aD&s9&%bnYRSizpyyIo7 z!ReBX^K25bomKsc=6Q}|bNKDz^MI3$J;jxD0mbRo+4G|6T}=nD_SiS5<;cpUbm{o-&fsUIBlIia`q+u-me zEfP3ObW&V_)1}lWC6D3k`d=b0#}PMl?}~$epVlnaZR~D*RIQBzyJrLr*B@V$!QtcX zeQ;PxoST!-XM>9!z7&_@txKPuPO^b>QV)oX$PUnm9jo;LPC^g%@^)?A*#K~5GTjZR zrPXA!rmix_i5_{ z9toMYyE#7EvwHk^Tl003e)YN1a^JfxF=8wX>wZ=RpDqFEOQIZaxt{v5eY@^CSMUim z{g3CfXaGKI2jIhf_cpS5efAB&=g0tj&JDn4OG`d}e!HNxzQP7w^P7*Ixw^4afzuT` zjAwj|Z!djOJ3il;f&!d9%5C@jq|VE zK5x5pJ^PO1KwhGTcUV5lL8~2Q&-2qiqy>bS+8??o-H9MTx;WvSBvN~OZk$! ze(hqki`n-k9Qf=|PQynvQs^FN#^drYIR1PgP77Tu0+OG5?-CQ^({#ST+W;ivb2(kx zh^^Ip?uo#F!@WS`<8Zq4x!>8~E?vK_!0BQZ<&Lu5RlVOyk`%@7IoW3F4+K>3DLSXx zh?8xz=Ib^%UN&BLZG)4h^k6rhb!~&=`CJ}=&;FLzygeVD-DxA6*N11)+TeITHG9}D z|9Czd2H?YsWo=~hd`9&!Pa7Ps&xMxz5YK1t0DKO%BOnZx0eBKC}P)7<-X!eKAALl?G~7fDXG*x-Ks9(L62ucHqF zW|x3|4_h;jcGs|Mh6bO1g(2H>-806xbD;Nzd! zZfony1Mr#Aa^CU&nXean`;E6VdIP3koF`lIS)PPr4hiQ>R5~fdXxG^0`Wan_>zSIX zj;8(^eoEDGz0u88OLV`;^l92BCbGd^eh!K4)qHMc91Wk2-P+pVWJ{ct$(&(Mlus|* z89Bs9H3P8A;Qx>M(JRD(9BMw*X|&oy_paE9RAXQ83@5gCv9Ru;r0$4&FwJLsTKBZ*Ip?=JO2 z-;X$bknKo1Z*+U4(9i#*N2h~q=hbmh3)zf2HaH`tcqX=>Y0#pDn(ap|cINRx3MFT^ zE<|g)o1_dK87%r|leay>RqsLrB8sTSgV=2loa<%l;WQ|CK5g`(*7`Jl zSYPYb?Yjaje)fas%S=Dc2NO=$z}uE^;Il*P>3I!YnqtTB8;(=AQ0z@(4t@uejgOjZ z`ItV()PIS?QB|o+tkC+6;WxfNw^?=L6Pv4Lv*)YO=Sk(w>0G91T`=z89FhJ{wmAR! z_GE*#3CCn}bG(sNkC?tcmGHrj>$_#^vh@cOALibdBwHIkdon(ITig@h=J;%P*Im?H z>(d{|`0Q@M$JSt7BEPn)C7&P6`0QxG=lP`136*&K>SEdKe0pXfPL0+2ygA|QP{|(K^l|&qfS9_4 zLgd3ZJguV|8+46RK0X98c3Uori_>w_9_c@(KGMzyHWa-(Qpd>N{79o9WZE2AgGG)cS)R3@5TPXkwlU zJ2zu**%s&ag!{EB+v2=Q^g%nV@_%Bl^rT<&=ouMCz)*UB1KKA^|>=OBOCiZ)7CaEk@_6&Bt{#dEnC}ppAuG zHi;~m+R0|;98I#BnD80oy&UY&#;3-IzJuU!&d@KPeKJ)Hn!{HpR|Qr9SK_wrj$*&wEE16ENfOEh0!0ID3^})SS(6({;Wt zql3~Lm32wA;~#D1Y%Fv!``;5E#wX*TjotoG{YcngzH%F!H#$DcTjHo&NF(}%R~tSN zBc9Loi-Qf?;zU2h@1@n?1b>-4ltZSMk~6ONCn7CVVbQJBQwwAJTGLMN_~t&QD`4>-g^ zzc~1{3CI804$e&vDJWH>lx_y<2pF_1-I1P9;TT(c*k|0Ibk6bY3?953HYm->z_MoMzS3zlthV*3J?>2kZEe1_Jep+EA%hpO*PE>f$^{$5jv@`88Guh1ealDIVT5JXX||2dOu9VI?hvNa{SQNw|UIZ zu|*(=)Gn^ysJ>->?eQ#LE@k|K-bFAzK3{Tb4 zpVtJ(>)`*i5qqcdIHGq<=w3HZ92K6Y>E{X7WP{BQq{=ios?xp5*2rNr3%dm3;qOd9 z)9m79@p8@$-6t*!Iz_!~4r)geJDUzc6gRhCQp1MWnDi)U&QyDye%R5|^nY%a=ZzQZ z{CuYRMB5ZSv8U0Dtp$vA^Ce~Y=6HTh&GE+7e~U7-b3DJkgXh;`_KHU&%)t&`p*!(e zma~8@P=8`=p7N-&_d7i3iG85&VaT~xnK2XPENB`Y?bN+JSBuyZ?5Xxe%HErX2fy=y zA1yJNJ!#4D%$`+vm((A7R^jFRygd(yKlUu}3jJOZ%*;M28vcbvyN=L>+rl+B*uY1gn)f-@AY0t!q^1Vyg06WeM1R>bv9QNJo&# z2L*!&jwkWX?vIE$L>^qX*Fi+8`FP~WYo-4NZE07>=J(0sNWZ=HoD@8 z``)?ZnRkzEdiwbx8ahcG0wrsxqr@Odlom}IrMFHRrMFBPr8iF+rIAUaw6L1e`;*hE zBFIJy5p-Iap|)sQ^0=lpD; z_`*W*Ce|LCejLkeBkizlzBa)V_M0b!{gw$~zjZ>`izbA9--NK=R$yOJsN!e~Rqt=J zIq+YSzZrY$YNzja67z2K5%gT!W-OS$p+x=Ll!>(EPi=iq`XkuOwtj~ibjeU~y7UVD zagj|y@b*HTCn`qXVNu(Wgs{~N4y@*PDldEHnX!j6DSo)66g&*d=v}wz`BjhmDPV1d zAPi9~0&_$sQAa55mOTC3dpD*D;Hz}#6UGF6o^p;(zYIM-{{SE+(6=e?Pu$rrlOP2v zGlTs_a&yMdsRMngoH1YRBt|6L6GYH>Ug+jQP<`SUEhxVL%f(b|5P3_h=y#$`X!$#nv>d35Ni8^O`NU=&8qn zQt$9m^A|XBd!4Ib{F6dr?p?QB%V@Euxz@m&k?iHiVw;pZO8>kL@<1O?j`oNs{9S5i z0P7T86ZqY#X)U0WOH!qCWhlpADpvl(YDn9i> zrf&!N7bIS;`Al_yb1wPGLUT>;cdJM26DsMaNpoOHb4}`Z2aL>c;X0%Rdd}bBYZ9Ii zzNXFz;cLR25WX(%M0_p@5cNYlm6g!3%ImzR{!_{RI=cw+SJ|!(m%i9uxWRS<;s(DB zh#O*NK-@4=`oZP7;-qgFrJvR@_^)$+wKpGG44j`(W^im>b^kgQG1)`Pb_}5^WKI}B z9=-pemDV@C@zyyEqpAuS&j-$7k=6nM5a0UDBq0ckUJEH`ku2()L?Vx&(GKo58MqK=M7vFTGdv4*k_<;uJ_e+#> z8eGP5(gXezHL2(%&?Qy451OODUNFS)m)-)R-qGwL@}wX~)SeUJWlg5Ub&o#y*f%Zo z=f05k2~nV8=T_bt7}7+s%U=QaN`)w#hTAnXPlV@|JEGj{MZriuA&Nhl_f%MLOccB1 z5paJ~WiIn%F$4A3W! z%%E*VHbhQasShjjS^@?vQectu>40G}s$7B7>0=d6R!QLaB=+JTSd=V5AI?oF!I`aW ze4;?TW#e?ja8y;QR%KTN_9fd6C)-P^8=ofGI01!KKQ`FpWMj5z!-o@1<3kK@Nij;ZD^L5Y$=VYeOhLSj?10&y|+mAjb%dLX* zQ_gP7RmZQSXt8R$St~ZU>Tm*LjZZxeGn(>8T`gvvjV_S%+w)Kr{gX<;R^|CeRJr>v8f3rC; z>KWp#E9k6&I9RJ}I5AWW8jtLk^BfX5tfz((H^$XC?8!;sY;dwQy&hd|oW|=u#y>{X9CiB_GZ&K*k0Os&T5BC7ih`E9|D$>I5IZhmE~zSp+aRGnJe9 z1ZdD@o)*tYH~ywqxdIbtjX2>z~f;BOA#*~RpUjN$zH~5#}8af z{m98)Y7o5DE%D;(21VQ$FUs_~Psi4FQXJkzN2MOg0l z2rJecqY=x3Uo~De&DVt0v!KcPOV80z7L0@6T)vDDbFxkHq7M7whOiw$#Kg?R!F3UaR(UdM>?QxvsNk zFFY@2Km6L_`89ys6V4_+X5En zYtv!9fx^v{NWx&84*~XT#~XEAvGxZl@F`}Z&R#gcpvgQo-XLe;r*0i?B$(;=dQAnN z#X>ukm6#dW=g*Ugv9iq+JQkEckR~%Ee+j5$xabM4#R#}dmG4m2!))OZn|^Sin{dOU zJpJeYHa zl6lvn8Ek704}F0g(g4^kpLeL?-ST;d8tj(OJ9upF7H}-R-SZClO=h!>l^6%BkrQ^y z=UY5)qbA<&`Ih{)zxATDy#st-rv|8vuF$)mD&sX0yP6gn^y9HT@9&h}>|=S{A@rr= zuQJ{>A=snD3|o-Mz%K-7taHpIwa#mHG<=1AC||cTUIn9W$X@odB1C(5cU5Ifk+~g} zW%t-fnXsMR2EZQRxgf`Tfaii7cFS>6qj$@3QiI)coOtYiU)bD@6Tc>(P>*7b$BTqd zOn3|3?fB+_MTuWXvZE27f8euxq*$bb*wpzoeJRHrPV6v^W7g{!ELDg!s@QFte)g42 zRXXUP?nt%ODt8FSB2Oels~X2XSG!a=iuvR6y&_GuK0g}Sg#ADJ<2JVb-h@NErD`aeV#lt_aSnM*+4w}i znfE-kV*bMh@G_j(YZ}K!UfF6xLpC3@vD?4EuuArv>K!7+xlmKa^5=yEU(PFu2ljs} z-}iy1o_$+*Wl~3RulImT%e3Lcr>H>WHugO8lo5i+u!y49M&-~w^x~QYP|F5<4mvhi z0?`;H@t0m$!PaGti`a{`sena3_FKqO)I1Coyi^_*>)m*<{k^FxXX$P4PRfYEbKqc` zGVLf!BHJmLz_BQ={UE+uoL?ET@kdQ%td$aSBciIlVtY%}SYDgX(6-l7e zk3i)eakn2d?dV3TL#Lmi_t*%V@O9kXPB?lX`oy{iF~U#boymiJizq|wO)1+kx{*01 zzd`w*n*bPvgbhjIz<^LXtak)QRYG}@GH2Sv3;BQ$xcUUFE;IhQ(gd6@F!(v)v}i97 zGaLO?O_LK9jeu98B=l-WY$flAx z)MtU{@OCUa%>C4rkrlrT3%**zgPr6a_1P^LG9S~i z>0%|X>F$z0*=^+crG!U2{SAJX4(!-UV8qOc)Q@)LW~ad?ML5p$F_5<_)~rPFgCG&OnyA5_VsF?sr;n+ zFH#B4eC2Hkc1C@PA7W#XaQ5pMdaGPK9adY2VbQ)_4mziJLbhGXtA)$>Kcc)^JPxXD z5B)@IkLvrB`GW`8h%;>czcyR+52*rQB}*)k~!wX@P~x+qUi2Y9r#7*u}l2ViQjhdKP{NoiMi3!^>veHgX|DrwU2%A zNmafg9@xUuxB6X*=^SUb`i@GbF_oK@iFJ0wvr~rFJY{Gg%eXSSA~W+i`Z723$FxUN zzui*cm|}6hj*m%i`~qJ}=u~CaVR#x$)uC0wv153hQ1#ea>k%9V6px$#!1C9gv^atA0UxKS_+zCir?{P<6)sUab}LB-;%2WxsGx_ByL_ zSpAkOUsPTo8mH9vl<16$&S4#6>vQV2F&h)xWruzLKYM4W(?Ae}VS*w&LPZ|{QNaU{ zlvHq{mvA8=SOf_b6_p4yK_U=5LLUQ(MDhR#Bof8<^*Yz><*t<0AlPIwyR-Ap%+BuK z?d6*5T;{#w8NByn6fbD6_uxx)W0ww#v(MseE^FwG_3hc>lJ+fiV{i|=qwooK1aFEtm8J1p-^X6$Hs!{W z;+pFBtPADt3%POn3WLl<`w1G?bKfL~ z(uP*gGcmsTB4DNZ8|T7t9&l~wEiG-{mG430e3)&8&x+Hn@WtR_D}0upY>Id8it|m; zv+UKf-$_$Xy)FB(a*BSuB};*>O3MQQ_TbA)qCUej)k?=PN$X%@rK+EnQvS1_6jRol zF(Iw{`Nu>CBSXqI^|f=#_jK_gDMA0RRC1 z|9Am(Q(J2jK@>i1(wf+N8#Qf3^P)mfh@e<#DDG}1$(Cd%ot;R7;8sxDA_PQJ6s+ir z7X;0tFFyF>g}$iZt<)#`1N0AAs31NFwNHWwely)9te3#y+syZ!Z_b%HGfZuiBBJs7 z>CHA^J7PD&%9x&;GbHl_v$*3*QMRPV94RX8lz`yn`qf{Jgwg*d*iM#*C<6Y`)AMP7 z-k?kAbB4#wNoL6~P2+#^(DHlbry{2KW&wGd2}4-GU1V9o8)E9K) z85X4tMJ6^Lb^?@LKcdxws|>f(92QsVKR(}u$vs3+#3d8OF1iNM!bED31C>*7H;Wg| zZaR+jrNZ)+S2)Snh@g?JjCv>x(dvWrb$~YN08Y7;VlUmoSMA7RA>lIvz*ezlIh$po zPq#gL=iL1dzN$B%Dwe1F=`og8PaX@8`;x|eY@IVN;o>A01G=ZomwoSMd|l8glg$oN z9+Yw7*~d4)w}=r$t0raTmALRnaER)lZyV1iOF(r>JpsARV|vd|vCH38b4n3x(&ire z+|g{Zp$bll6gFh;PW?niRyI$;N1N>jPRRmD$I7#er{*c$D%ZhGBo7`=r6zXKScqt? zJAEL6lqllQRHglAYFytSGW4&}WUYE(eztn{Ok%!zahT%ZYN4a*#p=5D0$XKZSW1Sp R&4PNxIh4qR`x^iN|NkpIpqBsu literal 29859 zcmZtsbx>R1^9PK#rG+=e3KTEU7I$|D#jQYbclY8RTA;YQQ`|L00|W{LcXtWy4uOO` z{d}L9=l9QV?#%Aa?s@I**?Z2Ny)*m%#fuj;STFz47cU(CJ-q+8ww0T^os08FE+KX< zcFvEIo_3CwANd71KXM9jaC38Tb8-oN)KL8R)y~7}ql~4U$G^3Nv#FoOL`mr)_**5pstdsr89zLsmKta#$9SqA#4@Ok23!xf-f_Go5l* z*dL|%{_Gq)?|0{Sw|xF|=6&w|AYv0ZzUtT%zOLHeTd>OPQ>wHai3sIm$}=2d!; z!_N;m!d=Jg$PTroX;Hp_U$|*?4aCD_Oeh!TD(*memq?j0jtq7H7^-C^{NEmN}|@5j7u*NuZJS^11j(ycB(S*I^HUxajSvWG?U^A+A*- z?dd%loT-xn8h;k&ha7wxXYqpI)r9^vT8Y%l*)DO$`y85!n&$Uy}d!>bmfB zf9yD|i#E3J9K1Y!htjpDUzx~GFV0_J>m=(=cS(YaqE##G=-#|OJ|dl>9cmIUaD!*n z;Qb1#Q}~gXSf|P6_3Gz%Xzs6r4Rx{Ny2dCD@f_TkTSq+XKeFwGc=ho2xIkOkS!R*- zWkDajC5$N>8%?jBbxnPICqlAV=els1fMGD9*P6|r+P*Q&{C<{C142SL&Ov0HsAE!1&i@*xM;0!cFHJzOK$ z!PntK;-dl0x;?_ntBmw!>Si_ky}@$i=nUrM{XUkEdHt&`!8q~b%n{)nfnV(CW5^0U zhoSwJU?*&HSHLav@ilUI4TQ2#PDZsYL>2Z^5CSN`7?yxD-S8SPe z+AzbmR?Annb;=swn!oBVPj+n8aiX@*lw1q|#OF~JV2S7w@)6EG=|jp1^XP+aDoWzT zXXwKs-W(wHWw6PpUGQc`E&xd8mmdi4T4{}@Ualr4I+Hr39h*)DLi<^pFYY1`?H2Pp zImxYW>H10rcp`ro$#YHixP$Z5A%m3Fg1EK+-2>)eq`^`*D`=IT*D%Im1?-IV&0Jt= zQAWLZO3xm&~-D9e7w@cTR-7S+@?;!lQt#5}A+VaeIC9tmlA3ixQzNOLcj6K(l zqjL={oWakIRwHmZjdK)6DE4dhFQIA2cMJ$KE6lohZ z5&MA-u=yR;6|O3u13vTLGOB7&NyIeH=SQBhlBM~FClw$Z&MJXLx9Z3&p!wP zMMYGpumWWTDTNl$g(RXQUvkR9tA%}~kFZkO>V>$TTaCOCnwvGvDUX+cEniezLPu=t zxAW8K(k?YYMAo`7tAhXBX{nj55jS9rv zFd18}BEQ6nAidAw1x?jFf4XFp|8s~GW=PiBlXeKrBkyS;vF}}KE&M@3 zH6ERc4;%t(0~&4%63^4Y97*In~|H%0u?ykT{Z!cjEHi3 zz^%LRwZ*_8^^^o9cNrd?!#kd1>dW#MFq7DB6s)M zpGxZ%xM)QGREwUhh12MqjLaRXU*~0-5Ax@(HpzQ`Or3{)JO=eMD4w1$SVKo;{}W?w z{vo=atDwU8hvBPZkN&ZqN+;~|UoW(h)yd3bCe4LQ4>_1=YD$1f69gi~cuGA%Ss%_@ zBF85?f_&XN+Mt5GF7yUGq*mfZX10h1>zFqwzH4Q`Me{V}^)^^8AAAP&J#KvdbXYHTLJ@0;sMEn)N<1e8-i)O>qn-`o%7?+Pp5eVCybQ~{D}K^m+9KZm z&|f<85t!;I!yfUO3DBN|YpyZDk>u16?MP`G^x@&;Z$YkR?6qEn6v@L6sZ5RT!?zBm zqUQ?s-G^q-rH`07*V{{U`VlKH&K|dJ;?ZF|%Q8*JzeBu>ZraoWTCt_LDZcH!cbtS# z*Va#lcNtV!lr8&U+Qj{KLZIOh1PnTTUrZpyfeN%#5y1D-)%{C`- zMugju@By#8Zz$S>p%d8xkhOb%oqgH}BLR0P{@0~_zWzd<+dB%Tyjm6^f+}088k`ZeA9AncswdWs115vmzl@_hUcOrU?MVEePsh65=MH z_O60ny8kD*5RI4qUVhQkdBj!!(S~$soDO5{Eq|+8bKV}Ii?VJ<6D1bV@u}gRRJk8w zlo?tPE2LbOWl-sQ^uRmT=^0S!cg6<)lS!zo^mt|~)NGYk+ve@RAZ`Et($uBV_fM>2 zm{@G`0<@wzJ81CW&#Qbw9T0e9=&!dZn&yZK#ys1?Y`3F0(UK$&R9k@KcX#1pcdNL) z`A`pEJ^ox(1_7i))$ei{a^lit?rt#20Acb%EsH!_v+4E zLdxRj7$IcZgpTjPU(y$TT33lu*7nO#o{hyw*8E}cF|7aO9FSu+aV9;H;a1bKJ0C6s z{}e_<0Fc{if3#-lsiMPYrJX|~p|@}4mH7Y+dj2Hhsv;Q*j3Xw=5Z zd<+QoY?*KLKC=>YQ>yJuGZms&8Nn#^jj8^S&o5W|pRz79EcURR-Ix@d{HtF#Dk;_d zXOJLOg>F25@%0KvYng^(#|BPIyDwAIb=C+>wFZb#a3-IbMgl!|Z~~zPhwi7#V)jh7 ztbvXlS+dPm#l%4n_SKD66AVhBy!jbfC5jEVFYofxelg5|q(rMdEIC-4Q+P)d24OPc z(m>LARo)UQ>}DzCw)s1z+urOtXI6B>4XW38VwD#eqRPZto(kgOuLV7QkUPAh>nRc0 zKMrhrL8g(}F9hX`2Vb$$tH73*2!X3IFIo&~JAu!!RF-Wy=)WozSGgiENrlRsb?*z; za2)<-Gx2PsoRL^6Apv=PsS|v&S>N*W@gxxubp!q)ATm5+wZOg!Y7w@QGuWGqf$ zkZh~C-%-00kLl9!LxIH~B|^wildGH0RJ$)UeqhGUSR8zjwisD^%YV-B1KtT0gJZ@d z(gky+u_7h<4LZ@U{j)0yg{oPp&Y3U|JTEqA^;j1}^j^-nX2sm%F^ zdZ=yt>WN|lmFwyCM2!(qUsJGAz8&h`y%x^5jWK0Ki|aTB)l$7^+n2=0gQj%KJKc)C zlf0CrNqe^m?pdX9f%tHG2=&whXEqaW3irA>B^m3JSgls~fp0NStn2#)W@lWoyh_E) zJ#I>}>S6^EF))m0T6F#6{u274;ee`E2`iRYLo50=M>A(oR!%pf3*!SG1@Xj4W9#oj zGmZZ9?kaeLih)l3Eu9f>M9XTC%TF`9>weG~dGy%OW-PP6&`&bs7eWRc+99Vs+HXFJ zS?E{)y>R;~y%<1beXMIO8h3p0XVz=`(Qhl&rMMRZp3d}iOlY{-bWB{r8a>ydg0UQH z9T{$PbfH4Gmbm+GRYMpFhy!lJd6?g@tH^T=gdR2dyhHg z!qTs{{owCpJcAl>%6Hg*+{cFF5Br5{i!etmD+(jW|MbqxgywBkWW||}?a5ypkobow z3i69?QtjO{l5s4L#zRXlY@0?mv{l@(TMXPmo=zO)SyM_X$^?v2P-i`c z!`nE;)KtK&7P znSpDI7}8E4LXk3t#Hu*cic9E?`ZnXRn+*1rji^%Azks_tR)ourF-`qokwY5~0~pTG z`T8fgkpe%NCL~kp3d~Cj!3f*&Hj@VyNnMEuynwjjS-Zw^1Yqlb#XF+8=;jg?Fa6mw z;nV!E`F^+i^o)Bp zJ7Zn@$L7XSJpk)mzx^u%pRSH$M~XiV2Zkqgz5qqt&6M6@;=q)tKMqw#%mlMpWCnD0 z@1A@Yp0VNZaNY1LiDsGkabd-=K|Z_2CK{+Pb4R{!X{b8$J)!!h*G`V-NwqKaM4w8q z`!nRIGi+a=MIt=Oq)mnJoYv=2$hXC#a11I{0UnY+v|6IovgPp^iuWQY*&hhU5H&Uc zQiSic1jz%B!*{&D%L6BHht4k&5YX_Q`{4ux>B#!I^5u5E+!lFsKm7v$-3c)@E?8M; z=N=y~agjA|{y?TIuKGg>uT%tBm^gyWAY@!mZl_=DKNBl7jaZP`8HRQU69|EJgicq7qebP)2vpql0m zoA%_;>;pwi!=BmGwEn6x4>lt2R&2ZfHZY`I8lcV1)2FG-^H*0Ou^`(dH214Ji(Cn= zZ1SDD_q^C0WiqgPe?L3ECLP59Z&_nXXXP$ z)z57ABJ8}bAIAtf+k)|ne1Z7EN#YZjIA_A8rzNBzWW)}A_&1dgMq1$56@qiX*2}8D z;ME`DxSl>F6c<#E-69)51i&v{+>=aXCVN->EbOHq8I2o40@X8He}vI!22w7|U4bIl zqYqkBH}%};PI(c2-<3Z?m1abL#yjRkIJmXoPMV)fQM>T?y#zDr2CTP|#2;f89l`GP z{0XSI0*$|mt2)kdbxd*%bl|4MY*?C=jD?Z4q?1EWt(D)$tW@eQv#r%z+-JywNg0Sa zO3n@fI!)=7?9yeDh=d9^jx7$ie%q~voPI+I4R7Krt+!0jZ`aA%CE zA;4fV4`v#n4bsBSim&u&#jjWQzNWsKJth0$gF2mVfa8gtLx@@;Q4bdqxu|gl)EX-_ z91eMGy2M=h)?)O@c4O{gifB*B@)lqo?+kSaoyHs(WtYZ!|H%l*1k8c4#bt9{lkCR@>c^+JYY(gh!c1^9_S~ zA#}Eu?#ef4ceJv zE@4IiCvK;8qmz8k{Z^S6|;+ztnS~4 z(#y&~kFV}mu{UVf+p#+Wr}y@dY@?kxP7dUcJIE}X>z&(`+o}fVeCubMjj989zQUzj zZUHpt13tsaV25-mL%#o8RL}KDWvHIxiq13@03CYy%l|X?YE>hHqBN4uN_!`g?_7@@ zrEJEO_JihRcO*#TVe@?97=Atrp|MwZN7FQa9TcISn)if0dmVM~-H7EmsQHGJvvT*w zvocN5+3_1egRtT}9ESMec9xV=M`(t`%HH4WoOpJ%)Jb>~StZK7{PBdI`efzAUQTjD|Aud7XpAScHE< z{Ql6jav7>P@&}pIzLM$`7r7KTY$$eDToI(^^stB|Tww4V-1n0#piUkxF8;%>imO1a zewQ@vkmlk0t2mF>T@aH$|Jz0$iul3)g^gY~a-cF>2BXs}ddPYS?GtOdFp%-zG11z; zhYwVuS|uTrk9DscWM+~}o>`8LMGMjrg9s)o`YlJuT1u2|qJkJ7qF*}L$AYTkT>*@F zq`0ur36x4yqS+@!1$~;+uD3j`hYt}kpu@Z)yT$DZhd3EPIyMQ4x`6y(GWS0PoEwKb z4hzg=F?*jc533@%buqJLmb!UQ9ltyGLQRQZr9iuCZ_K&gTQl>_4G{zuT0;EbypHco z5@+RG9U$aOckl^2*hRo(giyrqwa z>^#x>5IC(M>!}ONo%JqBw5}7RKC|c$F9TY408spo@VBs1Ayb)Rh7 zWh%W0#=tM|h(#A2Dy24FDxJKf%k_0fQSCSRVSMXAyU@$K=<6^5^a*s79wTs`JGR~V z&8$Pr+|wlXvK7huX{_^7@&1xy zbIV0VVa~H&^bfCV)^Tm#j|5i=#V^4W%{qv<*W)ZZGMVurk;J>gFjsLAnRSt@l9gk& zvd4e;j2Q24IRepqJe^jUt4mp2G$1`b*e(8f2oZFp5P1#91NZUVjW0lk)vc<|50zE*tsHregzNm9TEYuX!|E<+gb~TYla= zb<-JFHDYmFTy8*l-s0MJ`*x`+!d}OoB`;FaS8|?*i>bjd+2?}y^3l;(r~Fu_70Hy< z6HG}#SG->M)w~jAA(UQ@i<)Yl9ZN{8d8;>OhPEt`W}F+l${F@E(kjC^OKJGn>bZz#`E~zI84%J~TW);4_u&saK@Y-l!4;N7V&Zd%#=%_s{Qez* z6x6W$l4B6Q3m3Jf@Nh)rCw<7dEZOMtNFDy0Z4Z~Z{WhgQY@J6~wxOkB(fIL`Skb$j zviVt@zxV$gaeOdck{WjO2n3|ZF)7vVHh6OuTvMl>s~JD8QTspBTvOq!&zRjdbrQZz z3i^%`ezX2bnFRVDdgx0`bWo}Yw#U$0I!SXyEbS~Ln?JtPd9_8K70XsJId;PUV)*;i~{>|@MX=;_u+xR*-m@V}WkRv!9 zIyW%ncbs#=Q=g#bp8^Xfde$j@P2TJVS+Gv~TsIR8Wf{IU8)0Q{13FpCXasVR`#AM(TekU+tx>a6i zuqC$W!`4?yt*cJ8Q!{guMrj_H+~*_}v29M!r34;(qwmyYoCV*&?m_405-IfRuwZpdiWMNanbkO}*|S)fF^l=H zKB8RFoO@InD+}Y%Lb5h1VpMn%7|k77@R;)OZZwMc*ArwaF+Y6q(`~Y!W}xH#Rt1k- zph9Nk7jL2`!}2FFGHptcp_49cwr?h7(~d4)Ww`;0nb)32Cqa)2h8P?r`ORDW%~g< zIFN}8DeaKlzyq5;-^&b^0T0$jAsSw!ufe8WE3irc>2*5&0ODLF3hu2M2d`D&LLKa6 zplZnX9@2ioFmPZsEBhi00|<@?;nyRn*@O@66%FsB`fub?dxvX+GTXP>TC`mF&e$w# z^xLX3{II%DOd@c3aKTyd`lBd6%J`U+muA)IYl)l`^(%T^egU_`SuAnX|9Xs=bfI^t zj#rmQKbt>o70ipL>TlXg5&Tj7sDsd}@zbIpV8@5QN&Gpst&eiHNJuiG-x z$lhVfTWUM)e1q$f$JSvV-{o?MB4dp1rSv*;j!o@e zP58M`gR;r#%e5h?%5_GDA4-Q--Z6H_apnP;6|PVK-E6+eMdFELtd4r7kF zA5MEa$o9v`Xm#|m@bpiV!Ercwg$ zdA8f?@KGa|!{j%(3nYswu4(Maqh)OL`g!GpUy9gr%jQQ#!6yGUhaWZhT`vFNzdn*S}3HmO!I=iA|~q*$K7H0I;S=~NL?CGKq~ z8@2%LecnJNZOzpsSDLp*nGK!fGf*!2imP{CTf5I~6-70WdpJG|OuZC{8{^#nsO+6J zy4W{aZ0?BO^tli9>_eJuub{g6L#qk>BMgK&Pr186_wH?KnSRx*rj@?~OJH}W#X;Xt z>)y)(lbp}tD75{H79EjQFTZkG?(hk94Ns1`r+##`T(yv1ync=>UYdv zK&|1xSeO=JEZn*VYZJmw!1Tkx%gH3C@WuKDQ8ypVjr(N)FKM$43(;QIYqx%#x$-&a z50;#l-sO4Nx*)7=F4}y@S~3rGQldmrv{_ckWUkFfTDpe8_lg0oChf8uwPp{Ne!f_4 zg!R}mVIXC^`rx>RN+Aa16So_j0Fo%iNJ_gq!N~?9L>SKrIlNV+uEp;&sW)Q%@oA%+ zAp0Sp=wbfy5@0HFrZWn&%K_bIRC!DkQicQ)mOr>ke@GIH_qI{LDA&tk~5 z?^;~ztj|54VT!%-T(ggdMIvrLi{~MT-rAFgOd|9%KOPKja+$a91j|144dr#oxOLGS zN?`Og<1|pdKm@5-gWglypYx=;8g&leh8REVlN}U~NMG9%H%!P^0&81*UoYenOpSlO zQ=nXRT!4AKW;OcW8Lzig^^jI;b78q~?_02y|0W&N!r~c-n^H)1m8Dl(!%%zb+{OYa!fWoEO04BQB9%frq)De-jKGpnWa2^Lp1y zQf@NK-H-n#^0k$es^lc<?^0OE z-dSy44=Vgaf*SI_9PO|+ENN7BX_f_(n zSM$66!t-xux__)uH}P|2`7$k4c$gBtS|FZe=Zw(b**U*OpI7n!ST~^nx0kAaiazpi zQdzaPoQip}cQzP!<_m(xb~r}}y(wkb7CoAdDAgRa%W94Yr77V>xK!q!*|$4oGNl7s zxC@Vv?R-l4>Q7#^B;J*P6?2vdS)Svixp ztmvgU_JRz?Zp=-1vzFVZHMw9fX$BmvNC-uIa<$ZjB8WPz4clBt^B4!Ay%p2g-dst( zSY=65okI}x5872aG8kB~kcX*sljWQ3q;z^?-XtTsx~PtOP$b5A zAbA>5b*$Eyxnp`r%-YB5#r?$kJR2=g4nY|J=dZoBB&*&WYsCE8BF@NLFYE3sI-LH0 zkW>NFv80>1y!a!Ho=R&4U(YDrF(OJH)3K7P8*4hg}z$d;OHn>!Dz`Ntxwq9)G`f?R-Ul^D4f0vHNj!_pZ5zQa9b(|G<4` zQ|Mj`5@2uM`ln^4Y21CfQ)1qFFz)i9Lfmq}H-Xu3%(3EJDnx7I$xV(t8+gd^Y}k{} zZh^OsHK*{KD_Vh_evcdgN94@Vl7Eaj2N7`7AK#t$u@j4_4cg5Yv{G+zfhUT(x@O)+ z&r$KvEsJLK$Nz>2rOqkBuJoUdLp(>7w8*0NAC1E3M7;S3uNG6CZ~~kS?Z{@6 ze4YEZg@*B{hGnY5ddX(-lJu;-qtLsTMsPunUphmf;lIpw%a?bk&_h#P=SNIn+5^a(Bd3*oa%kpY|_9~~Oj54dHXzpHaCTr~#noi=w zhx*|sO)4EB6K$Bt-{PKXFJnV}y;I{Qx36hJ#uiO1D5VzTKm7jYU4T~GB5uil+bXyp zwwJSPYLd)I00cDe29MTDE?PHrZbQBe)RpfX4>M?ur_Mpc8<)9VKY6eg8J5_V%?WF0 zgAFa3k{g#1Oij!5Lzd=h^HI$@lTwY#pvGm{!(PKcV{L=o>SonNERTS%bS9@_HAz8B z&CZNfmlCJig}EuZ`<9tYjoAMs{bo5Qaa>E$cZ#OJ{`U$JnsDViJedUs?e{I4+#8o$ zLCZiryRJCZ@*N0Cj+T(YF0`PU-(c1tviVE&xS5`{Q|uf+R~>E7Mx2E z1NOk8g)S9NY!*5t{jHJCu7RHfujA#HjIh(I7)AQ<&_#zYL|cPbNdUYOWeE{4_%Uez zZu&Cwu9xVNFR=(I792Ji3whvmdXXfN*So)Z{}DR~+g;^@=Qnx6skZIIOV+kIZMMDE_G z@WZ|Go95p@WBAJ^4L~{ibL7u+wDbK`8W&~7p28yLp2nnhE3J^e;~F;-U3aW_U#i&} zcR4ijR~qP2E$=_XGO5gOW6{O&2*i1TN?eMC4NU#4!EWoKI+_iF?Fk1{iHv>k+YL2w zvXd@9lg41x+oG|oVCUy6cOGz6IyPU?alN854-QaCeu-)SzYw#;%tdRzmm)lP3qLKA zR$xB3<dO^v((I7yG#iJcG+j%z zVEz|!`ZkTT-a&FDbYvVY`nxm1V7)N&)VrM5xz0?2e&5tNeGdD%Iwg16 zJ)HbrHIDrAYui%})v&DP*hAK-aP3T`BFZXCtOXkn9eFklwrL-U#&$<%0h0W-pYGGb zLvt**>^8^KP%p#f#~u#>r0i>Y;;JkKAx}C_2Wj5%RN2Lrag4C0b!Ia$u>5I0?P`W6|HAJ&dKan{06Y%Bv)Gak^lYsN6V^?2oI^!LWE~0BFuVj zc9DQv;Y`AkH+$$&N7RDw`e!%-iT3JR=;o}9MOkE(_|>#(t+>1VMAK(RT;A4hIBUnO zh?I`DIxe9TipjhG-Qzf64r$^T{_)2pEmt+Xf`7ZA7OuIy#Wa4mUx{$^Q2~>mAOEG1 zOC%5ku=!)K=@H{aH@q`Y?D#JlQE8^^Ggq$)i5fGvFM3)ke)=<3h^llVK8=hYTy*?3 zykOs98Y}c^ucBY0K^L^~VQkjR&M~fHm0A)+5!NYS`$BfqnN)}0Tm-UKj}^=%*Oy|} zRzo6Nr?wlO+D5NEElq67h0d*PAD!ZU6n3iWCc93Cp4(zcJNH9tf}@1h$oALS3@7MI zvuCZZE;hBPNR3cRn*e}fX3MyrzAOJujWam9)h7VC&GQryRB68ofHT`f%~|Yv=|vZf z6)KLtVNqWc`yJ?Ir}`y}ekLakmb_f^Up5uX8Xzg#u-&*`R67pbux$}3XaS&M!-A|e ze&?mMAuU~D@6pW7Ul3*;SXz6V7N-|Hww<%;lAr2Y-Z_6tR+Cs`IzPnLwr944w{|z) z30~bQ(2Fg~vg7zS?Ex~Rx|GsJ{fo6N`RHv^j1g@o?Vo9RwXnlaoxV6J_}KCB++ zVM|R6c_qwnGUE>VKD9r5{^w_}t8;NXeH!SL{%;Iv&92^7^#+!A)8G+Ua}y#43A@Yp zOU>@(N(DabZ5#Jg%)0yi6YWD{a9W6i5ZW%|3Ml88cr)!gs_p58y3ZTfJ)rP z1gDb*z)j7)EdFHtydWT=#rGrLm5)k;*P_MP_;Zgp?$uyYqM=oHs_tWX5^ z;%mG(#HVXG+4A9i7o5D=4{NrhkNv)Yr-_M$`_PueqWD5biNgzxaZeG;5s=@secbPm z(cL@~yNS_Q^055nw%VMLmQp19sv^=B#2Wz&BOIw%__R#-IJgHJ1&MgMi!`m^@kao6 zpMSY3btG>Xtp-u+oQyx@`(g5j>WEYC3SqJAd5A2zFS>e&dDNxRJ#q{*ja`?ZA}~W_ zOf1D;SO6L|p`lw6GZ0nH>6m@)#J97jzD(osFrjauTylO{T;qknkiN51L4MF&5u39Z z<#PX7KkYO67^df?WI8v!bp@(*IbZ9JYsAC$EPObJSBh!0=pR?zqdb=zpON9Ue~ zi`2)&vBEpsH9F5iE-X}HN81e?mcZn7Cq(fho%d0e4!<=@HbQOB z=D@{eEO}&6>Wdsn{|4KptcB?L%Z?et|F+CDmXu$PFFNKHt>1gn&`hzbv73*cGn5Xq zC$=NKM$Bs(a{Rz4!2MG~r3F>U@$rhqXNZZ5pS0NH66nNgSruj$>G*4Csl<@_ihK?1 zZ4qOnaO{EdCseDgNSbjFZQ`{MFX|Mvc+4(?rw}&|D+i2LI%PbT39E}4T&uk6x)lxyP#@^2KgT`2@k_ji zbRR~dRoH5O*TKoV#`?1S8qo+`k$$`mGY`5knc>wzAtxZmq}6_YI>2J6X5CcOQ=i7QACt zZf9KVJtNlR%qJ2qVhq-qwzAFZVgprgbUiy($?LC>E2qwR5ep`bIpLbQ999_&Bc13A ziVvFR9i@{lqJ0^ysi)gEl@ts%GY<}pdVIz=PE)DW;32)zCRn zxXzWxa<@xZFAMzCanrkRD-{vPcL65f)Aant4BygOSx9}{I{#6epR{oqs?)>)S?zXl zL4!!)AXI22D(`B%Q1R>~z$c0aBgf4amMyOQ)Jxx52}sCMe9XQ5V(MM7(Po08|2Brt zS`B~>g7Lw)f_mcAIbC!!?P*>pvNVcmWkG_a3@kEd2%^`o+|M)HpKvqT-;b9)rUjYjoLOqphBT^NHoC$KjeOQmn2!e7bX z&r8qr4j%{XuRX9V5Zwik6wA%EzZzqN<+jy6AU8F~lmfoyJLvg`j9m{7^NFe!%1~;s zX$p(CdDoJ0w(RJKrXKGF?BA=4ud{uo+27e)8w989${q+z{m$2mc5{1Fu8A)++U^*6 zxN>*6F-ci9^}c#oA<@EtSOo}AetCv1_bTlAoTV0VFT`-OX&kS(A=n%vRM+`3Q+IL< z17ri8!+;bNH#{sFDk}f(9F6#MDKmQK*>2Zw60g8pnCRTJ=Ai(6;sVPC#kh|9&Tf`# z$FqfF^(S-z1!$(i_)x#;?7sCuOM!$qh*60%cu9sxifgwD{nTRb~r`@517o!O^ zc8rB2XH$QU&E`Ul*++CXk?V-iEuV@u=Q&Nf93ce6AUXrio`&iEeKY^d3Jog4f~e|P z@r?NduFyTQIsLz^p_{v$Byx5xB$V4t7ae2<4gCRfWtCbC$rAm43G$xIgY;&E0|O^A@&1iK z=@2nv-Nv?Qw5$nJT6A>8zgswTJk~mb3J1dPOBW7pxx~5s3`+OkfiVAn9{&GqyXNi4 zag+k760M7VZ`I}S^`QmM@r{-1;&0C^Kr$GRPZ!7cbXq1wXv|j~U^6bLmUeu@-PE9x z4>wt;MGjrm0R8%H22}VKcMBg+(u{mIp6?o!Vj_=_O5em{vbeMwM$|e9^_F)XLyX2l z+zpoLj*OksMdOHR!IR)WBPHnI9Ic?P5Z9-EtSso|JBQ1b4|3OYUpo>M!PO3}-pzW* zb4&1O6429&%%OgyU}c{DbT2&<$4hU{G#$;m)yT)Ko!vMKihpjhJ_M83aM)j2+eI{1 zd@{aU-SXP<7xcVr1og0kElKu_yHq{ECnnoKzy!w~qHOj6zIQ*5zUEzyqPOaLy1!h&u3Vk`u(JRyHOr+!#~|Q8-K2&@GD{1CjH;{L;}9(RGlCHuR$A6n^!#+ zXk-#{OvStO?dw)ol3AH9NI~TDuUBNmHW;JpS+zu|q#+Sz zkWBSj-^JTWj&rPL3YOGe#Nih-_ykPLf7D&W|9kS57 z40b)g3i&#)?-8&*{N!-QnReE9yEQ(`;fKBUP@7$H0P)d0BXX&`{dDvLSmr+-fA$)A z_3Za&AZYGsZDk}#4?=OxHhh#3u}?gAcM`O&rVJ7dir>(W3_Q<)^BlqURrJqt;B*7~ zVdeG@o$iSA+iO71JclsYkfQ9~X3nb(|C#}X>I>V`=;2 z=i0_7=h2oX=k-VLvO|)4Y}Y`as?lK{DT*fOIny&9J?C9%_Ki6APxyWeMC%mekAgu# zl1IFM+JhbC^}pfYmA?1SY98gULiRxToL6AK<@sp>t$8MQla%vJF^V%^IYz;Kx?UE0 z4%9h*{gZji7qF*ZN6J@B*C!V|HuA(&c&-RuTK))4!Z zMa>(RRJX6+hWUyz4cuyQp@Oeuqg{su(UM1ot~E^6h)!l zHIM;6qDA{$K4Jm%X_0pESJN!xgxxBPXhm}~(rLG5XPlXvRCcdKes4h}=~~rhMDM>u z_j$vWD2Xd5bJTW|HbyDR0EAW-U(X=2mo!KRgW2R6jm56)j9pFcdCyt4yek@OB?hHpJV5vl_k!4@+NYZ=gd zyh>!DqABp~rPnu~fg9y$D?d3p;D~yxV&8OoU%ZR;fNm5{_XV}k%xDAHgqOi`w$o4B z#&SosT<7Zn`(zVNFy)k_$=?h-?E}<8?({uFrK$UQBGqG)h({P))6HX+7QB9?B7%s?fMMdY>DIcu9F{H}% z>_Z==eR9=iZt`6Q5sXVN2{ftK4LsLE=P&(0^HEclxQu4+zfCIipo@q@f-wHxZtX#1 z_t2*w+!ZzdqpS z*CYmO%x?0Q>9dL8G{ym?zM-$G#x zD|kB}YV(gyK&6OAp=kB3azoA5q8ToD9m9G2Pz1po)mP!)K}ILCz$NSGxrOb*n2GD) z9;_S!bQJ?#GMkh8uNV+0Wg4ObY;mc+oh$DqO}Sl{C98sIqZcf(AcxTf5=860HmHUr z2Up2ExHgYSG;JA}CjJ}41UaN?)zgcb7skwBoLcjrxa z+QY_*U+VJMe1m}YC|`8?zkZQQ`${DJp+DoGtM(;^`-2>v|^>+-uUtlj++ZSoSvb;QJ9*V9~R8YNE6Gn17mcAY;yEh0YfZ2YHhklflLm zjpnfgNS3XdjYvW6?Vvy4<|m1cOBS_{1dE~ka%mL7t;OG-YRiigt=G>DBSiR??wxyF zm`}amoQR1kE*~$7 z53;fziuj><$L~310uJqZuN`K8tj0s`JI~jO8wCQB8I3Vj;6)eFnc9pX^;0d(e(;O} zhV^5(y&NpHYJoNA(1Js0W23HqPC993B!vELe7y#SNam50eOPc*!o}`~tp;AuXdyRU z;2=+N`Wy%2O~)hh$oPZg)7WqZ@X$Nx1Rg(X!084#`egQt!LSs+2J+YU{|WOm49u23 z#t<8O;#dl!fwO$|`0ABQS4rJ75?>N`RE0I_nmUw5(MnGhk^f)yAu@umy#|#UayS##-5+}@S3Gh1%#9O#VMBhIcR}&z;WYCmPljf2E(NE*tpSahI>Dw z%+x*p;zwV8Y14~KUYdAORQ^ClQ)4H2Di$QjNrK91aV1cmUL#~(Y*2gvipl(Ch;K>l zi1IVag};6(fk(E*N)tLg3iSVG1}NsdD)WD{(3z7S`@jb_J!SU)f7DQA|5a*#MZ^(% zUt)sp538Q-|D&>8sXWjs_WRY2_b0}euGu8RLHQSytGI(-8W8S}D(k9IP+|GR3oAwD z&+5>pD(9IGAOYNwctRxn@P-s!W-B8F3qF$0RVG2=>(z!IFr?65N4rZ^5+|SXzX*sUNkiJi4?fQ zNseU*yvbu*%-C@4Z*$N4PQ+fOm5JFCNtxKsThUSkD4bS?!u%x03e`za_;t1Q z?sk4#5crK0rzz%ts8wa;vrBI%e^S)%Q91tDrsw9(d!K5(>Ejv=x~p={PCe<*qxNbJ z=LHwjXz~2uW5#4Rx>6auxZi(!^LA99_Uf9BK*We-m~v9$nNcqpvZKokA8uy&MG>ew z!6@f%eDb)6W9c}1#yzkOQ}Qu^$cPqvr4nq8*?ETZ-H%8i)jP=}gG6V}2kp`*?P#djwmv6x_}RHO^#_#Aw(vgdc)we922|QI>8M9^AAg{8wxS}4PB|bto}Na> z0$ry2Ntvas-#?X5-CpCb%QMVucAuL%KKIVE81N+yJ*-S(^7u80!59mZ$HUc=$M-3| z%gJNEa2UsAc4wXkb@;qRnZ)G50Lx?fk>;@+n1PuRh&FLBkHWMcL){B@lgGSfhG#{z zZt}351@qbvf!`+LIevdma;dSCs^WJdL3`r&4@DbN_0KA^MzQZ$X>-8G1PP1S z5IbP+5_TvSJ8!SnR6V+4+}2Ct#)oBDM|RH&I>zde!C0ATN)~q9#OiG~j@A4d$ExOJ zWp6PbnXd*l;HRChYPL4GQy%W5L0FBa+jUF||5BOta^gKpH+6{l3WUSGKdt_>gM{PJ z3CF)hnMD1UliY5*NB8Fqe%GH;?YI_*2hLyMoz<4}QA$>15){^3B~aKTUVcSt zsvF`<$j7`J5(h`5cDHogs@m_QK`K(W1oYaYCePJ+^>RUzUM@VG&N{W!; z=xM#$a#<)5XNiVc*YMX{(9-{SfIb9g2n#-crT#z?ubIu(wv}AoNJNB=DS0(^B3afxX_j1Y%m(`gm++n{#B;0s5`a8+EAby$v@YL z4Z9PTiYeE6TV880|4b`3yR4AoH1M!;`9BTKT9p3z_8V<$`1!bwV=|7F8z)N)JNV5` zlOTJL(w<(Z zZrG)K*fUmGuX5@h58ZZ|8kip|ek%d54Ox(`XM_yR|6gwN$TWW)PRRAnR~E}e-{LM@-&#; ze)jVVeSIqDiEiI^kE3UuEdCu)_<6iLVZRjD#%L+@>rQzv=9=}$ExFbf7Kgy#{NhAh z%`eg{VLm2G|CRH#Nl#hMgC17m`7u`F`FM$V)jGuWHrr*EV_2>a`y4Fp^_6rf(w%eO*t-Xd8s;4$ z&#iKFR@dGRt5Ux2e=ePCPpI@)!TI*iF7?M|2bBHwL1=P)(Dt^GW|Rdyz7MBjWWTci zP6v3L+XIWZNEXv#6=!vM*=YJbE!S+0YE9%iC-V63y+Lz4Z7)iiTz8?(X!;#KoVo7J zgA6fcS*V3;q6P{U%)R=-xNe+#=NfX1CP*pEjf7z{vkCH)F~NNV>^z6;zB`1^i3t+; z{`wg~ z01*<%+$-TYK40B=t#6x}l;Gmo&e07F+F|l5%Gl%ik9^Pb-}})8TOU$gLMR8}-77yl zx&oEUi9g3A`14*rPx+;{B>uJz%Lg630N!}NAT*xO8ejVDYrgBn7tDP4F1!xDEmXpr z>uAV0qU@Jp*EVAZ6Nz}`5wLrd|Ck6sct(2ajXF2>`EfkN6A3-i}Sbq8%Ord6WOYrP!0XWc%mrqWraI%WcmuvHP9^&Ztbk*0c#bD&BV zX%N8HAXAd?G^rIbK?Sl8lP+Yme4ZA1g$L})N4^!NU5|j_>(#F` z#LmM>Gz4Lmsr2{mn2WZ%XHc5%0mN&G(WDsvDnwKAYYDJD(%c;7=Qm)pQ7)zO+-+Bv z&vHlbx>JVbn)S#nx%S$F*;<0;_`>f6uqQA20V56Mcju$)f#>fvF=y>dPK;wCdlVQ) zWb}(QhFpA=WxMp_-Hw>(71afAySk04%y_Yv(ZqIjFl^TZgVMdCU$sowyvOH1NEH87 zIj>Xi-1?A?M@Ie+XJw8}OSpq&vpRL|tY-@ENEE)af`Z8omd!QPdCr)1;psJNUVeVl z%c_lxd_MfqlcxE0@9G6KI&CFY2AAbRO|y z=n;Tj)}r~Zqe z=?5HU5yOe?Jz~Y?^n1N=Y$j(s9+43)rEPO`Q?(6v7Z>ri$Zi*;jP23FX1Heje8TDD z$3mRv1D_s4n18TYjopGAiF7EICn^u8sCXL-_Re$r=S3w>-o#XqZB>htqSpE*gN1ugWk^KG8v zoGU)7TIlm+(q~1|=h+te*!~fH*0$8=UnPAWO!~}eq0iSP+4czcjQAW$b9_@A@DUZ# z`lQdQq)%)W^NRFaNjCI(wxvE_N;orJh08eI(852flf0XgK8srT=f608 z){BqLiK^Dl2fv#5u!mhH10PH4LX)k(EP|lzP~NRcdP~wLidb#ycY&Zpj4~h0ZlTXv zr_V;!wGZhrex(ueE5$YwZ^RM4kKsUJ*yH054n-}gY$ z2mHh**eG=i#m9r(ah;#5h06Fqqjt?o1n6^I2(w!7`M$&leHOIjgD*+!ONoJ*E%C>6nKk1i zNpgX`IBMY2Bb({mfYT$}t|9nrN@5Pe=OYd$RYM{6(j$n~qt6Rz9)-`iPLynHM$P&H^Kp{bQ#s&R1Q_BpTwtRlT%{w(X zJ#&bctp=w@w$aprY-d$}P$%uE7@Nay51)ljHrDzFx!9$oX7x=3V^in%XHpG57k{sv zPt%q)%)RS1UtdgooopTYR@vg_#0_uCanK~2;ohwZ>po>p)%qBGSe#b|&^yyLR?>M_%(zUeRnn%zv;)d=$aR8iTHdv4tIh@#`;+H^G%M=F5NV3 zgX8x|m-KvH1y18w7?;WwI7#~6g1%h)9TexVn-3z@YFise=pPt@57!a~m2DV4JBHvh z49@l;_&hKK4tvHy?Z*9*L2~lEm4K^ekHLGtm zpI=ISknL#8b3nW23J!c$u*vMCYCh*RKhcFf;+AUv^dSDA(`T#jDs0_j19*^3Hrpd< zs#EG=5n6q`T~!z3)3itW&43yCtd~!Z=;S_gsOIzaPM`hy=N!hT$v+|+=%NqL?-)*G zU(LtMHm?6r*#_s$j?WQYr)h)ZWn0x!HuNA-?h}DQ`D`76&x|4X%+g}iMmDd{#+KK~ zz1@xtq0iAF_)HAJXRrPpSQ{I7eRfyxm4naykGI?DA^4oH-n)1fq5h+(1%5kPu0Q|6 zjRoe9!#3#$TGeB2kK?mP6Nf!(6zx}yb12P;OrOhij?NrMYC3oJYw%gOKWLh({2Git z6?0?!-?(HvfarznnDJ)!O@mD?@s0?L91FbNap2an7~0wJC)@yIStA zJx<%P;MeV4)pJOX!G9z%!Z+)+uUepo#c7Hi!*7pI|9S9t^e6j?nd!k|HCr4&qAS_| zXNJ!?E$}my>CiNX{A{AY9I{LE^}73GgFBz=(dTEKZ1B38^l^KN9)13S!$F_9vm&1+ zeQa9@k24~EQG)=iCVjpyk`4D|HVW~KHqSKaR*+JUDxq~-A=}-r3(euZ?K&J}B2k`_ zY$saC7VQ(y(XqjV&K*fj^L2WpIq*3l0tZ^~F)g_k@T@k6+Y?S;R$~KQG6;4e4v_6w zuWUv(lF_dCb5G--EO5IdBj@tOdw92+o_6V%Y7V{IjmtKY?cI77DWg z{@E}8ENtPQ???pTbDyql*tgN6o<=csO2r?!^Pe-?gN$ll0jO!r{Y=8e2FG=;o@eoC ziX5u>SSv$%lLjs4XW%sPS?l6$ww^&rkD;Ws%P2x6r%$CSvMtavCB{c0ieEL(yB(i7 zLcEyX2W*-{KJECd6OQp|8mGn`|9BfjQU*)x;OtGf%T%tDZWiZF_qXkOBJp;w z{Bz-JA`!O_NmO%Ta}~DUCqXx+IEXz=^4yH9@XV_uiZAw!z7^QTKz_ z+6zIVQ5U0TP58`UAKwD!bAeube*t|)lOZ*g)Y{tX({{eTQ8@Miwb;2)Hn>rIZj`N8r3ikj z%7b6bYe{SlaorQ#cK-8}I!$N}J*+v7{&7hO-NSLD5r28Mfg0~7?N>HC>K3QktNCn9 zIJ_IXRrBtVG{>`6CY&BVM*=MFB~}QB=O<~>l3&YbU*f~{7uMDzX|Z(kP7j|)5+Cd~ zu6>B<i=v@KHr;UJCpbvXu-$c<3Avr{Vn-y zNqnAFi9Ty}kB$@-55GP7>`3~+=dk8@vzrcU{bT2I#%EOvKK5=od|qkE$L>*%O9%8> z)`E}SKRqNq$6E5S=fxO%@UXQc+M?QSKM?E_zan?s@gbJ##*f|WSdsL(H|<@T`0&h* zx{n-Dxu7MVB_JsA-Y#1=^C@k0!nKWA5SP0nu=iesT7OHVfE;YZ(A%l1G*NB)hf zo86k`kgrdC4oVnk8%`uu%_rI?&b`ES8yt(t+3L?ZLmM39!}Yph__W2D=9A|P{4=QC zjt$|TWdrc}59&)|EburY@bgI&x+p))!W3i z?Zqyt|M8YMiA|W>`TkWKJ|3qnpOp!TxoVdTw?!K&n&M0letT^F@nmcMcNg=p$);xY z4LA`ULMc6)aM)jYoCxmeZfK!AFWf{aj?PV7)Eo9!uxgIO9x zM-#Pp&7+4!fPNy$c1}lob;4|X-1U$iL~A&v*cla`;Y4-@jYsxtE_2CdKCP+cQpW zPl1f|XI>;pz1nelT7IDqe2(Y>T7?aI`0yM($z&VvwL$6;`|)ef)ff(CPSyH+gX6PD za#qOJ!{>`mA8gRZZp$1W;>Y+nyJb;RZ9%YUw85ALfZ;@NAlM_@XA&;D&r+_yNj-8A z*R!~0AE_SOxpPr7Tl4+RoVpG=&EK4A;LMP26|(ij!Kq{e;_Ya4?DQ~W&rgC6``HRU zfV+~-2jH~5w`=e5GX~};55i|u{n4onj=F_pwtg_)1Wm0y>WB8{lHK65SDTVS`HTy1 zP#omDm2f^8ERpAsnJw&wPe^8K%ABfg{cCAHU_E6nsKDuoYoj>`AG;O+&a9SW!E8W` zBHN|jdDKMdDT$qRik;aB630lj4Qx)te(>3mZ0+_GQ*ML1TiU>`halUA>iMl!HsX0) zN(>U$^yNHY8mFBNzCDE$ab4pdLAukwPGipEjc>>0)OC=XQ*GEWcd2?Ft!2iT8#E5k z=X&CN*4f~0%>@-P+A|hTyS0R|ZTI*isi1z34gOBz!``3gL+o2_kzeTE!{_Pb)5XF$ z=f<|0)whR5;9&bV&Pz5bSLoA&_|c>f`-GbPL62;DOgpsUALjWsd~B*E-rAlw{(JQ$ zp$}u>fcAYHH(jSlkMD(V@h(zdldb7G#eYcp;2(4{995O7{jJpVuoK4KGMCD>Z^tBFP|5`nHZJFgxY z0cW;w&UL~2m>+^Ezqf1p?*J^Yq z+l~ScoOQBsy%H#W=)WjQawW?KxGULz zWMWPPC(^er)%wJJbewlyaWNXPy7lq@cjQ~uVY7rW>iJF9`0aMj`<~8|QZe2<><_Nl zYSxQ)J!lRqi^qFfN^j4KkG$Dg8rxgEMn7k5?Yc>9xBvSU32|P`T4Blmzf<__HGs;M z;eU|DfY_vCk5~S`Fy;_aDGUB1c1@NqxPJr&zqQJiynblIdP^#4j;gJRCOYP1S4QH0 z^78!hlXVeh{332Qp6OQw^LB|gi@lyv(T#`HF#*dSqwU7Ryx*D2Rv|(sW!-_**~R9- z4qC(b^S17X=j}NNUbj8Fb(n^km!{q>Wz5e=Ye?kSF0RM5-k6Ur2gHmwSH~P_*+}a^ zhLz*UuN{m%A4~p!j&WVq_yu-u{LOZFoL@!mQ7O(Pdu54Yy;VJ#dvnTiGGCK($}sRE zAA@Gt*#T%FL4`o?rh;yp!s`K^a|2 zJmSdn^ZySNUY9hC&vfvzU2Ja!J^1fRj@M!b=yne?7LqGaNyJY>i7st^Y<+GACQqh4DaRiKU2JZJL4j8p>*rp#P z>d>R~@B2KdV=nhTKL73U)<46Uf0_ZYFMWTUbeJ|lCSzoXSitjK`QMW;5Lv!v>qBu* zuLDaS`95KSjMqu_P1|U+Y5tT^npaKf2a^}82CPLqL+MwQ87?cAuUVZ?Mn=9bIo<}W4BQu%etD_5^sUKxvThms@3d~#aZ;&C*clOpOK_0%^MMwUJPbz7Cfc*@zS$#0b(@!jic1({G;^J-wf%_%3=t<--l38L%FxaLx9?f?DO84gyNJU6= zGr>%Q_K&E+7tt;oz{$emA{~^#rymi8BbGizYe=(#0@tqT^@czO7N9p}SeR(+ zLANK;;eS&1`Ippjl@$d=xKP9Xfa`D!1W%XxZzsBL5a8i}&J#WWdD|M}TB3eZ1Q3Zp^cu0oa%Tk#EMKi4Sh{NK zLnF4(R*fT52&&v)!F}V8JvVRO`&5bP&2k?#n^WrSu_fE1W=;G&s7h6WM5jene@J~y zkcTHFNX?SUuCa8?GPRjwOc><-v@(twe{ALWiy!o!;XD5Hs8Yw%g9;NB$1J0>MZ&6Z*aITmC&{(~-COTfP2&K;OE{A>0Uk22ns z`o+gC^-1=4dzM4wgB*c`eu%Vk8nQ_@VLvh{?6*w{d;X-b-E=dA-foV#-^`WDI;;JJ z;OxXE-m|oWv8rOBWzCqY3>{_-67`=_8(X(9%i3!FX*HHp^$E4%wiOBSEhyU+?#eTR#Y>+)iq}i^FV%jL{H>O{1ka~WF)LXsO zudiUtWrmXR-xd-p(V8)>i8;c5r-Aoeb@ybj*hiH+j{X-q@IzaojGRf1_J}C_lG@oW z>D*V7%tNY)IGtPq-!4Oy_}?a2!q;T^P{3N9^b803!N7Qn%);ksApE#;M|dhed1PXy zM5b>C`7?r+np>cGL^S84JxOvcHxEH45D%5~gXS#FNM7OtOZZwgAJS1ZejR^@uT8|H z@Ud;XzplccFvs0?_wMTc$kYs8lyhnG! z4}y+VYr4)~+F}#v3EQ1@w8cjBgze51hQM|^{2{RW?91zXmL%`9FYklhXJ6h2dx&Ur z9vd>)lHM-Xc(#dP{nE9#$HcF7OV6j|pfsI^rK=p^O}O+;xMA7UUZ-n_7%l^szHd<` zvE=PnW{`$!yAO?gi*R)`;x8j{{dA$SN2SiB4XV40M6*wDKBaEuX2tqBbeilV7e)ef zc49|G0=NlI9pO=E&C|=DdG9=bi2^L2INqRa7dku@U>zzCdn)sd#I{(mo>i*w@T^k> zguQAarp%DkK_zqb)2qXV^L}M!`EX_v5OrY5BbT8MGO2@Hdfy{gaqdD!ET24bU(SYT z-vV5whS{Rn?U2BtbO+JLWVust_PIE? zS9SbKiWaN3o3&yC#*g8EXPB{`e{U3y_fK2dIQ2o&LG=0Qq#8Ir#o0P&8)$kMXFH@4 zC$^e};*tG&eXK2)Hy4L98PfIEhx3*j!RJ=CwQV7;x2n_-QE*rrjjwyZFZJjxz~Nqt z;lv(2EV>ZE@%zNKIIMF`e1JULvaH6>HFYZZ)cQBZ+Ud$rAdd~ z!3w&hq2B(9es7o9B}7SIe#DCTkJ8KO@tp@HPxJ^2QjwN37Z5-3s#q_8A!*DA((kyNEOn9p+ub6>&`YGdOcN#}L5$^qG zybQO*GdQKkD`jbmXY>Z;ceC-l|4LbICD^B5(rrnxy0jq`n`gq(~QR$O)um)+Z+z<7#7MM#6JE2D;_vI(!0^N9Li zOtSb@)XN#Auh!vu!1ha~C&OG2l|q)fdGJ<(J$oJG#J=npqb2ywvn}fp`djjEn9;t- z;WfoXxd&rcXlW2UyUGG?#EVq2#PK-ti*@AmIyCW1>q9`~?K&@~w@dlvT?y-6$Fp?( z@VuNQ&8dK;H7DrDjbmt@>Fl%fp3h);MBZE&anIRp5ZpZlY;rD$0R8sL$=NDkzps0% zj_Z81LNIUZXtTJVFn(ts7!v%VSip#v84Yq~y1jDVN-*>LBMRL=M!jvyN<=c}2Yf{c zi&$iH23|g*B{L*{38-Ya=m{?C6Yb!BoAN!%db}$ThC9moxKr%|IyR<=)P3rQ-@-#sDx(KW&Un?lYtan0wTH)`Kn`gL z?3Pae)bMWk1c1jTwm1$CLq)Om+g5T`tAy>I0N|#uPi7q}fnhar!fyG*hnL)_NpknZ zN8Yi*C40tt(+1!D%Jk(402MKPh7qeFYmdj8abOF9lK~p*9Cy9ZMT5;6{^49hw%r%p ze^8nB@g;i6QDvNAZN3N%`Z1X)J@&UItB2PlLuYzK(ZgY?Ah$m%!G27gY6Te;`RS)(6IT3s@;Vq=M}a$?!t$TdNU=xAJ5$Q;ZLjB6UgyYb#aw zyrOJ&jJ>OI7;A<@-Ee5D8$Z)HBO^9fVe5W&(}zaWeDX)3#1ovSQS#!Iim0zxV!yPio&3PbvH?J zgvOZ7?bLE44uhP?k)Mu9L4b=aicHSI4Jk&hd0AGIW^HpMR8)2UijibWBFZkV;(6G6WIymsyEE=msV~^+_RDGSw zV=CvWZJ}uFRenJARchO=l2|w_Il#G~a<1T)M2qJW_N&ik`TeZgu-6Ke`1FJ_KE5jb zE~+H<1im(=_ARQ+7SHV}@%L4=9hJ^!1z)ASQyKds^CjV)R;G@hRtZOc*A5Bqpeopw zr&O0o4(c*R*L>iQH;Lx~^|N>=%Q>PySAC$fNA!_}H6EGq6)`s_`389`6XT~u7hVg% zR~=bP`W#Ov&sU%OL~EI7nIF|WvR3WTq#rs`8jrHvpbqpYv)7lp#o8h1L|^2hY&9RM z?>_Z4Uiff^=+Jhv<|X3mq+~d&5H~5+AgYSIC?mLw)YpiV5TnS(1Q~HvD&rT)nWZKA{PQSXzOu0*P z9+ceY#qZSr&))g!wiQEhSQ?n7f<3@MKp&wXAfQsCDq12n(z0pQ9$=AHO##tVP*5-s zFfdp!5Ks^>Ffh;uDA*$e1Pl%o6ci3j`@Z-(aol^Wv_X?*>C`^<`JI10_Em4&6#fRM zZ{Tax=P|K-gIDegb4T219qy5&U74o@DuPm#EqJ{tbG|>;lFuE^~doi6q}127h{$9d!sTbum()@gD7nva}fuI#)6nrr(lNk z4UBc^C#=u#^%3(m#;)m0pZ*9MGQOsdwS8ICL=((MFe7x&nAqU<^4f=TE3#@o`?vFL=5|LuB#2QuyS?! zSOF{;22~22Un)r6i@K1uDg|c<>2bUJ>YbGv8fji>RcPfz?;q?XXo4!DXKQFxXyqto zZS#?A3(@-+7mo1=SI4@MEB6E%bPw7a;oH3z8{vEVZ#KfWyU!nrckgV6yRh8D_3{>XCj5Ri>kx)$X^|8K1Q1pom5|9Am(Q_pJ?K@@&%(%Q7?@2F`jnu`iSA%bGj zP~6>2k}b(jGCP$9!L^{YMF>buQLv&Hf8ZrYK|Of#2fe6J52c>$&6EFtLIv?4)Sd(p z{ARjISgnD_x0&yI-@G^TW`x=(MMS6TGh1!IcEmn}l`%axXGrD=W^u=rV#<;pbEK%W zQv!mOjhnw431k0Fu$?RqQ5X1UFR!EldV?ON&lw&!Cz&O~G>!ksqpR65t`p++q zVDcCd6miK!v4?I!v^bGk;y~pT+|A-eGfHQ$zFb(nQH~M`M9|1qM!gh}ZwAW``&b$~gP#;|lOCVieJ;Nm+R%F8mQ3raI{R#_P!v zP@Ph5KyLGx-t$xJ`VZBdQUsf{xraV?H=Aszf|DYJ4Vk+~KatV3t@H5FW`}@fSpex+ zd6x0iJf&OZI+%&%kz=XU#9kT?5q*xP4@Z#FjrcoNX?IMG>l;Ld{xzDcRTt)GtCub& o=BrmnC=RX`I-y>yuIm7>RR)HoWJudAs8^griA=bE00030|8`lA{Qv*} diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 59e52fd..35f7ff8 100644 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1679994398756 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1679994398756 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 28 05:06:38 2023 " "Processing started: Tue Mar 28 05:06:38 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1679994398756 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1679994398756 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1679994398756 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1679994398866 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1679994399077 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1679994399077 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399112 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399112 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1679994399175 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1679994399581 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1679994399659 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1679994399659 ""} -{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1679994399690 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 12.472 " "Worst-case setup slack is 12.472" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 12.472 0.000 C25M " " 12.472 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399706 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.383 " "Worst-case hold slack is 1.383" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.383 0.000 C25M " " 1.383 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399706 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.331 " "Worst-case recovery slack is 33.331" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.331 0.000 C25M " " 33.331 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399722 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.115 " "Worst-case removal slack is 6.115" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.115 0.000 C25M " " 6.115 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399722 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399722 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1679994399769 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1679994399784 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1679994399784 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13052 " "Peak virtual memory: 13052 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679994399847 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 28 05:06:39 2023 " "Processing ended: Tue Mar 28 05:06:39 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679994399847 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679994399847 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679994399847 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1679994399847 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1680020967867 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1680020967867 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 28 12:29:27 2023 " "Processing started: Tue Mar 28 12:29:27 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1680020967867 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1680020967867 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1680020967867 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1680020967977 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1680020968133 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1680020968133 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1680020968165 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1680020968165 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1680020968226 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1680020968665 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1680020968726 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1680020968742 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1680020968758 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 14.455 " "Worst-case setup slack is 14.455" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968758 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968758 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 14.455 0.000 C25M " " 14.455 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968758 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1680020968758 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.374 " "Worst-case hold slack is 1.374" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968773 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968773 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.374 0.000 C25M " " 1.374 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968773 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1680020968773 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 34.082 " "Worst-case recovery slack is 34.082" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968773 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968773 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.082 0.000 C25M " " 34.082 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968773 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1680020968773 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 5.364 " "Worst-case removal slack is 5.364" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968773 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968773 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.364 0.000 C25M " " 5.364 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968773 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1680020968773 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968789 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968789 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968789 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 974.000 0.000 PHI0 " " 974.000 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1680020968789 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1680020968789 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1680020968820 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1680020968836 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1680020968851 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13053 " "Peak virtual memory: 13053 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1680020968914 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 28 12:29:28 2023 " "Processing ended: Tue Mar 28 12:29:28 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1680020968914 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1680020968914 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1680020968914 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1680020968914 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 691152f65ef114ad151543d169d2c291fe78f040..eb993e585a5e18b04fd21684c999b593e835a69b 100644 GIT binary patch literal 9936 zcmXw91yCGKwy9Ad63+@)&cd3h%ZnW>stTsSzmO4L^{goCq#{dizU9iXe5jguoKryv_A8waI?hmE}jB_BTr zC5Iq87Z*Dh2d5yVrUIpsjXRK1+QP;iHus0le-e6h|CHeDU;lS}!Abi6+Fq}I8Qa9u z%6jBrN#scr+M~beE@7#os%)QGxuwEEskG#^9y_&7&%pbnhE~7ETNnDEp?iHA+xypL zGioHf$=*C3PQHP2??(^eWzUu~;l?cydU&_E0BMK#B9h&}~KZzc{M zlttalH-EHsZJY|3LKe``g-_NSHryM+*66K>1Q1spM-D@++P~mjHFRH|cDdapDvWiM%2Q)5` zH{5xS2+JnAJOr=bbe(+jIa25RGhWR~n3_RGY)a}JoVRebHfbkV32<9HZ^>7ZpSU-c zIFw6l{>^qJFDLFhfE;GEzRN4QFSdwNjNC5%9`mi!O$)0DibRT*g1WU9R!+x;iG_vw|H$bP1Av zgTu~`Pq3sXeu#wsb)!OWqFIy+3(vj`@Jh8%1q*fHBBTw!%O}hk4Mz-N$rAlWi zYa)hb;g?6OR}o9|o7o1fo=2Sr!TE#iYtzyl^v~Px;xoP6HWQc}!n90p(c39k(Kyz& zxf!RZ_kH#JacD#4C<*_5kk9=_#V1#O+U3v~DtK_^za%6z%ovCdDUL$McmF)U6ROU8 zVc*gbV85hKH6tcO5pwPxB_;6iba)8yv}hY#A2GB@ zrG7lN_ua)0mBfy#87eRu+DaU-b7?Nb&N=VCBo}XvN#xg9p( zPIO@`0xu*DEl}`Q=}uO zvX}sfUvwkG=59`(T)RORq}_>C+)L>g?V92%b^~{Sly)x+zKq6Yq5jPF^n8uwGq_Cc zq)?Jek-DxOis#Vity)BCWKJ5#a@~NGQCQoB;R>9t_Uc((rS_QFBP2e2a*=KW;pq{h z>ujCNvI@ z`E`F2>tas9wwFxrgDAYmSapi8AX?gMb+69g{Gkqh_|b@IhWIeK8dGz)jjMCCB5~V= z;nu4JIXSx(&kj)P8T<8-QTn5$hWq`M-VoIYJW7B_%CDl0;=7ie3iK7+*B$*%2{q~6 zy%G0H?hpIwz|TWIy=csjA(FX)Uvj=q=tV(cg~oLXQyjsy7h?L0gFs3ig%#D!6@7b= z{C1NGu!r<>iLhxcsN!QU7KuB_D4xDZ29xcdTIm>f_dHyLGCwpk+Tyk086*t{ZAH8jy2F` ze^Od)@;r&V9fa>LHwX7QCbUHyjU;%ymsN?4fmCOgMrv@yr1&~KX`|u#n?cFpZ{3xK z$Mk!L+hx4fn_JsYr)%a4lc4YGHWLar?6wGUW$$xOWzb^_29+ z;Tj`?BUr|2YsXs6|f&zQD`UflTgFk8Zn&zo-FK=XSu=wTnHyyBq ziV*n=LpE*-#Qp@Z{p@SaF0P8+N4amSqiy|y+b?$OkbtNuwPC-y6gQ(zt;6v*_WdUz z#pDRenXeU877b$Q1EZvtdmP;18G@surk3cyt}O46Q5p;KpR+V-LU+HFlF2K`o*^zPUw3=krWqUYamD|?CM8Tvb}-8fpTJ_Ry`nYE z<8~0+^4%7RF1(iiZ_b0zfizm>kS$0#8w(XukX2;p_U1iV@zyXb#Vdd9F;FY|i`+?C$s@KlK6J zl5=-sLUhrA1Uvj5=t4j55K65kdvI%)SL{@C*3gE$m6r@4NjYw;(*?9UT3f1emL^KT|v zo!#16C0B%>0*`Kb!2|=hEUx$UkBMz8&XvPKlBAyEB+Q2rS4$rW%+iY2a|<}UaUz`4 z7{$u`nc3;(W|w!2MSaXt_^)MtO~*aCwMjKAoqF!)WiqR+4j~EpBqCenXvFt-S=nRk zC?3$4U1wj&r6@tceZbbf zlDez!H21=f?qXvtLghBw-6Q>)lYqc=B61%B389$&Qk>2vPt2Bme&6INdXtUaH(OaG z=xiI_X54|l5d?=iq!68^re33jmnPkHTTa>vK{l@%SLM>?+tj%dBH*JmF23-%lcHlh zNi9%k5lqdkfTb?f-U~^q<|-wPK$|jqfxFlv*$3>iVCW_jff6(zI*X{JnC!?;Zu04t zIh76I_twE?ZW1 z&Y10`aYq9j+^z^uL0g(e2mabO9J0`)Xy;R2VlD*N{nc?VWN>mf61|l>X-*4eiOQOl zmyb+&og$4Z16cnRA$j>aMnjvfSTckUN+m&EUN#60OpRN^Bp}{?oqdWk8G(b%8u%N3 zJ_=Y?GU;Z4;bEMG;*MBDh5p?7<+PmJ_H{>{{D8uca4hLLzO4J$NFVR8v`g|wxul3S zQ>)8p)qTp;gQhQc=Y-}^H%IJ>T@3OT2JLjj!8t*iB8?4|E_2PCDMrMLRd_%qmBGSy zp%zKy4navBX?X$cb#s%rTgmq%;Mh>Bi1cCWG*t$c9rBb zOC1p~K0BpB^pwl>kHZS7?~<5>)T^<*Q*=zGMrWnSUmXyfIntaQj}ZKl*i5o?pFSsp z6yJV_L~^Hmo6SLwl`u{W|CrxDF$Gd2*rj7sQ*yJ!^C}Yipadd@5FQcPkyx7LCdvB- zYJ3#lfh!LIc9@p5%_1$$A0#Pf6(9DI$!CdFCVKHy*1QLkSm2(jUIYscIMW%uaauHg z_Y3e#UAY<uP0yLeE^ejST9zT}$>!$c7qUxqj!zClNFrCjG9mn_Y zyEtV@wQ%D|OS)q8w&XNxu!Y%eQY?3@Hnu>P8RLGq;R0XX=G2*T%bBSqMEBHj?Z88? zGSZ<7`zjah4lavy*>9zPqEgVwBMMFHr~7@{SwVuo)Y%siRNUsUB5Ke84ej$Y?#qhm zuWQ#7D`_U$Y#L-h`a;};6Tb*e9hGqElWIJ{qt%x5kvJ8ZMYUL-R{vooGB`2e5j9nc zP%GM)-HzBTgr~KMHBggEh?Wv!rS(oJa!)vcmmVCC)I;lz+*&QNt6nMOoDuJT=`4B@VcJ6wYOT64QttYF9yT~ndzDRpA z30TDME@n}qlI>nJteK{v^QMsTmKl1p&Zg;DrUcXyCFQKMCVOAtYxk~g16dUq`qr|RC7mhoLQ87!LMFF}eyh=WS&GW~ zZds?m3jRb#Qe;X1^LdPpN?2k`7%=b=Y+W?L6tk!-lo6A&t;I#+|4qrTmhWMHZ8YTo z^$6jEq4ZcZM8Aw*!@I(}h=|_66S?GpZqs`jDe#TqfoG!ifW6x6+-%C9+4|`Z!`yVq zIq{RfEGdECT)4rC_{ve9s0`*g(laY6_{yrE$t}~5N^N(B*(74>|fJ#BY=J zk621lA<0vPxKo>Q_?l+RqfK0F{1JuK^n%-Zocn~6%9ZL*y4K!OJz709IQ z`#Hd-z-*GFr3611P#n^i7gEwUIWf+Tz>fFHh9!!X?MLDg$#2CXiBuHGt2qrU7N=>3 zs*dNiBXP#4#h62lhn%&G?X%!DO0bPTG^A?lc^#Od^Xa=VaaODV|` zGgtDS$PqI8Hphmw#lRZwm4v0((a+!JcH9SoerZ40;1?*!%5AEgvgBR ztbzyfOJYQ*ytVtM{$d^VoHV=-`5dHl>~SGcRRdT{sj~?h@NeR0Z=63i4c%v)2j{jP zUY7U@R&RoOw-bpCHba$+eJ~i#M)y7Z_$R!y$gJIu2GzqmUEAqC=6UAC^S3@yzj1S! zO|>>AkM+N9dfo0L$b_jHtVu-X{Z65XjZ?ypmg?`1WmoFqI>ihwtTk>`DXn)`TT^Yi z7&c>!WRM!6-!`|i#uqXB+f|EXGF9;-!j13zg}#6dMuhMC6U@%#UfwYrZyaa+ zwt0iqXzF9!;+Ia6Y9P&|lGijrGDz}4&Fkwr*T(7;;=7uq;IT%p+TK)(>j# zrx4)>EGrUR;#81vN;Yv34)C=~jy7=%{L@tAEg7T0Hyjn7;%FkG&$7>No${NDLROq& zTs`HEmbJ+qal1@F%{~;MGoiVAl|Xc%mM=%ke!=X%aF|tf#~T|y|EEh>Vn@_L@NcQ> zE{lrinp>5sY;-+dU{>Ez-a?V?!1M)>`k?1SF7fAa>nUT3saaQvW|D6qvo4udhsD4D zg!r*K=>Oei5;z24LQ+b&COOSmy?2-%N~c+dnXf#rqWavD*M}4#)p+dhQsr}0p5@*y z4o-*99JJl{b#E7Vy>}GyVqidoh zt`Pc+%X@C(9;@Vgxz)IEKQZh@(Peyfi>E24c`{RXm>ieP_=;L{^zKg%Ha2^t36U4< zjew)34eQ6Q7HW!5kts47XwK1mw}K-dvS}bL_yfK3Qi(-vy%)k@K6)7f@6u-`r&q}~ zO|+ho?}8Hd>>W#uqh|er)5Us=J=Uukl8hCfV?E`mtZy^gx{0!JTM1;Ze4GTVnJ7~) z!-Vq|kPvmFa7VE_XL zm)8UQpEfL^1EDP&rubP=G^sjg0y(+;*q0liIa%qw0q{Al)kUvr^6|R>YKM|^ldr zEJR%ei{4-f3@B7-DJQ>0A+s$$L^1+a1dOhAf3@fBt!{wVB#Z^WI-Wr}OB9e^H?W{| zT|DSxtIian@}%#*ig17;*Vu}DPDrYnBBc!H_+i+}?nY|!@F22&KUHt;c%V?q28k(O z(S-u9Z*{*&NK4yVtnAWgN~sOup(@Z&&z330fI96-cu)PBZIq>-ibuXa%nTLi48KGE z&D&LD1PPTewuBtjYpAonQDUe|MKhXV!jaiRY5692N6N z-PCgwOhMMthJ3SahHCX6)#ueCtFtzeN@|U;TD|u0fxpxT0cRp}Hv@&vfjh2=l48 z&s11u0{O6N<3TXqlmP*X-dBRq!Zn{LFo@%T5*>tXG9zMwl3&pBBv=myugrsNdCA$J!bR|VsVkUBP^oLB6}vGayDiHj@ZZz z20F@!rhyxL6@cEZKFUP{V{g5XC-d@ZZ&zVX0>$cgm1;?-E48~YNs@l8+4aDS%8uOvFEG3;(Mu>4q>PC86$iuqXGE^&$V$vdfdCisO>*TI>t&UOGWfgpHNt$VPZy+oS4!edl zi{S6}D@M4spdq}_M$ zAcZ6o*>@0nzC{z(PI6dq==k=X^j#cfV}r3cpgewhw~UX#M4`k{aM5~~*nGaAr2Iw) zq-2LKmmfQ4uguORAtKWl#1t}18ma-hPsA-wbgrywqr9*k<5HOQQSRF!)>b4n1oL`K zg9h!4WeWSIt`(w9%5p44MrgVsFS)k$$YK0Q0r&}3(2*>A-4pnh4k%!+2X zP=nZ*xMb_Gf*ZJRwqs)ov$m_RkY>TG1K;U%K<9X>(FW>d6!wCt$Mpu^>Pcnh+C5<% zk0$K1cJ4CtQnm1B1OxVEu_8TY&+}gz-FRdquC_9B%sCwh0;!dObRF9fYN)_DSIoIu zvMSsfDDD*sC=d~#-l$e0MI zf^-g1xVGS;*A`NJ_vE|ijNAalw~WJU>Mo%~+mt{YynQPyM6jYHbkDcA%51;3uYspc zaX$7T3O5ptaXjq97~9AlYGHzQg#P@OM_)J`Mk(=O_&NivsnG6O)2_$=2qf-~>-_jA zpYLaIbzg7`D1l$~7uIzz^yg5?syS&w8RRlP@m>9@=&d*wJ8cwekfTD;xa5o~Y*IGk zb0@%Ad#&;9YcjH>IHXWB-6#3rr0e|)fn_PVBp(Wn;YHx$^8lqY@68ROnJ0p-2}R7R zg7ImrhMVN0Hxktm`)zf|S4rcNsUlx@~ zr|VlJ+$;STwXJG;8PXZTC}NNVZqX=*dV@i+^G|_)E0+LfiY>}z2{?@;P}JkOdO~jW zC(|*4c@khVZ-OHfRsS_Yz>EFBgcn`Q^hF&7Iz}xchHo#~hk(iG-?QzZ5MO@G6aC** zp+kx{O8;U~nyZ`iW4b5|ig=kD7U3X`QSFbw@iH%(LHPW%D{SecMC&x(`$ z@UK(RqkjTy@#-q9#(7I>{m()lq%dN$sDRy8g6pyclbY;+0HpsdUyr5ccSKbz`|4kv zku>>ZIhBm;<6wc-zgL%M7gZEev(? z_XA(${%7o@EYnfXyT5P$-ygAvJx#nVoPXxk6DYO+*vtKI529%j3HO!q-EUjuBN4pp z1~i8Ruq?_bPZJ-rXJ=@f`BMFNYM zmL2s$AO8!%dZ(PJ;+g69|LP@FRJw%)MP_*QuhRRSf}HnUG4~VyL|-EMfK+Jxk`2yi z$11Ywi%nj{5jyCd9XQE3%CqQBJaM`2^;`lJX31#P%_g&9Y)Vbe-d<# z`L{7L0z$#9g#-DbC$YEE<=40+A5u{rJ}FoOl?V?KXiRSz*WFuq**!|Bgd{Q zImR&zH_n{wdZ;+;Lp#t_D~#tZQo6H0sQ;Qc5!T;3HG>yb%Q_`b%?qYL(HuC>MX0>T zRU0JIxV)!Tiryh1#!Fi^F&Q;H*-gCw0?QE{R_5^N*3P916kgDc_%ZDlbEcr@mlViQ!7lRi z2%#cnQ6KCdm@oH)l65-pT?J!<%jX`;+_bBPFAb^`>Os7B!18PT*(gffTpvcHf)9>F z+$EC5Mu0f^tw{JxH@IRWbx+p})$UoBKf*tKO@Blg1SdzJa?BfXTNCn^yhrK`xDXg?eiV=9e-k|ETJ1sEC%xg{PJam|F51>y@w!^ld;PK% zxh;3(!+Gc*?0^&}wr~=TKG8 z3{`k2qA!;8Aw~0VFn%V=HY(-BTLJO%4Maz%w&%rhLT2mNC-Q3hRMQ5;;j~1w*oy>m z1zU-kc#O33Ev}Zx=KO3Tm9;L1oH9WN$*^b6l2jkZ8<+Un2wO)shl1}UN|~U&tx#pH zU+ABdsew3iAC&4N9zZ;FN9?ZQ$*>b0gOq1w*-#;SGZ}o|yt$J(_8Ao?shkm*X@7FcewIww)s&?bz`h9Nl*~#8p#EWHuoY{pt@Dxdy2l+x< z{7B!bee+3|+k>N5Dn!XBiTyUUan>XvMc&MGOChOO5gcam6qs;C!E}OBDLjMi_NY&G z^{`I4no!|fXMEdjYLZy7=y|owDi8b6PUh6u?$F4(QfwQhJ9XWrg#CE`y2Z6+rKk}Q z{$}CKhc(y=z;!-)?iffo&Afz||Js+BZYrF-H?Y$_O?KyI(^g?&G72}SDS$d$I6R-K zrEaBe=l4`A2azbX*PTrdnY}O7Qyzw{^yq_&w*9Xwkq)~3)&)s^QYNr9D(#tyMq~3A zp9>*{+7D&PQ*W%t% zXvl8A(hP1^(?lO&lc*20PF_81;~j-(uXk-}y=oU&a|wq1m4Zud)BZZlxETB~fqFh% zv)9KZQtx9bHU2}7g~v`{aX?w;klB+-q~1YBBGisbdQDFW>$nWJCMT78evZ*hL`1s6 zPNUaHCQ@$#Hn5CagI@IQx&pTb_I)M$c?GxTFPn75fJX1bBW_JcI`#ZV*k~N1nSWrU zo|7WhaV4YKlN@ZlEb4iT;&0c%u&!97o{1{faVIPijy}Ro7$uTSJs(&4?fNfnjTa>g zU)TG3SF_opHMN3P2D})9>)ocjYg1-^pQ6e1i*IbcZp9mQqqqtwk5_9Zaab*u z%M+rt@*)R|(6dH8WO5LGw#P>3$x-h9v{(-tdE`S5_NN?^d0Mcs-7zUazOl0T`XvAT zQy@%>q6qoM7uHqz{>elR=NJDB{9_Ey&j1nS>WNb1n`WM$&VN`;1H;}}BL{CG8FYUV z2ubB2jLoM*3k!as7+$F8RF1{t88m2>D$Xwto3HKk%GHgf$e%sGe_E=o7#yH)B}Etr zO6(g>1)cnJy}{Pyt5eX~3wGWEjN=qi9~Jpcg79nMLPinE=86 z*ESPZ>y{i`s%2po7ITqf+Y%Z{1?q#jf6dbxS;h5zzv`BNc~t}R$`|I9=>oke$FCJy zv{crS+G$W7P+zAv9oD4oXD_n3e(4^u7^VWHqXmLet1o-8euVsVMq)MPAeB@kL_?f{ zS+D*=5weO6XL1Esoib2%UHpS*ji!5!x?7%&cg0 zx`_A1a1tn=cg})~t=t=LU5VSzU(Kf#{Ctl|1i4pFLN~7-g4knqW!e1L z#ky-xE9)IWrM^vgXt~OJPKe0y5Bg8p=o`7VDSfglJ^4l1e+aDJXhF|I6wW*Cie$NSV zD_YT(*;`GT|;qqm*T};f=h9Cr$BLcD_$IeySo)B?owJDg1Z*J@bvvw*4lSw z?wq-^_nC8(AHjiwf~rD>h9DG_E#$)mxoVobxLP|pkg@Z#u(Pm{iMw0dnUV4EvXQaz zvvP2-a2~%MHXAFoou7 z7#MqeS&h2CJzSO%$CL28Ap1EMuzua!i|Y({vA+lObev>^+fRN;cLla`Z%(bRBOijR zuDfEpSxe6!<)fxxY^9Et6W*LE5tOp1)Vun8@+3%&XOcu<7Yf; z19-0v-uSW{N?)hfNLvA_%0dibzX_XR2RItGDPsy9Fs?JZiG7$$7i`f44S7@O5BYoK z=>W1E_#3;zi1b!x0)6NG9i0{jB&c5sxbk@q-WU9tFl^bC7b{DW1J#UC@b8!T8epBw z+-!#C@t;3sFY-4Doqrq_Ca+J9?=+X8AcC6&_nvvp1Q!-b>!5KQUV^if_${?)sazt^ zbqF1Le&1%DhBog{e`Og|^?Xk}dLH6BitZ_Mn^L|lZ|nqGpI%w;*;MzthJz6~Q1$;y z4oaE-)_P#RFeZSQ9k&K>Xq#~2BhxWj7GjW}1dDo~;|?Js3sV`RfpFfvs#X(-Ai%$b z8ZQ&Shy9^r|AhtD|Brp*V;NEeJ3jl6IaL=40?^Jo|EQt8eRC#uD=mIX6{M*~Vf0LR0`WV{!9y_CRJ6i`1Yp^sQA;pg_Y zeYFDq$-9up5*dUFF}7##Okqq3y9CW&fol%PuG5E4_3jZV*e^fp*wmQHj2B0fK;Q?& z_HKWlQ5_mVF;p^y?ZdqYr)$_iPU&IxB;lq0s$*FX)=y-C#?0C`P5+`&MpT3`@cCpMIp(CS|VF^3I z%MbO}dW09JTDXEsffb@e8e=KsK4=b9^yQI zcl-T$8gB*m<)o>3^o&D)+HH*rwdGgg_%Yy<672{wk7oqyCCX2ITY{tmkF0s5QA)vC zYOF8S5+*cXvD?=+oWsr5oktgEzY+2+l(?}h;HO4D8!;w4B$kr5%AY!rJ(AZqk2EEH z_!C}S0rZP$Ui0NsL#t*#&Hj1UjF8b`75D+CyvX<>tgTHFTw>43HOuHMxju))gbH8b zRG&Dh*q+WsW~Z#ZpW2Nayc;^;ueM2e8hZ`!#w-t4We=_$a_|<$m>O0bPrdQ-h%}jM z_KYEZa$=|Dnt585S3du8r*cV1y|F2s-+S>>jqa>Uql9~w-k6*w#oOB>Lx*~3TjkWO zggzzb2Z60&sb`|kBX*SkYSF>v;ipmZaU3Ln!Bo4UGd0VO?Mk$@`47GozbQ=F10!7< zG7hd;^T&>pZz2daZ`s3zpJ0SwzkiC}CHKH=@(6y{3qUtDR!8m9>B5MRkTOWd}bkqHqxe#~f^vs^4Duxb>W z?6rOj-kU}rZMw3G{zc)9&0R}*q!gD;LTqF#sEk`|M#Olx`j|yX_c%Bvgg|95oMQ(ftoU-O9h`L>)C+H6uj(GS(G(30+qz!VFU z#}nWmS2Rkwlt%Zc`6yrgq2?df$v?$@uv1Z#!}HtIj{V)Zs4du}h9KT@EQy>&V~QuPr0S^auIG{2;=$FoQ8UO2XH|7W<+ z%9F=N-H9aNf>A%HD?Iz5`gkfeO48CW4iQBB&a{m`t}-G}cXoyLkPefP;A=8s`Xu%) z#YhvGBSIT9#vVIwcpLxE31bH|SJ(6`i525XA@Ng8m-x?MOgezC7mXaeZSpJ7YzI%S z|3XtpDo*4TngVOv2~gQJX6!{m{vq`0BWp+NM~;MOvZbI-@||WfNI~h59Fq;!k?=1M zPOKkJ6RCd^_s7>Dls*12WkZT*mCfYBdc`nLCy0zs^Su65K@xl9E=M#v9P!Mp(Jy1& zCLwr2Og$#u^KiiX;jfzfC~PO1ezigurMTF$0!<&(K&hm(>j zR`}vG+FB!ek|)wEl9yCIDu0TgBgu+8%k=5c2KB~$%eDtSyJJ&+EETbalKC0zX~_xo zoQX02xUEeouYZFW;`Z;h`w1_!Y`QienBR?_KNY`pp>gAio=~|xwuXF)F}7H)JjbF~ zHsYYhxVS5VblS9`bF0Kb1%pAjYQpI$5DwSTxkMiaeY_iT#2s$-sk@2@_{QB zCYm$sNJ09UKQXK{o+2&}O;1UkB%8Xa05s<)IQy9*t8odsZc#!3$wq#%!V8_rmBwqDNNOJH*qQS z{k52VZnOl_GFyphw;Z}eqdtuY)oJwcnkC##Dpol>$XQv$ zjn)2^u28YNd(?86-;+eI{dL{qVj0jf`cXF@b9Xt-&)Q5}EiM$23jo!nvHqJx^ zGm5@~6ki2YK+=G5_JMB5l}o!%m>gBSPeJhQwKsRDcwqQPeJPf@_3tlgIl6FiZJ!zL z=BNM)@=uEo2YHNIIPzb-OwCD+ZhpsGn3N|isAj5nzVNDFHT(Ks%~ zoj5fh5vY~TN@-`H2QW9%$Kzq?EJ!N-1qjDT=xgKP zAN>3tFqxlVHDr2MYsjR^9hyrioprQTnfDZd>MZ;R;AWJgkA0q_C}1bI;cRxTOR~<* zh`&UKKcsp=FhS}Oo-=_g3`o_^mq6GGx1bu^U#HflCl&0b1*Ql80$*dQ(Hq7mrfFofWqAT<3WYSrHZ)iBO;4o#~Bcu;p?i- z`zOoI+fnmoO|^gp*Q%L82}q&#ML-G3U6vFvlt@IPu-Y&|t5}qMB17{}B8>BhGPfaS zvuqunj$a=kQne%y(8+Ryo~9>T!`ixQ0`Gy?lcasHXwW=GBY`)K;e zbI3yF?{3M*?3MVuW7Y{jM3r}<POQ=*=I2b z{zaP8=;7-TC7BvN4j*B|oj2EXn7|{voQdZ!awV%{F@DMBsN3FaS+4r>QC6(dK1!N5 z6z-$~`q;PxR~w}?Xd6yeX^O6XT8zbU4d8KDrp~WY*q*v1?q}_-d-x-Z{qRsiJmZI> zs^rJ(Mzgn97LH-Eg@%yDdN)vb%MAi6!Y)LR9Go-vaiXDW6U4@|8lwB;VYP|mO*)XOv~J;^Z9oad z{ft6Q8t=!maE2D{y!$?i(3xX)ud=ZOO^>zr{eA{iW&Fs>S#F)+w4y~9+#m2MsP|+=9 zONg7+ZOWW|)@MZuD~I)JaluO`BMW5k_5p{4vGBF6&V|mc6#G1sErjbrA!y1Qa|F!v zvEHYjDCc=7kusu2y^l5Zk0yuLO4nis@C91{Z6_o4;o3sNuJ$)@JcEfRDix>IJuE-g zY6mY^!nMPceoT`r|8dru8_rEAVA!^LMTp(JUBea^6s3t3@Fv$X(eV6hNA#x^9er$< z#~YyORcDej+?FNmnD;FZR+<{`n}$^&K8O3^NnYo8?$X6CpZ-;PUfa^IhLu8N_jhLAN~Z( zwdtW|oxeB7yn;yhqv6|6C6`LK`&XkxCQaO@ZD*0qtsgiruZ?r3&;{`u4;gy2Mw)u5B5g>T>*HJIa~g00Se4X{>!Pn3nf%_NFLC~LUP?1rK8Hii zTflyf(T2khAShy;M<=2j-W~^SeH_Oz=JD@^$5K7Z+8=w*#@($X3i(2JO64sPmAGf>xSi5w(om_uJu~ z+-h7NkfHeYO?#Tr2Hw;yk@uzM(oifTOx~qunV!yiau3DcvB-mT@I3`f)zzd_#`h^)*op~)8NFGXLPbNSK56hXQEyx18qDT*VABh6bv|M$VL zj4J?GVaTdsN}}9av>@Ie3y!(bXb_EIEW>}sV@MS*E~qT@dp}ucNSB&Y(TGlFV_a9OqUui{*b5v6 zOTQjau8Er3*!c66z*Q=bcjjz;Y;*TF+^e05Hu(J2L&?E7;t+dn%+Ctrasc_;oq4neAz((;*)11SB+!<>P^@6=$(a)4W*c^$E(NR%2`;_)s3fUM0$;hFz z1?9B}z(cZvm;C^LW@(QVwEdyl}`DZU$Y6MX{FJ` zOmRXFM2bc(&;6d0i?)PxBc&f+5o%&!ja{I-SmMK8t*EZbY@1A8Zf-AEkVdr1BGILL zQ`004jK}!&r3$YkPxnNgpiuV)yj`}NG`m?72zX&pS;m))GUq82Q7Ge{-;~p7D!PM; zGiOu<4OV?^QUO(LtZG3mxO8boU2G8LgJ0MlNyY{A!(PrcG{!rLAdlT-pO-8iFbef) zV%~(E-z+CZ&O_5{elZuSR&BOMDmOoJjIddGjL+8u8g0p|)HFANNY3Onkb%FFNZ)CU zH-n0*g36bCG?32S(oURI;N2l=CQx12wm=@)R|X zQdLu7W2idmHvNfJs=#ddGU!9D1WFL_sjwf=ED}K1%{ebaRWWrKF0hs4G}PB0Az0wn(*S$v29FM`~8qqs&o;f z;92QTR6EnI%O2(eY!)c67-BNLiBx3oJ)stOY7h~>F)+ZqQbC@-nVB0fkF|)|{To(e z4Qp{`3;{Tll?$a&;gJpovMS0J2&0v0N+)fX;dW(jH&_>Fg6sU%z4KM(Z=O*J_I=^U z0x)Tcv@I@%=y)*!dOT#GbXn$`W;qmV7m_$45uTRPjK`a_kHbIPu5NE>U#_JYN4G!a zwN@>q5N$UWxi2T>W+jUoPWzed+&Wgd=oJ`C+to^lJKzA>6ZF<v|ebPqY!jN$` zpWwiMucs-F>n>m04k%3MfejTUD?%}D0?yV7s07viu0^dV6h>+;*k7-MUeN@}H3kIS zlc$y+Aj*d{G4Dj>UM;LIYOo?1+atFXEZNa7mpil^1lkRxVV^XYuQB(p;<@n8I8^w^ zuD=GJt~#{rA`$&4HzeeQ=UEZ3<39}~DlBFfQTV3!OQ}iDIzD6=)0XH2OD%iKZd-ft z1=zPpqes71otILp)+D)0WHKHJdTu^yZpAp8=b~dOhRe1}_7v??sg%h;Y)fa0yGa5Y zkRA5~1d(H?h1GJ~iQbG3&v^X8!yc}ymTtFVv9oniMPEy$S#mchY^z1qD+0 zucI~{)Y>NpDKxAHlC2|E_T;?RQCkR_*0-oCSswN-b<+{7)8vW{2T-&wwt6F|fXy9( zft&W~oN>IhopW*(uA*r%vQ&gZ1v2>^B%r;mf}}qITcS8l5lz4#1wR&*OAnGdadJEi zjao!d3T8>1sm#xEv<_kPlxI4X{~~DG^a?`G0d%};L-V#3)D}BWU$M=ejzq~VWirlJ zrdhO1835vwhNh`g!$IJFdIdnzGhZHAXg0a|U}>(S+~5XXlkr)KQYf;mtV2Z^dM~(_ z8?q(@RM>)~{-Yr79nwFBhOC8bH#qo&=>mTBKs(-2UpC{D>KtuNI5CnSD}vG)xmXS4}Av&7a8?*VVzIQr+eQI!-5 zSQxMak-HKgeJQXp3J6rQajz){*}Z8U!{+`+B=F(=X9`G@0Jtm40P6;2gAy{YpTp{Y zU_@*E_COq$uoTSi&csvcV@*PEzHf;c#th-3k*4Xbe-PHph(}y5?a_j%L){+_rTsZBSNy>$rOMgHR68% ziVqJn{w%fmKC<6wE?nma&L@XkOBA0>;Mh{1GIBD3Ah{px{~i)qzmWd=E?tHkNiDq3 zm*~IwK??yLW87L9bO>lj3l?>lEze23sS`3)PRiu8dLTn+ z)qsUURNN{k;DqtWlzJMn*R!9-WSV^vD(>ggTPxqVL*y~~w;ci)CAwxoJo%_Z|7mC= zncA%UBgWaA4bsfKF8Z|)7Dha*R8tQ7RmWB&<-yXQ;t{}SUu znr3sx6!}-c8Sy_OkS(?AFWt!PfG9*2i*zeT_n3>5ycUliw+mh|i8q?=qc6mK|49;| z-1U@zjcoy(fPRMhPrILNsRcF)l3Q|U5We)B3U-VFAu-9n-}Hs=o3}vx7WX#IgvP`1 zJB5#x^t{2Yi5}bY3$VxPN4qMv)0zqY_KB78&)}eCqZ2F}^WD>RJx(YnI)KjO39;$E zQ|JN|FMp3}_1y|lHB%;Iq`73NhFXngYjLl4gBvo*rRW-R);16O)diy)7BhLO$DL>SQ=DSg(uSU4#u<0pR z3Rt7#e)kdDWw{<`eD}f3PpU#=C5xKy4tM_9j#QWjsOLA5N{?-yN8h{hF*y25!l3uu z>3z%~WKsDidrJ7JTl>AcZbkuBy-2w??=VgIL%&W|S=se+Kw^F5dD(;THS*%q`}(m6>N!3b=u%&sx2{=iXfKeO#ug*-O59TT^9Uu$96AMpuJ4 zEj!Df{1C)Hj{wxP!hM9|pLY_Ne9)K0;Qg}|O*)iFMN1MnLhyuscZ?7YF&8~k~LjW<|-gVi@!euKr80pHoyD@XJ>Ul~jEt4Q14(g&wW z((my`?}R79U2gBWK8(EO4Zp$Q8}z?HuL17f#5~F1QJCo&ia)7A;TEPEE? z_VBrLo&$hx6F25nLTXjljD+KAy^PLGum*VWPL}o)F71oHW0RGxiGzAYu;Fjwkd7p3 zhp{6=zGL~M)W6+^9n>KF>7Is@=9ZIYb3LHq6G=0nZ`xpUvKz4#V+3 z8Cr2duFY#7!mgtp#mahfC1tN&rtZ&{POq%irPgH*3xW#+Tm6{ApTak(t{JF{;zhN3 z00oIF%MGUI)Hf*sOYg(`c-H%x@n_K=oq&T(6k0>W4!^hcq~n~nZl+Pgkt9Q4xqW5WhT6`PnOCG%+jWC`EufxfX^S%p!?ttZXa^DqrvtwPg|bK ze1M)W_1lIX?+LS=LX2}P2f$w6vJ8zn)m}p8i21S^^6*Cm9Wd_K4B!y6t2SyYxNhbM zP5kI3DwixHZnVR+r=~EDU38{Sm%wT5Y*jecSsbLs>cA2681Uexa#C)dlC_0YS9b-kwab$_F_Tz*x~ z;3R=6LJ6Y`Na=GzNZ=rdgI0rk(oc(h{NAAP_bX)mhx+$VPfUF;Eb_HIqgcl^5Z3}y zc?#>86=GgN?l8&MicDY~E7!jt;8P!aL3yW0A8cGiZ_b9oU5pY|SCZ1lww%I2AeE&C zH{hf`_8HxBB~>tyI{iEKvPatI593&s$<)geJ0_RA^8HgKg6@&*d2V$OC)`Nt8>^8( zC(0GX{$||mdw9M=q2*G%;48H(-jPFOCi+FLtYAD@b9%gG-qm>i1G>*M6(^wCnpYTh@@^ za?NSfZDyWq)a^SOMIgdI`{gmU9&CChV$OE_Qi>Ax7owaK)SFIF5H{qZW1sopWC)oc zYlif9$G=rZutQAA7^A6K?>&e+uk&9W_UV-Rt3eqEcLEzZLKM?CfodTF#qdA`+Jp!M zVXMg`17Vn8^86L@m!{WOFKCU#9wittjA8iQDd?zD)!@j`VR0fxdF#_<+(5l)bJ@HL zCLAPe_D@x*{r7NqQbxT}_Doe??@2|GiIGiBW9pnd9C=0AGsb;;o;>#Th{Zp@6TM^X z!0Q(dOC8)Ayy5>S8{>!Xu?zy@9oU`k1?|oSL(@#G=(OLqo zKmBwP{M!WnKXmkT{PEZrOGh_5M>_{g&&1BuvztO?b&2s-v%iYHl zj#_U2<9Gu2ZCRtrcM|GqKS-sieZT#FNz(W+mb_#NulmyB>r=Ug%Ij6X6>qK4`J8VQ zdnYn(&5=#_R%)zxWA)o98&{R2opDJ?ixsUJF-97(DF@1Gwgn4?0cfIzNGd8qbX{XDJWCRec`lddO<%ACi7y%{r^xL{?hU zIU;o9)Q(h&oMPP%^#(j?g5JN4b+MVU{^ZR07-?P@chQWU6ICB|`+%ow6*o273|K=D>|V_K7Nd)P>pFKCjEgnrqEu5FGm!xv@M=raq2gTI&ZP$9Et;o&R0F72 zv?n6k^j=5F?qx>p^KgD_8F-*L(YAAw!MIHG^r5+w3k83-h3muEb1#Q;PC0)V(Drm? zI-7=nvCgiG>bCxn(sY^qGzv!veU|NC*zEg7Hw+njFge?po~KFDVWl*@wr)=zsh(Zt zSO&92dKR$nXp)L=N35U1JbGQ1b|N47SoTZ%M*D1T{mTt^Z3enbw`?5p>w2jpnEn2~ z+e_v9D;_J~_foze5t)O{zdE;*5G5m3ZBjdG|F?);yN9^;o z9M@X?8Ibq%PU?l@El#~dk;WvQlSi$N?fMPceY0D`@k4{(CN0Mgm;=e#{wtY&$=M}v zs}ur z_FIlWA=_U|(-BpDD9kAAhEdqfoRVW!e~Q0eP+ni|`{0!8x!%sI-_Ua|^-s;?&-!)4 z;F^vw+=Jvb?MP(RSh)^&&!vsz93>56b_s@lP^KHESXK)NbC)>=dUo|Y8G!3Gow~%N zRc4;+&sg;zVIR61_Vc|vEaOKx?yftj^&Td ztbAyN{k2`V>}_=1!pcj#e7D|c0Hkk$$;uwpKP)!+3)Q#2{chQV-!y8Ymc6Cifm$=qonw=_ujHFM6%pg;Th{ zo!~&UsY+%0lYRCsZO*#=Zgxpu@ufuPES)+#AR|ZA<$H$p&vf>E4J}9^8qv=#FSqra zTL{ZVJ{S+B{~QLtKtrw!>xbEW3vJSJ{62YaUBaq;R)1oDJGhQiT9p^^lcKxMs{KS_ z^=sRg;HG zyX=4Gsdg3;Xu&H$HVcNvGHP*8#63fZGKZ0MD^`Vjzd~ z<EDqo2`Rx5d4?@Z6V-IGRKd_vryIYw`{qX#%_MvK>_ve(K-t^;u zXG&|Z0uyeu?4=Fn9Ec8BDeuhNKwpPqQuif#uBQVDW&3k~tK$Q0^_(m7^vede(8WHv zUp{_Qyge@fN71_u{Jxj`-Y~jkcITMV3bUNP z{X$PRXb+=49e0Y>_+gG+>|Gnp=5Fj%nkHD{^3J>8>WYsTv>#>{T>uM=u$rjT5s14l z!4CsQ0<#PL{T;C6G9M@2)BcLH#X%(?f@x{i8m-3KJl;39y|zKt~c{sed4&`#yBl8Qu>%t^E|s`{xMJ% zR-}L4n^o-~GJsE*5t#555aN@-Df=s7>}8h9A>UnfI{EU7C|q2hp3edgQbA!kI0aF?~!)xsMZUl=yxyZ)MZdoMMeY@Dv18f?enHe6j9#7ohaR>ou(mb9C~@n)j^?Z!=PU7l`G* z9*xs*EVH)-n^@2bQ@ZsW|LA44&#Tsd42xcN!*Zz2*#Jy}US_iQ%fl1T3JNR#*qwKD zx6(C#Wy`uw)DGwPJ7+JC0c-vg{6p)*eAAr|#h@2&Oxv}m8-}5YM=pgO$}y(9) z@|)EQhkM!n-(}Q412|>74n#%}<^%G+?ghL+d{+=R+3KU=nb)r9JXW!~cF^ittzcuy zlZ%nToo6Mf#7>r+( zMyfEH|d@{)jtVOBc0>+D*ujOW*l@q}5 zP?zU}oGAKXH)(5AeuIP8lria>NyFV-z||kpjBvXU_Wm9~J?lts?8=s`jNY50bOR?# z`8(0Q*K`B{N=uX--<1l8uU^%Dx5H;&2Y_R#ejo+`2m`_oU1b%jOX+66dnEk-T>Oof z|MUF+UkuzG{CC?TYxVD+J9CUQ2q;GVD^D~T@v#DxD;^je_Z)cI zYpDbpyuCM=)E<5_XWUxv;Ii6_+wz_e4?P&vQ*vGj2a7)&qE?1I>)$PYJ70`dg0$M| zgKq)y_z`jYt2qA^^V6LmB45#Y4=}Kn)ituwMco*HgsX0t=!c=T-bNdwM0x9yo_0UE zaj!y&^3E!Mo@iy*1a$P~o}Ef-5x)$0^WCdeYCSyM(8b($J{UkAB>?=6gu41a{0E}0 z`VI;KW-a{hz~uMEJ&RGU{X32{9AMf$S^w+xFqGEA6V9-EACbs9fP#S%{m?5V)+vJSHBk+`0k4TIJf(z7x0D#cm1^7P}0Y)JHLZuB|pr7Ke}+NF7fai0G5?20j}*k zZteTOK=#W29T@*V11J6erSYBF0DJHIKLh_zu`yl8bgcTX=KyBCNGv++IoA@jO%kCN zxdVAjPJf#klaEtQuLQYu-ll%>YeC?NdlG1x_SRa-C1BuX^E*>HAin%CAG0e$5TI8- z4FxIAN4C6c1fc_auV238B}rFDe~#K5AGqSzY;nrH%$El| z%Bn5thl=mpc3w6Z=WEVIs-`^8MDF#Nd2G3OptxyW-bhiz$DSd6rdZ23)u)uF_QgZK2pkb!ml+JIH&xJzR(R;%X^ucn+ZQD*`#Z?+0P z!dK?RkCZvBt^wStLl6T5&VPwh=FW)kp}<2q9Dm@v(p4i<*P*fgm`2DF+wt@1pnDqt zldN|=djMWB_Z z0h0awaoLG(T?G+&Ygu5?Y<}6MZr#+DpUqSPi%xm}ZMOCFAL*8<_9fpKY41*F*OG&4 z6Sr%a7$H;54%oeUw56fF%|7+nuk7+GWuG0k`M8!O4kO6ceep@#>&j9b;KRG3QxkF< z`Rjw=YRC4m{F2qK>2xUc(VyoeUm(xZsHi!wUA_)<(p4P-BbaKKGxOKx z@%al^W`6mQ!nr-e*tB*yuEhF4dD^BuI~OZ%T$vF&!H2&@q_)_L+ny(24t2N5I0}c$ ze+M6Rd;RG-r6MA8U)fuy7>)9+WWB%DJ6EpC?zgeG64u0Ol$RacwJdEXRnL>#dqrn1 za{dOkb-4F>vg^*ugPt5xm0N(bp*_C`5!~!thC6%u{mFu#0XpyZzr1|#G>Az^%IRxA zEAh+=C36=xJoR&aa1?s$ZvEj}t>x-}D1aNFGEu4Ize&^gpFB<;towC4$WZ!Rf3TeO zU9JiPZYph0w-@*FDwNwsc(LlvtFI2D%Kre+z2wP}??T`EhRol!Pl(O?eI6)tKA>2w zr1)taD1KmL>mC32_Ulz^;i<^An#ZPs(xa@|v%U`>f*Z#D4Aclt65gspm)o~B)TXMJxXAK)YC;MkWnR_)1E>-eYSfYrjv(xbb5 z9)9iIR@_)~H&+3CD(*`1;0#z$rXGEzXmxvX%XsP7fwu2@L9bveF)f>km#; zjGElfp3R>zrPsAWG+X{D;YnMosMe8H+4zV_y}ym}x?vMH19E$>Ci@%8cP-n@J>oBj zDA%OFHu!^~_qsozGJ9{`%(G3zYn8K{nU34Jwbg!OH&pYgE`LRu6Z_W#m8c5-ioE=@ z+nv{xrj*n0nf|NmywtAVO+N7WG1d)+>YF$7O7J=Vjq&t`d8l*3d}p!Ms=z3V;PZ~~ zV1UmLQ_lvQn?c>Ydpef{ry9DSushASCagwbBmD<#PG|QItQEIO0vfVs->a?4{>$td zu*}3eK~8D8Rh;o%0W&T-taMW?d+476B`r|Mn_F(~ZntUkxJn99qtj}u$!sB^fv zcQCz}YRl?F#n){+wG75zXwL0cO?i}w^!0#0wj>=WR=4ex8;p-={^haOO!k+r>c{7q zQHMR8t1VOGPnJc@^qcu2TE-<(Zrr+d9II z94vJq6bU<+oZxeb&p}&xCAg5R8rdDimu+w69xT4RE^lVg0o7>KUOZ&G;Xy-#8EIm* zR|4uyF=Aamwc2vpV7yqf;%V*i{tFD9X6@c$!MfCh-G3ktKQ{a8@TxNwT4tmXyFc1Z=*%dFUkkS||mrU!eVt1Pj8#qZbU9TFXr3pDASnsd>rFX}Tn(bzx5H#O-t z2IH4B=Z>o~H2XK5+;AeV=;(6B;Xa)wNbMI7!gf90$zq_m=OPat@5I=q+C8v5>~-_X zp@MUqvP7@$YL56(W{|H(%VSHeB>^kRtM~z?<8svlg0DVNX8He<9^u*8-OZC|# z6Blw*O|Q5P3j8qPfpfVf^*QTQykgY^D0}`cc=%$znT0U(;+;ph+}a|X|7K7Ahf94P zfi3*X!@gErBRk)U2=(PK?o%rVpbt?;@fOrQ3uo$5y8J#&ROyFJf9dg&CS6%W#GKkz z=Y~p8HdEgLUv=o(8e;w@Ua!l|58RgH&VFsb3{%?QnZ9TKBHv$uF50R+m2pP6ruMIn zxrW~$cD2i`f%KuMs*IR%->{>FUt=#S?m@#*F$#QLik)E}AlL7&F#JYUmoTGZog-dl ze)^Xfl&P>U4-exk{!2@UvsGzFCyL|92|#iurg4Ne_X8`!5*{iA{D)NrG0T56V5f1|5htMzp+s``MtF8h8;y(tDf_ov!3gKD%E`Zqs2M z>CD2O|K}}fM)KUY6f@P8`}7Odg?AiXFhO;kCc>6Cb1M{8TjeQN{3B}h5xokkWLMn_ z`*fs=BJIjx>_^Dj0gcLV{KLbXobvsC*cTJaGLB9a#*_5SN)?D~S&HD=MBS}SMVgZg zu>zsqN+jt?H%OsP{n*?v?Po6i5%5+07LXs;&!!OP`RcPEqH77%E*td?OEg`${WQj& z&^+r^2~l535JpYNot55Ro6y_&(Nf|&EVt0u;%00<}3T2;pq02Tt)FD=Sq@w*qw%Cm1*|gu*8L? zA;QTD`6mV;Iub*galO;+-W~9g8C7<{G1;tu4kUL!bhnf^-g!)5bQ@=`#Wq6ij7pFo z3SlHwHo|W!1X=gjB#p@^*z<+nP*WfV+Dfo_!*7f5zyE_*Z<$ffNo}IIO&zcwJaF&E z;W8Z01Q)b@=Rrj23vW}l`6JeS_Yax2@&#cf zn1$@3HlRKcssh?A2Zhr_ajhc>h^drVr$U3YD0cQc%wn<^<^c`)eHCt7q+_GSSpsWz=<=cEtXo*R_Qc}J8asdeIX|xviab+% zJfiC)%!A&<4pY(GaD5a-69tp{e-0_!OCnRHmnZm?hXGsOVFKO1BR(hc4@+ zV5uwS-gEV~gchbC`^oTTTUYe3p~P>S_UB9mk1?IeZ*rM~mKW7dyy0KRs;dn6*yj!( zF}5bYa-9a$rd{G4(98?03X_XjE~jKO$_eNi6W0%3gj)Q=i`pf<6h9S7(-1@vlO$5h zT%08pKD?d-v8||Ow}l&4cG)NW0?99C>2^}F4X_DZnF~jw%(sM!a!;#4yBqUk^ES7y zio}v;5PdG>#Z-OqttDbXBzX2si%M=Yc7aW{Q-)L&Ne$=DWV}==vf}LvYp4+afYh|5Zr?nv}xFPj+HVAOi>BIhQ+ChcLFc>tcInKj+hFe21f<#tSqp2k@GYI-#=ek3|Wt( z+(Rv?E;5`-_-AXNFp}$cS`KqonhCY0vdcg+PCj zu(mx3F(%+F_el3T6?De1yFB*1U>shGj%4Dq6q~g=t`GEJBF-s;==F*<#G$Q&TX+uz z<*B9(PG+P#%(8Q`=t5aa0v(za^M6r6F9{o!{)u!~;SWS#4`(l{_a)Yov5U(;QJdru z2?)$gVcbz2N$07)hgg`_CulZEIQlCZg|Ty|0qnn}Tnu4#}a@lQ%$@Yf3w>e4N3 zK&UcUEP1Akm;y_Qrb(V?b6rT@WFnc4~I&ZRe$VS-e7wn(X>an_{?SNxhu6 zL*`~|60t)Z4r2DMFC{bNTNN>i0`#npP@goD!GF;W%`DLO`x4)W5~vn}Vl4BaQxTr% zIV^0Oe+Y{+A7?zyl#yl>DM$A*<5lD=Z*#v?`l`v4H%GJh+?Dm*Ib$d$3jxQw6!Wqa z&4v;-wK#%A&=Fx81=7_La?(f;yO*jZld6hSmWpraius(u2Ok>sKC31+X-D1G#30|f zJ@!^?>cspk&41N`bV%e~{G?%$88U*#DzuA^sFBBTnah)K5XO`i+(*t z@M((zdTtm#PP3mkR8fRVJ?%i9eaXc6vLeFM7db&0GTfI%tzxp)92}2!g}S93&)VcR zHY|lcX~!aVR!qI;u;X*C_#X;gRe_y1K@oK3i3mjVyJX8H^TPRUyDlMV>m4egF>v}*9-wQQWtU#vj*-NDKKqH>=6yhF>1HzJYg6n(iAUTXV*#A2v9-GQ3VE7**$TvM3z*;zXSm#cX&rQ@~joYq^3nvXnU)eV{=-62>#{f zeiSPVFSYIuh0BfOBTDt@A;Zr!yIY6PvKx?%r)On@^~FRO{ii)y>@1{&zE!bA)NI>N zqHQH%nalfQ6Kmy3Q~7gLa~WBmPt6md+ z;^J0^7G`0h1~1grTu^|e!9I~_%|79Tn=rv;EJZwT)3wVfL|d>LEYU)zi8&(hPh$NC`bdZ?0T$f$1Y0@vbSc;SkqrD7j z7|vZlWYbU0rX=t}JlY6QFm*qf6Nw9s1yIX}f${ALp85bbn7UuOnr87_w-WRmQ zMT=`Bojl(Nc=I~of3{1tf2N-jdXZvu>k;&m%}bslp{qSC#^O?` zPpAy39{$ z5i)hxDcR}u9d8O9(6|ErM+#0w;FUzXj!|cEUyaJ%zJ9=a)1w9}C#EJa?zHgE$u>Ty zZrY23GjFvf7|;RQ3D&#^&FwR51V@Y znEdS`^w1$Uy+=dtRSe;MiRn9T(L>XO9a|NDjmo6D9&(cwF{;z=*#hpfvQ`O%X;xtSRY`d3SvOOBq)R;oo1{6{q|-4CV-O|f zP_i&9gg`J`JgA)vqucD6?uFG>+o`mW1zSbLpJa=iZyxwPOCWL%iP|Rn%6O6`j!0O9 z)@BN-udDLEEneL6{);$6w!1TSc)_jTUfmL_KIYm=A!=8}B67r#$~X}_&ZN-PSv=gS zbiCu~dfg%?RHIi7;ifkC-0!ahDK^5)5W>wB0`B2avC$-*qNOg+UAzy=k)Z*8vTEh8 z;!)=aMqbqGal3RNle{$7IhSQ(0Vmx1uSc?Y5IHNfbpp2>XbIb@&MJ!;nECXVQ^o9|_s2a5SFt?YLB`|BS zqS{(w(&=QZjpp1ITM2ac`8iR5jnI=*#l<;=D+D9ADi$Bgs=SS*WjRdSHbo)8D;QOl z3zPTMG3=#I;uzybmL%Ooej>iWmmMaXM?C*o{+aSJ;$fA>v)1lLHoak4$G~)L%VdUI%Xz^d9B=QBYd$YIqN!l2 zL#W0-9H=dMk{epni$8y&Q*i16`eY5{7_~dY6_jc0hz!ZBc>!Wq#kmlCtMI=F{ zr&_Q7Nt8Uu=d5cLIl9Sis>pfqLJaAMo)DTTs%D6vmV3yl=2KJ+7HiLgC1{(k45utv ze6X|QI5qpFk{N=P<3?v?mjT~M9{9PJiy*}vx2+6|ikaUx?aSsv6 z=&9^(quWIBa@HKlmFQ^KjY}4aLeh&QNlA2w^o>=gpD8)t!F0I@W%aa3DR|T;smlnp zf(1Pr6@{%Gk0tc6_doCGl;Z`c}RD#V-JF}hF4JdMevZ4uMwzD7sm3oYt-uKq+)=A|-!Y>H43 zBA2i2pdGAHG%n%V18`kQWoJO|LzcH_$@?gEeLI+uyR*1Ss8f))zTgVhtW~zraZX_H zDdZO+jx=K01!G8fbFUy9$Clgq=Fvs6G-2@_v=L2gz-vC9O8zv|D!$4+ML3#R&wL8X zJKnlovDI4%PP4aj-Df%1J>(i0k*LIW37;34)$2$|5q94}H*FzoA7JlTlvFpd z1~NV5I|SGS=&Oo{f+iHd;mE*E+p^CHl-*2*LYEE{a{cEaT*EfAVR>402qD=$l9)Fj zp)+w0h74OJaj{*FxnXbtMp1PzDCD=(q4pCg&KJ)W|8wVD1)iL@GAB~ z(>RQSj%WJBKGG4qTO%%4Iyp`e%Q14vjs&^GVQO`uB2lx06zxbzPMC2-Dhj^|xJ~M8 zs>>_Tyd1G_`n4=;mV-}zR#}B^N|Pfe5wYOmnRZc6yX2m_f{wT&bf-dF>Zitn%6QkVlVOD^o58M)G$-z76tg$KykW^>FieQinwZDPh8G_%^NJdP~ z$ZZ*tDO%%Ldy6BU@T`dz%cS6l8LS30Nas=`9a@TJ#K#lJfp{LU4fgXuVL2Uyeb3TK*~aU>C*( z1OZim-50gS*wU1dEZL^w#Wjw}{of2N$U6q^H%bY`jwoW$%)I=DEA-T~gU)pzqzX$z z@S7TG^hiABPZE*Y%5U{G#wF22lMIV2{#7>hkQelF1&e}}s>ubuoxHRBFWW%ny~?5> zRy{PxeQESdgCZvCUDC6}Pj0p0n+FjZQhX?AIRIr=$PJ3fN$tjQKZ9yCT14csKFM~- zof=#8hKUZ1Qe4sijUgXSN~j}L@Lx1>hkX5+giLKV;rBFYeIz?i!?0X>T z9VksG9+gSxuDn)e9>I*Qj{1ZMPZ|~pmvuC3w}KqTQJ=s!;M>J36+UVZ%Ki9WqSiKP zmgq}rbo#A6fLy~RvZT=?);BSP4q5H0Iilelk1>=fQ}kEk3TF6hQ~@TO^~(U=-zHTk zWQs&ijqLjzNhiWl(N*XzLV`UkXcRHeWFX19fv`Dv^Lk_IA&}|jNpL3fJcc}r)$ora z0l!?l)>5fW_)YGRNiz=M_Am0frh$lOY-wN|{@9u-dUC=g$8`L}n-D_!3bsr4Haw&~ zKh0R0sc2oK?Kz8{)t)#`T3As;JoHL*#;o7(eK`IrBP&Zvd*;DUV$1p#IZWskpLx+0 z_`Dq+P}wpXS>LLo8Wj0}h-bD!3uRjvf;9~JEngW!uFeqE==a+Xg%-G(ut*@q>&Pu- zpmC$dFJawT0LcuC3_es3lNtsKHF_X_YVh%@K>nN9PPr&PV?T^OK4s#O4=jW(e!q}zO z_rqiY&Ty+}kt!E`-6O1a7M7oR$sD1uL#CdbBs1`eUk@XmWXByn6hurj7>Gr>6C7Ha z&(6QOVB8kdDw^TW_Grh=8rlt=@b znh2sNR48pX6|!+hlhx(nCPGqE4+iPJ%YlgHk_Z4}X;dPfc_Gjy08I|h}@phwv#DJp^*(-h~Zj}tfaTRvuq=oDMIJx0+~Q59Dc z8{3z=IHKK5VjZ2T-tV}1GHiVTgBl`Rj3nJb7tvC#m$t^63zHLSLu4ar-T#zgCTGN# zqjjl^pU;)CMNgQB!Qpv^!)<1uI(xQi8%DsPg+C`2ew+6drVl*#H`<*c&_b|enZUle zS(|$>Rofwd3wawQ{n*PY;zGM5%4!xlqA_jsnW|8kfsQPlCTatevR{o&i@<)y>p(`O zduJXCFgKYZY1&9yim;{Zq#_o7;1-i3LN-!Yxl;v!IK=b?$wyNzrMIS=&$*uX<~%S( z1#hN`tTxuNnb!#6`fgMg)`4zw4KUav`rS4j7mmdJb1sMXBivdTz6~;neGQ! zAn#LJknS-x3V1Ialhi7Y3mkl?5Z7c{ye<%AR`B)o4aft<51?Q)=|COizm z&svR77BLN%_p8f-Tb)i7JT)kyBHfog4Q@b>^3=53j9rZrrx>?DWy3?9?T<(=oX@~w zDna?SH#FT07S35TzK?P@Z{!}>#_i>Z{xqq?rJj=AgGJhq(B`5By*a7s+>p9>K2j(h zq`#W^SPa>Yqf$e9&~;ujW_>i32QU%=gn(NWEp}&Rt3e8L#skk^e6i4GPIQ?P55|JGVrBU!tw}Wjw~k&Ua=XdOn$8EXwEQ$AjA;O2_gO4V8MNkmMIQPs)C z?GXIDVw&+56v8z+Rw+8ZbmX;Bva%FOo5rhE=v2Y8$dS+lG zb(Iwn1Je3!Aw=XLv;^6_7YlE;se$yypKX=Tx|snX2qAhg)#?G?${0d69xaBVix;_; zRMTAbH8~n{thRh&C=H1D( zHzszx7O{L43tpucIO6exSuzXFCh4eg+L|(_1`bfovm~mIF_*hd@aj_&dbbj^I!p6Shs;xEIsG1r>t~r5FG3V(NIZXqJ^~y0 z3{xNg_G{eULm*izHd_%l14Y>p0&N&4s&!H|Qb>eseB!)RcQQtMHfU)IXqk#g!haMk zlUFj*ljNih9!F8d5iig$Lczu4@QVnN;ywl=4Wv??Qz2Qw1L{ErdVqpQy5sf|VOv{f z6nEw`6*T4(lrf7&Fb4DPbWps^S2V1UQ9%t}LKwyT8N8UZc|PqHQA@^_#zo}F{ebb4 zLlL_Z8n?53M1&IWoZ9f!>4!23%|e(Iff`>R-}_QsXw^l82d|9Y9|4+}sAWhgMGhP~ z9Nlp4nAH_^iXll8%^HB2r|Io5Ru(DMC)3rNZn6 zW_AiRPrc#whyh-phhlkWTEf>m1n(?Jrrm*O5a1}+PUbhx)v{X1Ugw~pMt{v%eD-nYa68%;fNO4cc;cFJTIdUNIzOVy=^w&AT6I=2yIN`?Gl{{T=$C5wvE?{3nR1OlZ-@)H1As?SV*J0T|NY0X3Pk z25M)CMj{?#iHAZgJ)IR2?h1M`Ij@KebrdZ^0|RwF>C1{*))zy0;~C@698?w=WNvS6p{kn2?e@B3G2Ht)0u*;cG}$- zl*WsH;uPbDZ)5nJ6Rl#(S}Vx0yB0tUA9%BKF=qK9DR?O~a28J5!LDxDElx+&Y?^E*frbYYRq__yT zAnO+v@=l6PXjD}`WHb)B*CU8*I>lafnz(V?FI%<&1i{fea>7=5(W^aw$>Ycuu(0Km z#Ek{jkHZV&HUMzJQMsm6MYb?t>If>rL&i<0<4ku!RZrBh14q=V0KxQsEt9OO|LLM`i#rY&+gS zf9$4~GY!O|t+JOpTrp!p&Ooau1vPo%Oaa+GTqXp@AQG!|(9b&%2s!*-3bcHZd!Q3@ zK_Wv<_EL-h)UtvaDqxjo31f`Sv{TYqMf=ra@-5(#cTnvURDpB}WuBnLeWa#kETuHo zifIOFh=$`y!~z8G4*E|oe6q0nl_Ito-#A1}hQ>q2lZ@xM4E;=5P;SeXEgg5RJ9Z}v z%kMx%B-Y4$TtTwQ#9ETw*=LV$;UcnzaXmB|I1XWPuD04+(SU9l&X>)?I~N^cX$c|4 zWNNtr%2+_@O>-G79#BRy%ifr5_gXa0@W~RN+)_XfZ=;bJ5K^+F<67gwHxer!SF^)J zi)I9uySZZOe(vAX@I}@>=Zg8l*qb4-~sqO243h)=A2- zh-DJUvJb1z_D>sCgn~16K~y<;1f5pF@Zr_|#Io}X7`@Fx*z#XNzp@h?vk*sB+ONi@lP%G}%*G>Z3VepXh$jCq$E765 zMkTGRVvGqbX(;seTx6g_ivU$8r9kj(1=qJs|B)FkX*+(p$Zd4Zcq_0iJ>iA3*RiL0<$fdM(c_j^4*!Um<~!t8Z$t z?QyTfyGZDFC&`-ww{$?!p{$i40*Gavpm4{Z28loK<@N4dl-oHeeba+m`+JDbwnKbQ zvB6^iN(8Ac+D&PwMyoK>ZwVfFm?TgQwhR;8y<7PTm}cdokyUp{3wri zypyh;LYgas8bwXg^n-|klXccu`y|hl50ASQ8y=9%ilKv`$XN#OqaDpl^Xo(a1UREt zNpF;<$C}My>_2gCcymyRt>H}HNGM5%l>ih)CZBdU0H@KMXJ2NT~d5bTM1{<>o9^9W-<8GoWES`s!j%^is1@d5iJ8YGMK9pS!iTM9A!ac~W1%4z zPnyxaO&-w~Q+4>U1pr`q=s+7??OM#(_SZVZS|oT;cy6PD#{K&irGvg5Gq>h%KU<>K z9C4`(rwUFdpKNlM!tTWMV~gy(z&?+F#sq9WY1w2fj>C@>rjIMn)o?KJ%H}vP1FEi& z=av_$QRJw`h#m#FgYsm<8URTDKc2n=s;TCA+wvkx^%Vu_A_{^OY0^OjrGtP-+o8$Kf2#!_DrUot>R|X71jN z>2C)3R-{DYzwi>#(7>lRBDLZU$U_e65xmAF4fN!$L%Q6at}IZjjE&l*g?6=_>p|rz zFhT?G)|olccgV2W#ixS6qn;3!O_cci#>#ih`o95@Ya2Vu>qpiXOu0i3BXxd^MBQb$ zcU~m2t>~r<3H$+z9Wwv%ue$^^loW4hIU0P0OO0E^0sHufulKR#Z&BN1OXQiQLSg!f z;GynuVA9dI>CVXvl+Ff0@o;fuJ!&^x{tr{`4}`x;6xhKann0+=Cmk?(fhT^$QHRWU zMhN>B{4`CZP2CnI^XdS0mf|2pAzmwNuJmFW+z-*b43h+Q;OxR}pP7HD=_$RD91u^Vz?TwgQ0dp~sjME~2PrQ3cDU4KkB>wM&|L}Glf*#qE@R;FnVNb~= zG2$fdok1_>RscimU)OQ2wOByRPomDcPX989S}zSGxwhah_xo)wq9i&Fmo1%@;9kto z8@^Dk0;BstJwhf+7kQUvc1#p?TSS{0vA}HoIjiw?m^>avqF;g`m<*qv7C;K4bM_~0 zFPi3)FZNqx_C1TmddQ)7F4m?o{ zhpcAUDoq%<^&>-YjTsU1<(HNk>HXuQ)%~=%kw{SR9mzXB<4K^jB8HA0%`6_^>`Axj zgDkB)9Sn5-ePlrCP~=Gp_V`V6fM~Y_zhhc_^)2KMAdRVUk=GDA)kBP}cQ6(l)B7S* zJIwjgFczG9#)lLpNs0luCs|^KsYV*fS4e>bqCe6@nVpY+asw)8WTy@%eq~BP+;1_7 zYgb>ET|f@D;qc1v>9U zBmZnK0ZL%zP8CA5!#U02<3t_Nl)bs2{P^ib?Dd6gPJjjxUmr9J5pmzXqS&{>A2hHo zrVyUET1R}f7Z)_S%Yq84*em$Hth9rvF@Kw~FgsY6jScEM&~!@7_@SMR zNsw#1nhJ6YWbNTPQKy$L9|Wal<{WO!wD}5EB@~&j7OBwTzKEL2%ucUBk^4O1^FsGC zhg-rvZ^BT)GM|XGzC%V%19$@K1PRr0^GjuW3*)}3GkUo;*txE1~Ed&q72AuTUJ5+ry>( zu?#_rMeW)6k28c*=Dxv0Z)O#-t zUn;Skc_sRL(ozVnBtN^wo12jqi7%C}t`4q<&olk7wA%CDR3`4p+E`42p*T&8kSFCC3c{0;PAbd%D^{&V9-ajnI_eQ-Rm8&&o zpV@KA{^#O-`O{{WXRSVFr?0aQoL@3OfHL~3U5Z$Ivyb7##GO9;Q2qHYC|u_FR-dXO zZalo_=a0`$cf`e%eQtkR=8b+)pzC_2R_EzLf*i#)tEQ~@KJ$v97;+*N{G;Zy0=Aa- zBA5l2$Z{{4>*(ovH!}5lJkEY=fp~m$NR1*@AD4AEv?EPb*y!!ibkD-XyS|J!hrH?q zEb!9`TxZ^A!*>gSvqR#elJ2=H8eOl>JN2rW{lrY+L-FXt_nN)0DIqNG8K)W(QiD) zAn#7p|Cp=&_3&k20MBWek?fZ(*PxjWn|hBw5B>AuszO=~%d1yGCtS)ev^2>4ld=2H z#hn_@_TpopRvAOdyR3~CxG|3k0U)E@>>bIaYUsx1^YMsk(_P5V%uD(`MJ8@ zs6N=r&5O3LIdSrjm=tS(dh9Llamj#@$Y{O%z`5-7yIhKWmjXIu zHx~&{sNy_cwv}a9FNN9_*?xeVinsEx7*gCvyx0=+j48kBEaMYPbDSXwi}ft8GTeF9 zZTwGMYf{XTm%rf}(%|N6%Fd;@uEY_1;nG3`4#Ig=R-*Ye`|H8(cg0Tkm(LYn-X3PS zzNqWY|7Tio!ANQB-Ys_{Ch<$!V$eXs<&Ht)!S(mKWGml`vbxh%znEDM6l;spwaPCE zDtxMUjVzbGVeHT|&aK&Wq5i)0O_9jd0mC~FBKOd?64G^|^GlL~LK4xuRO2F6Hr_SY z6-g7iWW=YWGI@NJo*jgBpetNlQ1^n2!o9wyQe8@{vd7tY6JEhQ9_rbgT4d*M>U#8} znsFz66(>GcO1mI!zwK82f-m^p@svbbPDU@LxE!iwru@sY_-7OB)xWKKNeQPE(<~$3 z@v>0J#JB#(?mT+Ik>fuNbM)Y4iGQ{<^+AQL;`VUr4e2Jq=M?dK-|tvlyqCd0cPrKI z_C?v4N8J68UNAgd}@=gFx&Y+$FYd zRKRyYio$hGx6|)xQ8B2 zywj?FTs)Z_@B55q|}|d z7~Bm#b`D2;d&8n+fPsYJav$QbWA^0LAJC&WoTtmCGs>E3Pkp#xg%R3!VKw>Cf2zbBe5qookQ`C;mMCq>YYDQ%6o;%q{yT+uep#t9CYPlT5|ymcO;1 zEa%qPQ=E#z93HKu^+>er*|w+4Py(Z?ySe!b_Aaii_D>ak+HgaM1VnAVfqS+v?Dx#08e1#T>gp_pf1vO>1c@-PM(5^wXr zXOH;jR$|BZi>-nO6gr(~V}B)1zzwv$kChf&H{lk!(RyX)9OPo*FZ=SFEs!%Ik{@6)u zlub9A#rWriQ66@IT@`Hn_KmFKmkgbMH5rf2N`O|lnAcl6*$19OkFtke`aPpKpPnOdVq2TNBQTTg`&MAp=gY3wle){kIqt31+z&TwKiB!h z!R?u}yJznS>&h$f{L-gp`_4w;W%T?#t~cHHesU_8^Vs=XkEduI;j@B`}g--xvLdXwq(B4<6LP^8Lem-S%UhRs1HOdiI|shCm{DecK`muPxbd~XHl$)Z5ti$`-eXvspF{1 z`th4R?|v(Zd*y~Xql^fZ{@iz^_JIzD!jodBPo-WMVk}sVmvVe8ZZ1iA>iFrMwRHc@ z1BfD@$_98y502|^juvNYnexAze)U!Hb&iXta_zpv^PYZmRAwCcX=GCBdThP(vU=QL^~YybSvq4DCg2G20!>xM^~wP2YcwjUCOhv|hf-%I!~}OTggPZ?_0R&8c&w_X6-WQ=F-=GH=S1SSDDR{*SV;pbEq4 z(^6MPW7lP2Ww|W{D<0UN_}mk>g7=Q6vimVQ9*zi^?R847GM(S%xZZE5`FOvV>k5nJ zt+lGxXKjf|)%P6_qAHfTm@ATAMJ^A=lK9xnei4qICU$=jv&~!jndU>P6&KH@*c0*W z0qhEqilWn}**+Ok?h-WN?;=^xd}PILZ|bIlc5k>R$6Q*KM!! z7oS|i-h=;|&`L|ZUs6y!|4Hc{hG8`zjBbyAr0{g4AQ61GXHdiS!!rf&?86>>YhP1g zuVF3gkDf+;He2?7|6XhQUb&o7FvrKN)Kd9I&{IU|S-1G>gj;tdsJ0{v9VU#e6k_3Z z{cNxi1s;g3hY?)r#+R<|m&#wZTozAJEBoe_Dm%ihX`INCbhXFtBkJeR z+#$!Lid$7bA$L3yy@DP`eEfRwEPKU~#B67UM)R){5e|%pQQ(bR{SO zF2$YawB<(v&S|TTRM)P6m6I*7!^Wg3{pPca!QtitaEZRF!i{|XqY$|fk8@CI%bMCI z?ToY@@i?8VHF_K-ckR@#?R#;)d28iyz6EO^_0c9DR9pRWHAZI7wP}yw&e5-Wcq#J; zr>_miZJcSUnmsmV4RyUr`NlJmM%Er9`<)Y3wJb>Z6MU;!_YX)=OST99E>9V05ooxS zEAxwN{J`SD9%sf}n52etu}_>x-k(f!Y-~9pR-#X{xhX$Ct>@n}q6J9Ne57dFwUoSO z;moz&xPo_U%arY&uO#{=i9SxE*XdhWkP`KE%_BT22E+#k=S$d+UuIy zP+i#(Yo29+ctLJ(6KB5>t`rc3qAS^Az85eL*ic}UzN%?piN2~)V6DEYMd1Bn2Z@%4 z#W0J&P5nl*z!$}QS9F67u_2~`75X9OfsOhh79`QQ?e}X1aog!@I&mAH{TX}zG@d*s zoC+AfdDhXz9Hhs9iAC|cd~0`Z0;(hUR*AyX$8wXHEd^g>$GBGEuSN!ss5$$KyKK%F z(CExORWD+_>o~-r)fn~`uC1wMT9c`^C%@#?e`KL_dh6Owhz2n$2a?-oI|u%5r< zTxEM`tEq`cUS2mQT@Xx}Wrqm-pv9OAs#nx$1VXhg11@XCL10)TZ-R2hjlhd-e7IAeN;S90FV|`40~L z2H@YG`~L^WSz6(LuWtPhjl4= z;Qs$$1$%JeCID}lIKz}$9Ulz`|RyYng>mF+b2iaoG>JI$%Czc=*PCfKc&J*a&f z2f&Kg|3MVt^}Io=XC^ma8XDUd%!hwH9}B?YYyX3U*G@=EU6Zf$W;(KA%hF?yntQkx zG?eP}H5|r=--~;;M|GIq7HxS<#>mYGpLY7Q-}rsLto1Q@TW)5VI1A{tw*RKX&AQx1 z))cdN>P;``d*s22*}`JhZ%zE{IT9ix?{G%o`bkN7i^;Toncw>qgN8VHU9L;;hRhqk zomSRPewe<16>1VGLgAY(r{JhpQ06XEU%Nenvoj~oMY=7mUdR(V2Xc5sL|@Hgpc00A zjE1&W{Hor+pz6x%@M%zwr7wAY?qj^0*Gh(64JCr+mb}2uDFU{FJAY39JRd}RYAy!&_gn1NtK4K6f=r7xwqp?stf@D5>s8^`y278w#a02P zz1=ScN5#pfghYY(2}82WqiBo}SK&qZ+4Nc6oyCd4Et7WL1}U6d>-JkziudljF_M$j z+e|cPGaBf~t?~SOG`1_Vw{;hu7?P6VzwQMt_Fw0FGR z$n#5WAI}mjuNGVu-C$98hsHitO$i|aw~qYZtxx^$*0&FZ=KgDp|F5ymVL0=@#@ZEU z;TU7HwA%WDw$imzZtc@Q`K;dci{gCOJIj&w0)FYWCFR!f=dAr}8xVx~3 z#uHb3fcYt~drN+Kj@$09-lOjQ)w>8`5~YX{x9=;1YkSG}^aoEg82qQRDLz0457FM; zV81$53)!w#8Z}CwL@Zi)YCWh0Dt0(7hkzN%Hd>1uY(|5-WR`y zqjF0tX(Cp>$@p&mu1`&-);(RycOd9d9$kRyJ`IW6agtXyMV0rARUK(!&l|w2PW4z^ zqlev=;lBXdkDxiq<~|ite9^xZo^om(WI~H)i{%&yNCtVcRC%cv_eizk2RlbZNQ9gJ z49*rL#=_#@vaPFWi2jfw=L1UmzWur-1js!r2u?$2&kQgQkn0uRk@5-8)-0*S; z*pN0gmfK`j&6wOOXPc5&Hf`dD!An*v{8{hYfqbKNMHs1p|nU?C!f+k^*dC{|eCf z6VMp{zs7p;M$Yo4V+26KTMqvK23ngvM;7|XXI(dW3E0rMG@01wM` z^@HvyI&`B-hY2WhgKak&Gbhnq>EyktTlZIe2l3hs@&K8M#Sfr}W%B=wA5 z74eS;#$K6+z^f%&7_;d|P$GsL`GR>F$~rYpk88Tfh6&cd=kc0dCK-3_{r@g+1IfSk zN=^y+nMP=5t38~_jVemm6!J}I+*-yagf5y90spa1)5#qMT^n44*jbtwMhvdpT62-b zCLb*HXvzNmE{_yR3V#xEX=nMj2%FD269B}Hxx2>| zefew16tJdol=HDiFl4u^P9FQq8rmz-Gp&0t-(EQoixCDkK109Uj}7=$(=FBceYSE} z%loTSD2fetbW4U`Sn&pkoQPczhz%mCMl^YS(GNHfI|=Gz{AdpT-Ru9G0tzMuyEss0 z;W(UvmnC+yS{`s&b0?Ys$T{4Zqq_(1QHMKg!fQwGxzzCgx2QqE(&g zqoa2x#ZKz>U75+P+KimW9$DU5X;zoY4eSEoJ$!HJ>3%b0nLgdd`o1~*BH7gsQ#a|zo)s?fm|f#1M6-uiF# zP>L#F${YYC+03MpD<_eq%Hm7?c;}ogY4dq&P+coq;C{^3+}D1!X^Tkj^$etFj_Msg z__B5il!ThI=t5Vvd%5x4V(rzY!fD;R7iQnR3Wcr)>9U0GE*5Y*+zdb>s%LYBtewT* znC(ABrAoC9uJpZib=fgJhPAbL&==xkIkbcyX*%P#qcy7f48(m@9j=-LpFZLt`E5)D z$X3O|g`ui?q6`)JP8}WVA7NP{%Gd$j4Oge#&`fZ9=jtWQw!SDG*Pr@1Dy7w8Y0W{7 zqI%a$G?^j<4Y|V9=|RTvzzdSD_4GTDQf4!ahAWbF_P&8qEh5+L-WMa)7eV&tJR*Hg zFv!AC`F50cs?G-D7|dci{?}fkGD$d&4!TknK|Lyo2L?{Qh1LC7!aX?+Z71GMh@B~e z+u;0ngjn9-_6&DS(ciO)%llbqsa5u*enQ~y%w9~vAW_uneC}SQ$Fl+7T8cqeJ41nI zO98b-AT$K_p+s{+#FD{}$w6BsN&YZC5d0K8!2C3H%VU6W?EY*F#{hj0x5nwbU)yMZ z^OyG1$Ii8ds_J}*!t=H$!&_po%v(01KL!M8-7>;kE7XA2Jk<}4u#m60 zlMk>O87qD-L>^)ae5S9E-@T&DO=JE8TU1 zMUF+reoKjb7CGo-Dbyyg`f^qHWfc##UhO{_Cqf*wN}sEqKLRvu#ZYA&}I@5o&5TXz~^OY;94)e%NvPXatkTPHl3=5o<%D zGKoL&$wmDs82Senp|3-&H%o{$w8QGZ z`8on`yEZk`mDw1Z+&fhh`zj=z7k-#CIQ=hS^&L~&-1L(Z*mIf}2xR8Z_jKaBt@|A} zxp$Z8xRP<*aYm(ju*VxY5tAK?TZ3+I`=)d-%o7=>MYLUd{RhAq(~q3P+{pP6(ZWLH zHKx04?jI4w8wA!+=0eLY941tlRGs~+UNsbj9&o=#^TKDCcjuB*l6ybf{Iw!5w{Xhp z%@@$0BV-*HH=zI*?8NU{<(_Jj>(m*BXaHDP!XzjLdvGhY=;S|~ymRyOT)aYeUW9Pg zw5y*v2SBkaO|8I>-aGfV<4%>q(1%K6tuQjfk3gKG=jCWPVI90s4$m_KgB~_>KcHD% zXpQx|9_gypgfhLY-HJ-YmNkP=ik;ypVZpG-^WRiPnn2)q(dD)CY3%{9NVc5H5s1$3 z(%<{_Ixkn7dk;LTREJq7;q^thDRvC^F^1_t7!k2=wGzkQg3Opj_1YMmt;J}8=Wlcd zSmuEZ+MMzhKNFjNMReF41-E|I9fI$y`t=mr-UcPF@&)gninrJW@aJ^--hgSTyXsU2 z@ev3I?ywpztZY^BUfc?1N*{M^xrnk5>6xu^gj*kmxASl8kHH!~Wxoe+REV79GG84Z z_+1t`Q?qe(xm^hzwj&d}j))I<#O>_p#Ri%sEvN_QlIk^cl)`p|S|`>wFDlROFND_k zuz@P}7rL8+4`*~bX`J*Qrt)7R)P3_uy9KWyOGF_qWIP zxL&sEvp;XgF~CU#2!7mnWG6&3eKRPYKYMuYSRWH_r6i6W=U&n<`k4aHE;6BCs!1!= zf@lSB7cAe%xAjZLw-edrf)+QAIEJB&YckjzBM@2%TbFA9$>0C^i67iga6Y>}7=L&jRV|j>ff+8V81&ef60EY97W%deJxI+jZ zcMl({yDV;6@M9i$j$`b5rz$)kEHuCRUYl!SzZ>gpbeQU?Km(E@}U&Fb=K_*=;j9)cDFOj|@2j ziX|;{ldn(((h_dcLUu>#hP@9NqSKX2=_EAVr~ zsEey1Ux=nHG8qgDyI;x3;0Lf?yJn+z+EfUOlL8tajOTUCM@vbu))JT z$i2P=ItjJY$Y)=uft1V>AKA`XOo~Q{FVgdg5m0=zM1lH^tW_@xg9FS7q3+R^@>?M% zyVZ6O7KZ{5ssI)0Hy!{BMg7L?2(9i1ogqpj3B8}u+&?+2>5_;2IApCti}r5|%sWs2 z_>6h!9@0^@L@1#4@Z@AI9nGHsrcU`L8L4ARgwl%r=2~5Bkw$1K5d$R_1h)2vANayX zh0%L(tBNlB1jgB~rXq64`0V8(6V&E?!F5e#hl$YG8d_wVf>)APj2x73behsaB1xkH zlqit~Z8C3qR>QmkZrOF4<&SmHdNW+@N; z9>F334HcT2;&g_E5~k|9gjq>J*Z)&?U*X0wq03ds*7qV|#=p%xG+D*tYk>`L%=6GgdxcKxz325>5%Z~wZRWaJam(B{*8A~KDRP)w z3flAqw+#6{;DJKg3v{9leOdD#4~gyIB6w`p|91ln{@L!1PDo=W;08FehQ|O^%-bo& zPA05!M2(I96;c#oC2JA-V%rJM*D}rxcqejtm5MiU2emHqXf6UMoR#6)mEygMN&9{?d`v$-+wzOX8H zuIkL`&1I=xikJJEl`f>lzF=>mB^a2kL!D33v9tKsYv6^5XBZAJ|M#$%W#2}seKvH} zn!g|c@yMGE6yO0dUb6Q)3@c{^7XXUPsbwYn0{(`{b%uY4ydm08Q%nAxiR;*MLcDUh z4eU*qA>UJgs>^+dmS*bH_Zzjia|@erFOkk3m{P#chgU?tP~5%9S}xcV{>?Fkb~igb zPf4xK5pbw=^dSfN)m9Kg*Lsk{X@k|`LI0rZ9R|}L5cS&ieHZoQ6%&S?G@az6mCRGk zR~P;Ooybb_Zb%6Py#wD+!W?o3{h7t)q*EQT-hA|qY?(*vzEn1~Rk(mXpMg}`j}5$@hoez^x@e#tgd zN8EF5Lp4JMr&n0rSO~b6cy$ZbbCG#eIdSSA+-^L z60`g2Naos8$RyTE29@o1ZKi&{+ba{CXVu!ljPhIKn+SEr=^6_$s19lOlihxzcMX^& zR#-Cu^~?LS#=6GbnEZ*o85^gSn2-xWTlG;Oo-uUzcO^vqx@cqVk->%@D2>Rp z4BN@L%DC=A-Ggkwf`soOPevnV-!i>9s(W3{grjRvh}amD?q0I#UR-bpElzo zzofu8svJ^UkF*0k9m2idJI#`UV&w2s{A+9{`XLVoaB;7Ih=rVNdDs?VG@zwb;OPOe z>8N#dr?IM=fXT2F2IHAudC=D z6T*)GzT{5N6tr!C+yp%2W@jr)54wxA99jB#=u;+R68yF91V^J1)XZ@;dq%w8;<+ju z)g64`m+vu}#;$r&>tsTIC;9{fljpJVCv#Dw@mMyF6T-$`QY6dH{JWR z7sBkUL*m##Wgi_Ia4tk zGw~I0L9Zn9%UH&q$iB*Av-XBpw#IO&2|jBkL>%%>8>5h@8Ui?@ri+ssH5%7sZI#49 z$sm5<<{UwZ*kts;@B04m!U?2(uNt-rea5Lm?bS`-u<*gkEZn5)zDAK(V{j3cHcxEs zTDgmBny}>*9=3kDO8%u<5_%#D7npXZmw0}`$*isFWsk;-JZI!&Ofpdd;$?}s`&K*J zU1Fv2hQ$ED^@BehX&Y{lJtLpC-Bm)QU5C}~wr$`&C7-mhDr$iYR>gto|4i2`qX)6swzErGCh(!3tkX4X3qf|A&t@UPx?0(`?dtO-S zn%L=tF#jeZP+##-1S&$;-3%%g!tc?~fQsh1Qm%J~zK;Cr9zzaS#|)l1){rTW^5EL)i})5iyc zJhi!etk!0##TTGlgr~}rvvNC=HCh}icvkRs-74RyJR=v;uFBHVGA%WA!Hf-a%kLUOX{Sy|fR^7&m~UZB(mWf=61PEHAr4%)<~Fq@-&c)p zBR!1ettSZ1zI}~u{MX6niqgzR%Rvs8d3WU&?+52ks zKkpC7!Gs-R71H4Hr^z!2!n5Bfa(3Q$IvBL6yr&uG@A%`e1V&Jr zzSrB8X|#AC+0?-CT@w& z*?5g_Lb)R%y+8c4bT4;m<{qetU_$F+kwU}dUpwAdr$r|VQ;-OZA=_CCrHi;+00Pt@ z@hb;71k&0bp0t@oojEgzy$)x?pzb=WcxgU;Fdg})+{EK|(L5m^wBZOQ=Y0vnd z%@8FFXg$~+7WTpx8CSTsPlHbl@dCL|7@W6P^{aFJ`&y|zI&&pdM6Zhb7wT8$;5U^y zXT)aZ=S=h4mTgz;fND9xK2sfn-?O`C*nd;|)Zj%=^G``{YOEPC>b!*H6;Q;KdZ%ju zX)oy47#jRL@BH;i{G`-yy-b1;2=sAUp|f_lw&~Bh*O5IgCrP(aEar{k`evnu1z)t> zyrj08vTp{N2|v)TyeR~fGB_IbX2{RCuTOoEGg+IF-S-?ij( zxN}pq;pa{j&JzSRi(Wz4eydq6!dJqF?fhyvz%OVUn~jO+23uoGu1I(S3iuEuoFoxl z(+VYgI+)fAWfB^}zO4vlYx&MuyruG{$A;UBR;NVwq^82W@~2BDp(wP6UMhSg2l?v*o0 ztD)n0JEVH^{ME-!t@|>Jcl8CYJpQoNg^bU{top^Zgq(k`H3=#o(hS50%AfX8y*lRe zLW`LaqR({@azd+CJ?WX9TFByVmx*i!x~+UFf1g0!;S1iIRk z9Gotm{$z*pk&r7mk`C5Dq7X~-oU4$H)mI$DcQ=m|9Knen30S|ii`Bd@=Rb-w-^1}NKlfk-o+mAXcTGS z9<68FuF%YSR_x&Ttbu($s)z=Ip`I5+5qUb`}qgqYL9TI8aLfg=YXyd&;xu1Dt$7_9O7QG}S5 zy>1=~S52n;FLHxuFr}^NsR}$p0+_EtKbl3jdakn2s7Mvf7h7WfYR5PB*D+frh*f_1TM3N&F~54 zSn5yJwvQNB$uzu%`dDWD&A|MQkQQ5*cfw^9pcE0@h!R4# zIQt*GZx?AF^Yy?C+GLH@A-BI0$E7yla72jz9Y{<>EKzaiRLhYch~om0KSwp2 zDL6R&bR4@3)VOZZEslW&R)p0YM#0Sd#dTtU($)IKL!C8{J7go$fii~X{zJ!#AmmN# zabDvh2uQNw;g^tOLAqv8%4R$tk{Y(t^BIWF$d;Ot0-oX3s4SAozXI|nO0O1~^uk;p zYC)l|B`|ICBx__qi8IRNdLt=F47UyYTLIGjbgR&y`~e7ASZ1GO2V~ECjjwg0hH>gp zGl$IEK>%eTE>MIL)e6E-R^#)m)((ZQ(7RS2n}Ymw?zn1lPxwU`>ryVvprr|E;{xgJ zCb&b?NK2qbmeP&x)-FL|++`VBB7q($yi#eZ`)KPP8cmg#8ME$M5?;nVbQW|9E6?es zW$Wq|wWZTLGM%&{!SOw`LfAtJaLi@OMEB>2ItCcXiE-Lx=-VxpBYJ%B#Q$$r)$f@s3{hPQQ*o&jZLFgM8B z4HDT1at2G5!&|2?nC&GM@^Q$Eir%s*%#!fFS!+;y<6@}j57mi=TKQ<^jm}(wB=Ye@ zPO!_KP9n{6$%z~lD*16&=NNo5lEXuS>jrjsC-^o_g$us9vFRpv(QM=)#@z3+0NgKv z+XB@4F;um4mOlv!<$zFU+9uC_YQ&C%A~!FmA{)xVs?R)O-)fSkYdl69E7tdH&NJkO z_Hw*!3WE;Z^Fww{#Y?XJ&albHQ}t7J!ETTYG){UpC||<@&Eeb{B(Bvj*!$4tW72NP zNKm}IEq1Smwx!J#c=T>^%`-5gje8h(Mttl>b5iMf%1wfFqlM-{+d!DFcxR9^v)06p zw^s+}D=wv?HSA$}P@VmyY1YtwW-TJ4x773?l&GxZ0F<*4y^6ib7b>%`JUUo_u$4c~ zUYA&@{kR|Ap{|Gkdvf>h3jgLCk&9oM<^T&V873%DfEPM{52P=)4Jz`<4~RnN*^bsZ zz|S+De1^PjMrPnnRz8&4h+Z8ySIaKn?FjN&F=GRK^6_t|1-#r!kLJK?Wqr}+dDUWm zBfCf6ewQwfXgOCaHK8Efc*8n04v4;uAMGx6mgMrmZhM7&U((813>67Gs};29Rm4Gv zP=-a5S&!De{FJ#pAeiexmu6rK79jG3K!9f-P2gM9h+L;5$XVVS;O)!6?uihC?%l9O zm%pxOX{@gh1y>NhgPQ}b3bzD0S-==b_kZhXO31{YJ43fibO{wxBRjhRXJejolouxz~Dp?73-Y==U#TB|#@=OtNZR1-_W{nmsUSb_5A3 zRAZv}THT>eU4cH_B0#V@w6A5A=Mvj@YXi+kt!9;hp@T8*cn(75`O7s1YhHc>&eOm{ znxnxC+MQ5p3tZ#gLBJR{wI6#Wd~ThRj6A3&3RU4~Y!H! zhQdiP6Rfk4rXj_(;hRbpxZIw;sD=_vyK>*7FJoITM~JNejcs`?g8C?Y(>*_JTGtyB zcy^3lu%Kjk34k(tn#C{!m zwjWls={4A?ICguW@pIj*2jqK|#~8mS>P~=hOodzzCsddrp0&!`3Y=u@BegG>nR>&`W0 zYCP^uQOm141?bxcPkmd%^4=*kIAKQxS?0@>x>dV|&8vS4+i!4QbCP(dPk{$N_VLAG zeE0P}Sa}3Sj~B|gl_7c`s2eNuHpM_Ef|zW>$c^tkAgXTueI%34{K+xwYRwnUD3yoF*W1LXo`VHe zb`T|@cnG%h-zso^-++m4)FI^%!nH<4W>#XxxEt%FkIrBRxe&VO~{GW&37iL0L z>*Dw|FnpaSO1s0mlJA9U<0B$sB>SmK_*cQ+5!-}CalI{@S)(rG{WN_ck zT(F(vo&ae1`B9vIDeb3=;0PLi(%D!qv%sLJ*HCwfFrJ7S{AHa_Ho8l-?!kus^PU$Y z8RXxsuH6|fZ1yqurP5VK!{APNEZ=?wJY**XsAY2YJ8G%2rc>>sxg9(pzTg8?h1%IM z^!{Iu)>cYQkoJoBtPow}q|-U!qg{9>Gg)==;)hH+?I^76w;$uz_wNOj`8zFDwa)+o zKH5|iTp)&qb>3aGLRQ*r7Dh1?%h|K7*o4V--v+ve8gHRQ#(MrT&B-U(`>Rc78S+Cr z!T-n8x5qR6{qfhQPx(}$3(0M|mwWDF=<<=ut&-fWD3r^R#$0BV5JIJL%Tz+HOKxGd zSg2e^D|6k5T%+126dyyGP39P|e2ni39E)wq{Y*T5kURk_AFtJKk|2}qU z_+a7J`l;xb$16I;NTce$K~Im~iv_d4P1}p)8XZE_J7dy*+d7N9KQn6^k?cEYR>Wxo zGT!C&;NPiii&sq7I}qGpNzkyLiKU5HYd*L>{BWHjjyVv!fY8cc#n3C#Cu5MdU}Rq1 zUi}&qfM>rXnU2V@EdcC`g3~K+);lcEWNz)vQG!i{6|e%AmCuS5BezqDb`aY_xrwoX zmp2NnWHc1^!3m^ASNQu%?8JbZknwv?t(?*P)5H(yz7>tWnzu0<+OOQ>RDW*55tgnu zIuaLg(b*f-O{zI1iy=f9=W`M81g1fo?*00|CH&f>Z2EEX1vGm;@5U|88_UNQC!$BF zZMHNi>DUlK!%qgs0GhI$#Kz0_O#UojzEZc2zWY7G^!QcrBf`WOGOx||-J8bnW$Kv- zX+nEGzUWj~M1tV>oFy`%l}yPEfEFwFSfop(H<*OH(mbN(N1NFcD^D<&-lkspLDe2%L4sOB_WmP`a;(NgBNP|n%GP<_4 z9qfqUD7J&qKdKtAFu`%lm78D(=5?GJ_Ej`oY}!R{?tm8d%D1IDM}HcLjWEW2%a;AM z?KvT--oi74z&oycjzJsa*Lx@WM64yMz{T{?F_RX{t{@_UgUR2*+Ct7y2GgBanjX>ZL*a6>ZIty`^U1bjRcz( zCMfvcC!ki}B{zYexFwu^)MTIIzp>O0G$J|7p&gup5m@*{r_r{TZr+>;y+{}d$&YGb z1x9-PSTL9)xpjyh#(w8Y?zewjNg9B=F|Ef65OYHnzk1(W)F$V(tgf!LAl@d<(Weml zQBZ|#=nQ)5_3WX%qyuU%->Lv9=w%i9_gak=wb3gOln;jZ2kUl~zimDWB|N&lOrDq^DY0 zv3@qlgCX{km`&8)L=0c1=n-B+smi7JDX@&Jq~Fr%c^xL+*|X#rt!zw^hlZ41l=X_{c4p7 zi4IXY-p)csIUoNT>!B`iRfObTYcAUYqxm7P=)V8xtqi;P_K(yE>_K}_S^+K-yMXH(`O^?3M2Ubwq+-zcb z{PCTdPR;>rV*N2al-D|VE9m#-uiThm0Ti6oneT^m`H1hxE89WNq+~i_B&jjxZSGv? zEKVsEpEe%0R=-8A+#ZcG)iK8A;->4+Ywm70KVxZCj;Z z?jmMOQ2~Km9x#J;1~Uqa{P6fwRqIlY+XT>9}v>(#)R z!b{SXgaUeY_stW^Fd~B8kJ0)VQdjV5NAToD-KkH%%TMOG-E$mvPFu+Pz=uZ2M?cla z^*N{E=c5g^c02CKZT#VoPal2U9wm`Uhrel7><(0%u?AVs zgzg)=HP@gTFQZ%ZDVEUv^y}GToTKW~!GC^Hb|Nt6G8fHnqJP7Een^sdCD))V@>3G6 zZpl3LeTG}&T>0=>31PaYLLp)96m#NCeshrdm#DCxT>&(QNWu%!lq482a>r<5&ZOXG z-Ws`eKgL18l+LPdnQLq$oK!7k$ysU+`YS}It}G|>?j|tB39%+qwbNW9$AtQQuhRP< zU)GglZ}vjLEWx&a;YwOfu~-wZ%NM9j34b5q+NEL_8u|)Z8BQ$*9}nURvv*%Tua2Ce z7DtR#w5|U<`g;mBmEI3TH&`4j_&m}j)T^7OAC6WgVT;VNu_;zNghJ7Y^G7c(U4WtA^J*sES}Z|!V8qA4 zK@Io&!_R>waGq)`;`upuv4ZM4FMtW-z1fYmafmcfWY>9jF2~pMqps*D`$Leg<+J^? zyIKcHzJJWiJ_WzJRFL5dPAe2Rj~F}HD(7|RLTm^8CbP%qOzz&q30(K@M?Y-valu>D zLmx`Q!*jl%((0ra?K^2OeE zQ*_HQ4F4vpQS34HKI7N_riN9!C@>Ms3?yO8#yVA>W5w3y6lHRV)Sca5$&*nX zzV-{>vE5v4vcb-!f2NoJLIA&rYMcGi|8LVqa-$-K;aQ_8=8=#H*J}pJQ7Di!Y75tI@Z{7%#2bT)4gS|no=tpV1v ztX`a3NlG=;ZW{?dmk*#^4zE7F`C=q)Yicm`vdLY~YRBxiaAQf%jm7v!!{HNK5XWOR zI;X={g0~WUkFiHy==wtNG2Y_m&%uG9uuJcEfl_kbE%z3!L?}T%Rvt#onY9i5tR_vw zG)#NuUz{qwfpodJF2D2mE?n@K-DgRFNSYIiw9Mo=BmF-wZ;&{ASo0K0&aPBKn`_+sKqVo*K03if3csS= zF8LBfVUIyF=oX2(*k#pI0QznjH6Zc^HNANrThj2e*P5WEDJB5th293ff9S<#pbP2`(i2KSQru)OxB zym|U^tRhu=$tl8o*~ZL}=yBiF>kUX>Mcr~J?_0`ETKwlHZER_!6VNoHQSpne+k+40 z>+V^FPak5ZLqHwzsK0BhP~SDJ<>qyu^J$E|b^NEQYp6^QSL^hENb^%IC7tn0l;5kj~L=mg7?{P7|o^kp1h1Kf_|z$M9htc1~ZP|q%@C} z$G7`mJ)*XabLjht3^`J7rLb{J_nZZCx9>&`4_M-x!9uBY4XqGAD4BwPd!GJ3tg-gQq9XyxpY4ga@Ej~uQ@ef9gM1n*1RA!BD)ez1O5{qh$a`7%7_8_xV83M3S=6?7cn3dU>RV8jt7+*J zfxA~dfVs3P(h_^Xlf&V(ys~Bi9+h{gTVQp>?C3m*5-pQF^1nYwC zNT>N9IcWdTFBRZ)RkT)GG#CGl>>1xKDg@nN)u&k9Zg+WRx+12I7VnRtv(yy5)g(n< zXo)Ydo3mE;5qa-Kszo$V)A`r7s{jTmnp{#^7rfR>>ohCCcWRpDp2}?h=J-DM$*3*W zM6XcJ49~iEgAnyLIrTFwxwd0X#vOMfCv#xii^Z4Ha81MAzGgm}1!4u>gaNL)Zvg8J z5N-dZ)nG*ot;{-OVQUR$N4{PscWTpfu;pALO!`~ z=4}~hV;#FP*VLW7i{G?#Dw*l{Gi64T+xa83p@*jiq%0tPl+OQQH`i1$M-p31A=x+g zkQT!ax<2}@+#(koZq>;t1G?_8^1!bMg*bn&Vw`ebupfHPEEU!E36XcHAjO#H+6A@m zM+jjB)w3$*<>mmixxLmH5h(t47T&3vi~_g!DS%Ad!B@g9e_c9h2zwn_BL&}tOmFr0 zyZckX?msO&Nb$z8sZN@dC5E1Q@_uv2O{YzFQIR8humr(|;KeOC-f_oYKvG@eu%gWW zq5ix3Z}_hENYtn+^SCSc%P_;kLtFPSQ_G)E6wU#9;RBj;LV#oH*%EO<%UZ$iqwDP7PaGrQTOLo;-%qAhKm*3 z9!&*?Agle5R9zb*g-kG-PF(Nr+?ccwt$vCh*Kc+_bahkwhp$31C6c>>UQ`r&6Q$*$5W!`L!np_2 z@IOCn5D-FEQJGupt-FxSF8jCv$r(HNuN#|HNfn=IEnU&ayJhM6{sXtme5iBp{# zlj4mT*H@Oi2uHHp4=k=%;^;0N1Vm4HWVTn2u-XdOT4n6EXBm`86DZASP8y2l z&AW9c8J(i2=kGhNP`-K2XuV2$M~)moFg)j1UyEvnLM$Mx{=!gw49RcBii@wvZt-nO zh;Qq^?2KCZi|V=tb59ts2M(sxe-p5hd0q+iT1sWV{d^do-8Ro=DN@-1?^}H~0$GQ5 z29W&8y3Ly2{6TqdSKyDdOi9$xVV#1>B;-MGuH{(EqHuu3X3zuoUMUyJcdW>@mhwaz zDDhKSF(^t}BW9{T#W>dCB~;%LuLq}09injWuzIE8*LK|e{Z9wQG-MuAY3ePE1y9uC zr<-0HaNnYU>eZ?h!VRstpy-TXKmFg01y9luUOMHSxkFtDmBVb!c9tq>uUAcWhyCQu zkCo)rx3EHHfKW@D)dO{cN`NHDKc5nC-ER82R?$UP<7TfYbeyDU-=ZpFUYm>t-%uq* z)KovA9_&vH4=Mu0WO_kTqk3;Fae*UEVqPA|2Y_H`mN1(8He`WsL_Q(wy};!D>fydT z;#4NE0~^9F!#ruu6^%9t&InPC-P-#YLvKKb&7I*cAmsDr5_KRX|H4Zrs(r$jKRo)Edek;x&>h_wO8eNjY(|%%ajoN z)5m9|(ys*TZ?FtzylFICZ0ItsbIkVC1;CzLk%+;UD9vgD5J`>Rjs0QoX!&z_G@?J1c#W{zI(GCyVS(+LC$c}<)$>GC`7uzC%xxO2IJHkQ7vjbbo~onOvUqP((Ym z2+heSRf_F5`v(pA4nc6g=-MqOBr>lq_Tu{DBD8VQruZL})n2=Nuo1=7XHSqR;Q`*A z+#2*YC_-pf(f{e-bfJ@_rmy`<_Cjq~Ua{?|OpLBDywKpzsh42ec5+$PSr@pk$nBON zcR=m)$5m~InTxKn2FC-eor|2-g!0_&;q%qm+I7q2+up$7Wg$bq{U-w@|eD4{m5(5NxIGn5j`KT)%J z751sed}W)3Om({DKb^)e`?;53PnpYD)X(>z<07Wu$N3`b(oexqPyam6c$?uaXlnYO z@wph&?@h+!nO~9fT>-P~aX`-z8=p-b*Y}q0whegM#SOVvD?|SHW!8qVOd3_BYJ7nD zH>YOd$5;FVjw8`>N+dU{!qq9(keTC)i@G?gE!_tKj=Wn{bW>&j$Nt5Wro8`QFWWs0 zAuX9Fwj=jYi|lMEuUrf0;tf5mb}nxlcJ=H$UGs(^@VJdRSs(r)Q$%@fG;x-ZBPh2C z(ne~6IylsR(@XJ<4vlbmTrLp4A{};Xk$NjkoQ-=#P{EDuaMGhH$r*!-1P#hSs*=-FHQ3IZ5&{Y z3x_gfDWF~a?(b_>8R_K-v-zc@YGWbDB(+C)@{q1DkAJY_uRGFALNWDHAU@tWrso)j z&5pznM010>eSWMDBaIH~^Qva}PqXseau(fexN8w%P>uS^)x zZ2KVhCR?T9XU6+e%}}b3{m9a!===(00->MM(nSKGt6)$vBcKS)?k!I$QYj1TMZA=i zK1$wQOc;<(ObEU$GTr{5h3<`Jl|MOS5)-rRoKz9$B^%b%t6r8St&&&50|KkpsZl}5 z7_6;-m6h5>pbe~sfSG1kIT%7syn0<`^{4ufw)O=DN(AY>Tf0)8$U8qfK%}fEE&&2I zvo_7&^#>ruWj;srtZKcF+-3LMm2(=tv%b_>S0CW1>f@TOvA@*FDSw>`Fg_7>C!t=h zd{_3YkJWpuZSTx;`Z9DI2_r~IqN27Xuzj0oLC1My@mxt?oBl@~fl0fs8v{!h)21+I z6>C2el<4vx28{RRJ>AVLk~F>!J1O+X9}C_Qu4~^Yo$V ztJ=Ink4ZwM+;He|A`jZD54W*{SskP8BYH@u?shy-gzXF5cE1r5X?a*_xRrHKIDHI$ z>8AmyaYhMe(39XpC40?mwW4t^bXfG!p_*)nR#$$Pa7*W{*%LVRC zQ#riOS8hnbb{$Nvl`tpo#Db3HCsUXK#-Q_f&Z)Z^ zI%l;Y6b4sRZF)N@>=YHMB#wa96K_Up0Y#m|&W<+&tJ-O6>pUX)z)jD3x|Bv*M@nB$ zY8vxP@1785$`!n%YbDu?!=mYm3;K<5;=W+gf~$Pfvt>1XiA+3V3wh;>B+V~)k^)4eIx+nX zQ|a>lFK$tvA85|(V}t`EX}dIB*$`51=I942Dv40gaA$&nen)Gv=vZzb9pE9qd_}II zOx2d78mPqr5-r6nGH?&6G4=Oq89BNCClUFk+5>WOiSvIRGtqNNTrIi63 zmZDa=C{7#rBp)`PqY5BGyt63n+)Q3F4;TdYBZ5Sb6G7jT4Q?hdqi2F>aY^ymbbVZL z?*P7tYjiHbL^f2rbSo@>VQg^i8y96E-5!AS1VuFO`t*1lpytsA?;W4eyB!KY<Q}k9b1#d4%+d@PnfJkfMHf zMEAs@>T_}>=<4)|(=}lRA$OCz)e}1g38khGxQ)4q8P(%_^O9Y9ei^%q!A8HaR|g22 zR4XqVqI~n&!u}lEYkPp-hNwyhS{0=nWts%|o#dfpsn@uYt?(d2&RfL-DUzjy1{7s~ ze-e;*0_>+$q?Ze9tJ5sZAK7#bsfIKMRT+M%La+EK)T=MbAL%06ap4MYqW>6-w{=D z6@M&oTIg;WH^`1rHxxDw-nouU-1kvwmiP|8Xw9Pmf9o>tQa!J&kHz}n?%PAh5@Ng) z20x+uD3{lEeV|03^}5f%sAmG3-pN5RE+>3HZSM96g;v(A0c{m2B~VdI+_6;q*6Yf} za=4!^o@+>(+3&zLq^$%?94vl3+^%kf`=jZd80ZrEpL`1G>9e*^=`|tqd`#{^m|7E8 z{}pD>$5PrDf@dF~n{X)%LLYr)%d9IPqCP;2RPqO8Z$ll##>6JYejPj5c3^Zb`F0;& zfWwjGyEk*8>HUZ($(~a*a4$a*PCh{zTASo6-a#Nj;t!TtLbKG)Pd&%*t zGei#=aClqbn`Nc6ju&gHL4>jj!K$(JyJnhZhVk8-Dj&OAvNlow1wH-Lt6@n!Qa*au z>=CbtKbnN-YWS#4(1Y{l=Mn@a(`_1&*-;W{_GEzNckbdLr;az-@BLBBO}Xa`aP@8w z#uGgCs&FqcHwUU7;^vJ4Xi%iAw+j3Z%cw{IVh9q;30$Ka2_}~Duisa=fT(`;?r>U!xU!4!7twJWV~`5r#M(|E zvfg$V`7YN0tk|BB9O!CNDKdkyhHj)1e)=scq^Gbo&s4Ag-dUsgto8o`XLWCgt`YxZ zgG#LO-T2JNv2K!Km_o2muxQ;CE(gr|^=LP%kvp5lSriQ22-4CcL%+tRJ z4;Dj-yGZ$Xf^sg zws4i$2V|YW556|y6Rm`+S@*?LYqM}xK{U0KyI>TW&KL+Qi=B?W1S|U)={|iF-V{SP z`hGv(12g)dU+A!=2MC?+L3OK@W_48e3jiC2ID+sO;bq8K6p*^BzLA86QfS?&KQZL#UG%_8|9k+pW=hI`OrZAcw;hOBoSIE@Q-dXFK`Ouf{-wQG)%nhNpOK?KFl|65Ua+ zg7fu5l#73I-(r!knxo|FQxjQQ#71OIcNckS2>9ysR6lEG8JGG%)L?@^4@_NaeystQ z$C#UI1RVTTuWvOn>?ot0!ah$BaIvd@$j)Vr)2QzMWB7eoJ^TbCqRkuoi<0`^BGs=x znbgm!J#)nef2|@IX*qlfovv>Uif!OCnIGIO;qJBlQc(DAEA;1a3YLftUHjV?MXi$3eE!ixQ1eyr7ix^rCq#b45|MU zh_?E<2JGdU_DI&f?$AzhYHI| zD_MKoM=$c3%}MO!@PlNa>5O)c>=u~T9LP@l&Mn#-GWwoOfn`g8a1>F1-H@I3rzJ(A zf?xc`y>ie=#G3(T2ki}uG<{rdiJXWR2I`XC9oC`=QrsJh3;LNGh^i;2ZKkp}-8|F3;K$ho1?5Q9Lcusv{C2 z6rh4YVPjDbIVX8Bpx)sjvzb)|^8i+kXMk;ObNw*uCyf5Tk9%OfW#WWUl}Uh=Z-!nT z&|RBB28!#}__T>a9`d6$M{~63zk$AGmnP-!96D^OoqFdTb9+~M`TUx(_S zk(PPzPJPc=W7hZ_-tl|WPI6fgf%!Qyv&E=_PWx&y7xExNFGqW9M&2B(T#a9<2|=zC zh=Xk8#dezR)j=4w#0MiA^n6YLb6w0-Px89g&b$ALU5hin6bpBE_RYajNi=^``YpEn||dqEuckf!h@yM>~xM@rLZ0RibFnv95q-Fp@CH9Hq%oP(d$_Uh zfU(;kIGue*8EN%7Y_%NQ#DZ#{TMDf2c{h%W7!_APJ(LZ*w1(~qOaA6~5$cm02-Me| zuqM6%>gvU!9nL`>ldE0an8o(EW3c0t08Pb++HlknOsK_&M@Ii z5f7`CRPx2OcM>S~Yk`jYHi+BdKL;n9t7Cn6L~j3S^3s+Ye@tipWyG@d=NqNiD7*S& zfd896!Hf+=uV~*7hkUP&$+W`2>^wfv%>G83v{VI$bb_=bQAM9RGg;n$P?{7KN|@mN zYNe?yEf1-3%O zk-o^Z)3dMzeo$Vqkt%;ghi1Yw{SAn1m9C18wT(n#0}EFXHiA(|ic;wc^JEoogH*P^ zMD7+}@D~1EDM1bTAly=|@lz(j=!f-7ua`~(&tv*s#b9O9Mu|yIJPYxF64IUMXHjFR zu(aNg@GtLdLj8eAAwG(t$&Vba!L9i3TkFgDNSX-jMDs z)nD@hyKyf2R^aVsF3u2%Pm#z23*&nwg=fa!hbcKHAmqo+-dl;$rJZUWi-zqlj=|ph zFk3NAoiNxkCX%+nLbK{fZ%7)&Umqu$l5%MoemQ^(5ZqWbTC=UM#9q@z76TIOnnh1R z|6w(nCi_!47S4i#z#2HC@pHxVzRZ|FtX*eA!n+jjGJPu8tLeyP|3&hudj(0gDsFy8wt%+HVCExiSUKG%)Eh zpIK`?nqpm?Bwt55t=n*M0kFz{)h4`<`lXiA$wOsMvvhR7{47z|CHe=Rytl(Wc|&i6 zfh5M|Ddkv4>5$-*q0`Sb5)Jj+s0*&n84fT$!;4K|Hf2NNOw4d4y(?*RF(0K#5f)35Dt_kiJ8P78t`B`N z6hxuSxJan6>sA@WIn&!Spgy`rnD=@u29(6OY40UNpxRiDohAaPib9dx&XtoaL3Vdj z1IWm)q5DU;gIu#V>m`AkFfPNA%uJ}?JW-31DpqR()e5e^a@I>Zy=JfKJO$V#XW3Ft zuU8>G2UG|v&jHCYBlWTUy=lP>B%Yk9p@mAs<{RLezLCc9=OlENiz4;Xm#4eQ+~T>y ztxi8}i@ABQ@MWoQWpmESo2G^;jK2Mha(maal>jWf`!1Q>`c*kgu_>V>#SB+OYH3Xo zYFOyr=OTWiE&wFHR;$OJn^Cw8oekiRC9Rl10o#55XGun?T~OSiBT32l@zea5iEKXFfBIZjAr>+U}x^ zFdre!UH55+syTzSD1P*p6|4;hnYVAxXPa-v4L%ZYs-T>1@8&|{1$wy6N#d;)A_`U? zw~=ly&2a)`+^QLvq9P~Ds*`7hLQEb}K@r1o%>D;shDvRT?OiRF1Lv14r#Nb2@RKFH z%ZiOPsIJR%kD*E7Z{3y6Fj$*N*nJaw`RY8YZ%ZBz=X?I)*!%2yU6ps_8#iY6d>ME4 z(4t}vB_KT=?B*5F4Y(bk1hQc3-Asru!BNJ%fMz<)KIP z;3)Lb^fNF$85tmP77)9(8pJZE5nbx8{_k~yGjvY7+c~Jjutv=|Urn@+48J6uicMb{ zwsR7zA@3BGkKbB8dkaa85bny(p_n_z3;_$vC)p>Y`JBS!K`agMPthA(t1qH;cLEcl zI7%o@5}onBXQEtH-;0C@+!L6nqt&g^m@GUrJy(y|w3V8|odojpFnaSd`m!oni;Fo_ zgzb)gtShOUKZMNCkNp!^39wXt2j9rhTUPXXC=r?(-tVr=@8YoMnEVgRrCGz@wpy%2 zTFiv{7!-t>XIl_?0)n@!dD?(&0F)N311`UK%MQN)|B{w#Ky2EEp%8cPEz-rhSY^<) zrQrLJ>A-DFDeKg6mfZZ_v{5Nv&uo_xs`)$a93Zg{27GPg-)YOfzl{6O@JpkYih@-c z^;N(hU`rrcyT>>L&7L<$H#>k@M*H*E#@anq#l1sVwNCDp*SHnU*&{`|BK`wKYASbx zPyzCG7Kt{%u5|yRQJXx-!Lhntt6?O;oM6liNi8tiDYsQAiLNz!KA)4~Gpr3HA)jd* zi^`awH^i4XD7#M?AmGWze{E>DaSA9=a}nDDi1q; z)ahp~-BdR;c;g0Oklk5}n8V=;N+No&B2~$GH8&*-Ka<$$k3@gT7sI7jrdSBW`s+x_ zQ}rL?(ZI#n=u8g@QCm6cE{%?3!CgLS`mcE1KRcS@+{H-@dCyA& z3kQOp?YFOPe~wf(Hiuqua$h;Y_vnIs0QFxUNt)yS@BRq?n0|_3z?Due4ihXEC*7G2 z+|nb3?c~m$xh~E-f1J+`S&RywMqj*kqjRAyEG*6{zf%_LDJT`#x&it9-3E}n+*)8@a#EeZ4lzA7FHOKpE5UoK zVT#aTN^`c}&NfHrAx9mHnv3mJ)t3Y;?lU`n#DAsX)=yf#Mvns+BY2I(@-@mao*~!( z+*^TH_$KNgpdvT=Ifd5=VjePe?Cm2h2sP|^^}ACNtKpCjA%e;~xART3LZv8r?F*H6 z7G5yr`#aZv39qP$?q~grS1HaY=6~GD5A|$2u0iCj?7SIzfWHGTc|{MtcXawtjOtUm z*A`u&^U_eU)Y=!Jhb$!5!3|9hBuJAOK?#_Ca*&m<5z_r%U+1Y2|8{p+pB2CMd_`6K zTM+YQwg1k0F2Fw!)6k6BCwH1*^((6FQ2`85>Ebc!{fQ_Q=B0tog3tTU9#~7P3d=5y zy=^C-n~ONp-_<*ZJFc8sW3IAZIRaUKgZrV(C41~nl~{N4)vWW2U8Ldv6k}8 zgDa{%X6o^kPM!%ztDJscFpQ=E4fv=#t@YVu)dW8)LmNM&!uo27o#^fI)|_U2Tq-^3 zaxpgUR;ulOn#0_ooPo3j#QFbNoCyKPyEDAxW^@g3<0V8KmQY*ABAsXUPBwuo$26wm zwUArL+#Tjh-LNdRe0ttroM2?}j^Pcdltba)xF8tEz^m>6So~}O6)WCAHRo1>rLdzu zPapSd%ziU`Er2V=_K*PnJdRcM6Bgt01{*dFbeli;W@cL58BzSWjE!@H9am>k< zYKhLN0$mXzLkVq}-^prIc2*Jb+rT;wrTvAWq~w?T)bSF)Le4lI!#(`aM7C;c-#|~M zA2R>ak^C0(xPkV7p;z#Ve7gO^sm)`-VDZj_Fg@U{A{7iH8GlBwb<)g8QskS>p8Vvq zB!zVGTWOP=P5l;%WN0&_JYnkyPnDxNS=RkDwP~E` zk*=lT)Wup!dwKi)q&_WgEcqubvFR(rhMC22 z0zH*l_i0e@o+!5xRpHwoG~G^M8f|BhK&l6vex|}uT39*xDARR^1C9O!Z>^@ zQtgULM|Q`p;6F(0aW>ro5tnW-Nm|Oq*x`zM7>{i=LlwSs`z`R-8dy<-KlsCD@odpP+zom* zuF}GP?NQMl7DRAE;skO^*g&gHdhp*Q+Ba=kc!(kEH7Hw}_D~Okl*D4JW-smueGsok z^iag$+fNw;CisgSq&b^FUsKobT6)x`cwjsA2=~gvV(gOl3Fm^qdY$`%9VmWLsbs}_ z?8RfkMbHo78}G`Co-98`P@n2@Dr|WBhRlXyZwZP%<=_VCG?2%%Iq~VvRf1{A~fM&X0>j(N+b7{j2qQ}NmJk^g1 zMp789#OBNUYfN%}0&DOV7CfW|ex=H2(4nXt2qa1g#k~%vXS8cvs`GG}bou8I5F{29 zhV0fF-fu+=2^G7ODh+VF?d+A42?7ILBnU;dgQc|Q8Unf1JRTNd2E=Z!r%g&i-m2t{ z)3}py0(~WvwhR_jX821;%dK~cz*vu=K;bWXg4+H2l{ap$*LwKd$Ar_zzs_M&{7v5o z|LHN~T6S{N9x^RdM6J1h_iW=H{>qgAnay39xMJ)JI_fE1oH=i~39hgpG|OF7wIYf( zPVgZajBhY-BoF0=Q^{Lzrm_1V!n&&_yP-WTqz98zDdtM zQx=mtI+0G(-HzUXX~b&u5cF}Uxh59l%_W3T%^o;VqH_gGIARHb%SR>DZ!K5M3VBTh zo*hQVSFXc7z6SaG&s;nRDEpSKNZt6e<1yDVu>kv5H+GF{E&9pPi;%vUo?3}TXqSh6ScRJs zPMFK^m-6i*Id4``aSTUw4!U<*qJVIFtAeUHG==sh=02I1c!mNyANz4V{Msvf4B-JI z?2OGfuEQ6aE4S{TBWn3o-~7o8rT46K_I;s9!8Z0p0oHP;0WdArV(}*GpDzo}y*&j# z5vmhs#mnZ^d*iXI&J!W?sz*umj)yK=0v(o%OKYjn=OAyDcB(&2ZJ z?C+x7--`degYQ3S>932*OgG%Fu-W}oiE95-?MFZBg&@|j0PJ%*d<8_kEBqjdjGoqj zH_hk{Xud3_#%}E3EdXYeQgDqN6gfJC})N3ed?e>ni88h|y=TejOHm|Y?L{Yno zy3#vv4pV;2;d)B;eqruog8b2$$Lr7@ZL{$r>|=t*F{ZhU1!!S#`_ z*A4j(P|xTV8%d&I8c0cPd*5+RAEy@}%ce##)5RyEk%S7Sbt?8~q!T)f0e-Rg zb3Pu*0ST%?cSi>27Lqd#cb{Lxq33nbBf0ndw2_>T&4i1~QCNU4bux84)x zrr>$jFeN-u=flJYxN=ldwQBiTO4@RNu? zBcyIuAT%n{`w@{N>wvus*~I%=H(}oW%N`c$*8?hIp>h;C@OF$W(H2-WVCd6W2N*u_ zTE8?YhE#$S=BRaVRm3!EYhdt@(+1HA%*AjB&U%U@bbrVmYmg?fgAI_fz4?6p-r--b z6fw&f7zi%X55Oq)FcK@KjVnOMlIDJTuW-H9bBp!e@!S!|>A+tgZ>}2lg+s#^_l!6y zEi;c%p&%vD$8MVDpCaqP`_=VEJT_9Q@&<(isfDT?A>J-S@}t%I)_6?62`VDQaNMbx{5w3!*oM} zSQoVx9_9&rY$^~C2Otm7@#_KZ0)IbFIhwoD6Lb=MkQ*T&S4ViVI7<#4>mM$!gtCyu zo_moN`segYg>^J=8HML*clfFZHFL^t$_iZSSeyRKmsW!R5KBvn^ipjXsv4z1aIR~G zr!4yM>FBlC;xbCtQJW#PZ3GT|2*l(X%2asp%brWow%$NeS7+dDqi|0tf7?kHeLJ9Vtd1(#= zG(r=dPG}FTzLR)`=@M>i4lkyNBJYYcYVNQ zz8Tedz}vHG1(qD8IDU-O|88@)B6W#d?w^5AD;n+j#>GGMw9a{OCrpI2eOx%TGyvL`N7@36#TOa|I zaZJQU&}`)fF>wUY<3r=+Be!AP608ESvZ`iTBn{-VM-|5@62>Cy1u+(pW|t7#@nNUKFi$B{-I zWALi3iLuM;h*At^uk7r`m*RDZytw7P-HA}w&BE}%)kN#3&9sRhZcIS`Z0ri)R;j(N zz^^kEuOZ$fa7pig4Qy(c*^v?>=K4g0Ggp zY+;I)V>-g>z*o1sc{jP;@$1MWt)B?1`CG4B1Y75mV-Ke_Htxgr7%$y+by$hfntspP z+2siToN1|WB>YjCxeQOTZD~4#C3{!hZ7L-`c9R-Uu51@d{MlfgxbyQmHIk#7&^Ipc zbA;c$bY|dY87Nu`_VMC;{+9~;mxw9e$~0stwJ~I|?YxApV@4|^Y+IqI#`>x(ZlX18 zTcF4MZQQXRG9%cN_$DjAl)sO&jQ>*6(h-{;YHCKjaP>GRlD)WhX@H|AL;T*b4)&7rfYmnq;Px>o z723D4K@|yxwaAj~!{VO{&;|DmO0E@{C)^nd#L?zp7C?|-+IgUWK`s;sQcL2gA& zZD(4UTH=h{J9ChTnmJ0v%G`#Pm6|*E0&$TO%RNC+aSP%ALHP3i{Qm#_cX>T7kJr8D zKF_)5oYxr!e}^XtL>ea^=K#6XFhtPD&@+Q?i~JxHslI?UMa7-aOx*&BqRhIm3$x$FXH+{RfEX3PpKDK{PaO`r_1Z0D%`Z+0_W8a1 zHg&KduXM5kZSO^<9JbEa4)5&gg5C>>QE$RIsNJlZW z!LO4y--_Ai38QX(5F~P_dF`d_7tWIHzjz68uyk?tn{C<)Ir0?2`G3f2RL{Pok`$69 z2zf8~53rUqZWf?@VRE)!V9V*E4Rm2K(Q+;!@cP&SOKZd)KP}WdBb84evyMB}p?yTy z|2h#ZQ=Vj5fG{=E#SvSXkHMlLDF^poPWzJk0EUa0`~k&=U~L+USD5MqToSX7P^THj zs4QZh3_Tp(&DeA$SKoqV3WD4vG{Et?jbRcIplW3HBa5V zLMRubf@|}A5tQtNGVQ!nXC2cVInF&6{@|9hy_!6PtSr!L6nP*Vu(}aiqfYzD)uyHT zuI_EAps?XuS`4t|_k&TZCC3_%mbd_^i|u!Hr$9zDzt_tGjqPt)f+CG<69Yz<;=@}9 zP4d63cpFQO^k7sNT_XQ`aJ8Qh#toaoJKU7rQP%=%5wdMpxC}S^yuKnDL|d@B2Ve#R0+t?}h&?20H%?Yo4@Q|1zkOzbUqD~mC;^$F6G*X%z> z(pv~&ayyBWHU)VqyF&M*VY2p9;x}c3DjaEl2ziE`>xvv$8$5CN&5a*AzEgqA6$~00 zHh3?%%F{+YzRNqbWA7@~{wMlBnJ;WAciTuU!d1N^{G)f=X3J^7&A?QfpU-oSJK81G z*Hy?n(YdL?|AU0Ne*nbjnlw9(P7=Vk5+GBZ3V;cHWQ_oRw52?EiU)eS0i{8cdvl>P zDW<`J*Y#MmpO=L8T!ELS6H1GQG%+CVs;?9z9JHDU;A2&M134fVQ-yhvAIcN8^!xn^ zR^eAv2O|AE-|mMR(xo{M67c$5|Bo|QTF9`EoV)A*IexBcO!q&Tl71TST|Ps(GII`P zQPUP;{6UcE`q~lRtJ9&fLHyssGsV_3wK{V)5Y+2=zx;0sFxgNGhU zFk;xJVBq??aD?8i0!Q{o!2}H0<*JSx5i4P7V*4=hmdVuo5lTe(q&IXP;aP;jH%` z<9;vivq#eLpD|d-G2*W73Q7>C<&M)9z$3`K#1ZkJp&c$}6X!x?4E;vGEiDr^oO>Q2 zX79rT+g49l+CSSe+EH!uQrVLX+@$-Y2LFd>^;{`fZ?Fb>?L2s4LfzNVu znU``~@4|mMrgd|`fmG)qpIAd}u87}|gJlF9DYbI;qq*?9+X)0!QKYtHSb z#5F8~juTdeRi=Ka>~JK;{p-RNnM!l%`^Rx&nbtR)3RcgmJip-|#E(?mcvraOO@ZDP zG?i!Ya-ypiR%qI3IO+Y+oN@j^|Dh7zE9}k}2GPlT^&6cpFRnQ7rQuV)6?ufcwXh# zWYYO|`^6O%XSU}O5qkKZ>W~l5TEHK4pRnPs*HDP~iX3km(f@)ZY;CkIA}303<&^{s zED3A+NnizVuM`w1(mJJKP5ra1fU6wYG+H{=dy;Z5{G-zEdk;pVxY@uxox^RnX?|X& z^1<0x*nIjU16W_Pt+FEGvMbqMG-n=fN?7I&-;;*j!j#$(Ek|VsYCmJNg<*So&O^ie zFo-b9fk4zf_H}3{9-4D!4^Tg&y81%k_rXP3BWO7>Wnv>1rFt~~7>_?SOgP-Qb2>zb&s(qoQ`xV}iW4No$CFo%sJ`}dB;V)DZ4Z(+#j{$b-Y5h3 z<%zG<)U;h{dSKdIm4Ncm$mD=#l{Q*1#h&ZROt00&L?v{zgNh4EwxuJ)>5eQq8h$PI zq+R&(Gbftz3xtyMatytow}u02#V-hYZ@_s5cc9dwpcKrq35LQ@64hwpoaFJBvd_rt zBH0Sg*~IOF)kD2ynzT?qXcyr{YV1a!+6j zOSA1rDQa7GLSG~1IomOFT-?synY(Jw9UGEC)cr@8D zVk@T-p%&4bj|gLe9KEceMOd9io2t$qyk)QYT+;W_dV%U^pgNDp`lwT;qNyzI zynsAznNu_9?UEjbz!0bW06e`udP4yJI=*cFKYrkJ|M5(LeJveU&u&kf?5Wwso)a$P znN<95S5=DpMQ5+c*tA}ip;AS{Yrmo|zfLCJHRoBp*lVFlv*vP)AbZENR!p33@&uM* z_Ec#5QJZ(RZH9tQtL00>nlaj3u=S|?($y&4NrLq2eH4Mg7rHWG)K+e_u=@yqt$18S za-{)SxlCL+GIUFx)kj%NfTD%Li~78ho!{ekf9LR;vHy2;Zg~j$qw8TfzC{hEeQ8*) zOILbSOR)AR~PI7&MnT0E=$=D2!4%;Wv3p0H%!zcR%7x|n7zifCrA+StX z?fr4z@a4x&DxAdHmgN?^cToB0O^_2p@IOOWHkuwIAV2x)@CEUe37L!(VZ)kq;28v` zmYSNK!g>qk_`O7+P*6$M`1~o%Z0KQ?PK4J}2f^Ks!b)}f?bt+Fs(E+7fA2gG88zqF z1{-JNWIy^S)a@Uie1%ZZ;~vwD(j6h>ZJGz}7p$IiiaiDEjS9qIo5bvIaY(@3iGbRS zEWCLRFSOWy)rp8{(CYJkkyMqZ^0p-6K9er41d{{3q*aq@Io zI~C>JB9ll@6_96y)uYyyLs_2W3N&d)+UH$qkqAmCDr&hke97_psIvgRtA)Jp1Kw73 zv48G%sW#+TRr;u(BY|08ti%niYiJ!+yNj;E&?|=!YTK0Nxpqn&W-Fu@aCQ5>KZ_VZ zv~>z@g?+;8DU$z2F!j-akJ9o!1vmM_!qZaDrvu1JZ=Ww;+RD*GrbfwPPK<2m~9CN53|VXbGir7Z#B z?``yWDb0s7f}-mC2n=q?E_ApIPEG(1J9?h3*s!5S6Xi#+AYV&EzUIYYLX_A3MYKO0 z-ExKs?aYbriGLmxKwUbMWaw&MuF-Sw#HYA4+-y3JbSW zd0nI={APL*cxM_mmb|IGy~F1OFiUQ1h;A9}8_<@qt971`41z?;a-%A^Q3ja3<1011 zHJYbtf+9Dq$t6j8D_QTF#7kJLPA_V++I_y*1{!PN#iiQxJ<&ROpA~P)!B?V4xDuCX z&L=PH0tRqHD3T{agrfo znMvyVR#b`6R@^n+@B{g&aI9)^&YiS;`wF*hr~qte$H{dNJAAT~PvT%p!p%#mH}_Au z3o1H_au6b~CSC8-9ZZ>)CRYq}Lt4CrdMyRy(X)5h6kYNKgd1;kGM@aMy^k^a=JgR% zt5COuw)tG@^C4nY8kUE-!7kZ&IW6%AZOR!|JdmK4+qJ6(#jLh0Fk+xISnNre&SVH= zZYDSgZ0sm#ikBo;6u)V3iwZxw@1Q}WX%n{beFb0~r5Hk{aM~WAn;$ARIB3y!`6Ujp zCY;9Dm1&p|vAQKAj*gry+{WR3li(Lk^Ao>SR4NXstZ&BYp{hi2fx_Y7ypQ3nM)uQ# z8D(kXDpZ3*Dl=tZ?x2*Y#$n~3F1Cg>dtOe~d^fjV+1lAh!I&HSCWtubLDb*_ z-&^4x5br;mrT3m5&-kG}$$>tZk4Bpgnq%?z-fDrJAV_c0()e9HoYI8JKC`rfRbD5S z51I0WUQWy_&HEskAPocUZhb}#-Lf}rrn;k>i+I#Al+>UArL-4ecrMp}8l=aeBfd+M zeBhvuqC)8U+$)|-VKV#3U|23s+rhk0w4Z{%Hy5g~04$urujU6K8yrUEw~U_RsNBkn zj#4lgh2}FfLk#6(1DxB{IXap6J(tfhOh&cPt6!McIMb1gl)d6JKXK1~%A)(n8an?A zZZo$&7nbhKZ{xTxq_rF1Q^Bze!5n?d4TCiS<0IoD;+V}GKa33|G{SWHHDOjKg)VH! zI*?|N#y_hd)aic-`jV%2aY724*GHQK@KZX;H#}2OCNmF5Sz|x#zRT9_zRAV$Dy|l+ zzVfCzwy7-Lb)zA;;l7;F5jR~_t_%(*;3!Dwe+i>Tk^-F;uhJYMr=t+b4HG=nB238n ztzW7%)b-CxS#1P;9v;C+P9NyhAY-#f?W#LJ5KlHp+YcqM)KB*+)QRnT3{W(aDVs7B z07_=MBGV#l_N`=nYg8^t*jYC480oq}6I34=e_g{Xey8{2NBw^&DMjS2+{?0V50@@> zgMY-TdK&>N4PFPn^jA-Z3Hul%2ceWVV$Xm}8ouM*{?`0asJT0k=zGQ^*{^35BpvE5 zW^Y<5Cs(Poj4Az)qff7?l8cos_we>G0AbIhFCJq@o>el8RT>vJhtn0DX)5Q_-n(|0 z&Y4sYcJ(21)g_Bh0jo>7;|+wF<1pB}SrVxnAz9HeecHV!9s*bil6z*gRnpZi{sMm3 zpQYG3!W=98SaRMt!!2QRe-@gD4_^H0D}j?z8lPjhQ@ttEHI6sOJX0WE2ZzA8R&jLn zhtbkt-5Qz-wkbF%uEgT3w=xn^6!xa%Z~4x{Hzhw_cz>34UNswgWz;(In=>yw1tgC= z0^YniW_t!ZGH?itZ5^3BR%6{?5#;lxq|IqH=@7VRacoYzU<>wVv#|M;vsaP~m?`Is zESYv*T{r~xB8|<}22#D(4}n1vJ(KBB2b;p=S65oCWxXj(z2`y~j({xOC_p=b@T*-F zk#%=p(GUSe>o3eX+5w84Ln5J+SDA67{Z#M!i1KQ2Z_0!gZlqJUX69{q^;PftiRB$B zV|Um0FSd^SE7v^JIDx2-flCJ96F>IS&W9Ex6Z@sV7F*k+m`O%xmR0L0RGBcr-lwJu-nKO;K`ekW98> zMT3YU5hYjZcE(7E*mVbz)}r?;^z#eBiTjCuUgylW%k};~eT|%chzt2h&)`Mxl$JcO zK*$F86!@GEet>;pcwsuYls!B?w`obq#$h!9WwqC-eJweOU8AObV<)fnF}RXDJk-)$ zdyMREfn&~Y+<^Q%XuHhwNOBo-t;I?=maJ4kc7K9?$f7V?@VTCwdZ)1gbgB`I-2x_`k60Zx)rjzGUJf{&4<$q21k3%Y^zvbHGke zADR^r!}%zjf8E^>Es!M$hG9{V>0SNMiF9PGqLw$TLfhJ;;gPF z5QNte4wV&>BR1&CAvtZO0t1Roy&@8%a+!xn1M+VVQ-r5(J6J#GFe8`FGDll|TY@_>-YLuLg_v!2T*iwxQ`owrZJz*fQK71|-Z@+61L=NmSsYM44@yJS z^1eT9c$3QU?tlxK6zGG`?Q>@oBCZ2);0eN*&w8{%g+V|fV^k-YT8rq28pw2dkREm= z9&^Gn7yRm+_>gIKV){t5RiUempL1P_`-Z3~bDbfT5YuPS>n=lh`?p4JcVb4NrSbOKz&ZS-SRI2YB+WN+j<1f zt8wsNpDix8LglX=cl0ki;mHp*%@3Xkpq1Hw49+e}=6W3~+ws?*^^hlEelEkgK zL+ey#17xfxAEr8+R784Nf=`D2s=lF|#%ZT};hW#A)+_d$PLPMbgR}R39At=J?4SBL z@vt*jmv;=T?&g~kNx6aNS*A5GGl&Lyc6_eZgqGyAPEv80r2AOH1)q|r3UauW7HT6Z z%PlZD?m!s5Tm)sZ%7N?8de3jHVNqANU+$?n8e2%4;tU)b`y)@kSPAyoq~wS#<+09y zZZze}fxNR9$BjeF(YYrGv+8^vHYH4|wrZj>g@VTC}jqYlrtRs)5i7aWNbv6 zzfmXBL;fn_*{T+EMwB>KwHRXn-qy{n@Rak;qWG`T5;8O_#1qcW1c}FA%Jn+;>gXVy z$8dhk`mbG4hFm;MG2d4LtNgBH^}{B!WU>R8@cQub2Zr((sLN$e1F*Uk$_&##12(kS zyw)hX?QMU`ee_R!Fulg<@?O(IP9!qgz`%`f+m5uHDM`@%M_fKmsGlOvj=kB?=^73& z8X`jZX@4cj`NW_kY@qcu+!;9wd?eD#0GW}|`nWU~>fB-XZ+#B0avRYnH_#Tqd=0(S z68H(*7J@ihcA!2*Jj{vBw>ZSRVipKd%e}cYt0UyWaE+oCJVYXI@sb`2hJy4X-6Su_ zCP;(iQ29)Evxztc6lBl)s&p^3Q*e82ld_EsAea!J_#?1`^4KWD&I*D?vS6X}qO)Rh zK9I77?JYay-YB1-&Ff>-GB_n^;BK83_q8Ta7%^}0XXM$jjM1D$YkP9YKb^R9>A6Px z6Az~oDmOTtio)*bVgGz~S7{Z8yMqf!{puZyAAFO}u-1 z2^|IL*nVt*o8~rv<-VU6V8;&4=?)T?`{aaukS>a}Jw52Owk$4+vKKVeD5b0P5&< z%oO(&iM&+a6Ba)a=N6BAw$FO*`ER}ZS(IVUrYkPthS`)OfHq*1PH0?F2D9q<@K3!v zTK&REToxn2Rk|0%ff*S!aiLd@OabWB=hZ^9-CJ>ZXce<9DCdbu%@Z4uMg5}&-1qgg znG9Q@xzl|irmHfI9fLVV`57qrOdTJk9+COk*r*yt{V_2iRB|$WfTO*LP;hQKxeI>N zrI4mzN~4uH?sRf~_%-TVIg8SW7K!M$9$~^3fzMd!nryj0xflml@3B9*GwK&3$ORfu z`QI}kv9GewaaxEE{}}y$ztzWw%yZ*FgP^CJFQIYB9-0qZlVHlGci3^u@8eXhqr_Pv zpGJs#hy6_1*qe<^!>>U4+N5W%;nM0EoE!NAqT~D%)o@v$yLEJ^bI`j>bJM#^>gliL zt0R_b-w0A{FAun(4*OON1B^PQ_QcYT$@S8;hrm(yyx@4EM`DE2SuY73Z`Y5>RQrgp z_HO!(wtzt6iH?vyYV9IrFQJ1Mnz1fnF0|tgh8t@CTpyk>5+2v%JN0AzjPU7t$q4zx z@eo5%8vQCxocd{^3J?aRy!#6~h8%qg?CV@_>AVx_;5Odfxy~g9QTn-F54r)m#}lvf zISvf5Kdw3-XwW}?r4Ld>0eHuBstIpW4tJawewPm?n*kFJG@WoT zF^h{PmdYUWS}MFmaSH_Z=Qg!evmo_(8TYEiKMFV57rlHt~T zhz)Y&WuLy^M?!k5@42NhfRyY>JqK20^={0x7$m`em zcpneWSMIFgx`)Ne?f(g4MsAjO)X=l)b6@CJ?3@r!vx3*`mgPrLq1XO8u|3^D3^5r} zRZn3dH52ZDC0B0bIlPvX%RUIE`zYcj2*$Vb-^MILr9l49H;~mW(Ge%14sQo4UEd(P z2$($L7rDph*B2{qqSx(m=S3sFkZC*SBD<=83hzuo9amqPs?!UzWA>{1eMI|iG&zdy zx`P=Cb`V|vM66Qp!oCTW_G;cwL<8Vk;xZatJ@@pKZKu@w%I3>w)`TYq zC5gd1)a`bfnuKLLKB-kMz?kiXpJmjh@p9t+ihdt_mXYU5kE=iBGn!%lCO>{JIgfQa zNafwicQphP9Bca2k6f;Yae{NNBwr0Jznrjq=}FxbVHVg?+uaHMG`>>Pzk?>~f;BYcIIU`dA;V%dp?Z@&6cEK1b$Bgy>4jMlxGcO}5FGy12n zC+OKaE5A~aP)zmd3(bxX5|(BIpso#RhpRuY-UvLzd%M!vRm%WwmfdI6L2f*C)nI8= zt+>1$G{pFgkZ?N5mp+?b@|7d<-_wC&-Aq38FIwB zh5`T`H51%2zdWn^^nCAWf3p~%j}ilHK9nnr=m=;nguQv(BzT*_Sh*=a|tmQ}fO0_Y+C%!=0_Rc(G2f6m=3&8Xm3&_~mW zxU`bHk{gaB=U>kg7^5B;mnj<6xd*`y1AFV+Lo^4>@WN`$K#7qqxG~---ItJSUv91j zWH~n$2b_jaNeOw$9MbCBZXf$~_~(<0Ll~9%*K}Si*-*?Er#XhNxIApv9@6lB8>Hoh z^pz6W^zWd2+KYH8H&ezmllKtTD(W_x5~Rz4If3G9$${=ZJ;^rrnZkJ$AeqTe`GOlB zU&j4xEHq{sY9>ye?jrRZ6Iz{EF;^9@0L>3&g(DwKT9CJk-v#DINRy1bi`?O2Z!6AS z@F}`9H!M1_7%LydBKvJ$$B}3U>db;2(7dyWk6^fX!V}?xA3IM##%9NGZz?cHmj)#_ zRPQvFqp3MDd&Vyt%RNu^MQXY?c9#1Ay&XgoRpIGH$KTYC$s~ zT>ml_%I)V!XHnF5@&|u$t2sDtV*uXBQ6u!KFnj0osbmt);C`bCP77qGOXv(vj*A|g{kLm9{3<%@Gn zfGXFX5o5AJP^Cs}94C!EuA-b(I3?nbl@5H(A8VQQ;Ic?d&|un1L)R%It(iLChVF45 z`@XsUu!n*d4d!vMcs$6W?(cwtPJgyCAfb0ZJW=bPW9NYQD`9K~+&P2K`vrV@#kREMNSV#nnU&CpHF4e)`q3az z+onrq-LAPgy#MUT!5v+lv_>U;r)l$A>6PlH4w;}3Cm-fbJu8@v`Grdmif#AYH~;G zEr`#jyXlN_``6sX<4hvwL&A`)y0z8tt%uago9w}yfU<6DO0xd0lRB;8QPYxOr$W3j z2iY2b{|xuWZP5B%npGiI(Npf20VR(vSbT@;WO@Q+^lH+^>M!@v-eB()o^{MYtCx-3 zBE{&5BbKCWo%?>_^6uVKy4+e?Qc@VuAU#wgA2&}exVhS6^ zk4XdXHH&>YgZWZAzAx{Vu)nn{s|2VR*T8!HAd#+D{V9O$P;gV7uPXN-!>Wmw*Yy}4iRVZHEuv>vLq5MqpH zFgzTt(Cm^^Hf-Gf0832}nyGTDx>+@v>gzqg13;{qxXyBhb+$CH=2Y}w_=WV5L@O&! zLBs>i_-)-tKcTqSkEEyAp2f;?fubGXeJW(Du&s>QQD@KMl1^Ht-gS$3EXU*&YqM02 z4F@FiPjKoYBb4Hy7tE(qnZ2ajIhUQYD%X4ES<{doHR=(lthZZnlzl1lU*9t+S&ae= z8_2U+l7%n;Qel1l3XSzC^L&KK`mdVxGjeqbwfJ~U??r>Jfyy=MSx>SW)~i0aC4@hc zyAYdiD;$U%y`H-3vTIZwnt#`lQGe-$H|Tnb4a3c%I3+abh7lIuU_rohA6iUaA{l*K ze1HIo9#1$b@IDK&WV-6tRcO5J&(6wZrR8MNL!Rl8!sQ=P6Is&Yw|ilK0zXQ>(4gkb zbD6y|+JSn0lO373w56zE6k)1YTi<(x-mJtwHe(f zWx0D8R_o+o=9rCPMYVhEziIMP>U??A5v+N`42+n*KU$`Bqv=$oDqD5+D}D@~)=7Im zIr=*P2EafH(b2n>>+_zgmpeR>iniKwa>|Kjmo#La0Z2tR!Cb@txAEQ@wY!ZIIGE$7 zCFp5_8+_1M4G3R^+RxOvAbES2Nc!HEn(Oa8!XRqOuZ|x5WJ@nskhzy)D(1#8k8{!zpOC*??Z&mW2q)SPE zk|g`?M{#V(?D(1p&4ij}`!DNj>0>tKFgbU9e7;IXMh;28A+6&(L!QliznNc+!VjGw z#R2Op{NF^N3O__VgGsj1unCR?BK<3vAywKpH+%|>j`IySa|Y>FG{t%tN5@4(sAg!k zP(NeZ!c1Nt_CV+PB3-D-`Zs~MHp<&oD~~REC&b;K-`W#`*FNqC-xa(2gFzw8D#TFG z5$}G@!{C?Z-)(3!sY$l(KTF<6EM?p7$JKcL(2dRiVShiwFSDXJ$hWG!=)6O(5_(7v z>pAQP68bQ-xJMmY&xMq2B6b=8WxF!^&wGqUkBo1X$@(5~U#tUAsBrE+X6#!h&aR^~ z$9F>z*hTH=muBO>9{N)cQb^^njV=l^)jMGAZf}_Jve#$GlT;7H+ZzxyRK%$^%M#Z` zisBYFf7WY_c zzh@1dZ!W0gxQmJD@~Z#gC%|$0^R`h$JH=L+WP3jZK6Zj3O03rS*%SytRAhW0HCML6 zKWHz$Ilv{#1IKqY?<=e%MQF^GHS4L$I*Z`(S!c-+z>onxSviJL8eyeYV1l01s>A!SC@FkvhN z*#>;Pt4h~*>?3YER$h+9ikdg9vw$j9n=LV!0MiOG)D|{2fI|UvXEIU{9i7Nr$g_un zqUKqoE5#`}q>3;D-oOI41nif+@?xkpHPqx)or8DG3*I=)l?W3M%W#Z4C#MRzU;vhJ za|s80AYJl1C`BBf zqw1TVBJL?OH^v17V%Jk^OntYKr1}Q?+KLhQkuXpv^i`;APKdIMC*uWD86j1(MK{Hb z+->pymo+Z#A+cv^eyZ&iruZFRrmX~M2-pmJinYY!dU)Cjj{~s8fPWd1az+j^3H^7d zwDmc|);o}lkbk=mnwT}%Fym0xo1U_Hi7 zgEPZ1u)rR*C@CL1Q7yH0uPGyLUDyETR^sIOLlJo7(e&;~XHGPvhCpSjlU<8L;#>5= zRikZMk1`qz8kma`2ULZA>EKnCbEjNO5VZaOJaSsxZaH0Fzcz0_pBDFPC%s`I392sb zlJN`OtL!(o64$uUGtE4rjsDkH_JUe8&9v1<_xD*U#k78CI+J|W@UsisXbo=gspL*X zVqlM7;f`P9g7(n9_ViYf=Yf|m{bV)#HyD1WBw}0{{bdBbl$L9`nj6xCE7-v`EaVUG z-|?j%u4dh-WoFp2>%j2GCAmv!igk3kZhTn7Li5mm^YoUX=K;zWYE%u6sD(w^MtlJq z-Yzj3Q{H>98WPe28;x>I^Y0lg+JTjcBqU-Dx)&@OYM5+J``*GHn?hZaSwVd}x0q~; z@$l(m%)!2}%W|_30|%kFzA%1&i2VV>7ygoKFS3X|(3gCeuo1W;-$<|Eo|3d-zs=qg zC6os5SWYqPxHc}^7`5~z+tj6elFxlnCp69EiwP+bx={@eHvB~GK98tPcsH3U8W@$G zTM+uj1ex@C(>muwi~Yt5E|8kSTQ^(EveVuaYY_?Lw4J42;+}FTWqE5GX+}z~tVjg1 zbnedvTd^GPDmV5C5r_8UhPE!&Hf2)x4%If*gXNafY9CO>9#P^AG96_K&`+u%<+jMn ze7-El>MIGRL&3itaI3@bDu-TFCx8oKCBb1y=-buA>{UTMna((qcB-T3!{hYk#p#l!Z1@ z{u`fpfaBzTEJ#*2DT+#J>6E+d*peM zM0^$An&T-uq5N%SvcQEOXFp{un_q*QC5A0B|EUOWLLhKeIfC@jCuO>Hw3d%i7)?Bx}$=01P$&)S!6V}q^+v-*_%h-tV(Yl5x0efD9E_3O6v z3qI3Z-o8-%T2Lc+W=1(z%EL{xc3RZ8QFnR^;R{u%otjqOOPXJoc-u2k1mDJu!|hMz zo-rqxo97Qy2d{<%uL{nSyMw89>%jq&eXD69K|L_Ge2=eheZTUae-E$1dQNKe>RhO$ zmk8TP-;EIq9`k}*fh2w{EZ8+ZU-kLKc4_V{OOcPF->zmUy7*mkQH9>g=Cr+Tm?^4+ z@Am!Gzdc^7lLeVx=T^Wgg)0^A`ehHIzWOq(rh?Nip(4jy_oCj}4DI3z7h*o4xb8;} zx5?tp`Z9V>mU;W%aB3dvVRmzEY)7I?j}T%k7IS+5+VbDicIGrcG`(t!N$s!Zg-@H$ zYT&$ecNB6^L9%S0EGND6#)YxrDs3IvB0X6n_efjTP34|BYB!!OLCz+)z*8nST&tUh z@W-F1?o?303Q$!1xu$7K-^ua*+YPBi0BKwjDcoPWpZuR8JnHhFCeS&k+%uhqZB&tghR3@ubBEH&Ry> zb!EM*rP9lasuakL3%63K5}*6ctyWH`hjb<1>~{>((|W1rkdR2cpH!ukjh6DTAb+JN zMZNR=WhByaWK&0W{Z}Hk_KZn2%Mro0K(NiN@Q)L;H9pcH`HkVJaJsMFx_Q8^xc@Fo zoa0FKe%4Jw_{H2l7{$J~ZhW%Dmc=%vjqN54L2D-9cdBLnH9S72Qq^3%d9-T~c)Zp@bVCtnx6ouM zS~lQw^sQJE((gq5LFWgZKJ@ZS-+ZX+&cd|UT7EMxOrL)QcagmzF)*lF&Wdc==jC0^ zZm<`$$e%XN4{TK6;n)x54z=up+f%bgY##Sy*`$TtnB!BW5`PBjkHcS)wCkv22ERa# zISyf!`@#8qbD_U%BffEpnyWVQ*K8hp;;76dHm$8DZk z)$M-2%}P-S2tOO(eB-`lptV9!7cD}{QI)vt!fZ-RPp43>$~knRdqP8`@G8V{7iL4E zO*&;+?6dUqsrp3q^nit{2)oXt9-ROw2^C_C%T#S*Pa0+H>WpotWlyMw6hN8y*#%UY zXpiad)`ou|K^8ck&DS z<(I;6c@bf@;nsCcaa)0`C@Ak=ul99=-nXXxxPp7NAc8?ypGBLIg z?3Jb4pBUoW@3S~{+b-v<{GBtI4VtgTjT6o;RjZx-rl@@G$cf7rUyJ|!mbUJhm;LU` z{kkPKTc7kz>V`!Bf!iXCO=KnycHe#S`%}fZP=53APD#-3%TNAIl<}w-Xn*|SEeNZ7 z66SS^kC3R@YSQv@%Qy|Za$5Vxp~3G8SCCKJA1qAu;!0nfPH*+3$KAY~s_yO3*&R7( zwEbq2H(DWqt;pv)4yt@pF=BEk)#;g3G5XE5Q!z)oy9UDoS8kqSZbn%NH3a_tYPJEr zC&AYsQ}7ov8^yxRAkSU5!;G|~&nDHLJal)$^Zh4{+c_kGr{`l{oCh4-?S4>`4*;0C zYu*+Va-!LdLq~0IvK*50aqiE7Aes0E=H)GF-hPjMGgZ-u=irK)cGNPpNr9m-Q>w4q32dHD$fUTZ2JiEyb2U5W3xTZHE>YE)BQ8-#I+IGU3OEF3P_q)Vk#f?wy zzVRTN)-)&R!Xmfn-^~heq2(Va z@4eQr@gL8P4*h<_dwj3LM=0N+Z5LDfv(SV4wd6EEnxtrZ&-lFO?n}=qZn=S+&8)BS zm4`aIvtP(I3WV)G03@A{t-b0>+uAK8^o->6!NfbAbc* zUsj>Ih?Nrs$mcIJ@6JXz_sb}hgHTY1%dcjAhYBx#1YbPq$hZ(tUg{{ex#==cBt literal 70288 zcmZ5`2Ut@}^S)k1nix=N0ttxNPyri?kO(NbiV7A4LgcOpT|Hk|O?)Q7X$MZzaoISg1}- zWXV)*FSw!|Jdb_ zi~5HzTn+(kXXcmx?qWUcpRS@;{J#DDlezjIS;W$*Sh?&CPH#dQ|{LE3#}r4^h+k5WapROH#CX7bd-L?yx!L$ zrVWkK_~?s_xzw0kdzDdPimWtKC0?9!)gb1~K1)Db#PGu#p@j<@AFUhIj zdmh~?eO5F={3LMb7eG)8tZ|X9>RhxhMYC$g|J)B}F}+=pA1%O_Lmz6zn|{4_F@cWY zAn31NZ`?b0ImySg5Z%0qqlMAovk~MBZHl2w1%GV;esjpwb(mVHL9KUdU`*$Cj^I*Z>xUPwqWizUo7qW zjNQ<5O|g7kbkRq{rih_ea|&j;{H>NYX-tU{L6spalZlZfGI2Z*2f;7#vX!U}uj)9( zoYYLq`TMIs?m3$qIsR!+#YD#EgZT9kM^A3g!J;d)~eR&9#iW4Ez< z)%NU|cYB?tHX1dJtoAqD!Lje9sG;j>eb9{#!5nbq!{y?tRMP?6U-y zvtR>lwka8acPn@i|BUx$E0|Bp_toC-;II2u@4WPG(^YWN$aPG?{-J{M!F+ zkTCjqe0Z7q7n@`Aqzg?CC%rc9H(AUjI&=^&CX5yz&h_8vF*5S~UEDDzYmt*`dlEP8 zDZ8s@tvLQm)J@e?o0Mq%fWoVLs}U;JpWp{k$y^=K>O;tZ zXgzuR22A@xN7}u22`-6OXJ&dwwT*stJxdtv(SG%;gNWT3DYRvh?f;~toqCn|*L|Vb z|M1a2ojw<2@_uN%RyKQebIXqQ3RN&2q2aT?TJ2t+Hh!Eq`uLHV!H2Q+cdIF%{52$X#FOtWP6J4fkip||01sYR}kAWq~v{ZU0 z`&qB!RG_-k?oxi+J+w8k*~ir?zW^;Wj;Mrecw}GcW%rbewka2VBC2m~FSWR2`=`h5 z9};ElvPUQoP^IeL``3Cu(EqacokF>#ayibRso*`*{E660l;E;A;7`lQ^WybQ1^eFJ zjWlqA7umAU_-hlcX*+0I{=5X@jGN70Am+KgON`)LGrfU$6MOiJ4QH+6QJHyO*!uKWeWB%<^{l(ihtgS{N%e;<~QX%YJZ#DyL4V=wLKPu4a8tP3p8Pt zpE}>Y?RRv=T)?fR{!kjvng&obHtcX@Ywxi}n{8nR1G{+&u-|^$8H~2b;3p7^R>=!xr(h#1*+aBYo9&?i^CQ_6`o83KC!h4?A#rt zaxiqDyK}h=L(0<>$Fq*?^0E2r@pd2VvpzKSS+<$MSw#?6!IgE1a}MkHO11_d1cR&D z=o#kH%|ONS_X#fNu~{P{ng$wKs;TxV%{-?|P7E!cf^6b4JJ&nlVhrH$>Mo)8Vc97E zUS_bwGq2rRkee^2F=Wv6`|3+Jvg!bE4Nw^%ch)oU=&3VXHYYbh+zxdxuO5x+ z`z&+iA`64Fp*AnB^soNAG)|@g`LCuOO*=O>_1mZXVvclNF#|JJlEor`K!**UIqceD zpwV3Qd~@H-Zz!A(Kk^yCr)B!l^ESnGGC~RV3A`ths`52yU@ipiHN+#R1 zTS>`SOMq@NH?AXziBYHV8?|?!3Z*6+E(erv=Q0_3BsQUG*IXf*k@Gd$s3= z_n6DyyLA8MW4liN?Q_Z|&3EMPcG0$`XEN&W!uRe!peBoP8(*{3yieI2yYlALv$TH# z?Hq1;>F>sC|FXwpM_`QAzauYD^ZS3*d}tpPv_)hnn?QHU zu_fyD(P4;!EP?QZ~Vv#G{s|5<}8&+o2n)BscLs0Qpb z=m@N0!+*%>R+$!HU0*+b*6~mkFx*o`PJre8Gs#_f&yqoCmw^CK#q;pL&Qj$3@8VY2 z0kQ18tIj~NP#IAecSVhx0S;c_CF9`No<@LS8Q*IGqOgnI*R2s8mXQn)2EJvf>MTv@ zyB+xD|Ij!Yue(}w3fC8$2>yJS{`%gTfYcc!8MTrr@#Mej(NlEcm~2_U$V~2`nZd*I zEYf@@FoHkP1KeRt=m8b4U2ed-=v%>bKrp(d@M({x|0C*~i!xdQ zd6e&v?aHLhUxBV;*mheJ;G{AKPXJsG_{gQnp8rvBa_oNvPybgi^*@5E*Z)hQZNO4j z@MHoRJ5+wO_1@Ur1{r9$`CeSSEpZ3te|Nx}zec8kjOKRy(^vC91RTsmTOG{iUq%iD zm;YDr>VE}W{#Wqne+5&Gzgn*CQ1y;={WBn^;(=<#jwaEY%q=^Y?KW&G#-0Le1S|_^ zlso;mr5_wnrgtV^9sqv<%C??h0=eGNsJ4F{<-`%fFV__I)cH4ufhqo`>Eqv@KLc#$ zKUq@r|F@^7E_ZpJQ$VIld9vhP-kM6(G3J{cI)WHAt&a&G7Ph5C*;+m0=RPQ=hd{>tDODgEMb~V-SC%AWh7y)7e`{~CEKJ98!y^0OZ z-w&r8Np~6nbgX4pnC!*0)3O(%V0i|NhtFJmfC9CvVHBVtTc)9JoJ_;-MfYu$xB!!F z4L0uax|NIpV;_=@P2#U!7vz8Bc2A-f^}7)}uZssSoD1qmZUGB74Xg{oVsnwuY6nV+#FRFFN zJ5&dKiH2Oq@N^x*OojP{gIjbek!1;U?Gr``OIZQxUrARzlVVmO1NMJ(%A48WsTNhZ zcvOWpSp5Rc9~)C}r@7zS_&nMw9UUI_I9JX7WLNdf+TE|PH-mP)LaM()+i!0Rqv+qG zA#x+N?~*$npHxzK^!gSH?pN{L_hwK+(dbwEcZDA+Mq%L&E;cR83Kl(&Rm}K(QadZ) z8f`iIV&<&bEA69}m3vMrdeLd8dp@j%CT22q^T)m)J=I-t<(2cB?PFWnW#>Y2w)Hkz zmYx~;#66SgzqQRbbM)cl@XYC>J=)E^7wRt|@sE}%8c;L;PHE~I!We&kr@w=uI-(GB)@5?po*LHpeEIt6|R*MlCB#n4`gG zqatFT%V9SgggiI)b$H!z?8N!MEGE>v{n@s6-=ry;5wY9YX&EwOPB>+B{hG`WH(2e@ zhdp^1)RxI@3Ysx+yFR}fR`J)S=wSE^c_|_wa;?u#l))u;YR|bTi^jW#*HRI$mb;a_ zOv%n|m)ShP@Lb|iOCQD)RR*o%&VJ+Au3hP4!@~8t4{N2G;Lw<5DAiSwtqkyd^8s%wFtMRnBsWI@{!_^=AyB?9x-^MdHvmLK=uC{S&zpr|9yPHj0#d$ebu)<1% z^S;Q^^CMn}^Uo6;D`tY)pSzr_j=FF7b;R}|KhoJa^D^4{ z*iq*_K?z$!{5PUm`6YSTaQDVGwGy|GJ?mxn###l&%ad5=DFzny0d2hJcZljn8<@iZ zIcunQ9^GVhgl3p7Odl2IQ>**4=C4EohJfmYySKhj9$P<+cCoRV{er>N97{R<18`Oxv%vdoHI8Ww=vX5X-XVNxyZb@ zAI{b@uk_s>zG*OVb5^Yz-c8jC$6|)Rm&Z+=x8^1t{Yo;oU$@i#@Wk`m)GFu9fvs(? z)knvaf=i#zXV z9n$3WIJD%GYWm(J*2)J4M5on#r661C#C$)Or7*ne8BFOdQKau z>GSGeSjL!g?oJm$*lvvwo!BnFr@QhU5R1<|pu^`yJ=fa3Uxl(;(_8u(iy^fp6FmGb z+w`ER$MX;De3EB%(i!EWJl2}8J?#kg6%wtgh$c`_K1f(y^i}mOeQoKUb@DO2ep9Jo zbDPVZC`K{ddC;zmB@^azM1{rqnji$AQI{H8r^@E+y!~ ztff{*i}fVED7s%v9J0;08E({*AyxSajm)uE31&}vPqT<}qVV_(!+biash!Wlls$rGe%Ud0a-lsm=yx|*8z`r%T#Fkm8%~}CWh~L79Q>^ebYv7<5vxZ3=|zJR zEFW`TSNgl5NfenTP>c1uz%?PPzKVvN+8}2w!`3FjxNHmr`?@v==Ts;>jwR{ z@h_4B*Ng@JZmltgUn&qR5&xbQCRL1Ip~tZKX8}H&uS>_r#5Zg782p}=1C3H*i#Vq! zX(u&JaIreYM7xn!6_5R$w{6%YDW^riUe;Mg{W9S#Ad+W-@mF^5O+dh{SiMm~YHP~_ zOtBiVLD7NWA#th`50$yE@n{`NneBtfKH;$X)fg z!7#X(vQ+wd31oS+_yoCX03z2zp)^WupcBJ?H+j`n$iYrJYm>2P2muYB2=UtJN9Ov; z#-3tM;SA*WtMRcey$@|h`LLTsnI5CKLz`N}H3~s7Fb>$7*WR0u#S%mh4_Z6H#1!5% zazw!g?}nbN_>v%|Ch4N$#b9~UBL;-nUZ~LwZd5*nAFiSIN z5HGUZ1pb>l%6+_IM`^apgy=3*r?t+tgatOMqmXC;g)8@Ho?P6%pwZLIvNbn=fxdhx zg9}fDvE8tKmR{O2xK-qY#iT&uEWjJKWc@NDN63`$nuhA;AP>QNQ`wFVaZ&3K@Ns8f zJ!Z#GXrl0rF_%}?$2E+1kF%yAbb+EER#``i6^XHG+ab&7t_}wbLD=U zCG-;3NhlRwBAKc63zk&^+xYgK&`BV`BdzbT6-bTSZ)V~qA8J>c`L8d@laR>3%p zG;!aD?w*a=cvDO<0LvY^wNo);7C6zxe8wf26In=J9xMq+9dqb>70QwKmInCh81KWm zt}$mGOJK~yuE)&Ki1G8zCZru%_3PLe7nE$3A(d|Q;9earGBsGM;-dp_VsvdX@pM;`xn8~^Iz9&X$B#gW;R)@zk>`6BCiDl79alGM37KY%p^07|n z&y(J_n@O`P7_IUy;hoF$2r|o$j7mJr3pM4=qzhm+Vz|*pcX({qGUdLsF4W!_ae>?~ z@*mN^=;Lwh$n2OBaLo+EFKz z!^jID-w^j@@>$1;J?&KLK;EZik%R!94n!zf;xLuU!6U~WpfgF4cjO7HRK#?6C|-R8 zal%ppA&%sd^`YQA3HoOGtXr3RycEOK;UCvF?aa(vfs5pPKet1cia*#8a+ikUdl|i6nS5d3EJF$(V@G~0Mw4N6j}%$yzm=})o@p= zonf7jZic}35Ds$)XI1U(&hVsnltphJ!DzFixrv^!Uuw9$))_mR*g0s@qzT_sIzMrW zC%WuN4EK&*FbdkOF&0VKp>ZCnk>j{$&L-5NH>pe{w`yKB#A_Pj;dL7diJ=BnE4*uU zCqoDUn#IIbhlb1>*RhTPV?fDG_s)o-`}3e7!n(;+sZdQ|S?%aZbS6nIS;x+gn-Gw? z#Z+vAi0?gm-9IfJ?!Li=SoMTB#2AUHDQB$IvuijtVJLK+>?TMy~v<1GY`l9~vTsKv!2Lga68Ohiq0`_ zS|k92+JU06fBBX{Tl&K-!ORFtO9#RlI*rYeS2pdNr5WYdoFEU1Skq#D{Ct(c3izhz zkYCk5%dltCW}kRV4=B$Q(OJqZ$@lcbwZ`aHj`DD;wQn&@8&nz=+W>6=5NM*(i9jae z-pY-={Az}Z+--pm(VFnq7gJWtU?&GU2|=V@x>Orx&(T8Q_|~6Cq)I6I3aZ53sueH*2oT0(TeE< zOE@rFDHRN2dlMq%mEh_HG7K~qoUC1HoSbfQ}qEKX##R5GT}4bdGTxRqr#p57qd zDR-?_#R@J7_k=EUDPM*m?KwJ$PI@rS1Q?d<=36YeWh}UT|yYNk+du5CW z@s@s8b)jTnB5KC5$+=1|2^YMsA8TJpL_=#wO9w@18Ko!U@oRim;t-)DG}2^TBYDf* zcevTp8|-G1VF9-16>D11uLltiAMwd=|e!gjFkc7;9QLsaQ<0tiE(zdeJxybAYrW!}>rp zH{Ua+cK1G{r7q98Y8o!6!s_D>>Qjs-iUM>}1e*0)yd^zoxcl}LY(M$E34#yXp0)^r zW$#aEQXx*$jzU=`!Lv2)Q~gVCM%V6WOWDU3OFQGGIroBa$1$<$5urZi;~Koxo!;l=KXuWB*%b@{3hHCh>Qi zXUWln1jxM$vWpX+)gqoaoD&t&=_&2gmhf?HCJK=R_?otP0n4_Mmf(jXAT^pOx-E&m zW-F+-1N*^x^+OHSIeq3UTB=+#8=2?2oR&d?Fn(`l{N>C|gc&_u)STqmwfIf?vo!g* zh4hwEmQ=(N@ctN6FpEjwXBBlKlYaP4?|xPKy%Z{`LY~n`)xy-y$5~(mE?p4CMzU?$ zVpTmEUA2mHV2_Bpy%4$x3>(Zk&QClV>{`JPH=o{zAEvbqN9RZn=%bcTX7S2#Y?k;Y zzRn#LT|nd64WWHTch?TBSK$j}_U}AR6pBlxh za%O2#@NNziMliwr611AFWZXx;ROU3!M`Yy_N^jvtX_Vy*W4dz`YE%DBhY$ zS;2wFn#@tE=4-Zbs`p%(T08oQ)Vh3rGP{u8dXf^HkFyemI#Oai`L1nLrAWfe>Pl+R z9NxQocCioxc)=)8xHWG@?c~R5kJ@XFC~6+k!c5AsAOXKlqg46CJpF?sPG3$Kg15~} zY?TY39|S1_5H0eTE%eGdcU!5oPZs3Dz0gPvD3H-DAWL-r@7@9v+lLgnaYF zVN^p=upq(a46LQaNmD6~aA z!5#7xzt{+qa$dtc^Q(>2sV$nT(e06guN#CMY$!Rpds-F~EUP2Bpd|er9`RR0@zfR85;oZh zX;`h;Nr?y<&Xa~8j*V4XWaLY&s;@g@Hmw&9RiB=u8BMH{UtDFo$kG06oQWn#tqKWl z`6R?B9a!?L!l-7e=sDsF>v$Rl{>=nmsYDtSO-%DDa3*CBAlQ1WX1)Zvy#UYKc`GP;j13)r*}C~iEhYiWb1*c~D*496bS@m^`E$w&je zHzTG~hwxt!lfCwLh}LZc^rF1*aupsuJ%C_f2KT14X1T=2ri4BQO+TR}^GF4ljn4Vo z3v5|ZadHv%QNYm{%iLl{jRZI+^}}>a2MX|0>Btz>iFy)a1r_#g0M+l0C*y;*bU0My zBO*gu^sU9u%VTLtVt*vi^|adCS(8F~;!gyg^h1{HqNn*=`e!$B>36#DR#7os_~g*V zFB#GSL@aj)7ehF)rkL7e2Yrwa?pvSDw2TVx!e=zsR_>aBw7My1&6Pv=@@*MKKWIRrTrXVti00aR>)}FY}bYPR`fVgZlo_THof7Q|iFqD@$}s)s*4R=Q*FOlk?@(Cn<` z&V9HTHAyOUPMRi;4te1zjzqM==$QWa4Ta*4(?yydz&ROFiCtJI|Q^uU;5 z8cUkwTpr-AdG)7qUMs0)#XK6-p##Hp`*jfJ72xhD7tGrMmz3dm_b_WbV`pf4{t%jb z;{Dq&D?vP6+eS|l{o3W{03vM^#*}<`9vu=}T(bAQNj0*5(rqYMEy`o$Wqo}ajy$|l z7s4g7uO0(FrMJfdN{M8v>e8ofg%+YWDUZ<$CH*;&rt%n(5yuX6>-HTCbJ0hsOjHLj zB;~ed@})_U-K0$`TFsM5&|TjHTs$FHl}kC?HN*cX{a7{&lGjP!=z8dY7am{>fHeV$ zX^gBFjn8T!Rvm_0iH>z4HRaf_NhZOgK|~7hkqo9G-*4kgogAug-h|+l`o+Xp6w>XN zEiU^*$l`~FDffr(8U3Z!&8po;Wzg2dGg_7P!>>-GJ4WDoj9d0ke+pFuSbwZ5FfC zFSebzsnj~ANFPba)#XyP9f|Y;sa-srfLl{caBh5meNWK)t(+;ERoud@maL@>!m7kO z&<9gHR7MzQ^DI<2Acz_Mo`Uyjnx%b-XD80avS%j0N^muDJhj&dlr4_ms#}H1Ftn<^ zoQVl5J(5YpGY|x_UI*6Anmi-XIxXLuT(Xjjo-Dob+A*$c}F@C63T(PO; zy{&0RWJtO`Ip-v*H(%xKN6Sgt^LS~n=19$I&N72p8Y5n$qasaT2BDH6xZZ(%D7MjP zYm!(K@cGm}=PbIB0e`!Q<)@3*U6(_=5-xqL88-PYK3>ZAE+a+`!WfMxQ)EQQJfD8dObUM!duc#2cTi0Cfq%RH5Lb_XIuK6^^Fdw?fVt~++2 z1T}}1y(CeHo>F+uP8SL?Y5w$3bRCa8`yiej=+j1ArX$wVBLt|mp&65Q4FU@-evVaQ za9IUIbQ_ITC_#mIduYKXlO4)&pfp--P_5S8yVfPVE}q96b-_n*gDTx~qz;a~#@^x& za0US_e1Z<;AbwWc$(IJMN5QQwYGLq?4N@po1mb`mK59|iuGAp9b~%lBpkPIYZ4Rha zxyKf3cFzhM#8KDuDW~=Ep86CAeTY7Pnm4WUdUjT6BEAtln3PX>M@B02XHgt>>$X&_ znD{}0f&7i>6=S9D({?r+va~pD3Y}N12{%ZQW>YMqyiB2;xY@eqC?Xp*c{e#$JQ&@% z^eRACxbm{ltr;KLUjl!ASCaxp0$3Ye_y_PNvAWwxxQm=dkWr zOG?rf_(R4e{B%Mh&aG-XQ5;F#!-`CXO(v9MT@V;MCRPJB5q$P3<-H1{^^_LM(&8tD zX>w)Jc{i>ui9M;GhKcqOb6Cc8mN*HmlxWB3{m~%WQ;p;xMVm`$=^25aNsG%F%(59e zO-N!%?>n27WMs@QqyLm1BCOJGVam5Cb;62Oi%Z`lOLYq5S4ggI>3|L7D>yV+vVgNO zzDBZZE@?8v-Tf}fkzATSWw8N2OFleEZHo-pBylsm#J!ofQ@SdQ*(xnO0ERN@x?whmeC+wgBjrqkR*>o!l zhc4(+lVI203~2=B7!t;94t3E z>3Wh*HE40Yt~*R?Xb`t7#z42(^Xt=y@a9*o{i1boqKLJ7+UT@-*0%-$ZuRJ@M%{Pv zqFZQZ6;W?i3f{FTVpoq4p5BRBX-BbK<5PbLlpv{bQf+tWyAtmMVqzXq=s-B3+U;9I zg}$Y${LsuH4k%A!!le?Te+CT7!Avgpwz7z!Zdeo|ET#)0iN+7bTBfsy9av0|Ja(aq zsk85*#d{StrDU|~S1&7}M}#DK#Yi@;Lkw6^qK`UKV(?!MX)#dsff;B(B+SL`x$$PW z*+ljLNnVa&-Wz_}OB%T>%2MQYJw|;Hr8G% z2(ji;`_gho2OrT`xY0OG>Ypsj$sKN>wZ9-onqor zDP=jzQnY{J+jrvfX_Qi-`fvqRW?y ziI&yp%5b+k>C%@(=yoou4q%#o8Z65@W{&mPIu>R#7)3b%YDM4ov*^1DFoble)C0=wz4&CFAZ@tl9famH)8l!QQCjhxt@{`tU1JAsZIdLJUElCD zd5%SB5L8->)-eNkmNNl2#oaD=Uh_I3N#p+FL`y46fK}y84mv+yeBED1x#B`Zv8H)c z!al4-Th#U5MLv?7_fzbF<(Kl8EgI*QyjV)Tnhja?Zz?RE2Ip5c(7GEIeAa^oHccJG zj|`YeD?1;(3D$$4+Tc#lJx!F3(_EK9)TAHUWt9C>(cG^Gkrotz;S6GN7p9J#=< zZVOnW-KUQBeiP`oMN)KL(AO}q_T8W6CBG0O;v+?L3VGvu z@@|VxRHss|uUi-Xn|3Stxv8{crH~2y{}}b{fLkViXrP4u!9*(!Yd#`~l^catfKo6+ zu65R}p{ANozm9QVLKBdU#B{mo;N6{TB0_$6it(BY{F~yNnX)4osDRgdP|zB^4^bbv zoPb=-BFgh9`W!q8)J3+$i9k~(T4I?ZVzXkb7fWFjDjMMmW13i2tHczw!|;epLB%L= z9KclRK~?$ucq%umiCfhg39q}Vg`uRhP;bSH$?jQ}Q%^QzQYpT}bt{)Hu#_7_^I-zK%2eqei*QM_C*)m>C!i(MOHi`1 zcOp$tVc74h!yUe7hKsn*xHhO!hc~l;_7oH8w@P4!d)^9j_GP0;Vyv=cC^ozrF^iH& zYy5DR!(&A&T6#~UmAY$TtfD$i;TRHQ(aa2aEye8T`3?g77dD`WM;R!qn33L9iQ|2u z??Zl+1_0K5vq8k7W@D1&sioUD^S=oZJc0@hRm&{k)pAoBM1C%GNcA=Gtnyf?u_IlX zM4mky8_gZ}I#0)Q`6l?}NPk#&c+n!Y7sRfwEJVAHl&m&ig>F?Do4s5XkiBFwnc&vN zj^{Cs7tT^VSBo}{%(3=0h=Vuwz=mc6CiwegLG^>C$ahc>Z2x2u-~>*Dij{EC6pDX% zH}3_@*4Il4Qr~J(S_#Y}QBb3&LSx5I7K!?q-f88!mE@m z2Igj?bSwz+C!~}~l1N;+xcH`Skdnj`ZLX$_dvS}F77iDck=d*(4I+tW+jRP#4r>?R zh>%x#WZ(K~Kiy&i5^Ih5NUoMPOy2hOQmNR|Nsygd#&lB_nnafjiz%HAmcM9jP!0Lj z7i>4BfJq_E@4|C=UmzYGCX#C{MKGi<6tCm&p&>S%(OW(I%Et+uIQ?<;epUG@Hfyed zJ$XTke&Bse!@g;nohi9gsixO+B}Q&i6VyIjpfr^a+6IufvgqJ-DI@eL#X^-aiv;j! zA%ZybDC3{CR8KDPco*K%f^Ry5qVY&WWc= zagTHdxGxsOT;UT5qE`b^i;`Fxj~GTJP?Op0b!mhm&loQ24StTT_BGsp(aOCyqEC~D zMbe$BBs4mmHo&Ve?0FgOxpLpi&{3KhdnUD7e7Myd8mFS&&uLmN98XzXR!4u`HS2m! z?FXW7Mp8kWjmD=$@~xlRW+RxImZBrqaU-@(f;|@FS}w`a+_^l+j;E#v*-@zFh)yj0 z#t~0U@vK#K%ynF_$Efe}(Vtlw+|i!`PX*41m3a~Kaw#YpwEq;0MhZ~7z?oL?O^J#s zq}o;r0~JoaCW?B0)r^$P5;DFGLmSEC;pHqH?#v$cw1#7fA@!v1=Uh4N_24RP;w*8T=ztdy)kXY<$fe1}hi@}6=iq}Gy5 z)Dj|N7;y3tdq4|AJ}8+<)q)*_TUG~Nhgx5QeaeT3 z@s<(y`OoSPwNIm`#^d*jmIg*}Z{!_urA23ARX5d=}E}A(H}5w z8Fnn;J*sJ4jLlQj0&5QlRq{~Q4G4-uz;lpu_?;!x{dP74w9ZX&7R%hHQK|_dhGzVN z4m=3Ig4(#96@OFm$dPDIN{@v9Anp2Qgjlq*`LU)T9oZyc(8vvfT|0ZKQ29_=f@Ksp zH%~fdD^0SM>TGA-x+y-FQe!fTimOHASGHg?DD*t39a<8}o%DAO8c^j|cqcO&Uz?!hz@zh;`OF-EjEg(A45Jc-9a@yqqn*QyjWUuguP&E_8#BMfkW zQwb<4BPcm`u zzbD2MD)RI!E%s&O-^B$_7o$w-1$WnQUn8Z<3MUS11@ zHV9Ksmf>S6)$qPu0M!`1zx*hBXt=C=iKWHiE*wqx-8P;*eXV=;UYVIZVDY7}3I2+1 zszwSF^1H?$7>I?asteHq${t{+Y1We3WC1K$JOlFmFl+H5NkWZFKc3%8@?F8BAaWtA zB~eEPqsG3NzIXBXswhWBdWGPQ3Lpx(b;<%Yo9wEz@;S%i`ML|Hiboo z-1n4@%@&cD3SR;8kvi<=zI3k6ZIdB1pbx=wmVS7352FzIM8_Jr!U~>an)#CRX&5>H z&QR~ku`zMAr7Aj_jeyUoSJGWFpD1!nD)XvVEF4hfFSt;MH+VH@`Q;4yvlRTk%9G0i zBPtCGiI?wG^_topj|ZQHXs!@4c`vuzAyu90y86c=#q-8@MK&T;U{!6W3bkZXICN)MdkMRPSg3fQrIoS+q5%;3UyiAHEc&zQi z@&y$pQ-qa^sE=ecqLo}N;9C!2o5de?k2w&ARu`j023Tx<`inz)5!}B$#pl%d^q3p- z&TEFn5712I8BFzB-T|c;F`Q9`_Eizl551>whG1n$PrF_sMuuvu#VUuu$=W-4kYOd| z;XDd-JMq&u!?>GgAC*=h28my1ByO6^d5!eMDbMu03`-0DOsGM15|&%zh=vbVg0B~9 zVNCj`JH+ric#l>-OT9t# zgla`hWc!>>L%=1cyC9uHNY50HAP5IY%i={ST`5sPXCNPRVDl4VW+F7%_#}aqD9DjQ z`nbarl2K@@YwY!s3_D#XkmJ_v`TMG1v{9} zYkO!dSy`_v$fy^y%{vfqy>(o=&(9x6%L4WKsU$7wRS8OderE7J6n63levM&k}(;v*Vu3OzbJ5xyfl1bSGN+WQ!(b)@Wly*;XogAYSO;_%5cv%pDuuGR{ zgSrf9-Wlr^B4PRMz8`;6QMhHMCR4s~! zjuxhFGEJi1iu_2by>yEC@kh#Rpa7)_0`Qgw0eM?L&%TWy7fD!9;e@Z42oCIK>VZ_9 ztliB#RJN$u1UUeUzq|}`I1KGyAxWJzTkxag;d!<}b<7s7<@fV9#gk=|k{va;(%3tB z5@fSrCMT;$IN=;GjMW|WUoltP9XAB;fYlA6go`t4@>GORtI(Q-#sO1}e^b~?C+>l> zL9;lK?yBkeoh_7cGifAuw#%LQ@osk23xO(?xxgETHOyZ;8GAyf+ZkKsP{Ux_w?PVp z<(N!gLZ?;}U$fZZ#KBcn=v*rc-!i23ve0si2}lW)%Y9)0c^$KSehQ|p7FSqB;T#cl zgGf9&Flx5>SE%pEWRJSZf&pORs*StB7g5PD5w+OGd{y)OgQ8*KQ9_CC4^$)nd=%em z99P&LFP36wQMIU4ssOqzWzbmS4W;%&32guUX;`@4+R-Y6XmCV}K2t9kqkXzQ<>>5< z=St_7OhAU*dt{agTyIw))LMq$R!Pljt3WdqTdIVnWcM3ve|PW!jQtA6z$q5$o=;4) zJmU$P0_*4FqZhZ`nABnVq_+6u#h97~an zBgQR%2iA6RN8$pH1rDvFqow0bwGoP(3Jnf})*8qA^=Y3Jv%;rsdp6oq30%s(X8j7lo=1f8t{aYxF5aWT4{xZ(6S_oD)?Lrr(J9kRTGYAQhQQmV>)vD!l6-rNG;1kiUO8v|~`(ok3 z%RF&N2ozE?9gLW$m=W>5G`^1|qX(lxNl{T&b6B%V>LWkmmo6mS-PDhGt6b`~ibtD} zW)5`WLfybiTSPCz-5qjv?F-knboxywriNHF>7U{p($qA58xjs1nxD?i=H zwCimaP#0CLL>DrNK;@H7cx~_**&^Kinids>B0)cHEVwS6X3(e6Mner$I8) zuU$!Xb3x4#bf*ZQRA~-AEn7i?ikB>!*Jq%Gi)TV5e8LcZZvqrbDK$NEJ%%GU1NDv5 zT~NoTzVf@wCpljOp#93z73=$%QI#Mh@HcV87Io95R@+6?B48fwJN7}4Rffq>qWA(R zD$Ht^w9iia(YMsk?*-;C0E*EB3YB9l63l^bb4=to^0qVQf6zY|WHee;2PVv07W9i+L&%Xy)zCK!rsRK-Ywhh}%{%}kUYGGHm{|Izf_ zfoy&6|1GtPDn+ZLt)eKZYSf5MYPVHIiBK)IXAmN4w5nEHd)Mx=Ylg(Ay(zI{uOJCQ zgvj^y{rUa=yRUn4&ppp`pVu?aJ@-W2|HUPY>^$bo9yT}-SX1~%Qwa#5&h=v3JecP8BuFm@>8efhBiG(RdPfBaEgUV3tI$^mUx%!Cq1>Sh-e#f=A+TcY zLQY_lk)tMeBIYDrgL-CfWQ6|ilN!%XzfUqye?rdC@f~!J(lQ`Qdt>h$yQ=J)lFK52 z_nl6_1dY&Q%stxXN9xY%dN57+Glpf3egp_c{w^B?Z8^63q$vmpcBvF2xMbAX_e|rT-ME!c zS)5>SsEWF((Y0O$MN`e=c+ zhPrf|I~T6)3#7NFcY_4hF`DbR+;Em1)1V$T1T&g@QN#ZgWWfekCFma>9t{ODb`|d* zE_4tN{qF}l3?%`3pfRe%Sh0fcpPlzyiN8kZA`2|wu0jkZ3XV1T1e z$``){rf9J&3k2euYUai8(0XkU>$>m7#jO52Xdrf6>;YaK(x*R?0vvvaYWK6XWwF>` zQEm_SD^8!D2txj)-|rYibcAZha<2zTF4BcMVu3VQVelCbV$rjkO<^bjpnLYl!e~B@J#iB zdJeF@wpg<`vL5mQ+y*REoFt8>r55pe^v6)L9Pbj?=bbA`Q{$0t9n|~AN1e^UdC9l&<1kr?Q@Dx@dFli_fX^G-p=Y&@X`IdbXBfn()p3f z*(_ku1X+#&1S52~_X$Mg1KI z6}HxVCCx7bZ+p~K-qy{NuwEXgXq|#cE(hFAWU^oro1;!?b1kIH6iKh>gLZlwNfpK{yEPb z@6iME!V1F}r_twoTLyT}{-pe2v$ai>g6UdYqpg!`=F|tpJ^qq1U(@2Dk=h5*QyGe$ zzJE8=z7^2c5#N6{)lt?R9m-M>qpgpVoK6pqFIPUvXsAP*V@TC@!hLuBr&n4cl99%d8v2PgM0; zW%Shvt~>fJruuxVX!lAeT7SKknpzTBBAcZ*I;NIqr;bwa9p1F9H!U_fZKnGw%~THt zdv1z_Z9Z1+!>*~%`tD8Ed6c?d|K>FL&~f5hF*xZ*%EG#*kGla18*T2JcZEDrRV=)| zeDQjq#i-u23N)$zzT?DR--Q5}{NKv^+6GqZj_#yXpAw7Ek=?R7((BGt|L;N->k}oe z8{aJ^|1PQKw+aWWFRqU!!#0z;S}RSJ_Y0p&eWD!-pij}M^>1>P`LA8uuxk@!dI+nE z#Z`3F#GU(*k*a%Q&R+n$5jyeR(j6*p==vkx|E3+3^$p8$uX0F>GmGt9p9{ge6O6N8{8v^1q;W4(lvXnavJ?jXys>94x>&ASd}xh|H*WD zIe+Y&%Wo(YPsMfrL0Cg(RGCzsB+@!m4HGNvn$6eg-SC$&X0lQ@#9?O~SA^4>+_(77`=;(Ny}uvy)WvLOcoFV*Kd7fD9*3@o=*hl~-It(vG5hUAe>tk@!*0Fe7Go41 z2v51L_2=77@tXn$@k7Ul8}#gq!z>-H%4?N5PF1?3OUnXj+fZGP{i6y+ zwNG3!Z)`a1iareQ3S+M_=ZnOS*&PRR#1I-p+V5r7r=4LuIL)BRe`-~^dLuvK_XCb~ zCO$tQ22FueCzga#Jo1aoBR+=St8aa;ne-#-+ByESAw29^n~15K1&~HK25O> zic8Tk6KEVOI(6%gt{M~bUF)gm4C!(o&2t(Z&j?}?PE21hCbgJ;BNd*P7Q1Ix<@Y&- zPG@33xOwUY=g|4g*clL%=5yEDzMApBZ+umBDp{=Vq1`AarvFs1}!Z;8(?NfE-%L3QG0-V@l*KzE{Zn=XAj zn>#g^enaAEo8BznOZVT8&^_mr!je;@Zfa&EM<_GqzkAuK`+?ss{0wx+kV7h>CxqD| zKWwUyPpo7P6j`TDT`ty(+(kWS>$s~08=5({cFBrQeo zgBDQ4wHKFyly2@Abe&EF9mJ+_U#Mq%e3!%R#oSrQ^-6bt>LUmuP? z1R+<&?_~G5^(7AJ*Il%fqQ;iMVV*2Blo;F5hqt#qcGw)*tW7g7C$_bz{?LEhn=5r2uvhsg1+QNiC=oj&HS^82j7T4#I(^E$3(9cWe( zedh|Uy-C8Gi}taKGdM{|U9S5h5c%l5&x(+(83@F5eV?M#lh1y)#l7nFu@l!T?k`BI(~@tfBwr&(CGCRq}#B*}0@Z`7+GL!H<6wKA*x_zbVTw-X&&Nh%A~FK=@Pj z@=fN{Z2roVuH_DGUr*cFt!WM3V!D09Eg}dl_4_9LS~45__=}AKYsOTseb*~jcX#O* z^RfFKzT2;w&RNuTOws7IHW8R)2`2BfGP^BUi6k5M39J~?{@A*?ea>4`qqA2bBF(1f z!W_!t&mb@7UZZE7w^ZgYXAK?wpsT@XdeQj-@}}=M9rL(Rcs41~)pa1@y1#+bc3NuQ zdAgm&^L!}|d4F%_Z%f>N9-SFxFPqqn^^$Z$y?i&RV5wh-WpkU0<>jxk9rc>}-V6J2z8pQ`^W(KU zcb&E*;YGbY7T0Odjtb_U&+p~?77DI4IL%TsK#vkS63 zoqzIF*M-pR1kIRhMQ_W~)XP&-7@*0*R70vAm5x;DD-8FQdnT>WV>G%Ax~j!A{n6@l z(NDgYIiK~}rZ>RZ0zVV!%G#=S*>8e1qq6-v%>sp@SGKQ2b%31p!ar9)<+;huoOX54k?O#Z(g* z{Mli#uzh&Q@Ug||%()vs%~L-p}krhb{}PL zc*^@0;;mQAnQTgzg+i}UjhaT-qk4&c_JB#5bfiL>CHR!_VEr+aQ#biji8cLwh*(`q&ubZIH8oXPayEOXh>7Xu zC$U^q2orl0MVSE7fIxii?hd^JBI_j2`YS5io9CKtb}=qqs#o}V*WNSvhS{6jGN1A; zJ;anzZ?-|B$ri`?gJv=3ky;-P#>n4dtlaaqEmtAI6@|r;qPNW&K(NJ)B%s zNr${txZxJAe?#(BNP6@a#IwxjHKD)#z~Q)x=<&&u7d=;mj#>hW-%SBMRpw)OiQkSJ85Cz4{@e4sD7hf^ri`ZPTQT{k=2hR@I>}Ejvr@Z_ z?7rv^4Nd1E`UFwF)Eu{3jiY?%L#>(-G6z7Ywr8imcKrSOKxR5Ht@=zpqOa#%rAPZq zrnUmD(T%#XyUY*8Wqidq_>$GX52!BYZuhVU4VW7Dy^Bdh08Ta9P=6}#iJVUwyC zl!@_XaoK-W@1s&I%dDTuzY>qsDKxK!b^O&ZVPwBhriSZy_SfuU?wn)Q>(kSf=X#~6 zdq+a62iiFFTH@lohc>$?cSwJBXVS!9K2g`sF%3mx&bYUvf)8i$o9A?BWKiOL(Vv&h z7LQ&zJiYs&Ho4!kKUog zs=zAe9u!0esYl~?<2{69KOdh9w5)FY>ufLAnJXZe7F=3#-1W3cYekY3jp&%|D=LQ= zzMAT66|L4Co9c9~o*IAp<*C5kbP@E6Z(5Fv5<8#NC=YF>p1$c37gaRi=DMlz%=%5D zv~A!SwT??JrhGY)4lmB&I{b{K4V1+VhLlPQBgB2%wDt4+hP4%gy)!Ykci)?HIJ{Bq zhkZl~55IE<2GP{ZC@@l8Du7K&oSqEx2{h;&3JMeHl7_t9O z>VER%Wwi8-Wu>^zml=ADYf%)1yh-N{vzwZ=4_1<1x*ySn5X<+bJH-&a%FKleaW8$w z%n0)>`(kzX>OtS%Jd{OqA)21w`#sCnz={~eNs(`bB~ps+#ol|9f|K&r3`?XJ-SfTo zW=Zw(>tB!DLI+M5eb|)0{32s~AshoLeGyz{9_9QPed^;2l)w^ad?p-=E>a;N8?&2M=d^^)1h353ZP4#uqtZNy_LIfPypZ$^8rBNy*J86A874(KixKu zG5UVUSEBy6LlW(?^-ON#l(ShC)jU13^t-l_y>!+5bISdZi{h7t^h!!s4x zqi%e1yVdz)!?paju9rj#pR7ZyLGgM@UfXo({R`(I9tkle2U=~mBpy+W+koyuCQ~$D zqmW&yLlYK}`<|EhS!;;XLf)qz=cDm@s;n>yMUE%AX}mr{wRfD#dMiBjOtLrCR@Y}O z@ypA@n;b{4Ozthe-1-=`*r{|A)<50HG#nv=9m^oSjQz~Z1>NaUT{Jo#V&Y%@@ijV0`dX2x76dc5sx+h&0Wob0%yP_}fJv=a0^B0{1y z?GvI+)}7D54fnAuV7{6pcspJ$%KNcs5ZoyBHl>GSqp|tzpFnRp!qH8>#SEd& zNG;nN`_`ySgOO(&R0j3VE}<|rki^xc2&>PlCJ|f)A~$yFO;%`u&+ed$d&4%v(w^(n`fsl4`!U5zCLKq+aNpWr640L z>~@vW%ev|sgqmXm(3M)-4FObf5Z^}+kR8MhBSd~#MK{B3ep z#Qb09tXx&S^n^A#Znkzf77-J-nrWW$l{&mnIxDO>$aZ#GnzUAJBcV@u5V4i9w{t0D z61;XevUaE#vHg*;TFrBa^dhI^YL|(4^@`UJ$vMaJk!Qe73E^0YuF5Ob;Xw19oP9BW zqa5|c*(zAhq~0kGh;6{l~STh`4q;TL>xT3 zlr2+j1dIccgOl)wPtg0xv>)V*mB|`NN*ouhZE=?kb9u1%cNXEsf{$0TTMx!3>eErp zl|bCJK#T&ZHy(`>YltAskZI@#LHa6kWu7Q?K$biLqMEn5{VeSYeS-9WdTdNZ2IlmO z9|6zFh+O`go=>JNJqR+Sz#`C}iF(8P)x-NGL;KLP4N8ODL&w~_4Oi!~@(5YtRHx7! z&5@Sq^6l`lP#hdNyVPqq#VlUupW}43&L@XgLM={A6`n(NiPah2-{qwUEFS(g?%2OP^^m)>{_^(x3KuY-e^1RYFFBEjZ4zeG?6l-y2Jf`kAV#b% zAScMkyZ^zyVf;SLV^VXF@vO$RL3|wT{?Pt?z_OprxOce3|MB&v_8scg2q&$wMPw$g z>O>f(EE*c%6tY{}I{A@DSsV?nlSpD!knHG4G6PHd5p>5v9Csv&?%Vhd-lk%LrO=4o z16kJ!hacByqUhpf^P!=wWdRb*?zk}fM=kc4Crh|z0zDrzf0$L>?exkr`!H?%b&Rp` z>tBp|4DJpR`ENg@+VyomqcX8;xg@c!HXAXuaH@ILqE_U(JyREu#}d+rS%pg+*V zt0kC(&6rU}6mD-oHtWs*;2j zUk76PRzV7=jkw`(A1}He;_2UNUzl5L~13&JBOU&nyAr;~{zygL&Hm&bc!rJw7o5JqMXWUY2PE|W}R?N!s%7x|!> zNlwGy1B_wuug0YIGTr7S`a6S+A#94Uv}K(84wStWIQt@Gjm}UrZ=FW@;SnDc@DKsN zSf3WF%AA}-&I05&`dZNTXw}4kE_MkpJT10uY8qwRHW!Yxr@2f#lMRGK>&^1wjoMu- z=I9lv$d4ro0Y_g1%KWCPwAnlPZ%{= z8p4jKfWY!rdkU^lNc1KH5rpKQ0PV{&xw`5P z0pSZS0m5%AlVo?*7N$|(Eva=EB$_1Jx_y@RxDi~AR7rGS%;sAKt15gZB?u$Vjpmzg zs60csES7l%YVV`T3$V&($IpU}EG5Y^CrghEpEOtkq`Sx=vJD78s+&g}+ym|N1t{%)cml8w@@jEcnY2VIrm8JyRQ)$}(-!dsjqkPGz6OdkK45&H z3-D@}Eos(Ulgj(CzJELjPaY<21g+s6Prs9uWwTrTvtWNN1MnKI@uCDhzl)fh;6cS|GEq%PnK1M3~Mv3&YaB=#YRJQ4Ru)lH*s zBn@25n|-qdE)x>#o0+LFS3>a&RE73TYJmUD$VgF<9ixQ^juR#WC2VQx5AsTko-?Ym z^hP5!(&%ZxL#(q(t4u@pmJ?cF&g_l{Qj#r6w8NF#?QXO4X^wY_Ww~1S5dqGKO$@C05 z3ntT>!L66)t*aiQy(4{hO_URuw5OMTY7%MAC4%)5Zu zgu^vk)lMAx8JN?qno6RJH>&&26Qn-)ZWd&wcd!3eK+VNtB9R8%^V9RbZEDZ#(%@~t zqHE7>c}I8^>;GJ9yn7}G@*BdAJ&-mgr8DaPoML_YZKiuib( zTUhEHS)49pA>G6l_P!w_)$RvF!1)-%!x5&7n;>^-i{1U;Tf3%oJUJC#x zVj@b6?{Q@p8X$1??NO%=&+Qon)4jAvyK8y_Y>_SEAHGGb*F z#iE|h0>_M8r3CRH&MS7B2h1Lw+l%w<{!I}1Jz01T2o4$pRf{9Ex3A9k5BjRS6eEmO zF5I;WQpeuW0Fk(>vVzp}bo=vJ;=WxLP1dW9egWCBUAOg)gBV>BUe znUe_DF_Uy#6`uof<83gI2&ihl^5`mUMFDM0ekaE&RZu%HJHl--Ib7ej2CaO#5=>B@ zpNTI{8Q4zp&BYI6S}b5z(5Nt>LMZ<%!Cm<9rDh3>*qX)2?B!`7usHpmB7CpS^TANChK!ypI`okbn+)> zZ5&q}e7kj3&qON-1&%qNe})9vZx%QdOZ|C6WFejR)Is9#RQ<;CeoL@o3p`c^SN1JH zERtG!>rKp(=6!A)*M38;8=v4;mx*jB;*aTmhrn*OLj@@oL3VOpU2u!tMv<0w_;tlv z*02HtNjrQ8b>;ZaFN}udru$QNUes^gZ`~W48QE`lglgP>314$`FOVH=Izj&4pMK<# za&q*#z3u&3sjF7~U-@?B+q=3223ihlG(E`j?QazV$#|wkqyA#P-9gQ79ZFP15E`+# znj7Q@rS5*36oHJVE&WB4e{hK82d1{J z+{pAL%loPZJ;KbmeO|%Z?3CyAAL-sv=x>4PGd|jB*gO7y*3Bvx^70XR?PJh^zv;f& z>UqrV7pt~H?g9M%F{k?DWXNTl9oiTl#(ql~GVOu5Gui10U;ly(IXa#^Sb}=;TS$e< zuhrlVSlQm799Y>WGnWAV+s!!OYcrwDe+=y$tiD8+VHMuV56qgQ<1Yqs-@!EukRDGc z%N}Rp2CGkyWf+7Rhrq?vAaAk;YoNMS4g2BoX_4aUBwzBNrik42;6UzBV8$;fH#hcp znmdrLd#Ke;k5r>Bzem6OdVf-yvcjc}TNv;a_5R=nEVF&X5h(rEA!Ql-8}bmx&AE;` zsp{GUM#GEh9i~=?N!&!@aW<4xD^TB^;I~i6Dq-1y&7;Oj7P`_>mA=F2$M6jZXH2%+ zndU0%p~_drg&R4XPcu#1!07zAc22~8fUG2XPF3XYMc;6bH3K3X=#U)7Xw>xH9uScp{K844G-tROB0VM*7xUw1o#a1UWstn9bBWSZM1; zynZrI({B~a{n({$74gpZz8#sk|VuJ}OPk(GF{>5b*U+Mn{Ai!iQNnR!kL1%|DYZ+e1{WEfFDZ9%x>_G51Y<3h@N zydV1kiV18L9QeEGm~5(z`!&$6La`KVkBlYJAI6|pzQ)3W%qNsj9%B`LB?_cV1cOIu zgPr{CxzZM^C=pekCiF;S3+YYtRpYDm+UMgxPeX>f@PB!Ixa7xVFoRF~7;Q$k~RpWOL17SOak5peep^R+8dJ{uo6 zpES*&LO26Tx+gs85(@pVd=(C-3BH7*jx@3UPI#ZXLW;h4=PPXrvvr(oG<53u?9T#1 zlP?I7Tc%@5qr7XajW_r_$N?TvTboFOWlp?+z)}8Rck)J1X(2}0lP@6S&z?32IPEre zVW6|OFyy4b^w^eyZEVe-u|@}#B<|LOE6&?bG-YjSo_d}ZY&G9CTf)fU)hjDi8)QN2 z%4Qm9FomzKXe0wKwU)Yp$&)DES!--`Kn{Dq-p)og%q)x^JliF{Z*-_}r1C6fBWVfO zw{3O@YHwId2H3r{*^pw%Fygk)D6D#3h+Vo*V~`G)ra&Sm=4i264Kx)QNi4c&86SJF`YD=B`N@G;+6driUbj8DtPD+qDsL%_ z#8#&r-@k&aba;Y+P-Exzi6PLWSi+Id8YT=Een4}K#l0S^Qkk4I%Y(L0moh*VGwvQB zTlSRr*M>Yyd$c73#9y*){Ky)DiT@M0&eMD8=icD@iUTJ?+wRb0$ne+X(y8&1T*kuI z{scGV^qNo2E%yt3t*yms8QkbYp1I))sjhbG#O}GI}p57&)TJ zQ2rRSrGEvUMokdVqM%;}9p_8fsPRWp6C{-8hVy$~kI6FU?(`lQP;Nc<;!|21I#^z0 z%~D!>VXjlRW!+NC1D^BV6ixTusKzbieY$;DK!&`5SmL0W$Z4DK@hd)e2ef+|A`1&*E% zL$BT1g~nKaMplMiMqQT8&jXcNoJ37%tby88ja-VhRNUL!iKqZqTx z5*^44Ar%?fEy^2E6-wBUEB(FK5-9?=g?L=jjC`**eDEQ1+e4sRau$}UCf~d-YzLvI5bHG-Gd z{JwgQGSfbj^3cPWzV`90qGf8|Nt~lI_DJz#+Qd$axtd{%$uMTlSkoTL-)gW4A9Rxp z06z>AuEc$ud@~Anbb{>;ju`Kz{Gy2rG%BkD_UYR1zm$II5C)sQ6z~lO z&pddV53HY)O0(uJW^HGCe`v>x>bgeGUBsb~sI?1&VMc)xV#Ad|yy$A0&#>YTwk+xm z-65C|hDC8MVAw6GSc4LHKa2ocuU$VvT_4K#ak36BN$oS#FwKBwJgoccdixyJ$wTsW zmcE4mYC>|&|I7a;wmXZDQ(@JcS)sU1(@tva&wut=7Cd}Z=ZQZ943ElW*i!2m(BkF` zUIm5IPOnhnx$^_nk^SO`l7r0xCre&*3^2m=;V{BE%(Qm{@7ypiYhCfh&MOdTnN@D1 zX$06vjtd{4%)p7ypBvD`>rRH%K4{J<<-Ii zPn#Mp=UW+smhe`oHGKmqfSdQF5zYs6aWO@^3>-+^I9s4ebr_kDO~;S~h#s~cbP z_c5Qa6&SKwi;{RJi=wnw1*2$OZZ0%XvggpO_$%5hk)A2fKYO`fp^Oc;d!B=^2G86w zpzQ4;3h-s(h+K^aD?xVDGK5YTKMp++EtJuX3n@2#U3_RnVlyD@XXM<;$pK?|-iK z-8Yu%kjZra5~{acaxX*S+>f=7e;KF4lOHrB#af+QI8t4InnTS#JmZ*Tk~QPYT#{Eb zvIaY-VL(-O|5PH@+I~EiKJ+DBJn+D;-8+){4%S7TOsl>MQgsD66Y<9uhR?5n6b2}# z4r(%@CxZhoTgzY)$X=AhcW%BE9~Q6k$(*5DEeRC_c_bHZllXml7}l%DgEpG8;6j4| zXsihfWrYTi0}BM3B_QjCFI;!1&4;1Z6E=bjT6NA~rSRU}??w_Ew==b&a()^)Zp_p$ z$c4bfQ8u&}IPk%@RB{d_j zG~fHNf5?PPngz!%8RNdWce-!+Ki=1jkMDO~47hPvBlF&CWhbCPB*PaZiX)uc{#-!F zagnGpvLA$-(q=~3555&ReToejLo-D7q1N|Rv#3r;z0QyEDO9o}i}wsI>>nGZN%JwH z5CIFd0dz2-1#nS6{LAeOKhaY8ReDR*`pI0A(_GTb03B+g_9rvAx0J(Zr;`fY&I&9o zs3j&e{RGt@0M7YblC4uRj|Vu%-LrH7DjBfnDzM>bnullk&m$c8dfetkRZ4Rx69W?g z#uZ1aEdq=?|BrDs-w;m2H-m@WWo4zHbLrgs8sgRe^9R4v+vP^W2(kdf0)@R75hYKc zKDH<-erQ)3FLniipEIJp+{I!}DS+^9|2f9tEr66St~X0`Yyt;VfItkpU%4mk%O54h z#64t3jQm#EwZ1sH^6Im!u!Pk`>0#5Z%q4szr2U;Yt@WS3F0O}YJkIKmiM)M*g6!H` zfV+);G;pDc0fE)jH6U!0OCtyftUp<*4>tQ)hHU`P&>m>gWpMDA?thmkiHD0K97Ww; zqo~-Sk!C9QsO%DA;&&m=wQf0v$mSUd97q{ZsDK0cIThyi3xGd((1qVv4od@lCM?#T zq8>{TO@@2Fv)GOuD{mHge}@oLUcWw&5%Vu7*Yv4m95VTFJBV$iYjPx1w9G>7agkb3 zW#w_pvCh-ZVxy7RZwB<&N-px4Vv!BuDmC);ySa0g8a17O4{KzOexD0n+R0wz+Iy~`;n7S+@vG#Bu;<{Bu# z_UGF{k8O)EHz4YqYiSt7vD6}CeVRxL3J;d0=<|E}QB z!*Lk%_)p0_&rh+DWI49w_7JFVpm#I?g3jI8b_J|o)0(-ZaKVCV$_*CoOURYR9b0cw zkk!F%gMjI)GRxxW5qBLTfV`}BR7||9{pO$kAuM2+1#^9+O0C}9IjwOC*}$4uA3n6 z3;j-SA+ct%rn3vGpX+_X+}N05GbexI-}hfZG|UAsp-bShw&!ouxxN9--8U=;M-H97 zfj>hqx`JqKIGyD_w5v+8%4W-IYfsR}lEo2q;In<+MSsKkCm4r!pYVb+hFk6Ho;x&G zm)*I#wtgpoewP=l(Jz+n-Eeo>T&B5j1-E%1zLt8|20uJ8A*UJhV@*oR7jWIFCEYfT z9{Gzb6s6a36HnGAR4Bh%6XG7u4)55E#uzgF^z`Xmm)z-m3{|pSc;JHCD$PpUdNS$eyh7_ zr7lH3>{HFH&+O)^!A2$y0z#F-y<9H$zk6b&{gZS_W~o+*BYhbg&2fFxx--c=+S-z^ z2Bh=KS)&3J{%7DldrP;UtWeO63)(M?+J)5@n$$&rY_+Nxt!wq?JNF}3o;g&QAjjeR zYJ>OtovgUB_;wK@tZ|fmv@NMMqnah*8KSykN#ll5@Q9y#=JwG?BT$qjXVkpiLKBx$ zjjrlR{QxC2XY9O>D5*PcP6b;2WK1y-dB}?q0UK3Pi?y>#^-8PqjHYXGw{e%qY=?y_ z3eFmR(Rwm$&$GhECk`uaS2@w7PWz*cb)fQF?E9ayUDKf061AqoXLOsJQ&jdgKkKt*X zNzK_lR&~tGSs(9M{8THRB31F2`5uurOo(glYOjH`er4`y05pxtY`BwF;P#kBmRT*S zTDXTg3Y0LOY7h*j0CudKLyqNFV38Z?l}IHp7r@L)zr4qzp44nh<7GhHf+ z_R)F@b&fJ!t3@|q!pMv(?1rDgpq$8{3vMX@bOv#6S$4ufwQJ~3yVVb zmDjQiPkJdL91HXCe`bw&fDC+Twae7DbJQ>cgixL08Am2K>jz1@{Ls;6GL%-v#z@On zu9X93{_m*yHfT!PwYd;+jdNE$>DHXu*iK6E!WBfjF-!3~!ZYWgk?`GzJm|=8K^ItV zbEJ7k+XmhXBM4G%@q01@wH66^7cd_ycHBu~MvOt7cRk8-t)w+SzJd1!16VkA2*&?k zNx1E?R8R(06ESj`^}?0>$g5i!(D+veomJ_T>zAe0q!V1~N_>50Z&~VjM#OeUj4j^Z z-!+UR3U4lW%2##QJbdvh;fYoFZ*KViA{fF$e zC|u!gR}lg)<76wf3(rx0tlgmOg%bRnhw}HXaTfw((?6BF;_02PgU$c7^LKQ;t36?| z+oG8@k46h|lmE1*0FSyZ zo4+xAF8ay3N?dW8`O5dAk%U<3>UXmh3(h6X=zo^=Z2v2HM#tsCIUrq>Rz?}}`&>-E z3q;J~DLw(4#a!-Wp;V$INcS)xGZ;uHNI(tICXTQLY6y)J1wdhk%rvU2Vo^|PF5Fw< zr-;8)fY8_xOTPknssc)D)oU^Q0?KGoC;_@e<#eif>O*k3h=i%@(kuRR?Zp=%2kYoW z;aJG}QFY}^>Sp`lp1WdSNuV20Moigfg9?sfPAjcH1kCF8;Z{xQAy9%z+kje3hR}cV zqUO2l4K_zz0h|!$@i#!0G;C5j&8)RK3gJO(%no~nVC83ivj}Ez`$iC_xj@FB^I6;t zWFe}c8Q!_cvprM92K~%@yzmXRhm_1yEoWeL;IhPGMF!^ktC(j)Voh&i-WOZv;r+dr zJGUplAbQ)T=Tc8=z5XqyO0eJjGB-u?VVQ`h`e&(~!oBxupL9ioEo#AM=Zr3AJv@M)@wrnf?}iL6`LcBn&U#o;y;eW2uwhH>>YR=bUxt~Vm<+Y{o_UsOdDBvC zlPPN%c92%%!lJd(tA4&%td<)bZPK%~P_VP?b<#R}xTsZ9 zB2*=5O%|JO7e&w-(Ba?yroDxv(yBfZqis;j8RLn%lxyn#;*L*w(FYx~j6@gCe)Pp> zX#$k42+CqW0A<^6Os+c|1vbS!;2+k!J#T8F>&1UfhknJ~SB3)HUAN!9oK6nn-dErO zk2JhHbw!6RO>}uN`_ry}?0EGc?`JM{eeX8{F#IR{cDa2{L%Rr~WZqnIQe4#0iL4B) zYN$y)1dwc+Un8<~5WP?>F4&3^F*N{b8F6oWO77~m_fMV_%_Ak8)kveTqDN%$l!I$P z=DAi%TG6DqY;NmvBIJN6sB+Th>GWjc{t}SbK>~=S>?PtwARzDTDw4Rs$Ef=yQ^bc65kM* zsk)7?6h%qo5&GYx2}mDi8Q>-|j&|u@4a=G-n}9D?Bl} zlQ5+C#m8xv6&FCv;KcQfZ^hY zc-~){r_EYCV!6N?v4?VX&x+Uki-G^|a#IZC2hONT|93`JzXjM#I`T!R zuQCpEh)f>7CnQ|M*?vfOm&CZ0TQzyf^44&g8j3WRlsZ)u@2(<+`VMHlKzfEePeO57 z_RsEXI|F|(^eah<;-WLth%1Pnyf>DIVZ@iD5j8k)57vXp7#6z-U<&aZRDe=v%$?^{ z`Syw7bs)rMG)n@-bzE^!h*7xL(&l=TJuq*URuoJ?0X}&mX4gvAY>vSFuX5Nk^7wAR z!2;Bzz!6X1!K}p?4_fg<#WqRM!<6P^0~h;$WjX z?*`?dFoGh*J9gs|B~K3Vbvrjp9a`MIX=*`$0ec#qtqd4SV9si;s;r}KuG1_4ah=%y zRVBMdYZDu8yWkR|=441x?7+bzn2r2s;xSu#K}mW>Y?#J)`#`Cyi%R?MMVQIHu0=iO=^w&- zcZVINDV9gT9&djLk?w4h-5@+Y4!X-jK8;!OLVv&|%nf-xw%E}=c-8}xs{w;#Ippn6-o%bpN1g_xT*|;3d7EoIzU^#mD)DQ|&JMR%JLL8KqDXK+)9j?X zET(-v$1)C=72?)Xdt`MQ`tGO)!Rs#NtbE(`dL{%Qeqhp0UQ$BF|kX>)d% zR7>bat;8$+=oam-XENNe(19Hnb%s3p($82p*EN1%IyUp;*1K0K?PBPpL9@5-j_Qts z`U9qJ-OT+f_7Be7ql_NiS_Bdh2ON!YbGb0jUJJ_PTf&V-u!2u zn;)E@nd01|nHpn_D=*hF<$tS4??oyT&7IjGIoc@+=y1GH;u7O1S)Lv#7n>0hy{`iZ zk`U+nA)^$l*7Y9qZ_1Z{jA_oS&#RaZpp?#t=D;%e=%DBD@Y=j7jnPI%ZZ{^n{~(Ze zLr~>UIB?^{0Ue_Q~IBj!4pON_iK7~Iu`%H>~iL?~wdr8p}j&2F~!|QnFUu#}F6%*iQsRn+8P+xSKB&OV# zsE*gBJZ~;bN~)iOTg4C!a<7L)2L+4))&1^Cv%sA(vTp>1CgRdn@ntPYY2NqAhBQOZ z?Q+NwXa~RE?R~U-F{?v>m5ZDV@m4fZ>k zIlW%0PEYbcQ9b2$Tl-jiPi4(JJHZYX;EWyq6ow~onB%O%_yj@{U0L0Qf0$T&(upeQ z#*pjr-tE*?VRk!DAl!N8lwFKgxNexK%;Y7O+$)M0F6Aa&$vn9u|5`z^x%w=617f)L zBC~w_X7fHPSkW~bu4aJcx9cBdr${D402h^Ur}t--CFfcO z6WVc4He-fx9OXy2=y#LtNFI~#EPFvC>F3VCG?D!CAMbI`=LmP&?XA%K1_zTm#^cZG+G4>xU?%zEt%N`f|><~_ZD zjB_iL=0yQtmJMW#Ela~aRg&oSbbcNXAg#{w7Z3(=46)SW6>xGZ;rMr(I-{yAA>8kk zv{&YzH{HSv;}2e+K0P_i@QH8Ofw%-4a4)u!fA-~KJLsnomIe1-+J09Tb^~e$`?Qn>Cwh?_cLJ8>`XS4&Il-*U=&tUYic9i2Ux8tTL;8*T zPiS5vCfhGazxE>jwy#)Ux#rjR?Vt2DsBJ+VxEW1d;~#VIcgK-$md7-t{y?iHULmL9 zP}t+q-1`3e0PQ^tHez=;${@>uT-<0t<40^sL!>dTJ@xR16mPmoZzNjTQ*o?!4#Yn< zoX&8^IMD4u-7T=sgD`^I%bebG{A>OobT;rnR9Osz3sR+D)IHyY+be%r%8l_^5q5W5;C3Z5)a{2~7KcUww>jO<{Daw991|-|2XmTsJ?$UhH^;b)u4}Z{JBev93KW6=E_Yaa#CjF#HLN;g_ zhxD~1S&wDxHr$aH<{VJzs&SQY8IcIS-t2q4y($`nvAzkGCeR7}Jf* zx39h4dH-hW`DXPt++kqZy*SW)v+aN{y%ML*;=<+oyb83V`BYA*eW{-c>RQ!3GDTW9!z*xOo_Nrap*D%O*VzYZct&ZW&N+0`+#WL2tATZs-<5N?Cwd3w^R zU!>ZD3yLLM8;UF|@=Spv&V3ra&?DvO*@waHW6>q?8*d>y0{D85i|VGMHt=J)1)tqn z{q^7sWv>4W-XC5C!e;&rNu099L0QQ-$w4>=Au6lP%yVUCbcC!U z$11X~tb-GW#Bm&)!*MwF{r3Ic_x;})kH;DB&wIRH&-K}W)UJVBEeM}`aV>aX2$RxB z%HJ);NgN%}8Xa@_uhuGEDV?Z}utni_1UjT48tz=wi%Fe*-_-jYRSa|BbV}~$ke>94 z`HC%0BA%^$=0#$-w_%$~D`DNf@8zrAH5?~FF{YTEQr5cIkjck~vmOsPgM@y82$kn1 zPwKbf-+QOG)=7Di2mPw@FCv5cH=i)qvr`Z#F1Pzpa1mv!>8PLCU=6b6^4p-$mR4{5fso?VXz-!REd8n#wZ)Q)g9NQc(=M$!>T31kDz=T2Q6F9Oikaxc*{N&bz( zm=uKsT>AJYO5V#Q6~K8Xg?e=?V-nZnG8jf7>}Vbhb;5w2Jo26iLB=z;w?YT1^hayO zmAGT;!wo)6^8|szF)#Fn5>4mJ`r!+@&%MkCx81(*e)-+;2eeJ#cH}*S4o-FM$jI|)S&&Y9iJuzLlpzF`L7xuMoTr|%z zU`AC3+{Bv3gxuwa{J3p|MTAZioRG+>9=nV8R2TrG4&EJg9shI!T_aLNc_ob;^|_P2 z9WNrl{OxxfJhs+yN>PdRlg%O+biVFa8e}*CMDeM_Qczn{iE%)v?dO-!xz9U0_y(Vz1lx zZ4UE{&Q{7^-!Vt2ROuPqs-Qb`^`M3miP>v+#cH;Oirw>Uc|E>sWtPKf0o8Z;3`t>B zM|JyN=~@|^j~+;n5(`_jt9LHbO{X5pF^&dNEZo2RMa&W!>q1PtXEX}JG+1UgOb^rFN{lU2fGUD(09#2_Gxa1K?hJrSZK ze61hptx3Xf%QuIk&o+k{?3VHt^!YiVC04>#%dYZvdLXaZHsA8y;tct30K(8*v3~h$ zo^&B~AGMA?=BTx!p7157$%Lx6Yx$qGQB1YTW(dy)Za8-U0MRMlSZLm+ynDohkbP(r z)R_$ntrGIhrtR39F4o0E>%T%32oC((L6?9Yk+?FaXfE$@fxQ)fI(g8w$bV=J?yz?R~hAmFW z4;36Z|M1J*2F1+-QGC*Zuw@pnQxJ1qA!a48!3F6P_h38s<27X5fX|s;rPjKchgG|G ziA7%ru!bdpu>x^4qfGJ+x453nmU$EuV7=${25V0Cw3#s1ry7$GWZ`s)Od5I5XXYNh ziVtV<8a<^GdU9`2jjfjiR4mudia)Y@6Qij{9s2_imtbzj%`wv{b9*p^y7-wP zdTDILUgCk0@XV0!z9=#{VOQtNujY9-Kx#hu?B1r9 zlZBOS{p0Fgw$~sz6UX%$%L|;pgFP*{^ZsmhDSeH+w1Izy0a>Q?3U=*v+_AZyh^}Wo zUN&1|5z7yl;*Wk+r!(r)mh@!i=0v^9UM{y*fg}5o^4m8_x%$~Hc_fud0XiYctB37u zR;@9jpH{X2fsbgwVj^TWic3o zp}6c?5ehWRs-5*V@ju>~%}c2qjv~6VGa?DzFlEQ;sq<~cRby{%8&T8Bzn@qyWm>(?*In}}TT3W|Zfvua8c<;eNcPU-rvTP{z z=~8!^Ys(#LLy@?ymS{iXFM)t)QqZeed+HIai{|=+C&6Jg=sF~i+g+MBcv`Z)zi5*d z-?Fg7nsu9rxzrpC{VTN17p#B%E7XvQpc;+k)2J|fIjA=J)l3_?M2ni${sd~L+L9J? z7gF|>T6NE^mcir6dia=C`90&~alf;OcQ+=5YlMTR?r%5qKs>?WkigtI3(tD9ci$Yf+$4PKu-y7w`%`MR42~Yz(Bn#< z$TJXC9cR{Kgoih}FttAmvlG2sjPR;@O)&2VlBPlTiRRw1NbSZwH3%LeVVsfS>Zlp0 z!1UilrV?42mV-5^oZmX|Nus>zgEeF8Q-9B=;rJk8v)!>PhPp?r{Fuat)ABOf9j_*P zJ-_7;8_zK1$ggM|jevK*JDlEl5h>FmxrFy8g#`2_&9$dyb@+a5(AeM4%Uuyz-O&yX z2-K08T`k^847|0A;WU^yo*FutJSo{$EJVF<%4pkNMxyREGpezVZiLrYf04B8vGr`P z3^%Ucu{@n|C0Mj!KNUk~wcMh1R#{(1duI7xDJ)o%=z8vV-O<=e02?Ej-5nN|)|5pX z--(nv;8V~5wZ$g8iS+>o`f*;!y>t5M7~_^FifrVcgvh6*fl+GGMXP>fZD}XKWP9c6 z#=j{c%D~c(qj`!0zIXg0{p)Ss3{A^F$3)nd2o~+=1XtYejFu9URJ3gvsT1)bBJFZ{ zJ6Lve-+o+-O8V^STWivpy=PTkEp|hP+%fH9y<|~aC1C-M4d?}2+g{6-i3htf{tUCb zOY6I}$0IrXQKuDNp=H;t&n^Q5V*;NOhQ)cd$WNWNwkaCfr-zE@D8A8r~%&)%@1X*PZaA7aJe)x5H3wO9_zieU!k?O=sV|f47u61 zc^Wx5l5-#dBp=axBj_a#G{QrH_J@ObYr{Hh?JTpPEP(5pk_IlUYkv`{$c9F|x;}XC z-yg3wmuDj7_R{p_tmBi>Lcao4ge;o|W@?`6>E}WBwBD-21aiOGs}uuRUaMlv@Dn*tL6_amVZ>M_M;GtOWI3KG0zrwFF@pD~HQ^)hQ^kCf#7>5Ca8uDU* zp$phL(gKp*`6_H3IuqvTTQBMfx<#( zn>xk|DWRi=f`E7Y-yWa3ewi9v=Q;@?PcB`uiQV=>I=?sIq}OU;<{Ux+F6QI?WFc%dP7gn z*td45y%_5R%9Nd(%hNmj1m(mg?ImZ;`Qi(_=+;2(Ie(!styWRdnXJ3_(juu`-NsnA zhz9kxIwd5!-MYv(8N=BkDl{{+S{kG(uY2nfOolb?Ihrz5{~y=!cPW(T2Lrx7-(I*f zT^i2f2sQ?lpdkK+kHsZUXWe_b{)1$+l$-&A@i+-S=>@V_tfT|5$32?GhR20S}VGB>+yB|;CP+W?`965%8Mtr{4jeoj zy!cxo18V?iI-zWZn`{km1K4NXS;%K(A?0|QkqPtN2PyKzJ6F0iQqJ+H3H~pKW0>;9 zH=eM9GEPRJXB3=E$aTvfOn(K&Tm}a*K=ai_<3WGbgYmB|)_wCgANzs7tmtiaplXQe zwpq?VG>IX*U!@vqWw8st9Ud{v%{W%}+_&pl>XP1FF)THhpgAg+4hn*-kbfBeY%*Ob zEC#MiNvk7uo~7n@tu*{lTk0dntIL+E#BM;`+=BKp-~L_GhqJBUfO10A$GE*XWHYB_m&2`z1D)&0JcjFQF~;9;ntDwmYgcn0e*&-6udh&J#tIHp)-h-6<0~@zSpE$R zv+j`I>v=Ch&rG+I%^Io~1NWOKI3P`%Kbe)6!OxP+ndOsqN6P;IruT!O0BU(AYe?df zcC*|RqyG6Cx9`!k-u2EO9ZnGN3$*xV5R*?mQaRA`tOat#)-5{@)0KM9QDto`s>Wrl zbT_flXIHw|X$vn@qH9`1`M*T_`MoE<2$3E8z#^RoopsVqhoAC7;;$?@BU9H6A1ip3 z4Nz}wcP_U>0QyOP|K#_oEW$LVSZ8lm=^_%|QjF`ca|u2^P>qO!i$y3bJyc`37(_wm zE-ZCSZK!8BO1A~d?K{N4uT8<+G1?k+)}p@1roKzxhc4togc$46$Ov*526$PePgXYRPb7q$#A9 zjqV-=4XW92f()owgGZKotg=)ppIdo~{q|DPeqhyqV7!$Q9V|3EUr z|IRz#DfE09ttl^XPR-`^y`P(Fw5L4-+`a*tfw}MDkM2H8wcWq+H_};Daal!TL(cwe znl0y+lY^-Q$+7Z)d%%8LrSJ{lh*n>GUP^S8^l#1V5zxq3zDCZ2W;>cNVbKx@6y_b%uzLGqdOUT?w zPI>@%+`ss?Kf^DivAdqH*v)R+!fj1hbU@_(&%qJd6x%D9?7mmb zvpC1K<+WIv;<;a&GNI{@$NLEh;nc=y!fsl1&~;oC^|}{lq}WN~A23AmSk<0WUi#sB zJUBR*N{LKP0TjUus~7?A;*gl9p)7_Npl$R=up!^xz!(GI8d<;7)sqL6#n0`dXMbVf(ea(XR2>N9wrIna**7FYvKe*8y;PM zSwxVJDD1S!N;f*(EJw;_UsoWSI;dwaBC+glD)2u=lXa0NGC446^t~q@3*NigiLVS@NW5WioUeFAEGfx6j*WyjA(ZM4ksKYdvEfg!YU64v}M!AUo5?Jr&<6H{vLfye&u~k zNY8!kpD4J0y=n!s38>}D7j~_8@Rf%e!P1S9Z4(*E|F(?a}wT?38~HhHt>>u|&ZR7E7T zG63h7-F=U7yYJoN+oiWP##;RVzn0#zFe{&|5S2;J4YXMLJ2bvrF=LM!r6*U3cACn3 z5&aWHXEwlf?+|Z~DMnC_rOmv=Gan{tS=!#|e550^^hN>Dgbv5@x62V~V|3f-+f408 z)?QJ`m}HxTCvEPP?=f_nX!V)oTdNruK*~ZdKkcwoku%w zF^8$cpgl;%q-uu2%)nW&XEQ?TV@kp#w&>~W^K%cnak1cQ_9GE63FPRX?r{x$zs51 z68OSwVu<~dCEf?oShYpz4P-=kaT z1X$BeXEIE=GY0h>vK%adY|9x4vtsx21zpW)#^a2CZiZg`(CU;BPb1qIXAXR0-!md( zPxcn_K~SBaG*pGsb69{I!Rfh#b2`LON$3mw~;M9p~rWOt!)H72VSU8bFr;Y*>)0H42m{n zVva}#i8$*VTQV%?eM1vFYS1|cG`xk>Nk=gNmqG*f-(gTTJPEYV+~`YHcMCifdTLAn zAR=dEt)Iq{MJwSs>5er3&N3A~Gs~>sDq7ekV`qz!{6(%d`mOrC1xfo>ylwZ^434L&_Uz%UG6-O&3&XuS7C6u`k z-A2^rdvXo&b#m^N02&Dp`!|>ohyS!D%#Q@hbv6%Z>YK%dsb?i|HCIS}{$oPgtIFTj!eoWIvq7^ zJUTD_WiurA&6y1tA8OlepI44SWP@;Bvgdy%Ut2i?l9ark-peNnW{aD)B!fCnoGee|9FUb&FXD{ zPVk1)nKZph#)dAzkQsU`KVTUwTn1~;O+JVo`iZ|Kw$^1FbnF7iq@Qx{F-8jfnKau* zX;@bEI#~G2ndD5+zthi8=!Sy}B|%vM5`j|c&?neXeLbS@w6d9(uc9ka*KFjQcpReX z=b@tbDSSyAN*7$A1Zk^*eat^cBX`=vYoL3{s8)UyfK1yPw|9Jwq90GbHqR(Q`sWm5 zFE&1224nA9RBHxFJ>$7qCSKei4d^vQz>cS;H5$+8{AEmQYFoS4sx*+cwY@7{%RNo` zS>-XU1}rc66$75mSxZ6SM4sB*O3lMKk^X(A{)YBB8dk|?irv3BJ8+vBz*;aS`o;u1 z{}P_hLEIY_H2Nl*g&>Q3RJz4nM>a0f-N5#RI=95l31(VQ&eg3^-*Qk6A_N1!I62KrTs%3%BuvGo6>izsvs;+bn7`|zuVSf7u-)8c9V z7k+9h^0r9BLd<*|!GPQ6y>-}JFYr8dV2cG!Jg(;Z{8DZ%BL3}Yq}dt}JIXI2Q^`-w zDzs0zTpoOei}>BGRl%ORehfi=XaAG_Ny2+BdzKlh@#zzlOS?$(L&@1My zEv-jWa)+~wa>Ll~Ebw-FEa-r5lwpK`Zu}mwG(t$n>I06e@apQQeJ{-RZQN1x{75#X zQgWl|u4?oLMrukpTsnck6JWo8b~lUoEv)cbzz+6>_A9OasuQjP@NsWD@#bvmLG;co z)&jzlGjWDH^)8`7X}R^_?ue34&?degv+a;me$68YmOE)5k#(&bN@F3JU)h6|D4fjU zun%XKj&UzvPx5WtRK*`D+mBS;``w~pT#L@4Z|rLGe7s@{`Mvciy~2+(!tsOa1~64G z2=cT3aF+GbpP{p-HG_h#=8{fp;ks0i@!cm>EB z$z4T5z|X}jzD+`)2F%{jJbVm|&X{5aoHH6vpe-Mex6|elrIBY0JKVAJ@wCrI(m$VG zOVuA#I~9k5LMoK5bm_3#lJeubDiD)L0`@u3Q>dZ&_cSB?sAzignf294)re!3SP1}x z{)(O*99cEnK#ec`$0iBOVnx4ANrh{TpN907lqJShtV+m}M6Hby^Pl-Ijt$d4>6bkh zuuGWTGdOwkoY%fuJW1g+GK;v&w9NRF5Xzq3{ox?#dG_L4<}mU9Jv0)kv3aZ6x0_(D zzh9e+e=oiUI+}FAUk#S+t*)lRB?50OSRWFNV-ga^W<0U&e!Q=m5J0>ePGP=?2wl~n z^|H;!auBCzvIBNWeB^ER-YP!Gq@Y!<+SCalBP}= zxPDx9A!}d!pMh?YNwqvR@nY@%mmc==R70te5a;0e3#!x1fR)VRFNFlCN2(;!u|4nuP^3!$w|12K2U3s!8(0_ENo4oepADo_ zo_`Xx?(g9Cp=nmnd(QeTGjUUJ!Sz5_+x&OD9nmvpsHxM0>IHP~n&}0J-UIgZLH;o- zmPX;82@~eMsSqc$TTnG{t26)V${zqzG4@_X9PRA{xbC0I%^SA0stTsvoJYsPD zi$wFfH625TOj+3&8(-ZTECZIQl@kLsJi3l+gM-^(rPz&f0+?!ecn2yn60@G~WSBj7n6a#WHuUzdW) zH>1XvNEAGulmY{PwL&jGb4sK-^go!Br6cSbkn3v%jcCpM10V8FTJ+RQ2wHxV)6MkI zbRF8d)0t%4QT+afyEUQA`R2}o&C~B5jRL52+s=+lX?+LmkVke+Fq?hj?!85^QqKP_ zJ3@nYtPbgD;Vr%QbBbYmwb~y#L8!*xC-wdAu5_mR4e$|9PSUeEYTa)U-%qDF8oQe- zi6<X)WB%<(%hxv83Jq$Pa~0e}1Aqxk(Aud3MWw2U)%; zzvDw&vH8hPKWHt~e)hU<@+c7nRP)ykB<%0DS9((`n}9Ru)4%tnn=}9c0ogd^2{QE=7s3`tcVC75+&Lt~4z345E&n?t}Mk|jFlCQ4BX&ljeqw+O$B z-)}8$C%>p&-3j|uv|pqkd5(DoDwcY}rMlAQUI2XB-0KRtu469M2R^Nm9iX3hq*U~p z)1-tvuQg6)XHBnV=eG(|U6lHsxuz#X@Xw{EwH$g<&N$+%ohh?H+qapD_v3yQ_-^>- zx_d=YZz;<;##d)yP#2NZhzDD88JMd;_5Z~z$8W(X@8>?ki_sTrJA489#usw)Flv zKGq*W;Yu?GK?)B~=ftL%wg#LTQ%?i#v~$FN9MTk72p!KKL_udowEK^zU{q{PL2=pH zfXL~14$Q+wXV7GA*|tEn^I{}1@O#Y52V!a5()`-}L{Kz*E|0mz3^-mei`2SPNO4yt z0V4nz=5nKR%YFAf=J+v#w^#ZL|9XXGQ+6-H@N9=7)s1DC7%3oB>r{F752;z_edV=} zv%98n^JcR{d{HL(b?jnmH6+@2)$S1cZ}7v_mc&^Wo5mP}Ej&^>4*tos3v(?%mIQWo z+=lQJ2I%Z$d9@WS4*^Ckuq;dWQxkf+aFd$ndfx%T&x3=zovzjs@zpK%C+EL$ILg!` z>>c8kEtD6nbI;6#oWQi(CV<9f(Hg6}P%)Rj8lc=hP2n-XI=JV^0KLAt@}R~qdU^YH zUbvbl{YfyY<73df1kOWUVx|N7nP3b30;6}MWCUoZ$RWbLCupA>Gm5jRmcY0A1-I$C zcQ-~Y+cU=N_l;UU8VtyqNF5dy2jaBay&1i#cf5h1z^>7&HP+jB3xtWn=atB>mY;l~ zRzh^!ibL3*#;kx}7VJRj<{)-@)dk$aZa8ZJJnI~z1afIEA~$k?32^oNlt zo3KqijuEAIs7*FVYTb6DeA4)cZF#RjCCUYu=oqub6M?6YZ)XycQ>6yr!=LrT;hWfESwRzwifTvY9MjRU{C zZNuYfjADn9+{Fe{@&VsH0B{RkD+?|qX%~84PzL?oC|iLIJjw)XbqNx3IQ{HgZZOuh z008n?5h9RomKS6@XFxI{2U{JS=z12{*;Y(1EUVM4IBDezq%*yM4a;a44+)o?UKJUnAF# zaTl-EB-argr^^aL{xD6`eoeeo73k#wWyN!O`^GA+e??c05ApU!g6Nm#-#^y)uP+^+ zzotyM%~aj5;_Qi7uP&rqR^~NiZd~*UY!75rCvPYE4{#8#JRu6>K7w8%E&KtdTvyH` zjJfiXs;r!$csAfpw6plLTE=mW-WOgukGM$y4q%SV%RYm2t_j5&N6(6B*IT$%JSMPW z;%%@X%I0dbr2SpWqb!b%pfs6_NObtiYsUW{+o#KsLu5_C2-->mbw#ZiR=d(`DhhfU z#0W?OWZI-CVD=UmOBvr4z@({VOvKYc_jPZvU4;+FKs8)G620p-Es)d|$nk!Sy&vzN-jQ|uy+kVTFz zN|0npJ7S8AuI3`~9-lqu!x*91ovm+D-z^yb_Tdl*TE%g9+1(j@y z)Mm^ZKVE_vSC@}PL6@VS6;Wn6h$}8P_TtOH1;C^b*Fd))%)VtAHtXay=wGa)ze-{h zrl3d!f$n*sA;U-p#q+0ubR6U8R2>51%b*tL_FKXbiB+Rc$ z;dj))Vl{ai_-tj39vhsl{z9r*oijlHlEI;9XYS7C5IZ zh)=9g5Wy@2cT6Ja05#K-a%o-h{b7S@iKa&YN>7byDc-LxBW9B{Ar?Raz$5eb<{5gB zI3wRu@sN4dXrT=_gcO&WSCz%Vy0;Ksm&v*| zs{yH%gv{A9vsx{mdjFblG;<EEC}qFL}{OZxfODoB?4N9q|eyssA`x@T=>EuPJqzAa*VjnfQ z`GL*<&UL^0xPOv2W%b9`zYQHbj@#b&$A3`m45nu9gm;DF%MOMPw&4ovEFNf_;N ztwhVdiO#M`6#M}IGe$jT1wTPk5);cUXM4vJW}y z3|>f0F?~o}fqUTM_Xb!L?|8|Cd7;vDP|VyAhr~)%;7fqhtJ$W(fxX?r2s`DrReu6K zVGiW3Z-Lm!<0;f1r2wN;<_gT1XVqS{fk_zS%gU{R5ayo-efEtfe=fiphs2RKhuqZt zMD+-&*&lgWorVYRXe2d=Ijr;!W7=B$+-=Uz)FHA$1-U5rbM2yMDmVek3LGEclv?oP zgkk7?fYpDHiCr7nG8r)J-jM&Gb`r^0Ze5&8;6(R=Eb1jW9d^~Se{Zewd7?}3>`g)g&Mkp#)X*$g&5+*S#@#!FOguoNuv zleN-eKtshaiynoL0ba?^94=Fv5#dAwUqFost>kp&+GQDP3!WE}@Ictdtu)}~+^Xn?IP-U! zt9iwR&eePBE^>(tZwRbkG8^|Y0Gy7=u?X6|{#*SeNjo$Upc$P3sh!$mMK5x@0L$O< zN!OuM8b+w}5}(P}tXjLjQb4($X2b`1t1r(xQ{c&-b_HPOx&X8fO_Rx_Ex&@y+_SFZ zAhJ2BTIFy2Lg)$1fT}RpX#|pqS>eMq{G@$$BF*l~zzoe4`Tw__aW8WcE3)qtP}Zaw zw)C(>km#0=V4Q%Ys>lU60l-KxI^x!o~5 zLG$Pjn_1s2>K>Dt?b*@73RsGvjx+=1lBnv8_TmM&H87m~G)Utx#5REycBn$0{P696 zyV(?2MoJe$@ev_JK9{i0L&+LS6LRW(*`L797H1vX(2N*f9A&!f{v#@vCYeK53&L{_xqGcN57IEhH5k9j8lkWtb_T>|IM3av2S5o zhcxseVbFDKXjtcq8h77oc#vOcQmSjUWbc=5@rISzmpxV<&!MQ4u$j{;b-c<|yM1C4e ztMsf-bjD6xL?V*gP}%nB$2PQ?9WZ5l)~4I}ju&jh0|v_74cFt@FZ~{w zDhk+6d5z0Su^nt3>u1dm*!~SVF1T!{{aPFNZ~c^3~y2f47m}Nu_d)8wHOoe7y6J}N4`5ZkoOSy6Ag2Vb zoxeznV$dqq8@GC~%)jLz>ixBz6&QG|b1trE1=;-po+_X4yirVh-u8ukSMb60FzF}wI-Swnje|biCqE0F6 zQPTw{ZqkJP@(I-@ah>PMFIxi>h5~*|qlfC3W1IAQ;tyERwEX`=*tQUT^(P^7p5b+g zR{%(BcOjT{No=Z?gA#fiGNp2fC9~Z{!^^n^Ik26^fnJQAS8m`WK%6XiH{)m}I7AFw z5by-Snu>=l9wu=GE=CUd; zBhro+!8uHUrz?jM8;}U919N4wHp_;(xY@OrmJBxGut0XNy2$Y?#v~t3WX3#f^SW1K zeABK!{IvTp~@66}{cKS28f@FaKeR4+ps1uuX#mP(_I-zctMzx*vX$ zFSDQr(`e5Hf;13&M^(2v9EoHs<>hM}<>-k`TwRH{j|7s0jGQ)qc$RH1|xDK!b$VPfNLtB@eD*sx;1 z$JHOJtMI)6u-My^7j)&Ac~b`Ku$!UO^X)tI8 z8ds-v)-F)FG{#OHd}@}6Ge{9?2L~UFcd@|)WU>ZDB`&7uNl4rOzgnryVFvPp8K!4X zzq5gQ!w7BrHB>qeF0{%|Y@FJ-Ofiw%=8*{v&h_;7N^bS)3%(l#*F*+Sd1+i5J{yOb z1)QMRN%YG2RO{*mSb!L5eSWLy7-X^_?z)93z|XlZN;-USIr;d>E&ln$S?IZF8OEqL^EMJgg34~OX4=O6hRx=N4@zu(sD065O{2G z?fDyU*)@5X-gFL#r}Hy@dVnL{vZ(jpdnnVF$c}`{!nQ7ON55q<_BX&iR%AC~z+sw6jm1@0Nz0R4u5O*-_7f ztN#1&x5#UlLLU6zYZL~G8_K@;V-qMMvW&o(9TV4sHr|PX5`#|{J{v3~d4z%GtnUH# zUzQR)=WXUN`YY22Ut==S90TO)WtU*Qs&CNSVKu(v;;3fzUoyW1&OJLn$+CqsS(gok znmL9>yUVQafq~fF6=*)LJD>};$L7bYJ^rqzna<1gS=K-Qq*I=LZwPuNUefpFdR5J8 z0|}}oVC{(!SZmdhe)h)4hln}hDEBvCYTPR0{NDAD2dlbahRn3%#r409kv+NY8yrNg z?6*~dt7@d8u2Rot@%jO!v`NIlw$uL}KWN7O_K*=7f+a}}$)c43%F zn0}|h|BB8fY~4O_($<8^DhV54kJANKaNU!4ESq?R$l8h^vnP<))hAOgI_B%JbDJAk z?Yi?TAjvkz+;d120QpvCjky_kg5m}9FaYhO@;Bygac3b#Z|>*<;p%egV(aeM{?W1^ zJkZe$JCum;0(HGAb=w|vdr(VW;46pW-xccv`3bsId^g+#%X85nXzGdtvhE1vmFolL zK{b`(vmXJx`Gj`V1V_isUz(!dUMD+zewMZ__RbM3V>36SwmudE;!)f4Z5qd}c{+x& z=LcnlCD6o!mZTj51y#oYZz2;GxdUdMYgd0wB<$|#^4_(87_n#w|4V9lJ;((L}Swi}GScyMP z1m4t=<|5M13DCU7XCXn@QkcnXB^uw4)dAIJWbo)6qeDe&%@2?^(BXfF8VQqj z;_lpY?9Lacll~yghoZN$s>&x8wtVAuYXF$=+WDaEskQW6QZ)zBc+Apq{umrP0TQ5Y zWH0t~JEV7*K;8hIkgx?g#vwgEtNzH}tr0&@?J0pML+mIIqPYXnsX zBYG0q&Di5IShYJHhgfdYh63&OfE{_futIkLR*vVeX}@~Fxs9j@kb#>weB(!oBm`;k z6^GPpI@xi@b-RA%AZjdxh~Ww=o7m@dN8z_Yw)46+4FyO8)00AT9lKV89<-*T=!vsr zY`A|e@Jd*@<#(Gbzg?2~;-KNgo$g5G%r6Mot~tCIJ>(wi4?Ir8rh##^<>{V46)$+W z@bwVdUEmFM#6g{tF?$|V4Io|LkEtmxqJS-ECF~SVp`t;t#PaaUj~pd4KNxWVgl)Q7 zfP~X%R1!;t+gZ!9^eEJvud_A}6(bylnqj%SgB6~NwZP2GUl70dUW4g*C#B518F zFhr;Ia2H8UhW@NrZxTXYmFD|Zm#UQbXsT#un7<7&Uze?WJ?IXA9I%lis6}r{q+N>) zs=d;LwQQF8k%M@Nxe-l01qzng7dsq|j?4~VA5tfudxc}ah@73KuoFR-;dyFXL9S-C z@hx+b3!R`s#$G;@Y*H^kLbdx{v=aQOAe~)G?{_EsN?22A=;(`P=oO-}L~~ue!z5qa zwylmKlV@#JhaD6Vh}=e2VXk(Y#-S4QR!up|9t2(pzdEkTOcb&dCgb(sb3teY|C7 zP;(2yhnk$Wd++*hogOdo!)sjUOlHS(mfBD!9gSagD)#b~T*p)d%2%A{W$}T$_S^I8 zm#M~^S2yDq2&XaJB|GfFSskkti3=g8ae~v00)!*V=Q@?PKNmF3P`E(8uR$c48YHHU z4^%?(&xp(f`M99|X*q{U+K7OQFdc?i^e)ZqV8OJX`wL-;zl6gk=Jr}_aFY(83;IlB zgabLVL7VgckyA62ma4ZB&DITE2@3$Y)37zA)#j#jTJoXHXC7wF9rI#;iK;i+dTLL( z!VGo$GLw1GKII>7^uTMwum1x}9;eW}zB|^(WO=fbd@g`vtw=v}YDF8hQW65UEY9?Cvdap_S0%`TX-pP$PEV;3E#Z3te6UwaLOYG z@jVs))ewC_wdt&+W^wxpr+uOSd*!dGOjml55$|vvH&b)}1Gc|X{mmJB?-`xN-RK|s z9ad0!LJ6Ur?faeU{5pSN=GrrvHTSGGYwww*1l%`7 zB9UP)SkMt4{Epka<~cJOnIUwmJ(HN307Y?VN}2Oz^EVN zr?eo$oyzr0+d?fzc09!6anR-Mgw`0ekllz+yc2Fo3d=|YG2*i)yx62id`fEmE;uK} zv?=mZCFN>4JDwjIFO~FEguXwDut4&G_trIpCzb zP}iu8pYvHs1Ec5X7Izf38x*CR`!`nS1J3Zh4Q3^}>_|fj0)P+QB0n`(7>q>3MHCeh zC9M-pS&4#|eE3s`)%V)-e|5c_RZRRH1EDqMfGU`-3=otpkamhq2@_>cCADu*`(B{N zt>~UOh)a=4SDN8LvY&^GA`428AScujz52raaXYYj?F_ulFK%d*zK2T<2X_W|8dTVZG+BxdV(``v2^n) z{tSs^viiZ1_c)ZFzIPOi?rg_7WQ~UsVb(BVzgT1fUd`9~ zvs$O!9L)Y6JWFQ`7A+#syClh6I32yYk&O#Yz&%Rdc%MhDiYn=m3Pt=mkGMmwza1pL z;QY}eQHs!3I|rK_ROh@LT&1BVc5H&k_Ok^~qk_H8@v-=&Ifx%Ss@LT(4_UVVrS09)6{jA`sy% z2lg}hj#>yPthBN~Ehgw)ZcB?a>8HUj2k|(v^xUpb- z_PMDrHZrT+R){bUw!0RL&TD6Rf8vJ4JiN>iRVx@>VUSG>ozIeERL_ELT`Wf3e zw!bI%R|%q?w0hsdqk7x7SR{e_350Kaw0-{tV<6u+I|rfr(ZXbHC^~&f#@g6u;d;3~ zAk|iLh8SG^p0?`bGzNFbP{twRv#Y7<{|B=#h}h<*ZfWuRv>@#=m=QI=F_3#3Tlucs z!0mW#MkyW)fj`S%AJr;KXTYdHg5iOB^$tU0XbN}_ppEI=YtH;#KBy)9dx zhc+!ur~qo<5Ud^_jG_}y&>Gp5PZo1gU!uopNYSfg(Afh1nP0_wkY@zM$Hr`Kb|FvNO#+DRGUCpi$G;=*%5 z7|;Ct;iaIQY`BmqJw(Iy&u>hf5Nyri-w0R4TS=rEmdK!$>y61|QrwxypqqMc1DEF) z)#zzyTt4#cp6<6nB19RIP_oiGjRn`h3Pulv9P%JGbczUy;wgiOuCP~gCLI6jF6uEk z5w_qX-c({6E-0ii2=`rSc`~1@N14-J3f*C(+(HxC4o8<0G{Kn`*KZx|hAn@h=EE;< zYR$2m>9ywprE4{z0SGX9e~y=ycHH)LF75sDJ23jCO>B_8hNgu#^ngA2?{!~tFFPMC zEosepem+15C@Bre4`CE9EbqvQuA2(L2d6QL8tYYsfUHV=U`c`?h>ZJc)Ctg~xARo# ziWMzzDMLU^NW!t^-IUH}k7PiTbQDJ5@iQYXZ^VuF^>VXLVDmUgV$ht3kjL{U?@PoS zJN*_CSyp-2*@B;vfy^f5ZXygG&hn2Tzafcx{{;312ro!tKkg0s!UK6~kk9}@5Zd^F zYDi=L7jSR@l~3^>m}L?5ee?6s={1LiLKldB5TNt@{yOM=Ex}^;uS>H7+UF${dNrv1 zK(Y7etoR}QdO9ezIWD^W3TP~{CF1`et>*jh_6mK)3{^sXk8wo4wI*%hH>?2u=JvKG zE!2t|pBZtyzKtNh)Yw{o;%(M%tCq_0Tm6j6s27`LAET2<(Yfh5V41b#|2ph32J@qS zfzjvw2kzy@bU1aAUQ5IKqD3%_iemU5BlHpG55fO~b@CEi{uie1)_S`K_@a&l^n+0! zz}octvIl^<*0ohOpj-hd(7mSL&Owjt)^rZulL5VASm7mAiF_%~s}k31sC8-{hO8m~ z!K&L?r)ksLc*Nfo787$OqLt@+=0*HY{Xag?F#Z4dAgCWxM|V;8=tvgUcufSoPda`_ zQ6bCv?1l#OP)Ovu^E;G}sSn2M!0IPF?}APQs@F_AF#82$N}u-l_j=B>x%mviJyW`X z%AsB5a;@ZT5;@>*D??9^=G(x$gG82$_6ABAzyk&;u;x@22ygb;e}LAc*F179cM~AW zf%@-)A8L@|0f3VwHjw*!mqF#{W$piT10qH~w4bmGn7SA=|Kp}~HbC;|bsRmAUrQh; zCr&ZcWENA$B>j;kTM(6?hR_ew-`0Fvwl;rA=L1|H(dqMUjW&p>yR+T{nE;Kmes!?} zOl9Gg@ik$tyBNJB0-W22>T_r zQSYb97yFAEiV~T!G9Z9|$F;|9=KQ}vbK(Kw-vPvr-2#*vk-c^Lb!nHaM9*zsPrT41 zOue7{IP)ZC8TQZYd=ry~h^)eHOu~42eAEz*$$N-J32W0YEiGdq6}8eUpN-Rk?gk{a zx@kn;b@|yvez;SyT6L(5=%7SFFBlD-K4xr^f22!|SiN9$rTlAfAP7&X>>h8Xina2X z7hCyFWiCD}(A|v# z8?&tYgHk2b5YT7+^_#JG)@@H^CNbk;OaM#ch&`BcX5KGr@stE z4u9p`$}MNC;w()2Iq)>ezO6F*b?{w_CbNw2mH(-`FYJIc#vGRRT^dINw*KVtQoAtr zy?AY1rY&!4r%p~Lknf&eI^lne6L7CCvu+rxWbL~{3S@SRHIvOOP_u_c1^|a5MW&`i zl=QhQRN+*A226dGMs9gaC1L_I&dyh>xaTn9qnO+TqiW~G$4=4T%9Y$>2l-QLTfj+Q&bqNOHHwUk;-WaLjmaYq+a!Pwbg$oI+)++wgi3C~q zECX$e4cew-F9O0zxWa<2GZ5$;O;NGT)Wl zjt#b%p6GYX)kxNXQPo2gux01AtrO=P+A(#IovLgEKm2l|3Y_X5dJ`MR<+I7wy9-8# zJ)MrqEoTW)?#AGhWZY)}owvbTzDwG4U+}%qT^MuRAp=%lhfeq3aU7h?d}Dt;F^m8^*f`>A|!H z4G@fmoVO4Spt^_uk8a_!y`5n6wI~1RZg0z6n1{CZ)n|nPFt)zQL3&tm)uH~!F$HjH zVS?x4eGAA|CjNhDr{|)78t_)gQvFn-vomgT&9~zJXL@MH8TbE>y{$d0^F-F%0kmb= z0knyc+3?LpJvH%yiB6c{|ETU7nfk{g^F#);@U@3$Igf8WyzU+tswR+X2}b8; z)*Fn=w=Ds4`C1-prf)74Ri26TxG|ElxheFo0<>u##MN8azpb)Tn!@-cMo&d+^BzXb zg3&JRxO&g8k|^Y!BYpHk59E3LmSv$LLz&fRHZQ0oJXV+;N#_fM)=!4ftmO ziUb46p!{;)4<2j>iAr(seMu%@6+!rVhC~^rTS!~S<(gn7L zRcQQUj9$QB(i>C+QR8*^eX6n>Ixsle2|arAOG0C6g@phhlhx?vT0Z)~kxoQsx&6m_ z`KM^ja*~D8hEX9w+a+nxd`d3(ce697bO*>Fi7xpExX#rI)J0i)c-iu$CfF%kcYa6t zlkv6z9g}~C=r9NDodNlBEg0@-8FiVDWX^=v1Eb65GT0IN?NTE?;#Bvu zq+=#@U%QTlQ3x@L8DY4+wAgSsJeON8IhUj|6zr-2yYrERSo0uN&G7iJ-WgZeby#05 z3n|09$JA%AnR>P|1cBrJWVyRPOK3bQp?ij*dY$YtPrzH+9$t`&G$NSaUfMJsm~mVl^RBvKqRZ{)ojeTgh1@|V={K=^^X{tZF( zlw~`laZih*DkJTul%vQ5!y}>YSA#WLEqrX8L}ljjlTvPwZq@t zK!F1T!eZQ<3_i^;ZG7cp@y$kp?AhFsF77KpngXbAR8lr{1A!$y69NX z0$v<7fU#bCp8CYXilI6ZnXt<8(;XT=pvy=pMiQ^iMFoz3YIz@DUV|iFdXp6nfBC6} z!_`IUWS34PTf!{_;m)}6VX#3jBw-*KdnLQbh3;+qJ}Ha6yhkSZVa6OkOP~v!+Rr@B znrZ}?O*gv0iM}VDh_n#MdO}%(fQ1NP;@LRySMFJY8PJo z0to$ZX9s$ka;6@JnqV(4^j7=yEqE z=VFnL#eAc~`P$}l96o_zcK?RV(T5%`*Lx;Q#V^Tx11%cV6JLaXxFFZIT76 zq?5=Oq-X zd)}&+Xa?O?_Rs{`uUxQ226 zA~0Pn!UhHG`2H7c!yYhT5H?`LaVujUKtJ)IMp4YAOXSQpy(;7Oc{td2MaD2+C)CN= zjUD&^v1smEIcMr-=)o`sXFQ0^bPna`=rsqcW0P@83xxzt>ytg;-5N(iS})gny5RAs z5EwlbdPS5H-kATj^{SuBBenHy;m{oITG{n<*}>BpW{yKdt*qA(6IvifVq3-t#_{2= z9%=jPRSRBow~lF5M%lX6&_68A-hiJpM$1zbm2Zn>*z*8l30r^v1*hnJB|-S0woH)v zim*=~dv7)vtr}v3mL?XueC&J{JCa*YY9=$d+RPdldAkgEj^1o`hp;1hRg<5bsU5S8 zL2Q)xb6Mw$wwXD&v2zlmnT8m$lG2a@jPU3p}Xa$$j*usQ*hJq=Q@qc??E+6Z?P6L?8$O?-%-WpV!9 zJJmW?ikBGDgBf=-@g(u3`2d77t;x;n*cleILvjlvNL#jE5N+fklgeTa>6(KAI9Bg| zB>*f$pd-`D)cV8TH+J&S1?d88c?n*` zmhor31AuQ0rpq2FT1#m}1h`I%cnew=65xO}CVRWxVKaA4krFf$46CTo!H>1oZ|+}Q z+ARSGdS3-8ZH6dSFrv!42)hELoa}qb1v()Psw+E>8PSc9&F=`&H-q5dR^!a~yD$a? z56n^J{2Y;2@rQBy=rVtG{DXHL0a2E&)^!RtoE+^>)@XAkyt@+<7~DG8k!pDdG7vx= zj1vtzm%T=-KoTA2IPV}vI`==S5$2nC%O#P;UU%b5gdU>$kw~pjG(u|q;PZ$$fp#Ngw?1NZh=lME*SbX^DB%G>nU%8bR^Ov7w z&Vm+ILoBx8h}VDsMpk>>XJiFe_d(!&y^&q9_2Y1)2OU)fKrtO(R7Yr4XyZ^sJg~SO ze8DKAnJsooJ<5?dgu~ycuirC<_vR+Fde7ZQxwT>TZ@Uxcr_L;Ys$=ig4n+=#itLiq zK$2h<5x{JA)z@@QH)`|v>QayNV7#twopxq;APtKy&wpcjXa$oG%!Mx}O-ueiKyjS^j#DhVngf=o(i z4m*QU#LJN#zzAIv%Njd$s@OFrVVsF@#AZ|d7-o~b|J80j1w>zP=Y>_|-gQkL$^ zCwjKI_5o@)uI$J$7WWe$GFrXt?9Kq5yl#{XhIEJ*u_Q>!?#{`0=Yu(J{7Mr0#&XHm zOJ=&O@r`dmFqby?3Q|rNe37?T>(gfBfp~AagP?0}aQY9-;e$D7Jlsd7Hyc;=6ycbz z$p|J_uU(NW6h)E=6L(kt^L?bGSMY^pbHNgFidq|@gb!V-UBRj6xe8yhaXIUHEM()- z&S7@MY5l6DJiJWgs;k6Dn-ZPyGIZsxTX3Yb?FXU&u+1TNUP9CDZ)Uvtem zYlgYz>eE%}qZMAJSGoHvyv*W`>r9G`3umT|FoT(EbQszVHBR9^|F$jA`AiM=bCjD65=IHo! zx$(3EeJ}*WF?A2LMsYwyCw{l*Y zaLcxLtxZ>UZ_0Wfp-(YH88^GZOqWRgnr|G_9BtpDf0rhBLgFc^mrW_Mkp(ZBuW!Zj;#pT?#-}4!J=W%B{I0zO-ah*H z59K27bRvJg8B3SAiS$!s@#-C&zWtnHe<2jGAr5M_+(vW_znZT#vA)Ip%&E|*} zy+;Z9knZ<>5CY&M5d$ZwIag>84iaW-iaw3)eSA?SfWPuRW{pIbU|e>8j*M+YL75dNMIVb*Oe z2ioUG5v`HIEbwPz7q~^WDX!Nb#4L$gm1L|~xf9^xTo!+=ZMo7hb3Cuj@ z%KPViGO0qd@U!Hlp`ewPM@8e+H${EiW99rOm^0=)Xg$mG%GZ^Zs?TkMW*y$jY{|q! zSYdjaZ_Hy?is<#-m_fneYRX{+q|H?hRB#)`jCnw4&^w3~Ji_K7hlPJznD?bc%8JI{ zhJmX0WP8pq%FfmrI&nbBs_S|IdA2fA;Waw%n)rl=AIP= zgJ%>u7Emj3dGfda&38zAh>uLVLl8;a;@<{H=cX;aF(I*^09 zvEJ3BcglRrSu2iR)%>;WkwlLMdKTwCC{dSgieZj(y^$^>W)(}#IL2N>5f}p8Ii7SO zb#tEVgiDJLbkKc_j-Ee|dxxuG;td#(HB+uSJKD2sa_QV>+S}=a7OtX05MM90)u)+y zV%Pq2g3XCJZaMm2!KTY9e&syKv3r4al>KSTv~)M^PEc~Eg7d+KsjFkyNE+b+bn z#Li6Unb}# z64-;fADNB2IIvLEY09=g!0NiZs6^Brr~X;Ss8O!490kj9r@ln!E(ZqRTsxFrWF#lW z1bsTXtNNacW+lyaepo|ooG7Gf*tYaBT>YDS8FKOLbB@_CVbXk92czqg87()Y?|sVW z(A2F(>v+)GeA95asP;e>z1g91?H>DtfPhWRVnX*2*Y6|qaEa9KwYEnP2s8foL=9RC7|14I%6%9rNm`B=%axV~NTj)Bm%E2)Yh8a=~0%Jhy0pyA@Iu5`33krm+RTw&o-}_jiNT44!M~pJ)B6+yVS)XrE_2z{MGz1y1EI zQEMFN%82YK(3fg>#zHGhL;&$b+x!KXHyD{9 zQA$V7udExU`hfS|z{f#$q z+RZETL?|2NmSD8T4&|5Oh+msu zn}6zU)iSLPxtU)9^lgNeJZ*cWQLuFdC3_Xt?+vq+!%-8*t&rAZ>PsFo*H_`kKJ4~c zf~(27qR1*V>57kwnS$lo>VaE#DU1x?F`WAi2~bXlqpEMz|5#}f?n^rfT{-vwRqs2} zGicWsgs`rjRqtyNGRpy4XH%8K4=%rBkxO>4bael#T=%E1{fNHr=vC2P0>tb(em!)r z28p4#f7#ksNf+xJW{}w*Ey>PB;yo#>t2ST?3`wuf%Po_sp*%J>=gFrfBd5SyLQN z+mwG*eC3DqoPibAec^{weL`5|B95N4RU3ymlwv4aAr6Mf)d{)*2&!`pR#Rb5Ke&0==pxy242r!@g$tEP4XUpflou*X^vJu9Z}!onI<$Y z`FVM-TzYTh_sPztKKO=oU?!{ubV^-V2D86nr;4r^KPz9sQU@oy=gmYf?3&u}l<>CR z=%cQiZ-%*D+#hVX?-zX=xBw^KlUD_j%^dGppajV=XNm&^z3AZ+8(HkDJn8C^6*xnK zCz@-xQPVwBLD=isO-q?7j2Wf=8=_#TgbK1JHCnni+ambhN0{xOXTI38&Lx0Zq#a~y zX8)zZ`!zK@j$MIFRFg>e6FKeb4CvAUb7PIfer7gbc+Fkl*7x;QA#`iBxm$GO-|06v zg*uL@z4583YQKsHj|)pjyJ)v=Wi&V-iPp_!cA~7{tvuk z%g*OlK*hp6;+3)yp#adWM1po)~xajxDuJa`E^Y!t9hW0K0 zJ@;En=j*D{eIT1G!JzE>`|Y4maY{gzm)4x|ft8MTf#xZtG&gOvq{N>lvJ$rR-+&Q* ze@DYg1wsge@`Chrk*nNqARF@^ptrvK(tI;m-j8`IjJno#H?|*B6_0w>KShw*7zns2 zB$DqY@8|lIVKo6oFxPz2^ssfD&;t*68drPmxM0k@SwX)wV`I@|Wb$$A0imSn2=%V< z-T}r>>d_M|zAY&}xtQ?kv7?5?RX!BFt%|{Z(*gU?wY@XKPsPbB#8|_F9{QsIKJ9sV zAP0Y=06QTAj$#tEeOLR8$FPDal#=CeHP5x>W(UteU|6l!qwk?r1@cKvb0Ut(-pqX% z%EYCx)z9?c01-im6!W?}5vrx%Lh<*0wH~WA zCf=K=daar#C13|Y4ZRYT{-exy;%3!E$5LwT?zbWj25_yQ2uQ&U+$Q!Bnd7@o6U7b; z3u*;L^PZkuV9g7p#RV(DC}ESU2t(Na`C17^DG~2-dAz2E7p4`r)xo$*Q1A~`JR~gd;JYG{PwKH z_xEQq^XJ!}!-?zohg}%Jn+^IrNlGvN0q!(?R5$TU=YvyXfUB z=u04?TRd4vKQAyx$tJ*cRTijY(`0dGfrYm z4q48}6uoZg;{NfOy;bCwvjV)!n|vA6?T92wibphDOnvYnW>TZ9%HqfyqI9Au=?p$` z*OKme7v`o#aa|-KSUD|&olyqEZCXuvFqrUuqiD9Os=pGdx<)j+!h5Guqn@L>oL9l* z^r8EwjzlE%P!5Z|w0ujl`OtK{$H7J0TB+9e{L1t6KfX$1aP74V8Y$m9_xjakb!87{ zpF?D7ZKa!WK`5PpH?B#w!@{QS9i{SqZ3^v!10pu4t#ogg5$g{bs~T`d$yzW>Dq`IF zuG*aP#p}(?!w}(0s~pQY{wVeK@q}}jpyz%x#Ha_I z{XB9qhSQ=PlD(VyY*rJM(d1`5}9;ApQB+ua92^m>f2I+zf)|*7Eh9Hwyyb^z;qlo z=H#w2uG{g{7<_bBRb9lnca+Wv^|=rINb=Fv17+L|7qw&-|2^%4XP|`6xdc8nozUD| z9MA9Z70T|d);=hE-8VP!E^q5IAomja!o!02GQPf_B>vRBD+*?6Ki^13+?Ca1{^EA# zIM!>=No`0$j(N1E_Hw7HI@|e}=pjtNWN+2;S{Q0{%|Ws9!f$WCG(aZ4+%5qem6m5# zv~m^fTP z@f)l8=uxfAm7EqYI834d{sWA)faZ7dWJkPsSMb~i&-?inw_)^y^GO)h+ICOSdY;S} zQt8$AmI3F3UWY2vYC*$whvTqRbAJ)9*F{jS_5Oe$Ncro|tiAt251>5VGJ8!iUv2M- ze9crp;a`<#+)@aBhQ4H9YuLAV5}7jaI+#2%b9}C1sk)YF{Rh6wCR6-muQO|^FnU1g zwRjp3G3-`(WxpP(OiN6EJ7aO$<~kqXYYOreYts03vu|;d%}KrJUhT$&D5+{Ivj*(P z@$w(5fYD0zFB=Ww(IGTz`WK2b5d+R)!wp5{}bbd&eg zJpbYV3v^MvMA$nae9`BGYHPrTA>2fB-G@$3w@FA4J&X~xZx4$vVMn>ts1=hkeAhOJP@hn+<=zrSKEQQW zW-huE7(Mx=k?V!Q3M*)>UToaDah%DX5L&gH-gkH|eqd~~XBBgqS^c#YmCox4)U`Pl zbed9;f$k^C602v{+l@uO#;zid%4^+wZl&9}4c2s0l7-GNFUEVJ>UPq9rCW%6w@BIG zdIHatX`qsS9G&xi^(1h-W1`G*8aiq8q{Y4lYG*cLxSCq7O86WxPt^XA?eN`jT0KD`#bn zCpMyNGUkW|VVewg5dFEpI+IQ$#AuZ->v{SW#Q}0ro}AHArijX#R{v!3rrERPG-$(* zgs=J5ErU8_V^cLW=8^N)3gw{zRhu#O*J&|V$}dHdU-!Gj3L4h3pQt~@%{aK&+nV>K z0l@_Bc3=YtU#C=$h9Oqqw}K5*mPOitY=LFM)@wS}Z<}FirctV{YLPK4CuMHrAZ_}v z@6TrZc);T0%xeL1FWh1TB9M4PQ;vOFjYKm0&DZRS@2$aJJ1>6oWLK;;9?Ch`VDI94 zcl1rFy7C6T|JAXO>IoBqW=of-_00ax{S(K#d$?{V)39S6LzKJy(hr=`l?Qc(lXPxS z63wf_Br-H)e`_>Q3GJuLtDc+Zxw0q|BVU61J0KJ(0s#gZ8yB50e_(~H604qRVHn9+ zoinrPe_u49G0~Ulah)}RQQu)F4Pj~YJ#hKs5|>g|EAMZA6=So5qxbcqDk5V(!Acy5 zGWMB;ey;>hwbZJ6T9jyYbF1bxR(9q-VAIn%P%=f>StVOOvR=Hm7cKH~1GKoPKDC?H zaNR}(>tZil@iq9r{i)!U2T$Vr9uEjp%{clSEsRf7RXRsXo~NEJSM~K8WtB|4*n?+z zxPlf9m3XXwwTf|9@PV!HU%g`qDxj(&)Cym3>08Nm2b|0SL~NH;rlDPlbo?yO>ocF% z=Z?y0KdX0#UMu%|PuIQYpPfF*u0NUgFRJD8&wnNfBAe8bl5&@HL*7o$q%Q1e;4ki9 z)pd%m>VyX9^iJ~ZbDxrC$2U_2UBtBYq0;5S0+_JksYb=f0&}%krfdg-aXln9f;jQw zcHi>$Gs@Kq>2Of+t6w5uLrYC--Gj8n4+=$^hwp!htUD#mYps*x8amy%e~t2i6+zH- z`4$;jUH!cH+rv{D5c?sW%=|iu$@6BX*dXzx?6l9&l)LBM2y&U+Rh=e|*g<2RquuLs}5`K`oS^X;4brJi_f z1j9I`>AZ{fI=w^sQ+)y))~@r&xG8fb#k8(IY8tixxxRKTzZ}`xz7f;#LOhk?&=+NV ze=O#pS}W3i1%&^gBD>g$C~_>0nZzo@S*akuIrm=|O{Xv9?{frJ- zJv2>3QJSW1H zyU3jc{akF+0Fm)=D7PQYYEV%f3v7>SJiU`K7KjG^t7nWIYh3WjRsrfcnllHIT9>$Q zqW3=p6VUy~Sa&GRLEwVf=Y{CMg#YYZw70|8ADpXFF9j^PhxOp)ea{3>M9TNf(ZV}kih#f8!7=&M_z|o@tws# z$tBN^wRe>!sFdVq;`Xmpm*G;lrZtMC5y<`JO7(1uNaIZgAN$jdNwx#g$b#p5b?5pY zs<~Pn#Z|{MLGO!r+Ge-y=dHPDC$r{1PuG&kG9mlZX_U^D9?P~*>lT2N+&dM0I|GCM z+x-o3Zz)cVgd6XIWJ{@P5q~6tC-$kdY@I3#8qdLuRZ-(WIo}>8(`RO8@j)S8GsUeU zBRwq4`!=c=BuK;UUcue+8dIO1Aba29ao50li{K9ij3y+N^-&l|ZID;IYV7!}i7|h3 zGYI-{)~6O++f?hQPaz43voF#_U-&5+6(Or@m*WE$_~h_PawoGEJ0x@wakfY`-XCPG zB|XRZX!l{%GAMpOUL<-D!^Z|he+HCl@1+8P&O)LO zab8w3)2Bs6xt3JlK#Jp!%ds31+P)%x*52u;&xBIn&?wZc?9wZ%*K*Hi_3%~aE3r3k z#vo`Jdx6Cj?=GZRn3=2RLCb1W9&m4>b*hKN74xaD8fUnQdGQA+5%9sP2c;g3JLBz*Sav@V4-& zV1R4j2I>5OiHm(;-j>W}pyym5QPdOuiZSva$E~5tm~%N(9=kjbbLto10y5O@CHW8G z)HSNjrArn(`X_k;rTF3-7D{ExSNP0w79-3mMs5C~zHY+$uiq$U`ep@oBf`zSC3)X^ z%X?e&rlOKUG|*toh5wWH7@v`B;98H2qUBGA8bjKj6pbxUpe^s9_ zGU0~p2RTe!#i{iQeoIdDXrtWdwA}y8dT23AF}U#mr!W`X&ZyWO$7=W|)IP9sGN{Ca z*pVTW>TbBK)k`DiANf|RkBAecdsO?jC99jK#EJ4fhrM@dK3;dAL^9`#vY(3n;!X=DA5Pf^Hcy`9n*>|91!GEEi)&l$$E;pWS;-I< zNA^;BX%y!}cKc(Knq%ealh{f1vC;&CscZ#8*5}rQI|QAQOr6Y+?E zwmH2Q_4~b?*{ODVo1%z>3=MVJ9&d(vgZv}*>J9F;IJsr3wB-i+>9dCF?cxC}ZxqwE zJ^H@u(SI>CJfRc91>Xlg_W^w#Iwhj;czs{HVUGB+UT~E5hMqYY%57;q zz*4IVcRZ+_B-+)Syf+PgS>`gk|KdQ@`8cqqd>>RsywDY+HoDg`LR)YS^r!)UPpLV! zXQCRES!Ejxc*u5(7H^A;G^QTPR9bYOa(p^1uRYBfvkNxq=O;~RIJb7h2)q^@`0fVH2Frt09(}0F)cIqgSw<|PwTHcgM+Je06CT6MOji$0ri;={Q@(qK(jGNfo_td< zFW9Sy8>w*ISTEbFsMm0h4!aR^+oGp%_hGs=CRuEFtDCL$qp8({GL6ZH5hb_L#*JYw zf`lz^4y3cSCYz3{@O0lAry6}iYmGKyduaY2eB%x3vqov|&d0_zl6$n0y`Abysmngj zYA(BMo%=C==GQfkD_&4FK84~(Y1gYyGA1QUjBcy{Q8ze|?y(xB{V1QcUH@!&TuibM z9K5z{($h#6Li|r0$WJn#a)+>bYo;f4vt?xOM&F+Is4p6sVCYe!Hlwn5(yD0f%=vl$ z@V_i%vDZ`Y>S+9leXH5kMHA@J*8}wP!|_{(W@3R;#oO)nr*7xmqZWquULV&!oZjTG z*NsEv@Ynycoe-CLMOZGMO|y~uS@(4tpVQr%bIfUYxC^BEY7K}*W6qtb37l^TdKf93 z^G71l>Untzh%Zn$4tc*0Yiq?OQi{gZy;c+$v{Ve z{lkehh|2R-;bBJ|;vW2UCOYaT>uK1w&b1V-*coV?75cWJPS??(X8TF#3GI~O*eL|- zeloi@bLCF?_eG6u9qhIP20up9NDQrbLEenJp8oo+->qS(VOnm;FYIhGcJ}!3sd{L= zSgJ*qGj%V1BsgQnd-8-jH8G|98+Cj|H*IB0j7uYT&O2kT&c*fEA+d5rdwfgQc>HSU ziQ`b)9<~u0{c!fiHBVi;x#a#^9a-vC-uiZD77P#H?P5KV}812 zhh|jw1{uBe>zd=9)&rgpmL)$N5(T1%kDBxsgVG&*%`|KTj=j9FK{^hf2E;W``ERp| z$7;%D8I~QGYYE2{&+5ehmQHD!g$f+>qp-(b!}V4bPSX|pa$Dmb0{dd)r|*I%b}9lL zNC(jq*%m3h#Y&c?V}fQxNqY1m<5W+L-}8_H7wdqAqn8LL&`#VA1^%J__tMNBwt$G%mODCgNGTi1e?IT4VQ9$j5h3R#;*WERa82YLSc+;8k-=zJs>J4);*u z{8Cbji*}Ia*x(fM)*ZA3HlqjT#V;kf*lyQc7rUBFp1cD#!&>yfT=;n<7EyNQ)v;#D zp1+Ky1<5PN+OK>62%SqM$}Mtp19< z1=j5s^)|oajm5mznV(|48%H<%VobWJ0{q0Qi;rJJqGQJsJq7%mBVr2@Jumqmg~!Gu zlF#3n)x`34)zib#k^?o%2j!6Q&#PJ6=Fd@5-QM@%gAC}`!MCj;F zPhs?*KIuN>HsA|Ma4NDxcY|laMw?f-U;HTg<^EI_mo}89Jf7ywrY!&bWri*7EQ$BxkUjHIz*@+;}i@|4zqB z+P%oDt2cvvFEN@hl+24Z%v+F)==cpZUz3p!?@P>K)@?op$X< zX7s8z&Rqn)wJ-U25B^c7-M0IgixG(ViKFs{Bu^U$karr@628&WF!WaY8vA^X#MasF zuKtAWqJ7$uzVDeylWuqV;e){KGXfp=3aoQNdHNDbhf5 zGp6ue+?GI{iSV9dTj{VlSkAd{Zu~}NT9~H91?-(WEzkNt6u(B&Rs1=DKhc%Y{dTq7 z{KIJZiw}FcAWQY3O!xM%S6}Yy*?T|#Gp(rlzWO*YZD!XGBZii_rD6*V!Xmpq-Wxh$4{Db%ZPVib06-oejTgXKM2 zNx&ojP+pb)LTt!Jm50yR_x}u!o8I;n_hI9=`t_S+`S$h&VExhXYM0jFXIG zMR|n@rZ!wpxptTfSt6{w>doAQka!004&x#85~41+0B{GrglkPSgrUBwp6>FSnK!TT znb^tno9_DRtDmlFhCLDatspM{uQ~j z_0L{p&)%4Co&F~FWT)Dj^5@E|{@U1nD}LMdm-wO_p>h7$|1&$E=Q5nnlHAe>;(VU1 zc-H52D_<*~?QMIVkQ=S0A`F|-NPvk!-{yJZ=m(T0-(7&2* zjITD=etc(gRE@`THQ-l=9iT^;LjQ(-?;u%o{w8Pr1f_6Zps(UZE56gw4!{ zwH(Ce>wgbAN5NIrh?D@mGww}bf233Y`j^&@MZq!5A2tC_3sPTQ4W90eUQ)h zEkH8gUw^@#-M^t8Oq2OpUi9<*5%C}>{$@Gj&&&#Ty&l>BFSR}m$#}2#AEWQLf$!S% ztK9VuM6^ASuTLa@W>zqHe-*s$OyO}8`55;j_ICi(bV{RH!B yAHSRAvo#+}_$B}Odv>%~&~J&S6+cy9TmS!8oq7b5vja*})`4FD delta 906 zcmV;519kk(2K@(+M}OQE00000006fG00000002z@0000000000008|100000004La z+*ny|6hRDiIwK%_goB-o^h$@$0643*-JV{)u|xr>2Ph-l>1073m|d}~}nq3r&Qug{Oku5WxD$M}Z(emERzW^Qk?@1G6-u73kh{m=6w z&L!U>r+zs<Z}>+X{b7Av z-{N6CU2gOn?O){gYomUp|EYh`ytx0F`H}j4)OcT~yg|NInI~gLU*-kly%Z<({nqx# z59N|?YFHHiiSjAuBh*L#$iLX9^?>%AXu7OEgVw9859e$BMtjF?ePR8bpWzW7*KhR8 z=ZVjAa(`jIZ}jOwZa+2iX{@K$n*TR0OX?5u{RH!c_^9#A{*CdG?~(eur}MdF+hT@o1iGTkv-zEPy=zOL1FMl%52gXBvpGUTxJAc&rtU~8f8-y}SGXRK55|k?f%?7tB74LmK2bgLJmvoM%E+hAS4IQz>_4AB&vahW_e;?q guG0001Zob6p*Z`()`eBWO|=>04<^+6G^130#`tBaj@rQr6#SO{{& z+zGZNokRs2;IO~_NJ^1ti)K$XDb5Vww}hmc&6=*Bo}MCo`ml&blY3`2ehSYTzYcnX z^P7{W@nUp;-*A$1-^1l1f7jz>v|jCx{=PZj+%Bh2q4TfJVTXbfI&q!% z>*?df>H4nY22IavdM*Xd@So20Gzy)o$ux?uZM^%%aZVq?AEU*5I*V4LRTw$Zk45aw zGW-@U!`auc;rwSBF5@$cvqm%hLG~xkbP{{l*!}3=(^V8Mx0l5iCXe=RHnuKG&Yd*t`7w<{GirV(gtyCd)hTPsC)KF?sWO*q^T>#AHXsOKL%GcG;1+8ZHro zK4b8Y!R1{Sa0EEQIVTOn3q2sRDt(XIGejz{0bo zG6R7oIReFAf^o_5=N2V-o1;&{NNjN0N~I!UG1TVx6JrTlRGEMJv#-#k)>fH+Vxu>Z zQKf|zR>HM825lXMmaT1$L$|;6-K7VaEfkrtNrEx@%NsdEFV*%}da2)ER`MtvtD5(oUEI9(bAI}~jgG!4aj6GeI zSqL<#*08|9>?%}opqmN}3j$3l6mZyN(dEb!Tf|aZm!r;yi;o~1yBv3B7g)CJs;qy& z$L6>bCtz%)@2fBebJFLSbBz|6K1Z8dG*S8-ZDzwit{{UPX=Wd=EdgJFGvxjGcYM~Z zwt4vJLv_7FU!_dEBP^Zx98*)HSV`wA)rr^&!ROdIy!;FWS&t)Y|MTGU&DAH!Cdbw! zOJQ{Fsg#M>oYYe*6R||qQ)>31o^re$T>T1;5ZmlK2is^pjzEKpk62(TvBv|ER4Np) z&RC0P|TsnF{KEpq%x_FA#b5peuTSdL}PfMZY0ax5$Zg+3p~@PMPvExOSZu++Ik z+kAmSn-617z;6iuO$JvD_ zD|!-_qkMmOvWmu0IJ%!kBd`3>eY_oBr8~t{jvAL_o;?H^`Vd@Nd-f0&kf{7afI<0f zzI+NNN>QoQI0m=XT`ngJltknzEeXIa9dfzM46BLARcRfAssdK6gDZ-N)$0fpKwOR! zNq|_zPNJPVSS9mj6{;Ih^up?B(oJE3tJSM%jv=QxhMwjaf*N8KHOaOKK1{5pMk3T9 zR#t;6b?7L{8ajrwhFERQru{7#Efs|TihxRoS>P&1tW>iou*;Dv>5<}QS;0-(&JfsD zSci8cb~%ROGA81+H?WS0Slx|8$V9C6MyL`Z6$uX3kRVoqgR6Ro)!=LzMuMUB_25vj>_!1!n7IuZ>Kv63BJtVFD42iL<8tJ+E4 z*bt08$0}SFL#%cO*2NI3-ob@2q$1w|gNfDez|t6E^*hPit@yaJA|8npgjgYuKnp^w zm`5N6Azsh}szHbq*9hbw#ENTP--+UFv$ItC+L9o)R2EYpvv{%1tGijeZ54?eI0dk( zo7Xq4c-ySX^u26>p(w!t^DL{uNnb${c&nynLkEs62~oum9Yv2r#}MQYtH{|3>+#W2 z5$3c7QE&u#4jnqB8(N&&q<@AOKw((;%4?f@EU|c1&84bjuB=rfSfniKJ!YR7@rzNaZRk zO;fQ+OOle#Xj&DQoBk>;sBO%H-Ez}kr3hxa*%l$#GOC)fv9aKpv@9;^j3ySF6oVyG zil%DDHK;}Hm2^f^5c=jIn0Zx9hTul%6OHd5!>yg~(`B?CKQ^4%`f2oa{&qc|F(7PgD0z?(5rQt%ixd@AYJA$4DlP0E|i5K8CR^zzoH+6T07Q&Wf(7O09)$@@b&-SWrz z{9(OlINw%JqvXA#vqpcoX`QFnu2LCY^50$jZF}mi&EX8*yA#_RzkuA?-2CTFPF#0C z47qLj@8idHcF5e*W_swgONhV3JG?}_rC*mnuk8lp!Ad!>GP@nec?>cP)Y2KxJnVi#&&Qt3yk@C7w0 z8DEtiKi3E!Eco)4d#_85UmAPyW33CcmH}EvJ804~AoYri={KH~9eo3`q#ccnp8lnj z*oB(aKKggj#RBe{i#h#BiCw69KG)AaafhJhJf$5d@v^sO^68)Y+IFa?ef=@z+tHa8 zx9}>ADLbaV!mnl2R3wP=)Z4P7Y;Q*YYhz*;YM##Z_xr>hf|_S3{f!LYj$&HeZ>cb* z>_DokKTj2YcGn=J^w)^u!KxW4{Y%-xPqmnXRN;3K>p`kz2U3N9nWCn-&yH2U_zM&j z&V6djucdO&`+EtDoj*%>$!9=4Wk;1D zUdUI6lvuj^)}1Upo$D`r3co6B4o}2%t`I3ZrgQNIR&_`f{?&-LjB?asPCrk?A9kv_ zM$uo*iCw7q-5&ihRrtrG%t0#9pL@kF)Era#DSr^v6`;-|IC8 zDX|W_!s*XHe+>2iT8UBk$QP#wYfC;Q8b#|zx(C?T_~sZU^>f) literal 3422 zcmV-k4WaU^4*>uG0001Zob8=kZyPxhh2Q5_H1xifin;?%0L6A@w6Sxsf=z&6A;__^ zE5w$)k{nEc!Tk2^iz9dJb|0P+(`*8MwA3zaz^VRKR@qE1_2AW$7g%%C4cs%*kq71fy!MBr7LK*A;gQN5F)hFpwHoHj2gI7n4y`EJW zxt8ezn}_dC{$T74Y|1#KiZ}!&U(A+Y8GF(K2FGts-<%x1rffo=IXwK-qpB?yPBY*v zBCkmtn>301W_fxv`9eCkAjg@!7{w<4j=yc??Z#ivHk;Mr>}E4xPcP#C{PB7Io7?a_ zef9SJbo~1L^yqkeGM&61y`MIozka#j+&;K9+AOpRYk}PL!|5R_4D!~b0S#voIcywB zNsGu|(-6S$p}o&}T=q zN#sDWNzx+npS0$Nb4r6eC<}pY&M0!C#M8N9w2gcy8KSu4p^bbf9->H#7K0vHZRA16 zY=CPc|A|8;*V=8EDaNf$nzTt4$IH)Da@R)wlZ0U|M{Yy!`EW#q;I_^16Ic9%njIkD zNdpI0^A2*J+kzfbMlJkDxcJtw8iF`C@7N7N`rtKdAci@ayd4ryKFI%B$w z7RejuI9=p8$LyL<7kN!G&m>JEuX%a!>gr}gt@^;cZN$z1dT{P@Fq%*X;k+l#fhj-i z!L^Dw{BUj4LvE8yQMg{-Lw=J5bIzvSG9TIEHklh~5_wNzlB_!No@^?`HGAJ?-op($ zeT#VyH#GK<15HL`0Pb6*ZKO@)K}nO7t%E!$mXhn2eT$KYv}l#G2{$k8!;~!!>ts0U z+pHRJCI`@a=IhPXYJPaNoKtp@3njsr>q-NgxephR23AhQ1&;yF-u{*vuWJu%rdebs zvm5eAoo$9Z(xlB&ADP|_Y9PpLyKXLET)ymk~S?8M{c+u zA|E<=Ga0|3b_#~bhmP4*$)U}Ji!=#mTqAaK7`acPBX7 zkqeF4)jxsgLx!2S&BQ08mP5n@vKH}p%2G!bi}a%WUHkrc)gMi3Sm5`cN0>!qUD&b%%yL%XkMzncL_P$kNcbtU#8A24=+$rXi78fi#T&UJZlGd&meoK- z5~>2h8x5i=5We9VRe|^o52*?SaA-;W=A@oK6Z~@-ZL6Iy7!8kk+AjTYZwSoW?c9Bof=&V4T zhG%C5(lp7*Mn&x{b}|1@n6;Zmk>^_Nr~tCVLav4h1L+DD7B-e-1sSdE$O^LZ zkgVWgVFRfO1uSeJSi!@>267cVENn1T!GgF3G8GyS*Fdb|_Jj@Z`w*_+fm{R83LeNc z5UohiS-$#qH<*-jzJ(ComY16)AZJF>6<~8eKeqkpuh)flRQ~w>_K76tjTX!E`Jc}k zt=yOC*J=Ik=DpXet3SUtp2uaq&RJ>xFth#TX79yv`8mmwr;+eon6dt?R;CTHaD}2Mzp-S zoPNH#SSJ_XZ~uEMT<$mGhi)#Hxq`~w&u8D={rH3b-_O;*%;rBzj6N{2-^|r3osJcB zmBNmKx=CrfY`aKVzrNoj_ZkHD4Z9L_%7Rl_5vo2GTvxgcfr46SJl`6}L>Z6plln#XoBS{!| z?@~tX|J5qh^B<;47dh&qRPM$Je`8CtNT2eb zq~~tltF=;H|1&>zx2|{>+53)P?moX-E0udKfxjd@4EHnMm6B4dtv*VrXY%fp>V=`y zz^} zo_2blBIIuFsI^q;ct+Qen(HM7`PM&i&e9qzUTNoeopaFqBe{(e9Kgy*;l!N~sURx>Kt3 zdae2>r9O%0E>5XWWK_K7?LAG%-Ns*Qr9^!Y*5Tk6MxJ2&4}RS+Lb{rrbElMgf3V`g z&;M$I8lSINDeoaY_j=h{DN%S}XmY0uL> z=I%tW_vx$&Iss=+$W4a)%QN28+Gs|wm8o~e9C}|EN~sU{IZUX+nE0tLRdX1o!oV`s zZzpl+ePK*z{0kMx)|~&Pgg|Zp>iM4_tB)90+@<{I27dX_#)z#OV>qhiteK}pu zF5^$elgzu)XV>ZF(aE3N9(e0^?d;>6-rFy3fB2r{QTF3Meq^uJx@(5QSBHL^UEJiG zthL`vKYHvD!H<}SkJ!I#e`4JdCWJhq8+M-ijWnI<~6H!^qk#kkx%}wWvm=u~k)` zGFkoNj_Q3(XNjc=5pZ~w12PWe|#eQi_4%VhrJlo|}L8jbO;l=>ZARV(E^q?=HrQr|`3PAWYb`m{;0 zbMyNre$-jTQ}gdmJ9`h5G7JMuRllFdVVrcA``-U#ojscT(`I^p_WZa11FPe!^2gHQ AiU0rr diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index f69645f..3a949f9 100644 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Tue Mar 28 05:06:37 2023 +Tue Mar 28 12:29:26 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Tue Mar 28 05:06:37 2023 ; +; Assembler Status ; Successful - Tue Mar 28 12:29:26 2023 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -67,8 +67,8 @@ https://fpgasoftware.intel.com/eula. +----------------+-------------------------------------------------------+ ; Option ; Setting ; +----------------+-------------------------------------------------------+ -; JTAG usercode ; 0x001615F8 ; -; Checksum ; 0x001618F8 ; +; JTAG usercode ; 0x00161718 ; +; Checksum ; 0x00161B18 ; +----------------+-------------------------------------------------------+ @@ -78,14 +78,14 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Tue Mar 28 05:06:36 2023 + Info: Processing started: Tue Mar 28 12:29:25 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13057 megabytes - Info: Processing ended: Tue Mar 28 05:06:37 2023 + Info: Peak virtual memory: 13059 megabytes + Info: Processing ended: Tue Mar 28 12:29:26 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 582637b..2b87f3c 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Tue Mar 28 05:06:40 2023 +Tue Mar 28 12:29:29 2023 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index 544622d..47211a7 100644 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Tue Mar 28 05:06:35 2023 +Tue Mar 28 12:29:24 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -57,7 +57,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Tue Mar 28 05:06:35 2023 ; +; Fitter Status ; Successful - Tue Mar 28 12:29:24 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -129,13 +129,13 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.04 ; +; Average used ; 1.03 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 1.6% ; -; Processors 3-4 ; 1.2% ; +; Processor 2 ; 1.3% ; +; Processors 3-4 ; 1.0% ; +----------------------------+-------------+ @@ -151,26 +151,26 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; Resource ; Usage ; +---------------------------------------------+-----------------------+ ; Total logic elements ; 236 / 240 ( 98 % ) ; -; -- Combinational with no register ; 119 ; +; -- Combinational with no register ; 138 ; ; -- Register only ; 1 ; -; -- Combinational with a register ; 116 ; +; -- Combinational with a register ; 97 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 137 ; -; -- 3 input functions ; 35 ; -; -- 2 input functions ; 63 ; -; -- 1 input functions ; 0 ; +; -- 4 input functions ; 136 ; +; -- 3 input functions ; 38 ; +; -- 2 input functions ; 60 ; +; -- 1 input functions ; 1 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; ; -- normal mode ; 203 ; ; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 15 ; +; -- qfbk mode ; 4 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 64 ; +; -- synchronous clear/load mode ; 49 ; ; -- asynchronous clear/load mode ; 29 ; ; ; ; -; Total registers ; 117 / 240 ( 49 % ) ; +; Total registers ; 98 / 240 ( 41 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 37 ; ; Virtual pins ; 0 ; @@ -182,15 +182,15 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; -- Total Fixed Point DSP Blocks ; 0 ; ; -- Total Floating Point DSP Blocks ; 0 ; ; ; ; -; Global signals ; 3 ; -; -- Global clocks ; 3 / 4 ( 75 % ) ; +; Global signals ; 2 ; +; -- Global clocks ; 2 / 4 ( 50 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 38.7% / 42.5% / 34.6% ; -; Peak interconnect usage (total/H/V) ; 38.7% / 42.5% / 34.6% ; -; Maximum fan-out ; 103 ; -; Highest non-global fan-out ; 53 ; -; Total fan-out ; 1101 ; -; Average fan-out ; 3.48 ; +; Average interconnect usage (total/H/V) ; 43.7% / 46.4% / 40.8% ; +; Peak interconnect usage (total/H/V) ; 43.7% / 46.4% / 40.8% ; +; Maximum fan-out ; 98 ; +; Highest non-global fan-out ; 54 ; +; Total fan-out ; 1081 ; +; Average fan-out ; 3.42 ; +---------------------------------------------+-----------------------+ @@ -199,34 +199,34 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; Slow Slew Rate ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ -; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 103 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 98 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 2 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; no ; -; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 15 ; 0 ; yes ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 0 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 0 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; +; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; +; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 10 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; ; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; ; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ @@ -252,7 +252,7 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; @@ -265,11 +265,11 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -278,15 +278,15 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; MOSIOE ; - ; -; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~2 ; - ; -; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~2 ; - ; -; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~2 ; - ; -; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; MOSIOE ; - ; +; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; ; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; @@ -438,7 +438,7 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; |GR8RAM ; 236 (236) ; 117 ; 0 ; 80 ; 0 ; 119 (119) ; 1 (1) ; 116 (116) ; 37 (37) ; 20 (20) ; |GR8RAM ; GR8RAM ; work ; +; |GR8RAM ; 236 (236) ; 98 ; 0 ; 80 ; 0 ; 138 (138) ; 1 (1) ; 97 (97) ; 37 (37) ; 5 (5) ; |GR8RAM ; GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -449,8 +449,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Pin Type ; Pad to Core 0 ; +----------+----------+---------------+ ; nRESout ; Output ; -- ; -; SetFW[0] ; Input ; (0) ; -; SetFW[1] ; Input ; (0) ; ; INTout ; Output ; -- ; ; DMAout ; Output ; -- ; ; nNMIout ; Output ; -- ; @@ -504,12 +502,16 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; MOSI ; Bidir ; (1) ; ; INTin ; Input ; (1) ; ; DMAin ; Input ; (1) ; -; PHI0 ; Input ; (0) ; +; nIOSTRB ; Input ; (1) ; +; nIOSEL ; Input ; (1) ; +; nDEVSEL ; Input ; (1) ; +; PHI0 ; Input ; (1) ; ; nWE ; Input ; (1) ; -; RA[0] ; Input ; (1) ; -; RA[1] ; Input ; (1) ; +; C25M ; Input ; (0) ; ; RA[2] ; Input ; (1) ; ; RA[3] ; Input ; (1) ; +; RA[0] ; Input ; (1) ; +; RA[1] ; Input ; (1) ; ; RA[4] ; Input ; (1) ; ; RA[5] ; Input ; (1) ; ; RA[6] ; Input ; (1) ; @@ -517,39 +519,38 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[8] ; Input ; (1) ; ; RA[9] ; Input ; (1) ; ; RA[10] ; Input ; (1) ; -; nIOSTRB ; Input ; (1) ; -; nIOSEL ; Input ; (1) ; -; nDEVSEL ; Input ; (1) ; -; C25M ; Input ; (0) ; ; RA[11] ; Input ; (1) ; ; RA[14] ; Input ; (1) ; ; RA[15] ; Input ; (1) ; ; RA[12] ; Input ; (1) ; ; RA[13] ; Input ; (1) ; +; SetFW[1] ; Input ; (1) ; ; nRES ; Input ; (1) ; +; SetFW[0] ; Input ; (1) ; ; MISO ; Input ; (1) ; +----------+----------+---------------+ -+------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+-----------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+-----------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; C25M ; PIN_64 ; 103 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Equal1~0 ; LC_X7_Y3_N5 ; 19 ; Clock enable ; no ; -- ; -- ; -; Equal22~1 ; LC_X6_Y4_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -; MOSIOE ; LC_X4_Y1_N9 ; 1 ; Output enable ; no ; -- ; -- ; -; PHI0 ; PIN_41 ; 15 ; Clock ; yes ; Global Clock ; GCLK2 ; -; PS[0] ; LC_X6_Y4_N5 ; 52 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X7_Y3_N1 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X7_Y1_N5 ; 8 ; Output enable ; no ; -- ; -- ; -; always8~2 ; LC_X6_Y2_N5 ; 8 ; Sync. load ; no ; -- ; -- ; -; always8~3 ; LC_X6_Y2_N2 ; 9 ; Sync. load ; no ; -- ; -- ; -; always8~4 ; LC_X5_Y2_N4 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~2 ; LC_X5_Y2_N1 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X4_Y2_N9 ; 31 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK1 ; -+-----------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ++-------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +; C25M ; PIN_64 ; 98 ; Clock ; yes ; Global Clock ; GCLK3 ; +; Equal0~0 ; LC_X3_Y3_N9 ; 20 ; Clock enable ; no ; -- ; -- ; +; Equal22~0 ; LC_X6_Y3_N7 ; 8 ; Clock enable ; no ; -- ; -- ; +; IOROMEN~0 ; LC_X3_Y3_N1 ; 2 ; Clock enable ; no ; -- ; -- ; +; IOROMRES~3 ; LC_X3_Y3_N3 ; 1 ; Async. clear ; no ; -- ; -- ; +; MOSIOE ; LC_X2_Y3_N6 ; 1 ; Output enable ; no ; -- ; -- ; +; PS[0] ; LC_X6_Y3_N1 ; 53 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X6_Y3_N0 ; 30 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X5_Y1_N6 ; 8 ; Output enable ; no ; -- ; -- ; +; always7~3 ; LC_X4_Y4_N9 ; 8 ; Sync. load ; no ; -- ; -- ; +; always7~5 ; LC_X5_Y4_N6 ; 9 ; Sync. load ; no ; -- ; -- ; +; always7~7 ; LC_X5_Y4_N0 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~1 ; LC_X5_Y4_N5 ; 9 ; Output enable ; no ; -- ; -- ; +; nRESr ; LC_X3_Y3_N1 ; 30 ; Async. clear ; yes ; Global Clock ; GCLK2 ; ++------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +-------------------------------------------------------------------------+ @@ -557,9 +558,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+-------------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+-------------+---------+----------------------+------------------+ -; C25M ; PIN_64 ; 103 ; Global Clock ; GCLK3 ; -; PHI0 ; PIN_41 ; 15 ; Global Clock ; GCLK2 ; -; nRESr ; LC_X4_Y2_N9 ; 31 ; Global Clock ; GCLK1 ; +; C25M ; PIN_64 ; 98 ; Global Clock ; GCLK3 ; +; nRESr ; LC_X3_Y3_N1 ; 30 ; Global Clock ; GCLK2 ; +-------+-------------+---------+----------------------+------------------+ @@ -568,13 +568,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 224 / 784 ( 29 % ) ; -; Direct links ; 55 / 888 ( 6 % ) ; -; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 14 / 32 ( 44 % ) ; -; LUT chains ; 32 / 216 ( 15 % ) ; -; Local interconnects ; 418 / 888 ( 47 % ) ; -; R4s ; 228 / 704 ( 32 % ) ; +; C4s ; 237 / 784 ( 30 % ) ; +; Direct links ; 70 / 888 ( 8 % ) ; +; Global clocks ; 2 / 4 ( 50 % ) ; +; LAB clocks ; 11 / 32 ( 34 % ) ; +; LUT chains ; 29 / 216 ( 13 % ) ; +; Local interconnects ; 463 / 888 ( 52 % ) ; +; R4s ; 257 / 704 ( 37 % ) ; +-----------------------+--------------------+ @@ -589,31 +589,30 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 2 ; -; 10 ; 21 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 22 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.88) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.96) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Async. clear ; 5 ; -; 1 Clock ; 20 ; -; 1 Clock enable ; 5 ; -; 1 Sync. clear ; 7 ; -; 1 Sync. load ; 4 ; -; 2 Clocks ; 4 ; +; 1 Async. clear ; 6 ; +; 1 Clock ; 23 ; +; 1 Clock enable ; 8 ; +; 1 Sync. clear ; 5 ; +; 1 Sync. load ; 5 ; +------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.63) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 10.00) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -622,67 +621,66 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 2 ; -; 10 ; 14 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 19 ; ; 11 ; 2 ; -; 12 ; 2 ; -; 13 ; 1 ; -; 14 ; 1 ; -; 15 ; 0 ; -; 16 ; 1 ; +; 12 ; 1 ; +----------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.21) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.13) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 2 ; -; 4 ; 1 ; +; 3 ; 1 ; +; 4 ; 3 ; ; 5 ; 3 ; -; 6 ; 2 ; -; 7 ; 3 ; -; 8 ; 5 ; -; 9 ; 5 ; -; 10 ; 3 ; +; 6 ; 4 ; +; 7 ; 1 ; +; 8 ; 3 ; +; 9 ; 4 ; +; 10 ; 5 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 15.50) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 16.83) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 1 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 1 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 1 ; +; 7 ; 0 ; ; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 1 ; -; 11 ; 1 ; -; 12 ; 0 ; -; 13 ; 4 ; -; 14 ; 4 ; -; 15 ; 0 ; -; 16 ; 2 ; -; 17 ; 2 ; -; 18 ; 0 ; -; 19 ; 2 ; -; 20 ; 2 ; -; 21 ; 1 ; -; 22 ; 2 ; -; 23 ; 1 ; +; 9 ; 1 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 3 ; +; 13 ; 1 ; +; 14 ; 3 ; +; 15 ; 1 ; +; 16 ; 1 ; +; 17 ; 1 ; +; 18 ; 1 ; +; 19 ; 1 ; +; 20 ; 0 ; +; 21 ; 2 ; +; 22 ; 1 ; +; 23 ; 3 ; +; 24 ; 1 ; +; 25 ; 1 ; +; 26 ; 1 ; +----------------------------------------------+------------------------------+ @@ -725,13 +723,10 @@ Info (332111): Found 2 clocks Info (332111): 978.000 PHI0 Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 64 File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 -Info (186216): Automatically promoted some destinations of signal "PHI0" to use Global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 - Info (186217): Destination "comb~0" may be non-global or may not use global clock - Info (186217): Destination "PHI0r1" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 10 -Info (186228): Pin "PHI0" drives global clock, but is not placed in a dedicated clock pin position File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 -Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 16 - Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 88 - Info (186217): Destination "RestoreDone~0" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 150 +Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 15 + Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 90 + Info (186217): Destination "IOROMEN~0" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 90 + Info (186217): Destination "REGEN" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 85 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments @@ -745,16 +740,16 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 31% of the available device resources - Info (170196): Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.31 seconds. +Info (170195): Router estimated average interconnect usage is 33% of the available device resources + Info (170196): Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 +Info (11888): Total time spent on timing analysis during the Fitter is 0.29 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 13733 megabytes - Info: Processing ended: Tue Mar 28 05:06:35 2023 + Info: Peak virtual memory: 13734 megabytes + Info: Processing ended: Tue Mar 28 12:29:24 2023 Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index ac928d0..df31550 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Tue Mar 28 05:06:35 2023 +Fitter Status : Successful - Tue Mar 28 12:29:24 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index 13b17f9..9ddfa3d 100644 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Tue Mar 28 05:06:39 2023 +Tue Mar 28 12:29:28 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Tue Mar 28 05:06:37 2023 ; +; Flow Status ; Successful - Tue Mar 28 12:29:26 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/28/2023 05:06:13 ; +; Start date & time ; 03/28/2023 12:29:02 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -76,7 +76,7 @@ https://fpgasoftware.intel.com/eula. ; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; ; AUTO_PACKED_REGISTERS_MAX ; Minimize Area ; Auto ; -- ; -- ; ; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 121381084694.167999437303928 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121381084694.168002094211928 ; -- ; -- ; -- ; ; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 1 ; @@ -103,11 +103,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:18 ; 1.0 ; 13094 MB ; 00:00:39 ; -; Fitter ; 00:00:03 ; 1.0 ; 13733 MB ; 00:00:04 ; -; Assembler ; 00:00:01 ; 1.0 ; 13053 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:01 ; 1.0 ; 13052 MB ; 00:00:01 ; -; Total ; 00:00:23 ; -- ; -- ; 00:00:45 ; +; Analysis & Synthesis ; 00:00:19 ; 1.0 ; 13095 MB ; 00:00:40 ; +; Fitter ; 00:00:03 ; 1.0 ; 13734 MB ; 00:00:04 ; +; Assembler ; 00:00:01 ; 1.0 ; 13055 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:01 ; 1.0 ; 13053 MB ; 00:00:01 ; +; Total ; 00:00:24 ; -- ; -- ; 00:00:46 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index b358e9c..dd46cf8 100644 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Tue Mar 28 05:06:31 2023 +Tue Mar 28 12:29:20 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Mar 28 05:06:31 2023 ; +; Analysis & Synthesis Status ; Successful - Tue Mar 28 12:29:20 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 256 ; +; Total logic elements ; 241 ; ; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -159,33 +159,33 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 256 ; -; -- Combinational with no register ; 139 ; -; -- Register only ; 21 ; -; -- Combinational with a register ; 96 ; +; Total logic elements ; 241 ; +; -- Combinational with no register ; 143 ; +; -- Register only ; 6 ; +; -- Combinational with a register ; 92 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 137 ; -; -- 3 input functions ; 35 ; -; -- 2 input functions ; 63 ; -; -- 1 input functions ; 0 ; +; -- 4 input functions ; 136 ; +; -- 3 input functions ; 38 ; +; -- 2 input functions ; 60 ; +; -- 1 input functions ; 1 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 223 ; +; -- normal mode ; 208 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 44 ; ; -- asynchronous clear/load mode ; 29 ; ; ; ; -; Total registers ; 117 ; +; Total registers ; 98 ; ; Total logic cells in carry chains ; 37 ; ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 103 ; -; Total fan-out ; 1104 ; -; Average fan-out ; 3.29 ; +; Maximum fan-out ; 98 ; +; Total fan-out ; 1074 ; +; Average fan-out ; 3.35 ; +---------------------------------------------+-------+ @@ -194,7 +194,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; |GR8RAM ; 256 (256) ; 117 ; 0 ; 80 ; 0 ; 139 (139) ; 21 (21) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ; +; |GR8RAM ; 241 (241) ; 98 ; 0 ; 80 ; 0 ; 143 (143) ; 6 (6) ; 92 (92) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -219,7 +219,7 @@ Encoding Type: Minimal Bits +---------------------------------------+----------------------------------------+ ; Register name ; Reason for Removal ; +---------------------------------------+----------------------------------------+ -; SBA[0]~reg0 ; Stuck at GND due to stuck port data_in ; +; SA[12]~reg0 ; Stuck at GND due to stuck port data_in ; ; IS~10 ; Lost fanout ; ; Total Number of Removed Registers = 2 ; ; +---------------------------------------+----------------------------------------+ @@ -230,12 +230,12 @@ Encoding Type: Minimal Bits +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 117 ; +; Total registers ; 98 ; ; Number of registers using Synchronous Clear ; 11 ; ; Number of registers using Synchronous Load ; 33 ; ; Number of registers using Asynchronous Clear ; 29 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 22 ; +; Number of registers using Clock Enable ; 26 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -262,12 +262,14 @@ Encoding Type: Minimal Bits +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; -; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |GR8RAM|SA[9]~reg0 ; -; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[8]~reg0 ; -; 20:1 ; 2 bits ; 26 LEs ; 12 LEs ; 14 LEs ; Yes ; |GR8RAM|SA[1]~reg0 ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |GR8RAM|IOROMEN ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[0] ; +; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[12]~reg0 ; +; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[5]~reg0 ; +; 20:1 ; 2 bits ; 26 LEs ; 12 LEs ; 14 LEs ; Yes ; |GR8RAM|SA[0]~reg0 ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[0] ; -; 5:1 ; 7 bits ; 21 LEs ; 14 LEs ; 7 LEs ; Yes ; |GR8RAM|RDD[0] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[0] ; +; 5:1 ; 3 bits ; 9 LEs ; 6 LEs ; 3 LEs ; Yes ; |GR8RAM|RDD[4] ; ; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQMH~reg0 ; ; 7:1 ; 5 bits ; 20 LEs ; 20 LEs ; 0 LEs ; No ; |GR8RAM|IS ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -279,43 +281,42 @@ Encoding Type: Minimal Bits Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Tue Mar 28 05:06:13 2023 + Info: Processing started: Tue Mar 28 12:29:01 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Warning (10229): Verilog HDL Expression warning at GR8RAM.v(21): truncated literal to match 1 bits File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 21 Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 1 Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (4) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 34 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(39): truncated value with size 32 to match size of target (14) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 39 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(128): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 128 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(136): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 136 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(143): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 143 +Warning (10036): Verilog HDL or VHDL warning at GR8RAM.v(69): object "RAMSpecSELAny" assigned a value but never read File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 69 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 31 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 36 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(123): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 123 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 131 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(138): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 138 Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nNMIout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 559 - Warning (13410): Pin "nIRQout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 562 - Warning (13410): Pin "nRDYout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 561 - Warning (13410): Pin "nINHout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 560 - Warning (13410): Pin "RWout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 563 - Warning (13410): Pin "nDMAout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 558 - Warning (13410): Pin "RAdir" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 557 - Warning (13410): Pin "SBA[0]" is stuck at GND File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 442 + Warning (13410): Pin "nNMIout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 556 + Warning (13410): Pin "nIRQout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 559 + Warning (13410): Pin "nRDYout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 558 + Warning (13410): Pin "nINHout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 557 + Warning (13410): Pin "RWout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 560 + Warning (13410): Pin "nDMAout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 555 + Warning (13410): Pin "RAdir" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 554 + Warning (13410): Pin "SA[12]" is stuck at GND File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 439 Info (17049): 1 registers lost all their fanouts during netlist optimizations. -Warning (21074): Design contains 2 input pin(s) that do not drive logic - Warning (15610): No output dependent on input pin "SetFW[0]" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 23 - Warning (15610): No output dependent on input pin "SetFW[1]" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 23 -Info (21057): Implemented 336 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 321 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 256 logic cells + Info (21061): Implemented 241 logic cells Info (144001): Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 18 warnings - Info: Peak virtual memory: 13094 megabytes - Info: Processing ended: Tue Mar 28 05:06:31 2023 - Info: Elapsed time: 00:00:18 - Info: Total CPU time (on all processors): 00:00:39 +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 17 warnings + Info: Peak virtual memory: 13095 megabytes + Info: Processing ended: Tue Mar 28 12:29:20 2023 + Info: Elapsed time: 00:00:19 + Info: Total CPU time (on all processors): 00:00:40 +------------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index c551f98..1c001f8 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(104): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 104 -Warning (10273): Verilog HDL warning at GR8RAM.v(281): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 281 +Warning (10273): Verilog HDL warning at GR8RAM.v(99): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 99 +Warning (10273): Verilog HDL warning at GR8RAM.v(278): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 278 diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 9859dd1..6d84c33 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Tue Mar 28 05:06:31 2023 +Analysis & Synthesis Status : Successful - Tue Mar 28 12:29:20 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 256 +Total logic elements : 241 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 46b446e2d88000951e541f79b95d59f6e172781a..225711524394be571bb0fcc67b1f76b92473990b 100755 GIT binary patch literal 7861 zcmeHMdvsLCd0#9GsBOTGYsaw-$nLS@w6S@`#ss9TADENI_;G3=b}FfE41^d-r#!R< zd3R{q068s=o76ajE;`9MwhwWobxM&et?!N>#iTZpNL|=~xOWIxyG3H}6_$4|yH_(` ze>1y^`J?~$k9u|P&dz-EJ?4AQ)nku76bgkVg{GMA<@kPl^V1tPZQfY(*oJjYtMT2k zcEi(6O{+K5tX#ces1`{Pk;JpljA1hKERlMC-+*2>bFVU1=DCS=j2S$VzO$wvNY$>%u>NuS zsWrZEOaW6a7%SuJ{k+Ua1$pfE^}5vb3kJVT|CrtU5@T(KetBJb1)Y=9b}a;F6rQ#?N~yf%8d=WV%amD8Mem`4;dWAjydw#vMsCs625Af5?p;c_PoH zAjkm{17Dk7uh3V4q7(xj)yZDSCj$kakd&y{M%; zw0+;;*XISf3nOHgT;Zm;_7jm2HbogJjW1y<*vrogJ(g6C0Prc0Qea+zb8h5-7kHLT zD&q&fn`@60WeiIx3w)TYHw=ZWKi zEq{SUUl?Ot`AMEiqn&`Cs&P*uDE8q(N9htW}vKUy|Wa1WXZz^$9{gx z_3b=jb51fg;x##`uve2FGM1K{i(kj zXl-3`AbxRAyno?>v(};$75QCvx0`YM9=YpS=Hq?I_$$3V&Vjw(>_}G5R}@?&g8+KZ zDq<|3JtbTg@%y0BvVIYFo{nGGiMWt~-0C>c|DcXK$mhvU{NhpgANFyR2O>I-2P^o2 z3ww2gKJb}mODdVT=r8}zl;0>}0{J;l{R9lx%UB?&?fe8BR8 zGJOtwmGy{Vc=nZOS=*=A&Vu?E#!(a z0DdPYYs@aw4=4@4VmYQGwcE)P;|8xAObvb>V~V2Z$SWuh=aji-EjJzmXGYFQ2S7F@7PL7B|Oh6c!_3@BQg*d%;d?$KC|za zxk5|w>n2`BfcW5C2>~@lSPJltlBUBcr}>40Hi?hpgFmA=8h^#XZp#gi1oF7Yl;o`k zsfDNfavs(Ak_eX2c4?E4%acmv5D%#+U|0v-6|kEE|FnWeWsH1N2R_TE2e8kJ2kE}% zAI8p3qol9WE5;Gu20z-hOR4V5g50MnQixcmBsKhfgEs4rO*cs^K#x&%O6eySQWa%FtyO6rLTmFkR>Gto@45PS;q{ckV+(!L>@;B$0(`&Sg(VQmsJCd<+2jq^y1>pa@t}Cs^ucV{Ak)kz%WNz?rt~BTm+wi~FBaqA6mtx>=m+^5H?2BQY z+>^qQgmW0YGJl&62FiCh{K<3t-ed>ro6avb9=)%uM?YfQ5*0Skby6S?p}uN)3Flac zde|VMoaipuxx$7jHNNIo#>dgAg{1Aqlpr7V6?NLcxuZuYq~K9sF2MdEs^=O{+OpN) zOQwWkLepz(_7y{Z4EA9n8_D43uo?M{tb|Xg#ksu2?0zgUlsCwUedvi&6foNtUB$1x0}iIwUzIQVT`%p|ldISn#Y>&R;RBy8_=DD=DwY zqa!18oWr%~Z%R-$>iyPA{85$)!VP3yT4j11YxTJO&I^Jl3h6ZcCcZnIsY-9Drv4qb zhwgZLDE6l}Pi|17(?X|B{MnmdelJt~n<>?Y`)5p^bVq2{bN5YssA2knnW0%q<6Hb2 z@2J=A*<_xF6MKN88t_u7p{e`@E>?e6WrXmZ#8px>=qZ2$aU zlg_QT-rsTHhlamQCX;H6Cv7R#B5tx#-_d!F`tTV2nNg7aitbNh-NtVO;!E2bFi9d( zAin`7B{c%Qkgy%S4q_92R3NU<|4^&8J7A6|j~d^`gOTNmo=^j+WE2jh2==ScuSdg# z{<{D_W`*Rb67c;PF|yETX?)2UA*_>f1okDLIJRIAIsT;lsGPOCHSY;>2R`Sufewhj5*HY-$a4tqJ_5}_A627eAR?wo554tq{SoiC6j2cC16{ujN zf#=bGsASmU<$2B*G8H5XQnIptal52M1ajpmmVk=K(I?~t=$HG4dSasBrP|`TK~Z3k zON|I+fp2HB;$K-yc@fHO2L0MVf$gWMc2csIRzZ#WoI0Oyj2v?>k2U2tVx5=IM;3>i zd71WF>H@Y3`t8i158q6c{v`a{*WJV#^~xmG=ax(DaJ`km0W z<+bO(sIII1$X5R>`{yqCboH8TMnCcJ<#XK~Q?@Oiba?UcYio}-J@*bx|KzKqn=ei( z_t&j&-rZhbczAe6$OQ9-?K;dAj*;$9Adj}gV&ogZhw$Kj2fPvB%Qev;Xn@}f=&KTc5p#}n z-&XC-RwJ;vpAr&Xw#Gvvk9_DboKFT!2XC&?qkWzzod{!>-|Q);yD$!r+g=q2{@duOVTPC4}G;r2B< z?+D%Z+j!OY>!*)h_rz?Y-(oN7AN$(mgLizKnDvIdMqb=F+r7P-dc+M~T0c>S`Zwi` z-|f0;C^72`@vCpScpSuf1Ap~#c)^JaC-#02i5!lfuuc3G zb^YtV{TF>lzWP#TeI4$9Kkn)Oqg(G^^pkS`X>ruQfG43kKjwS>g|Trj=DP42*T^R+ ziT-jh*pE1ibMrD#d0iejafnNZA$qSM;L%~VY z%a6-E;sN&Z%KAq>MSbGb6W~4ww}$_S%y0`?_JPJSeMTh#hazfJX_Q zm#F!lOKACq=|r5o?-7mQ9OV46hirbNY=6H;mb@@Oh!dvrr+A;HPlAe2jK*JGq6XA0 z755#X-0}1lDZ`)^$dx{K0M8TRpF~jfe8RN|+%-O-#0?txFOF2d45-`2 zC;dfX^u_Tl8*V1;Kax(_+t)_k?;GeGSkqcJwrA|biQ#J&49Mc1o67p@e|Y!zT=(4h z=`Rgu_M!j$+1~zS&jY*m?rk*s6Um_DGtf!;tqh8#aqZ&Ba})O?r(T6wI;Y?3#&G`z zPq?oyQ^~S?6cAnw1XgNTbS7{`lgUJ3-xk!Ta(|vD&vJd_T`x;@b3DWs@^o8(>dMT<5 zh(i8XG7LElz8rxa+5k_aR9fWVv1Xl5z!_753AhaMtW>Bk)SC+mpV24g`gqF(TBX3e zmq6qTo$4#}%W)!+U$ovN^Z_sRExjL)-dDidCHqB*T==_~z~@GvTu=#{fN%24CHtww zwbqDfxpSoXBm*m$pwHXPc?@3?8V~d-im|+28BwDrO65!dV=Sp?B#QHM@e!EzNpqp6KGPNFbKbm$E-)57@r zYVCrU3R>2dz(@^Kx~oYFhe^WX2%YZhR#w>Pd|2x;lVN!Ml#lK(L%#)m~&3b63D*_{@3<9x> z^bnsb3MduwE7<=9oj9~WuNSY%Qy}@5=~a9x;yE;IHSJRZuy7ZNrax|X}gJfw&{@*`5+Y$Tjj`Pio9Os1wv*5l_o8(@+w9>vQsJ@R7pKSCN8m4+sHQ- z?NL<>;+B>t7Eh6&3{hY~R?B1m3B^;=_OJ;(SB~?Z@BpRxHJ%7ZOfq^72Pn2%*?jZ@ zy$n*SzgXr9V2zZwANNK}GMzK~w=KEd_a@F+8}Qyu#EY0LT!vbt-RMpl(yteGAKqp{K}BWeP&roCk_L*P^5D zTI5uJb${5W>v}qGye1X&1hy22H=N9?`72HVhfyQ4B*A2tu2T~S;szh_@qAr(f|`^U zLw*T{cmN@cuPsqFh___6;kSjB*ZAo${tglq>_yM#iV2csVu_fpPa_9Td=nN#L`VK@ivenZDT0+$I6 zd2;iNN#RuS$>4XFCH#>OAirAs8G4gAQeaoL{s0R-M|C~SCz%8vOP7G(7El^|s9(=C&LS6k~yO>^-`EA1R`)IQ)&@h(B3D%8Yuhm_IJd4e@|M zFX(WD9^o!uditEhF&I-a)gJCI3ctli5E4;R$|IS-zgKlRLLRlW-P95!${9!TVlS~> zrJbWiI;#?``-8@B9s9oCrzC)pH*OJiHRF1)6&FKeu}X@#!B6eq#PvcW3-Zt?OR zJtwVFWW9fA+L;-tr+Re#9A?}9A-n98sn(Ld`OD}0;pF5EHRoqenpV8JV?!u^;O_4Z z-F5Tk*S}U_iIq=1w5hW2XEVG0a>72sjJ#~WXN7;)uReeMzdmTH`(fsx&dQrD2Per= zLkBhrd^@o1S5~jbcc;_bhV$$Ai0v+p9`EcdvC#f4TQ<8l%-g!$}# zzIX3E-TQZj{z)d2s=yI99@q8PN)@jSaqf3yNGH$pv2Q&~z=^UVm?DQ#J>mJ6_e5DS zQWhZ|9_K|0dNbgKzjWThf1Zo6qUBYEdRvLOUQgi@(nWy!<`Q|MJcc}$G=!T__UQXi z=L-rAG~f|j-z6#UrJ)b}Re6k_Hy}~}%FW4?au@=OEGnD$O3xv;iDXzGfhPqgmm@zZ zqwT_o1P4dr{eQtYskI*fe(R5>Yf9^d6z@Pjh) zdATj)lT(mGGvnxc&mrX;A-Ps&jQ>f%?_Ajo=jWJ%UC7-kuJNn;XR7Qs z34;H)4<=iOfjU)#z0~Np`M#pt`y2JhZD(VNf9BL!;iuIv|L9w78$XOoXNHHpJCT&=%YEYW0NE^{s)lE1$)MfVS9{a%ut-3Grqx@b}Qj_>T)8kE1a zJonr;CR3d^^P6W2D}M5(sUHh;H8ry1mgDEd!Q5ZW+O)Cum4Qix!qu~14E@s@xc*&t zKC|heu`8ChbrT(C52)en$*}&cOXvAhBU^5{@-sS^n=z}CqOS~eJX@%p{h5(( zl$FZC)y;{{-1~#g+1ult=W84HEWYZv{n|*AsXsX|uD9(` zwq=OWBnQJGD0E5;D_MbswW80pM~}8m|q8ic15B|!aIsM5Sn0c04u#m_dwo;={SGTPlzU!R&HL2An`OWN!JPe z!%IR14d{!EpMU-c9FF^1$>F@&pJaQb;!eR@b;fbuD37Ly-H`4oR$2@18~OgET&;$G z47&$cCs@=vSwVso#|t)RkB0GG`$n6$?`NA}+^)QQr%`l__!|=>?>}VZtwiC2^ke_{ z+2Lo87MiWg>d=3l`TC*(+B&Dk^p7c4_>XMUJD*?u@E=9i`m>1cKfk^pQh7ZRG5vYo ze`b=O+jMHhnUj=#DSE}^iMcdC{(lSjh#v+^!*SF7ga$h7^C%sbo4(Y z&&$yg_&KwP>w4AwX|>NwIr_ORWdW4QY@Ui1^ve`QjeH7s2~HN4f-cC9=gEm?n&2he z^ah{yN%P#N@r1B!bhZiDXoDKkRmRk(mKVacN$|%x^zlJMw_-`)rezM{zTP^kyoCNCF^QEpcK)E3EM%4mQ{=rAObd)*n+rKqa$(QqV)`AmFlk zzLY49`<-0LiDC5miBl#Pld@tVGYE1=>4^7SB7d7;nZI*J7gk8LT@#n7QSDDC;xxtG z{?f;dxxjfV`;1qjaXyAK(&ERRV_Kf^QX8XvDdlp+|6lvXHEZASdN#`Q4LVKe!CR+) z?XAbAyx(wj-_-dpOpi>Ph}7H=x$5YQ$j$F%KyQuCy!{xP@{1V@2S(i&qu;4{4)1ej zP5NzPWPO{KAGvk<^+WXMFVBefUGdJHwUJE|HT5&6pDE4V($2NKj84BX%PxIk#<`DQ z&3-p}NzKG{byKE)R;k_cWJSwMudi?O>eVN7UfW9?qSwpcQI*>jrL=t{4l{ho?cNX<^j&tON&PVmm z2uY^$B0!!P`68-Ju~^~r0Pzcw)QYp*73e?v!uXUB&oU=pw(B|iI^xJPD8NU@S908^ zLtUZM>=@G|K1O6ZfvC{Q+@pU!Ff83Sr?u9SnkK;NyR zURmSE9b;d~hkpIM1l}^`9Qa3OFewoeMnC3AY0P!~Nq;}t{yNb~_P9q!G(JAsZcQ(f zC?h|;4CWHsH~#aP9HXegS4e6=Kc6l&Q7H(dmT$%LpF+!_^<$sKUn3r42t@y2pUIDt zLJ-kq zavJB;sC0DxnCCr=8(6^0w%udlVqKea0JF#7m(YhCKrBm^s7%d1_lK!16GQgE+uu7s?TOk);Q~6z zw*M|`ee#l(`rwM@jdQM__S}PazV-03V)66K(IyaC2k!niMGH3XPn2K1_6J8VZ<**# z4%E*&Q@e8Ku-4zr?wOHgzxq6-4!(NrQ*(CAC@-A1ZBpdy-2dD~I)5bT=f8N+-?w$J zx%v6{{?BZCY;io-*-`C33D19PZ+Pp}>FKXOF|c$|=fCXe7}&e$j_%}6V}A!vCd+~+ zC6DSlQboLOX-nW7vnB2gjbBRR+`)+8@I=r;y~DnP`(G!Jp`#vpP%mLUA-;NjR5oaS zd~i!B<-8lxIrSFNnJMBSo}njWNT+a)T99QguACyJ#07lBnd$qJbUbi>5oJ*yl18ig zLp}1BiZ)U_hxe#5f%=m1>N+ILkk2!TI0g*w2dE9=)qDdE3vqC#!(Kdj1vsxYKEH^s z^APV>kuS(gBChR`_ziwDmT~rB9p@S7=$}wsWE*}>$NMTx$6WKneqJ^l;r$0Wvu>OE z1V0uOC+t65hkfAJ)?U!3*QLgRJsMx%&t^0@EjaJWv{QT`AL2=_^;Y?=!#xI{hjP5v z;Pdc(N*;@fT8sASi}=PKoCo|PBIgv|F(Xd~p|>jk#Rz=oPu8PdxiBYYY)$6|qLHSqzzVg6V9{7Li Mfy>O_ifeZMH_Jaa#{d8T diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index a0539b9..12798bc 100644 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for GR8RAM -Tue Mar 28 05:06:39 2023 +Tue Mar 28 12:29:28 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -80,11 +80,10 @@ https://fpgasoftware.intel.com/eula. ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 2 ; +; Maximum used ; 1 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.2% ; +----------------------------+-------------+ @@ -93,7 +92,7 @@ https://fpgasoftware.intel.com/eula. +---------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +---------------+--------+--------------------------+ -; GR8RAM.sdc ; OK ; Tue Mar 28 05:06:39 2023 ; +; GR8RAM.sdc ; OK ; Tue Mar 28 12:29:28 2023 ; +---------------+--------+--------------------------+ @@ -112,7 +111,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +-----------+-----------------+------------+------+ -; 66.42 MHz ; 66.42 MHz ; C25M ; ; +; 87.68 MHz ; 87.68 MHz ; C25M ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -122,7 +121,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; 12.472 ; 0.000 ; +; C25M ; 14.455 ; 0.000 ; +-------+--------+---------------+ @@ -131,7 +130,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 1.383 ; 0.000 ; +; C25M ; 1.374 ; 0.000 ; +-------+-------+---------------+ @@ -140,7 +139,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; 33.331 ; 0.000 ; +; C25M ; 34.082 ; 0.000 ; +-------+--------+---------------+ @@ -149,7 +148,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 6.115 ; 0.000 ; +; C25M ; 5.364 ; 0.000 ; +-------+-------+---------------+ @@ -159,7 +158,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ ; C25M ; 19.734 ; 0.000 ; -; PHI0 ; 488.734 ; 0.000 ; +; PHI0 ; 974.000 ; 0.000 ; +-------+---------+---------------+ @@ -168,106 +167,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 12.472 ; REGEN ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.195 ; -; 12.473 ; REGEN ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.194 ; -; 12.485 ; REGEN ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.182 ; -; 12.571 ; REGEN ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.096 ; -; 12.858 ; REGEN ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.809 ; -; 12.946 ; REGEN ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.721 ; -; 12.947 ; REGEN ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.720 ; -; 13.462 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.205 ; -; 13.543 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.124 ; -; 13.543 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.124 ; -; 13.543 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.124 ; -; 13.649 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.018 ; -; 13.668 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.999 ; -; 13.730 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.937 ; -; 13.730 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.937 ; -; 13.730 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.937 ; -; 13.825 ; REGEN ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.842 ; -; 13.979 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.688 ; -; 14.060 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.607 ; -; 14.060 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.607 ; -; 14.060 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.607 ; -; 14.184 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.483 ; -; 14.184 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.483 ; -; 14.184 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.483 ; -; 14.210 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.457 ; -; 14.328 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.339 ; -; 14.366 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.301 ; -; 14.371 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.296 ; -; 14.371 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.296 ; -; 14.371 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.296 ; -; 14.390 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.277 ; -; 14.409 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.258 ; -; 14.409 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.258 ; -; 14.409 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.258 ; -; 14.445 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.222 ; -; 14.496 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.171 ; -; 14.622 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.045 ; -; 14.701 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.966 ; -; 14.701 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.966 ; -; 14.701 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.966 ; -; 14.707 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.960 ; -; 14.709 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.958 ; -; 14.809 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.858 ; -; 15.050 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.617 ; -; 15.050 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.617 ; -; 15.050 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.617 ; -; 15.061 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.606 ; -; 15.128 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.539 ; -; 15.139 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.528 ; -; 15.199 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.468 ; -; 15.284 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.383 ; -; 15.322 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.345 ; -; 15.488 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.179 ; -; 15.722 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.945 ; -; 16.006 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.661 ; -; 16.012 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.655 ; -; 16.089 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.578 ; -; 16.110 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.557 ; -; 16.112 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.555 ; -; 16.133 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.534 ; -; 16.142 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.525 ; -; 16.144 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.523 ; -; 16.144 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.523 ; -; 16.300 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.367 ; -; 27.034 ; IS.state_bit_0 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.633 ; -; 27.416 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.251 ; -; 27.568 ; Addr[23] ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.099 ; -; 27.654 ; REGEN ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.013 ; -; 27.717 ; Addr[23] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.950 ; -; 27.803 ; REGEN ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.864 ; -; 27.841 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.826 ; -; 28.219 ; Addr[23] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.448 ; -; 28.223 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.444 ; -; 28.238 ; LS[1] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.429 ; -; 28.251 ; Addr[23] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.416 ; -; 28.266 ; Addr[23] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.401 ; -; 28.305 ; REGEN ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.362 ; -; 28.337 ; REGEN ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.330 ; -; 28.352 ; REGEN ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.315 ; -; 28.419 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.248 ; -; 28.423 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.244 ; -; 28.431 ; LS[7] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.236 ; -; 28.447 ; LS[10] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.220 ; -; 28.462 ; LS[3] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.205 ; -; 28.464 ; LS[1] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.203 ; -; 28.566 ; Addr[23] ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.101 ; -; 28.594 ; LS[9] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.073 ; -; 28.605 ; Addr[23] ; nRCS~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.062 ; -; 28.611 ; Addr[23] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.056 ; -; 28.652 ; REGEN ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.015 ; -; 28.657 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.010 ; -; 28.673 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.994 ; -; 28.688 ; LS[3] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.979 ; -; 28.691 ; REGEN ; nRCS~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.976 ; -; 28.696 ; Addr[23] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.971 ; -; 28.697 ; REGEN ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.970 ; -; 28.720 ; Addr[23] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.947 ; -; 28.782 ; REGEN ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.885 ; -; 28.784 ; LS[11] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.883 ; -; 28.806 ; REGEN ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.861 ; +; 14.455 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.212 ; +; 14.456 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.211 ; +; 14.456 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.211 ; +; 14.456 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.211 ; +; 14.549 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.118 ; +; 14.550 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.117 ; +; 14.550 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.117 ; +; 14.550 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.117 ; +; 14.596 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.071 ; +; 14.690 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.977 ; +; 14.774 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.893 ; +; 14.775 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.892 ; +; 14.775 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.892 ; +; 14.775 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.892 ; +; 14.915 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.752 ; +; 15.094 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.573 ; +; 15.114 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.553 ; +; 15.114 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.553 ; +; 15.115 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.552 ; +; 15.115 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.552 ; +; 15.115 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.552 ; +; 15.156 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.511 ; +; 15.156 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.511 ; +; 15.185 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.482 ; +; 15.250 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.417 ; +; 15.250 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.417 ; +; 15.255 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.412 ; +; 15.352 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.315 ; +; 15.365 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.302 ; +; 15.475 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.192 ; +; 15.475 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.192 ; +; 15.494 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.173 ; +; 15.532 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.135 ; +; 15.569 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.098 ; +; 15.599 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.068 ; +; 15.646 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.021 ; +; 15.650 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.017 ; +; 15.659 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.008 ; +; 15.663 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.004 ; +; 15.667 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.000 ; +; 15.684 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.983 ; +; 15.712 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.955 ; +; 15.720 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.947 ; +; 15.755 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.912 ; +; 15.779 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.888 ; +; 15.795 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.872 ; +; 15.815 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.852 ; +; 15.815 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.852 ; +; 15.888 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.779 ; +; 16.002 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.665 ; +; 16.004 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.663 ; +; 16.098 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.569 ; +; 16.175 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.492 ; +; 16.228 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.439 ; +; 16.304 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.363 ; +; 16.850 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.817 ; +; 28.595 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.072 ; +; 28.644 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.023 ; +; 28.780 ; IS.state_bit_0 ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.887 ; +; 28.904 ; IS.state_bit_0 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.763 ; +; 29.057 ; IS.state_bit_0 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.610 ; +; 29.188 ; Addr[23] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.479 ; +; 29.237 ; Addr[23] ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.430 ; +; 29.317 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.350 ; +; 29.332 ; IS.state_bit_1 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.335 ; +; 29.373 ; Addr[23] ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.294 ; +; 29.381 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.286 ; +; 29.492 ; Addr[23] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.175 ; +; 29.497 ; Addr[23] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.170 ; +; 29.517 ; IS.state_bit_1 ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.150 ; +; 29.544 ; LS[10] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.123 ; +; 29.640 ; Addr[23] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.027 ; +; 29.641 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.026 ; +; 29.650 ; Addr[23] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.017 ; +; 29.673 ; LS[8] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.994 ; +; 29.740 ; REGEN ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.927 ; +; 29.764 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.903 ; +; 29.789 ; REGEN ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.878 ; +; 29.794 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.873 ; +; 29.855 ; LS[11] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.812 ; +; 29.893 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.774 ; +; 29.910 ; Addr[23] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.757 ; +; 29.925 ; REGEN ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.742 ; +; 30.044 ; REGEN ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.623 ; +; 30.049 ; REGEN ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.618 ; +; 30.054 ; IS.state_bit_1 ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.613 ; +; 30.075 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.592 ; +; 30.086 ; Addr[23] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.581 ; +; 30.096 ; PS[3] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.571 ; +; 30.145 ; PS[3] ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.522 ; +; 30.177 ; LS[6] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.490 ; +; 30.192 ; REGEN ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.475 ; +; 30.202 ; REGEN ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.465 ; +; 30.212 ; LS[9] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.455 ; +; 30.230 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.437 ; +; 30.278 ; LS[10] ; IS.state_bit_2 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.389 ; +; 30.281 ; PS[3] ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.386 ; +; 30.336 ; PS[3] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.331 ; +; 30.336 ; PS[3] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.331 ; +; 30.336 ; PS[3] ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.331 ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -276,106 +275,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.383 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.604 ; -; 1.384 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.605 ; -; 1.396 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.617 ; -; 1.412 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; -; 1.422 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.643 ; -; 1.652 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.873 ; -; 1.654 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.875 ; -; 1.667 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.888 ; -; 1.667 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 1.888 ; -; 1.779 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.000 ; -; 1.780 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.001 ; -; 1.785 ; PS[1] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.006 ; -; 1.787 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.008 ; -; 1.825 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.046 ; -; 1.880 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.101 ; -; 1.920 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.141 ; -; 1.937 ; RestoreDone ; RestoreDone ; C25M ; C25M ; 0.000 ; 0.000 ; 2.158 ; -; 1.942 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.163 ; -; 1.961 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.182 ; -; 1.978 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.199 ; -; 1.996 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.217 ; -; 2.049 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.270 ; -; 2.108 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.329 ; -; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 1.374 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.595 ; +; 1.403 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.624 ; +; 1.413 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.634 ; +; 1.650 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.871 ; +; 1.699 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.920 ; +; 1.854 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.075 ; +; 1.964 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.185 ; +; 1.970 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.191 ; +; 1.986 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.207 ; +; 2.004 ; IS.state_bit_1 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.225 ; +; 2.107 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; +; 2.108 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.329 ; +; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.118 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.339 ; +; 2.125 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; ; 2.126 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.128 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.349 ; -; 2.129 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.350 ; +; 2.126 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.133 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; ; 2.133 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; -; 2.133 ; IS.state_bit_2 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; -; 2.134 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; -; 2.136 ; IS.state_bit_2 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.357 ; -; 2.143 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ; -; 2.144 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; +; 2.135 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; +; 2.136 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.357 ; +; 2.142 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.363 ; ; 2.144 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.144 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.148 ; RestoreDone ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.369 ; -; 2.199 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.420 ; -; 2.203 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.424 ; -; 2.210 ; PS[2] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.431 ; -; 2.212 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.212 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.212 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.213 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.434 ; -; 2.214 ; IS.state_bit_0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.435 ; -; 2.221 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; -; 2.221 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; -; 2.221 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; -; 2.222 ; PS[2] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; -; 2.222 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; -; 2.222 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; -; 2.230 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.145 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.151 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.372 ; +; 2.153 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.374 ; +; 2.172 ; PS[3] ; nRCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.393 ; +; 2.211 ; IS.state_bit_1 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.432 ; +; 2.213 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.434 ; +; 2.221 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; +; 2.230 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.231 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.234 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.455 ; -; 2.237 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.458 ; -; 2.239 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.460 ; -; 2.240 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; -; 2.241 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.241 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.251 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.253 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; -; 2.262 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.276 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.497 ; -; 2.283 ; PS[3] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.504 ; -; 2.290 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.511 ; -; 2.291 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.512 ; -; 2.313 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.534 ; -; 2.364 ; PS[1] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.585 ; -; 2.370 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.591 ; -; 2.448 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.669 ; -; 2.508 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.729 ; -; 2.542 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.763 ; -; 2.547 ; LS[4] ; SA[3]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.768 ; -; 2.554 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.775 ; -; 2.557 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.778 ; -; 2.582 ; PS[3] ; nRCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.803 ; -; 2.595 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.816 ; -; 2.638 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.859 ; -; 2.652 ; IS.state_bit_0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.873 ; -; 2.655 ; IS.state_bit_0 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.876 ; -; 2.656 ; PHI0r2 ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.877 ; -; 2.676 ; PS[2] ; nRCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.897 ; -; 2.719 ; LS[7] ; SA[6]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.940 ; -; 2.720 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.941 ; -; 2.838 ; IS.state_bit_1 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.059 ; -; 2.848 ; IS.state_bit_1 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.069 ; -; 2.928 ; Addr[14] ; SA[4]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.149 ; -; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.231 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.232 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.232 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.233 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.454 ; +; 2.239 ; RestoreDone ; RestoreDone ; C25M ; C25M ; 0.000 ; 0.000 ; 2.460 ; +; 2.248 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; +; 2.249 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.250 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; +; 2.251 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.256 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.477 ; +; 2.259 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.480 ; +; 2.260 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; +; 2.260 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; +; 2.262 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; +; 2.266 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.487 ; +; 2.275 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.496 ; +; 2.275 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.496 ; +; 2.294 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.515 ; +; 2.422 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.643 ; +; 2.440 ; PS[3] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.661 ; +; 2.525 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.746 ; +; 2.529 ; PHI0r2 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.750 ; +; 2.581 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.802 ; +; 2.591 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.812 ; +; 2.616 ; PS[3] ; SA[2]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.837 ; +; 2.634 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.855 ; +; 2.653 ; AddrIncL ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.874 ; +; 2.666 ; nRESr ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.887 ; +; 2.716 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.937 ; +; 2.736 ; PS[1] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.957 ; +; 2.936 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 3.157 ; +; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.957 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; ; 2.958 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.959 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; +; 2.965 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.186 ; ; 2.965 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.186 ; +; 2.968 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.189 ; +; 2.974 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.195 ; +; 2.976 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; +; 2.983 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.204 ; +; 2.985 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.206 ; +; 3.039 ; Bank ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.260 ; +; 3.040 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.261 ; +; 3.041 ; PS[2] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.262 ; +; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[1] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.067 ; PS[0] ; SA[2]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.288 ; +; 3.068 ; Addr[8] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.289 ; +; 3.069 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; +; 3.069 ; Addr[17] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; +; 3.070 ; Addr[18] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.291 ; +; 3.076 ; Addr[16] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.297 ; +; 3.076 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.297 ; +; 3.079 ; Addr[9] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.300 ; +; 3.085 ; Addr[0] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.306 ; +; 3.087 ; LS[4] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.308 ; +; 3.094 ; LS[8] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.315 ; +; 3.096 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.317 ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -384,35 +383,34 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 33.331 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; +; 34.082 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +; 34.082 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 5.585 ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -421,57 +419,56 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 6.115 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; +; 5.364 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +; 5.364 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 5.585 ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -+-------------------------------------------------------------------------+ -; Setup Transfers ; -+------------+----------+------------+------------+------------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+------------+------------+------------+----------+ -; C25M ; C25M ; 1405 ; 0 ; 95 ; 0 ; -; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; -+------------+----------+------------+------------+------------+----------+ ++-----------------------------------------------------------------------+ +; Setup Transfers ; ++------------+----------+------------+------------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+------------+------------+----------+----------+ +; C25M ; C25M ; 1404 ; 0 ; 56 ; 0 ; +; PHI0 ; C25M ; false path ; false path ; 0 ; 0 ; ++------------+----------+------------+------------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. -+-------------------------------------------------------------------------+ -; Hold Transfers ; -+------------+----------+------------+------------+------------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+------------+------------+------------+----------+ -; C25M ; C25M ; 1405 ; 0 ; 95 ; 0 ; -; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; -+------------+----------+------------+------------+------------+----------+ ++-----------------------------------------------------------------------+ +; Hold Transfers ; ++------------+----------+------------+------------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+------------+------------+----------+----------+ +; C25M ; C25M ; 1404 ; 0 ; 56 ; 0 ; +; PHI0 ; C25M ; false path ; false path ; 0 ; 0 ; ++------------+----------+------------+------------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -480,7 +477,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 29 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 28 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -490,7 +487,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 29 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 28 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -514,10 +511,10 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 42 ; 42 ; -; Unconstrained Input Port Paths ; 251 ; 251 ; +; Unconstrained Input Ports ; 44 ; 44 ; +; Unconstrained Input Port Paths ; 906 ; 906 ; ; Unconstrained Output Ports ; 44 ; 44 ; -; Unconstrained Output Port Paths ; 214 ; 214 ; +; Unconstrained Output Port Paths ; 115 ; 115 ; +---------------------------------+-------+------+ @@ -573,6 +570,8 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; SD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nDEVSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nIOSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nIOSTRB ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -614,7 +613,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; SA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -675,6 +674,8 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; SD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nDEVSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nIOSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nIOSTRB ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -716,7 +717,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; SA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -741,7 +742,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Tue Mar 28 05:06:38 2023 + Info: Processing started: Tue Mar 28 12:29:27 2023 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -753,33 +754,33 @@ Info (334004): Delay annotation completed successfully Info (332104): Reading SDC File: 'GR8RAM.sdc' Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. -Info (332146): Worst-case setup slack is 12.472 +Info (332146): Worst-case setup slack is 14.455 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 12.472 0.000 C25M -Info (332146): Worst-case hold slack is 1.383 + Info (332119): 14.455 0.000 C25M +Info (332146): Worst-case hold slack is 1.374 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 1.383 0.000 C25M -Info (332146): Worst-case recovery slack is 33.331 + Info (332119): 1.374 0.000 C25M +Info (332146): Worst-case recovery slack is 34.082 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 33.331 0.000 C25M -Info (332146): Worst-case removal slack is 6.115 + Info (332119): 34.082 0.000 C25M +Info (332146): Worst-case removal slack is 5.364 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 6.115 0.000 C25M + Info (332119): 5.364 0.000 C25M Info (332146): Worst-case minimum pulse width slack is 19.734 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 19.734 0.000 C25M - Info (332119): 488.734 0.000 PHI0 + Info (332119): 974.000 0.000 PHI0 Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13052 megabytes - Info: Processing ended: Tue Mar 28 05:06:39 2023 + Info: Peak virtual memory: 13053 megabytes + Info: Processing ended: Tue Mar 28 12:29:28 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 4d1c4a1..2af454a 100644 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,19 +3,19 @@ Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : 12.472 +Slack : 14.455 TNS : 0.000 Type : Hold 'C25M' -Slack : 1.383 +Slack : 1.374 TNS : 0.000 Type : Recovery 'C25M' -Slack : 33.331 +Slack : 34.082 TNS : 0.000 Type : Removal 'C25M' -Slack : 6.115 +Slack : 5.364 TNS : 0.000 Type : Minimum Pulse Width 'C25M' @@ -23,7 +23,7 @@ Slack : 19.734 TNS : 0.000 Type : Minimum Pulse Width 'PHI0' -Slack : 488.734 +Slack : 974.000 TNS : 0.000 ------------------------------------------------------------