From 5437b524cc163383988bc3770b67c3370de2d973 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 21 Apr 2021 20:06:56 -0400 Subject: [PATCH] Register Apple address bus on PHI0 rising edge --- cpld/GR8RAM.qsf | 112 +- cpld/GR8RAM.qws | Bin 613 -> 3110 bytes cpld/GR8RAM.sdc | 3 + cpld/GR8RAM.v | 161 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 25843 -> 26479 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4585 -> 4842 bytes cpld/db/GR8RAM.ace_cmp.cdb | Bin 60183 -> 62678 bytes cpld/db/GR8RAM.ace_cmp.hdb | Bin 19145 -> 21262 bytes cpld/db/GR8RAM.acvq.rdb | Bin 0 -> 411 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1365 -> 1364 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3202 -> 3236 bytes cpld/db/GR8RAM.cmp.cdb | Bin 60811 -> 62678 bytes cpld/db/GR8RAM.cmp.hdb | Bin 19785 -> 21262 bytes cpld/db/GR8RAM.cmp.idb | Bin 17733 -> 18498 bytes cpld/db/GR8RAM.cmp.rdb | Bin 16061 -> 16274 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 105582 -> 108783 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.eco.cdb | Bin 673 -> 742 bytes cpld/db/GR8RAM.fit.qmsg | 77 +- cpld/db/GR8RAM.hier_info | 111 +- cpld/db/GR8RAM.hif | Bin 436 -> 438 bytes cpld/db/GR8RAM.map.cdb | Bin 21748 -> 22535 bytes cpld/db/GR8RAM.map.hdb | Bin 18526 -> 19894 bytes cpld/db/GR8RAM.map.qmsg | 38 +- cpld/db/GR8RAM.map.rdb | Bin 1237 -> 1237 bytes cpld/db/GR8RAM.pplq.rdb | Bin 246 -> 245 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 15381 -> 16617 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 337 -> 337 bytes cpld/db/GR8RAM.routing.rdb | Bin 1487 -> 1445 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 15288 -> 16544 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 29029 -> 30363 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 22859 -> 23224 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 16977 -> 18291 bytes cpld/db/GR8RAM.sta.qmsg | 43 +- cpld/db/GR8RAM.sta.rdb | Bin 14355 -> 15879 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 55668 -> 58237 bytes cpld/db/GR8RAM.tmw_info | 4 +- cpld/db/GR8RAM.vpr.ammdb | Bin 887 -> 1004 bytes cpld/db/logic_util_heursitic.dat | Bin 13640 -> 13816 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 186 +- .../GR8RAM.root_partition.map.kpt | Bin 3256 -> 3485 bytes cpld/output_files/GR8RAM.asm.rpt | 14 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 759 +++--- cpld/output_files/GR8RAM.fit.summary | 4 +- cpld/output_files/GR8RAM.flow.rpt | 16 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 60 +- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pin | 16 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 2069 +++++++++-------- cpld/output_files/GR8RAM.sta.summary | 28 +- 55 files changed, 2004 insertions(+), 1723 deletions(-) create mode 100755 cpld/GR8RAM.sdc create mode 100755 cpld/db/GR8RAM.acvq.rdb diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 40fd6d4..189a496 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -149,7 +149,7 @@ set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to FCK set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MOSI set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MOSI set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MISO -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to MISO +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MISO set_location_assignment PIN_21 -to nDMAout set_location_assignment PIN_19 -to RAdir set_location_assignment PIN_20 -to INTout @@ -161,4 +161,112 @@ set_location_assignment PIN_33 -to RWout set_location_assignment PIN_48 -to DMAin set_location_assignment PIN_49 -to INTin set_location_assignment PIN_17 -to RDdir -set_location_assignment PIN_18 -to DMAout \ No newline at end of file +set_location_assignment PIN_18 -to DMAout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAdir +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RAdir +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RAdir +set_instance_assignment -name SLOW_SLEW_RATE ON -to RAdir +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RAdir +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RDdir +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RDdir +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RDdir +set_instance_assignment -name SLOW_SLEW_RATE ON -to RDdir +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RDdir +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to PHI0 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to PHI0 +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to PHI0 +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nWE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nWE +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nWE +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nDEVSEL +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nDEVSEL +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nDEVSEL +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nIOSEL +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSEL +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSEL +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nIOSTRB +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSTRB +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSTRB +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nRES +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRES +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRES +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRESout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nRESout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRESout +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRESout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRESout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nFCS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nFCS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nFCS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FCK +set_instance_assignment -name SLOW_SLEW_RATE ON -to FCK +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to FCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MOSI +set_instance_assignment -name SLOW_SLEW_RATE ON -to MOSI +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MOSI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MISO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to C25M +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to C25M +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to C25M +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRCS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRCS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRCS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRCS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRCS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRAS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRAS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRAS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRAS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRAS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nCAS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCAS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nCAS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nCAS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCAS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nSWE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nSWE +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nSWE +set_instance_assignment -name SLOW_SLEW_RATE ON -to nSWE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nSWE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RCKE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RCKE +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RCKE +set_instance_assignment -name SLOW_SLEW_RATE ON -to RCKE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RCKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SBA +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SBA +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SBA +set_instance_assignment -name SLOW_SLEW_RATE ON -to SBA +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SBA +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQMH +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQMH +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQMH +set_instance_assignment -name SLOW_SLEW_RATE ON -to DQMH +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQMH +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQML +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQML +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQML +set_instance_assignment -name SLOW_SLEW_RATE ON -to DQML +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQML +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SetFW +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SetFW +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SetFW +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SD +set_instance_assignment -name SLOW_SLEW_RATE ON -to SD +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SD +set_global_assignment -name SDC_FILE GR8RAM.sdc \ No newline at end of file diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws index 38d1f457a795f303addcf141f9087a7fd7afd0d8..5bd64441b44947d1d42d4c0391766365c8b305a8 100755 GIT binary patch literal 3110 zcmds(O>0zV6vxjqlSn9H1*IEBh9DAzgqd-YCKQUP#+TNbX^ez+GfXmfCXzZ6%vcrQ zP!Pe7Ah>9|5?pmt`x(0FLWDx0Zd|x4UAD3M`=5L7^cqbt&~AJ#&wXCc|Gb}j4-Q${ zcH7rhw-xJJ%`RBS&e;;HW%d>Z*8$0Oehd2R={X~NVentfRkj52qdI3h|I!_?>l4@>I|-`>EDKh}935Ck zj9oj!=nTZyrRKVcX26bc+O~$+r>Ebg;#J(lmmH;raU-yV*0vU_8oW9fd&wPehE+>9 zvPd^lq$2k^pvg@&#>nr&_ia!vrYJkt)9W#I7(s#Wb(0Z?Q5oypxwomLlqpT=NXBp+3c}c9WPOPDsx9vo0#MAIAM4l&JV)*pZ2(m7s>9Xst z8qwxaA{0oFx_#r8kssX}f*4!_v3~;yMbTLv!8U9~J;e{pDu| zGW!j$s`ZS18xx#79K=F58Ec^)kL1V{e$VIz@bpS)JVl z%KEUE_@dt#_YXvgvnFR(9TGM2pEC2JnJx9#DHl7u)aR4396sXS6$rd6o2=-CXAc=z zCFYe$NAb(Foo3z3Dj6z`^H!R}uYEbv8@=lC>X##Y1;`_M@4TGhIz=weAiqGL=OzUu?m zzV)IU60>P5+>w6%H51{R>a~L;(eCwHq6}t4nMA=8Jq6!n-k1wQ%qN1aTc#Ai|96@C z0NM2=Re{bFDY0H#j${+{cPp!L=Bhd$SY@?7*H6$h&FYA?ClOw~Or;$qdq;h2ke|b3 zw=z9qR^n;UmDTZ2p0ZjwUG!XT@~-fd$nW?E4&-p3S1+q&UA5mic;B5&8*eQ`q9aDp5i0$;W(qvR*g7R2%-w_!3@PAv9H!O6u=c&`7hmg+I}c OV2K-n^pCn$IeQ7(h*DDk delta 52 zcmZ1`@swqPJ|pAgkDNt}HIq}gOa*Hg7#M;X{{R2az`*o@fq}7R^D3?cMmFY4Kz8+H H0Uj{`x~UIK diff --git a/cpld/GR8RAM.sdc b/cpld/GR8RAM.sdc new file mode 100755 index 0000000..a7c9d8f --- /dev/null +++ b/cpld/GR8RAM.sdc @@ -0,0 +1,3 @@ +create_clock -period 40 [get_ports C25M] +create_clock -period 978 [get_ports PHI0] +set_clock_groups -asynchronous -group C25M -group PHI0 \ No newline at end of file diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 83b5da3..41dd3b5 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -65,40 +65,41 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, /* Apple address bus */ input [15:0] RA; input nWE; + reg [11:0] RAr; reg nWEr; + reg CXXXr; + always @(posedge PHI0) begin + CXXXr <= RA[15:12]==4'hC; + RAr[11:0] <= RA[11:0]; + nWEr <= nWE; + end /* Apple select signals */ - wire ROMSpecRD = RA[15:12]==4'hC && RA[11:8]!=4'h0 && nWE && ((RA[11] && IOROMEN) || (~RA[11])); - wire REGSpecSEL = RA[15:12]==4'hC && RA[11:8]==4'h0 && RA[7] && REGEN; - wire BankSpecSEL = REGSpecSEL && RA[3:0]==4'hF; - wire RAMRegSpecSEL = REGSpecSEL && RA[3:0]==4'h3; + wire ROMSpecRD = CXXXr && RAr[11:8]!=4'h0 && nWEr && ((RAr[11] && IOROMEN) || (~RAr[11])); + wire REGSpecSEL = CXXXr && RAr[11:8]==4'h0 && RAr[7] && REGEN; + wire BankSpecSEL = REGSpecSEL && RAr[3:0]==4'hF; + wire RAMRegSpecSEL = REGSpecSEL && RAr[3:0]==4'h3; wire RAMSpecSEL = RAMRegSpecSEL && (~SetEN24bit || SetEN16MB || ~Addr[23]); - wire AddrHSpecSEL = REGSpecSEL && RA[3:0]==4'h2; - wire AddrMSpecSEL = REGSpecSEL && RA[3:0]==4'h1; - wire AddrLSpecSEL = REGSpecSEL && RA[3:0]==4'h0; - reg ROMSpecRDr, RAMSpecSELr, nWEr; + wire AddrHSpecSEL = REGSpecSEL && RAr[3:0]==4'h2; + wire AddrMSpecSEL = REGSpecSEL && RAr[3:0]==4'h1; + wire AddrLSpecSEL = REGSpecSEL && RAr[3:0]==4'h0; wire BankSEL = REGEN && ~nDEVSEL && BankSpecSEL; - wire RAMSEL = ~nDEVSEL && RAMSpecSELr; wire RAMRegSEL = ~nDEVSEL && RAMRegSpecSEL; + wire RAMSEL = ~nDEVSEL && RAMSpecSEL; wire RAMWR = RAMSEL && ~nWEr; wire AddrHSEL = REGEN && ~nDEVSEL && AddrHSpecSEL; wire AddrMSEL = REGEN && ~nDEVSEL && AddrMSpecSEL; wire AddrLSEL = REGEN && ~nDEVSEL && AddrLSpecSEL; - always @(posedge PHI0) begin - ROMSpecRDr <= ROMSpecRD; - RAMSpecSELr <= RAMSpecSEL; - nWEr <= nWE; - end /* IOROMEN and REGEN control */ reg IOROMEN = 0; reg REGEN = 0; reg nIOSTRBr; - wire IOROMRES = RA[10:0]==11'h7FF && ~nIOSTRB && ~nIOSTRBr; + wire IOROMRES = RAr[10:0]==11'h7FF && ~nIOSTRB && ~nIOSTRBr; always @(posedge C25M, negedge nRESr) begin if (~nRESr) REGEN <= 0; else if (PS==8 && ~nIOSEL) REGEN <= 1; end - always @(posedge C25M, negedge nRESr) begin + always @(posedge C25M) begin nIOSTRBr <= nIOSTRB; if (~nRESr) IOROMEN <= 0; else if (PS==8 && IOROMRES) IOROMEN <= 0; @@ -343,95 +344,95 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, always @(posedge C25M) begin case (PS[3:0]) 0: begin // NOP CKE / NOP CKD - RCKE <= PSStart; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; + RCKE <= PSStart && (IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; SDOE <= 0; end 1: begin // ACT CKE / NOP CKD (ACT) - RCKE <= IS==6 || (IS==7 && (ROMSpecRDr || RAMSpecSELr)); - nRCS <= ~(IS==6 || (IS==7 && (ROMSpecRDr || RAMSpecSELr))); - nRAS <= 1'b0; - nCAS <= 1'b1; - nSWE <= 1'b1; + RCKE <= IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL)); + nRCS <= ~(IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + nRAS <= 0; + nCAS <= 1; + nSWE <= 1; SDOE <= 0; end 2: begin // RD CKE / NOP CKD (RD) - RCKE <= IS==7 && nWEr && (ROMSpecRDr || RAMSpecSELr); - nRCS <= ~(IS==7 && nWEr && (ROMSpecRDr || RAMSpecSELr)); - nRAS <= 1'b1; - nCAS <= 1'b0; - nSWE <= 1'b1; + RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + nRCS <= ~(IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL)); + nRAS <= 1; + nCAS <= 0; + nSWE <= 1; SDOE <= 0; end 3: begin // NOP CKE / CKD - RCKE <= IS==7 && nWEr && (ROMSpecRDr || RAMSpecSELr); - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; + RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; SDOE <= 0; end 4: begin // NOP CKD - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; SDOE <= 0; end 5: begin // NOP CKD - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; SDOE <= 0; end 6: begin // NOP CKD - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; SDOE <= 0; end 7: begin // NOP CKE / CKD RCKE <= IS==6 || (RAMWR && IS==7); - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; SDOE <= 0; end 8: begin // WR AP CKE / NOP CKD (WR AP) RCKE <= IS==6 || (RAMWR && IS==7); nRCS <= ~(IS==6 || (RAMWR && IS==7)); - nRAS <= 1'b1; - nCAS <= 1'b0; - nSWE <= 1'b0; + nRAS <= 1; + nCAS <= 0; + nSWE <= 0; SDOE <= IS==6 || (RAMWR && IS==7); end 9: begin // NOP CKE / NOP CKD - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; + RCKE <= 1; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; SDOE <= 0; end 10: begin // PC all CKE / PC all CKD RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b1; - nSWE <= 1'b0; + nRCS <= 0; + nRAS <= 0; + nCAS <= 1; + nSWE <= 0; SDOE <= 0; end 11: begin // LDM CKE / AREF CKE / NOP CKD RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); nRCS <= ~(IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd)); - nRAS <= 1'b0; - nCAS <= 1'b0; + nRAS <= 0; + nCAS <= 0; nSWE <= ~(IS==1); SDOE <= 0; end default: begin // NOP CKD - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; SDOE <= 0; end endcase @@ -455,25 +456,25 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, if (IS==6) begin SBA[1:0] <= { 2'b10 }; SA[12:0] <= { 10'b0011000100, LS[12:10] }; - end else if (RAMSpecSELr) begin + end else if (RAMSpecSEL) begin SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; SA[12:10] <= SetEN24bit ? Addr[22:20] : 3'b000; SA[9:0] <= Addr[19:10]; end else begin SBA[1:0] <= 2'b10; - SA[12:0] <= { 10'b0011000100, Bank, RA[11:10] }; + SA[12:0] <= { 10'b0011000100, Bank, RAr[11:10] }; end end 2: begin // RD - if (RAMSpecSELr) begin + if (RAMSpecSEL) begin SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; SA[12:0] <= { 4'b0011, Addr[9:1] }; DQML <= Addr[0]; DQMH <= ~Addr[0]; end else begin SBA[1:0] <= 2'b10; - SA[12:0] <= { 4'b0011, RA[9:1]}; - DQML <= RA[0]; - DQMH <= ~RA[0]; + SA[12:0] <= { 4'b0011, RAr[9:1]}; + DQML <= RAr[0]; + DQMH <= ~RAr[0]; end end 3: begin // NOP CKE DQML <= 1'b1; diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 2880c4fb51ab84ccbbc61f4d352d947c42511b61..df48fdfe8fe6206d614e1e00923b9999b7a5937a 100755 GIT binary patch literal 26479 zcmYJZ1yoe;^9L-VARtl_QVUW7(p|escXy+NbT{k@h=_E9lyrCJ5>nDF9ZToZ3oN@Y z-{1c|?|be!cb@r7Jaf;TbM7;DhUm$YClz=Yk9YUSOY)KGTDiH~Iy=$v@Nsf;^3X|o z+B#U$@$&J~aSL+s@N)5T^YGDWS-E-Hezu}hxA<&N$3v&3NT+A@iB87S*5lEVJLBo2 znVt8ViQUd5lY~BvtSx)nuQM;e{ft!F`%Qdx>l7wm*9%PH zyx>pf6j(AAScD_z*UnzECYU=<-c^dQr*?m>PL+91{#t`4$Ns}hx-s-kMdkF3$I5}n z+DrK5?EPigffmbr$;9l2)4ytqUNaABamuJlEg(es6tksTafyREifr%HnyB`}ZT7a= zxqT95Ck{GMaJuG3wXO063zNZn-B_>9kQ<}U&oV*JvRfIej9MIYLP6gsrs+%}Q{h3h zi}aU58@RRSN%)=r+uf-Q{ekVM9Ab)8 zDHop8$Y4A(jZ5I@z5a7sHs(yqf#7mj59p(N$({lX(7hZcSavq_%YdH8+LnY|jonLi zkmqxy2_7+Az5fK>j_>vQ)j9CPZ`VNMl$ZU$#73rLsl519i2Y#YaXH{I^YXP_O`mHd z4P~h;(5n8;ZQ_RYmR5gyoZ1_gN8rzjcBiTLAg?&>RP33+`|7Ey9Pr%A~h%Sei zIormzW%H4REJ!<`B~kdxtd#Bv=@vnO+=Gt4P91A9K?Ci%fk(1V5Jx34s}l? zRtl^Y+AYcc_>X`0nN6${w&Mzf|8z5^5fzl)eE5xLJ6Mc$_P684j7%X6KiopQlnfw+ zJ@fbwi82SQA@4QEt(A>L%Xl33%lqpK>L{lRO#=wuA6|!>xbH27=$rukPeD4r>3#an zb+Dgr<^*G&eJo4H{-is|__Z;q(4-L`@R&s39fe*U#LU%fWF_|FP(nirzjBF>F1R(P%(3!WU9++`3mG6a} z7?_w2Nwf;ZM7%>Dd<{=vm}-16ryV>7SvTYPYT}lwpjE1b?ZaM>>lRxqr*8#Lpg5Sl zmTmWJNg}cFbmB~Wpu>wO%Qj@8@ocn_qoALC2!~WN{Uv>TaKJMLCHL)B0bBOhLnHM+G=bcO3pJ#3n%Vr>OZ1MbMK%fW5&ki?uPF9Ri>2 z41sT>KO!I$KhXEIi2Ak$t~2xFSIY&TK6eEo?d1yLXUqmV8L7Zpp|bHP0H-sn1=mAZ z?G@;6SCY>4QwALI&)~)ytmX|WhYKb8su+S9la5jS%F+m*ckE|pOPRUAr@H(7C*z}= z!X-YH$nf*|e%JT2Ynuml8%x5sBeh)5(u2~oIf^#>pQen_KX4u>ECKcI&;<_tzl$1R zpqA_Qnmxd*^HtFmp5qz$et=?nVvT8kT{d9t&0>wmpQcZcH?Wj=E#&j1w5_I4YXh*o|~t={|;Hrn3sDyQ13a4se6Hq z>U3&V^Mpfb7B=$Fec=2j|OX3tdZmZ0@lo79{@>9fpx@YlF;Da$1L843T=5 z@kk2t-HT{Q{sYPXL#Jm}pK197*&}a`l+9NZduYW&s|od$at%!7->u1_7nZAkij}(P zDSZ0eFg`hxG<2A8S2AB{vZ?R9xTX=M5jO< zQhf*C8^?R2^krFb6(I5XQr7gg$bXjFAWIPiXhOc+h~yMPW0+F`8qe5~B|iJ4S`$M6 zEMBKHHO{mTH;|_UO)W6(<0|-#yl0HcCOC<|3{7*g#4fW1va=b(SP?@AH~nvm*CeH<|+_;;XLEJ zVzT_oWYMs@e&b~}INc0CGsmPmBgE=jNgn{cUrvHJA?rxHTH^O_f8oWGKEZp7#jBJU zYPjr;I}V4(t;TVg`3rvML06_0;w8D~=X!>X4|4oo71<2K+HPn_AdkxbBtW1M6`n;F z7{pQ^Ve_$V`u!L0tmns^b-!0U$?DrigRj98C&}f?`-?H$%6BRgLVmt^=|M`YB zn!#XW(0G^1QUyLBIc3>koO_l-h|YOJ4V>=kW_{8JV`7>o$dZr&eI+3HdAM-wU?$A* zl1QE-q2w+cTxx0y^w@eDdi$$XiPywdM@jE4|L{9JsNz&H*YQYE;tN%qCc$EVXS1IE9?6+bT-iI;I8QG^&(HXBlQH3Wwbz*SU zL^v|4;`T@VQOIeD6J}KxB4!D7j3&w1X6Wu0dG6z=KChA9aHPX(3dCdnD6uYKW?)=Zd2n?;mnplS;^6{h-zcI zIu(y)#h0KhygvdbJMK2Z^;=d8f*ToaGg-LiS_c@J+3T(fWPydVZPvopi!rXwdwQF+$*K=a-y=`MyGD!A;>` z%%KHWUPw<#xcHm7p4KNwAw~a}wU(x`_r^06FKyaE013)ZzjAF9HrW%dQM6OPa#^6K zwMnm$3HIa{!)@`hDoElvL&RnSJ~(JKAa?j{`2HL``E_9{g`K0 zpdXxpgWHI0318?tvUH@IU5J_KvXo(h*?Ak(%mF@pvGhP(S+|IM8aM{P>g75eyO;T_ zmteNKyq*F%rLP#`y3K63phBKs{^wB-d0zhA=l_kf?J-Wtydf?lhAAd0Z?&WUlwky- z!u@%9mU>PB25ToN)eTtLEQf=maud5q3vQ$)kVGVl0A^=>V-0;zyZ~5vkCBo`qVk-Q zg<7v5#&)C2Dwyeljas?Ncs2KscC__xjq0>p$cqzuKly||PdU<_E4+U+pCiFoVAB24-k{s`L|TL275h@#z^j$!s8h!<2qXlhivX+FZ{ye2`SwKTiDkOZj~uJZoXq$i9ajA{eKQJhizZ) zlfYXxPvb8~zdHrq(DrD8+;n6vvkBMAio+M_i5zuTO2U47e0*IY+ErePv$>Um^XJ=2 zVOaG{DPbhirwijy572TwnBi#V@TDeQjXwHbyDXWu{(TKy#>o=hF0;f|egZwvxM*BO z@UEKmWmQ|HzaYu+OUflA@wffn)Va(M0hF0m$U|pXb$$1X-VnXBmIXs0wmyNYZL=%F zKVmOkN(>sN$`v=M{xFw;7p3$=bSML`^*wzFK01#YHh4RfVdy?M6IkHu+AhdBlzFLueGg5~@(b(?ubc@!DPgdn(?D%Ss2O*xlc!j&m#Q0bG zp8qzHtvgZpV`BD-3jbd3Y~rA4Cf7S|)L3!S&+&fg3H`f_W@WO_isiSMhEY2BT_na= zvqIx-Yxl3T6nhE@i8>rbt^TvD)d9-L(ZHE{`C)*J@7Bb2jLqHc%BQ z_G6wYCvNgz{rr+r_3dUJ-xr5+LM7HjXuYB&U8G24R&R8>=`&cAot+rcC zw3UXnd%SmRtdJjhQG{NgJ0#Z{%{M=^Wj`CaVkw=*#v;_H$a}lovu}oxp-(a~*K=I?rpaQE3zI(0BIb zy5{s$`nN$3&|QI(~Oj?=i~}wb<&b<*3kl~SF5bj zQKNt5`W@|Mql^w6wFXN^{#GS|SIp;ZwSG{hCuOTTJ+AGG{D zWvHHVm)--Tca~?~DV3;x&;7%TFotqMVWi@W+q`mi?~8c`sGYiM7RM_%t^EM$&B`+! zM~koz;aKJ?kdG#qy}uH3mnxq(nJN$vIk&NGAWP5E>7MT%fa4F44xk<&rD3x*MK)X9V zmh@bR$WEs|fKdAO&N$ZC(-JPjY*3Sdz=GQSF1WO;EXw&s$QFSp@r?DCLx z@huBa3GLi<0|u}(Dm_n+IQ}$WXWKR+gHVBC-`b{-m(WyHGy3^BFd;92LAqE{15Grx%`o8aQg6JP3ZZO@f^A;$4p$))#?xp-M$K#0v+@37g0gYpcboW zgy1#-+Na?im4bRWPS zgc^Rx7&>EF>%4%)Tm`p7%5{A={&wnzj1Q>oQ-V<3F(CCd{|i;EmYpUQSCFeyB|s32 z-u9(`*fBc`+^)pmc`y^r+X+*dgfw7_tsxXrbTeD}@AK^x9X{uJ0I?-NVp>cQkbS!98oK zU3(sSF>f~eYnoi}_AX(U&$$>OB!y0fW$$u3zd!@%TOuXugKnU!j;xn_PJHBtdv)mj zo49g`B=XAg%_whl>@LSy@A#p=i%=?RKqk;>Wg6`X(z8i7Mk~hS0>R?#&PaV~(Z!x5 zop#iwO(qgW-q-WC&js*s=%;HVqk|h7RR!J6MnDn29DaAkpw@nz?cA0a&x{LoqQJ}W zy9>`54i6+OY8kC^cL4_Yi@NJT)=mO92ViY(8-LkMA!ippw^{b3GVc7~=;V{Td=uAS z=Pi5a0fp0te6;ZuXw9StZ5%TqgFeKj3_-ciqV0E^(J>h?lo{W^!?-8@gLh>=`at9a z-TR0G9x?RZBO^EJiUzqw-=nKVP9Ur7X~VGp$q6!HD3IkNY~j9)CP+dtRIL3Y&yJWk z3t0Mf76$7tgd!>9JC}w72hyqz!~~fbm$4`?*7W=^+f+taG4*s^5FLi=iwhXQO>8Z} zh_&HOa$;2?hzpbv;YIfj1ERTw(f%#jB?<4#Sy++(qXNK3lck5*dUi)0TG`t{4i9w|0)1oxztpZ%LDxw z_$XSvAJ)@!8lHnrf17RAdQa4Ki=wE%lPT!A-?bt_kM}^GFG0wbFifDIU%wbJC)hz+2;e-?MGm}f$a&;y>S%yK3xG!7Y?j+i#^fJU`Klj-eI>Tt% zgc@8@AxE9p{Ut`7yE>NJWKqkz(#$w5fSKDK{ggWl>Jue@6j@W31SCh-uMmtVaM1!C zky&Zl+~->PjpE9^GNO9<6X4S{rh4;Z6L|ku8J;*~$e0^E>X&cMXo2(VwZ1^8IW5O~i&h;p{7IxB(-p z?w(Fy+3)k%66u?K+n-U=w4Q;tgM~P4Urkbi@*uB(F(9WkUv%Q3(M4~lEAW%V4s5s6 z$z%hzn~U)0zr^chDQt>?4t&fA$vz~PWY?A;8mD!FF^a#e45&|gfvyhHOq+qojYqUI zMgU3eSQ8L$D*nG;DUIAd!t9VrxwnGiMcSUh83202z>x$!&{cSZcjSA<(V>H1FopQw%A<;6~YO z#RAz~L*g!|3hv^3G+@rzzZ*TJg{~e#12gMroJ3ky&pp|1OqsgBl37#F%bZX`jB4a* zSQ;&yzzQMT^`=Nq4b~j7U8?T~r>`eAhu)Zdk7oTEXbWFe<_2FUTjyo<7IEI-7eFAy zKCBOQB+py7NIWZVJ)ovLF(zFNpi!YMpIYsiQ$Df)g1eoXV{r*eFyAlN>^*j{yHlTn z+5!*K$KWf`bHl(|UVQ8>37yVxcOGE?IZJbPG4>mwJXjYx#o-uhJ3Gd5YOB-lA^!8B|MO4O#5yT(2wjXV=J$4x93{K!qR zTJ%YsmAYfO)lv1=k5Ie+h%ws4L>xpqDR9&htd<)~6yss$P?c;xm z$1POR;V@sa#_&3OA$p3QZb~NKZwN&AgMup z6%YPUY6AJ4Z+i4aYa}Dzmy>bD)V|aTOtD&D@9vHdSBpUD9irW{?w#s(v*P(_zK=*x z&44eANd>**bhSiPKgpt#2OFyuwtpQbSX@xMzB#yaZ98Q-$hfo3OA8>s`id%%LDZNW zqd=5)(q>HMbQARL?o-z!V2H7dE?Fc+Uqw^EM=QIifA|wzDoCfT*mG&-{(_DIy9=>0 z!QJ{qZW#Z}!ef!+=(Lp`VMldaEeMeexrPaf#=IA=KhR`id7QS5n_Uczb+qCK+BmG78QNQf9aFQsRZ>9j_5 z$kFa1L$7SnIP>1)K>=kP((AIg!C{9Tc09jXEx0-61y+vO`hZL$YXGcBQqQ2W9F#gZ zl9MP_2C#brH>@JJ^DR?xD?>-R!R>NTt#p zOd8ajuP`Zp zV94z+7B+5Qr;9%^cV80=(VzElmO=e5rmvZTst*55h!7ULEA@foByxD@5Gi8*kDsq{ zg>An8=M)K7tj>+tqJVbggKl(*KQAE#4q|}V6idyDV)+m=GO?G#{=iR`!r<}H=p)fv z;G6c7;E&hBbVE!j?kfQcpMxrCe6-jGlhf>FALgGBFNMXzF7N4{Kyic@>#4Fz<8Uy7 zG1#N*Duo}cxH5pAoV>_Zf!umXL2k*1KD_OO-(`?*%&c5a)pksTxhn-8`9UJlLM_(< zZOvg}%Rny^rsXy{+H1?SGoHY9)T*;?_U26-9>60Y((?Xnw;T&{@^5{-F5~q3-L!0N z=;p@pIV_*dn6Er?q9F#dN_2${je!7{%}+P6{MU5qni9F+fS6mHQf!~0N@_;gl}V?( zF2T*R2xEEk6lbpWE>&GlKP3Uk9l{s){{79kpHY?|~m<%W%EcndL zxhl+GLcmJrvE$XZtE|=rFWTR{f|`8O+TA`my1a4Y^1gfD#b?D<{^8_IVmXG&_(>YD zyI1mcQxD8JB{F**q~g&Tc#r5lypWtsk5s&uu8hI1kYUekU(*kYy4B8LGd^fH?q#|G z`~18J8Gytk*w-WE*k?Zl06UgRD7QLm`_~wwte&3v=~A$1FaE&3A+hCp6|?pSO*c6K zft2^cAkw?cy%$0hEi#;_GnZ4F6M^kZ->AGZBmrrL3gefN+C*r~cxVqK74B)Fi$Da( zsh|}lRn(lF6Hv?8L;L7EtOo$Ia9bw)0=YJ=1V}6Y)^SwzE#Z9mXWxoW%JgpiFQCeG z^esAjC&e+(k+ji=dKZzdep$nN>jg{L6e@)Q+2~Hu1Oj^?=8F4W#eFH7M&H28e7U(* zZ{bX9K3d-Bj`s%#ONZsZP)V{fCg>WFuNG?&^aO<>K2UmR}d2PFePH?VK))| znE^d5vwIwh)??rT5P%%konc0Q(Zz#kl{YA}aX4B56GAnfj(`Gt(LFCAZCJrEQT(-4 zK1P2EqB=VE(1x=Zb@C zDuf@8zJwcq=q?&_=~*xyi+~S#;*6vM-&1^!7%0Via5K%g5UZ79vTdD=fg>Qq=(Xcl ze{{9;XB5xFmF~iKu^;2}c`8{T7$&g!-G=i$#pCh$nkN#v>uz+mi#IXIk7h`Dz%a*? zv0)%{3Gy)gd+nUK%G=YKGH3;&XSq?0zt4NuJLicAJM=pPJpa{P6=A%ix4!rJ-gEtw z5S9>V;LJ6@&pZ!X@)R=5Xn29^7D|BDKC#7jJHGoR)aOX8`jAINlT7c_a)LEKz;PDf zo2ZmIKV6vzVM2HXDc#QP3-p8yR6V}|OF2&rdVc$60s%Z+$Zg2E_#6;|dcuU}-QoDb z{t(=vA7QJEoUH540Zwcp-?_NO*^$IXW_W}duDxHx0T;U*)Y(29re|k}f8nSmFGQft$2J$tUFNv{feMqD<+(G9k>Pzc|A&+V3ii0;tD3_fV#2`q^lhoNz>))sJS|{j z7Bm7DVm!x-AX%##c+Qz3(i*VDB_ILs)rHsd+~g4nSK=(* zF(Ot~1iP!C#xd-*t@^1dal?0zAI6n6?LD(vN&WQbPsgoMbzwLRP#?HXsunViChr79$3$qCEWZ{4v7bs`L;m6Bm z<~xdjtCHBSP_gp`F*vLJgw~53e8rJk+fG*MxwJVJcjUs&N+%;_ppKQ1+S8lEq4a%f zK(#ku(*CeN2OhYw+xg7=@Pp4%N?P^&T+lE{i}iHK(`?PW)8diVvAg7^`8iMVi(4C! z6pysOJAsEFnWzRy+fx@g8p8hQ0kk!Ek>s{V??v#{vjx2x30 zjJ0bc+$HctjMyXIhK>8ki_zx#Sth{w_`3+0Vo;N}BUYlRHpLfiO_9~T)TjnFJ~Jxt zPzSZML6|t@^0#r=+iW=v@@=*(n^bR2V0nUp9`!4yo`E^im>kaYIJ8ZwntOmg3pK`n z7*1J9L;&hH?}c?V!38RAT@Y>{WwONp8s|RE5BNcnJ}_UpitG;vOm!IBSZYV=hY2iOH+<}3?E>pKN{k(|2*8yFtRHARxeYu+V(I%5i0Y8naKo_h5 z9jINLB72|=3oHaUQpB2#CR1GsXjQ%Xmo!!c&ly81tl6cjb(@{S_ouN6*86vT}L|%m=#%6Q3?xhF(QoTx@?Aj+!zmF2kca7bNcZ@B-G^WxGu(rY^%*N;A&Mi>E$)aw=0jW^8fFD=nd-Av3kdI0CZB~qA0%kLRXIt&O?^d`vYKBzYR09r(9g89 zP`2Wku~GMG3xy|cb^;G4s=P19FOFfYpJmrRg{gaXtTTIyZ7hjzZ-Y$(7O)4r;X=q> zPp5hBLBX~$^;?!#phzrD*~1|h8oA{pKVs{=Nh(j2^X644E^XIFD{Wep>UudS2r3w~ zCdb{+12b@Y6LrEt5g2TbngPLm?R&66RDgrXar&OosIXG5^P1DSowMSQWF6Ud2M(6AK?(!m#*v01;?@U^sq=Z$M>D ztfObYjTY?jfa0`1odMAIe$pfii5P>h2bkBV_uX>o6rv074y_nnZ1iLDk=>bOPIuLU z_24^;qVZkr!t7tb?@&X;oSFpCYf=p#bX>G&(5SGWW>)2Xw@(2rw_1z*_bK}P+7P6& zagVF_xqHNb6k>lHPk>~XH+X@JiwhCjC~{f+cCBTrn11lSx z(p$8anYlik{4`xtB7HrQ{8DUg&djs@*BuS)eS4MW7{aC#mi3ZXQ$hlG_?I5BwikrP z+n6}*TzAQf>_L7&>Ms)mF2!8Nv=;7G)O5zK+Klj01jWp-*XDva3(eU*j%29ReZRt{ z+bq{XWEot~(}4k2sbK5}(o()aV4hp$S_KjC;T4ig3^}b+c_YUCH}EJlH^=cz3dixg z5nA!=4Pv04L_3#W_Ic6I(oFrx< z+ht1@U+Rbglid5gg^u}P@Ww=O6o$P>H+%^BP?0a{e+&;)z?nf z*P%jZLjGDn>(hGpDC`0UngAL#T|4Qrl{=^;K+G;m)1`In`xKY{#)B0(r{uUG>UPY> zhR@PC0kJpFI3*HWFZZ zVy?qp&Q2D%+HFSP=;10>8CP6%n86{D9BLfADut~@(d4huolMr+j;GM8>w^u29aaYT zR_)UuG5_kE?kyH~8Wa(Ec)&{&$e#=OEIC!;8Yj@+hsP~Qjv4K6Uxj^`y}=~>UBzp! zH%Cl}&pjJvTcP}LoqDPXAmCEqR}@ekCvyF5_?&0Q!edJBm6siQFo<)z_7ymr-^cc4 zw&OO5aC(R*j+0qIr-Vz&`@8GcBHm}}kz&B#mV-s%onaA~&K0NfGYL#T_m<=aIy{!8 zu<;ZkIwQ_I7xGqw{GavqkFu07AkRbgQl=R(UiWr!owN=K`Fb$7rqpBoifz+v+#`kY=r`-?Hx!|r4y);U9{ zYKUQNzsy~O8-b--#QTva^Uj5~nJhmu|MiGci^~M${;eO=q^W;pGTSs}bvxH$=70Ew zW9gshl6TV>Zo}BwCyP${0noeX2=A!(<)I^>Nd1iq$Gd#FYyU{*r5B-O@rOPcAc0~t z-LthtEVn>$k^0dMswT%|M#tWS#eretMQdl8md1^f7Y9t3*G|Wd?n~ye20%e4dxbwO zGpUe~8s$dzlyk8spy`0p1hx&zye*D$YR}pC_r-$8u3X7PCHh)p0(if#@JDNtwt(D% z!xp2Xx%gq>s47s+=+6X~X+N7{U(}TQt?Y=JRb7yZCiB<7pZr>! zZ{_Xiyr32A1%m|E_g=NYba^QCpW9ioA9aZw{h;fsuPgY+d3-SXZHMnP8q+!A-Opb; zUDLWl((7sQyG$HkER3G7f}#p?eP(m+!&QH<9~fP0wuk#&n9hv9_$Vg$AUH*aQhlRMRz6%UVqlqV%O(OxF)@XM(fk{ z{x0GaNQxa#t}5%j;F?#)v)$4ghsidQ?ThHsv|1<>^)G@QrnxH_A3)`^P(-2f0=$V*X>R2%IQ|Aj`ure*AesBCvakB2>jme-9q;OPohF) zg6q#ZAL+N2ciwU|G4Xs~1Y3tnCS5aN?Ht3lAmz$-X@Nf$#nr;4pI7X~U!E+U6yQl` zTuc>=DQPnQ6WwR3GgX$%rBQ$Ik2ZAGRu`cW7^9R8Y)OdnznJkJi~I~CW{R?qTYRB! zPja81=k4HqsV0-*etDDG+VsWal0LlEL-n1Cj+fLAR7ZC>mfR2xKYoC4JX(}3nSOppor8!g){J?d zAYS|E(?@*G?*ZOH(@G05ij?o^9zG^2r%69!0=+9PQNNB!u#^l(a5u8bN=-hG^W!qD_~oMyumHn?X+o&rL&QLOeL*m9cev z$Nlmg%`fK?2G8tP8-W0S!8iDkeC6`hpWgO*)n&`+79T30dcS2P8DrkY@Sf!D`tI+9 zWKB7~7TPu%1kqO@o4W|@;~jB6?IOZCUcRiEJS1bQGV~u=6kw^GUmrA(Z{{{pvCH<$ zO<1BV2`g;Ttt~mP3P!?4=?mK|8;0Mt#UesCr7JoAnKQHO1*#fcDE%`p8uP}rpY3cp zm7cqc?A{>uNVzUK?Cnt+xZz^q8IvmbqsrWWl`)7_`hKWfLvfWD)-ssJbelrFjjagy z09Kv4d{0hSWP2>1&ONU^%lp}NfcJCwvwX)ZP#xb*@IoAfhu(SrT}z>A=BQ4i!^m^P z7B2Buf*D@TWJGh`v_%v&J4eyc8hG|NOBC$;F~cT>+#yvhPii>Ax%i$LKw#QNF=90^(e16!@~#${fJEdcS5AQ?#A^=;*> zU7Fj}%N*6~P$W*8zM_DWsN6jPlZs(fA5BUoxgxqAlAb}p>d|iF@V=6h!ArNojPo*l z-aAE^eIT{^F`b-#QIMw>!Ww%nsFeLv_|KUCgu5eu6P^8Lwur|5cbxbTBF zd{(t3b`7>A^F%fUCt+xa*s9pI+tjK}kE<}%dBro5+a5DG4l1`56Sej2^%39J(u*Ui zuqA&LRi_ZB!6swtheQXf=aUP)p_*6bluGQECSQ|qewe*7Oj}e686PRyKA<|D*bFVe z%4-{N8KekQs!8qN)9RyzxnX}-v#mE(tDmvH%!^NM{J4cT^;4x-$&%a9MPDoSK19?2 z&{J0-l78SK5+ZoFxNe01mc~DeiRl4J9A!|n^_Buy{?}JkKeB8Ca zFC{)d1KH2Kpy_+n<3rOleWe%TT&+(opaS~l1JMssU$j&1BF9zEEZ}H2+<`_alix$H zc*ukrv-X-f9$p+v3Lp2-f<8I?{BcwUUtjKZIB6G#328O4JLBK4EG}ueQSFYQ6Vt_= zsmfjq!e4CI=*Sn5zm&9ik&E)!a$a{=v`w0_Yb2fHOLVr*ca;~uXDomPQvIs2_m(x9I>wY`UZbm2mFvVtbR;0IZuJWu9EO#z2*DLqdBVm#HeXPVP5pp=XpR{YF*7O!M0q2>%FYO?BeY9#{t^6 zF-r*TJ(X2}xDDDCTW%4HUz+*(7N( zt=&5xwm1Fng_t2KS_B87dew8(9k23Zt1px_+@8+b;XdiDN~nAd(n`%xtd6dh?7A!7 zJ4>R`HL!gGt)98h;OBntMqO?FpuiGruJqBRSK**LgV%r{izU#9A#IqWLqII=7#^I7 zU&+-lpmqO7Cxo53XAD~e*W9WA^mRQEJ7tC>YWQBFB)hh>Lxru~WH9Upbs@ z^Lf@aty_`5RY`0@q_7({NVS`3W{;e26%OSlr=$A2@TX$yu~&;qf7VGQ#bs|H??4K- zd`TdsTZTla&MoI(XfaYqGjtp?GY84N-7ds$+~9wubL&als|vJxF!Jm+FV8d^CMSaCeZ?MM+%=s9jT z5LoS|!_^DvsjmI1;SU(f*+pl+B0HvjXD2eKPGb@J!P7*RZ~E(UEXzRna6ZHYuXV?y z;5iIWGluy4WK2Qu)uME%g^Hp@3fWH&@sz$$ji`q%-bE>H*_iG-0*M_2be70x&f=? zPB47ORyRG@(As$R5hv`ZzM(?8_Zw!P*5swu>PEj~yS9q3UxxK884d}yZFF=V_3%Vl zL8{0PTyD0yeCZaI0hiMT&FODCM0q&yR+xy?IOyOj=B}K+1%{1LUl*@A6H(WTn(U;; zXCFk-Xv4e0Yik-`Z;`1C0hQ>t#iAvPlW%5Swv%~N1pDblGabxg;a66*7WO6Bnx=o$ zLS;tn)LjOi%8IU5*U^2#S6o;ea|YPz-m|KAlIqxri>**U3=D4^ztpSr8IXW z(frG;++g0!)PMQQP=aQ4J`*};G_(WOlr>eBgj)Ge$7jNB%IU6BJ4pY_vBhPU`Rf5XFw#Ceq`;J z)|z@vFO<5Sopi<>v_z!)Px@g)Dn45@!aYlaJ8)3rbB~1snYi?;bnBy@Hxu0yQY^jx zR@xjUSesw+D89Ig$CT5NALfW;X_I?bM~oL@+3}?k-^M8QQHOTB=zHCM z57Vk^E+rQh&U2c(|J20OXzQfyS*Od=FQlRJt1lz-)BS~P9sF3|voOS2de$}$IE~>G zrf{Z^u-`jjrxr&!n7ZEx)@t5`9X%@)nmIp|e#?rL)8c6GmHYR1tE&kX7AXZbV! z`?n1}aee|ZpE<2nMZaQO9=xJc439m28&gTY=JDw@sco$LH&VgLSO_S}kpE+=gG5o4 z)Vy@qrgrOx1L@5ks;s&6z=T)Zy{|-OI~VjCLIl(7>a|rHPUQuh>H4jDU9t~N1ls46 z8Xl^=XFI3#8nDeyOEcH>8stnK>7zm3BTjMY*SDyUt}O69*O(ws%WM|4#;g^^LUusX z_ZFXoOYOCP$d*6A>3~;?)G&%ALq@a>DtoHJ(GmsKeqpFG_DZ~%d%tgh#T2>IPEP7L zYAP;H6(PoC+PcU)6O58y`JV3UJQc0@sR3bx6a9M z{C=JdhH%A)?dV(MGOU+>9{XNvUNN(MO`pXUw;Q^X)MiaRYwn^jOh8XQ?~cuCWc@_< zsEo+9Ma-UxZbE3oT>Qe`x}3bBTE2;}k@W|OVc$RR43r7!09Lklc>e%TJWswM*`~6( zpRxv1!@ZI^UPazH|3tWU!LXGd7-(Nb9=|FNhu$o$oBG1o5h&pQDXaaA!9f&DQA;C9~Q&;AP~<0NgRrBJqJ084s5Q#$n3EOL9GU|uLv z$$lHX?6WEmT>wK6+QSPCVKQ@v7ge0P3^T#iD`3O?*MEIG?;B%H9HF+ z9ghV;Dm&AAj^zY3g-`V#YJ0dAI9|W+uA8P@9w3NWd82s&wjRoMMb!U5+sU!`A=ZA+ z2ZkRf0jGwGBo(pzcMm!@QBFXC-Y+SZpm*9ByZiXP7x(f3+;i(d+Eug00v(-zW>fCp zGJMD;PsGxjU~}iW)(BxpATQwd>g!p~@ySBhuE$x;VP}L;;?r3F=8KRN|6g!N>I*~} z6#pV5yzVI z(W}nRiCINu6%*4-o|YkiOV7jd?0La;XeUN?(}=Qd)%YPL9I*6toXWob{szz7EujlP$CD4WWIDa|NkB1Q-!%*m%(_!9ZVT9@*la-hDr3q9n$|y$ z?vh5(qW7?ndP08`NFd;SHX)#RP7wNW@N!hrI0;n*(4nm&Cq`5^pQFSJKW1y?#~g!v z(9}y`(Lb^L=LOcG?HFd`F4~Q^;Jex%YWIRwnCvV%;%xE;6wgji(TacRP`SUDz}{`U zmF{u=MpvZU3{XzYu{%PaTG?l0b0$U7qArQ0_Y8*&COEIepQ32498|u=k(RTtM$RW@ zM4g$@B2vzv)nj~g{g6$b`>=X3XxqAl`q-GE#<5$9yYpK&*uus+d)&urzfTNm)D z|0kX%Vc8+Q{NUG<_i^J!pX&?TPx$X7o+W-xTwn0oI~yhr0Ig?fXu( z3-g+}iZ)*3_-u`yA^N}~Q ze(2A?$)D|VC;2zwH}Ri`R~{$}MRIPZ1s}7p6U*^GU&Q&Ej?agAOpr1aRw(oNcB%Vg z(wDKm@OXY++riCieb$FBb?b_7Cs&Lo`%5LQCbxoS+C0|%jo^>t*6-hX|JXas4o0zH ze=vas|9^SDU4nm7-^BBxAAH;{i!y!|kMIiu8D2@dl;hQWzoa5^9Xw})c(D+VQ#!rgDW zF7B~?&5dWVUrg-hYzjEn-B-=|{ReBV$+W`rpg5a$$uepNE$YnfJrIA4(6*`{8fU594*R zH{O*CSN6r8@cH$#cbdvQ{Z>)UgL9 zL4Fg}JbvlAc|Xkiq4dDKALN0d<8~f@^LRg0KY_8~mb$O~?)Z(jt)r*)p7w_S&~s4S ztGq;Y_WqjQ0nyLbmt)g5Zj1tM-%Z;uf%AUxD+J?x5PP2p{@jl^P6zLC=-tJT(C1*C z-y!nw`tV&p^m&n3SrEZwImx@Z+OD{rk%3 zfIi^B%ib>|-q2^ve*-8X4xcPOl=)7Deu7MKCajpG|L8LD#0H@JHY8QT4M{vlD-K$< z{NzR9RKKw3h{G!#BS<;^S8QN$XcVU~42iC_a_LfW*i%^7m3oC5go`3L5Xz@Vr%@&$ ze9ih}kBGtz9P0EB@=KiV#0+(YmylmholB5=y`PgIU%B`G%cArxg|3mON|cS99I2m* z-ZRtDVAaxtU~#G(?>}*XbUa3fhm@Ccl~s->U{@_Y?0)v!@}JPT83rEW2@F$#x&sr> zBYw$|N1h<@r>p2)54lK!>rMNwjpItSJbo2}~fZVM1*4zhPN;p6KkaNe+4&PUJX^*@>yqV45%iHqG(!kiw0^=;z znFqncW6~?=_PuE0!`eE8dk(`%_@WCAhT(gC?5Ssy5pgQ-csOzy?L5rz z737tkCP#VTlf#GCUpu_1y%xCk@^MfOsAao5A1V%Fz{zL!1A5e7>z;BwUU4<{=NRAf zapl65M|O2WoP5f~t>MsCY0OcV!fn_Cew6DkI=57O$?^Ab_=(t$Y*3B_)s-G`=ieeS zhUD9$Ntp_MRU1FBa?=DKpy(6pL+0@Xa9=^&boh+fUY~n9oht1GyTJLUDIT^T8&ljy z_A;d3G4L-dChe^V3YCiQ%QvMy{qTeD2k?($M9u&A5`8JHK2Guz&<6MMV2cu~Bgd{i zV*B#jmwwmbGpeKAKP1M!jFA9lYw~MWb_6>o3??f*IkRqY<2Q zz{s|0?7~eesnM#n$E;m*;`$SIVqH}xbkNwl!49CG)Vc4ivUh}j^aWTii+z_eiACAE zRfn)207%p#A1A$F7~F!}R6pc^*9@FY)c87QrvQ7E5OOZ%A$s!!n`7`Wmts4cKkH9A zQ5v5`l}o-+Sgj-Z3o$s2L$!9+w+phXUn8_{Y?%6P`3i{3dY^DKS{wOciS>>gvgbD# z4-5JoB92ImNA=ctiiDw4z{tGFmLWoO@wB)-o>2QHRTGXct6=(e83F zaxMAxq4PsbC#K%FPcZU4YF)|^^8?wSc;ZgUYzD*IUVib!K{ER({>M6zO#eaUt)jZL z#gVH|T&-+G(=RY2aSn?UtC+k@!Cg5Kw356!^N+I^L3g$93u|Xy%JdA!r_cNCULRL3-s|{yxCZ>fom;UuJ;E9A%|Da&R(+^g?D$*P0{=~pf0O9wu8ny_4j(-{&XI?0QuZ+sL2G3IB zfMXnf7RdqU@trd=MV550mp>mH{m>CXJmV?VKI9qwV?XoR18~ovH$SmUQGk8Qo$> zw@|WZ6X9`W6KjW6b#ZTydOgX!w4%SXot%<#LSH3!c4Q28J(b*LIr>*WGiPBp_N#|*BER4h2pC8Qj@-|`)G13MgaqTF+j1dI!Y6+RYLa?eINEM?inAevz+zVsh!`b~Kx)cCJw!C92oYYQtdc5 zs@-Z-GosSCR^%u=)i~bqytJ|bJ3Anntlev}o++vy^^mnkjp`pobwvvmi@ueLCC%q% z1S;NMWLNeft4GI2<@;U5g;TuY& z3ShZmFAPY_4_ft*m3!3;>Kgt`203zu;NyUM=)p^cU;#a$*p?Ow@9#7?+CxNr8gVPx zZ9jUQv)ju<{|Bf6?Z?Y}U9JrN8RCDk0x?&g!oYv7@LxCp|7pU1=>Yr_gwKySq_T78 z=)(v8SvOHH|F$OZuhft%qyog;fCtfW1w+%4^muMR#)pK~=}wCNv)e=U;6Q_Vt2cxL&ibfZ=bZF?Vj=%Eus zMeP3Bv8kMCRwM({?oL#n4tlB@%mi%t_4a_c%^ z2d_G8>_~LHRCDE9Bat2rnMjeS?!`xG@@7#nfgJ&nsP4i?i|8%gMD?hBjELUcLPS&@ zvT|v1q4{ii@6dRmsavBH-h=9ZRfjDey8{1xCLS1Ja|C5eCTnaKRQqXexL=f|jp&JF zvc?{S>VvXti)dGF#5Y-Ws(%#Ky`!w$ykfHI8h%7nx9B0O?v1TPwN(#UR z5v;0u|BP8mmD2ll^O?Y&#)$5*Ma0Kl}M~pOjDrzERqoDdgag-wVHznct zSrFr@9O1mP!wW11M%ZFq`#vX5#JOJY=vEk=qO{;RBK8pRnMf$Pa@oGi4zwDCy+Icy ze<;`f_9mlMhujG}z$&2om%kqsdq!b2PMT;$j7z4iE9CquqEF<<2}eS<{GgQwt*C4H zLy`PAYMJXMm9%K)@KUdoIJXZb&Kos=JO?vUwiEoSD(#T@a@TSS#p@;VpS8wx+B<>cGZlbdaP(^gIbIoHk z_LIK3{yL_shxB_yw_h)L^&X@*G>a9z=;}T81EP!D**Wu^ef0t2EYa=XBF{5p3Gyzt zJ7}v5kK@bl5nn}3As9zzIz)I}Rq6fU0_5D_@0qAQW=_=*pljlQpKBl9c#7NQ$E{uC zcBZ&T^))g0O3T>qg*`bjCMV3}?=Pj8%>?7RdB+UpCf^l>vZa#Eb?wRZ}kpCwK^51(P|FZ}3 zF9!10%fo~G^T2`pKR1y7{Ri^jUHC)V_wxhwSt9<#zhZ==Gc6p5&)RGV|H}sAvlJNO zpWka$Gz9;4nl6Xne|Mn%=L~Ew`qvPBwjGGi%K$_8^KkwU{1*)5f6_qy?;ps2zk&Q8 zF_8bghw>Nx-)Y%(UED~}JI}3&y9V0%D1^i@`enz=Iy4@>KhWMq1MR(HApg4z2-iRWJrf%+)E2Ju&X4H_T5GZ6n?1NC|BK>m*%$e)$&Nty$A zCzSrh6GCl?jh%OtSKbA9yf@i~f7(F(4)4kuz~#FI*`Af#2jSrsRQ{RF_8aj2J#;o4?7IxzvV#vb(dyP`?6Co zBpyy5sL$C0`R_T9zwU|*(qA|32E`i>1a|pH^?LeZ)rqT5sP57oEV7^I*+X_287aA6 z*p}E_JHA}97T|U5UV`s$IJWVoj-AVUYTHG-mIdcsyO;3i<7S2rOMmM`v0nyqyQ) z$4{dcoy>&*|EK5zNJWm$gzon(_q2;nWFf%+6KZLTj6RXX<1nYh$jIHs^m5VXTDL)% zyO)*XzjdI!k8nNB^U9U6cgTVZ{VyzSEpq%)82I@A3j7j#Q!&u|6dXP->1L) z#rZ#Xx6Rvt{%oQ$!T|5;zv#bxi5u|y@PE$0_Wkbx@r?ewvNOb=JgoJ|=+E3phaW7# zpGCgTIYwF^w_ebIAKNJ4L(`V<=Vb%Ow@(g;Pxzm&&HW+%`G$)hZe_LUkG(7!g;TWQ zSsnOH;yUN(Oz3}&;%A8dPjUM6(Vx#{OOc{e86ga9U+{l1uziQd51Twg^uLL_&)Q}4 zH1@KoHAJ7y2kLV{d9$kM(w%zre}IeUE}CiV{ojH1ZaL82A@MMc{ud35|7|z1KYub% zpF0iI=T8IUXY+ylhql+>X+Bb17G1GPJ?*u_E@wk5-ZW?T?6^A6^+O_I@67j|G@*}=bVA-D{j)sF-50ygn)n8 zbW)L{GlBn!f&3pj&%e>H`1A7v+jr%__=&H56pc!_3iMewfd4|_57}Ub|4@8A>x1qb zoeBQ>yhLmKvw_5;*X{|h_lfMa9m_r*?+XtN>+K}dgXJnBbEAi*O zAA5=x)!WiP3xA1Z2&Vuq_gVvROWdbASGaOpujcy?;l)Q@3wZQtzOLp7FBU9Wafn{v zDAS4_PO`@EFCsrfh74&8{kp)QSm&Ftw@_p7Y8sk$HO75~|De*b1^8W!!Dn!W=-(&S z%})oop#2}o>1q`8wZy|UL-y`IK9E0l#C@;AWVYo5Ee~ATPbhSaIq?61oBO(g_Knh? zw{N~0+gY}^CiHo-^qCPIh+TVe=)(goLM_1W+M8wk-dni8iu@HLt%(oReoODJ2#KTD zUfCZ9T4x#s@KrE?-g){-*ZlknswA_^Di}R-j*30)NK= z{wF?%=!5?sCjN1Mr`Pyah~_7yPMzyp*%#t7#^^}$x>A7+dSkr+v1|SkO88Nh*oQmU z^Wg8M!|;*Ok>>H+9dx7f&l0uoIWa!Fu21P-PjdaSYy6?VeBaGq?XC%*IwybOfltYG^hty8;k_yOOJRF1qv)eN_?udv zP0fGEo-naIWIX`>Ee5OyZjAoarYf<((I*v~y1j<*xAv0aMIYsd;-kyZc=)kK#M>g@o z4ByDvFL}-xTQs;ASC;(Uv`ROJCwgx8G_XX?Zzzbn5mk z>BWmAeRb0_l7rfXmu7~vBl>d#$@Eb^k*r+~$h6B@vOQi$b=v+t>BdXw62Q^fE>dmK zy^$XZ{{fCaGTIV*GG|DCy9UviGLg8!s?YW>J86eibT_S0*6`Ox}W!29zyr2y`8@dKpg89$<3mbjnAJs5*~e6Od~ z!zbrA;NCAy_+Bo)Fg!2U^Y{3kzu`0gE_Zx9zUOapu-EhVaPu=TJibCYXr1|wcpQ)YWEBWrj1gz*Q@|F~-0pRC0LcIEi+T&?#T zyh!jceK`00w^1E1uV)i~9-fKo2S}=f@4f$x|Lq*y^Eba4%=~bf_}@jxMLMhwA@ETa z4(g0Q>zUUIPQAxhx$-k(lxRc3t~&}BUweFSFE)Do9fXVTn((i5__QxCw5Ir8AI3Vf zm%T~sHUF7itRJuoxbLsTm2?Z^kJd*uJ+UjrH@l!q@v*BfK6+wTiXVAa`kW@^uG?VV zD}UjDXBhCEXh#d;TtS(nDR#7G{jo=!yoj^WQ-!9csS@Q2M2`B9Y$+9}RM!m&@^#}M zLo%+hb_BVO@S6oedP2_QEaP8A(le6U4>a0R_j`x}8=-ztq&6vu?psKbRl$U^neCg9 zx{%b5#z`BVPIR66U!&kLwn{Z>^M_%0*}3jor@jTkopjuGj`RA-?S^Z8=!i?d@%|6v zD~i}SYtBjhrX@c^bd@OMH;zlA>y3rjhNK~Gw49%D+cyF%gV=uk_zRI(atrE>SdC#{ z9u$C9gbd2)L=fpx)$H%FDo-<2*4&KrCksCuT%~ zxY2EkrBjp9A`B8mKTb8HztUp#WerZRjNY+Dw5p1+%vh?4Zu2+Sk63L6O!!+1x{1L_ zO)$gDHxM2h#Zx9FfvP#QmZa^7_gWbnAu(?^kb~|M=kf~EN+4J37t}Y9Yt>N;7Rb4H zuqf-0u1&aFf}D|o2gZ=7qX3~ny4IN!(vi&C8xrP*7V@2_a|!7z<(TFm*EAm3H%K?I zae!PfT(_Zvoaze`ddMODV1x83R-q#ZJUwL`M{a7XI8L>A{Y0j#h%#u-I>U3Bh}Y#( zH0`AqCPZVoD%C{iW)wtwk6U5(@L>l6fF3CA!x+lbJ5qje%B(nwJlb?oc4sBGMzT%T7 zKDSFUSCLJ`n^rPIfKOiSFLkL6vQM6v8^x{O))hjd%`A0WiQ6Qz%x)#t3{gv7ZJRqA z+ZoP2dE!oovCGm#-0843$(;`KQYouL%%}qtDsprt2`}`cD>FhYI+29{ejGYC8NP;@ z88{e*x6&{_5_!)}N`csUHPUb>@|4@9Eh$7|aOz>Yg z5PxX{3CLV$4S@vJIik1!^c%|C;bi z1o&5|uFx0m{m(s7W6@-<$M-V&;IkGO!avTtfus+Azv(G6u{&61a?aw$bw(4X3h%1XY&IbI=oVZT*rS?0P+AqNOIo2kI`sLN@^FX-|!l6`% za)yJ^POc2+Wz}dDpU$zFvf}!yO5zNoip_3v2GXIP!I4#r@9QZ;f9NA=4 zAr1pZ^j@J8j%+fj;K6{gP}tFqB1(ea5S|0WWx?2!;4h9;}k4@(p?oCZwXww3)76SMHV5v1s_`hhcomnmk{WQg$P1!W!6Jhx2bZP(0H@QVC7tH zxKcYLEdH>dzis0=;pAAZY9zAk>QGV6~mR8}0#_=Ej`PwJrC=$dEdOdUL*K@7pqaM6YJF}5;y z<8$*nvN+H=2WRgeoj8mixSQ)>2Iqi3Ydm%LnLWhY_@UqJh-&*HC(eWA`+zi5oZwro3zNYfU zDt|<{`za?jh>5ogPW#+~~3bCk;H z`Dx{ERj#S9c#7cs?(CK-dtcJF{(Lu`UnCl9H}Xq#u=$Fu#FL&QDBh^@xysRRTjd`Y zfAq#z+v|X5%G+QMRNaR~=Veg-f{xR4SliJ?e-K}<-+Z~=UbLt6uUvjd)tjGl^}xc0OZ!@+4w|4ug z&KYy;fFEPQD|MhN@w}&UVyDjmU+f!lU^Dn1*Kvq!zoqi`i_c=^GOVDVac#Sm4#voJ zI-V-L|B$`+QGStf`k|KzPsS5ql&@0#=JEU-mDj5LNaff~-{hdrvj^}r9nV+YyOjS~ zIsN-AmGMjJr#%IO|9;YgXWq=OD1bP7l{tPr3ZfDz65)Co^M3aFZmws$X;<18J71y$|9PLL zWjn_%ZyP+RvwF_&E`H1f$XqCY94y#QD$|dd=ef#xx^iq{?mk4vt5v3tvu-#^`IgF| z-$C@3C}$i#TIExeAE6vv;t<=v7h&&J&bMmJ51Xlue#F?q!CHcK1p1>dIr9Da_eIkO z&usQNebt|LmER`W2Z#oJ|33f#0RR7Z0d!J5OcX&BeuD_2{2T|@7!t2A6iRU<5`v0h zcW>@?&E4)fI|NNkMiUbXs34J+!p=g2iC!Xwy^S`;mFHT!`H*LF**0F69) z_bbS~^bVZ$oxl4XKo*w@iurYMf#z{rXnot901jjrQ3K7;krF*rg2Vm2*AAe|XGo?I zsgqOw4#veq<|e*+Gcon)`{Eou!rkkc#aj(vPsSfaqMP{oX6|s3HF2e>@*qNy713cS zb1%)pQ@FDk%9V=fwEnBhyVvi+&zqAzfoZtn67jY!{rTw@f!Q2LP?1Uu+A2P7`ES5D z^#*UMI8QztpWpu2ZKB`V4o8@Ao#TjS(QeoF#ni<5lkU^ZAYpc)jsguKW_0^Kk0zN( PvYN(qVk!Os009609cqRn literal 25843 zcmYIuby$?&^R@_xfPkogQY$SW-MP};-73*xFX6AbN6pZ}SSAh=f8(*ut&&ALW!DO5#(sB`SJ*g&Pn!r7$` z9KqBKMs*I8dP*`e@m%|6zJ_|R{DSD9f6|%yAH)BoWtKDb8N*C@f{XINr`M_LgG$FY zvl=DsGq0o4N52H@xkk=wO2iag*4dhTN9<43GCcv1Td682i$t36$#@5{GKjK<>y?>< z9x>>$T%_v#3+7Rss!W!E+uzE;2D^IN>F;CCnpr_ex!;vQVgIpTE)>SC5xg?q%iRC@x=AWR5acN&jt zu#MJ_UlrGIT2Q_~J28MLY)R(;26+pBr=y#t=C5=reLuzR4TkU+;qz(v<}SKFS3F6> zC*;%LgnK!sx@Pi|Fd?k?7~T}laT~HZ@Z5JVOL*K@q=~$1wY;Oi%Tu1n?GDhmR}k{2 zo?XX)H8Ym;z(Q-xYROHVtw>)yK6$VJeJ>yRMVPJ5>LZ_kI&F=D0ds=ug{rW1DEUj; z8c73Y2A#rJP8|!HQS7e>A{7xL_5kLkeMj=B>{kWO@ln}E$|AW4Erp?1i79k4an6EV zu_VL7FJ?cOKCD-*Jz6MbN94zG{XG#Vs0@D1e@s@io)rmCT~jjvfq6~xps+x@M}0s9 z3(r%*`gCC4#;uPrMF`xM`@^!^gDT;ksHhxJ}ZRn;ybx&L3+3NK6eh6rL zZyVVu#(r>)%|Jy(jNU?nZR=#?z#D&zy0uVM#8^fMl^Mqla!Dq&X@2lWfiul|hUgDB zI**TeQ|!D0K66E)FSJQkvBQG!Pq&R2zxKFZBm3yp{u1KjYw(}F#r{=!}wmT4h zD%FOUEnf4P56jfU5kUbzUFDFNKPqNnLw~Ayj}Kk48CrKF2z6gUfx6pk6#-U!n4=uo zrW*oY;S#XgJvd4Zw|exTd2&~b7&|vv%?|qI@z`ttLTWQVnuD(7(*(Hr6JlODq#|lK z!btnldA^=fxrkua2<|kN=GV@^-BA0t5+NNxD&W^swvUgy7K_3Yv(yy9*!S0Abz&S_I>da#fYLnO{(a_u-3_cuV&xUDw*5XUP zH?ODjeqD19tP%SV)!^UJ?gHac!j*bl11gx5#NkOvo;zOqRZYR8;Hh$qo&sNalQM z`#BC3GA%Tm+>*$3x3rcEL7GK(Wn2t}^#JW&3i7UNcn{g&(mtKMeD0DIl8VpYYxK=; z92X5c+K`M82mf9}?^Jxj2@Xmep^X`EUeXSr%8(wM{X~g0J{(11X9+QD$NxHrc7!i| zlI^bc0!=KT13)ex9lN$pm8`E<5q1EjH%c){Ej3G;I}OOAm;_*eQToU}+rp=ziE&@ipMX4h z&5_1jhTbJxh$XfX3j2*6CTx_;{2CKN=k`V%BUS=-J)jMx1$sP>^zcsl8-bG!^#r$c z8StRkR;~YiNLMhBbgJzFCO{Az17P|c7T4h!0{3{YQd!ljwBZ?%ebdcG zZ9s|%ar(`Cn_)b6)?6CNy%~rk@-U1(PMZ>bUcjsC)!?0y(cS57&s7;Xe2r4_)P8En z7+%;uJX&9zNWcSA#@SteD*J0(3vSK!?o6@QZdKSYX7Sa=L%lA`^cg-c(KVX4-r|0! z&FAN5p0;o8qON#E+0lstjURrC1N?{uDg3hXslq@XUwoMqePXW_$4l+A$V5!MbNV!; zp61DmMuO|PyFU~(62JEMm>^n2t<`a}U`33Z-ff9!W1zsITL1lz+bohGr)`V9<> zNhm5WZ&omrT+*^7&i?|{j{={ z;K)L5fk;*lZd!@Xy%k#AQZk~Q_Keuc)d*rj?QF1~l5YlavMgVGi(5qpDLQ0%uRet| ztA1HBKJOEYbF3?Nmepo~O-Fa#%bnoOBs|(Mn>dysT@108Yd?AIc$GzXgq^6?eL59 zpX~{;_{ho2>n^fvluaHPaz$GyTidl2ghF-R)zI60|JFi7mf+6sYJE|kcwD5<(Q3!>GlF0Z zoflUk^M^^@2%yTlT=DbM@|&~9y6*hnanc!&dYwryK5UF&(>m)li}8raSugm~d5AW? zk3pW4!CWS*ddj5_4F`Kqx~j%4y?F!!e85wEn(oObwJ9#o_Z7c1o_w9#XZ!W>pvwC1 zan;tU;+1mhg{V4Tc$=7WT{DmKiCbuPzhOM&fM9sVb8924i4@*$wKkM*y*9@{U9y_2 z3lk@9_`Rvp<3oF&EU4y#dBhcNgeY_SsQAq-kz(72F4isO z=O-|)nSmu-!Q98-TQi%c@W5={p>oM*!o89unWxnedPpO(+|Mh)%vA-dpwnX7I0T6z z!_OfhK5y$^&bh6>BO2^s-?BJ6Wqjn?9eR23+e88%+|1BnpDV5WNO&uRy+g4(SS?MCZ5?(}1J<*$PIV*H^v{{sJrrtk(I!D#E&*pWLi zT?;cqPFZ%=;V&Jl^#r|5vTJ4OpWZPC{(q*X@?V$Zs8@C_6Ti2Gaf)})-<2B7=XM-_ zV9*kGs9NkuE{cu{_M`A38)R5;&CZnOJ}QzaRJ*vZ^&&^Lr{$EnZ}73pldE2{NS9X8 zAlow2i&fAyoN;5Sm?b~K%%IEECx24g(G#(H`8bulWqS~LQ$HvF{M;i=$!_3qs;!|O zUw9!cFOqTT?febn7waTc!&{Spf{HNcH!Bb4-v`7p{UZO)mE%2rA0TB2ME;7z_Yt?Z zEbVL6Uj}LZ^U6QWL{h7t>B=rdP_82Qp}I*4oMuw!VMKaW8o%-%iw@RVt%W3+Z$-ue z*?`7vw32z|oU~Cix;}i{d`>~XZ7Rl|Vb*cZf^IY@fa0B&ec4C5(YErQ%DdvkXUEli z7LC$+vh>H9_o{wfX3NKm7-G%RZRuVwc19GQCnLXvo7a475EY#XrX5`@iuhd|uHQT? zJcCa++7?WqwwST-DPL6MK|N|^QMsPijo$^z*sEXvqhY2BHP;erMB(_`IpSZ)>GjTj zF-A6Szn!xfduc1DNKt~uN0V=7dBi@#;@VpBT)8`!TWYI#U4HN1{7<2sfXLL(jY1BW zSsUH_kfLcnLgt%@Y0*5FePxiDf<;I$iQFU2iu8diI-Nh}s}s5=noOMj<)^egQBJjG z23C?`FNHW`8=ta^T$IL?BtFw3IWahNn<^=J{DD1e%ibe}H(Op`m=iKTJEKmc$@E8) zBsnO{7yB$ZU+uc+=9nyGkHO%fV2Zr7<_}b3co(XelT|6@tOCWJVh#L{8nsBA67TA{ zQt>Z^TEl!3c`Iw}>Hp)Ysm$SbDk%gk<(~k8R3&*b1X_rp?(tofM%uI)A*60p^S=Cn zuwy2^9O2QrQ|o0~6Zl6b1zQ~%dCP)nw(r<~0|mDVB+FbDgW{a^pH z5Soo5Gd_|DE37rTPO{>AH{3M)wK&nvc#EULLB!N=m6O%Tn1{rJT4y_Ua<}q9#j(u) z*lc8Kddl0inVejW0)}qB8EMc~w)H)$8!g9JUPL{paF_azP;Ty<(XEJS<69E5(HQBg zJxI}>=5JLjQ5wD~HUnLbNW^9^meLN#tw` z20gN_{9n5#UUYJPp#0omv{KF&R9kLgVD&$r5n?C7m%;xWy?#~~aIde+8evz8-P&Bx zyg7UJevmyZN?^URq?}gsCXe&Oq;7OqxU8QV^HOD-BZ-=AMQ<$C zYuUQTS=S%xR`^NH>RgI;oGwt!LhJ|GoF4?jzOmh+v)I_flS4f7G-pOi9uxB9f0)zD zEt$Qu)#}!qiz!Yt$`HYZ#zg(vY|vrf53Hx8GS1F*DLvm8rV!S17*jShH4mu%HQuq8 z5_NO%H*)x+tp(!`@uzDY=lxYA@4|m6k#(ygt^M$zLnQ~xWTq$Pr zRY4tPi@j+6nFeX4d5qfY;O0eZ9QL3%gA6dGoHqW<>V>>`wqsiNdXV1m7qXB#%G_wo z{*?*+?nk}dwFZ{zxrgQTgx62YNmCu~&0Si|ittyean`l`NbOBIWe=O$h5D1H+)q&B zY%4N8_qHDP?{sT*Fdn{4J~QCpfF)62jj`-`iwIc(Y=`;55s(08m<(OHTJB(G{cNueWV3EL+m@E>X*xtem(do zPAm1>Jkq}*28EnT9_)Nn0X5bxdd1@YJivSuS*vmH2J7Hs1<24K zwo4)BD~eLo(eV!93DHVlW{l2m9*=C0c-5)@p0WBIMdkk5`RmC5Ods7T-(zgax8Mmk z<*@m4yk2Nb&9p>&YxCYllk0CRCd{n3mrE_98;a?~MIJ$sD$NDT9OzwC1RQztY7%L8lKym};+k zjGv0hGR~vX{>|qWBwd{ebRnqvvAdN)!IQF1noNrtZbISTAHOV zUg=F;W^&)$UaYjJxisec3(M{jr#qyc>Xd3?v#8)T1)?w+rhzVwH1%QLyzwv}ndo)^NmceVSQ%8j-mR8`p&_SG!5jfW;YviUrGfUu0y?{AyuGKCI^=3o#CoRrPjK9=u5EO;D> z%of5r+{I#b;G;+chz)0=GSF9)z;M)`5@E+xDZdBKD}|Xy`1C*CdCyg;|28K;R{oeZnr*fZT|gRFAfe@;vYO9S z9tOt<@M?Uu>WD>+}~mmVY-E`0*7|$m9+Z6n~3bkT}NKZnxk}#=LL<8R#9v`=78>;ustf#~X_S zORDUwvJ?VgtVzuc7g?P|u{!r(CxOjye6zRhhjEtOjqb1iY&FWYx}#3*dT~}Dit^mM zjP=f^y(H*dNT&`Jh8@dxHTe)r%A8=7^>Eeqa3pWX9NAOcOLNkV`;mK@DeH#5?2^M= zGa+qwRwn-5!ao5R?;ho4SxT9=S5zjy57;K}CGt!#)hDXXf0^!;pZUZSqj@*9;c=p( zbR3i4=|EELl9l)=k0J10!rEhsa+(k5;5+b>>kdV9$VPPNLyFTe>meoMwHj~K8uzu$ z;IGbka*T#QH_Nm3)ZW`c4b4%&l1r^oF86u|Bp#72(Y|)Rs4tp#k9emCuZxxOB)OZW zA0fqYnxG>4@frL8hsR)h($f~+e0A27ccq92T$Cvxz?f{{WAunY^N|pvM1z~pSYE$L zsnbQtuDp!SFL%s&GWcEmsgA20N|q~-11MPz?Y5?~#;8hzBc;dA%A6|TA`uUeeQ3|DUDDN6n@2V|xF5roV4`EhH0s-6 zbHT;O(=mz;gT+&$xkW2U!d4UokkK5{^}8^vlGfq1@qPZ@i(fqxT{s?4P00nzTDZqu zr0qs;-^YJ{Gp<^{dttvOi#D)a-ULqHP@+YxCr@@&PA@Qiraz@T7e5IWleq7+uMLU~ zB!sxm5XI-#aiEJRx_rx5f)9~A)e~hXoidrb%$AK^lC;*A`^QV9F9u6C1!*UBc&fX_ z!~^;%pt}gDQA}P1z3k0Hzb`<~7{F<#EHyBf;!?27_CO3D?3C|o$62wF7xVO#M|;hu z7=7CLY_}6TDt3y~%TJi#!cHWveFc}E-#&tI#FiXOi-%zDL9zj#ZoT?2_b|ocxU<;8 zegc`K^c%eAZaWA$f*$Kon}NV7ws?xJSHFs|xN@KFA0yJ17sNR9B_Ys(#*+>jTHN-R z5T2m%PBsy4L$ad68}tN1T{01XJ8&@y+fktReiRpBLBC(&K-Wbrg9ktn@S$Fajc4WV zBN_u9JI?`ciQ|D8^`pR5gFA5QXQ8X>mSNFo z>*d5Nl7ka{Q3IWM+O3cI@5@}g{$#S9jn?Ow=ng3Ieazq6%C7f!@-Qm+aF^#Yt35fA zy$hpw;+ATn%^g(s^e#*;Kkn?zNeQr_Xd|uKpeOiCbIUU%RVudQG4AB$r1EtU?<5^< zuVz6gn`GSNCBKk5^9Qa9id^#sz0hKfhVK@$i3&t@;{y}O(+BS>t$iL^ofnbK==L%> zTr4=~-ZJToe2-#E_-Uth@g|N(6Bw#GGTW(0x6$-;b*m(&^^!B@62Qb#2!Gl9I{ULy zM#K0+5}xF@umyhf)aGIdW^B_U9lQ8~Fcu1XU!|ja zFg+r7Lm~?!(HWv+t~|IzrbPqg zL~@Xovy9f=D8Cf-D7eq*bmI4b4;Ie;$H~nxvBsv;H_n`N;xcNTx~6O~7p}CVVXsmh zBk2%$O=+m++>n#o5qfbZqR2&?VO$MPe3?{gHtY-tw&(9ZszfF-Ckz%OK{7 zCw@H@$mjHQwpuMNfk$FRNorB}doTfQ+ zmHVTeUE)fG3ctB|p~=t2Vo{5kSD;o}AHhklU%Gci$;ZwnNz3+d)z=~tZjS>+ZCUkZ zzv~ULzm!)tA&L2%MjYw(>^iwVNV)9$jdPAU%0(jT&aM8vdes#p_jGZiXyMER^@FYa zXK|r(6BBn40^+8&5Sz=NI>oiPca;vM*T?9Te<=V^*t%Blv>B>9J!s=4+?5@E*svLP zz7+)6XYZg@^%W|ZQ<3)K0)IhojJ{zm*NF_ID`-KDFqhDuc0)JY^#IBdJvMej+4riPg=l34-u!9A+$J3N02qT->PvX8R zdk|#Ig_QdK{8n0;+zR`WvmTaGEKA4XDqd*{o>G@cM9FNi-+|#&9|wV+(XokISUno# zj*yo_KhTwl-0Nd-MrurZ>cs1hon&?%sfXFKiS;?;883FEG!yRv#9Ihh>VG_WZ{;7> zE%1I5T9vyap?b<^9c+(sHsgmq1< z4GP8s_N-AjKT2@%z}Bie6FKi{PmIyB2G*EH;DB9+qIU6@pHbYH+!0)O4*r~95#jDoRqF+m{ zZ@J0(5fZj8NwRLs z25;X~xD6nyogMvtkbRdJdgyt!C^V-}Q6c7@%BlLiG@8Zm4BkL#T1J*fCavKBb#fZj zRuT@1=kaJmWGp!2;c6|o)=N9g607i!8`qXpm3{q3QYgvmE3!grmn%mt zYY;#;Tlm#?fS%rWiW6@9`f1gLE=R@6H!rboVF!xFPiamQFV&uMiAFd@K4FpHw%*ut zQ#%k|Qft%v`l-132~nAubx)g_SSkb0kHT@I!^BsQmelI??WSxC%IR$~{BFy}qJgt( zySN#DiuS;^4|W$H`%d>BQCch>uxM8&5RH-lItrX^N+4obW~(d*eEk%mTavMrj%z@8F9MEfFQrsx415>S;jGRU9PbxF5}fZ`q-d$i}6$Ty0R zv-n{Xu3(@K;lIsg9b5ERF2%tZ6X+Cnk^k=N!uh)k@$CX?!+Zt>HtZ4?7Nr{TPI|J~ zX##3~A5-k#L(zZJoaU0?y^ofOqDS-T&dqtRo%lZVY+ugmUCrwGDBfj`#y45bUn>J@ z!MZ$w6sPje%d1P(0xW1gkJ9fA-nw1fcXH1;tHKf`TZ~ zpqHt-Bcjje{hClh;9av{?b-#6bGJk6>G>i=v3- zuGx4b#ysk4&iiuj{Al;$%CkTSv}%mBT$?5UhE6qoI`KOLxx0CuXI)PY$L9E#|5n#` zXYzEiF5dXE(3Dr%ED#hfB7$&_qQx3`gr&F4>gvKbZae~cF?q8=gHRkSC$v3*@Y{${ zFuYb266*!3Iwh*^QtIyP)NU?Urw{$zL!nY`MZ+!h@y2VmroCe|{s|)uBQ2>j`ov=m zq90)Ss0e-H(hY3G!(kqP7!8M!V2^*rnqElCxK+i}(!u=FiZx+9G7pKS5k0kG#(YgP z2+l&E&$Q_7vK)(9BcVq`UDyJ{3{y-2=eCD!#Y;fC#9E^lrx(7CwGpyc@q1do8@TG%w zPT8Pjvg$f?D~cFu{GFpOK#9tX?G*-M)Q!YTc#&bX4HFwS9=}GTuqfoU)ufNx1Bzfa z#SLy-9kc)LS$@q(mG$NibCE@!RnKcIm8SdC-DXfgDB$x7(t-WRF@jT3{+MvfGQ!@+wW`W z*SJbIv@D~v?5)afK)iYl1bTIJ+Yp(+zCv-U9L!B-B$uZV=D~lm<8VNKoB!t$d3jaG zu>)ga29IB4KtSyQ!CExx9yQl^Ezssr>q9@LOL~C-!=Y|-Jw4YS?4^vqU3=F#06 zKK=Y5l{kv!G@vj%d1f}D`|l6*iU*OpN-mjvI2%Sm`uuHs&#TX?oHuc|lyk@3Zh6qv zl5UE_^B*T2@L=Ut%ky4K zcMdvNm3C*#=f2D=rR~M4@Am(BKz0JkLuKTqMR)hi8ya<+- zU;^1}p1aYT;myU-AwCtHL55AzmmQnE0l&HPRM?!v0rJnXNR#cZ15$zv1+w4#Y!i~* z@dmoqyL#X>FLfI|ej9PXFg<;{)AYr5K2(n!GXbr(xzs^f(qBC4$j&|S4GO~g=bTL_ z0_Nh1DFoHhA4VKJ+ov#CKCeB9k5G|V`^j-fdACQil4q?GQ(;3)1pEREEs66$GTJYk zY|(e90V&B5A{Qw}C9K2|L2{Sq{-+p1fR~lBnsXnNXbodW0ot%kxFpQb@kGz98h~l( z84N%cJB6NQf|I<=6bPSTj{UB`NMuQsPY2fR#xxt%^Uo|VKX$A^M-vZQ_@HH=l&Rto zTF0pySMiA6rY6Dj;KXEqVUB9T6ihTfN7ZcwUQM(xIphpk;Ba`|&x-&z#=GToo4Vdi zLz-yFTK=iY&DwTeO<8UhIM8)=+vZ>S2?dEkF9jTIErOg8!cA6up!22r9NBI+?0xqe%YwE% zh%4he47ho`HX<5~k8$mTgNfdu-N5p2fk^1fqv1`YTw8{52lMN$IJl8wz z>TXNnjbDeQ1l~;Qh>rK%(o7a%5Anrh%=rGc+|bT;0$hQ;x9j@rn}bG!Pv}f0hfvU! zC1_}f_Wfq$)0QDBt9Msg9dJI<5;qJeXB-jXi&3N)Hk$ zr~%MKJXL@yHg9HfH30_j^qh`BjwR#`elT%l!HKbAs#bee7nAOE2X#_hyaq&;muNQ} zK96^r`L?3f)^RKybj-&VhMBo&xr->|KB89+7!Zt6Ah-=#v*8GNzPdu~#(y_S2<{3Y z{W4;gCOg-)`gnAZ+x7RQolG~Mfs@8+yoTRs3yR!sZE#1OVX5WY*ftSex_I$&ERL%8 z+BX~+ihp921VQeRiiNcJHzRj)Htf9jPB1~khk~F>{^t&f(6*@L4~R#8sC?E*8n^68 zx;ifG=&g+>20!hT3h_?n(mIFlPAwoondShkw-FplNb%NM$KogAmUa!GxptUl#j`+2 zYze=gM^7KDkG9KCua<-2bj_TFtn=O=5T6^Pd!%K9-~MUx8FVl}fDLXY00!+7Vc3Uo z-zd9J1v}f}(*UjN0jBff3zb317>mJkv<5O0ca^+?f|90nVx9Y5+_3Pc$mO7M-m$Qd zebI;}X2z?rZZnm`^v_`H(>p@3yH*pYyJLvoI0~1%k2Hyq1NQ+<(YFJE*$ey_oKa4w za6Di(^>+;R+HC7yb z3!h)Y^&_1#=M#x2s&_*7PmxudnhRUVBs=rV9uDia(+rC}l?J+&IqRTzs#)T^7R}Uicg>(P+2x(|C98 zUaKme-d)kH`MXi4a^eUv0Cx0fdhDd-d=S<$rT{3gvEI?fAYQw+Ub*b;8ME^YLhOE7 zjJ7{#Q7NOD@TkcU&0lkNO+q z2Qiacy-p(&vXNl*w(kr)79Xd|IBKLqYLci&?er5$tr>|vaC^OmrQ#Z&04Q@#5|T4& z8Cgho)ix9MpU3_9ycu;mVh}$xp_Q^#`}PIxkISWU#+CNAkGC!+>2in3$J?={t+zIH zpS?5Q{(J%MFzcGX==R5JgI5>j_zK4*Qf4>WN?@alUzI6J-j=(k`QlbnEp_ zAcfSCHTp9-(p~IGLCqGAXo*GWXnjX;2H8FU28uzPtJTALsX;f^E? z@p8+Zy86y^V-cz9RXBcQG9?$+YK?xEM61neOth7SrU~n6mwp3Xo}hT1kVbu4_lV;1 z6_bG2HJ+{B?I*9#rq_7*eu4B6Jedhxeb)O_T;=cVDvz!42HD7Wc!uDUy!q>Oj5jsx zsCN@Dz`x#WgJ}JYEjSGlzb}lSrMk>qcM|V?>TjpPd&)~bbRn{3gGEb%|7`L z`s;d@>#VjRx5LXRJq%cBc;?=1M8zgZInV`_n(et2p_EuZwE9L?%Vb6FFw$vc#23^O zPoZnS>L_N{Cb+!NRtE)m##zTGe@ z{RJ1%*XN-8;Xd?RJ;KRQPCL}_0~4hPjJ+o?c%h9ziUk}plb6G+E9fSrg*fE$pW{!x znnwQOa>^#+o)&K#E)|J?G%PZXofaAAx*m)T&SDKj493-0ee)iCQoa6J9Dd%iyW9YK zBD_8j9pqgjIy^kFW}-Nqsl00;8v0DdK_wwMw)ne@WZi<)O@HP<b)N6oxjtNkSU@M=l+c*CEJpfI>iz#ob&G*5?Wjll@*Og;`le6K`h^x3MRCu3pxSg|P*~pw`iq&l8`9oI;qAF zH$Ka*LG?*-D{bN2?$LhnA+l;vH_4_P1+WG9#})UTRM&j-v-jyYmc&habt#AJsyts~ zB7=uz`+tNI@UCheJ*1$1DC_kiJ9;9V6#p$!%`U2UK*%Od+`mQqI3?*_d27g=(%5aK z#xp-HnzHuvxV$5ecaSg5)VJ6<=s|mHWN%@UvE!K}gSGVuTK9-_t2^6CN&`QKM1eS+ zTzoA2$p(*h$9(yITtS)E->~=Z{0x$Vm*mSZCpA^n%{CTZIjPgn=B{Gnx{E~*wG2Ry zSFo7#-&B2Nf`3_qVjs4uaB(CUi)CGxD5oc~eDr$ZUtq&pmy<4ht2tT#XA-TM==W46 zeWKuf_Dj=B&VJtXD)|AJQsiyyH+bbo+Q^`AgYTo*;24ZVQueR3^A~5nn_uD8L!*&b zDlCGVvhs=dB=8=TB~7PDq%)c9jB_O%)x-|-(HCQGc0*D^wd>EG$TBfBUl?NflY(#E zrWOod`&PBRJDK{#?;Ts!_l5jYlyyY; zQe-0UXLG1}h|b|)yA^>>jivBDfeTZJHEWUfdqyr5I6ln!;oHKl9}J$zReU9~q9$dR zMJYnXoLR6%5`b#R?)}s2kpAW7Lk2(itbXgc7`OgjT8rim(P~6-k;v>x0W^K;8}O(3ac1n0W0#e<2>DRly1A?M z%c1X-UeE{g{)ZtUnh)Y<`8*nqUd{y&H32mq6&AEsDY17JNbd5q_}pY=1!3 zCAYx!Y-J<i}O47 z$4y_=OjoL!w#1Tphk*Zu)?2K567k~V@J#Z;8p@246Rbd4Ttjr%=ZUfkuv`7vV@&m0 z540{1Sf6L;ji~G|@(~46kCez0xz)Lo?Tqg`0x_-PdopQ2n&#W{=9P?cn&GS^2M)ORgw@3XaniR@ zYf=*}^RWmqYy1djp3TpE%b!%3TaXV{g?;jJS8MOt6pyZ{uZUum@!dIkcw-gnyrrwi(@NCx3`&}z%8~I2RH@xG!!hW#@6_FyamX=ZN zOuJDs1iJ4uB=J5UNown)yUg@1{M1!<@1`lBEKw&d9V@prZG^2F-YMT?FvXRhy-cGi z+YHRIDeF+I!n~YBzTt~KmuuAh;iM~2-l3nW^K>hw0LC$MpO^?_qxvyHHINb$z(qs< zh3fs2XLLkFKVXk_PAvN*C%M-9!uV1QR~P*2R(_net0hZs|5P646j~>9hj+@|cnb90 zvC>Jm+V*WpbTR~23Ab#Albx~BDDcEJlosYbPd(-h*e|Jc?)aJjwF{t`vo-2c+j34j zF~0Up%hL}OOItO#wv_;LtoxMQy-Jhbl2|vt_wL!?TgyX*tcmUgu^3u}ulS3(m%rMB zEajkhPM^JJgYJEKXf2u;y%r$W6Kn>1e`?AEQQ2DO!YOR^Z~=dv;c*3SCL(u((oFuC zhceyTr$H+=d&Hj&RW!xC@-DaH3E-RU;_Tmga`!H6?sMSxT~f&vvn>%WkMAU;g(MW< zQ_Van9qY&}{! z4X-`YMqlyi1!k%<20pJ|JQ%1=cMewnCIaWxC*;GH4WCrN&DqM&Ux--S{c&MUuK-mK zLyU%|CHf3m^!KJkt>k3Z1*knwXo9EnT1pH^1*F&T=zBr*Att8TFDSl_%1QtEAiu{h z>tZ1Gh`sx`!qwZYBYY`pn1w6LjWB#py3D6q_-xUf!DEdo-fy~b>W1+wTU8}X!G)1; z=;H5P;l~=;TLaD!iWfz${GTRA;IRF8N#(li{Bcu{9nP_dp`_zn$r#c>QqZ$ymV)P| zRGl3!LMw!B@>w^`S?dQC7ej~hVloeF&gv!YsW<4yJte1e^6>^GXlCmObCOoM(WHh? zHrT&0P%DiIw0jj`Z!`P(-Zx|1cf&trgUi%>c$i9ONE)DoI5ddcm%o^d-Dt_gL64`i ze=5=9A${rKB)4_Jk-Dw?BYwtZWp|CZH*-qYSL0^7X`JHmlTLw==dANhOSCFU8Y*+h` zVIM?dmXUq7;{@s5L>l5yU(w`YGaK6Q*9aj{Zf)J}Utcj;SD5Y$S^7{e;`g=v(#Wk{ zJjE5$Q=ry(bX(pu&2A89p%r`UviGG9)G#Z5H~sW^<2GC-Nme?-)PPB#W}NZVlNKG@S;F zz14?M_EP9J;3^B{f+Xpo{;-BC6`xPj+WO<@h16WHQ?HT!Ib4XVs8=$oFu``Or&+}K zz|k9)hVZI6b}cV!J%#J_Jol^3ezc4 zkeMTzoChWnMwUuJ+|e$ldQVTFh@Vf4j+!# z2p6Q5MHa;^BW`Ut*H7ZfNW zh`A*fKAxN61L)~z4|b|AVVEvS?OIkd7sbVma7k-|gy>)uaW9t}7g*&2+t&nHi5&fw zX0bgFIbO6@=mKytFV>-Fj;C=sYY@EJmEd!TF_e*tPFmD@Dd?31X#pX&OF=kpYui?)ZokysC(3o&>fe?BSl=ZP7k zQ%QYJ_>_&i9!Tydku;mc>r2yJVZM>MpHZ(rXpPL257 znI5Y-cl^vlI;OYx^9SWO-BSp!zW07UssaB4!e1bKp6+P&b15nHzsXlwxVo=yBKVBS zNcfj=qLan_qrK&|afy%qM<_2*Oz|-A{JxO6u`1=0Y#IcQaZ=c$ua4s&KBAk)FP)e8 zp^WqB=Mtm-Kf$j~Zf1B>KOO7CeiujAyYWefVZRGFPXSWKRHzK|AkXv|5E%Pl9ADgk z|CP|+D~hl`#!<3IRtOaM@00Lfqhs4=vw44kaNp?U+4=z<%2o2~2R`$}iN%S%0&TJL zdu51pyhqf3Ze4Gd7SLaK%GB)f>j8r$W$_x}U!eKI+CH8y4ym`Fku_fLa2@*Lcui^X zllEU7TPjvKJ^i}z954CeL4mH zyq@CS%Ij30`|E+KVPs1-^@LjsjQdK-ZN``;eA@Bxvj_|By8ma0uXcQJm2;`c0R{U5 zhnN10es(TuYOf0A58Q9l`+?_9joOc^_Qo2{^;r+RG4zMgh@UeVKb6$y#CqUfQUAHM z9?16>zn^@qYY#vFek$5aTlsmG7i0k=`FQa2S9!MF$M${ociOZid6?nGvPh2Ya0`KV zk26c7f6{f-g6QXI;NH(4ckMOz{b|ix8xty^Dx0X?$L+{dXI@~e7kn=v2lxB@zFpqo z_%w}&zJGb%zCW@?vvdA_<^MRit>5NuKQarmzUO37Vbm+Lgpb=@jB>n+w=}f-^%;Ep zzew#upMG|{=0RQH{EU}+nFLXqZ?DoD`d?JFdL@C6+bi>|5R}Dd8`{hETRi)D|5x59 zs#?9$2!Cw8&5AcR_`lv?=HtKa=Hc;wN`wE;oA*DiZH(K*?{?S|e?0y?IWMC)w}=04 zcV#J-@n>b6$9X}ADHF$~iR++E0cWoI)tq04o9D(ptRQ8jNRI7rmhf>rEOhN>%vBbz z>k$w0>o@1IvRX_)vhcD0c|A&orr_m0Ir#j16ugr287F$e$NoPpPgA_Q!T8&zeB|5O>p0gX2JjQ;%~o0G5-3E$cAHupFG17q}O!Q*vna}AQJU%X7wto&jKEQ9BTj>1u zPtgzl`4sfrKk~7UX+v_eVD{NOeotx7Rf7M09b*IhBm2rOUVS|c-0tI>UGRmM*`*Iq z1-ooMUl;|WkJ&{#L~zi@?DBBlFK%`LPwj$#PJf>4i<>#w}k(MAyJIkbYNnXn3_53DT9T)~paINiV*~nkuO`jUXjo zAyUrmAGI~<^!(M!mWhL2)m{6DB0`MPxWGXQ&8Vop?1d8Hs{wnpe>8yCvgUjP@5`fh zmnOd9-E860UiVfd^^BA$7)Vg+hlyvl6HMRl+26iD^FbYhX9?F35D5Q96s|9E7o0cO zU|9SF>rq0>iMKYrDC%tu6UFam;?EbWRJ=7TeT;KUo5g!lVakoA#c0p)!Fjb_h>eW) zIdXayM2=^A=d1+A0&CvYYtg*_QH`~ zpqmXA#2PiP5{9!;GsJ`s049es`FFLT5Tnu!0cL2XpNR1DDUp$T!n9&$;f z{z{X-PZ#U7Z+o#{iDJz;E>Zx=q|sXC5J_8c#CUSwNW}4poTU=Zil!hNaPp0IkJnjy zJB6RIp}1{a9mB!j&AvW1I`H!)A6$}-Efp8U(G|c=?kNLSrx;u!R?$4KNP;?Ez5pL$MExeZ?k;UfjCo;D>uZ_vJEx3NAp?4zJ>#}%=s0QY|5SK7cx-fwp!QN-jQNMd5#yRbi;&e`O90R|weE7oR0U>F1Jbn?CRdUu{`yN9jlIH6%XTSP0vkT7a`Z$W-a!3&mAQE<+7YJv zFGoFX_}6EC!V>z_GtW4C;pjwh%ngV1N_4T`Q@mi};m7Gn{w(7H`LSn${DXscismL^r z^d+mV@`jOB*QO#H&~69VHRUjA2RgDo(n54CIet;~1zd^4ja{xZIv*!P=zO5`(UTsv ze)ZBd8oXnQTLOg+$=Os=Epb%L5nZ+gs-;s=tvQbUotXN{T6XNpqsCOs#C^DN9ZB6j@_^rq~IgdZ-p%9IS`p9Q@-M5+!XHq(@ z8xLK2Mu3bdb&eyqZc;0A4%CcE1_GlYW1p>qBR)u_>h;5$yuvd z!L3<$#-YcZo(kMXzbuaH(46}m;i8(RDF2Spca9?|ewPfx7hVBwZrow-Z~bx0*#rv- znge9RNwITszAdQ_*2XG-@tUK9|0y?=wUB?8v-~JK3*jgA{Q(`5 zv&I0o1b){bYPgi(FZ@ma#>4P^w=KW%20a6ETUsFeOBLL=i-VW0r#lCut3>nIIGpDi z&&xyqPiRypU(;YVgUXfh0j+uvkE6b^yf3zXGed^ zzpV-U*Z+3hzI_7qK5AbvC3hzH$GwrF6Ilr06BDYEI}`ZvUU1QgEClc$=K4z)4ftG^ z3Xj~Gz~_C9LL_%4@OK!9|54XoIRVmlq(#Y^r>;9`^|ERRTOcX)PMsuoCNvv5QVhla z`!cGFPGy9EJ~s}W28ZhNCmkalqnU=_Wc)pGV1GDF@%J}zS?PBrb6&ve{k446xq|b# z@v&O;E(U`{TkolSc)1L~cN0lb7i>|K$et=p5cr>R^P?l_Jthe20Pww=b-SQ*0QdNH zZj(pwKe3}(Sn-zY_r0rCF)moOb#PiS$#oKv+A)byhk*b1-g+&r>2;QT`>i;EiBD@n zPJ9tj0b*{#8{0p=D!_hyySunh{52fHODU+8wFLN{4!;9 z(-SchJ=Cb`R_!iXAB$8u|Ajl`dO!)TB%149YTm7)emNSGG8r2T3{EQEj7 zLWC#uSXQ!e@Eo@!_-&?rqkj8VqHy|4G(7%1iUJ``CaT_4r}q=JPfgUh5@oOLY7;e% zKNNgYKT+dQhv>}`^@|=>)v5lxpQyTiM`@_A2b8$MkS23r-4{nVQMubUv90P}KVG8F zidHe)r^!UsTX;t&ihHFgRTMSuRXA{+L>;c44>H%BX);mcxP@wQInNYe=XR8gs@_Ge zRBl32IB$ZsWcN)e9u; zv%RF%>E0%3+q6g{zIoPI&m))ui2H>^JuddPcH`sebvDgMZBuu zdDn@7u8PS;_4d9%?ftbVOpCvXgeS4BE^VO{XdpgkLACRw5LW-=A;4BeH+-4 z@S?v|G6T!A<^Qp$dM91jAqvCf#iY&pOREngUD9a(L)NZ;vT2TGcPSlM0Bg6bK8)}b z{)H`0G>@!Uf70Pz-VfAh_Gb6oRkzV`XWP^5qImpBl$X2uHaf}EU6?Xq-+7AtWi5Kr zZNd~#A4%3K8u>v1j5@>}RSGKuMIm2YP`b4CsFUGT;_5>Q;_N$UIdK(P-6?!3ZdW-5 z?h8zWD=V`4P;!GVNUw~>sh3W4(~7L#?$%{pLUbgJL=s<_U%4o^`T^Ek>$RlmFOA{zbD)s%f>1IVeb`#SHKT!_p9~ViZI}XrH zhHSX=K>VTnhu~`-*`QewFEx6~d+=D{4{3>aitiBq`~+->|G9rNL?4EiA@~Oj#AgT_ z!her}{GT_F|Cs~%v!yje{~rzHfAK*6pB;$5PWpUCtGR>XJsT~4TGPA5UvpX^B=YE| z9YYwuEgj;YCk*t@IRp9Y3Tse2GXxLOpRr^JKEFF2g3sb~2)^295Pzn?A^aB%)MxL3 z_(S8LX9I@l{~_sfZ^i$2WBhZ))0X&dkB|S{&ZDP)&Om+69_XK;||1cUp${^Q3|J^mo277oX$G-gNQ<0GI#YE60WccgB2ZRmJA zbbfsLKzsjwpg&oU4e|fb_21g_tQ{9s{$ z@R_qk+Kc}*_(OhxFb)49`s2?p=zdaPd%?exZh#NL-`Z{YiLN!l|N8^u;pw_|c}Cf0 z*(V0PK=?na^J!3J;>p$3H-OXM{$g+(K3xLf&nAiR$ejuN zg9hsJf`R;x8Hm4NAU-z8P;zGi_g4c4_)nC*vgl+k1o%I8pg(tV16Y>~d~*jkK=v6> zj};9!e&C&ZBFM``e||(H7`Ze4!GFy_|GaWwJja{MK_7H#OX!1-ghTF3;Q#MH{kI+1 zzC61uMT$;kgn;hn4$ud_zZ{6qrZIHNeNuSEju4AJ$lz^h{I45eFY)l=0qu*u&`>J( zNrUmVsW7O$-Z-FtN#SBB|4rGx`0@n<7Ss!bzk~cUWPy38XtPG9(E0ip#RCg*cy_H1!TW^b-~8EU<$93(V;3}i=9j%)|LR&_ zVefAS#54Fm8R&mvNUkAwCit@{B*dZh-cqfX zKIo55eeuCv@8YMA{&8&*^y#Dj39dg%uhta(zsc+!+P*{a@#k^F?VXWRidM(OljyV8 zK>kDf*O2%}pQ~LwciAx1pVQ#49O$2+_VVDX>@2!$4S&ZejCjTx0HRm({`?b77VbRP08z&Z(q)B^pn=~KH(9I zLLz6cb7>!bD&T%&f27cPOjT#d*~Bv`H2>j_PSaXVT$a!Q2}!S>JC&`nMKJ_lIu7}ksj^jXBEfkrx#`7l@DD+hD*thWBx-q-jC|Mv}MftFUg4a8#||ncM12A z&gk4$fi=caZmdTJ&jCn9a%Wm2yyvJf?o-O@oEmo*{z*=+jnNohNnXIl(m*hBXQHte z48W%yULkz8VPM{8tl!(&n`;NJB>Z0@-mi-Oly0pF{r#4WI!9}8pCi6EivN8hf88Ey zO?->#YuN7z|CE83tPfOw7i)5#RQ!+Arw2jtj)C}(9e|I$L!JS^|3hw3u&(2|YS~Hd zOlr^KxdZe^pXU$Y4?fSaNs*#c86kkLaO;iF?c*4mz4>3Dc#GR+Beh3s!atsW*Z7A1 z9})k3N*g-)^LW5e{<{zCFYu2tMqT4ABQNkBdP{7`ctZUAcEEhW+VhYB@yXA=Z?B9U%AJYzGrubkBDpj1n=JZ_5XqfM zTS$X`Q>pb^_+pG07oE(7fUV5&s**bs_A$-6_J$_!5><#I-enATt5Z8o~HL8-V&Z ziC>-p$M6l85JS_c*Oz(&5b}LWQCjX+$k)PWwoIMO2t{)!} z@j12x92@M|zR2Y^JHjdZ4lnp#AEN{A{ovsqe<**C@A>Z$1<)OrW&B{nfce3`)P-Gf zuiI1%C2+5&olM~-*TYQ)G{9BZ+R@kqcpPtQ?bU=Yo>km>{rc!FWc>qtDD=RfuOY@tD7i8|9R>O-=#+`&0DUr3OzAAiEcxW=<1 z$d$u45jm$^aL&jTRlMEm?1cbFM=Rel6RP1K)J%JfrBJ^zQk#@S_vpHc9&)<6k(=-a za@|#`CCKk5avrdRM8^dP4dgcnZl?^*oL)|NKwT1WoNiWs^c!mkXKO5mNU#HJlaQFc zjqvT1p_y=gB?PRNYQn+vXW?Yj@qH!!bn@kro#bCnkLrXBJqu1RZucA1o;&0VMPhEZ z!OqM}EdPqg)yP$%j_%}dMh$G<)Sh@qVmVsDm!pJJlkJJ)LT0pMRDXi_9@5lDtM?jV zSITn#Yd-&u8XQwCdC|N_0d8jcgy=TCe-eqsyny)xahu+f8i*jQQg6MliK30mgy_3V zsV0{hQ6-j2O|)KX(CD%+b%|aiZs~U+iR~*Q;r`Kb{7y>3EH}2$JQn0aFCc3m*E<$# zNqz`XdVZ9y*(L;{p;ZwI;9=?_o*H80=^VM*qA+5oI-oD;pPhmYb*>^QO)l^%)tqi4 zwVj1ZxhM6-nI?mp-pQR5ufs&NEXqX7RSA))70R08i125JDV~l>!>BK7F`LCxtJ%9} z%w`4UiM(O;PV)5@(U&D$COj_Mn$H-NSmfYKethgzm>?F;1TjPqr_I)jn4g(LtRcQ# zGMBzS`LXm1UBq-XpFHvByNI997*oeuL-sVlNVr%<)vxGPEc z;Gggiz2aMR@#Z}Ir@-GJ(gOOfG$sE{s(*a{MbV`@=cm!%-*4}d0spuUQFP&Q9(>!= z*i`sKw>F33582PeUX7K7Y$bOl@Q2)woyMQ{9H`Gw{h4)TXwhk`5YS(Xok94!Y()KS zABF{djQg6}>^tRFsrV!Z#~u&2eLoHNMU1n+zv)Z%HqgUI^G#vOG~wHq?3?5Q=N?ZN zA1_z+PW(P{;~s9b*EAU01mvdON_d<8T#p)cmml!6_y0CminEgUWyx!%0bb(Mz9nA$ zKYG?7|*k>aUgFAbp0~8-H35TqNBr z6;`3Nbr-r1)jy8ofn6W}#J$vr9}4~zRZSJ^FRTc?z1zqAaLLT>{{#2>8_#L*`|!zK zJTsPxcI5k~=~2Ime42%QJPj36BXx)hwi!s2E7m+@(O!$#r5vf$`e-K9L028aYw69& zjt1wI1AC!o*)NLhRnjDgYD&i^@_Mn~tJHqcUgBD^&uNax1&LhGp5c$v6V8e3_ZqV| zE{U=@qLw^+)eBB0?DvYkr!?&$!vfHKnu>j?{a&T^TjBd0YZJry6?$-YoY?0lD;1*L zKxVX)E5mVlYBXYV#Ojk}rFuGVTY0QC8Wk0R!!NQ&qB{iji4l1tmZRw}JSzW)pcW?*1|1PeXFiNVza) ze{Co4y4}Dl_dG)-{!{Q&NmKcZzGfFvQ5IUYpaf5^jmUP4Q^_2YcTxS$#w)T2;jMFH zE8xI$g+gGMDnt-UtLsMs)v@7h?2;xip4vgH>IUEjmaO^YvkWHO(wX(^@4_p_a z;}PNwFYvfWeg_@H|H;blQ_i(5pYP%aJkaeU{Ihj@sgA98u@+d=K%3(?7ht=}PW;%E z*`F|9H{rZjexkkcJ&&7Fa-WjDC62i^;npKN|2!{2TPn_r+se1sik8^N=XzdmbU(q6 z!;h(sR{itBJ4MGY)A2<*zCiUeRHx0+>(0v0Q&xi(XX*SiR6a}P^HlQl<|nFrjmobJ zhfkOi^W2VokMajq*YYj!`D-2X&e^L~@>5)5X@8YGA4DJcu#STA zr1Q`r>mOCVLFJQ_@29*%$7ieDSNUb~$v??I=z>h*0U7jb8_P{!jpdc@UlrXKg)5oa z{%JJ#7Y#PRn~YA_`x9m2jd}k#utv=ILT&9vcB7dg3$)~OGyXm+& zN^1++<{H_IOkkHNV>@k)e)t9dkZ)3^J=jlqjq(G9cd|t9R8o|5-g zmHViCoXRUzGN;@}nZEK=W$5@KGCsX~JO*a%@e$!Jjqr>@8_yKS0&>x3X)#6W73-+7J5w4*&rF|9Am(Qawx* zK@@(&|AD}9a19~h3S*%ZM=*#=^=9!Om!F;`c_g>q6Y*&9^h}d-J~c-V{mD91(53U%v~W0xYhDCX~7! z#M;PEt2^wVHrNnt38KxChn46O?3S(@cRuudSutT$kvF}HYvP)trQKehm@JoPnM^rY zfl64XuNJxi=i)ix|KmBLjV7?}uKF`9U<8(?^8=|I<@u@FJbeM<{&wpaU@J@6f9qq1 zt!$X`__)aPh~jVuirZWG#=rpki|MOHL%;e`+Jep)C$|0BW zoJSEJ2SP#;5=a6l;i0jRNqiv8Kl_dl`o}xt?8y6YW;R|UYq3_|v9rP%C*ySzMJNYu zNQeU$1RTf_MIa$A+))65c!)@dS0V(;1uh6Bm^bG8s=B(X|9@ROYbS9WX-As<>($j& z)z#IHfA+$K3m2}h)oTC4v-$ICAHM$pe64+JwLP^xZ-;TS&54D- z2V=4SsMSb3Jb%}1Y#|PNoqk5V0g@!1Ik#!_>!^X}vi@N6;L3Tgm(=d!^2X3)rMGt| zbvqU9h1NF0pjL&&j>U!Uw)AfA!ENci_NTU`cNgcXbXwk9sZyoaZLDDkdaca$w}CWV z>12+JSlh%XA#v-q);C5b7^B&1SE-EKu)Ean%$u-;Q-6)>9NCr*@#WruOrHJr4@7IF zL;mUKB<94hHyejvPfCYkNPuorpi;I)H zImoWSwBj@i{6Y)Fh9U;ho`m^4QpFf}0L59NbuytVX*L zg{6cXQvrn=t2Y^a1cpNztG5_u{><9?U_J19OAE(htIlFExp2U73hD50AJ(yF08IlT ztFd~cu=<;8qfL4^-#=E2ts2yfP!S>Z19CH>4S&G20aFG{8ZcqNxB+SmTB$MY&sb_q zsJS$u+rO{TkRcFAh5%3zX+}ep=tS`cf+<+3<0%BPI6M~@yIF`1BK{YM8UdTq|d zUgrTKsJ~3e18c+21e9~W*IMWeP6dKUx1=eg-vxGSeSI_+xQ18x^MTJ8i1yCB*r1v_ z^J?`1G}1={AOrXvW(VM*N4o}3P2fSbw0iI)iGpi)ev66Da#m6BIJeJa>4#x`s zc0?59qDcy$OC6zLZDmH00wK2RTfzX)=?_4sKLDNn0Cf5T(CH6Ar#}Eqn3bL-3?WSz zLYgpyG+_v7!VuDgA*2aINN01ut$!wSe<5CDm!r>?KCzb(9NQPEJA;$G!DH(raq|+M zbMznksGy#Zn%f6SJ13-_6Vhx2q*W4tR!IO_B>{vmS(OAOgvqKTC?QPjSfb2+3CKp9 zfNY`($Oe`GSC5kfWK9*rxmO*6>_7>>3piZpV z8fRgRu|t8zse_43ie_r$O@yQ-K~vVHYLuFc&=fnuBHUOFCO21u$pIg$;Num1qJmFW z@F~ODB{NeCqBt^58W(h3vVVm(Lwg0%6`f7AKq#?D{AL2j5;$%h`AL)56AT`XD>7JI zTtjK)nn0c5lWe(taerjr?ZJsN>w}T> zSuK>IPpKDir9qiPTwd2q5~zB(oFrrA|S>?MuWbxu|8NDHE0EBx=brgrm2EWEp?|+2G2y3Xhh z#(bN?BVqt888hEy+JA(&n+vLxViP7dX@HbGvZjqmeqZD?g`@C*_6`61q*g;@Y7 zoea`xA)O%7sUn>;bSoE*BupFub#;~#13Q+29#3QGgceV2jekhP>5f{$k&naS&x7%V z7|)FH6dBKznyY9P&z|u#sz)U%)K!#_2856Xgpg{-Vyk}mbMlx!g%{ORcvvADHo`Ij z+<#!6CD`8q_`3le2fbV1^!B$7#2FM994g%I&VWIa{g8xyaXIWrWoJZ^-rNv9^Vh#K9rd68)mo5#K}2)QGZUaWo=lq?Gv{BhVr)!28)et z!#qbCwIjQ*@=Zdg!&g(IMSR@u}T8#7RQr&+8l3I@TzOvEXo_gtc4n?RV$2t!;}a#F2$vTVl!9DzRpTB^qF16w`fKM0IbLP`RTfnsy_JDLXcz zq7}<;Wa-8&q4E+WN+M*0<03;u7O*xUSwQ5%&tp2f84E0Ck%9Ek(jblGH0ycVNc!P@ zAXx#?`W+B0-T~2y91tzX0ns`e5UqLvQGXi(qN5fNwLn*A>t6P^5#hBu5nc8PF`CSg zD8d)lQAC`l2zT!$&ZA(2vGF0ykYIC;O*JvvBU^PM3?nW9tOe8F!BRKbI*lS*1KSvy z7!M4l3G2C$xdIp&HyaUbMf>Mv=;`cXfn?wYOm_+g3>TBc*sH7uM40bAy&^WAynlnS zWcNK?yTG92*DfNJ))B}=I4@fc=NJ>2*$pm?4Dd|De1(S6kV0epZrt`$y$asbD7#TTMQ&57> zGrjf@QT38ac^FqW4O2f2Q%4O`Pk#+lR}E8N4O3?gOOss=|1zUF7kDK?J83kpP{utn z=8>jH#BFO6z2^1Ij1w!SJu>ByNsml;#9L5^1q@oaV4|S7gv&n-VPcAb2^A9)ni=VR zDWafUMmFP!>eZX|DEA|gC!*pVt)Zk26Z=y&F--)GQ9-Vy1ZTWNE;dutbbsn#bC@70 zDw3d>5?EqLfF;TSmLLaMVjN%zaeyVl7E*PB9Mq#2B)RppIM7$k<)A>s%wkQtWMyRP zZ7r1~rgR;&#K=-mlQjv7z3f6mYo<^%WjPJg`4Cjb5g zEs-sHN;%!J%QTAIWVUcqEPn(|WeJtCqDTiiDpDIFnpc2IQ!N%zRx1hpKT$8v*&wDo;BsdAm@jEc!Hk$8q9LZBV8WwcTz^L;kW{X(4ylj} zaaSzjb{DHKe*~fHIrsYMHy0G^zaWJt9j1@{2E)_rcNm^# zzr`R;46`3$kYK0!xv<_7w_i|rzU>E0p1;N*{}p`iMjJfj(xn`;`yLR>pW=Vs@RUzE zFbL1m!LI}IGp8iC{X^&i#_K%b&Oj13D>C1#7;W7@=xA^eV$_$la^cHq%IZ2i;F$uSmjrM zn61)}bzC~BNx!oT5D-eIV?pllI1^ZJ|t^9qGs+FvR_9<`j zs*TEL`HIs}KI+B3=sCA~&U-!Qd!BQH=RD&&Dji%a(IizQ=i8+snkjfwkZc&Aawe4b z`xx*)2#s03WPcEz`S{%K+x)<{dB(TNqVqW)-rO9o?M^S|;97astIkH@MVM{O@_nvR z`H;8Geh^I2@|T|TXOHj~#!*|=#(ugi3No=d-{~H&0C3WlS^W#odC4OmvhHc9gDVJk zE%qr5;PYBTxYM#vX#k%)MQ(SEUgw3yY2L9pS)1QFtbhEvM;v@*s^35bpZEFp9@kOn z;2m3?<@Jxf>f1eXr_)_#al6-ZuJ>*J;E|WT*vCBQdCzf^u~y!9Q8CwK$DU?wKI*XY zlfIw5o|Bc^?|QMW7b`sHyyx8PIbZgiPkZDRFZMf++~p!t{>F2@;E_$Q?Gev;x92?T zk(V*{hJTlBgYYaJykq1WzSE;l!zHx+(6^bnXq)eQv8=*o!@(OrzF=qL3 zgYeAD)33dl8-!Z9>f5wD^1N?zo#$kWQD*3~zRhi}qq6Tg-}2i2=ts`K-7T|z{^Aw* z7tiH>ukCJ+I0GsHdCi-ERiPM;gppV-)Z zxPErysX=|LS>HTUe`Ia*L?E0wS;s%poEmJ_?;YR2v%dC??LE8qQl0E8_O9=}ct87^ zV1MuDS_x~$Gt=uJ*3REkt8vU$j`R*5JwD$&ex%du9`77IjLQi8qJ8oBa_^zV+17HW zeSCT8_`&Y-;5#p#e=>|1A1@;n82>%I1bFZq{!i%K*?PCThnE%-PJSBzAC3l@U5a*?$9ShWGqec*2p|2^DFrh-HC&8xZvS!MtAD`onAw zj8BM-UPxV5O^Y~#tsWl_zaBQ>s}D9KtXy=$7*TbRPH-WilDvaSq+6&B>+;a6u^0CW z=5?&1LP5~sFFheXUx1@7Sg!nEGiata2;Y>h6>F91NUBYK;0QvU^b+gZhkwx{Y}5|R zb@a?T8D+sE-@r=w@)M^Y*VC+VfA&p2`?ve*fXRV6Sys{r6P2sZ*QjJpGvNPM1M$dD zzW+XN#LgqC*x0?t&XeHtI3Iu6*{wx5pK6;0U9^taRR7bT#T4H?Xs21k4o`QyD!0T{ zwHL#<7cWsayzL*GdqeoKPdwl=mdQmlR(oAGD$9!C3gW*X`k`NreOu)Smlu^?P6uuN d7509-9cHTYj#9IDb!raN;HYo^9{>OV|Nj6LDa-%> delta 4527 zcmV;g5m4^xCFvuOQ-7LC000000012j00000007+r00000008L`00000004La>|0rn zTvrip2Lf405Lv_`g4z!jF-z39XY1hs-L|`(X=N7Yw($f_MrPz`I|ELev}ckKLc|l| zA0QU-z#|e*2#GiH7os2#3KCls#3J$lgdOvJRj1CWb7!24O@9(O(p+ig)^_St)u~fw zxign9U%q@}qtW;;-u0i`4mBG80sY|TAO;6HaF7H0&4JrdPyUU%aV4W8kI-QnRt97dMx`#CkLDkJ%e;Y{S)qdu< zi1kd25)!wnySX(n!5Gb|SEn*=UbP}kyB!K590B?h{F_s*u3}PQncR_7tF{=td2hr<0nM^8s_m6gHF_}q=zSt zKd?*T(qOn^#F+O>E5m^iWk_T;KtuM3I4G1fI1_j+gqwFhUI7b4ohCxHUo0+8?$*He zs%kB2D1WQGQ&8i+Hpl7<~eULt5+aGS1?~jm^-xtLu#<~W6Pcyb8q*fW5(0g=^O zze!lb?TyJcJzN~F6;ta5wIftSNd17^yl4Z^F@IprfLQ}(445`RjX^6lhW!~!jR`fE zCJcu6wOTR+0?7~nDk80DMOtBUMIkgTAM|eAlZqjr776OEx@*OZI7MhWLh3we>O3KJ zo{%~ZNSz0u&I3^A0jTo;)Oi5vJOFhbfI1IAod?ibmkGYw=Llk8ho2vvt$LgQRsVh> zD1Um)f@2%w&jpkdt?DjSqw|3v(p_l^>D$2WZf;H%0@v_5f6=$LjwkF3$^&mAIltPU zFp6SAe^H~jgr3A?HKf1DTtmavidnAx_ZJPOw84vjscx_Wcl*oIu77`jnS7yPstB=X zvF%xGdluWC#kOa$?OAMl7TX?TE4KEi$bX>O2!$*FH)H{zkOhE3761xa04QVumo0N< zt*teH4zIPf1}LmkT;Z0~1A&Wq2X`Di=ipff&p3G6!LDDVY_tbSn_!(;((k;?cmX>J zoJ-(r0%sC9oxrICwi8$+FnKu`pN+!}c*!gRyugfNCP`*tU1R#k*8?1QENm-%jxiSi z>`y4jC5#llSNcN1O2;fB1ww2$w1femlM#SUMgTe)0qA4|ppy}RPDTKlFsqf5umlx< zsI^X0hdni!tG#%QJ%_%#`o_*eaBL>%QZ+hPjUL-1iCc;AUZDTj)dcl~)Z8IR+BqTZ zoRDTKAgx&dv}OU&ngt+)$!ZoTAxu`YKnY=D#}Z}sOF*{01Y}D~K(>=rc zS}b`ouFqhqj?T&oC!8WEZiV#{Hiak(Tb6kATTmw!P7ScIrr45s%Z`OIO-NHT=LVDYdsj>UJu3xe5!^|*YKGdK3l`*47biTv8D5WPBd+v z_6kiGR$8g$K#4`-w-Y#(K#2+$Yh8}n-p`gKIKzPA{7_$UC{bS;%;dJrCk#;AC|Z8h zw%IVaQ^xf5KXp7uHgXKf9~w+seLAS-`>EzBlpPD4751f_CuKjz@cQ+Yfd)@@Xyom^ z&Qi)3Nowzn&R*OcO#l9x zwPpCYfO22T+Ukm;EU-CVSdnAV1V1aHz_TJMr73Tp6;bfBA__bfQQ30GO*1Qs)Vz2Y zNe7g8XlX?n4mTXnaN=QH{BbBAkm8Xk9-`v0Dju}r(JLOt=4FFRg85~?W&$DA zjzvzFb$?8p@`uo(eh3YJ>ru->%~>i7KJp;J{s`=k#ya!$Xw9kT58cG6$pwcB_c}5& zXtDv3&@Z0~TR_>0kfc{wqDb%11k`IYRWCPXN|nnoYLpF((6qg*6xjG&mj^~M*tWcq ztAP^EpSI-%E1W-V%L__4f5tNnFX*&60J{BJ=jiKYC#pGf@IW}i&? ziE;fqaB+n2RD)@M4Yr(y*87w)Wla8&fx#>@3_r^vT}XJlh8H!wmEk=2`u05h*6;=5 zTw|Gq&rC+=TSnkrbR^IM+xsMeZW5r1F;0%Lg%Gd-$_PyTI${HAO)NOK**W#kod*!5OGV!2)j-zmJg^2gS~f zKyhC9Muo+FIMVG@n*=v7A`o;qw`*G!HVWI3xMn(9+_E@Y+_pGc+`2egJOesf+`>3o z+{QRs+{%!a@_jnXXD)p?f3Ajia=e|-hqboOm#}BZ`V6$p2Ms^33b2_lWBo}!H)b~P z9CvFOANO&8Ij)nNEK-bsGN;$~jsPL7 z&LoJEcnM4jEq52eB<;EkjxFOQE-4H)3!GgFdzxMLdYWAhlg8w*i&?{|ix;^b=Or(3 zan-Jcy}&{cpfO?dTbr#i^6I@*9j=`E>4SPg#$>a9h{oCO)A~|8RcggkGAORez!d|x z5Nyh2ZHxj+SoetX6*#i6GfgbnJ|@<2VTlGDQlpseJtM07&xFdSOQLDVm6)#l8ui-RP@LLrU5gaO3F&j5>CN@=#&qL)`NiPd<=+I zj(})?SqX?nJ|H?Q0Z|hIk}QyYxmh5ejfpTWTtp*-n^o;4cQDAFeTVoUBp@y(-n+Q=SR$2qGM~Qra=nLnR3E+~% z1d?ba?WuH8hM3UFG}xnU)DE_iA(RVOViHY%Vunci6Je5v%Vy)r7aStg!6K5oLi>%sWoe@yMJeQFkmKs(Qz(|M3*M z(9wWKRMVUe@=D&uStOH(g6dR>4b_ntG#P@(RG*2eeKIJKtFtzuIv5ev2_8}PhW+Tr z1v60<%Lr3eQL(~CD7gmvCC+pca7;>kY40y*A&+qlUm}dj(Mc77!>Zx1VbxfF8J2jI zOhX>NS(;L@SwdqCQ`JK4OsNl>#ZijscP6UPf_9tJjkLvH6Uc5^OH_AWazuSyZ-eo>hY{{ErB7B!u zMxOU=e(B_1rHzwnlufU|U*DB4cn;uX^@p8?d}26vYEx#PoIAYgY&K?p7VtlSOtQSe zAiT?8fn$A@FR>9G3X}#2a<|El^BX@TWZP33z;}+c9jm0ySS8Y_j%ilW<<>OxLtDf^d&$-oeww$`s!8Nz9XU-R#ab@W}Wxcj1 z5AUy$Ns;p{gYYihST)Ljr+uihMEbJt=XNjV;6{1Lt45R5>2JLS-*t`hgx&IOG7C<7 zPFBS-v7dRdKX}Ccn3pEzWiYD;nYN7cNpJOyUUlYarr@Vu>_v~<<3r`(S|)OPW>0AV z-`5+$4V68m0etTl`JHQ2e%&Ju-nX_ltIZ>ROtWfvEf!>n^qg0JooRcI=e+D#rGu|6 zRM4Cz?MFP4seagDW#N&5M?UHmeA{#8JmTO+`7z(-$Bvb+C1cO|j&I|pX`_73i+#z9 zaS1hMX#n44w%ho)W0elBh4)8ZTi3~z*{uJd=Qy~gE#v&qtNwsvm9rlChDYx3ZQ{31 zNR-)9ou$})Ucs|}9&zxi8Jdaxz*}(MBQH9+@)pnetmoYAk*wf<&9}*%d%tg!EtF6B zHec~#+=d%oe$OL+_K5%RnJ*tNdolk_wmfQjZw7FG)xp)^eUCo^`1EM9b>ZUq=0b0= zxpC>z*7@n~!f?*cmmS*xG)i zd1>pZQFE%@+`ia+bYuH$AY44x#J?w;A8j}9oj$t1zK%>CzI6E2Iyq7t-aLHeevY)k zKFU2UteMVCZ-Ur(1v_bmV)b-&;-NE(?K7wQ)!+M_r=bo=3sD$=i;X|QjoAw+yeD#0z7xk z0WRL$2;T|E5aGgyc|-`apz4<;t=9aN@CjHG}o`^ z5O?0fA?~~-LOeb5)(tUizqTxXx8*+GS6?V@LMvN;%6NB4WryAfBJ`I2A0JCzwAxc< zn*?@mPst@jp8o*=0RR7Z0aQ}IOC3QFp7U2UPxJIpV-gXJL`;)tqe4Jj&-LC0-|ew` zqK^~{5(9QAlP(s5jfHj!c0wuz!9vi&kU$Fk2a>-aRVq6P-I<+` z0-7a%qOTk4YuG4+**I~FIue=EaForMpe9%=wP3v-I!zfFgt0c2#zG~N%-PJSBzAC3 zjV1IQVa?PIZ{$~a#z_5!sAz~d9}K8%i2B|-w+$Ul)9{z7UgA-550Uc z+&*r@=`n0hSh?baF{0)oo!~)2C3yvJKzC4oC)Ty$mlH4U1uW`VMTLOik$?1*`1lh# z>Vf5%?{Wt1G!FPr>9^usrG_NcCckhLP?^TCet!4*1RHh1@;rL(t&FnZfiGaaa`l1f z?gq^{?)SdUv;TUpk68J%p{yurgo!FO=VMf|pjqJmtBJVfXXiIRTCsDBsy24Z*trvO zd>`}i*8V{qVLsJ13wr1au$h5pmqm)-9(2(>u;Xw0J}Z~RQT2&oxldlAUU<6~n};Dj z_6dBJWpV|D)u+ow6Y&q4VgLKT&soJ|z$!J5sZ$H+ N2BW_G{{R30|Nk#2q2T}k diff --git a/cpld/db/GR8RAM.ace_cmp.cdb b/cpld/db/GR8RAM.ace_cmp.cdb index edfb26af2e0e0d857b4fb1ecfc380142e14de6e5..54f68682682ff03a1fe875bab8f993b6ffcdd484 100755 GIT binary patch literal 62678 zcmeEs^;Z;5)Hg~=iAYH-JskCTTxn~;dWYXKoP zMPDb^k8Hvs!fda_1%-qKg8rCD+kIeD`snoOKTR7j z;eRlAc+iXgEAaS<{-2z?{(myy!Eq;HD|;WUxGdijS;vKc#{vY89-Fe8P+8!ACg4={ zeDoDhtyaVH_AA?8j#g^XRsxb!zsWv&Q@RYoo8Wcnz3ZGZr_A5M{#`g+UiDt)!KuFC z0KDwbnMuTc^d|{pLjP?$Cei$1SD&^mo?m4=(LW}%^2V)L*jwF;ba#~xE+?;|S2=$c zdaYA3CQy|kyD`U-tMv&{i(LqQX>rMxX2|@&e91j_0rd-AJm3V7X|CF+vvqe{Kh(=1 zx;=?SnVK(~K{~4bR`RzH@h+J$&6#I6f=S^n*~C|^a^o)oSQBMUpskln3@44_i52_l zv&ORwZY~2=e_fAkx^mYgtm2wkRXE)$L#@m!8r-ayA`F|#$~p5IDU$SmPVzdR9k~Jf zw!BcGF4m1&%XBPI&!i;ZExj`@gfA@K&y?k$7;#W{F=ZTJ2$Nl!gD(3j)u&(R&Orq+ zvF9{@M?8Pb(r#RNF7IWul0(*8q?gT@z&YI}4v1!mdxA2jd5@i&zC|u`Fl)uqeY4X2 zx0h9WUTg3r9~VT}`upal8`+x^beD(A$yAtAa0NU9iaeI|IJ&*i{1;NjWa z02wfySx4P9vxsP16a@vePXDHwb{LCo$~#_uW8|?c92ml~3=JjE=njQ-V7i*&JwoEOIP*^f-{x^lq0Si5z0uVP zAi_<$B~<40Ynb(t-xqibgLUiG`R-p?L7d?6-q6qPeuN|l#68|2E>`*53y1siUl?s0 z2E-c|6SMq4O$QLV=j`m zg4;4}<|WFQEkKZ!-}8XtK}J9(zXkgbgiqGZ6T_g$5FEU}5ce)ozDEAgrbr+4>*Xc< zC_D6oGPSQ88}SK}t$mQ4xQOd}iYk*U!`+=oIcRtbf6s1lFv;?IC0V8s3i0 zodE3w{zcSGaXP`b_Vpp4+X8SN7>ask9AYIub#)<3oams9+%xnI|8VdwZ1>?baOdpg zEl&0>Q$$uC?m-I-MB1yL3sF6!A;Uz}?H}BQ%yG-D<5;3#rLZOk3|}(!9q*;F3kZ{_ z)pd@M*?^k}hoZ3Ci|WZCMv!?N=JB=u9OR}^So~UMXx?f~8*O8;1fKdVAJTR96)+9T z!)7*A#wTDkjW%nMLLuC8b3Was1|aZhFRK$67zRE#hhBaMFuBC^?7sX3n!69EN2x75 zi$v=Z@#bzyu++hzkee2Zz2&5eP@ur13g?}jFg2a|r3zN-8+KyAg#(@0*&$yl!dr%w zPId^{W!joYir|EBFJMn3uD}f~O%Qw;{eKRZ+J`rb-%tW0luFkWfcs^OTx7p8_#1Bx zY{=G4HR<-Gj$eaHZhdXNOeIj$|JVa{eX@Rx2fVL;0=WOr*dcW)uo2|OAsAjW_ZA+*zTJ8yTClzSrb)9%EFvNNayS?sB;Z%GBtpwUf z(p_G;Cx&%~bpT7Q`M@T@bHTwoi~f(6OoFGta#8Ys>YjzkXk%h9&?a@)IAp`CKul;C zC(H_btu!u}V0{RmPnF+`FoJJ^a%FRo)OP=Q9Q`8~?7vCr!{FsQgd>iT4eMGr#xAVw zHOPWhw?cnTTj$9UJ%rBR9#w~0-xbO2^}Ia|yl&)9A zPIf8*w^Cp+*`k#?upCHm7$!sx1jZb|ih)H!5mk3ddjrcwgMg5Gcy8AfQX)Hr@8S+Y zS_GG-b8$hM!tb)u8}m>ln5qewq;)M;_U4W&2{r@nx5xDC>&jocMqXDYzTOo8;ywlg zz=o)NG=KxuZ}UnJu`%GX>CnMIIir#e%S@aU-Svl9$G|=7x?-)TYyrHs(>Oy;k0l@o z78d&bq!Uwtw39`vjNo?*dsn zKVi2|Kxp|j{lJ)YILs7&V+9cTw?MiK+rEBt7t#gV8QGd&PQqZ&uTR!v!q9gX|Eb99 z(&5U`vNxC1z+U)f0ybqF7AWtIDw37QULiG3B&sj==fSG*Wk~z^mKfrw#<&>!=3a-0 zH?)cJetGGsSG*Rk{7wa&2-%)r76E||0yZ%cHJx`fJ9}L~*oWXVQ1RJTT@C6(u!lz+ z;7Vol_J}?M5Q1qPRgr0Gf$rV$r_8t9qIjJtg=~ke96Z+R4m>)(D_Gw*bV5Lxp)VSc zBU>%0h`_BF6u|i2ORg7rP!aMUq}T}vEMcV3K(9X43$r%B0Pux%2*g(r?0#J*Tz&H#=;y)e^>n>;lrjch1Bs`^_V0u- zU+&G%4_cdswIE;LH-s+UW#7Hb>icjdA+sB@0I_}wvj%8j(y#Zajr4H)ymFgYdkwY` z@BnsDuW_^d3<)^ixW*dRB_@t8Ir4%3==JABm+T4VRtoA$@CDx79*N9YF9zvwqVEt? zs1^u_8g^S_0NGu4q$4}?-3&_K(V4rydfw34GT%B17_{cRh_bKSp1i#xxjY)c-xQ3S zH^9oGw}jVHy7p?+ae>z|rhw?-zt&bbuUU^p%lmp{JIMIHJ@Oz+_ z83WT@zg`f5ZVge-(#BOcDYAzYVrj6H-=5&zlfdCG028aT10Xw-2X2oqW z0=F1(wj$OAl}~tiW$lOX7N}I^<9#OuBbs8DNiw>37vDV0)))U0ey_P52;`BWJheIY z__p~q<(^p+J=P%U+M$)Cbl2os|Jj;yL_96mMI}z4ZhtBc?RvmLZ`s}PoZ5z>Yqi%dJwMx4EqD6As{iYz{|$-%KQre^ zjSGQ0XYW~qkZu3@z}5p~Xly8!DBthV=L9J+1NvS%S~;p{n4L-=rgq98A>z>y;bUt` z*9~H%H>K`aQRk>+x*c4ZcF2*$ z3rZgzM}kk{&vNor*rJ&yzlijFl+AkzY=~}IyHM|zB%GoX=u{otBN;A#GKCK zufedvQ;)x^FDT($oV}vy>YH0qA7Ldu&J0=)7&vPlTYI1WizrU8u8(8-tOr6~))dF4r1Ail}4?ng+b(XU5Ixbfy3q z*Tr95@6%7L!Ea{nXfGfrS5bKa=nQ9>*T}PF z!h_EP%uZLrec=M0s88EoR`s8|H81iG(+1wNy{8ucR75I$U7Off369DdtMu^2luakS zgwB_0moc6hf3iM92%}`*DClT2qN-7kVzF|^Ln z*cat0AV0E3bxU|)C{=(bKD8t8LE9-vJ1u4y?-q_p8olfnT26VA`>rIdH=!?5Y2WOXl3-k<5B)nmwq&v^~ ze%h1#E#YW%c3w)mR6>+A+qqbTI{$6_r<-5$clST$=*NEFK6pU~u%6)sW2g>#L&p8JEZFwRTkIWh?5bdtGY~>hvi`oC0 zeE3W%Vq8o91we!_T zSc8SysiQn?9|7g%oM}QSE&!_41f<@+nI1h#H+x1h?{Hx?wk2$R$P0~#ex2=~I!*nT zn;+CVUe;|zn=>v?CskFGBTw7plvzA{bem8G$bZ6hK)ypW_{dYzR4hm0ZRSHnr?g1X ze3A~?bK;8?{u_v9XP#&WVP-=#F2u-jr~+qow676}z!`L=gqeYsHOu_CrK38Ga~o?| z-z^8+)7(i9WHA+yFBe9UPD>hv<}&kStRNmW*Ie#sOFge{c}W?zc46%Kkd|nU&P%bA zk#@OWF775dVjDOcQ?vGxb@W6bxJ>(zu=eAKb6HmFx#y=nMcV9u^ct^AS3mdy3V0ly zqC6)5{ei>4#-mmVvZ7s$1XAU=@r@pH0q0UnC;lQS74(pSdl3H~suG&6DF}IGs{}SL z4QvkfNi#;?hbGQz7a{DQH?#lPI&4zZ#e%7wEY2hswL6>n!up9hp9^nqIrw-!1SZki z=hjf?{;Pc*_M-a>tRA`e`bJkG&H>3(>TB}Gy62UJVLja&_m;_Hr@5lpN4LPu3Ld+h zhb)EvvXJZXA3w0u?(+mm+$O}H?0M-btIaVzf7|qhJ9ps?Wj^w?PXx7 zBlSPe+;T||v@IsDd}A`7r2Ckftexjr}u!^o9sU#(LgnZ}mEPT$Wf73C||E|?L*FznNB;^GB>-Mb!`!D(S8 z+Mm&3^qpCMD)4xovy(Z0wAiaQ<#0!k7JF`?6c$kO0_eJZ8L{(KR3p2)b+%*kjTel| zLl_wC=4M&Rqx~ul#e4Z<$3PID=larADM#~k^CNmVR5uFC=Iv3QrG>E}Ne?dcN(-*9 z9tyRBY_HWToJJa+#u%O!qR;Dhi3=ZWG<#hZ{tS(~8ko)kDAR94oEpJYVcB)`2|a?_ zy$qPg(3-_~Rl_F|wg89FtClzFqKFnkgR>;3&`I?6C%I|WtJ7HE_q!o&DXelDosW_? z&!Ve-hIOwPogp}zJCQm$$o7ruX987^M^~j$*PCqcx8pib%h)~R|6rHP+25vMdEQ9s z9{Kjj*X4uxa^$?MkdE6|ERl(S9ui!gbQGTT`=mZ+*#Va#A1knx1TWHGOj_@(1*KuoqSS8wkkgFMuHCkC zU)!j$VXiWo!&-vO@nn#_p5Abkndu}MoIq~L>+ny*cz^eVbk)MBAiLzgn8~!LVs7lI zF7Fl1zJ2N8ZuHaD@DtC^R!%l^nl-&T{(uT=-CkK(n;}JBe~w+0pram*40v0P=;S1>Fd7jezUs3Ta#nfKTY7T9{3XzU`uuDBX0aJao2%?VZnZx1Z==S@uhCbJtoDcOE$u@ED^8e( z>q^+E@yycgLiDo4_5O@LOd%<`&7sehwR#K#$oz&0pA6+nE63!%`o=;v^Y{3X&Y$+s zev+h%xDue0>GKb1M+09l_ci_3epEd-v5eVN%Ic`MbZ8^|!^q$r+icvOTKAHcLQ=jY@$W{^Df27c=t5((^ zP?M&cCGR&;Fu3c>@HA0QoYhCWBQ(w5Tg*k8Dd4G9!ebl$6Km19)wd2zu0&t|OZ!s7 z=RsNC! zX7ypu!t#K#{?DQ{rujaUv}W50c%+)>Upn}yWqUSX6+Oy%E5-6C40|XkrnUMlPTf^i zyTce6I%n)>*?KZtQZdP+gc)j)ui!w)`k74FEFpCoIY&-4n4OBrn7ZvX1TQ%za( zD~l~l7A?bNTLvoMwh_$zn<$w5x$0ZI*$?ULzmvhNsils5qGjP znB{p+>D*QViWi%?yd7q)(h3JV-d;ejYjoUL3J~oN)VQt8zeekMDT!nln8VAOGa6+H zoGu#?v3X5YYI)7a9kM%q(as~));i`UBcrY!OVZ_v<~^dM&ouL}rsWAqzF7;I;@?~} zig%%Y1fvjuFjGrMyuqF8y=Ilb{TB| z=96Ep#SGC5nga!cDznzUL@6#$W-~2?M^)5cBT^&`P8rh9Iz}H8lV(e`VM1K&o2HzW zAVLq~?1c*G$YdNfzbD8FTA18v*q-Vl3R!4j?u|{ON_>!~T;tUO>wX+K%XGh`r%s>Q zEB|9kR>tw10G~NMvst9;NRR;SA27p~Gx z3At(_UmP`>ZFRxq2|0_Z;H0eXO@-NanY2<&R1l29f@_@NA%&rhx+OP&sI4QNNb%I= zeTo0f-f(I1yEb@7&5XUFD7TpN-2h5WHT6~B87dd_-M|Gi*q3A8kj^)Tt%`fbY5gjt zO5axlrR5v2@IrF%7va{P$!l9rj|*}+g45d%58@Ea{ULc_Y6$Tk=3lZ#7RT6=fA3^F zr1thHGMQ*UQOy7z*V<{oE&~^hyBq&aatZOu6|;^SczX<2JA#i-~$YU_LCh|Odx3A&27fo;URVA^zVbwwD-wstd)u8TTo{gY)*5L z6(B|-PP2inpphx zj6EpH{=}Fxnnn{N_3MQsgnVFtlh$k_^A)6t+AW3tTE>#S+SWI!cJ<1|r`?_o?8mWB zH-T8?gJ&1jOHK5(vTZGM%s@ty%R@@TPN?nI12-)mni5qHpdqYkYWYMrQG#GG!2H(j z(Czob&IE%_{=~R%AAixqWIHV^3R=W8`tkBdjbO3Y(x#DC#J6fS^x^Cy$Xk`|QwuO! z4-ph~h@d(@LpXbhlhJ;e%7m$si|%{?#R)Dm#xED@u{cOnSiDV@yf@ehs(LYQqzmRA z21XeCd;VSsBuI%ETH`IxFY7cM(N%H%FtF6&reF2Lnsy=kDj=V%i09hdB+}}%a$+Pj zbZTUl$0pATHE%t~I}w)~n8e56QPvV=;4XZOc|P(x7D6{%flIv+ylnZ+a~6ux7HHl7xW$GCxcYxq^nC{>v_a;-K|#M&IRLmI^9luzPDbcU9`wm6X=iQ`LMdpu zQqco;n7ZbEn6*a7H?dq%-eS&kePa^2R(`qcMH`ge2?`1pQSl%!wOBIBrgbmhx;`iE zJTa$&?p3TPU0rZ~`p*E_FEo4Nb)^J0{qhHP;1f&QsHIBJ|2)|8$?~}zu=mQLlIxye!rRz;W2K{I|nvMxD!4XQQ8y2F{uO#PH_gqCd4{rEx%i{>UL`CQV~m( zTMc?zg(dG~Z(cYT#!8wNfP&0b&69AFZFOwg0RVsjRbJ_{8u9+{alN!3xcs1!pv!CL z+a+?f!%U6`q#C?oO4N99N`DtoZI$>Vzu#wXW$u{4#K`TI4?E)Si*0jCu8N2aJPOe&5j|>_2qr;NuYcMBifpvRQN5WD{#;5_YyK^vH5O+<&fMrPjj46kZvfc+t;a z+b_dj%ao_1?PPb{AJi-aUSf&0yO3^>o~~=;!U?9nQ$-t4ZR;KiCgllDP5hNRg_KAa zRci{YNHAdreWupKyn~Bvq^9*>m~Z!<4n(^eq0^mi%T!}-n!HIr{-Qiz_?Y3(CaQwp zU6tq8Y}-9dDrF-yw5^JrPh^6$#Y^+L!hl?#13f+b0lL3gj}~+aWdnuB=%KL?nY;G@DV|J9VMnI)=lto0*QBYjp$N9f?no{*OY^Y-NaM z&Ush9shJ3diLZ&Q7x_>s6zub$FN28B*0Opgn*X*%B^;8uU~H4g3Sjc*hwA{FB?5nLIoSuNiqm%_4is2!rsn66B)0Gc2T?4)Ll731FD0F8h$BS{CE1DAlw`Y>T49&TMM_$ zvvGYu?%EegDYH9N93_QFPWKpd_W@20hNcj7HgmD-{eMLiT)Zo7h#gc#YX|Dl`?k8| zY5tLO4if_oQBmWw3bx8i(+jFx)!>fFt%O29cD6MIMO-Z4$n%D`JGX8pM_$jzcFjT3 z^g#47cXa~+V)AYLDMKwAVVRmK|Mb+4ck1p#D>Q7r{?KLYC2D)wGO&HSm^Xk`d8Wa@ zanW9vK2%DQ+odOMd{v0huA_2)y==B@@G8RRw}Naf`Dnx&X{-0~6yHc}b#}8C_{aXt zalX*T4#wPuSo5E0UU-lQX&%f4F{~tFn&YSB>IxpK^D`{GUYfII9|bIjW%^mihQ4MP zYIHD>C5&L`FLYxlS$;_oY&Ey{Bx&_5RXxZxO|C>VYK4Y-#|a*^XY;B=);aI#ec;(2 zQ)zWZ5AKW(+oIj0VXg%8W?|=KOLV$!3Sp%C;EeV`(AaY;-Y^I{o3D8+l^YQ~*D=US znZ!Je4S^ZdURcWTPlQLZs9Y3i|3a^mrJX}w?M zJ=RDw9lqsED2M2i)%_A?vWF-C9d5SEiOz-i3EQ$7Wfcr$OnE00v8ut3y=g!8%K-2L z9`XJe$Mv^q@ihFHy%~CNixJ-`*R&7hQR}*#kd6{3ZPu#WW%;3N_QE?;VqJ9YJ}xD| zAd4a1x~RMAf3Sr~C~_3-e`SBaXS(#YKW5BmvZ3_Mk43oR zd;WPfTCn?)GZ3MFMe{6j4-tsiI}DUt-kt>vk)~aDtT$fE_!>B;M4n@<{qG1A3Fb*fgRUk3D=3p(uTxU|c zGl^_>I^XMdXP%PYCU5U80`67*hL}ZTZ(8y zGQ8rahfoVCe!9N6(fanW^lv&u^AsnCcWD&G$iS^_ROIJ_quI+1uCakKEk1|-aTavC z8V{(O;*-g^&KcWaB`^+ExYygdF9=-ax%Bi=1WZzpK5vv(%o@j^?1gelvql{ zvznnR{ahViV(7))6UQI&@6vv)rqaTk=*L7nP6bcKpE~j7>IgqZiL6Ikxmj#GMjlZt zI`+vX;XQ^QIx2mWtk|6MmbG;u%dL1#hFlO%bQl_XXhghZT1n+$%H6PLIq80xIDV1M zU`L_c)7ke@H}y_2lew!}^A!U{{L^5T!%C3{%J|{+HUBP1C;U%WA2&WZW?GBtsTCBV zS5Z2}chK>mxRFf`Y&7lnCR+B_8)nbsebGA6H)o@r0(+a%jxYlh5)EM#Y>S0C( z$tT+DAC5lh{|YTX1U|V9@hWB{WEM9Q+RT^>-UyoH5!_@guGe+ckCR;bBrzem9~|;r zkpxYOnC|BEGe)wmD<^!TIy5kTGrgCX5$(W!7ANAd`vd+t4XHJ~;vlsCz331p4Ea7w z1jd{{a{R3Kq^5K7SV!d})!ynrw*xk|`KF2oUKZrHJ?AU9%D-h$Pnsim_*KL%L@7TC ztB@uR#o>2D1_E!5r~3uV$1^!j;=fOwK2WQUy2YjSzhi);bTHcms6P7Jz?e|BNl!{*rYv=rp+m*N(MDHvB+ z>P*LQv%<12cf+E&?v(8&jLo*+#&r@t`?h|?Dd(B#T+zoArVdB*{-OH1Y1yT8Y2dRQ zPkGQ2--wS?^6#8?FC5WZ-{7j544?B9t5uxdrKH#q92$rO{Z#PPX3p~o$5-h1!Im-e zOOVZ$rZ@R8G)<@Nu{CYL`r)9>nF3Q3H}vBU zcpt>?3CY=9JIXVEk#5hNNwBhn9Jnzo(M%b$br?nM|VXh%sz!miebevffw#=YgXz7on&~T+nOqfe;y&D6k@R`(-?>ceLYsN>6cu5>$ zNX4{eucsT8`tB^>HdlqUKlXn(n#uWboG(27MHj>E*&Y1;baIlhZtjoZXuR~)mi#6^ zU%oUG(a+qf*QXM1jY^7aA}0@g*#(Mb_tgxZ?A6-PYxxh%5ir)}Omc(+ z{895T9sH)XV0xbl%t79G@@=UW8c_zfw{;DVo>E*UYSp&*Fow<5tq@{sN$887lU)dY z_P6p{>$aULpm?l08EqHl(z6pN& z$8kE)@+0?9#GD0(hs{YOg5!@SFw+AZ=$6x zwK3#`VdM68P4;LrXO2gS!93hANI42X!j}P>TB$`EmaOy9t~x!$U-U)EApgQ67t>P| zgeJfIIxsb)j+d`-vKq*yk`H>ORlMUn!RbC_MU)Xv*KKa5f{rJ-eD`Eo*M(OKy>Mc~ zaz72NV+tc`s4bTFu+5q=!ljNC^1dK)XMBA05E4T|?x*R-NeBglV$_E0VK z@;Q>c1k2r9U;ij1QSUN<-|_H0lBT*?XER`y(1Y#K3WVh(9;@R&%aJ6%ru$4o9p}02 z)j#n-9U_uZWg|6iw6O7x0n{BAyVT|6G#8YUy_Liym!@3nMyEkG6wRf=MYdt_+!zJV)eOC8=!}g@dg>f zM`bH2itN%~e~@EHtEGN575_>4@xPU?eGgS0FOY!#e&v&?gH=G(M25Xp-74WD zT=C_QGT+7ibCD1HFR{tAT!B=Co~>80Oo)fNvJKb`vXYVt@;&Anrf?~J zrKfhzu5t`68_BJa(fIl5OiyFmDdqa)H!nAKHaXiA{Cg8x0{6}6o@f2gx!U%nIRUY{ za30=B;k_QhJj=w{wt|%z<%$MpJUGtua5Avld$tW9kV<2^r_{x|?lLEDc<}7t-uA&8 zHsGtlf&3ESQk2>br&~S=%>H#gO{m+ahgIk%JM9gO>XGT?C7-toGJ9?s z=qC2%%5j$lbx!eQ7-i0WuNq?TvS|wu|LJ6W z@6iugwY*Qu;1q`0Ry8S!Aho$@W=p0WDz!udwTy2xS=`+vw3EMo264)c$0H(9J0IUUcSLg;;V4=;@x|_n$SwfIm(aZ0q+wXxr3%J^ z65X+$V7dX7ZeLi2Z~?0eiKbr3F%!FXKcKyY7a;ZTHSzDhw+2-kV!76}%h##%&1aP) zwRf0^K3D$=>55v9gY@{G|PVxj#c#Z^;lev7gUM z?HBz&%%lRdFQ)XXdt^VKi1!-JTQ*2&Uq8$n2C>2>R9}FZmlpx-MfAec);ljIlOFpx z7L)5^xo}74tT)dePoHfNZk>bw#az=dMGZKNM+*E|y}E#OY-m(yr?xn2qiHtl5{0hB zL|dDkuXsjV@gA%E{&`oo%iO$2&);e$WMTVF#9j2ileW{KiPcXRq~*RU$+lJ|b&mjKxCg@-P+?l?%smI)@ z0!PVwH3#J%3iJPt;WcY%D%rp^t_Y}PwXhAjqV9YGZ=Z$KE7#y{4l7JC;kkS|myh7T zj2#B*^2P33{Zjevsz)E_JXo0fTMq=VU+6WCrCsQwem{4-UlY!7=K zSSj>5Sc;Pd6gX|iY?igvCzuO0Au1ra;kUS_6D$D_B+xI9EU?l!L}tKj9&6{!^pZHo z@HQsqHB+M+64|ESFIyjw0>pL>l4dfe3Cp;HH8p-1V;qcJ4&%^SS0haTqeV`}v8u&1)VEVGpNpqi`azpW!B*9I(Nd{ z8o4(KRXNyQJ@owmm<#H<^=rx-cUt%sxDPt zxe0~j+a2E6?F};!28t>PrPs$mZ*q0vb;rypnq5&`c7Rh56p_)uFa-TgJJq)n!q>5N zN^dqk3#(}r53e`*wy}qav!3h1Z#0_rQ9#kxFfxW7{%meH+KRZ$1ixP9s;;e@qd(Yt zPy#{0Yi8wD%CUn*oz&6york#KE4;`{!Hw;$l}xx7{CIa6sjd>8aB)jL_(f|$g{9Il z@_v2TnhJMgzmSH5rUCB-gfK@Tqo4#Vi8^jKR_q(6K^Q9P7D7*ptC@Mgg?k(HxQk+Q z`_mY3psk|j`*YW-BfKYZ9bZcfuxkXsqtDW=Z~p2!TFWuH4goaMw<$MU71{^Dq9x;7 zT+Vkz1=sp_iSy`l(2sR&IQl(SWgWl#H56rBj#D!LHY+Q&zqANzOx}Gb$#dkYttc6I z1WzXNw=Gy;$+`Yov*J9&m*wOt_KV(a=)rxhPTa!RdRmh?*p{%G2H5e-6uGfhkeZfy z1NpfDo%FlO%prgRbh0)_Q;mKq2PJTsutTsC6UW&5I*dQ3yqJj#TBfCPbbQR0K!QTE zT?cA72&UPyPTVJ;I@C}vHrLp9G8$#L?NZ#IQ6Y~6_1h-5{DwsTR!8@IOE9#+^g3U0 z&ffpmCU*Hi&K^oBe_m_;Sw^p6zHE?r{-un!Ra24raNyFa7Env zh4+6D*1_hp5bb+ z4t8oELW>3wj|h}4MrByNY2chY{O_B=O%SN&-Q^OdJ40W*^SO-=3hTmsd9T z&B=AN5h=CFQxc$unPIo=3k*|kWf}h@WvDB+u9&4lyAvAn^buL-rf;snL|Sg7aHN54 z>|EOeGhy`aeaYWZX0eE{)gIA{FV0h<8(Tw2oAq)%I(>ZGJs(=ah5?#?E3dT$n<*lA z>IcdQ7q^HKVy;AkpWv*;D1rFvI}H3{p{?W3g5E5!7lD5Mg6lz48>XEOQ~g4tMNllc zzr@12AGUva*G9wX&s3bC6%bF%<)mp*5Evr#R9Q&leam{NcckiHWj^Kg_GdDH=||S8 zMPMF@78B!!k&8jsU)(G1j2c;%%B8=m{TENzJ`UJ&@QM?afwWI|-Qne&=OiLc>XIW$ z{&}xX1XvmQYOuG5BxM{mUw&hr5qkAs>7D!zK#GQ}H>6Dg}oV1-US?SO%CNSJ)+`L9%$KBlXytu`_t8b#N>< zZ!*YZ{ipyJI@1+@;`06sk>d3!q*wOS0~S{R&X!zscf!j%C?LX=u??8$g-q0ak9l7OEs6RU;Ed>{)J+nSb}hFU0H*v9%$C%`~X zEaB@>8a9HFWK<2yx8ukHHU6&@z5&1Ezayhpd%_WJ&u9B_l>D|`mF3@V-do?Cu2S}t zeW&|UY-VZ)bgV*Z)_N5@K31>73mzzk>UX94GUEV+k-GiSW{UIEL7&%+=#u-l5HG(! zj&s%qBwz!j0`*x~rgPdFl{cHnpVRDa6Q@kl{yS&l)2x#}0ZRzadi>eaDS>0p!aWYZ zo(#Pd-w4_Po_J7Q>6e^&`;Dp^fh=-q3c0g_fh@NB;tA}ap;FIf653|&A_B4pl-L*I zMemMtQW=}D`2;NwDDHmvpjV$*-a6-5GR{43A{LX5r`9>WrP2+M)Q|ii8M3h%Vz|Mz zQt34l7xa3rXpHIF<~Rym3MdJDgZFxW&4i+D1dV1pmjEau2~9tD%LYE%WNw(^+Code{Mo;giFmi$Kjhf+ zlL)k7d%&vFAv&&0o9BgwqKZP`8%dGq;JcZIh=hQxX=h?8vZ%_2q6&s=4N{R$-4q5qbF=T?Q;0YRIt6@n=2$7p%Se1g>DWJ@kF1+W z&7Y|)4x+w|!EJw`+ELv(dpi$SLR{NzP`%IYAn3Ry`WXk`IO93LzV$tIFh1tSRs4Z9 zCbr&oQ#*~xrL++{Q6)}!%rkQsKYs2^(>~W-?!*c&6v7xNX)eEZ-lP1a$9Ueo`BO7w&~F%q2eAJv`U<%&83O)x@~c;`cr>$Z#~f^U+THHh;ueF9Tg-fIrSupLos+$FTlq=w(%zPChcmRR;2VdBpCX32 z5;I9>Y(HOG>8j646uEc27TD>}d}(<-y?dQ~ z=G))9KQvCAz@|?<;1B!-f9PzYqnQ2lhC`G)=r3q| zwIO%RYxl;vC7Ig(x{w1Uxh(s%G5c1SXol!kwv{}`hcwyT%p}#gOPsLUd%wZ_2M~=i zSmkt(gngc->Un13Fb9vdMj_<@SM1z|uX2Z%_y>6cx+M)c)Z5PSR{TRw{GAA0m67AP zAV)sF3K12?4Vh~P>rJtv6I~li*)w_#=hdFi0Mz5)gMi`a?)&AJ?tZPh_TOz7B}B|D z?|}He?fq1*xQA2~sI@De)wtP`|-RWs~ryU4F79&WVMrm<7 zlpbXx{lA5zqw*}azGtm4G-T?(i%4L3JrKg$=12`4ipu%LNfT#(hT9G}5ff@jY-w=} z@RaQ{E{Le}X}y7&U);XJjOm}wu4F=;K9Pth+Vy7cu1Te1&kU!F!6jC8*24*V0YqFk zXM~U8u*rc2iMryvR;Y5fgyWzh#*G}rR9CWDt)$3H%Lix=e2FEZAeMo-<&_X6CvmQ2=OyshB?lT5Zuu^12v`y1tqyiO z@c<3$U{w?#^~uk3mI+w8u%%-s=d zKYZb4&z8_$8*cwXd}R8}h&^6!+ggJLIX}P%^eF?MGkY>3MSZnHwjX5ID*RnhD3a}1 zj?`r1F;JY;hbk81)c7M?aD6#$x7FY8SAFTaF=K{L85llVetdi~g7Ud$-hacIzn$y$ zkXfK+uq>*ALl4wb=Jy^POiwB2_Pq%A%S5hn=fw#q%W3Y7=oEFh^k@xo2oj_sOK(u~ zXx=3>OKr{=WuE&+M{Ou1 zn;D$Vi{s>WAEA+b%jQ_kuCD`Zm8Ulu@RsrXuc7FNx%eis~LWsZDmvIqWkfR5el>WRBWkqR|F2kcFp>3Ec>!YBUe?c<~z+! zS1e6bri}k^t|YdPp2`YNLHY!jnkS%P3)W);hbyT3ODNXCMK)pvH;B8R7`tKw_Y?p> zUgWvuVu&kFPoq43Vph;hX3BBJS?OBdxf*l;g0`R z)PEN#@ZZnXe{E%mGoj_2|30JrZ&Cb3{%O~GQ~&x%@t>vkA^t_x|KEq4raxSDjQT6W zzoXNSk3aK&d$J>?KTz#Iwe#-*@aK2bzxlRoyjP~@D3y=;pFn*BEBHNAseTVo|DhXz zl`vfOZ}f4uek{HCPx<3a%ino!;|uX<+c1avPs;zwn%~X8Ia9g~{L!a~*ZSjbv#7tc z|1>K9mM%U%MtqPq8Mc|!QYxRFQ?ZVP^(Z}ZRQ*pkFIw*sINpmCIi)A}I?Q_YJ&bXl zyt8WgKiFEP{8fpjX^s6g(wJ$~yIN){uw0~{YU*W)n($+WsFt}9Y1A|iPt-^}SuI8W zZ$$kq%HuE8Q;u<-w1JDn^`u?+Smg(=jb)wuScUHaC_GAHC`FO5tVTl7NNR5pD$2CW z7kJt$8mSApNb&sPj+`Fe#k`2K&(xYJzC|>deRiT?CH(KE{IiYsEZPpB@V)X^B%fW4 zpqYICsnpYP?i~y5GYUHYN+bO`*l&GA`mTWc{a*4{H zX8MH@$uB_1mgyPEU%8RW187-S6ZZ;rws3&IE7N z_!$N%y#D^D_J3Qa63o;`DemjB)#qix=N0Gt2fFz4_)Wgs;V7!&7W%B`?-Q%UHZOkf z*7{UG19c>wP4&Zb^7mkSi(i;6plg^$<3IJE$5j3y&VLSu+?T5k@Mg!@e|vXMeuVUi zPm2CU>Ep?TC?8~L;JJ#h7r&{EPhC9T+M9v#Ni5PQoa(-_?j& zy=yZ&r}l4<%(KtUDu1fqiB!Ik&i`H>W#OTOK-tKliW=*b3N$=_}-a zr-1%bz>hbr_l$D%=hXZ|{5%^W{%IZkf2!^HJ{QI}ec)P0UvpN=b!|MW8B3A=@w7-R zss3@5GN2;duJw#>j%nt99IaVzcZa6>#oN_l{izy1%wLm&?{id!&DH;YsqqI~oQkkd zEIUCy?C?t|=qW1=PitSiwKK}k`kS2msr?6_{*O-ny!bQyr1CGO@?*vl3*(R1YD|8B z1L-fW@t^j;NB!p*?N9k12H$(A49BYczjN_7tKf`pVm(9aRlEjdiFdUAR{K*n+TeHE zpcnNv6Z7$Tkm|o^{CrOHN0EQb0U|7^d_z?~cCQ%y;~}RX@_=q(xq|$Em+Hs+#|s$G zNQ>oYiN!Fr`UmCzldInav;YcrCQy`r{MS~ze|!S|S3-Zgdzty=Q{kE98*l1d>2n=_ zh5?45Jn~1~j|BgC#vz>I)=YPG`I&9drSQI&Wi_bo}2fgEkx{L9~O%!JRKejJwC7A zJd(}w>g}D|X~rC^5%--&BqRP=@b?2bQ)=-3q8X6&?Hz|~_O;7+S7>zDGiYf>;&Y`u ztJy>|5;O9&z|DxJK$MTa{oJ`VGYab%>1u^AiOxtY4hW~5W-Yewq?CD|BrT#PCgz?Y zntOOmZpbz6u8;N9B%_dZlwcX1TVS;-EB9)?CSYOIgF11E|?8f2imxoTL6jy0^%Dpz#mzxt-1(j%Ec4*`)qX(`cf8c#J!vV&U8&Z)~%jF~MCf%L2~_-*ManF(?|X`Yk%Ad_7SlEhKO0j&Hc374YmJlzAubCoy*4C| z2l&I2#nDnHI8Eb=C9(c?Sn+E06mrNyPr!5A;Wn{w<5sP=XSI0`$amVG?Z1YnBOQe` zbrdJ;P*=a7(uejV|E#~m)$4OA;UKN2CAU{gv+XO`r!}WkOZEchbDCNrysHAF`uUN1 zvdvTe0vAN8}bL~pF;cgnC6J|N>-EYf(mgV-X= zRD}8Z?=(}Wc=+m6qw$f`&vdH4p{ie!*;sHARb!;g7Q@Bys5p~dS)d>47G1+=<$nkc zym#A}@gtq(shinY($H>k=Jnl}5o3nMj9|~-jKo98>xhJrzX>G2gmrLR7y-Tbd1~Z_ zaeIm5HZN}NelMI)we&Y`A5u2CAZfCS@I0zo*m(T7_DNl_qd-fIf=O{V1x^2D*yZz7T@!1{?8TMg)mZo zqPdOpxfKvkH)`%-e;^K%=zOl|4A62D#qjz8*?0!Mh0>>dd1uf|s(ch8?N9m>XmF|3&({7@e%-$^Wkc`yUPd=`RD+{IKEG3 zDX>QX-f*?95DdeC$Nx+9-=FJzmi=dP@nc^Uy+>CF{3uSpRb0VV@KgKT4gdNb^!b*? z2W5Oofo}sh-WwdiR#-&&=h!wM#-6u? zZsU(C{}YPe&!SI68`J-M>L00nKhUvtOSLcQ9|?NLEB@L;4CS9+;(`~8~kUK>Q`k6->?!T z)z53n-{#66{Jy0SA6HatRYk6J;Jt3K%!GgSfOveQP|dy%(+i$_y>at|W;15vy!uHC zvJKzS4npA*%{EosO48F4kof*DPwg1x_7|EPi@3EfnN5;N`=WBQrLxf(H{c*zD%IU_ z%FUKkIZ6>Xc-|uRPq}$oxp`Oncg79eE|;4Vl$%{ExLKxvoBt^{Tl7gc`XFbUrMPAZ zl?2PuMY>tOfSX5^o2~l94Prl6H>W5!Hm4}!rnkb&aiurnPsaq#87Qq?HR70XqZ<J0j-iZSP0wOxuI;E4a~WX=Yfv5-D2k!icilZ1>0QR6{y3&r&qwlh>)cWF!)X=^RD zT~SWJb(N?s;`R7Vngf+!Dy?aA0hN{`(0V$<*dJ$>->Npwao6CEgun1TK}2czaYh?U zFaA^ds0tG(e#Rw4&HC=FBamGqB9c=vyJa8l?~QuSp!QR5BY!$;Vs+y4{wL`fNIC*6i2hum#McaCu^H?F5;Jkl>N(&) zLEV7gF#WlBXl#E6yb-IVJ-gsT<^&jjgSG#c&^7tH-|@GmJG-@YviX(2q8ZNdN+st;(-b9V5VWzq36B#c&d4YWNCwq)SQCv{(!6Ucz8APKc@;iy_8q1C z%`V;J>cVp36A@zmWzSs@NV>5Se|zZ+0enRLl8wjJf7#|p%?9g&AWLdroLL5d!_jJA z>gQ3JRk3;F1o%1W4RtfjyfZ*M!=-+_{~7;hsr;#a_%&a234^qL6zD(f^n;0ctRI7P zi*{}L#Y%XrpG^BRKJIp_FH?!F17DKP{@|xE!s%yk)eqb@mQ+7zA5akvQ~ji8_ed#wWMg0Gzfd9pme>|lf3++3Z%U}9V;c#aK|8@pk zq+k0IToHfv1YBzWjg-GD9e*E+Pegw2mRu4ZuHX+Vrdi3;pWO{x#Q*(Dzli_A1^WH7 z@_()4{|U$cZOZ>$75rbQ{fqefO$C2=pG7RGe$P<;jDOnyM#mq%&=>Q|;~nxxB6<47 zeHSr57V$s1@>itasS5bNTlqKtA^&^=lzgm(aTL?K$p6kI<2%QIcNIVT=~;K22kBTG z?qLN=5&tWWCI6o)|MotoSD_`oN!Z5k5n_w5pz4p~558Q`v$Dw5xLNBbQTxyh{QT=~ z%|B)HM|26eN)gLw;7{#`s^IUzz=;KQ%3KquE6@zMS0@(QFK_-bgVv|x+vh6(TRMN> z3b>aa-FW39oUQV+zn|Z}qy2Z*{$zqHc&5L}b^L@(z|5z2F@D0Qt)4Q_{?lna@tgfA zmtJWKBiUICS54TT^|~5D9<%Zc@UxD;S(U!8??pZ3V!hrE+|vIe)a$&YVfw+GDDuzo z|6%p-HKBX+Py0?mFStO{9%F_yupjIm<)ZkSQtdM&>HoIscL^Y383Fpwfc~mV<0jzV z0KZps{>?ToYu#XMS3v(Wu{HULhO!OPHpZXbW7~`RJpLCc@lSLKt3VOhwykg){)e@H zD&M;*AHIzc3+009CseJ#1d_O}yuYf-8kNjPF0F{6_!!68XSZxKQaA z+2;!&VkzSPoC5wWf7{cX^e@bXLPUnY4|ROpreuDAX2HG#lAiyYddpQw3bVP@q{CFcH55PPo5#s_fzr3TdWI$R z@#dfaP?$}Zl2iU4*8YoEteu`m!YHYIEZUY(`FK5NHpkyGYCk+LjvCzifqy8h)6s%8UQEn_{)$~beq46~1`-D(-1_k2vEB(4m>G+`EZHjuYkvuy$Y00)o>+bI9G z+DFP?*N}#UG-=3+neiC z|G{qro{4U5r%0I6ct^t|97r$z}rv7~!I85jc1xtqd2N2SvA6jZja{~ORFsYFePSe|5gI9~ z<+FFhrP*L3SPBipml{pYr@<6tLf+4k&}l8ZJ=z(`eE!{sbRQ{B9m`lOAyZXEqvB|HwR9o#Ef5fd6U5 zSHk(~Kg5YUp|Wht$m4g?CjlbXakN=Z{c8j8FpFj@yqhW3(|=9|eSEVpp8sx$`loTc zqGn6V&k>e=z5M?ykRSIJhfP7xvLUn4ljzU4QIH?vpuc|NvVor)AEw#hZ+h;*>ix(M>XmFd#rAdUlzOj;I`-|IyA96}u?k z!`dA0TcKh~_T!V1cOn7-33vksTI1Uhcw+Y(H=mRBIy}_|kJ-8fE_|N@I93(|-{~+# z#4{@583{b^q5Y3#ya#5E4Jf#BL_ESbZOZE}{8|@w@f(Z{znYEH6ort^06iG|uJ5;SE7RqHp!IK!KcGbsDb_&DV*Mt($5@GYM2gYC^9Jzni4TE` z6ufiiTC_#j2h6!3F)>V%@k}Xr2hXG#gml=eRfVNbxx^ zv~nnkjU4_0oSX*^{}nxPbi}ath%r6?h`p5{pMFHy5jvbV6Z`PX;>cHCR@djud` zaBJ`G7a0GAL+tR*yFiE((LbM&#@k~8hK(Tbmr6Wj8DMwS2g}46y4dtH@Ni;_?Zl>g zK*K{7K5_H`vFQV7&oO%^NV{l^9kHoB=b{W_)3oU!YSWXVrbS~P0S}(@jBCk~zaKBL zDerEWdosMa0$R1)#d{v_ZSgMQd^wE3Sp5r|0Q)0RQnB3|XpeW}2XeS(lt?(~1^kv4 z4Xnu~@p~TlRzZjd@lSk%U%JIpD9#&6aZqO2!D#zBaN3;)5|^aXYONcht!WqD({UVh zBlA=7SUyv9Thy1)7wJ}k25>eWC^?@&lqaJUB3*zKcelVr zx)lIt&XhAp<~maRQHyjRf|h-6SEQpgi=^ZI;^zb7yiw;O-K1zgEJwPTKx-7*zXa)c zU#m$s5!y4RCyFp?M5J2)+&HoKRtCf!uEWxl7%!pWn6<4#iGwIa5j z4*cL-tqv}>o)+*2zzarEts}*uEw+9HIG4yE>__UY(lz6BHgJ0fFmCCj<6ZmjLjrWw zBGL^+PWTAzKSiCi7wMQkAB1!>LOOwqboT@PMvD|8-5ikO%@(*wH#^{O1Gh*=PbS4> zGg$_M8{XBwTn1r3QZJHjPH?*xDDi5Q+3s$%-# zFnNx2BSGsmw4W<$FVdZl_P0Pf&J+ZXNOvCKI`db;BHbv!b^fn#k&ZLQ34mM9y6DNI zxK$<#r8^gGw$32zM=GthYf3jgxcw3sS9H?-8||-$S3Mzbr~(GD-2q>SbPHtdMYjOPr-X}ucWaV5O-hhAWqB9e5ZiMeh7M-I*sxE{db|2CN0s}%&-o=6B<@RowOH? z@#zCRkr{Ree*zbc9S!)LEt(OHalWT>LM0&@rV;Z<^UhRbg~?d_M9=Lvit!;Hg@@mvJ?_OpJFJyO-;w;eJ>Y~jOEZ7+TeO^83tf@@9K{2y0pFrR zw~tElX9E~!0q3j5){;NZ28Q{7wMkJpe;)RI9PQUdowOG#oQ3w60S8yr1TLJM3Haa^ zZHpCN1AIseTr|MDm*)g-$uOi&iu~fYJpWHCERQxkZ*B4>BjXT6+`yhYvx$? zCg}M=P&^2A(q1gPFWR3E9QYEM!iAH+0KT?baM_6eE$9(z;D9sVG}kwX`6y`_WcA=r zXv3#B(HuWop4eKY;JsK9S(IWCaImhEf|;sle7_%3YzGdcy-2~Q!}z=~?ILiI;t0SO z1}6srx8y95f?4QU;1E4?q~kcgU&ixb@OBv5?4Lm>1+kS$u>?5S)=5Dx8mV5icO31+ zi~fd@?p{c-8#s{mBE@cKPbp4=6ap71b_HC|1sYpL{369Jil1IAGtbH3pMTGIrWEuj z`+B+9f{7a5 zXaUEV#CPTh2ThsHG78AaWtohF(fT)Nb9n}#)TGiX_4zR~PrAMfznB;Lgq?Dr&aazr^h;vOA+V>sg7 zuH7sj;o<{ak-*b!q@_i25iK>>gcutk?d)#lc2~fyrEHr%urMsLMkc3dp5p@Xi#&{L zT)-&wfmgxV{8+i&23oaD^>b+VVvjLssf*h!wXI$e0bELaB?!> zGpJ1^TZs?+8ys%iVr%h%e**ry7P$BTXJT)GZu1OuAUJ9D>Sh+g)%S2LxbfE)&I2dB zZ)AnYHTf5bn9VK$<~vdMEquTvIvec|299@tOW-2WKLK9=9Lnr~ctoOq0MD*1oQp)K z0;5k%m8gVrmc=RCCxr`D*@wdRttJLRb^2_{? zaOOs?E$$dOk_u^{M>xf@U7uHPa>030J3rhgD&(KSF9k4Za$3(@OgwQhW+2o^0V(q*xf-KF|UeDSkeVQs`HFDL#sD%WuB$ zs$2xcKY{bw+|mkvDe@xlk0EutEpWH0!yr;D2yX9afr}J)TSKKS%0|Ymvb;ieg{yNR zg(2(v`%>Veq0Z1sTG_;@xXbVVEU=W3(%Tu-#Y zb3LxA33vP#47|GwvSseV;@NOY>U*QjrjrBXW13CaI$d++Tc8_H6zQl zGivc@aKyXDWhN}}b1_%BI?i283W=2U;nk)MsS&Ape+6xAt<6%rp4)_Ruc-~ZtuVX+ z+EOpFEDdcO(V~rgfq~blr4|208;bzWGuI|uFz{}uPJH7+P+kMG=nLZ<^7Apovpb~T z2=HZ)H!!aNZjpLN!1q^9<=ZquYd659opB-B&?i0(h;379^2Y2dwx&&5?IQQ~sRWAQ zJ8%bzp(z*XxAx;afoEK;P(jd&jW9yGi;a3R-X4iFsb)^*T=d~=b|vRl%++|tA&^^M zL$x!Vg#h>WbK@#4n>5x!oX!p!@gV-m`M7>7uL*Xxa6s(J%n&kujvmNDDE_QxJGhOko>kDq~m-CjqoE6 zzXHVci9;0OfmWTkB`(B=M>8&3;KTy#fKQeK{|fN$TJoW^x3}ZQ&hf7)%TAIpg4CVv z&iG!B))fqM!-9Nb!{ke>G6ydGf?#cYRs zhe^NzejGJ!0*AbEF(5+79iKd*H$D&E;D=}@IA;cLbLQkjK1aJ=3tVKp2Jq%;9}w%R z5m$G2ZD}uFil<=`S(;j9?`COw2;SGCoKu$LfWh9>As#{q@5QF><#}n5h2w8;3lHKU zbE5sb3Kx$MS*At%ouV#`3yILvfE&-m1%ulCFjI|%EF%ODa8Z^if#J&xLM{lIxn*AZ zG1}q#A()rGgO>E{k7B*>ybye5M2q(d&r>35e$s+R^#4*kk03@X)%u@D@C4!cMPQgW zgOCg2EQ(O^Eu$qFjNeH)SoM5oj1A%KPTUD>EoEEH+csIQpgzxr)LctJZ~VyHIWBvA z6KRQWObT1m|3^g_8fqbYgBDwh&s+(+Y|^4n(f=io{US1GrNM;dO18_1D>0!L_nZ1dmngiU0V~o zaBYpPnx&fO^)nA4&sT%u27t(XfIMI3d}g|s1H~>Q-~*gh%pYM*iq8SgE0u(dj=04x z?*Kkr^}Jw|U+nTW;Opnuh2I?7Oxp`~k&)lvkz@O>mT{iU3Y76hV3;?9kPAZSV?5W# z{rSOi>@e{5M2lTSmPMeGxm$P>SzZR7)pKOI8MuGa(q3bHEM(zoEm+2nvOEb4i)9dU z@i-vNv9uMhbC@izLzdZLm*#zJBFiYiKL!R~=|*=Hk>zW^e~tb#X7-hQ^*6xrUX&1< z#y^pTU(s#CxL0kL^)t?+?}2lUeLQOvF8&1w$K_eT!!%Bo7r_I^-`O+XBwt+!IG(8v zdn1k~KwpdH=K=34Z+JHlxZsbx9Rcb1jb?#RL%dgQGnIjPBy_&QOkD8@gZ~x6WA#Y29lgBa$dHWe4wB58B4{X+b>I{}TG9F$U zi(EHjmc5Dl17vSrVF3~R$iusUV5<Z{;gt3p@vMvo&%I5`<`Udac5Ka^8je1?!#iL1^ir_M03FV9iw z;h3kjz=is|fES%9&ywkZ5)4C2^aJj!*XW=xi`Z)ZkgaN)7N#ze+lCQPswul}C2; zkNwPEJQp(i4uN#7c1KGT+8x&|(GGV+$Myo}S-eV(I!erzO|IC!-FC#(Y{On-*M|0~ z!sG2rZmh81rJB3GjPWP--3I5y4=G&Qq9w{wSO>TW@INCL;a;~O(qguQ_V06q0dq87ce_kB$rBH8I55I$4yjn{P z3&m^&>L?#0Q$3*Y|3$e)u3dpg+Xq=an-%<1Yv_buIk#xjknbwPuTee+b^lo+y#a0{*Rn?7)8k6ViQsk@Nax#63~d~bd@KA>vOSS+KLMwFL&PI-G?UQ~ zZ_4O7LFJ{6Oh3HC@O^~~W@5p57dW{CaO!4olw9<~XPSAh2b$nV?*0IZt)5o2x=1cx z!fzOCdM>nrw`@h*ode#!iTLpCqi7=;sV{}p^W}`le3$Le7P#;%PmO`4tTcV~7b+v~ zJ+ali(?M4>M;P(}S7Jk}EW8U-qJ~>39EI5Le*Ac^xm-^dS=Pa7Z7a_r3wfTrMbFe7 z-!qEqi7m1S9N(hu;nRw-QT!7)&jp$=?lon^yMIEnPG%i9w0JLNY|qAJGF}ZC|Bl(h zBfw+!`V@M@Te%~xSKQ3%LB!Pdfb)K9;X`=lSH(AKk!3)vzO+P^bySvzqOXc9AGX;G zc=}+k%h7sc*#C5FO_tvQ=LyQ&B~ccMT)bzq=jaxmMV5WQ^EL{>@JSOz2}({PK@oU>BoP#4 z#(*Fy1|F!OwKYk!{+>;i`n=h^@o-3IWk!~D4tu>+14C>GeGBAQD@_4^Nrj3`nP_aO%wT~u#)V( z4?kU0-Yxr9(vH@Z&X>ynyiaZ=tX}w^?9115Hb37XomXg~&lO$YdyYKdWcZ&Cu#w*v zKHTUlSeJ|1i`Tr5QzyyB>P=ts#*;MeuUga1Y~(9ZUyhZ)-%Vy(Q@>^YJvk{&7+85F-nJt%yu5b7hPWAfQO6_i& z7W{d_S6Gg!K4e^ae6LZDYfsX~4~XaQ)PM6mOYifqla1TfbTb=S<9(~>KeM@-bQ=k( zUL$-sD0fxgUiW|hcr<8U_i5?2mUQDQV6NM>lBNIJQk0_NlkU@{o4)gy(9QoRivHZ# z#+M88K%-x+aZ29~3i#`i@#|R8E8ZMc{;%62pEUZhLAD$s^eKv^nPH5uSo*Q%y%$Cu zOs4sHOV!05Ew-E_TfAQ8ggP)=?wL>iKTQaV2&(fN1Md9RvoHn;h#bla>(H{HG} z`UP&Ic$M+rr*qEF{bS-VKjTa82Rvq2?>$4d?5+CWD#W?@`83gwY0>#z(pmqNO67aK zeUq3uO|fxY3w}T0!B#0}%e=)u-v;JMz0I>MW}YmasTX8zA9OQYo~QA}?|1G>;(4a% zw}B5>N?E#UN8F3YokApI#}B>TVA5} z{`W}VGtuo->Go04?S8JZ3H zaQH6D1(Ci)qMN?EHhOBLKRcmsB>IP1VvPTH6WEr!T#U(w^H4AA3C-_~)BBgJWXt=b z4wr|1#_Hut(T|SwuP6Nv^Vko!==>h(d}IsV|36P@$`tjnYs;2D$(FN&U#(tVEZsg( z)4^;xLb_q&1?5;~?c(Rc^G5hrMYpvpqw9YRjE>d#7=5gBz06yTF{l5sY&k3$`#!9( ze!ZxJ&2`L{Un(M@ z(~qIW_kE;_be?hZ3u|!hy<>%czPP?oe10Bk$0Fep(RVZr3tQ9UT>@6>))mrvN?VDg zy*y7GcrMB4=JTj;iN1+A$P=QGzAO&<#c#2Bsk6Q1xR;Q#M4wsG&6dkVKeUW!IM~zJ zk7zxOvnttBNSzlIjU&4Q(m@g58!Vvezd~!=WVKL-+OYUwQOqixg!I5T8MXS{8eeLXQID0^mY-c>`#i`G0`8EEguNw%%6)XO8HI4NT9Jsx>6!>vtYR)FP7E#dDGGx zaM%Ch5d9^!m@!R$A+OF1TzkFrY25|FZ=FPd*ZBF88}Dn1z_|BQaljTkV{bM4GHG#C zjcyvgTl5t~e@UsK(Z4E*U$puv;L_{u)VK0!PtAlcmTuvEk2r5vv(Y%8BMtda*OUv4 zYj0?56Z9~hu^R7fUFv3d=*Nn~aq2ycVw&8pp75-iMAeYej*@s@jc%IorBJ(jcRo-) z8FK1>LB}T}vCyd(in#BlcP{8~-dm*aFSs!SFo& zf2&%-dqc0xRkLxXMxUqFG4(r~)OG||FUeBV+#xK_Ysb3ZCtsLTbgu~uvMW=S& zE)CJt`Sfd2&FkVlps=LROOrKJ@(t=gH&Tz}9M)33yjBuT8c03oQbH5n@WHS4T+e*_ z5zzyn+*K0qE^Q~Ko+XL#J)pv*LD83d3oN$Y$i|%`#gpg6{0d+H%%Ifm3!;BDdg=S2 zrJD{P7X3BROQwd=uM+=FYdTyk%2&hz{~OYxmp3J->AfY<=;mkM#CWIZb|1hMG(5e9{ua?sZlUikx@qkD z%Ky?ed9SJdtehJ0wqhk|6X{Ish~Nvem-!NJmi(mjkCjHIF?4-kFPGI~vC+TeSoGxqHh%9+lKPC)06ml~oo zv$A7L3OYlfZGO0+^E;%&97EQ0E-DVa--ReKooQKzQ!nCU{fX&(xae-R<-`5_ol-+| zeyt>ax1^vm6#D;TCCmO}lll1q^>uYwln<$3=Aa`srR~+qc<+>VgkK< zR_nijj~;0=F=G(>jl(q`W8FRym06r`ppok>E%?)gudp0d-mtIZ^?E-H@<#&f4(W4C zP4g9{Id8q+AkBXn>@gePEI;$Rl6&QoP&D2#eN6q5o%`N?pQ5v3&)ENH@GJZ-V+ZlE zw-$Q6_ehS9q1%gYE1}M3&v3w79M!kPAejeVF8YV0kJ@q(fZ_Y#=a_b)%o1@sVa_cn zl!KyAo{yd;s=Y(|g>g!6EjsT8aQw|s!dlQr2Uz!ZS;seFjL!%~ds~RlOVkq_AQ{gI znwd8?79E)Z6n17E>o%v?=w{tpMCbVp{i}W5Vtqx(mxScb&A!d`QepT8`gx+-Ov-H8 zO!WA_nR;Ijyv>%k46ucw6tsn+Pt%WHDysS)l{9VtTl90p?FS8Q zKQTaCo>^=mZH>=}xa+@}h|i0p?MEfU=%($OqJP6Q2{`{9{p&@yxQyi33W%%O(x-}( z?PQ()BXc2~wpq$vEzA!~3f4iN>tvc?DZ^inD<^SZWlDH>whXy)y3|zZU3)a0|n3GBh<)FMn zTInz*`_9{^2h4nS6aKBT!U~Lp>^0(ZmN;AFK(ad8M)V76+L{$xiGE>?ZdPn5dNr#j z0zV^7d@f~go0$J;S+tR*<-4Fl-2GAGvi(ZjsTPgLs<&T6EzzmoH$I~FSfBf;T`Mv7 zdsf6hKgh5#^s2t-twxQCH%HZ6#pjvYx@<)r_z#$PnXjo(U>)kU#&F#K_)D9pQl zCQm&x#Hfug%c|_vLe42;&ulzgw(~~F10~(O$~~90z?W##N>B)-9v_`Qm?w==>f##s5vCxt;sIvT=(VpOFB#NPKQE9~M?(6?u=@ znqKKH_>F>V{xn(rSk(N_!6Vj7KUH*ADJd-y-?rF|gHSBJ@0{}hLN%X%@1OA8OGN>v}_8qN-7MgnB9$iLF$P;vgdv{*4{ z!JA6IQH(K*`?IKa*KD!+c$M|LmG9}A>vnKoJF*@_0*fu>rBfu|?)6NE8R7$P6tWf) z@uFWU+ifj@5tjR)8P+QZJxi2v={8bQ@BtKNZjXp#I%Yj8`d?Z+`DF1_u_RU6@_Q@1 zm5y0|6Q2jgTlEm>hW{&aqZP)?`ol$K1-euMtfm$b{Q>Dyjag{2cxemPJuIG2Dk+qM z!pseumkKpxeddFr&y11z@gU72bIZQEsN#WNvGGQq@fisU@(rs$sXX;ybhGY0(W9TE zA!glUqCd_wjC8Z^pQ7`m!DdJF_O~m-pHbR^b@vLhR7s&66y|bI7M1@I>?884mCvvT_RDLS@##wUthD1 z|1$lY(iW_{OPFO#3gw{e9qY(Ao*}9h z%@(VXqs76;X#2&v$@-P>@%ODY9d1$&DFup1RA%@&>XR!PM^zv0zgyvZ*?vEDgZR&p zuLRUaU$L$@Gr~SsmY*89S+B)^PxyF?<_Al2#;^0W*?-hCP54%MeC8sqB%>do*1Bbw4hlLN=c^_0&80_SVR3k-YGJonn)@M_ z_)g*Zk6a)v8K1L+kH31Z(N7*woAqD&s!vhk*S;@K?vZ$(J%i&8;>a##5r zxiCmRJ$KP>B6Q6){HEx>X80rZBHlK?CHgMnY;$}#S9Ql( zl`2iZ3su;))1(FSvGpa*ez#&AuGdVD6}+n@?F|8Kk$;FJ^1R@Q!ZR0eiQgB!lEzUb z5kA%vLjRyjQ9FFClym36*Nuq7mr0_{OYG|R72#jDwqt}Chi_C1TD3OoFwL)1@3ERB z{%_gFjm~p~bwvNL==X(kM(5WDk#Wo_&DX58*&j$^d}h(JuYg#aXNX?EvoJb$7CBAEEe;F2{zOF}zT#|~5GPhU7s?jm%4l3Q&gYAMv}}j+^AJDw zyy+~_{}3a5->?=s0;G4I=qCtY-AfxEzPr0j=@VMj-O~9eA&o0#|0!y(_eCM8<;|s? z$`-E4S4F>D689|E=Z*81ML#}jcuCOE=wA|jsZt`GiPHLaM@=H%9bK&@9)2SFhsF8( z;%ZT7HeM7tn|5%%Pn_}U>@sq!-{2dD+eh8(`?%)49YyC^jQ+=CL3y{4@ASS>bbId% zx=Gw#5?4~x>#TrkJ(btvcA*|kBL2sF+Xk9p*+b`Um*W>D^>~Kpdqw&if;Q&8%@vtD z*L-4{oFSj=YxKZN|H)O96C-lzz`?xwCUMA((RiEReP0&rG0yjDR(yJm^RD8Ijf;!x z=L6C>AbUS*+}%NUW`u9{BqHoPrlwPJnQz=IxW9$cCX?oe>M$A`r#ua z(Y{e*-abkku2oD{?^l~GZxDTnmiEhY;rf%}hhyZJH1@_BiK}aSU1_65&WFW$zvyi% zV>TWqUp*+i(b$_NOUR=Ah3C%5t{oe_${Fu{x{W7_Gd+OOxN00eD?0Oaoe4{_TKJ=| z#P&PH<4hep{siHfZ?Njhe8FS;9?_p8pQz^(jWk!bGm~LlIx|qs_O*p1hIbcd{NNl; z5q-7VczvCE{%wV*?gxwm|Hbbl)k|gd?n%GLTVHPV5sD>bT^eX;HNvjPmy_=khl|VJ z#yAsWdr0C2(#`H-%>NsRewXl+y*iXJ`nIC8CLl7K(&MEGbNLqp-$OBfULa(zC1!NF z@vRcmkZ+ZY)cQBpD?EDb>jZG`^^Qdc*;vsmmOduBt)BOKFAmz6jr93=m9nz}-E28p zboz?wYlcSut$g)o(W`d!B*oapwJ0@-n~09Li5ZoZ1mkd=IImE{8-1?uw$_6#B%^O8 zy8VX4y~^)iw-!fxV-%#>@@a9dKN~d;JR9W;vhrmCy4PDw6!)G{jDzq6vjS-E4&E?Z zwh`wYM6dpzjd4Cj-mth2+NzvnD6x8aVl5K(j`d};axf;5Z&WTT&NjP;ZW0fc#2s6_ zbe1%~OL(5PfOd%o2!DOe#?^&nP8C35XA(ao-LRcETX;6^e&*ZJcu7z;r6)!&Eg?_* zUB|8)cN3?UD3BxUdb^6-#x<)ijaDC|7H4&9)^Ydx(VBI};Sfowp4-Bc)v!xyvaAJd zC|S4H=vE^$MSqRxyfOWe#JcMx5v#e6wrDc^&qcq}_!P+N^;QrHq@7iJV&i{m63w3{ z2>ZgC)wf5hZ&V!}8A_Pdvn7ju{!Gzt2>vu3wo(j49ilj+FDK4F5T0*A>=!gLI^+0A zt)@nF)pb5J#H}$pM#wdy&g%ubd5_ked!BoR+0wH&pG+cmd2?zut}7d9-3N)Q-LaUB z9};qAlxR8_huei^w!^*5V&S^c8C7qnab887Um*ngayREu_dOxMCgf3NFJ0;ODbe{a zC!Y^qF*-3uPl!MGK9a}zt)gFClek%|ud1#~&5PxuE#g^to1H6eKd5n7D{@#|HEU(f z8-G)8mzblFWLqO?gV#=dy6~8U5f^S zyE{c%w79!_DNajpcYX8u{+{RkGs(@~-Muq&&dk}}i)?GG3DO_vhm-ysuix00jH0&5 z`Vr|$t;><A{_iL*=tLTXc7qaN8ZO9Z-_yTX~w?hgBGBn^aiZ{}LDW z7juNJn9)M8(*D=FuUecM&KKaOlg3%i;%KInZo5Ua^hv|dVv#03^7KF3iKX%cHfy$7 zT8IX;l`1xy2zM46)RG;w{Hnr1k(qBW;o8I3XY>R9Y!ibrj~}}Ix7$K?>uh~vIubp{ zxV=p?>?rvEkosIyoc8OCE9}g3-+lI(K1p6XKvy2P_ZYg{_GC0J%)6VZmYEtqj*T>tGA7e;anVDzO25V?@KFrn z^H<1F#J6lms{<-aAGpG@YnSz;>^?YCIe>MKRnW)N%QGAQV&5)ZL{P&42utDR)srX;56@?)4KTiGX{Tbs8={|rN4#a#R) z??*a`O@*0f1+d=}5UHJf%5^-EB7b6>_F8`(_tWT~(U+?SRB2@f=XE4lZ;elNf?wP0 zZsUa;lsc-;deEPqqC;L?2W8VQK`i2Ff05ygtQT@$Sr}WxY+o_f+Nf(i&XBex*>ll z(*cgrDH+@QtH6Yk#HTJ8SVa@rTT|dhj(!kxVPCKa(V2|}T+j1NJbt)uf`y!caL05U zi2YEUmEdmms%^DdZTY3*VF!u|ZKJmlGQlA=@}&LuKPKh3lq51b47e-dQ7Al6KM0FQ z!1<2R)eh?|0fD2_@ia4eG`!!Fw?)^la#^rbi0r+e{iU|ezn~WaDgNH|k9jvbg$umw z69Gjjx7gE1i$tef`YDhJcz*lJwY{iW?p7WwRIBKJbg|*JB5NV<@N0OYH7}^rLzH$;W$&=@XE5((OzK z2Dg4F-b>ibrI{mz2;(Dc>ooi)gy+7ZV$gG)ESltrW^=nK|4r-0lS*hZDSm+AMS}Iu z0^jo{S!3EI>#UO$cE_sC*yq=q0S0x>*;d?r=p*+#7*+7F5s4dY^EZBf|7)jx?Zc+XApLm;wQf7rETbLnB|bzhMfAzTkId=Y>bvjWMHOckRkk;&J9~agBIygw zc3#u+h2lR8#=76&obfB+zy6}J%qd_0@mlM_+cBp2Yj#jmz79k6DdQQ1*L_UN(jVQc z=Vv{7Uyw{smUt$NW(-mt6}l=Em$S;lgh3 zv6^fZe9>B)y0B{j>?~Mqwis=Y(}l>F6k4o* zHtuH5(HrrLZCSA6BTC8sr77}{;k%CIn@U@I1t(^gWwbm8ejUzbbbnc3z0>*9nd}d; zaHa(?d3IAuibMXz=oEa8-lgV;yp$elW}hXq#MIxWbNo)kY6-Vs`f82nw~0gKMdakd z%PtMfmzX6ry1hBZn7<~>yNMG$%XS|SS_I1(xIS#cn~|(TTWCh)uiw^1PDbolv={|d zQGSRVs&ysWl&r<*m!1m>rud$T-L{J^4PMw%634sOl;G#D>YV5ZzE_3dd)5KdsVoYT zAC=0&;0wEquyu%j=kEhQ`ptmATcMhgVS9;V)oqiijpzbL-9mCWmNeXJ4z^{}V0!7- zpROmF!5sGRmuuR*l{ofmiYjCQoXP$z+COHO(=kSa+&arUc-I1*LUBuci$Xj0P1*fX zC%9QV*39Sf3=WXtCJ&%{)R=d5KuyA|e_b z*j`FKo8d0lvlnc!f^!?31Y`_(@Er6b!`WD$*i{>Wv_1`~_4aTfp6Sg6nn|;by98;_ zxoE{~@;BXrk19U7yY+ZC4NMxNq?g ztY(}BZF-HUH)JT~=Buu)Z%JC7z+xO)e)}lN{18-F_FlDVeS_{zHF)pGeDYNr1YIC} zQ;*v~TRMTa3j2WF`~8b)&(u2Z>^H4?Q<8xr)3o%SA!qyYG6Gb@i@#4tjB-?S zOEGb%drT_K_nb$LiL!CtXg}vde}kD~;|@O6dSo;b^+^+qa$L@2*Ji9Jz%37nj z^q@=Jq6J6K zE1~ayQmqrBv_mWzoKv9aG8%T<^%U$cO5#2Y{#PO66OGETn)ewD;lC>mipuM>ETEGL z*ebDAvf2K4KrPW%WE4u>of=1`^ms9w0R-ii!?^6P@EVhyH1#l&V^QMeOAvC4zHOrSO*0Z z|IC_Q;2Wnd@8hDfsLvEBH>$bkngK2Es}Gm1FF;D?Kh-S0Ha`f4RrKFl$1n;#^4r%5 z$jr`N_R1FX6^S0>_i6y=^`WR9=cjYBevNiTe1{vGTph~eW&Y5j{aMHDMVuz0CdExz zNcKJ~pwv5?OdsB0RH|G-rEGBm-kFqzH@c_ssa0v{)ZE9P-qx;(h9(p#D~;x-#!nq) zf1X7&@8%^ZZ1iWg0cSsRYc3$lPMVC`u2uXRH(_7ngoFF=eX5y$wXtSp>fAivJm!ly zes1}8iKbsv5uXR;QIbW>%}7Tif6Ah3bK0TRLr8H?_{`;7@2isePpSJ<1D`%&Fmh;x zNm^4QOvGii*hMizpnSI~*Z#(fgylk;^=bCvgRta@X%LTkYLds^Et(hjD6>R{^V#~N684ZQN0U2{3D_22q1?w2UCB04el znRQUR^IJciy4&Iy=~a2IPaHf)&fDiTWM9CMjDrX&R7w8XHf`&3k%+D%m_@h?s)icL zF(3CtF_zbN7_4~{J6-Qkh_V2>4EeLhn$UCmJy%SeqyB0K8pl~v_={pRzj^nw?hPhx z(oiUFC*Rv&PGnvgUCxH9@Urcs#5dKet}0+$W&Qi~t+LNaHYd=)1OZw%DQ|=1dLV^b zKT77pqw7nfvTm^RtKC&H7g{safgk)BdLJ3;CDintgx&g>Ig;BeL98w->3ST%K_dDK@m#8-afLHJ zn&JlWOfu*(+s!Fk>JVQ+I%^qnYhZHNHWHMEhthOz(s{G#8tG9!XuWM*mEa$uP~Hsub+ zpfnXy01h5$vK4X72E#jsLd}Prtf2SD*#CF8alm)=4kLYZ$SWnvAk#T6iEsRL{qq#g z9L0seM$$tJr{hUy_Vb4JN0R zaR7J|Y)?styc--7F$eIE$yfR&rl7$GQ5`SBg<8pkE|^HHi`AKoN~0_mIqJ)0ywT}vI6%59;MTUBU!rLZsT%hqNkS}KZ-{L>YUL0b)|8&BX z$3wXdo@^DASl`K%mE!Vk;X2O(el6csnl}s@X z#OAgN?GhVJt!*EclPOT(z%up|675M(`IUiGnGQ~>OrPfrWGu~udQ;#pq6@4-WWyO} zhV^bvs8fjX*L0WVEByAsIRR3n3T!?10aP;4AtrsFfd%UTrYH~+#6*Z38=b$0a-(?; z0Fl%*qjFB;O;D?7U$+(OzWrLMdRKT1_)*%d(gm2Smq&w`9Ojk4wix##=8*ie_&Qr= z#sQWUVuP(JdDgQ|kPTFsuq>bu-GBGOY{M;=k`fwO#7IIIpfKIaEvN?3ngcZ6y&am( zfau)JF9Y9x7JdlV;Hf{|juj=HRp`1L%Vxyja|}6g5m1D2k1|7M0>CQlbW5xxgEh^T%E11m$S_axqZ9b*O=|$imI0{{XaX;H_JbInA(*o9TD61 z7WnTUha!@NJwvljy}?eQ^#@f9!6RzrHeX zp)NWEO!#HR$|G1Olx#;YgJRIlQKB4JJuS)%oCX<*8yEiUo~F7WgqI*1cVUu3D8#;4;RwLB8$4O(3Cb4oI_vbzufSN zl(hY3BR;rlf8U=V{e9SErEnSy>n)3SMPA&acFGu844+sd(Pk$@YbQw{+gG-liP3oR zZw8WZdo~vY9L_GYC8Q3R;DOvwk0@J8k)X^Jl2l%V8}E!)s^0?eMC>PPe-=8RWT?DD{r0cc9D1l-5^ zTF5wvaWet{W+g3NE`3iiJ-Qp(&inRb)%`7_= z%x8p6;yCr?*29=nOXdJ;^gz^mm2kQDAX{X4)K=s&OS_}e`( z3*YRXm=iSfD<7;O%7BU;7`4Z}+UJRwW+l2ucZnJ2m-y226t=AnDSI6*B{g(IE3KaH zb>X}%%!wq4+h+aO)CyFvTAAeG)VH=z6p(!hnDI`+VZz@3FK~R5=(aYez@g?{J+*Ks zuAG>ioL$N0YA#&SOKDf^&rr77V?H5LeEHXU$eb>#HjB~UWdPcs;WFvo^kL|Y3)|*0 z`NbK!33>CV|t> zc<<=Trpo8J(B+W7_0sTjxb;B=$iLUDqp=|@Hy3ej^L!}FG0FE zNnk$ba5ThNHTQX^mPms3j-p z!h}u5ew~bI&N|hNsgl5OkA(>&95HQ+$3V_NmSuMH;0H&@i&QyV9LT}m{xm(g#02R9%*mCc?#I%3K?~?buFOp@g z+uNgY?ki7NrzM-h24ey>S;y%29-U+-Fi9rd2EFd$BE@!s8ox?yd)nNUZl+$gDddRNLF|qO)%z*U7)Y3*ptT@&#GU_8?pd@YS@ zX|ACob56CWJ}N(VYA*wM&`&QGF?ITHvIW3MU(}gYdr5X>a9t##LhzOjl>ZIUna&%Y z!=}Cl=IUF!##8gT#-UQPWGs%;J>?Fc88?CR|9yHeb(YQU!U%NcVmx$JK7B@J`w#al z89K*)Rc&*+#zSm)qj!GgAEq-7if9U~+H+SH>5730^Zm_vP)IVLqb;4#WX$sfB}((d z|IOrs2w$PcHM#yFoBgiquQ^$2IrP{vNA}#iFvZQmcyuBsAXiQ>j zOdhE!-4B$N4xEj*C{vi;QMZ}hUJ?C{@3?Wtpx$$?^fyAW*axcO3V zALE3&OFOb+RqqdaLjyS2>Qq|y4N@@%`E*x&dCV_u@nb;G84cOLfA^~Hg|TA#WM5tX zp#@)V&n;bof4={CLY|>qwoFaN4KY&Jj|OJ=p-iDCbOafR9^JY6g#> zgYANpOD7ZgYV-O5nwJLkF>)`ZJ{0RP=3s)aR5A3(E>Nqjw#S~2i3SkX=byy^R_Suj zTFa%%I&VM9QS6rgCT#n4>oz(2jHLIlBF_EC_JP*+eo7{qvuo)xcW?ALNKLFL$PWoH z)3>Qe7ix<&xw6@+9=#4}07YB8)RrN`Ib)xLa8){3tf)dVq@xn+#U$8;j|)m>~q@7l=*L} zaWchNdSu2`1^{M68FO+>k!p&D;p1}%o;Xp+u0jbjs=>>|)+e{Jjf}9Udlf|>3l4U# zx$vT5r;9@tS?AAg$H}Z&l0Q~MWOa@lgLla#z!f?F)r%6~vY>hW#7x!L`@v0oW@QoP){6ryZRCAug2Z6D@u+PK2uaV*)m#Oul{; zx80(cbyJ$LZAll*6<2ms4{LXsmRFaKBP`ZyBTN+eOh{}y8c}nj(dfE?-^@o6cS75FB27n~jUL7+h?UU! z!);d!Hbu&tzE4pWY#s!&CrI^v-oXVCWbxK$*buSA?lddx6XQ%KeHnC*4(G$To}?$^ zk}1YYnl3)vVp~+tdfzE`erfXusp*f=pQMY7>lJI3fxASH=y1W$^A};qZ*kyQ*PgnP z3I&XQNHW21vfWG6{0pWx27I6^rsevev4k(t5nBJ5c<(~RC;ztajU~KLK=87%6-5dr z1?<&;v%m9>qTm*q?NVt*d(5Kue#GUHb51J(+9bI}xlsobJAw|R^VNbNN(lu7hf+Q@ zs|j!MGQhCtp~8&}&YR5$h6Y%MP>JOlm$pq6a9RmcKSr(!atl@N^%X>D5Hr z9-T&(>^4dhnp<`0k~FNbpJd6Udf*4G=fPU8a4O5Fn%=hTp~5mQ!XcZ>Gkl5`Yg2AT zvazn{o=`o1;qm-Lbx1uQPDRRM_#Li7G*C0>%TmudHC%MN2jW zWqkO?*k}`=Qy*O6>Cg(y$o|V1ENp~?+Pj20qWZ4VOttQ`1O<9t^lk1^_7dkKD$Va? z1Qka~YFw_4KZ5WxX*K6GoGDnLy58ypHXXg9*@t=3XZX z1e#kJs(Ub*=$6Qh*~`jjiyfIgav?6N?TaimvK({nspee#FMZ09bwU?&L-#xe4SM2c zCtYJ@&GOa$q9lI3sL)Rxy}T7_f$o))CR8!Q)fF*(i6zoDk;5*-jiVRt8bh8g&|UNI z+yJCWHCT{ZE+Qz>ZYHJAw)gHUS-4z`M5OpruoMy0HEjKgLy(RPO(};$lU?&t~>1As)_}pj>G^KXQh#su%eEoE3l*EhPRw$~gxp@809y zofF^Vp%Cu@lz&_yu8Y#v6)CRT!x+CBUH?2V_#j5DX-#5s`B?^Q52RuXb13RV3z=Ps zqul2Is=kjgk%`M4-NBJ803{Z44i+q^3UGqUdIn9+nJO2yL1uegzx@io{|5C)%Nz!% zI9}q-eFujMjvLHwct`b$7yV}Qct6e7r~~=0H$EA!hi!km7UHfG;8?rva&Bt*L>KX8 zN{hW~{}roFNNdW)Q<2b(S@7+eii5G0mm)P^lz)%>`9qPT)AlgS$uH_s_>|B;#G67* zfB1!kj}>cut|gF2cSUVgfn=GWZq{r|e4`cbW$Cp_8VuTi|6_0o1!W`I>x@WC61iK| z2O+zQt#d6Fg?{)mJYs2)fy#w#%jf}xD0x3Q1`ugQGXB_btp1c>6H=HzN7)Hm0SjUQ z)UgpH>f-#u#Z@{TbU16?xlkm2vOu4rIbRL@8ci^w`}vV&%RKl=&8Q1NGN!5byIyF> z4P|)N{BL4b&W?NWIzYL`h3!5A+|*7@s_HDx`%DO6mRRUiQUgtz)qIIg9!Z;Lp+_oW;VOl zKf6!@g>TF!z7;!6*ZwNlJa&g9O~1|TzYZ2=ibP|1%P39v%^$fp12Y4WzCzRaXQ3@n zLWef^gr#bZtAtj#%@=d&Vg@p+4%hcz!N|%{Xzw}kZ-`HGzhj0 zG0Ox_z{0^{M2G1T3VD2QL3d3l3<05g1OyPc+!K1S^f!w$>FyIvgm0c|#t_z7Myo0I zQY|&Zx-=x)eK`gJ_8w{Z7Lv4V%SO|$7KtJB<8(>C#koFRv1IZh74%sDwMJ&*rZuC0 zFSd%*%Eh`{f~+U%(pw3ZDNJ>;PHPJ`qllU6*?NWP!P2thr-NOLRX9v!xU@tYtsO^O zGCsH=mrIlRcWAM5Rl2o}11H#-)A73Dt%jdWFk}?_t)?4vo2ScR%89IBIyV<*ZTTUp z6Zph_avZ+K3R0+2PttgKgw7Df#sIO)T>**K_SjH2|`@Q#!Pa$Ml zBXmuzF&4WGd(JtI8j-3RnFHD1ndYa(xdSb5A(Cm$jBPcJmMohuT}lFg;;$N&U# z_J1zc&{B{5)tlQh9c+-~Cq`Be!jyJ)thS|aeUw311J&_gekQJe%Fh3lK8l@O8^p5n z#CCtUeMMHqNAu~V8RWty=0~8Ak^iF$bZfiSZ5&Ic{Q7yW;y3jzzpNvj^)bbaKW(<4 z=NCN`Q8iYFYC3jht81*f*R5*Brh(mwd+$EGL}XR>7WZ9|VpS>ZFK{BZ?6udn%Yh!k zvQf9STB-{BM9KWrswhZnnw~&;~8ju`&yx380m`@SZgz+biE*Z|-W$j#LRnV#N zuz*x=dhpYSNVHrbIn{iHZf-*>sl*$gAtZ86)*}!;bbVlrKtB})r*H!wWi{le2fk|7QSZ9!y-e9`re8#X)!tSP;kEk_MvtJ+D_OY z+B0xXBuU__K~33FQcacs9R!TH%J;)i1dddel#nw>1hMvTTE(=*1RwyMt!aru)>_s%wbi zfVT3+CBbraw2>^1J5WQ9x!O=ZF}B+pChfG`_FM|Qb$1Iq*ck7bd~gNbN9^B`lrMDy z(BGu+V)2cUmdcdYGeT`m;zX8JV~9gU9Jkb_#;Pti{FMwkzAQ!p9)1VeU0anQ%;x{Jnx{^LANJ7V+I z1@=hb{DZ|oZue(F)8KLOmN2psW0E2UK5Jeb-Pcjb7|QDp|5bQrCUQBUxiG5qXe zfCmKO?H5S`LFVuIeb-kpDdBG-6oH6L%}{BCKgc3}YXjvvYSQ_$vW`cPKPSGuG7Pa3 zEtp(hM3*UMJq~LO^J7X9t~|mC#t4=RXkyVijSvMsM2TZvt+9rif>Q$uutA{QD_@1$ z%CCKx$|l0%gJHOcDym@k46_O%qfhmqUDcTknZj)~NGubA$~;ugTlgo$#JVb}GG7Sj z4hxYakY|Sr)>{OJ*QsZjUwgGua@+cj2q|XI$~qbS5`u@mi6dAN!-aK; zpoC9s%0y+Wo8%n&?jIJFN1?(kal2TP(gO)tWq1vC=(?TpwQwscmDYbWXF$a*A$ zpvte5&tS{ZVk4#(c@0~K7f;JCL>VCw}z*@}j7qWT?h)0s-UGEoj) zQAsz+Qc=UER4;82avSF{K8|zI*fmx;iaHaj1B&}`gb-@1NcrkTGGAf-mvLup-9JuJ zo}F^_s(<()PT9~oXJ%A)qhs2g8iLxWDg>i1@^N$+s-#p^2Q_26_V~vWwDAXCoD&$D zX57oBg%WUK7nc1KSI(Q1bv{N-xsn5YV{d>V#05V>IbeQ^z+;)dYZqn)vilLmtZWyi z0G<+(n+t5JkKY|(7_L2e;UuD^F!UrthrLcQrWl*w=62zB=@> zJ!RW;(Nn%HYoA)rPEWVX-TErFVnzinu@E))ZU70Kr%K_sm1_D_KzxV-7 z%-Ga_+`Z!{d?Z^fAMx@Sx-C6|wPIa_bhZhgb!m2+;K8bX7+`nbk8oaV*60Czd@jiT zug<6cGQ~r-F;f+=(P`f%wu=im`>5NI$eU(fzpfyAqt+-6?LtD7JleUTW0)b&JZvP0 z2bb?Ax0)q3@B2v~orwk%iyA+~R5b~fRv}1ewiSSIv1P&dEP@EsYC#TG)xccg%=bGH*`B$o z|0UG^ZqQ^J(6UbVBbJ=w-n)^T%mU??_+Iz<0TvmPJRL$1y7Q1bbd4H3F?t|GFN3ik zj0ia?0!U_cjS7fOdOq+7UUucY1UPTnUzP)V=a+nhNb{1v3XpImM`S_#V5Fu~oKC^A3)Wlip3$`P%Kup^xWa~R9BF$O55qNSlt1Wz zW#R{cb1(!&sHNz5Tzq>~DoZS_RAWnAS=N~u?|RoGgB0|wSES;<2ineu&bM~)hWoax zm4I=Y$rC3Lo)uW9PGJ*5xfz+JzDt6qJEiQI4@wss+#T`gEh0($S_1jqDwH?TO=i2#KII0oT-&ZOez=59u zp6Cwe3}I%%w-}pNjNWv6Tzu0NBU=`gvK;Qq4|@7)m8abA|k-LBV-mjQ$_Os%;7#O z@DdjmXj4w0$@-m)8?TF&pUIORj4OhbOYkY-6T#PD@(4*6Ws{uvtrbkeOvyUYQ`cK; zgD-cLHJ9=^r!WjJMktuC zw;k~-ai?E_$heQ7PUadAu)U6mZ&FtUgQiNG*mO4l&W!3g6StxBkgu{~@LdAOHH*>7 z0O+0Ps)+k!g`2cXgEuRKC{!8m3d&+%s4~St%h{#?px>W*kperMl=6*PV+Ev;M+k)I zV-U!>>k%E26^|(9`JKUdV5gVt^%`4x8NS!MNKVqiqwU5+DuvU#-f|@K3kZD?FS#G1cUQ+H z$#sSX63C-Ts??bXEM7Dz3qa-L=0BHj0{n9lePp^W7X_U-rWLcUP&D0K$r z^F!XUvvB;xfO=l7b9D<0Q=d+{B_o1lrc!VA6sXPXn4*P$t0^JoH6;ZShzwx!vOWsX z>}Fg=d~!o2V1kXnXx;&+xJ7=lWdVZRTpQdXF!IWQbJZaPQn)wo_#tJAzkSU<(%QWH zSrX({$4HD2p}3OR;TUv-+}xa$+;BSFsyOCbIw-;)o-Qu|or!;;FWDGn*qE4wFYOfK zL_-CUpx6LWh?U;bm$@#>@rXPW`W1AgGEKHi257X9ghob1$);pQzpY;`xyUMU=I=J0 zuv?WJN&$sDqqLGUS-Xdbe%ju9jKN|k_=c=(0b)KP_{%F~TWFHMU_WLm zf-?m7h4}LZCZf2clGG!8gjg?NpI`SCp_G>2^F03rnvs_OO4U4k3lP(QD0)aW|FAxu zaLdRo_2Qso#r`zhY-0GIE{ld$VEx}u1K{z=S;WaGj7z#7L`@{id^3)KzA}5w_10qw z)SCk(zsL_kqAC{-P*usvc2vec-mU~tvDuQdlYbanhPg-kA8Zh4&@B|aJfIC`Sk%R5 zXK~n!!T6qOGJC6HS&pHTCZKSSUNH0DLXWDr+u$e)dSyx(9E5YI338QqK3P5CY~jnGj`3!U$e2G9f(#hHTU# zh^v#Vu@ew}_kIRx~QX2V5JnDTmcwo9`hT>BKBY_k0z$}ID=>H%o5`=z22rriV zYKt55mdPy+b%Wj!*V<>2pLUUZqJ7kpTdMlh?&!Z&3c9KE#kgtSOjAQmvkTn#fr%@a z*6i>sv7#a{XQ$4_=W)~SPAs>eio8OC84+<_MVknNX1e_CUUF8BSkzw*N#la;2J}wC z{oUw?J^w=Wi_8XPWwU7npJVC7aop*Y^W6Q&LAoy3{9t}gsh(RF3f){Tb8SN{L?pO@ z0_2zZKSgvyR%Ou1?iA|XVnXXx;wLu!1GC~MdA>2?Hgpes`?PtLdpiMHz$`~8@&DRY zf$4t`J@ zBs9K?X@3wC-is7hMkVsSZ4Y&IbB z4eu{vJOT1jPM?R>++d^#(2;4G5V61`7$<%h79zDRHp8b{=;OkL7BYKhQLP1NlUTi7 z$&3GFiD^bq*)$>HK{v@Vx4SlK&*^E;)Njol5C8-s3#=DuCPE9b&5A3 zh6yMP0+8qTg#RniLH<7iU;0+n@T0DU0E05Lz9@U{XSpIP{%e-ApG=ZNCBxk*?>Qc6 zJ^#DiUr`^}Y0SU+Y8GXLp!P2wD-&gnIoS;L*D(pe2UnLzGGlP)i%U;JZ(^Iht&!lz zkwER1GomtTE6GM=o3B3tpm7d7Gw;7H`_KuFI#sF4eJ9$~TM@Q+r}{a?eVE~l-JNLi z0;ux&J!};^rpG!{7YgTi60(ehpWJ)ptfj-NpM*S!C$S#9au(8=I!hE4yRL!#7a>nV zDo10kH~u;IgWHArmls0CA19lxFWR((jOQjV{!R$3FHBx62gJlxKY^o*i`F`)(&1E3 zLb*)2BmH)?5oXtS6GHEf;N{ooqK!##V7Aw@WL0brcR59&e!d3c8v+@cqH>l>iA_VU6+ zd)8Z%N#D&Mhf5?IH77-QaFC)vY4DNLBgYf$;!i6#Vbt+>JLTzXWe&rVk!2L5lCFsV z?3v^!M8NSL>@CYw(xpNZ(Jl-mk9H$J-d`qVl9$U)qF?y#{y3X{c(}?UoGewCq*nTo zV_OI(yf9D~3gGE)tYQAMctpL;_;2!rImMs1-@BSo_yycAluMgqsr=(;EVVIr%Ujg= z%3rJV=?3&JUOTR^yBL5 z;qRhLUw1(j2O_256D(00>cdHMHeSg{DU}~t;7L4Y5r*dBME&31tTVIS-XeB>>ddg+ z;VS3?XMCiqeN41d&0j!ZRk)%@A`^{~1r-ydDo!|)`O*-+8R+0|qD zAC6FsH$PD{vKnrPu(g~R-Xv|D;(%qfSdG$S!qw=1|FWSXV!fn&XB*?AoVCc1`bh!m z7LgO6BGW5%9|a3wd!^njTro?RAXJHhGWj)Z&~!vU-bF6|y`8m<_yp{$9|jK2%p79f zsWwK>4xPCD(g_1h{6}$lanmr3zV|ZrPr=C)k1g*W2&3Hzej$B>y2rl?@wL{AQKn4HSPj}|$a0JfZaR4W+QMlEirx?Ks?+2PXU z;OOV*a#B}eM$e9-_S_dA>e(Iz7?Tk7q9aU#7^E-YB0S*5PQ>}vCa#$={?yKk?%JU$ ztISUPu1|qorSHx5yLik=zMDV(z{(nglP}sY>UMj6_3IRk+k@ZPocVf4F?v(!!6WAu zhc9j}FIK8^;lvUgMA}XGIq>U?Ea0B;(T!#F5&BT>{dc?5g!;4kN@^YGQOwC?#7tu4 z0n?xW>Ro(M?xN#^tf2~GJF4{hF5K(Ws{0T2XWGB3Pev`ZqWkXn=O<4>oX3z3!=aO_ zhq`}}O97(=seO&4RWyH^s+ou>-{%v43mCTWYu8rbT zSIB=Ul)!`Y1(9!4YFwUFKF>_ms+T^&gA79G?mgr)8C zkS<7NS+%Gaz$EfmnC!Lu%uFrZWDpiYuLt2DxH#qhuG&hC35Bdh%!#^*X7wnp> zU@bW`n+jBM$(wCCM)q<9We4<64zsQkRi7YD70~OMMJn~Oc>Pg2Ekh*!^6{GPX8BWJ zhncPOhVl>nDNEH^=PGwl=W_x;aozsu$12Y5<=6gp89ly>L&E;mZL9||qLb+71=s(gwQ>In%cx5_g z*0&rIRMbnhk~`!+j8?-80acY#zXVz;j3>wc9LN%+YYGq-&%9UD{0bzBi-1!<_@KG5 zE9G!1+I+O8B%TTq|o*fa_;9wk3T7Er*b*h*A8>>UQ>sd#fmV3y)$)__J5ff3Jk$9_**;i zeicHcCROSW`0F?*KM&+C&cpIjtZ(2pZhJHYIpz9N4n-0#fd5zGW&#rC*!lP^(tMwl^DV#UJq+8!tySAau?N>1&lOOaTCrk{J9j=qoq3&rSIP% zy)N@i{|;~~AiUpE9CjskijEf~Qw$?4o|>;^5KDE2ZH8Cht@ZQoho*@Unqu* zbekyYeTTPyd{|j|P^QF;0w5(d)XHC>HL4w%(Gj9N$7U@{=!lZQn_$|VKf{g5F5`o=M_9A z`(i+exnJZSa6Er98T+?5_Tj*=*Sc;kVAkm5&wMf7R2@UYOOxSb$id7H<{#~w`htPH>bCpZ2*rPsjJ$oj!ss4?T+P_iU(Fjbw}oi4&J0(^6%V%B#f4+X@yd|KU`+@ zPyDR<&kWo9xFZi|5tpRan28+0Z%%Gzj-%p}l_tB`&V{O=gbki~!V>P43h0q&|4pyS z>I~GMVRGH}CcHc+LxR`#qILh^a$DH5gr%ihPIdvMXC_x!drg(#Z^uu+-~NA8zAI?| z-ZK3?p-1wVaOPJdpyBC|D@!d@D55x%dYtMn(Rta~=;Pnn^7T>*UmwXzj78zuspDx`1yDAwO&|T`nDqj1LDlom0pfN zk)%=*K zD*F44b(>{XAKz_xcbi$$zK}iqEn0&k0(iQy<|;nSjd7TCCW;+oeD2o&S13zB#*^tY?wz9zW~9If&1-`| zS@vH_sh3aNi6wpPoXQBgnJQ8x$l^!RUS^E>639p!GzY1JtpA>LP4|z65>4J*0`-Lg z>f@;oLn(;P%lr|d#NK3Y*rTWDPw9JF3I8&Ja}3(Jy-*=VS^nz1NlyBSIofBT;oH}r zLCBPM>WFRj?g1fwnb+?0jMl-H_s8NcRUAUQnE$V$E01UT|KpW!se~j{j*-X_>%yG- zmQV_%q%vEQJJ`0IbmPnn4(FBv_5(d@?!n3rF3n;28z;_yDiysQ?FRox-+Hlr}Fj6 z%{=dX-RT~Aj$ZCmM5lk-5zJ4wUAxLlB3aRIhkuhEZpdOr2A7Uksw|E?C6Oe8=+ZY` zD9nqhYNZb_52c(`$4=&Xw0#@-wwdZ46QEN5DE>zYaQtN`{Bk1v7x08GP1@+RWi)ecv`YL7op8H^ZaRh#Qi#S6l3IX^U7p)%^6o8P9QFFjII~{}G0}EWwro z-W=r`q1oAnXhp4Xzk0j((vRTAxU@V?ZZ)-0MKm+~;RIfB$9dU5XAQ`+I5gbSl6m6a zYVzvld|tui({slUCUUwlGBaS=rv+QEKVn{Kkob-?S#qDy@6&1=5qrHOvfBqLoIP>x zlCj(3=&Znm?tV3&l=xkj>1$fkjH0hDv)kny!wZ*oqp6Yq1ZCpGzkh7J(S- zt2U1Ex;36((JV>Y7peSl*>C)Sd8ciR$O162sQ4>jg9_#ri z5%DiU{xKb){qMUiK`Hl*JZfp z&E=2|q3=SO{9i)k}U7K;|5kowj6w*}19y1ev_u zwLiU&^@DU;aiVR+cmKe0znHq4s#$y7&t^~AyZp@d%p_`Ue}=N|qA^CC^&1UT$>s^< z@)fdO2$!-HCA9hcO|M$W^6C#V^U*a7<0!}d@yz6nB`;(Yp>>s>))B}u(y|nCv@QxM z#bu~+ctnY~!^vw8WjQA!j+e zU2^2xdK7oh;6(KqgJcwYcD@uzMve}2HGyDW)}JAvyVt~!KLB3H`T#~yM;t^qA;hTA zdl-uHhv5bmzY)x|Au5T>5XeZVJ&^S0UD`>m2x}OKN0T(z2^1K1-&nFI&e6C<8+~Q` zb6Uq@^vzW^&9iSsrghmtg^g7>u$%);G`#uMxE8W(rnWyF@+8*qk?z>N;dw>#{WyDX z`Eb@Dq!Zl+;(<}(4!_xl3jppg$4_(XhyHW0lVt zqh92T+8G|oz&LND!2`|HWAkx&7fTze25?$iy$lk5)XekA!6!X@inS(v)q|{GGper* zIT6XP&24_%FoZ(7QQlgpAIP{)rctuCR4r}1_K_BT!DeX&CL%l9vq92~-0RxI47fz! z-+`PQU`%lS#e>f@1X%&Yu;5si=eSaF?^f7&%9!wsD0MzEphClP1cYr)o`dJ^J(L)Y z4j@xc(<)1BzwlsEA|qi8)8pBr4F;nE%QIdZ+}C6^=4q#+%gEq%OU;Pr;DO>2q*V<) zY^5}Zcux>%h7kUQoy$yb?m5vzvi?}|!2{iDb9x@-Uv2-enPaQRaXX5~R@q-0f-zwC zN@4Cu3$V|_n1WkKgS()K+v?8rGM^^tv$2$ked7=*RcNELJtzLUw(c_t(CxYp^6J)r zlum*=j==~VjK)S`^Q(y1&oiC2^YO48$i#f3hGYKxxn-qgrUpR}QNK-2sKhRh;iHF9 z757fk;I()2P}~n5U%XqiA?>rbcEeauP&(WSqaOmQ_lV=1njS7n^0Q}29 zHzlKjrrwu}%a0*otFSoyi)))Hm0AaYD9a{_>IuJx#VUa&N>4vht-+~F0S8H24sH&K z#t;qS+*7R9>20g>Oz-{vmL-#=jk@$^@5^sZ+>twmeNstCHuGpo!)%`PLFSCSbJ~KJ zU(>G~46)uoWFpZRF_KYtZ*r3Ahiu19%B0duU}()lA<^v z94w>xT=$pOrhU>!*f+nep9GT=wYNIUhr1>q_*d$27T=Ig(<2}ZGxgfXdNIPiFJxA@ zG2^QBjAdP|5o8#+!+Kw%5YZ`6hyI21mu|JV{@&uvC#;pH%pwQ3Jl^rc@Ilu|Jf{3w z*;30vljmGO2%<0FbvUltmy;^83_^tFsMB(WfpG#mmA*X!PK@ueY99mZ) zG3OTP=!Vx<^t(p2C2w{sufyne==6x$^x7T$3|M98!H-fxmQc+6xIg+K!(#W7Z`fj} zYTcHI^!j~KQ!4ZL&({%IfuUyp3DvZrGj~CQ)cnB`q%uRRv#!5diz&ywD0r$UsAwP; z&oe5z zD>{_rNWoxv{ZCbwuA8}=62)T1locZGUz2KVF5o_?nN;oP7_FqGVacrX^xoQ|f#&Ki zUm=b{pyNHXO0WX>gIqP)5N+5Q0W<)E`%9R8K_OBiWoY&8`&Izz{zu4)^aYA$eFG%M zbj>f8nozUv_hiNU=^5bI$o#ri0DmAy35=b8*3mg%-=2uzXp$s?GY3N79EyNVZ|Og0 zw-g200C%YeRg9MTSTa*U9!#=G^NLT(tHIATK(9V!N?l;f2La68?1C?;T}kKh!DyzA z9pZ|@NkiA%pEaOE9VuOYDT1Wv@||!p)PklKLRusOh(4KCY;A>=u7H?8E^OJ&EBuOi z9CbCft$Ms?CT!K_!2v{SmK_J)W0h;JHlsT0xE=Hu=nUdy*%xX40slhoyUXUI1`;x+ zU6Gm-Lmx|o?{%-|R})1TtLz>Qkd{)&I&#{heL7y%E!t|zAm&X=Sjo4$P&fAxR!<7Z+A|;T~w-kOV(TBuehR&jh`2+U93M%rYy_!JcZa3vaVHKBIa2ipsfd`(T;g7FEE$Fp=pX%UG z#iPOcLW(*5Me=g2bzC?u^wiaF8U7 zqPDTqz~`O zy9I?aF@yaUu@yP0%$++HySL+?hItJ}!4&D5WHvUGeHm zRwGVF2TEhDLUfrPozhw_*-1{}H7}TrOu6b?M3(~gnkHI%;UqCZ&1|x~U`w_}D5fbj zx^}2%m9^xxID8=+fVN;HzuI((2T#1I@WBu8;Zu?>n4eT$NABlUN>}m&ySo;?wNbtL#gf}-Eqq| zLU=9LzsdnUtc#W}Et&smx$cd-DCPO#leQFP65y%mj))dGbLxzuZkc>?h-)}b7G12YzZ?o19QG&A{E%Mr z)jA$grZw^rG93|%PERUOLM^quCM7;ETR1=4^2psC+H-+>YH^gS5)&8ugiZ@f7s?at zX_R$z;cR~I0k=$f*R?q-f!Lc{BgU zxw|dTklVfHL_tNh%;2FiguztMj1AKh2fERZ8A>yc!E)+}uV+a4ohU@R??oJhX)J9lDN~%#hVAeo>)qI-6-Hh*@3JYFhx7cVn&j8mUdT7I z+)@wL9pu<5z9d`vmM>9}fcK9CkhcgJZ7}JIuA@SQH*#M4)qGZGW%WQq7WXu#2Ht5+ zteCezsxP?{mhm#j1IZW3&z}&NyF^GFryz_G%R&7>)vfsc!-@n+I=xg@2t=lBdhZ7v z#x%nyl&=ydQ2>K|nne_tr<1thqSJ`%=3!vhD}I+QOhR+Z0qs(foy&gWAONXW%mvPA z*6$!_tDyOH=Oj9e((<;Dciy+CWBwTcF=9Z}*)68p&N0V1++9&)=k znvy+RaDmp-Ng5c15B1inm0qfUIg3vParnPgb=?4w@NJ(Jb>aCX)5%vNrNoXLq$3T_g6M)MP%l0&yUP-j0=x&(D?+Wby%*& zp{ybpZ<*u-Z9g*2mD8fOdAa#Lq?*z~Gmb>8(Xc03!;@KSYc%?K4o9D&2_|@XhI{Bb z>0azlV$0Zk``n1WihXNiDv2#*{zAbm#FOj8QeaQ`opVA+97^N3?peNh_z#>= ziEfj;yr&K9|CTy}mSo~#Hd2ux=TPcr-HRdzVofm7SS!V!eQ_p(Gv`rX@3&sn!n9oB z;pz+|6jQrnK2h^(6jn{84cbQq!caq0{yo|(y!l#xmTTzg^yDosq`|}MqLV*ah)nL8 zP$b|pfg}bv>bpdcb0c4A{$%|&tpFLk{q8_U$|XN2?h%rk8w()vT?v_4^()Se+A*^x zx+oV92!Mi&I;+VaB$y?a(^K3# zch&E=1q9Z6q?sTS-2gEuu>17t*c8ipDVUGc=xf%G{K(6+50afhx`rYi#`mSJYJrS= z_Z!~AZJO`>*pW8-JrA#1`~_RPG!phX9Coa#H{>p;dqac2Hyq#+2gdFX@=$nywoEm$ z&x}raq7~PScK*|W2wBGyzIKZ71gPi7IZ(<2)V!-%u0JgS$zHhh)qjebxg9c#?Vwf;|dW?F>2d&dnd>K8G| zU0uuAvu5wR4asq)JC>*l)hKEew3k~Ox_|M=S+wlC>zJ9V zyF=8_zkJjYbevOAXULBhZyz8d@EPa21o#=KthNwIpaBLWV2Z`}pFiPuqX7^CT92=N z>*qXPw;&LBOFG^#2`UegVkx_vzAxtKqoh$TgXy%bJ%$O--Aa6w89nv|+*8ls2rYH6 zTZvW(Qn`lZx<5LD4#bj5MX9^Hh|PDzYnPuvUwWI427VRQc-vKU$ve<)4p@(*F?%t~ zvRX3a(1f)}Jn*87jW;D_D4gQE3Ss5)^n%{@`LjqQ-N_%~Qe46Xk)9^~T?- zTfN{lLl+rPjmCvk_)Z3uA3^_{nGZ=vs!uOcA~oxmL^5r?(A1(|mq85Ef_ZL686n1` z@}jx~Mr)JVSBT=v&P^;SN^vp*oz?3!YofiWC3p{aWaM&B70L;`0+4Z>?xu%yf-C zJHPzj$I=xy&JFAV*wgWdtI4DA#U4ZP@RLesd&OmLC1+1+O}!twDEmuRS3&lu()-W9 z&p-c1x6MDD1B)3j{-K>0KPlzE|4{Z8^q)Tt*VcAIrE}wjZ7;h{ zgKw4r_ColUlqo0)c`lS~@rr+G!}$^a-p*1%3G2TfdlxLuXN^!@M%1BtxuJ;?F2SdE ztR%&fF!z*OdUk6(Y_t|4LurK*i#vR~ua@dc(gF|kZtPb29>xgg-PfEyOiwxnt~1_i z=!=drTv5p3y@DfK?m)hV1^dWBE@dh|MgAG1nTv}Lr5GjphyIJDeCP?&R&~N5?FyuG zBNO*(PZJX;64NOfmEd3QVxIFs=g6*RWDNuDmOp7%UTo#MzmN!!-!+844LW$m-dbPH zpNSMg_KUch8)T?20hVJHoAzyQj{YZ}i$xm9X2zI^TQswMiY5>IdvdzwhJ6Dw;d0oC zax*Vt(ZGM&>setTS2y|8CH&9RXs6v}mzq4Y0+kS;PQAz{h|E!C0CqHi)pFy&tMKhy zzVmY7esm{J(3IrPQBh-12=Qh4Bz8kfp$E-`6$lD;_~L3G>37gI&pN(xc(U`@oj0Amzo-McJ7%^uWpZVkhX5LxrjeTVtf0oA#i(| z_B7VN%+p_){8})Mp>(skj#U$~Tb9ZaC7dEGmM$GSaQ>;=%qZ||68dWd_-y6Ffj>NnpB+-z|7 z5?xV53xf2ZoMyGzW%s<84S9qbz*DKVrN#+?qn2Ag&Z*5-MN+2C`~)k<#iNaN5d>|7 z01c9TKQ8m16_;lmxrKBB_qP z{Bf-GDR1EVZTN6%FASzfqC*=6Zde~Q-7#e65a$y(2H1D@(BXr=IcN6y7x+&k_4X;y zI-~7KJT6s`jKVoN#9hyB6IK@`MeERm$S4hHVwp9#4p#NnW{JONHb}^iai?NPB)R-> zI-_~}{{032OCZnNA8hIF#OU_nxPbMQ?(q9vB&~LL03(QTc=X888tfdcv8mh1X(iQnNXg|*^UVw8kWHkjiP;(b5c4sAkQq4{M82m%gwdsAZT4w zHnu8D>|2j=vdsC7Rk|tVyQXmN(Otif6LCv{5h@Jn0HAQAJ`|=bqu)|&PXaPZ;h#}R zWS;Zbf$9md%t(8aj9|35+FJ2TrOaRpV@Aq@CBS6d8KKi9dH9qD;P3e_^Moms#_gSt z)D_jW51Za;M#T}0&#+{)PS8$=g_GzjgJ&3S>%sFnozMxQo#{`?kKca=`uNp;4_<3~ zsMmO!{~3$r^fN6df2L!&T`IdUUG`sK#C?V{Th$}8#lMT7iv1&+cG_uwNDPhw)|L2j zl*h-N*KbAR_itEfp+Fe*N%wD?$r^hLMFEgOhT6f}){*U}R_xpYG{>;~Q@Mx#qHk7X z)_6-v2SAZK* zwV&10=7U(fqkP=T5blM`l?39TA<$C)>NIAIrfD1c6vRTJB4&)v?aRWSh+6os=SDS58h~7qrXRh zp!__@`wTZ6xoGl`EwrG=Xsr->MX8n2pOa}FGF@Nwcv*V-BeJT+paYu*Am$Q;Ptdv| zDcxK1-LS9rV&M%>ujO z8R0QwbbfQM?tYz_yt~p~t9k|}=P`=76aas^v-ixVYWoq#??doPr&fXHYS$!FZSh>h z;#^V7#YZcjwC)7W2Pf6tavy9oB+##$Q)H6k1wrfoB_2`N_t7`^z2#9Jz)7g9O(FZ`mwXUtp0a}9Z4{Ems?nY9>e;(gEPB6voc*a#sJxy{hRf1(4jGW)9~{p4u!*i;ef zex~86-lshy)`ThmJSC#9@BgI?_R6Ms&6;eHDg(| z{79W=c0|kQ_J-(<&=+zK*Bp&^k z&><(e++I|uiQZeWZxd>IhbTKn*Js2-!`(kY7ffUwuz0-{j` zBlv9%4RIWH4))&r4QRuF)Y4r9wmD``swPw}$cR1!I@^L5kNmIu|opM4wv z0d|=VsoOnAqfFoKPmmu~drqOaCS_KG zi#GEUm#E^{FYs}TDX>q&I&o?NXkuEL6fXGyGkmtEb^4frhVrHz!$}8z2PY6NANpKp z$;(fq>s1K{-hN)+0=Jg|ziT;Kv^mfM*_~Uxur~*50*i1}&2vpa)-mn}K$B zQu~6hng}xj{8}I}xxMRsJ@oFce}}wZO@wS|--|1oJX^27Jo1rw3MVDRc!se{FZVrN z2D^^bD?O?937=0FbK$c;MlNA06N)&Wmerg~X3KB~$|xhGht-~gIpV-1G)?Z?zGQ}W zh%e%$R90qH1U`QzDs$yTSr)J z8uqbdj4U;Kv`}C`-FNv&T~Vj^7xvzdTg&yO$qJLqw2a*?M=bH{m^Jo@&Ij%1Z0-G? zen-`p>hYy90?87XF;YVT;1sjDF}1zIVqlxPb^R7-KX~!OOMU%h5zJJ@K#!p3ZvEXJ zdeGihgS39*pPuuH4{%VTSNTd>Hqr<7x1&;ES>Hu!H}_pkrp)DgHCCd!h&p?D=`rm8 zc|XWcuEq6-pQK778@k)9(3n2{GUZj4si9QL77?eWp|2MbA39}G?RsjWYAs#M|Z%kQz@8+L1FkqTrpa_NL<8 zTLgH4$+$W`dfw?%y&^2CXgW7ElGrZgphVd#R zwQBcOKX!jsxU)Opc zai#m__LU7QPT_4^Zh)U1(At@x)A$nLqGjW_J_y@B+noC(7M*fg$j2$gbCh<4ftx({ zc%-%(m0w7b=uD)Jjmg`3aAyo&C)R?<$@zg0x2J?Th8Fg9Y_pSvFw4=IpV@Znvp<6E&W-T5A;m^DIh#WgC- ztaMs8@6SE1vYa;t>gQwReudx*LQrmRagUY;8g>)n)%SwjVACDzf<~+g?$j=#EF}N< zjZy*DHKbIo^S%nvnu=gYw2)6+dA;l3lmMb zl{eHv$PyF3Ekj1?bHdKIr^~T2CSIaD#@{$zB0ili)7kd_W5Kkko>M;!YZ2C+-JdDB z&sqe2(kBMMm@uP`rRE#?{Yb}~lceKQ?xUxtQTP@F7qHdS6oGVSb?9;J=hEs+o)=ZY z#$oGtpwdCcjb5Zxs2y_eo3m+I3cdE-+wwJo*@K=N;CacHL0|TZrXAjIy&~luwwW$- zmRMC?bY*0X@L~Ifw;p(&QjG*&yB=ab8_nqz9t{9P$fUz$PG+GKehQ^WK0DRz;kz%1 z3+E(DSVYJF34@mH=MXcD=KBo zgWubMg6ht8I0E6Jk9V81HSJ>fYO9nspBp-!(0NpRbcPZVn-Nk-vHbq}1pHU&*S&#V z#>-ExB@&fu^e3^l-)ZTu8y`_}?3W;2HZ-JF-B5U=>Jm?2!i7b)6OKu-&Kk(i7GIFs zB{y9hA527f?pQJYo)jeHUyhnjaX`yW%v_%CLd2OtSjmNRS&0_dPdJ4)4{$%bhZiPqcr{GhLj;8w0S1*O?bxvt zdd9v2PqVeB3Q6fpHKlpH?B!ZQlwrQJOPti@Gv5?&n{~c#I-jAhcq*rOp(YNGXlnBs zr(O$C48G4Oayo`dsqM`$1N$ z3?<~sz8PlV?B*w&kz7i+|2@nlj{H9q0i)7 zglDc)>}N(x>3b9-Frq_w_|9SzvSe}eU%K+H$c+iH;d%U87h1br?i!*@J7{+i0XRNZ z4{g_fy1<*fTikh(PTRU}HmUK1uvI+MF`uoW{{!FWpY~g|&{;}&XNV^mfg?c%|1Ycl zT~s*1P~Pq1eWwp^1A8Q9%?kDG%W@)u?TrXiVVRe4f$gQGFY8w6h}!<$bNaj@g)B=ANL*&A7%S;|2*Vaw zL7aH=bDj5;J%_9#*ph&~XO%Cr20J5Z`zDZI1YyOSV(N;=@ES{8A5!J9L31Ece2GO3Bc%noXW7u+0EbZa+oy((R%hJ` zsj3X)JCo)y;v&4}5Cbr7rGT$GK?X)dV_(5mGEe9W=c|v&@DWzzg0}#$;N3d(zmr;#(nF! zM-Cn0asv*BJu!PL`sg%rCa=0AkGqd~kt3+05ngz<>X_VAlPhd)OR+I+LTW{>N=tuF zcHi>ot982;BQ(| zFZJp?e|ODqEYSZ5v>0G+I%ZfU^QcQ@BU+t5YRqS_smIMq zWpduzh}@SRs*^hp{QgP$T_eYD_w2WaUHZ*GJ{bFb?v>n%eRAf?oX2TN&%u8-6r^?C zTI+Iq@6$xiy4%qX)j%adC^=%ToYVvch}h$5&kyHIyuiRt?NrwS+eh7+V?Vz| zK8gq{hIiKRd5}tH%zvEdQk9K=MixaigT^yU>`pC|N(6=#?mgTalR%mhHxCECWfwY~ zgZO?iytKFW23KpVedd~ z+OM1C(3j_fyql<%WvApneeHOH*<+7O4}y;KBPQzPa&CWs1?$Ky2i*}s5Dq0xz7`e~ zmf(UeOllk-(uiCAe&JcHo}l1QA3s?s!grZ0wV&;ECraby3zZE7&hTw|P79mfu>S>RIb!my(VL{75dB zKh;G;59=Ez3dD3J{xs?r0B4RiY=X5q>` zZ{NN#d+S@9wfTtYq}Fnf%7{k#-=kI~Moy;uxnuG9nR)2*&hmhZLCn6loooHzL;wF> F{s&7D!-)U@ literal 60183 zcmeEt^;cA1^tOIfkPt*lBu44(1{o!#yF^;LyBQD=$)S-PknZjn>F)0Ch9QQj*YErO z7w`J6yViYvIP2_n&a?NqXP;-EOZ4Q)lM1}2|K4u@9?5^GW8vy%6rLdYb6H z_-E$HlY@i*3r{$({vVQ`{6F-5dK!S;OfNI%S*JKIqOi<>r+^hv@Lek*nE5@eyxMaa zdc)Uh(nKasJHzFeP7`_vC1c~HgkWzo^^r9hMxFXwzeJ5 z4jpKDcs%YVs!F8gGe?3OP`?ax{C{PcJ@+h+mg>WP=m2l{JyZn!^lAveHVDa}_k?^; z|MU9~bN`>MimL~G;L1A!u||%QmJ9H!`Nqz%3j^boeFvS5SA>XN)V2A^#g)%*UMd>F zjr#|G18SN)8-tS*@T;aOwiT|T{kIOC(#P!am*j+!hU!l&0?H4T_MTfoZ48!b@Rt;pt5CjwosRXg#JTwSchg}s5Ky7EdDwBs3O z<;r6xfZ2hu$f3e%t)|N*qvx@4YZ<^Allym}YrY{PIwXwGdxfA7V2bt3N;urlK(;Nq zh_^ZSnpZ-&zettBSlj#h5xKZymfHSS1Ym>0M2JLBJ_f!eniP0`{%2vFDrD;mQ!e;~>w##uIE zF=l#wx9@GTwukw_i3ZglU_8^9;BQl311l6mW=z|v4Hrig>1vYx3D&h&C&fefq2)oYNO2VUo%gW)B~>2 z(dXM4Yg-urL_5ACZ`=kG(`yWKIOL%nG&`jFFFYaC{{c^dUa}C~YjsjZYEknTE2Uk;`9+2x(Im)K=TFoB2@{y*MEi}{SdoI%D}`4WY7IQysi{_0KT#> zz+GRC$^K{JP5@BYu1Vo)kFB@?o(PEm(wQRt9*K`P_$aP9kzf-1y}ba9d5h|6-vHHO zsP7{gVLx~bC=NxBvv(U%BHp%N$@w_1=#)LwWF_D140whVNqFnN6|BM625A0CJqUkT zKmAdqV6+qd@T&(GesvSv1%u3pnvbAWMFWo?iD@=D0+NovqWpnFWe{)-7%gmEC+R;C z)VWn8F&IL+4O+U=Wg@)l*xhtPW6gkU>0%w$p{#&+nEVL|ouA9Vd+cqtk*aJOi&_nt ziOnUI080O~4!OLk%^~of@7)KWY71JVqw8>Cz-t}E{n+qLA#m{D{5yx?t#k1p<3Zrg z$cUUb+wK5j!esaAa)%ClB}r5INQu9v|2v2^DSRil;pR8f;=EBf`0R;OS1SCO$MF>c zsa1#(;eBv~cf;%+Sd6m)Z!z;KfvAV9`y!;p)sJJnQ7ArOYfSg0T0zw!1t@=@a~^`^ z41$nu?>so3Ni|?^p}h4AH<13b2Lrqh`av@{H~Dq zT3LH}$WGD0#64Fc(|uLf;LepFrZi!r2fDvo@A+G)?fPQivq+SLF8p=*g=wcBA(f)->ffrTznBR$z#}iMqT0f+T5J6~x zDpvwXNDLpsuUf|e%zz!d481R06HL9PVVB>G1wdOa{-?4}ERfy^wLl=|JE%v7B!3U= z24C8MfD*G8ur2O)=&n9y4#^>9LmrTD_j5w~d$fiu7XaJ}A28XyIeBIQyGEYg7*Mny zz5XMO@&22|}XnAC_BU)f4dcm??HB2%w~B<{G=T>W-o)_{-#&eiSS6DJ+A0m_SIFB;|*OMWC7c!npfi5JwtWw zDDiCcLdO-pw0`FQ>oINeL^-gX5&$RX->;#z1$6_r`c-FAm%?vkVlQ-AVWqUv~)r3wPky*k*VC`E>} zNbqYR*LLrb+CxsVGUw-!3Fpnd%xdkQax ze~tL>p${@74e(a{2yt6X1z17(&n?SmFdTrd;kVJb!$MSdX=)(Y4&mPIBWGeN?^g0ph3yHdAC&iFnounhtxfE95-Ah3A4sf!Xs_ADA3 zgz8&%h)IFDB2>O~viBTJTwskrmmt?GdY>rD9^GPM!mc~Fkv+S&4~*{OJm2zl`v_O` zTpxF<&XECssPc1Xq+pq;f1rnSXR&tlF$;#hv5d@qL^ke{oxYu&tDx#cdE35 zvm8C_^Bg1pLUq!4?w24{nhpd;t!^~y(9;Z&9$8m`6MXDdVUWE76)iVz- zOc!$K7nj;f3HnqvjYf`?w~z9&Rklx0TNb=hLr@~Um6*$%8r5DZg^C8)j8lvoUj4bCJV3#&x3L_R2qs1ku`ZJrEHdv_m1DvIo(C7F zvmeo^w~$^9>i5IT3z`^KbT%is+4E1gww@jNFN0_KN=6< zpa?p8GOt;dNzTbdev{m8Gg7fJPvi*sjb{I^3d zUF>@DM%I~#6{xe&oU3A^TvzGT#&*pOE-jwX&CxuL(st%@>d_#aU_K85MNbMbZ}{3M`3s-K(ltZ9#H17J!K#K_Lr zq1Iz@hAq!!&FKLB;Hpoe?VH6h!*(?}4clAYhVz(KX!$(ZL6i|$3%W=W9(t+EIH+kl zv6K#$*hH>>E-l90IPGS^c^TVAjp&TYto>c(3(aC|^k+ho_vuXre9KIb>a)^&eTtK> zb#U=zy7Zx_nVk%?$`me6XZ4V=oP)H!iE4Bp_arjE*#< z)5IS6hX#9gNt68vcDC2sTOWT#>e7O5v9KZ;SO@1^-!cVtWG}e_njW2FN5mXS?=Pe7y zSQN!WR#^)@9FH&052#OuPHdjOk3I|KX;B?yH*qDBoVGDL_yu>OL7CDu5b zjPp3Su)6!{*=pZ#;+QDu63%1KNauroi7a(5=I@_0 z^%TgqeTYQs_2W{EbUPLBzG_YLy$!Cv?s3G@6G*xFF#+S^r?$e2ZcU{7 z72_ekSk)^Dr&=j?uZ!!Vr(xXuMWL(!R>^WQ%*jAP&mZYF zJW+t6XK?grZCi7VbW5ao{kUT1Y5TjEEA2GJJwD@Q0d3#$m|}{IT#EP)QpZ5vGag#YxnPdgSfAy%E3Ikx z%wuor_zon+L%*(`z5v5|*Ih&(akR=l&T+u;!~9A4*$;>6=D8Bo*J zzfi?;P(zTz^QU>j|CjP5(cVg*`Xc)bi|OJ%s8?6FRsNdylC+OPQeCL*8@nWc@lc$Y z<0*9x3)M-#@wGiNZ56vkeWWR4^*-(uiPPst)8y6TRM8d;YfG9u4cJ*o+UNLy8c(J< zIZQ0ouYMO@w>)AwV~y*kaPBhMoVo&Y&Ea*{jyC-GFBU)@$bc`t`el+_Hws(}bBB9t z??8G@y#VGF=P@%Z~pTF(25U)^;eI zCo1e_3;Vcqei%ZLq{$B5Y`+{rjl63CRKz2GE1gG>W8>k=pED&cQoDlvgknMlW(QHy zF{@S$y0>s0sBT>RxJW>avV9k z@Ku15^iicSHMxxi6F(ZZTR;OOIrJW{olbMXFocaL-?X-_^T;Y+t**_?uO7N5l~Jdc3J!>+hOQt9-3h zQrG!NS(Ifj4ZXsBxx4Jmv$%|@hgSy;77J&mj)uJYg{kN-1;|!vI>dj?q6iHq2}<^2 zmqW2>N>iE%e*mFeui`xYW&Yql^1ITXT zE_5>^^bm!(N=9&w6O7Bd{76N`OFmWq7stdM^V$yE*`L!hlVeyhq?l8tiqIOB#3y)I zBc2F-!={Q}W@&@45vv=*B$wd0xH#YDVt!5a-lK#kO4FFf=i;i@)#nQ*Z`UsxuW&SaZ2f`FHg#`(s}ViE%yFKg4Pwa*FeB<1q?m}( zNLf{>U~C#XZhB7S`Ps|ycn@8(8;DKz3Fis`nou~e;Lfk9v>mtfTGrXiJ32~d*7i-a z`r<1h`oRt!G6Y2WQmE&q7Uib`hWW~1*G?3ztgZ*@G?kI7q;pUOr;JzfPF#0NPJG&j zXYxm9f8TXveamaooi!?GDzJrlBI00ol7&JvzQ#9brpamL04f!oT%qxWU|xHJye8o6 zVT_PNt=7@m&vzYby!0IbzkfCK9raGFsFV8oN&6zQuXTaK|1o<|MD3k1@I%U`M??gC zTWr4g!gGsNk?oFFUww=YL|A^mM9d!UExzmcrj?hRE@;)%NSJ`{lJ^(i zr7A1mmK<6rQp&fbRF(@=HZ^i!3%kDkaJ0wvab_fp)VJkdOXI(mim9j=-MkO+6D!vb z+#7MQTGabn+UjBDfIyLINv|IAdo~)CHPeA;Kqcek#GX`_WLxrQW%XFl>qH^G44NUOen=a{! z8xqP39hwBAyTdf2(}-XG5&0tIQxvHXr)ld&rI$i8eru0Y6Lv6k{&E>iA_}Gw zJc1PA;PP5m#HoL3*qtwM6UR*tg6K~YZ)~U*Paz@%;1`cLqoBKSa2GtUqlaMuufozN z4&hNCf$7kT$L3U{(KGbfyr@pPC#Sr)>pB_M!(!6)^)=ZPjUU=>!ZE(b__pjPb?6RO zre-p=1m^gmORpbQo#6=utk6+`Unh0X79z5h2fv<_i^F&oGk%n=&yIB1MnG-|V&!;r zgG;*gIjf{zxS+e)dYv&3{HxD+=~=e^SlSN+p0wVzC$zCb{X<9Ec7Z@}eCU>B#HR_% ztFP5;(2@cKaB5c$<~v*(x?kpGC{;hV&d0zS!}3hzU@uRjLq{F- zu%3vITjAE4>PgZ#h?)Xs!c7)C8r^qb(St|KTbphUz{G)?$g421gdZP^J}G$z{wpZH zESj(TQ50>W+(2*eJ;9shu%W~Y1rm}!|Ft%=vXw9n;*c+PdLSFdg|yQH3zFIm zLIawC6z4j*`QcYj`rNZ)H7d!$I&&T?W2!tx!G7YFK^U#e(a-)xr4v_0#cRSJZnqkT zZsM`}7X~BLueJUS$WHdNMSF@T6IMa$AO1l8D_#?5fy_)`Q^it^eY=XsuVuZ%__pp? zzTrIfft#*3|LxK!R~Dil5<9bM{iXC8bEQ>$#DAM*rgS-yux(%|oR0=$6oXLq&LcZ{ z&P8*Ebdl9D=g;#1aUr#UtrJ`kQP*2gr&d_U4+Mi#L~YOA!CbQ%lRZ)S;XAHk6u*G$df%-}V~xVfCFAVG z&MI-C4rYUOF;fy}9LH&`vU!hf}Nis}r>_@OwlnKQOiBzRqxB5~|y=YKb*z zmJ`1#V$_*E9P$&^=T8Xr4gx~t%PUuOBt5>rURU(7IQ3RaV%mBrfhnlWKVcdP;(Kl~ zOZg$TTX;gy_x{`(Lae{A%w$<<0K7K2sOQX+KF2z#(iZ&?`(1FghRT97?>pIhcf%uD z7LnIG>3y0C0!P5qq2hl9c{c@^4y6FuuTMX1gjpzF?EI(^xa>#gYVfi*o-t}FWTYTt z-uR_w!aJ!Ep7S;CdHkTzzuc4iMCGvG$j*kE@jxORbgR08)YQW;|5noQcka$`+Qf@G zY4K|Nfsnm8bK&l>=nZ2Y#kBwO^t1_hlsqyiG8Y`rGni!XB;uB82;3^?cooWTt^}2z z51$F^DTv5$X{y+C|1n`s(#SpzQ?eNnD3TuPz(RF4q=|Qq*>T?(39|G3aAO; zn)tOKHS|5z32pjJY5QbvXjO$S(Y`cd;5s2 z=pPPk>eY>oNeK&udR-Jerr7e*L3a90 zVsuu3>)uZ*1u8m~XOd)h123r%bw*Z_TMAnf2e8@etKS)p1$AP}nHECP0+vr5H62hu z_()y&t)#@KmJjG6=t8_q&fD>;9_?w8Uk$}q6@K<~{4KxnGYgv}oAwb7MmAPbIDYpS z$IL>J=%emNBS23<;u&osuhA+Oo|F^6G6{$R8&5{9i05gwk8!N>D81408U)Wp;mEI@(N-fna`x zt6?7FHS=ARP@G8bBLlbKY0|XP_&8Qe?PdK9%`que=!IkpBhg+%z;fuB|Jm!4;aZa0 zT027bI|km@b+}EI)OC>#OM<_r$3z!o+y1^&-;a=Ik=Dsw6;y~ONeo}<8kG9&$Xj5l zVi{@Yfr$ffTasFBDa2Urd?F4-MDwD8;AnDAPZF>TKUu2jv3)%+%TG6sbAx!6&YHeq zsf19fcTC}yq5>+-)Rj{&zlLPf5Hq;mI641-5jQ(!TK|~3*5jAqjG^l$D4aD|U?y5= z*zB#8h?^z@1WtQF2Y<^!qUPLpBv%Q3##aX3WfjLX@F`>uJF6=!l$ngY1u)L^<|O%# z)r;}4Fm&ikY&N73a@TG9|Hdt_ciMT-O(#Cc#J5U11Kir`77$_jgw+_yy+SBswO}^@ zd6q!Wab|j^C$F&W*vAK6?I#}8*rIB$UsQ^bxXBOm6ho-$8=sAe(?`2@F*Pd`EQx_r zGg$le7WLu3($h)!eMor)UwT*N5M0`GHXxy}OUdqB#S7_g> zy2K56Mc&*Novj#vo2|csc<&hJe`*eY?XuiDVjUn@{3(}6h>!&+xMj{9IbiW|}9sG=V3Mf{0wX3BD^GLj}LFupdN6JE0S0W>HOmaN|RCy*cDSvzYi z_O6TK*xukz3*}?k_E1n6a6v~Lq&X;2RhDI?d%>cZNaJEcoRWgNMw9iee37_upRN{k zjIiS_FgQO2zdU{S<9{~DD2>*6h!uObfUj*xd^q>ocLmdkFVPG}sz2_ITIx0j+;sWt zJAJ<>t^3xC=ULn8J5g1nKGwHG$TC&e6=_Fbu09?@gGpIM0=1gfI}-gcLYoC_e*)Yr zuze@IzQGc)5FpJuoon5n7+N1tEJ$wC3bsmz{C@TCT8u9(dWiudL%5~ae~gX~-^)4( zLK_@9?Qk516-(vgbkDQ}zy=}ReQ93AIt;NRo@z~J`#49G@1d`8eg8XPz6Aee{YsSK zOjyV(J}w}mq8e@i=1f~4%I>q1nA5^|?T{?I?&|sPcr+SaDw~-apKK*9`$6a~@O6}C z5Vl9ePTtvqLz~O>*WKON2S{a6$8(hj%apWFF?DXoXVoO%Wq@CjeK7R@1br{GLJQXd zygV*x9Zp>POY#CVycE3-F1(cU5i%8~aXHCz($>jI+SAbrC*1tQZj{)Q75<*K8T2Wg zz5&62!|)sDWFmj?!OSJI*Zf~PF=Qq)W-OFZ*ub^g^%ieuQ^NU=(sX2q2x-mp>!e&( ziPx`VzpR;OTE}WuJ4jh1aeR{%*|13RF`1cpkK_80nedD4qb2oy3$@Y_5Fk5-*%0#c-^%g<YbXRo;?`(65Z zac!1ci|@zt7&sV+lz))TG75IBYbE<#6io83-0Ph8242b7q)T|vEZ<{ZI+>9MUT7AY zuGBgi9u*!{(8X{?yy3Z0n%z#+dJy|WKP=1xDBbySwkE7EPOGP79i5Om(+>!CUvtk3 zeG=Sv6^=>DK)*=a!VeyZEV`_f-Cy;+?-CvP`_&VY5mSE8SVq>=<7)S8Vf#~Ww-1Y1 zt%u5(7zW;>xg0NHT3`A0!pn@Nki3cseb|wbq0bc)iW&BEQ_eL2xDYhD&uG1qx$`Q% z^lW&`w7aXHxtIB)Ia4z8jXlWvr^D(7<-0A9J^9Q+&>!JEEc-r7#s+YRg!nz(o9yaP zS&D6TJ80%BW}i&ZQ&>rbp#p!OFQQ=m7PH2mob7z*i3*xMwxR#!n6#Pl_ZjuTc7@Y5 zK6ybXEI@jslXqh*y6E~z*v?qL@ILxS5OIZx9oaXQ*UCRg_KS4!fN(ZDW7RVe z5avr79eb(gG~|^FAD1;T@Uky?1e<^THjlt)(v}bH43J|N35-7EAvhh2ZDAO5(ztj8 zC6u%hguBs@_$4f8ZX+FqSqij88J2{5U{)_?Dc<6Mbk7GpY6aZ?qj)?$dU^1UBuLO< z4f`+RReY{#vT=XocoP{A*J)3a0onxTH*pzb`)VyX+XHO@1TquSgx{@733EXdtn6mF zU7b#We8)p9Rl7!9f=fVXf+Dg_;p^SRRUOJOGE74jFlU;Pu7qe?6hkF%H6i?Q{-vu% zh9Gy^FQk~edTCNjTLi|RUM(9J-;L}db=QsACmJV(zv9Yj9pF25yn z6?6fuui5db!4x@Hyxe}0Fdil6$*Sz!rILiKi=m1kOZOTT!hK&W+v1s&@YnwRl?tNu zfaeEPh6mK9#(T5hdJ-U!Sz@^;Anp!VPir(zxPxj zo4Vzzl!M^{gBU?-_%})sVc~x{j9)&B0`Hs596{uw{59wDlI-Epq)H6c@UvvADNdZI zsbgTvqhc=B*C&+@TE@)X)Wx1gbW$>kPK1%QAMq3j`8%Guy|#bIJx%c2Jl)>6NRY`j zZa>TyAe8tE4=Zw_vA<}k8GKP{&DBU4Zg|*rM6d;O=EDhwri%{G-I*DWG=fB^2_^e1^da+G!ip;&|EwGQYUG9**Yp!oJY6TUd1jK(84H3 z%_YcK7?v8tJ4&^;Wvx$A&;6FrJ}0aN1oclNO8}A8pXXoif!>o;_-{UfH4v1xyCV3N zj`tL&!hg+x?fc`V!{WOc-Nt*S&84`bSt6$*(F3!{yJ1rg&kmvEEyJ4BmEMwXnreq5s)(#55ZQqx?@iw+x+YN2Mp#&rVbH2oN(gmd3*|;{nA4cw!wToZYH; z9n?6#8w_DLEWS5P+a{Pg5>yLxSr`!nBY0?(Y&-<+ORHwuJbQNz0!;zUCv*Nv#P15YS-#p z;OV4_tLyb=@UJzb{8+EB-0>hY$SCXe-Y+!_%hd*x3X7o^L(?c><~(2rQnUzEbP1t@ ze*xHa_3A4{PC4OLxL|Nb_{-@6*82qCICxrpJjk*8C2@;g6w&^fyuxj@#TI7eL{T{n z$ud7cs?wr9G9$%x5tBaed~#wR*IKggca4?P#W|sXe!tuBhT`n?f{UjNvxa$?dZ!y4Qzmt9`oYR>iq)NLDCA2_?0t?+K+ z53c&J4gQHozugEtVRY*JoL8f2iDzbqG`s}T^iMrM z&pO)f8|;@G=}ojvhWR9=uv`v3mxyALJZ^o>Ze9=D^e*9=o>*PfbDwX2@5N{%OEbBF zO2fPaY8J4CnM3K{wRa~=K?yfjDc)a^K8mlwdp~E}&`<-FMHbJd=&n;gJoXxi^1nX~ z_GWaCj7)GxZ?6||K*sXUrnVLvJjHB@v+KQjw-tln9M_auCmCKxWD{+GQs=MJp%a5& zXF6T?zB}a+C>2?i&EK3bB1^^HXN^}TfiTcOgIIWEb&%ayx-hmlO*d)ZyP68Vo-s&& zZ}soxk@452QJ0~#zlnZ*r)-dq9glB3v!$G}CwL{*!XxEa+<-|8-9Wqu_~G(lgGl;b zIoa6R@Peu4L_vL>U+0p>!*%E|E~bafv0TmY^xkWgAuOvpRs47I$R-QEj84rj+DliN zFInpdT7cKuF_1K?J2m=_|pDcCQ10`=#FqM|7+gUe4doF0baV3KIMmu<(pC0kkf5wc?;vI z8BJqh{{yKE#P0Qing0jJYZ(VqV$L#QJP{)re`-U#u(T}kfoI6G|C|a7_H`^dGAiQx zeQN2a^xFO4ozLE<_~VOx32dl)B>w5NkmtV&H98Z!d=hqDakM zLvG+BVY6cKB>LCepIZTVX$~cJw?4{m9&SisbJ3JMk zS8o8ALhp%&Yjx)sr*r1xlK3THebX!!6h?nRSttuX^R)Erxn*c8ZU4xcs2) zI#;xyKi#Aa=2h!wkbxlgkTYeFH-WN00EX~FQmrsuLwfrQ+AZTv$dsVPEbn`DG7sbh zf$H8`j(0!DwW_M2b}Q5A{`G9d+HZ|Q=zy*G-0sA!`6EObB^OdSfGHOD5P4F2X@_4o zj26!(MXHAb>{J=2`4`qFn<3C-_+smH(KC;*WGkc zITz@;l$45yQN&!?zR-`-+V8unou2L8eLnvDShWw6lvp)+=t1K@V`j^`l*EHQX|2gi zsQtpen`c`8oNrq9pLjPy|4))Xa6WPeGxta&gsy{d=tT_5M5AfnN<8-g0rdv!xLQP2 zrV{vki<8l7>0@FCWTIc zIfz?ZhzZVr8$t0yI@tR&tFhM;ui%w(l;)pnLx*NT_{$ysBf~SE^Q$RrCCvks;AVOA zR}B|AL=kKybXjOeEGj;9?@Rdw^#dU7$3o zJNj{1GpW(`2UyXA+x>b%y_V#pdS!q^;A#D;lV78T$8(m4@&CU1VGA`u@gK%! z$}wgtGo6^P+ZcD7bm6N1F!^H}PLtzq$;p!u4)wd))=U%Pj2I+p+$XYW_iPiK@>TVr zgJB8c&|@(~VxJd57M8v~pg&Fj7@|}7(tL-0B|mwd&%$SX`CY=KZAJ#m6h_)69mUnp z?)BU?DTcEb`gD1z9~C~?$s~(A8Iis#92l~^C_&I}Mw~sh$~>W8mbAruOD-Q|lOET^TR7*WjLCarX-o@#SYLv@S%e>ByPl<>oM&S_~IrfG0h2h5bX zF|M#|To?WHz%qBj8w+#154g3UWtg0WP1K=Tu$Rh5Lm)^>WgfqgX*qW_2 z?{;`_1CslANWeo+ZWuxBf^8ogWon%5PugX@+xs_W=8QZY$dtEu#sIDzmr=}3pCk~L zEOHGU$%-P6iw|uXVyZA#&mNE%kXaTq3N(9i>`0kK+2Z^PYaKolu{gNEzCX zr(2~Us-E%ez|JX;+M|fsJ2tw^yd}S`0(8lFTg2Tgxbb6lUYZ?*PFhfvsgrspqDx_7 znlY%;tcez+9jgDv>uh#f3^K`V(BC=~Qq0ehCX}QD z8O?#qVQ^hxiD)Z zKNff%GhL`soDtw{2bIzW_-4k;WXNNDX!umc)F0iZDBO~KD6a?#Un^_7SCSH~aw=bK zYNUo2#xsHnJ^N=RCU?=-`4fKvG^sAwlxs0q2_(il$8C&b8$BM!s$uGUZ*l(!I#a3j zX-Damj*FbKJ#8suBnJ5nYYuG;M~1GvUSMP0PbCB8tKzL5n`I*x{j?BMMwTTu-{^N! zWK%D1Bfsb=`aIz3zo~vv`Pnn`BRb<1^Z2y5y?zFE2+JCK)}Ie!Rx=$m&db&>%+P|x zro<^8OX~BcZZ#gFc(}fwDh}lg(-<97@_j~Q6B+M*wCew!F@e1%ybQkxPfWd(^YZMo zQeO?5WuFUdLu* zkz4?_MFOV*apH!WQbJ-ax@qW5OVQW6ET!yYvszN{kEi>O)5`x!G;jALs|Pp+N<4CK zV%0ON6gHl2V8xuFf>;uFW#LN6^j;nclCCafhgW^WoS-#&xKWa+->oGL`ak;IkNSP$ zF-}ylHTny)wXZhz%z|C-#-2rX_7vcx?-NO{_FM0C%&9%O3Qe~2@BUN7F8cN}L>Yok4vIEv1-CVO+xqTuSP2K5wk8ZVL%|Z^tucHA6`aOGwNz>WPDs|kQ#w)zI1E2ZB z!|RvhtngjCHYg0;|D@p$&89et%4c#iQdukmDpx~ZcswwoFCnz&;lHCMv3-<`dl*M6 z)vE#6PhuPvIZPUYlpm~QdX@9k$FuXzs7Axo@dC_5g!-hKyJKqY*a%*7>*Spl*&&h6 zOZ4D}wxm6)#)x!uW!mi;cVFt_E0m*8gQS+TM# z9Y_%ST&@lL#Yd-FsC7Rfa%7(Je9UUpZVA*(4>w3MwQ;uIgK);m+7djL(_4@ktL!X6 zO`Q~EJvRU_=bk@&1kIDTB%t>WEwf>eB-V@-}Z^#tm zz*C@2B7kxU`qea1W)AapDHLVwE{Nc&#hwf`W;Wjw{HU8ZI#P+*V8C3&3phuT>>P2Y zUg^oLA99gdwJ8R}Brf=h35snEsRsgW+Tip(WA{bS8Vt!aj{WQ6PM7MW8^f!LL5QxZgXLD-XpOij^3lG z&pVD6XQ5Ti(GmWi(;ZUKl`pmQeHDg)1m6^_q}5*o1^s)zMPX|13|~ekSnZ~fM5Nkw zO26%lpgzSq-{3-J2ywr;n_LXwa>wpaPjN1~+0B%2uyFGJpfb`{57d3JxUt^;_pTkd z0tsiUt55)9lKyRAdYVmI@*l7OD0aNZoz(-(QQSq1o*1NEUs zDyZR=nBv0`)ZjC;X&3|uK{xeZZLIk}5FJ4Mqn=LwG~6{d=Y8~?2(qBv2I;3AzMTAP zt7(F1Gh{662xNRzvm4D%6~iQtZBu=@iFM9~8CyX3X0r#HEdHF-DM@Fu#O<|S2#O8pv*3tW7DFpr;zk8{(U!q$KO3t^Nl`ieLXfMTN3ZySrVW*4I4||vS zr(hdtdSfx=u-gB{BH0hcOXAy*S?7ZYcPgrUYN}R@nK~$W}H|K zXu|GL&h0HxX)+Dj<7Gg>R*6%8QLuhB*_{VczOY%4YcWWy&TI&7KB^%xvh@7j*?j## zDa!FE6?x--__=Sj!FmH{;7-=L)}_KMkY|(U@kX~7xzY_vv;_Pkkif??-u?VrW?4i2 zO}%Y@+3dgN#)P*{vJkHPkmYKbtr-mhZ`=dLhlnme@|pB^G(H^6yjcakGl->_q;Mcv z@gXy<1C*nl$ABxi{Iz5hpu1JWaLT!83ggO%S>-L}-830dFrr%SuS#{(hkX&0!V$(l zreu^KNmFz5i?e)=w2-DEVY~3(>s<^rh5UANw~|E zNk|`0VnTE2-pexjxI;aboW8y)66~o(ddg=VeK=@9M?U=$@#miMxcK{&@Kb-^1AiAQ zlWc`djeG0+>{~kEwfKdbJuH_pLclk>W6ABav+VPtJCAuxzHuA27O956YCXW-*-#eA zl<{&!BAKvrU4I_9UShA~?7$-Bv+6%FBZazazrSr>XQ@BR63@qYN|E$?ct&0uH5*X!Oz=$7bt@AP z=2M^va&Z;d{A(vRsC*s%N8CKLNazD09$O@EUnS0`?VxT;{a4MSk?cU@PEjJJrCcQN zX%ke1^p&r49hCgdSjpR&I}Wi% zY|GAAZglN=e>Ane{YbE~_Np_wxh4NnG%*Tu`ZG>H&}=|;z|+}isrGdHGHLE9hY0@; ziUj8T+u+3)E7NSKOf$L5G{I#Wp0$&{&osP?o4l|buS|1HIxMOp{h2QMN7rzf`g2pJ zKl_?U9;q8D6MbKiN3$?HT>0`$Jv(!#zDVj{Ew>0G(VSpER)hc&%rjo zQl?o5?dv15r+1k~t55}=?vH0rU_HF-GR;ut6o-la1M&~*e}CtH=d0b`1VH|F1#d6Q zf2K$K2+Sdt6MuYOWnbq%SId7Mk$yS5p9b=f4o5p1DL&UFei@&34>J3e#b-pwMwBxB zub-MKM+)9-!ji|&7vx_XJN;Y@d6{Vmznt{*J074=KeM16Z)=Jyt)=*SNpoV7IXj&Z z7mLV0QP*~NMw$Iimw)H{ts(xV5`WAeH#+`M9Y8Y7RFgmUQU4tOD9x$;Qyfyj+t9pz`z9aH1X5^ zYoI@6<1tnR0yg0sx4Q7vWHUAwt15riUAwS%>Xh+M{FuAPlG|@Z`Nx@RCx2g*{(k}< z_Y;3hxcm~wL-9`?@b@j*ryo?x{PQ3(hLY3AbR?j}V>{oYJC)$gau#;y=$kqN1n2%^8{%xQe6>Ihn?`Ac&L)}ElEl+kYb-$h$~uMoj@Ob$04B9WB82;nH-vzI2#0O`pcM zxBIvb0jlwvvS1>dsujSpjxoTO`-UcMrxrUHJT4x0$S({%LZ;nZ{z zQHqMv9tcUO1s>p?h4Be+yO)HLEGtRaPHkfo>|1ep_Ig=9xr-!W%$xyB(%;x0PsRj% zFSqYiWe1bg{Af5Oos$Gx-=3!2vnfyVtdw&i>fFCS#Lg(qC(tGA>Qt5c6x;2toLmz- zDm&OX1lZz4H_xD?!R2wU3DOx(O(egBRI$(|GeQ%4$|g60)0rX3?20aGik_RGi$ZVX zYrSctERUg2cwRPVzp@eUMj^~I`bqK`zn;6J%VV?>x(1v%f%DF5eE&lK&7d)RKSX}# zv@%6lRwE*3)L+(UMSLe|#{Da0hkn%MmZm;tR-VnX1HO(C&?VSAx3OP5>i9oIo^To) zP^kZB<%y?)ww{0sSGsY8+3u9YS3%vPTR0+_vFOJ^&L7+Hj=5OMvLD;8g;5BQ>wgPm zAH0{V?;Dbj+4m}^pTps`FueTg6{-2h8>Az+C|3&=e`WY$v?j-q0<3nd( zywyLTieq&wwC|R(FDvj)vw%(5*x8r$$y5;)&o8(`S zfrG*`F1&}aH9AVxFgu2Ew&(pv!GF-T<=^c8FW8j46P~_8eQu4E&SUoXqxbi<_BXe) zAD&`p&8Ri@gtD)S5+B>eBM)l}!?0>bndUjy3kN-A4d*Gkhbz44t_SU>RbqBI9I(7Y zSb-j~{7LQ0Bb#IX5KtNVVoO@(J^sX}A!c4ub<4@1hw7wHJ1~A?}E6G2O(~O?>xyG{Zsg#QGE7i{SE)!3ecQ?dzXvnAMYk6%~&)` zZoTKQN`I!GGX0@0d<;fdNMGxPF-uC;aDwF94DDY2e&}~e^tbbzGoW9-<(K{!@yb8& z?LxG4W@?jvLB(H=pXcr?0hhgxn)nxkP8n0g$9Xq#T&euP__mRn^*GZFFTvlpahd#5 z^e2C8AEooOLm?FLu{?^i8@6$ODV%+*1Q`Gy3-iMw&i_A~;KDMRY0|&>z6*gDKhsG4 zoMsZafBjW6fiZ6F&1mB*mVKuGD=YkS7Vu9U;G2E1(7#Uw{V$@g*Z;c8Use9GCy27- z`EgF^=RP_P3gtf$_{kfO|0(|QCQ7uVnUq~SS_OL6s2ZM>{8jXCtDwJ@;@6&mq?S0)ZNk7bA%OWR{H}ZP}@bgWTe(lL}C)>X%`yD6;DC3{-*E{|t zb3r>!E&VMg{Shahm@yh7(M~z+{TkHoHS(V|LHj7__X5py=wEnBC9EO+lLk-*jPq#e ze1F+7=+9WW%K3-QG;$}gFU$$(=Wwd0*~kw1>Vcz1o6RQNwD|FEwoas2s)i_de@SQqXS|J2_< z)K2{7KU-JmZ)VB&TjxKx4irX;{ujXCYoM{N;xixrxMo24?-qURx6L2!RlD4a&BeNo z{~0uX!e&u^@{iYh5@#$oB|i?h-V@t%|No-&V=HpB&#Dgpfsli~_`1t4@`-4N_0@kS zjsGNiIcS>yj+1>35P!7as*XQQ3&?BuwDLnfe|lDD(kr|96Rv{<(qVZY{4qZ4E%E)R zv+)Tjy>)HkHu>K;(3efwJ<5Ph*j)HoSJrM%_U8GIGkLy426;LbTXW&TyzqnJTyuFM z>d>xo@SFuFT`f&hTGWJV6$uo$Op^KKX&lLYyR_Q6N}vH9P^qvR&q4U(d!drY?Wfkc zu=UvZ1u|3xyJN5Fy-=sXSVWO?V`oryP1p2l`sCKearUn()JEAE6yIBe9E9>6VC1$= zZcqi>u>?iQ<(^V#dC*|`{aEnY+W25Af|$UCaSuOwfY{N%yz z;->s%=c=d{8;A-+{gPi12*BSJV2p*cr#<*K769}P0e@Q zxhB7SxH{Sh!|RmTgd0cjD7|CIbh{L6&o3@40$p(VitPlO8{-?_u&U#LZTMvu{jhy+ z;m_^!N8rae);FE}kNn$R_{9IC#Ao=Y&Q(?g9k0K4(sV|0xIGsnlHQdS5q8?yW}7JXqQc}mvRkxT}t-nnCIo=ycJc! z-{-JC_%->?l>hoWR%c3m&~4xu$tj%wTVJ+MuesvCB|qm{OS;kEKGFY-^ZzH3Ozd9Z z{*lPbqmqyQ<@x`Y`adrJVE!V0;{jv?x`byn+T`(ZndGxCwKG3|OXp$eSG*$q9#NB@ zPmz3O`I+>Wk^kg#4&!e|wT}j{x8GUfA9n`F!ne8lwN&0SM*Q}SY+3$fd$+X~w%74z z`8DV79`T3ydo1K{bLXG3Wq4HnQN`cs6R1DCH$LZYtoU2g@rSpcgv2erLnZz7*YJ08 z4SzSOy~@7!luypz+=-Xgd!4C2|E7z3#oy&!@)u+YcE@rR{bffciF5j&7yV0|em+P# ziyiI|{cCFIze&eOsiJ>w1^t~w|9nUPaYz3K(Z9Ba{u?#)7p|axr0Cn1jH&+{9ev%; z7j6*!D*p{N{$XqVRr;B`g1<||pRIM0zZKJ%6!kq1=2E~JZgu{PnK^Spfg!i`l$5Q7 z=J^k7$F(}#?-mQ!k}=lA{%o6E zu9dlSm5n)@`Y=U6BzBSH+gR&G{irRYZ)eMSwme^FYrm43c{a0Tihzj!-^p{srOJlX zK0rfk#NXyR+qqKSUX{(yVMml)zTYXDCvj&}ce~mAuA+aQyE43#qd&Fi?=AXcK*P&_ zr{tSf%N6-*!OH5tl3N+|{cW^ZNwZ=0$5V@evamd^{(IESX6^fcx%^i_{=*?JR*Yid z$|_u~FC7&{pQ3RFoy~D&_$C*vys}AqJg#inkB)h^MpeMQG_mCNhgkyKSC{?sv#q~@ zzlG2~qwL2kbE8}~w`_f~_Z{3pnNbNtBS5&!o^e_P;R+S&i7l7A1`pF;e{>3=1y zEZf={+dp#cGpJqY<2^uMckTEJ6?GjRRebm3z}w&Wx35v<`gzIsL&IqI|?_MR&5t z!~aOX;HlMr1=s(7N=KgUdWyf!F_2+5? z-Z>KXNpkT{l-Pc*&i4BOoa>h`_(D-E%lQ^nuKil3Z6ya_u1ad>G& z!hZqqp9lLO9mOkt;wAh|v#Gp4-me?`PYz~S7+;H{zv3$9T8JJlSA0>7viP1`^mG3~ zbwHQa*V}XY-&XtO(l7ZxLHwg%Yc}>2i1Ik^{~Y?Sf}g#8Lud#Z3)!;k4Xl_o);=I_ zyB#>awfOZd00ex?I8u8wYd2}0bK3CztsVDk#FA^{faJMhjM^DZTpLW#_@;#BW_F#A z_4_6bMG){Evn|+*{1MHk)xHfPMZAPLDn_FpC0fDm10a3gTd-A%vcm2InOyRbs2>e9 zkK|o#ts)OBI1(%({MLQ~puPh9%A&=V8V#$iQ{E%_tv^Q_e0jLfh~2MjvYq7bM}uUJ zAkas^PB@`rv{^%QyW{01%$7fNqnWMUO)vTTfvgPwMiuzi6@GjFI`MP85NL`!z`JmT z&nR1t$?#7t{p_OAp7vQ9I=M~RhVPG`1Ne?~vynxmpSz%c%OgQI{H8Q5;y5TsP>;a z`9=dT+gPTCoRsl!IVkmO@!{zoDE}(c@Ai=ARq6MA@P8pjXrK$kPaN2UWtFY-{P6

jRyI!{+9aK8w2FFrz1&_Lev zd5(>>U*K-9O@?H@CxP?pSbOESJo(l<62n#GzS)yc@em~qgD*j5-qR4P;$Kqeb>MAH z%wFDu9TFaDD7_heWx~UMQa!Ix;%;!@pG7_!wO70f%=|7R8u1&2&6R*zzg0sz?A0#C zYmo}2cmh&91xoYB{vX8pQFvR_7m{b7H%pS@I(WlsZBit&-vTN4G~p58Y~G0H-H2y? z;US&0?!o6f+c`=1niGOE(^Y_dR;SOq!TIOfC?-yB2gNaM98ylKI|4uNt$Yb>2~X1J z-+>3$5BR-A)hB)4h5E+;tGE3chL-lI&pQFLa;t`P*sEQNG&)g=hd=>$HOBj-%_~lM z8&?b69M$xB1+pBi50olNiW^b?t+x6RvE80SFzBL4dd6`WsN-Ie4m;t>Hti)ZT@TvZ zDd7)A@fd7%A}HcXAsq2XF8C})yNt7=Hr-`|Y!@(p4CyLL!g&pBeM*Vve+&M(dK(W( z#+$*z@}M{g`XEjNZ^|Hke%%Z2j}4Qikp(rf6zc6QIfTQV2f(~o%Pyl(^APN^c&mnV zShIc`^?3hB@i$P{x;jyzjEMi!!uQZG-~c~rrdl61?4L9<`khbu z{sN5wXOX@~l9J&l)L*E2wiyqbA}^(5`>(gMt^uh-qWdMth<7IhNEQ^x1yzL0w=d*UK zPi4cnc^CD%w@>xSTlmEy(v@w{wiGB*)7Zst6gD>k24SZf_PYf&?UuR>_Deo|AGnzZ z(rxFY`zzpIh6IF3B}q3MvdU;s$M+7ywh=x_cPZfKf^-j}F4ZULE>p)PExFERYh{2jvl63Q<{^_>*B;9u$yB696} zD9LslH~D57;KPsoSkpe%oEL4!vF7O(M*u8F@UNI}5Rr?qqcU=@g~m1km%ByWDT00u z9%d}@hu2}9d7&+wV+%g{1HOjaHxD%MHgF_7$sc}-`dO27kym`>B5q$n{cLUZNn_MJ z>EbA)QU1T6xPMDOqtN?sz#P!3VLwu@@&~SZ%?Ie8$J~;nI}PyrLV`2Wn^A!w`NQ+@ z2VBbmWqiRWN}r@V1@N=TzMBG{`NPSm*Eh)71tm$x`@I(_k?s-HWA({-JKxXw?UpQ* z?j*q2S2mMBkb0GL^!ANlyS1F{{tS41eJb)~((#QSxY8Ov#xKDq>HY-xX&~KHz?JHg z?ao8J?$#EbB;5k1*xZ79t$+v%u`X$?>NYdSpdc4&sfHjpQ-M>W_b_;2o z!nc(Bj%dk3>GlPTy%{J)E~!*WcQrU7iS(w;B;BT%7ty!(&GORMFpJ(>QnQcw&r##y z+rMb@&qVi!@J~GN6@VB&`u35){G(P4b?{5nw97IuD?SUd>;+40?<{pBWM2r@(0Ay7 zAzA8tSZY#Wo)v8gK3VDrz|&HkE2a8mDdzTh+bohS#rJJ7uLH44veeV82X17AkQyFC z7TT}PQXG{A#uWBr%_41@IS*RE_jjO~t6G>?GlGA`x^0nLK3ncK=GqgJ??P*qVYUMg zd`H|GF{_bE(%6&8Yzr&njf#b#Z+;v~p&739M@ZZH|Q1kQC~odMpGj90-%;~>j6XiMeuG z`@pdsaHaa>6?`w=Hf`2VUU3%cztL8obaf``Ki}pRSArtnlf^YlzR3gYAb@H2@PS-( zEa;yDJ`!}&&t8Ck58B|D1d=>SmZ#w1V<8J?5D7lXvM1pA^dx5xsXoc_J=A}pjproG zb*R@|QR+&vaDMnY=<@D~_%@7UN?73-VA!T5%dCK@k_AuRhTnh>+!c%dNtOcvKPl=& z2%99!hsfbr;SSVYf={yWZDY>^{!74>>XR(Yw|K_d;YqS^-C(7*`XtMpsQ(DK)2J~u z=#nDeu8jNj;l;Ge@_?DMBsO0_Y@QQkFs?_$oh{p9lcQz3COE1>y2?@Y_mJr!$mVUm zI(QgaqMwhzEw0CeBQjgB3iy7&^ZJ#y^(v_U5v1D=^pk|ofSaQDobW>{AJ#kWO6!9l1)3kd{wK4vTV>LA5x3|f-LJfS#|)hOtB+XR$?QqJGB9!kWc_u-k zhMJrgeV=XBupg;4#Rw9q{=Xpgq<-0&STE=Kws<-%*Vp&)t|^+c_VY@BJ7QKS1v^ z-lXVQ1{l_cetpzg1PYY}xIY?5k2zcDz5&j^X*-FB3sNVscq|8Zvlhnte{<|eoAA|bu zwbdu7e}nqBKyzTliJaWjl95uc0hpUxHSEWl$=l-nyy&GLzz2TY(jU1R!M|dULBu=f z-|dO$^MQ!y-lbfLa4ba7PJ>VJ%Y?JZoVdR*&S$GFU8f|%3|EHnB zV>WeNWOri0BKx zf2Eznfon{-N0x7{4zIX%umga3lNQzi4le>Hq{z1mruUJg?DS*6-wKKk09UF{F}pA7 zH-Zk+T!46z&UXZde`wQf(m6-5)7$Ekq#VV_4~_z{;Atgr(k{_JJnjrGxCXtT^P=s* z$)UjTwbnc!%?%a<=G!6B)o2?P;gdw$NTTb2E7d27wnjZBrRjdC$Vrlj>km5XkF_>x zxO0EiCy9Op_=7+*jT&s~>yYT|mKQOv&e-yR_hLOUY=L@Qrw@3JB-jjLWz2TI+JYtK zMDfqyd~Lx0q;*!3>Q@0gp$1CAkK^zj;Ez`)c|@$A4DjS02lx$k{VnN@{kDSC@54)e9b+nGc+LS9Y@?ON z!6!j$0ZDx;`k9_NQZHDdjVB=;_;O`n1YcP2T>UjXa{`Y&ubJ}I=Rk2}OJ_usRwF;c z9F^zPtVv}=+)ObDZP1U~eIRi~ZG08n90<;ka{`}3AX3gNc5LGz`2erS;ayk(_wt6( z5kA>^YQXDVc9AFZfycXOV}9Vd0(cVLB+Jaeb9>7-n7vnlbolya5L#@KHr@s&dZ&?^ z5{6j@p$(3*?b=9Lh1%E{9R0kdjZ{A)xH`X$hvXYy0}rzS_A&H%Beo}P%!id6N;klB z;_ay}+MrhH7hW6FlxkyFn6$w+BaSK2#$1EY zMrWi9_y%YAuOL5PAMZs<^&`R670Q-LyyP1jV7%b9$W+JwBsHFiY^{o<=Twq(BY_%Tveg>*kD(Z)g0#$zSgSZEO1=!}$sEXpThPXlMk zV^bF8H}81GjS;a>X4P@-Fam zh67mqs1456c*c!gmE;@qqW*!l`ozf+&s{zgL{wB_B8(^SXsp zzeTK1(&4+aeSnDY>|?%RcciB}{trlvo^E}EBU}6EmS$6g8tDBIxPyMelHlQ8Xl?O0 z7aALR=6B8wdX~srveYY(6to z-wIZy{AT5cAl;@V++GOY4pcqwr!>zT2lyRvocN|SPab3(QR9Yip!TzQ?=!4oTX81myM*aBH@o zKXQ{WKLbv97x#QE4#_3Xol$>l+ZdO8Z&hUboq+kogdLEnzJOQU4vE-z!^mN(=NGJb z#sMDCMjP@BYvz_e5wL3iN1~cOaUkhP-^=;;u28(u(4K zu+c+pa;0eEJ1UoK(*rrcJ4V36-fi$BV*OEok2|fufjr-;iaP`H+CBFvSIi3xFC@$% z`Pu@gX_qDW18v9mS`Ofa)F}Uk=daUNpLo6+^#icn8{mzrH_W`Leg6)M2?HtKA)j9? zUN28tnh~+U`x{5KuAwLR=}@n);v~e1ohQJ_X5jGb7MBTrD!>a+n*k1&N7T>Q;t+2% zuk`_po0$)kjie+r8zAwdLE!!`;TagAqW7MFC>RO3W^T!qqTprl$!nE_PbKlc807j+ zTQ*De$AMdeOxppuID)h8^O0`K0KWxY^khAL%x26c?LNS3v}uIpHWkha*zcj#x7g9i zatm<&xQ(}DyPttKdx9omNjy&t4?m&}KGp9F`1Tois^<*c_(yWYY zY^9rw&q>B~Pj0f;W5D^ccuwnLNybM}&#PAh{Edja3qlrrZOhdsS@?FT0a)%0$g+fF z`6TB2WG~KJ(35!ZqrE_P2=;mc(7b-nr)6kz{!S z=ZU;Wlr^a&S)M_?)uio!ETbWd-eiZSBn#(S6C%rlkOgnX2-8G8CA(}6oEQ+p03Rui zZUArXc1b+bE~~e}r}~?_$g+&=l4vAZ{)r4fA+lTxS?FJUg3s*oAIP#r8*j-j=Ylu8 z?y!IKsl@Y*fXACeob0LoY``B>QvZ`KGV(61m!aFlk7Vqy*U;kV3dqJh!7G0zKp8KIvf<5b zan6@~^1+Uk`9ups@y%XNmNb+3au=RCMxdWc8reVU@V!pEBu?a^>TNmveDJ|*kod|> zFuPmon*lmm-?P6 zfuA4s2AQ@4GL8j5__~HSm$<8W2Txyl(q!-aAijQ%Ue6y2#jWFVM}#;<9<5#oey~eL8#~ z&C8O#&V+uhM*VE9d0>)dY2=Wf%TF(Z{FV=I#{BTZ5}qdo&r`OYH6&Ty!+hJMOWOgT zY?r0e_qxe)8hG9ey8o)P%hizO4dtt^K~7u8y9)JFfVT%@9l1!nje;znwB@%{KMC?1 zzv)HDzeXLTdgdtB4V2-Lg+6u)bi9O< z#s@MP7e)QAAj_X+OOu^BXFv|_Rmn0mA3G4T91mI2y+z5#&H}A7P(O9%V_$`jjX^!B z^BzXy?F_)LS>j84;?=+Z!{F@+a^gv4cx2fJyqyQWmWn(kSuO)_r{nm~sNXf(A;rsv zkj37V_f;p$C4hfMGNwBmlf5oR{hqQ(fAqCfe-Y|Uite%uEnfBn4|jvFbDb>nfYwRk zE#1MFWVs3T95253|JXYdc;BY-|9|hv)MPA8xYsong^~vP*@iSIQ>9FqsZ^vCigVCF zgAkHAA&HbyLb-@slSHFMjb@pES`>ba@ z!)HBf*y9O)V1?Pnd~v(zJJ;y5ME`(%;d?K81x$>8)1Gxmu3g6F%Lin`m9pX0!FFqx zlVpQm&7L0O%+}$zDE@yXKA!DfEAX3b$BX~S@Y~Tg`ktW=I*mMMv*yb&jJ^Ad`^~b! zo_;c$zbzZqjB(DJ4L)9-CHkux;{O+l|5rr%${{zK&ldmd^m|6Pj?uphi z{l?yp$d{YCF<`#vzb6dypKO-x+F-H7*t?|AptvSJ-P&8k@sy?`!=yhLim;LuQ%;Sq|9=EaEYYc@0o(pw~<+*+#{xS56 z?ZwUAmd%1KX7dkZv&VqxGW>rj{NGpc#qd8)w%r}+`z3SYHzeo&I9Ki!;??+1YdtQF zt&eXd8`hLB%Ot+MOEIvL=(~q89&c=1+FRF@Y&?Oa5o+^`WEyXh9Im!~uxq)|FH!I4NDi@S z*Ri>_;~IAk_y;kaugiD7_q2PLW>=~idsk3xy>Jrm4jTPf(JzZJ`I)4>F4DSMCo0m` z>FDbx$`xZuM~i=s@ry=UK+(H=uuHq3)qFfroWFkzw>-%M$%xqe4g&P&=LL)m~MN<=vB)cDdj*`fnv+*NQKO>It&^k_x|R+)(^K zmF0eSS?|;&y<@M?X6DOL!k^gNv)hhn?R22C8rZ4UUi)j7*`Q%$_=!e_H#g8P6y4rA zci*8@=VH4z&0Neobj27nzR5He!Q{@Rk^rjngXV``R*~?J zD1llwhMR3)5E}HW1G^|0{)dQ;)s>(i3Vz1e-`W1%+n7{ihm#Yn&!rynf(Qu1+C#UJlwJN+QhzgY2oANkH$ zf!}iir)kuG3>&^u8ozJcLdI-9Omv>A*+Kkv7t-j5i~bVvzPHBzIngy-%QBAy&ZJ(W zU)=q9O8)wN+aGIe_xsQGB~yE<0aR5xN#*P_e?{^fNq%ORf?ZFD;yvz*Ar4F??+E+V zOYs%n%&@T>kt=kn(vI&=W7VZ3B z&NI~F@m*r^n?~Nu)qnC0Pn#pfF*#0hz9YH_+UNoAQEOs@QM4B|wKjc9PgXD* zFyehL^i7lSE6rS-#q+$<^q|2k*;SalUG&vO4+0`qV0(+jhLIzEWy!2QL2dGRf;uwB zti%evi*+p=k#&s8t7&AjZ(o^&J*AO*=;c>X%)(N^9n;6VzYBlfQ!_c{-7b>D+x16ChdmEsXlx_;;a%B5 zBfHMtqJOzUH}5_o`iioLa};~Sj+OjL;0 zP#bq;OL6s{Rx&x}%XX3z(d*di$@tNWd|4{nbl;|Pk|bYdUWhVNly$|!lV3X2hEGsf zE1xXtqJe5wZ6*4%Dv@mGP>=LPYOtO!c4x6#fTF%js zZ`R%}+#Z(XbGo)+?K{PDZkK|!Q25^pXNtObV(n(4b9bQp)`?mBwVpUBt-VkDJgaST z%-Xk0&ZCn3ZRs$&S^GB8Pd7_&O38Y`wxXX=p<`vILtOF2EgNC*?l*L+M;=fehnH=+uKKqDde_uMV()&2~ z(<9DCj^4dPbZm)4W#-)$qHieq%(T`E_-sWNocoFA&@P2~Q1}+cSf!z`-&FGT|2X5>EhOWApXkS%C4tY|Za2|S zsL;*3U9nU0Wkq4$asP{%bOTv;Na(?4`P$-qBFqX=aK|iPK;C^;5}fL|@%`(P#!(rK zcSZg`1+UDb*Gm2al3$+JAo*(9ykfA8(PnY+Fo#|$>LP(^Yw|TkFB(RhS$#&EKgxzX zrQe>fHXGKEoGT(qHb)z(tBd|h$v2&hHoOOMqj44%;j4+~rY;3^p)k*0C2HD#R}p=; zXzfCwh9P-WpBUh7ENG?ucV)?8e538s{=1Us;3gAdvi|!T(XXk{Ee6(#_UKn(G4M5c z_dDYhWu~O8D4ySUDb$0)9DTj0Y5$!mI$z=yOULeATL0as$Gb8fX3M+3%e%DyPL~|U zbK1e^))vc%9&tv6l6m(E(Qh^jjU4;$%cDI*UGMgkcXxGt!Mml!b9a}5cTkw4ZxJ>1 z?nR>iBzpJnFhW_|^?FzK-#g_UZ(OI|Eh#y(BwP2%E8aa{^oVox!n|8S^xMqBig(LL zd&;(3U*7Sij@Y!}-Sfor_bvtRpfGFyQBsEjZ4W|)~zoO zk{J`;BOb;pzDlM4N>jKm&k^09F*c#*%j-0%>=F2)s*cthO2>%)2}$Lu5BHk&gnYn+ zZ=yP<<>jiiO4p2Usd&BQYm_MLOU0K+GVe#IP#gF|VH~4XZ2e$QrOq##x3Ab{(e-ul zpP~p-)tJ=Zm3Guw&n6Xqv-y1SN5+^9wt0uHg^a1EO2P-a6m0&gD2!$g%jQRee6yMN zB@dQodw1t+v-vrqe^C|k1Eam15xL~Qi@uWR(k~jx zEV)JAaMeWwHl=q;Bkyi()a^}n-R5CQV8nQiT4(;yBTT|S~5 zozed#IlogYlxM8V3;(UexsoKC6!T?7oLnJ!S(k1S4i_Cvz99>53$0=FgJlWt&djd0 z!WN=)hAP=n{%+FfsG3Gw#Ys+aNrNvN$``&9UPi*TJ?&EB4@&w^?NOJ>r(Mc-YN46U zYe+I*Fo1G$K-}o}ioZH{7@fJ}SH>Ue=<6$@RPQ5LdvPCuI~2!v+tDQ4FUik}>j$*D zC69=IX`>f`+_^^-M`@|xkNNl)Nnq?+w&vq((fKYewcnTc@_W(06tPcZ@($78Yq8g{ z_eagb+eMGhod#K&qlGr8E-8)a_3A%}Gj|sz{x1uRQ8LMw%cIvf_>sEY+leq@J z=P36(P=Av~zCvmKm6Eaf3-XaGDo|bHeWGtGA1|)OB<*`-rQhu(A1{*Rt%aP;+}4hN z6z2{R)l@R`<8vG6{}jC{`J+j!nuIdim+tlnN=)Nj^7rixD1Bd;@Etsp!G0(l?j(&r zuk^3i_w+BTqgE(>8AsslLT(XtvwZwsq<1-Wu&Ly5g#+5BLT>H&Yw^cB2BFM2Jyzj% zU(k52YVOySZW4Yc3E%C~;5Yha(#RKFw(OP}{WkHRFB^#4-@|Mf>ou>BE-xKnbKw7@+8fmRmlhIXj9N>UZ=(2j z{t?l?QR#QvC%wb2FI(^6san5V%9U}CsGCIhc|hKEEy2RCMGIx5(oop%E+4lMUy14u z#p$t{M$S$0#nBE`a z$Hp8YhZufKZTx(m=m&_-^SNnnB8HJX6s!yONV22KZ@<@uUu$-`pqp*i$!}&K^Bel< zNhDm`wL|}XRxNJlNS%(YQ4A&Ue6%ua@XZ`-SUjnXB7p-H+b=JNrJ17rm8zm^Yu$LOp*i2UUe8YR%bEKSeu(oG{P z`HL#Jy-8kITg338MUJa*a<#{8Fzse7ZTh|n4yKD|z2bj7E{vc5AIyr&zTFPZB-|pa z_<|6W>qBoh33!1<-W0eylpFHQV!5}>mbFo_vu9{6`f$>`kgc%jh?qu(nE-+sZrR3l>)qvZ;c z%smS4*MAm0_x9OR4I1y3e4VPJEo!_>l+C5_W@-F>pjsb%sU$Bb&Kqmj6IK@e-b!B^ z3Z}n6t+r4_GGD_3jTLLr#R~c4iftB4JIRvKiey7+n&fOK%gQTRMkgLk7YVJX8D!i>_bNM&izC{8a=(!`*q# z`LWZ=*<0LFkJ+K58xHr1cVinFVv$*OfyRfgbp3+1Cx}JK*56G6=O)!2WP(6!NonM) zR?jUIju_GP)`~{+_lMGWTvsD9-Y<<`jBRIM889U25xkiU&qATS&E~sACkB=lExoQn zj~J~;<{g=fD`=SHH>$SXq15&5AlYoYQWCx(3B1=~@4y=UVvX4J6!75siuP;tf-v zP=(*!TX)nl`UP6E#s5|iO)-BDQwwe`{>Qug zrtyd3e@z7kp4AWj^ud9Zauo+_1?n-zM%Btp%X#u*M#RC&j6Pes9`EX*#OMnOxA&Ty z!f^OzGUJvf-Pz-NDX_h45u+KhiuS^K#P4m@`{$SPW=f!T8|aq}^wmYb(ddO)zMd#(jAwK%zQZ})P8A7e$;sm7+`P*e zTk3Mr`5s7}ew|h|uQ7To(|`RA8ta_2>gy|#P2*LPyjq2BzTYT%E|#PUZEQ-sn}Cn@ zi@l8!XxyY?v(e9&g= zj{K)3|I-zXr}bzo(W{R9h8`n7XCJ@N>h6QG%8=@GW@&`kLi9swXW2aCu~-*XEcr)7 zmE%i8iD_J4^d~Ado5lrX;o}v$MazPsA0JV*Cw$HKttDqp#m8s%_*kO)zTktRU1wR2 z(${43PLYn4PG=p(701@co<--Rs^SS)W7I|28U%r{Fe zWH0;Hj6Ul#sYdR8qk6siQbOdsw;e(`FkaYhB|-Ykb!rrbEV>O#=B`&ritptx#M9{a ziZ<1$ZGLel5tX@$Ftc^KeP~B09`zK=dKE{K6|sgc={=6J(!JZO-;VaMNkUqwYvShG zP*B&suZ~ifV8V8X?oC2T=)Qn_(i!^7EPl<|-NkVkm0n9P_=74+D#e7e&_ak>uc*8t zg}?-tP6b;dwtKNVfP7RZ-lUyNA{YcRiMvGP{C1A zU5%4!?cO0H$|&>a(*YA66)s~=Jn31*WYinc)WMBVWmbl#v=`%Z%FvH2Yj^hK_9r=A zCiZlbHL;(PD^(>1eY=ipm#-z_;*e9556{W_EAJBkoK)H96^|Mg&;GfFkxJWwzt4Xm zKGKLm@X7RNjz$u~m@R2uPUvsqi1S|65;|@_PrV4nm9mq%m5)OzOyfKH+mE?aJ)2u% znECNAh?Ty@chU{zqy$zY1k!Rmo`{5T(675}x1$zcjn96=i4xkM3Qhb&nr)|{f%y$S zgYnjNgl`Ro4mQ8T&-~&oT;1Wg&U#(a7>Z0ufeTK{BkWa_Lq0e!Fy?$(Uz_{$#ooS(Q_Sk%7iN1hZ)hHl$9$-Pvdk6)>>mk{h3Pff6PK-RIAUm(j>*g z{W7ln;3JW9a46}&cq=`$$Tpqwx^OBqwO6Y_SJ4lNt{h!r`*8WwjNWWk$$k|5PLRlw&fRj zjKM6YJb3TLTJ>*N)bZz4;S!d@YX|Y^8W~!@l@CBu)fJO+6qi2VuE|+~iz&)Zj2vzG zp+XpCD)YSsivl^I9I4;o^j}^ z28O$WHJfDWSq~p7Ot38}*2J}&%Kb2BUSszMS=ZuU3-&M2Qij2J<#`kYg4t^6^_UClg^LNT!?#KA6ANQhFza7 zXKL0=B9+o%jp9QH%GnVvLMamxsN~~sCJZGOe=6ol3QH{B%MlRI4cPONPIHPXJEs4g z%FAJ|=#kI0o^K%vqKsw{4)Jl;IIIy_Z=AA7s3W1r7ca1!Gu%|htn@1=^^C7Gx@(&% zQ+b$r)A!8cLbm+O-G^LVVKyy#tWx3RW}a1QZIK_wIY%{0cZ*Z0wJ`ywUo035A?}{Y zra@*%-4kY)Yo9j`tRksRW7nsu%1E6!tZiwh?;6<~u_%wh>`a6zIkoPwz0We&yVGnI z2xD4tKQoZs$s5%fVE3}WvO|`fPR;rx1wcf~#GC2WhV>k7$VVcgl8x`&m+Ff+*daS+ zbA?V`?~EK(@m!G1v&8hB+}f{D%-iLc0f97A>S8q*18{ZFgT{JMU zqIw)?f>+gUTod&@Kbc7!}rRbgNcaddDQH?Q%J^Ge`& zoJcHytKS``ghW^O{vr|DfBuGP{I_~f+UibA-(9?V<{>MYsil;o3{T8`k4PYPHchwc zrL~-+ohV-SZH5%lk}7?_`tS6t17_tGeKm!0HC_EeSqhVcow-H*Z$T6OY^}->DGGJ7 z;;#%HdsX&h?aroFR(G#s*|o-N*B_#yGGlcsnS2<6t69~Vad6#7=(KjLPj$_ zCU3d1a0(a?V^9Y>92GS$I9!8OQwxh5AhxLHhmt6rGA#8StcVIPv|U>F6Dn&CU=4I3 zg^RzAG0&8FMGd-K$^9!h_t4wLI~nvHAl24&f;5>C&LoK!#NROVgA$4fcLOlv~G$(U@R*#JXzxTE;x&XuY* zXL6d~ugaNZcb=`dL$)VIR)J+u zlj0`Z4sbZs4+?kvP(vf^0L)|_Mh1J*%mYFh>*5YY0RB7U9zSNgJbVWw;cjX!7g-~Y zLK%Hsbx0WV#>&g)SqRZAq~j5~VQf2oyv%X`mIco=Sr(ck+OJa+0E#M<1nhyAU_iIr zrG@pb6VMTs`>DpM6|;}Sz0tdH3UnM?FGy#g?1l@kMjVW!cRy{mAE{^rKCcADD-E~9 z!SqpdITRQ2444l)y}#>gd(37(>Z>FH)#1_)Ahoi<9EsL&e#C?!N1z$Xox@^}K^)$Y z_2YixEf;S5d#ScWhhMnD2R!vm@98^ZXSQ5YLJN&Yk1#vbuA8GEw^bWJ(jXQmn$@Bg z3mojR;sMc85M(kVZ1E<4kOAcSybwW~;PnoEwiymyoiaM{6&L&`9riOmhTZC76Rw}@ zLL0pQw{K}Hu%Yf^UpRn(WC_uB*?XJ&>DemF!Hi$L<}mOTH=#go!$_dOm!MJ@q8VLU z*@wKyKSJ}NsUG0T(U74fT<|P~|L1Nbk;|2j!aD_?bifIB%%%!U{>u-GdC)P;iN&Zwk!KD( zOozI&bL&ZNSBtV)v2}OC@*`4|E~5zn>2M==EpxyD5*g z(n;bW8frSn;q%Qp4@gGvlhLo8XruQagDCkxzt2vJ;)_(AY~gT-`tN#GAZa{+&vj|e zGKGH5>`q|MuS)|k@H5{JO*%i5@5=h^AFIJm@sMw@p!+9_lH#@LM8_Eb;+ zHDR2KTQmBedmz@4RpwNEwBy9Xpwqy>77Nt;=KZv;uzBo&b~Zq#lv-4JK%nvVv#t>U zJO4L50kIdjoq%cFtMZsi4fO={4BUqAIdt>bjqY`vJcS z0KFD4A#U*SjcXl@vd|V;5@3uHkULdN?zJHD)9Djnygz)eMhSJa^-M~r9WPM$W5z^7 zofv9fZ8EUeO2eEOFqZEEtI=5uC$FVP*GGumxEU+ zP0&kVikW=2vKtk2i5;q>=b}hXUUXql`_IWF;jv@jCuE@==L3CB@I6@i*OqtiWnO=J zyNH12(EI5cc!B6^;8K{H{lhIqR+(lO(8>clbMvL-iSHjak{e5?q0<2^`d9>A@rGc8 zd1}M2#PMO8ich(aLPK-=d=2#gxqAnnK8-Ci*!=gXBgzZ)tmt2euPq5gMgAQ)^9AM! z2B=MvpY_k^oJVAfJ(ZZGH1*0lISP5>8E$lN%JiLqW2WaSriTaBQ0KBRl>1nW?~2NdmCt zXELu*F;U^i?Xq@NVq|~_eBj$u9Uy->8*6x9<}#S^up^*m2ZX5d)v*q|_)0yrbx7h( zfojN{IHZpUuFvHqEO&Tkq&w65vi8a5=n{X#IhFccORYO z&Of>FAx$QlNe9`vi~)enQc?@J=i(UsLjHBdqU@uInn*+OxKrn$EZT~M!IH(I*kX|1 zV8v|z&6^3q2nsmE5ts7MiIK{uUhM!XJk-e%f;oyDvdbyZ5ij0Id84$?;l@dIbo~Bhs3~Cq zxd%Csk^lHZHB+Q?h47kCnG&ZGGGIizNi@gU%F$S zby|$CFAK>4MERpkt5k9_y;z8Es>-&k-(Rh_$XGG4Ot)ZX840>OjB=9iveB=SBp6Or z${4r@Z~B3)GN|BB^l#s2>SN;LCcY+!gv-0&$(*Fdnz8+P{AR8@Ib_ zg8dg)p6&ru2!6&8`<6HPD`)V}2OVqFDc_e`tgv64Ffi{8c6>jEgP~3-#$WvoY!wDu zC}zr?$qV94?68A{0sL?k0x5T3hdw1lFh`&_-TP;C884kBH1| z{=E?G>~HtjDW4xP4ELc|Sf2>vk$%`2H8VN6`(dRkM4^$QKg2x4vUtZd+oMrRJN0+b z`gTlkk12T$i3K2+1XT!YS!f5fGOgh^dLUY4c#kxW8&=dK396uVH(%!fvrzmF7%W39 z=V$A#TJ^l&`Sph$u`#H`&jq)Oog~?bH(J-&wf_Zd17l= zk#9GYq#zg&<0qeuDI2xnh)&cgMSXDBV;&`eXArG@DuVqKn9{%(da_NaK_fmh2?GX3 z#>7kp=OY)-ms2^g!O^in(Mc0KFbf%j%pw(LACo%`&fmTt6Cz5`<`91s{(zLJ?s~M~ zU~&_Y=)7vgNcO9el0!_?i>kTv?`58%zeB< zBa)&z>+s$hFkODt>0 zw`T!84+qNB4n0Ey?+)tYduxL)a?xP>i$TZnUFp1aER4;8on~a| z0mS?C1vC!^gYRW^FoBPt2dtVGC0YPH+D!NGW40F8g;LHB=rV$OIQJE$iQIbWW+tV) zP;p5Mm*St+fILA?D^P=JLXB`e14FM4yOe0qMAW+I8%iYmnR@)=-F$xQUGi9ogx7kP$ta_$lTdA|3NjE!8N8rO>)70_hApO3KZ+edm)`jERf*2nQUSm;zvpme0+7Ybxl@N6q$g}K<58*rG)X&Yn`vCtWA z7qY80aui>U3ml<=EA&Pycis%%K^*4IxoT{uM(J#9fG`;=X2a!HK;)J$d8Fx;g93ZR z;0&V^URuPnCXR&KaTPsX8ggYP2WxA%rRk6(QN>{0IuTT}(CvJ=xo{P4q|CXPj+wA- z^er;LB{A=XaF)+@IlvpeV)9i0M11SwFE#S+DYTO6{Jcu7mN>Ie%gv#i$6Fr01mxR_ z&^aR6oJiHiH-+r2_Cr17E5BK-?n!cz$SZ5D_0@mih;FxHSkH2f1)}c~ViK{C6YQuO7d_ zwr-qDQ|xUTYXI0N{?;8d$zKV|z{u&shl$vpVqTcQI>2LY2tnbPI9@g19k}U>919vy zwS2jZJ3S(hmlUmwFS}SzndLckrR!p4#D3eKt4O@t184nl;X)2Hi!>-p^`KrB1;{-A z3~G;^{$?)c1=YbvqhNlM0w35$M-aLZf74>29^g|~aBqUYm=v8On|mmIwWwv3*X*4A z;rpDh(%pjyI)vN#_4lg+qLh|?{rh$M)x2?tgir+^gt9-_+=$#Q4I&73XYe@P4Y(vi zHWf%uk}J>9VITdflx{$?$&z~l*f2wbhmeI#9OV!P!E`5MO5}Z#Q$gy!R9*(6{BCCB ztCt#>ItBP!p*7y(6Sh?^{DmVOS2^`O2mCGN`p5-3p4`g%(i9fRerFs5oXAaBLXGuQ zxvAg!0mu~uUxweHULu2RjmWqC12~hfP)a|Po|eowxK+s}8iq)|O-HMlzyWXUHd$&a z>ChFCfsgnt@SW0=hoxZ?ZvF20!R{oe|8Jg1$X44#cz~`T=`U00fr&B3t^>cnmGG@! zFXvM-S}stnl@{%Cs)|z1w)TF)_s(iV;L1#mrg3( z@;Zx7wXz#3OANS0Drcm@3fedcQPc1Uj8ztJ5oF36YiyZ$yWJ4%LjA_%t?9B{EyThx zq9~30w{vaXlWU+D+`C~aUZ4q(+=@u5yWnnUrkaywkyE+wBH=D+IKpD5}(=MFP|Ywh&G}fQ-T%gyY=|; zK+~Dn_GV=&+!%tBeEWN-&oV!PnS~vbeZ8 z=~_bcN`>i7(RQ6K3<`p0xNYrkSTGCB1c!_{5r~F7MTK>I;-}+9b>0Ifd4_i4J(5&K zFrkm{E+e`v^C<~b%Yk%}7$5y-Zs6tqsNH9NuTW@qZD+^KOdk1fFc_9^$ELt{S-SQi z6n~}HsE$*3(&5Mu-hta*S5oN?<6w~~^~E_|P~_3(@znow6C9&fDLrT~^tczIu&Wq5 z7JjL5MsXQ;2t$Ul(8TE|Z6B9Vq;+)~-Wn^7t40cI{*g{mEhy#>9PaTWVjjvWa$ z_x&{B^Vo9AIPTP67TsiaF|ldJ?h?}MJBL;Od4-3S3%rh@=u{@8 z)nZ>ZYo}=4=$RQPDo%l+p0iKV+%1ae)}AMUO?;~ zQ?dTP$R=9*%X*y%m3{v>o{y+YzT0q)08OZ_XNm~fN$~K`({&nM6GDB*CyObnD(q$S z9Y*j*V91-;x9|pC@+kjnjcNx1PL|0q>{Hc*yCYn> z972KQC+r*A^Yy_pxWwe$Jiq?B-l>yxy8B-$aL6}lMm9A*3Qi@#Jy=nQCihAMu!}rf$7Hx5J|19jDPGq6F1oTBFzbiV@fmu?yDj9_% z2Q^-6FQh*XCT?!&qBMoN-AK~=O+Ui&*UMxx$?yokB`#qxp;_oYiq?6$-NKf9Dh9TP zChuTPUzC{$%HVd&EcC~`I!tN}3h{SzqRTsz0NIR9_Lb;CENo2I48IA_09q zD&{Nxc7F6RnDZ5LwD^T9{wPE#fpp5nOAv~zy8 zudLVS`%Km}gMH9=xeEKWEY?i!pAl?*u5q7n`cer;~YtBsLr(JIg&gh}09|jg14{b}>0k%4JW~gOvAL>wW`r%-2 zmYgDXP19eO0H5=Ud=#b`m4UV_NMc#&+Z)8vYsDWAu5`KYAxy}Cb2e+n7KHvk^4%!i znM_>=oNj3F0GWrjR~K%@JlS)bMk#0}ZM}eI8g&>aDdHVFTQavOzBd+s3sss)2Rw?} zq=lvCrj~esm>vGxv=m~|Icxy2jH_^Va?EBgbmCBcEZnS$)hno~Y1sC9o7&8=Bh7+C+_ z_juSp78XD{4KV!lRujnkKL&dXde$nE1Hf}p;-SDY`R;n|oJa4P);5!(u%B^*fAakT zbq{$5P*mnz@*rE|$)8Vn0kNyMVPGKBah>{<1)gZ#u0_&Ffo*aY>%==_t}SrU7zkD$ z6X9~lA#D^CM2mm8StBvp;nrqRBN+zc?VOR2{P>YXesnn=EI`k1s{FO~!ws0;BErk< z-Yi{y8U`OY@k3N%5_3xw*kj5}P#p}ojztz+UQ8|M`g0v$$Poc~VkKP9}YH-YGpvz<)MJTqsq`hzZze>q%z1bmwjArf*Kj)d2rpRyYo z0>W^Cbw_{TUSfuDicB9z(N0|jB+*b>h;L4b;q@7=?KhrFSjHT(>_2AH6FcS;j`5Lwiq2 zWI7@a2jE8MLG1ECLz3}5m$#KY-X-3gt5Q<<2z2xz!Bqc^eXko*f=kd*HK=$88Wd|JR2VLTy1eiNW| z0bP1(T#KH3qqX@AeihDU#wZjo7A=uf#zi(SIqwqs3S4oU(1rYLBSr?)6gA+2egd5_ z<$e**2-g((P>1&3kJkR>^p93}pz7&>i>>=B|~RS2t7QdC`Dvd;nO)U9fFz=7gK1 z1b4V)kYN%%RIQpJUU`ULYE`r+naIKeO5NahQ!Me`Q&<#_?%tgm3s4t31YE|HbHduD zierg)*be)FptaMxne{S+2scF`YI{=f}OznN;1CA z0^r7XN8So7vD0O$f}(E7jgqX<6lDz=^wY%w6thFHxw8OdD=z6b?v_9c)Y{L~Aa*=d zCqW1?{-&92itj+y-9HW$5%{|*04(?~f12**0aA8!hrpaib6^qy>`m&VPlP{0i0K|Z z?ESGH-BwvLO@VR`8-Ct&NHUHgH+6AP`)ua}r?rAivh68`zo*;=QuqgBYYWt|FlJ41 zA5-7+V`j#P>_gqX{s`@SOc!Y8Z|4|NnofNL7|l8bLoz|g0L*;2jMgCvVftNyKiIr%_Ab_0FDa zO8|OgnE({}{8+*EfkW)y%-aw!R0A<+{RUMVRw++aH#@_dO0W9M@rY^jUdSvV&v_%U*xqRUPoMfP0@|kpeZ;mg2@Nm&xvP=YX=8xv`3GP9#WviDo-{56U zhVIE*wggASi=L z?`;zyP$(jVBE;oWtr!790y?Z*fH)%@lqTErmjJ^o46XXf17wjed4=O##}x1uZjk3zDOl^6;mAS#nQkiD)|=V zy+!d(w3}W`DE0>kSb_cjFGL#o620yhCz2|$b+4lhb*Lm4EHw7$>CTjx37f_MNj9@hH zHa~(-5kBVYt`~)2)*oO8*bFG-gUXhd*pbD|Kn+eb5OuyKe>-{Ju2J|#Smk1tp!hr8 z0O+!<#6r*QDC8rtT_bufUEUl>zybzAJFn1me|(9!7?iJL%6kkVi4;84K+a+OGnq4! zVW)2GHXKi4Nm{>L60rpMZTO*`5_WvmhSoCw)skq8AKR##McTjSFw8=y*p7wzv>zQ_ zu4n$YB{!eD0D0)UO{{s$$wQR%!ywI`huC~oMb0!Zd?{*c7lxmBt64^FCG@)lS^Htg zQvt?CrIeX+UH>W~&lJV@d^3FT*xSg>r-G*Mb_JKX;1!0L z=$T+6qQtSJ4)n_G^!p9JHD^!X_5Wa#{UdyO5NeaQukZBV_%4)}<7{_0DKvQ0DjdO` ze`Ta&a_i2cg!87Wf4TfpfbaKhfS=-kFkpil$-ftmj>tN9ESHk7e0>{?-kpvi$g-v`K%u|^O=SQ2H>7L!gm+qNpq?Hq+ ztT^#sCuY43R>ZdYF;KY07-DWz3AbSkZ*#bRFB2Y2zO6Q3V?YC?5CGee>)MrdS4 zAJ`PI!G%VJy(5BmP;RZl#y2?x^OFksAXU-jREQ9sz>o+8+$zKGN5~_CJ|E-k{V3ke zEu1n|ajK6A?v*xK%O z9fbvtDEZi`GbjPOOGjE2@DywV4N05v?_WiQ|J1P0+Cd?AN=+F`F_|AFqCW&8J>Z4@ ztI;|57*N7`5=v4I?iq4w5!5E2sWEibta#1OaupUcX3>W5foDd!gch2P|Wg7(% zElhVPCzMLO%=(?XncLu_7)XSpDVTlv%lOL04CeO60I>kGX=W$c;!b=hBO1gJjhSea z6=>hY3hLutst2El<_eLTK3&4q!z_w!YZdzccf z=RXoMyj9sdC2p#{3GhC@a%bA2>xO-3>W%AF5RNM@t^kNW`xy6;KPa_nE*C0M^Ck^G zlS(0o{KeTNBMH_Y^o($p#$d&pFBMg z9}*oozaHtZtc>en9kH70d&QX1v&Q6Rh3e6Wu`>g4BM6@M$aP3g-up6jGnlZpmeD%} zu_E5Ff|1#K)&o{ZaFzqyL^GK~ZnH0>mWJM8ZkTq&Q}K(Bz2iM%!ZN<{Px?4UlIUsO zxL2viZ!+_rS@m!GJe+=}8v$9oR#GvO*55e`R5>zu8q%n6z?dTrO^ z+x$wWolf9x7hG!yKVsXEvN*{_^QpG|_6>Xd4LgyZ;%}X8QI7doFvh1IofQJFMKMS1nAmo|g-Ff~p=c?GBRjn(^9odY}OHhJ`{m#tJxKI!xQ zYqW{na*m2_x%snIY;!#K-N8+X-xbAlhtE!ApGhspifR;{e4M1V} zX-&>{@e*F<{6f$9Yae;322?~((QBmNhsh&k^m*~f!)qa5Cy4stPny}=I!SKOtBYmA z=mO`+bIzJnM~nL2>zH%Z9D_=f(kR|ZZ+R;2RlpCuHjc1|Gzsd~CiPa@AenAl*`aT! z=IYc<8a2m;nYBM^H`?VGo3q{JhCaHl6!$h8Slx;3ZK}nnmTD+mNJsmo9e;Y#s4&I8 zMZk?6FgDFqa;jmLv(?qU-I|rxwU<3nt=zg7^Du&pmSqik=EOqu@LJ-Lo7v|5tx7k- z(_);$MqO@)+XTZ3#rB%j(##ajBAdu})%tz;@5t~DPR@GNPRg_^a*=sB-LFGodL#wV zOW&a`VI?-fl#6{e{jj9wbI;tq#C1IPy0~}$Y%W)F+yiU=Ui%Z(letanI_M+>|NGJ@ z;dR5)OW3U#HECpmnS$9jlO|TZTA8K&H4TQ}-EO{h6Nga`TFhUAwy?r()2RK9Tgtw0 z$H|h!Bq7XBkZzS^Y_upX`FwL8Z&}T3(yg@X$qbh)Q}+B)>GtKC*8DD@Y$>iZggCg= zFVC~Jr$)3Je>awKJ_3?f)U0T}6hi_%0Y1vMrm%GQV_tM>&RoRvn%W7bvS%Is1BHc1 zADxUaYJ-N%Z8O=)Fnr374}3D_vnTpdyxa%GKF24-)u;7WA!E+3vY8-v8vf%#^m@DwgTO>jTW`R&RV<&n;Y^s zJ~I8(QAd*|zTp_7IJaOEZvp!~2pV@I7Q{TRwyqpy!Xe)IY@$>$J)G-i96928%T1Ep zp$LWQ>H8dolD#X*Tm*#Pl(4X-?z_#WsqNTGMmdh%p9MimHHJ2UvtN@im7hCivn2*y zEJUtIynZcE=#GEXtKGVW769)YCj#&d3W(Q$-tBFO;&_ zu#hh#wU;0Ky+w89QU)x?xJFjCSbKC}WRPtAZX&{u3x>U>H_| zPn~D;QPhDjOJ@#^=E!7+?2|OT3|6L&aTA?=ztL|ecaKa#v9%xHH_OwrA8Dx25Xuw}njH|hMUysyfQJ;P1dw=%++|`-@LN z^X*QRN1XB2vf+wK?Zo!mu~q0!4%f1qgc{%FQsWcr3OaqyY3XCAR`g-Wd_8wK?AB>SN`9cF`fjVaMe)+1 zYM*)WL`}YqbY)s zyu{L`cxnAY=D!cpLMnIqyFFrtf_(yUUap1|ZX)9*;RDk@mT%2ks%rVl(nzsr+!lTX6d z4p+gWf7g@;`kht2jmfG{5yKe@S;7!O^~t9h&_Y)9zv?yd<|@OZ>V$<<1uMo)JL%xy zbJz1Sex2h?O*jhQvgjI0aAmgXYgWO`2}3}2_Esf1(0718sYyLfwg?PWwu&FjG#44t z`)o3>+Y#$4BGi*SxY9I?lJe@-^pqWK(88@ANGk%7+*!qo3Y{(FeROKZ~O0x%vEezpH~DM&Z4MS;<_@gcM>rX zJA|=5;8mb9kEz2_gEF1R$~FAk`aH?YR|+omEelpc{^^nM9!TTX?83L;9MWalcjVjp z{nQrc8TXzbd+Lj!yP*Vlh#*0VARc7PLg|v>8f}ffrDS2;Vi0NkZiM1nwW@z~`7j*h z)8@n8*Ua~n<-=k>0!EET&wdKvCUE?`ma*%1NE0)04dQ;N9NrACl0w*lSJ1te#>!;2 zCeAr|!eEH#BfnIl(Ci^zj>xEhTW zZG%F3Bh7rwODi9naK7)>JYP3+z@9rrHv7HIR&8!)BdJh~1@TZ0dti8(E^&}X`Y#o; zI-+)*-;6vdi7PP~2&FAcONb{Yro-1pQF>{pBrj$4Sr_<+9L|Hu&>Gk|_}!j<*!30v zRT)Q2bUYPW`GM-}Zpm-zezB&>suh0vFeXlFWeQ2*uzXth zfO&$-+RTQTPibhS#m28vo`g7F^vXo2Zedz1I6re8Ejl)?ZEAdcrc0PI*&?CMHO9gh z{xB=TKf$-GRR*`gG1>EOf1TsW^-9**k++__)irpB#o3_{Mnry1g>e_PK9NS$U(hk$ z{#MSCPC*_|SaD@{$2@Irc-@?FH>&JpX@hH4`QMWQ`Gsvhl5Wv*RbEHxY4-OooDCUw ziJ5I`fwf1J6GKn+JM~=?XSl7SnQa-`!`kJAUJG@Jjoz|uLe_L=m5jSDzY6(Ld4h~3 zvwLEaR5NZBTN~p;K5;H&MWj3)r`9s-89Li#QM)}R+thf@@~|x}Qlfv+Ios7Gv<#?a z@1haF@p0;yukS+NFuq!Ee(v-f8+^vYv&DEon#^+Vm z%H7+!u0ey@IdV5As(G&&Eu(`WzUYV0ot@|Wt>6aDZM;K{ zicL2o(X&ubjk$x_Z4~ttwJ^SVHo|KK<{{a&x`v@CYrus6&6VZ`&W+GqWlgU6wu$BO zVqxDVK@Wv4xwP_aL;I6d_2Xy0t&3y$6raE*`WLWXN&0b{Kq{n7ApKsCl3Y9ePmt?F zz2_p&;}sstgRH2}vZg2Ll(WeW!!lP=$rC2(>y`r}&P2F1p+$+~CPl^i4p?{KM|CHpyYh(M9)tRwGub_7=hG9g3=a2p zXQ`L>*izr62CV8;OTu7tW@y4ktd-WXYV_q1nbIeMU&`!-bV5sAnRz23MizN*TT8ol zEf$^qS?pd^f<|~g>j@IRj75o#LP+Digu*2G;ZoSq>@O}~ z(n*`W9SwI>GO_P7dv+Nwdp;iwsQX+}&@d>7co4JEWn!+SsOI^8-`E_(eJyGkxbZ z1s=1LVEqW~XBAmP-9N2nYs@%rGj($+N;E6wH(l*s(93k0@zgKq(yIRIbn;6ynGv&& zu6{~&xVVJ5a16THoN3ai+mv?b?5P7#uExk)=I0_g# zO+gx^dTxk1$&3&>-}Yh`VjkIanVcc&j2Y}mG1t?jdgQ~ukZgOSd(7%NdoHm_X^I}) zbm`d9VS2)id2W972pm33skmNrbhRw?Xur}HfIx7@^DbqD(jTjL@Fo(y_}BODd{;Xj zZ;;2YlIQyCHBBJTS-F>s@dIq^=gs`l399!GaWnRw-d--ioD`4jD;Mv@{#uuSXD>r@ zAHUJ*^+UJ2)vX!PoxVOQpYvw#wf0Cy^6z1;S-IDZ^fnP66-427$Qa~!d}6*HU(7DZ zT3#NWM07TWo^1@KT;a7!^tu?x=~+ZXM+li`Q0X)6ZF zLVsMq^X2sN@D+1n#B7Em1=M=om{+R!;{PDG>o>8h)}*=zA(fxFcz8Gj2{PaDL(Y0E z)-`{})PHWPY8=uZM$%O%@Y?J-OGQc}qM^%Fz+%2>l5yq!RFq=>4*3V^fHZylwr%QF z$^$0h@_hulest5$5}u}qWrRM{;L^aOulLSEv%UAmncmVX(aqj{{}%HEJuqO_&h6%Q zRgM4tcP8h(J*4x#EAwG*5OP_PP%zmc1YF;PyN~DHWLfw@BR$VRX&Fm85wo46FEm)M zy?jG3v2I;@@lcU%*J$Ln`LYbjAYw|=dW^fe6TFe_AY(Bj+Io<46ciG)%(!YiY02`a zzw57uY1n%P3hdw9G1+?>U);fUHwlu=ZeIu0c zBg7QGdmd}=`Bm%G;p9oO{>$0c!%u@`b>Fup#M?rcWnGlfj~VKz4PVxKMq8v|B*Z1k$gvsB(TRoJ-V^>9x>j?<&P3$iR5leBUa4 zap!Qbs-#U{4aHf;<*|u;U59bO=OD;Ax8Cmk^mmDki3_FVqRh_6UuWmu1PdK%JftqRLg9M8(yjyj{0x4y1xSi8H=_W`b_lMTm!j`U}%O#62EJ2Iqb z=KGPB-htfA&e4>?R#igIo##|0w;)b^Jjnf__r2FlVpYrLd)vs}>iN&6Ox2~UuIs^# zESpD=frqW-I_el+X?kz$k##`>{@wrU=-T6%{=a{BpHd{1a$6FjB2+Fhl_I2lBvEE6 zd?fd~u}c?PuA@RVCJ8Al_)YH$DBB^kZ@TLB~bUl{+bsFzV+)dDd5YGH+%pLwRxCwxc2H3CZ~O zrY>h=gB*Hutxvsq^6C23Zi(e%i3&O^|K^P`9Y37%2Z~Ve0Wk$bb(PH=T^niL$lr7YY zSEF1Uxzh}JRHEO%p0;%paUx3?nG6;pu73YRcw|(5S9m9Ms|n$lrRZ6^Ex02K%lra^ za6|jVpW9r<=taWdN!?|aU59%l@mO*%*u=i!M9D>xSzxritibBBK2u>UYN+_!@a z>FbKdh=Id?E4<1VG3(IfH#PRHLRRxCC=mzrG|xzUsm`ChyR;B6IwEP!)oMOJtb{r z9J2>J1!+{06Ptsrz_p08#v|FyKCYSM19bo6o^nd9?KM?Dr$=9L?ItEyiuPn*{FKeT z%&P(DcQe1*BExGJl)4C>Ga-K=-(SA2vDpOURlkPyT&_-m)rIov-IiGOiD?bPS=y-$ z6UadM!mD%MJs>;f@M1@As^zVf)!>vD^aJ9K)P`sqqQh|jzhdxKt@g%YPHfy1-;GYs zU+nvT%eZENdBlx$3!+*c>*TCC*U>(^x!p$0p%~x-CEx4Vr359`)w)XSvNN9#VBtex zcZ(0i9gWk2F%7&!;~I_;MDUHbIpu-RAJOfSvR^B=I=Aj4KERn3Tna8np2Y@@KBV$r zOsSso6xbhMc|d=zv$Cv)vsFxf`uGVZq+K*QO|esBuhs~k zO_a!B6?2?|Rq1TeH+=y?G<+(T?`!q@X9QXGZ z5LR9|nV{h5?Ys-5NN=1R^%&K)!yoZ918G|OZ%&RiwMfu@P+ID0kX}^s#^F?P*?_wT zGX#P7enE1}v4fJ?a+yagbjI+%q5Z8-wG$5&Y*I~E|GK3iyk{mm*%DUX{zJ>>=MI^@ zaax)St$Irwq4Wn)lTo&SC-SkVi0&+HksBzAL+#7Y$YZ^%@=v_In{kLdgbaEDPa2!} z9p5D*vn()gU-Vm15(0IhrO>fF)gDwL&qxsKjo*BwLZRv3owYDa%r4@VF;1}Warp2t zDqbSX(IB^_mb~YgF>P?gi9JGOHm=yd2whw!@bVQT2LY_YIHhi~WD;IuvVVa1oxqRx zE*mkunZ?)&^BihL_HlxJHG=hK-r0W2=5&U3{5H0ptaBcHJelp6FMocm;HVx2ZvneI z)iu#n*L$%t*XjxS{O*ktZCq?<_II@1P|wJ&HO&wkED7-1pPs5EOOzyvtj()g=LVw+ z2*l$M^mDM)Low_VA0`I6h(EtXjLZ6c6weyJiY}|3Q^*Eend@aqPR)}i0`6qSd4jUu zgxprufaW;FiF(xostyhn3$=j_c;(<2xz5K`#mZCzXnBT$B<=e}e-(E})_aWmw*BAw_ z1fajsx5yi~`L<`h`rgv?Oj=Y`63rH;a<2Kav8?Oe%=V*|a?f=fX;!!1rzMm9g)bt6 z_z!OvzYX51@c-pg@R>ech#!Yx_&r0_E>m$?J1Q()4!MQUvpLrQn+7KzH(i^0R`-&H z6pttok2>Y0SwDV-g0r*nU#lwgQHCgPqMqc-s=DEQwdyo)PAV;03vsSYT5%VTw4*5} z(u!EL(q{dvZh2c`$$P5{nLS&;RL6+TaXe-{GAEodrP~X7aOZ=$2@mxVWQgtp2?3&f;lH}vwG40aO0VCmRj;TVv7?fE~OxkoC=j=x?U5CEWRw* z4sy^E&MYupzNKsvCOoATEE9OjmVhAUmFv!B+a{t|{}Cal){5?#xA=LO9mbhi=~b;Z zw|!M69Lc~lAGzyyo)LczISj}M{8vPf%a$SOh-&R%IA<%mc4u7mp1<=90PFga3_niI zF*c(H6LYhdKgnz9f_@!QzgS|4J>dD!;fOP9u_9`}a_3 zeJCkx+7(rn)r8-W;RGrO-cQ;`Hbs7_T)IlB=zhxd8`Yox?ExsZ3iFsQ+Pc_^Z;dP$ zzlNq?DACF-dShsRw|uPn0Nr;04YO#k8a3+^Ugp5Hdiv|4;u5Cj=!m_f<0H22=Y9ih z9cWFH9(HEy(=+lje%PAf(<_dHqKelM53{AWx4*pr$HmGUg>&1W6|g_`;Z=|FtSH&d z@3?qCa&+t)O!GVF#&J%dialxO7tyvR5v-c z9`UEFm1r62_j1#x6ubu?-NBMu#wCbwlJJlE#iB z(MR*>hu4^`WA7@cEKmg1M;!g5y6__vjBGfYWu*&=V769GyYvW#emqni_wTQ5t&j2H z2&$67NH4G^L=?-pD#S2mqy|PP?1#4Nn3wlfQzagjlW#Hf|32riu7jg(gPoCzW-vAj z$Puh@Q~}c>oVs_$d;Tndly#aoDQW&}QWNw(eN0hRU-%Z)m7&kbkK&h5R?}5TKVFNQe60Wolg2vyHve*4yh*1e zyt2Pn3|%~>OAW?ffo+(;cWOC?J}Gr<;Wl_$>j3z*T1`=#AcitKkNe!TY8SVAWYoMJlf{-QOvkB z_X{*}FWqEKescQVNYJ5Rg|>WZ{Zc3=r`WKa%{$lfV<4Wni8P@3t|rFu)eoaL6Q`EV z&4<_lk}Ve(xG_Dc{;sxW#2|7R=24CR6w2x%3gAf5A)SO~CsOi)JF8R}$`n(e`WmQm z>H17h=B*JD;T6k8Hle@hj1m4OnsM;xF`)p1s#dcHK0g;YrD zeFz-Kzas@cd@m}$ke}3U(kmU^$3{Wx_|n26DBP#S$?p2OU2`5rY1VaXB@YYus0}uJ z`;epj^~3b*9#$joRB#8cOf>8l^N;9|&Je>Z%ao=wxs?I{ZvuFlY;0OJ;GRncW#_zG z$#)H2L;US?qHFf0cHhGK|5N#FZ7O#}F0poM!5O)aIRQ0hoL*soUYJd=yKwJ@M?@mnfW}*KIj9#S$3d}4o&B)P0u8xYN6Jq zY@BH6!+#&^u9`d=wx?BMKP?Qtifp*xDS?6qy$_R;!$juRJ?MlJ$&u^V`_vsnTg)dh zO=Kn-!7ydeaRr-+zcTNiJksV_F4c8iD3#*UdY%(1GV8On9=&*6zb-VVitp6aLTPwh z5Jasz)7gyg+L%YES(7#uE9zhOmH)k?Nd9}7(aCTaAc;Dc$*6>~su_0SVj z)0-=9pq?A6Gp$N9+$v4_RmKOFlf|QXfSz7jcF!AEt{|Rf;wG(Qo!;#){Tg?Q{?fO8 z+1+Ggbbwf?!n+ZDkZx#6@$BU6v5G;ml@jq`;8Sgia*#Yga~eMTsIHUn!C}~L52PtQ;^R?!Y#_sJa=27=BrH& z5la{EzZ_dNT9^UA7IEG{HwfQDW2d@Oi%Z*}QGR*tCK-qm?n%M+hskZUgVVo@H}lJ| zO>u_Z=QojtoPrKd??4SCC3z(bRth?5k_3c(8C9c*L{fl@ObpwV>M!4Up~pq8|HbQJ z%7A*Cy}Fm!$SJ7Y(#^ypk8hZj?}3qBzsSAXc7DlyO#2=E=-F})O>AU* z{$^q5)1{*I!m+3W^tK2w`&0%_B(WR}4Gibd44^C<`cdNm>Z}?iChg&$+I8MZ8RWO% zj|^p=Ngeu!!yDHT)N0Tk#)#b{LwWB2xIrL3hcoNtb`=(ehgZF0NFk|u%DVhK0GTCr zwVToEMS~^xY0RVVd&8&6s_L_Xpc2%#Hj#+@@ptfc&n!168dRcJv^qrNjm(E{C?8x*vj&l^Y6vpO?k=Nmt;AJ+h3$9yvVX5Si13h zMk6li{+od?n(tPQ*cpSGM;9rEokht~2)O-h_m5O6tRwc7 zkQCJAJ$@XMRO4V`gb7hFD`k7=2(D7JKz8)8`)2~uUCSN5ut=2Fm0(-&;e!UFud5{P z*KQ2viVvi*VCHwtx!3A85Lgw;xGYV(|KOx!_H#HHb{AwP~tzxwjmVTG>8O6 zs&ru?k2wN4B*5_h*h!`>ux1{5KPZ{Z*J3bxl?QeZeI9h2u$X~$G<-%wLY2mpImb|R zwZ0Csf%)^$s_?dlgqOIkwaq(Ld7EFo8-F-EVkyZ`X)IwNc>8Ws;X!AHWCM2%{g3cB zAr{|^JIjoo;sRuTbv>OFe~Ixl`6=JCRwp;fjc`_NubxyH3HoznfPIlL9R0x?er0r* zQ*e-4==IY)-l?$In(Wg<3Mw|6s`QQuSSk=U%&Yyz5S&Lsa5?BNjIFE;R0J(%{s|Ly z$hRe^gR5n|t!t<_^Y9sI-q_qWwkkM~5yyv79a#(+=F@DyeKTSsp4zq{e=5bzu(M}^ z_uCCIv<0}d79#c$NEdIz+jy=Bg>|O<96sVx?MML`YEc`YdDp6chQ|s+}^T)0=19Ye|NJztttrH>{IU+CSN7y0Kg_s$kWq& zqWbiS>T??nh2ECsf#R*}xnEWyQs4JGkOoFb%dBEEpy)n~WBO=P@yxHT#mWBj-?n*% z@!@x-B?ssaLPh?sisutrdBUC}asXnx(J-f=n>b$HWk$(@?ne)e z;8!yG-2z`bHY9_jiu*j~xRV~tq@-;hN(2HCF+ZVOcxh36QC}`hJpC<^n%JEd5Zk5-(QzQH%EglwvdjF z#L(RRQN;C%ph^6~zKr1O_ob+|5!M3l+3AJ!U)fFoC86SOL99?+OOq29-}_%9IIf&1 z7)KvQXiE#%DQmm25h^bbqa-{11HlX*+5D#|)mVmRvwYSLss=1>o zn}hQcVKiyBiiGz57-REC9+U{+b4WyCN1L=fg}z0zTRYHGetHP`iyIKwW%JhziToT! za}BpN1GeTL@~{1g(H85w1@Gq?f24uIxdkhdLpTa~ZY}c9F<^PzMS19Jiv>pg%J)tH z+MfpoFP_9+oWk*HsL;i^Nnut*_?T|7>*~KYb;U)dKZ%PcSpNnJTg+ukwn-V~6oYL4 zuX&OpSi4u*x+X^u`2rkwLy4Zw-vNHQcW|Fdo82CE@eM`#?_Q!RfZH@bGOIHXES1{i zu>-@vm#+4zDn4M;_X!;1Knovi4GMn^$xJxEUiRuQT3$#r!1`)QmFtEM5!dBetRisQ z(kT}v9VM=gQZCfv7}VhALRT(X^)#d=6>o=a*C^k`$>&8qz*mTTAkVBQ=sPKIL+FQz zRQ`>-??szF_Whx6Yk-<}JriS5SG~U$E~|^R?Nn=}OaZSh07q(&8^(q`o8Ad5{-iA$ zziTsEJ_1n`_ly;LrN*Q!IFOi6yYKChjAGX^^NP>Sx#T_!=9KC{#l-MuaD)CLq2X99 zxy5+Bk-h%Jec#2TCW$iRCk5%auED(7(cm_^;q>24cJE{(5WvGsvm6M?Yr%(u~Y?<*&r$T zOoqRb?k?^}1h#13T?xAC$G*R%CmH-^=Yumctgb8@LG2;piCfC|;4hz^Pq`r*052SY ze>huxW+C~GO4UwzF`eNw|lEWo{h)-%NL1@Re3JstNLCmOH2cH78{C>)d{@(H=tO5dxp6 zH8rwTCw>wg=#4(2^)IlRkcPb`CqTuN(0-+O#YR1YX3Gy@t=8@~A5ZqX$ zqqs))%Sk+hT;dl9z`gq!3ZFxb7(e&KG(<@rg}*3Ab^1!W7{hx}YH1o$Nzkz*Pa?59 zrnOdIRNCM5H_8%wq3&<((mp+UQEW)@AX9_TF8mVG@Sb(JcC#K1b|Yo;qEFVNFON9Bt_D#Ee{!$QT9ezJ{|^3K;;ubik_ zMzXKxQAS4_Y-5iqmH=I(5Oh5@!`gD0^KTKaxv$F@5FGs!Ly=u_C^8XQ;Ie&XD9ZX% z55`U(T!oy;VeTlW2*V#7p_u8jZ63|&V>6bl{hs$cvvM_v#YcN%09Kp4*}kYI!GCwHvJN2pbX)$G*5 z6V16=K_(7GxSIdgH?O{B?_u5hDB@uf70U2WVUHL1zfcg`hYBJz&*%l0tudYSSAvwB8dc=%jj(fXdQn6Mk_v3?Em zdPYIpF@HHvI@#EC8LM^DpJ4vl?-4EdlwVSu_Q?7(EwF=Sd11Jldj^L?00PO&VbWZRyIi+ zO~dbmO*Vq*Jsf|Q=S=psQ5kZ+)U%uYh>m>D>T;U<)uu9?9rZhdbr>->+8g?vSHE*% ztoj21Oh1({Xgv3fwhXZS@$Y~11&5)ublZ#clcck0_tkpVP@WdWMUjrU-@7lyO5g({ zD6j358u?Z&qU)Z3aZ&gCtflKRUWi`HM=vNmt%oX~3TVZ4=<@+ld7J5-fCFuLM{jcP zjQY~-!Fnk=aP}cBa+_QXM@}4^X>OHWgHWyTII<4JyX(gOU`2U_2R7DO5IJL4p!T(> z_rS9uawUF(oK?$$7~brlgt>CHs%MJ!?ZeMbb^Y1i6l7%*b z`4?=je{{7=hEmnlZ9px~Rq-3{ozWkJJ51%AtAS7%5eUCFL@RHaY{`+L+5A$vBf9D-gZA-^JX;pHCr3s@g z&XV|IcT_vXCp+$-E-!uJZLrykh<{{Aqm9Wx277b0SPWE7AD*-op^Cc z#Lcq)ajPLvTgbBT)7k8EvBr|IW7MkuPN^Crkm=ou2k%BJH#ef|ejbrSq&%oOM=mfz zq-YW!1bW?IZ|wjZ21&g~J~DtLKP_#I(1!2xmbwGN;=cm@2Vx#8qP(h&sAgF1C218A zGa%|@v72yRTx`8E9-VeJrK2Kqzi23J@dwfOx(bJP%Nl~?IrhcjLfpG)Ki8s`g-X2h zvD=ka#%-1W94~A+W@S5A zSUW@I3 z4b1A=j1qPYe{Utnv52SHM7Y}<+O=^dV0-LQ6`1{;%0A58&MsKjD7c7sVq%yXmD14_ zLf##IJ#^lTsqo-452G~Bs|~?uuQs81$KW15DF83B0KR47a;`hp2wuAA^h!V;eUD}v z5gzNKZ8Ob~r~}E(%v^b^;ZJw8z#3+&`ms zH@+L`srX-E<4#g7a(1&tP3!DMpDByHmXpMk|GSm+JBg}<2Xyi+HF8rhkOxMn zDLYpJ+g@;jgxuY%+B4dlZozkA9wp`c7i)}A`XXxi^4x2^32m9OIZD2dT7Bm3bd~2O z?JGanlvDEZ^p$1Sr~-=-92}Bg13-o5oo>4GVB?=0=0IhR#$BPz^iDYh>R|BWkVb=$ zjXQi~I{VZb_0Q;NXjZKUwOtk*EVEX*h}y`M}w#MHET|fBXpv1=2*y`|H_ul*dTp%u zNcZ>g6iLPHZ;lBS%cVGlyZ0s*$_h^go>AHHuVB3s^wLXB@MP+(mRf$eUwE6s3+)62 zlg8}0b*GZ9{_lH8PTvW3_UCW(4*P2H^w5zQ@(d<-tctop^AZt6qQ$;ZJongYe$3IKp%IzQ2SNPA9>3p;#(o0&~KH^ zmjiwoDt^k2Sg72}$U3ewcI+he=hC(XTc7dMFV3;|>OKwfrf;o?3Y5a77?Z`TzULg~ z^(c`(R{q=DhD1WMoDW|US_&K0Jci|tgo9M7)>DH6CTd3Sm4k;*oixv~HM+EGf@acS zI=a1dEu?Me$!$f$`SkR`s^O?DON%bzaDLp4vh2 zYC_(vhS*E$%B082yIj?#_wOcDNSg(^$~C8i7QU|b<1}w*tuB2&ua{Fh9-IF)_SJmj zz2EyZs*s)Y5i04~` zC2dPhdh+SORvlk(U0QP|Upm;-k@Zn6@__I^Wbv8X7gS$_b?J(khC{$=6h zzMwjvj;l4J!1|K2(?|CGF8!x$Vcpr%-lVLBY2}RUW$Tw%T+dA8MJqxLP|pP;v3FbFy<$Nw{0v znNe}`a8q&ead2^SaC36;P-&REcvzd7Q>hx8+EQ^*X~se-i{7(%M z(htS|0um>#$C3L_w;{*fHaAME)`WGSy^-_S#%SM?>Hq! z!ceJYF`~7T{}`khT-f$ZFB&A=ExCWd$NeS@k3O&M?2JYqW6K-KLfm}2UwiRz*VMjK zWO#GAcyU@+x#_c6y!pAavl9ewb=>NGF(iM}FX_ZeoXZ0iYh+Zv4+29AQbU{-2b}pI zI3)eF8AKq1L*o=;ZZH%X{W3uF8M-HOAbLD{pLd`XD|(|vFSBLcn%Wpic?ngDD2=xn zckZ?@QuAG*tR)X+)ItG%CJ`H|yatV~gwSJf7un`yt(Uj)GX80f& zj}#;nxQc1$ZlFc$*)nSaB@Hbs$5>@Hg|ZeurDbaqIu7SZ6{mL*mwFMHWXZ*kyy@0x zNzB$!_MN$m@#5p2#&+4cL?rh67`UcrM0J0!{*YKg*`8?>ZZMQg3>c0T$M!)|d4n`+ z*IW&k4<`EI+n)@qV&yV)IBUCS=$8B>QdZJw-6$0F>T5GImH&Db>;=c8f2RB|V{jT= z>MF|C#gWmq1kjLLXX^8eT~WN>4aK-cl6ne1kfST47IPvi^YycXT|V`~Ek z=d{2f)zSwOFTe;fEcPzns+-53kx%)Ta!rUe8YG{u)7~)GQt#(T>ho9Aq`hbqs^bhB&c&E}O_6}3DfKzqRzw?TCm z(aJ{NWS`CdY@52-=zgv_uLw6}= z9M|zX%%LqEcn~>uvo+t&u{F{3<+_S3z>vi*9>rdMq8EU2V-`P$jHWGShZOje<`|I`K-C6h|r8L(F%KX%ouPrw36>EH~jb- zZeWpN3oKb1Dk^3(((nEo71eS^?1w&o^<@}^0@qD==6^8{!cMN&xGU(}U4HeF_0D&X zr)9czq`MlZ(>*`toA9!fLax&!I|uDpkX%IAS36pMcdwd!nJBRKYf+VM0Y$;s=!Bnr z1!J&h9#ctr*^iGrH4kQMtw$YQ6!sS#zaCL<$f%dk!)3R$4cE~$G?sKGIM#l`)3sOS ztSQT4k6m4~jL_5CRDF=}loNgl7R7KAr}EDeU5NN|ob<5Ye=`4jJ+Ib!u;d$%L8nNe z!90HObLv}Kod#Nl+p|mlF^64^aLHNMi%MQ=MsxmqDn4^v(bF3xb02bi{|}oFhbfO{ zH@hv0rIVgYC|h#ae%c>J^-F6*4AOg0rtHV_CngSRyJ|zAF+lA`9{DVk(nFQCbX&L| z$L0?i|ICO9&#N@`cPAh=#OQbRr0*%YbbdRAN^pqqh1s=W9rz%twHkQ5(Iecn>_@g` z0Utd(s={y=Jk1GXy<-}+XjtT1l-T$15?qt9t{4q$X92!_fmI8uJ$fL1udu<0Dz&Tf z9rFEN)3#JE8oj-4*Dw?)AS##~wFi&=2U zw)*3vIjhT!h-D6KER^G2tA=K!f>cHTsfUrS8qG2Bai{OkG{k36T$pkT5 zLN0i&+0&tjf{%{-vl^5)-#mY;d4|v4Xz8PFF?UN>#ynV<7;$>X^}-PrS!NdCPc?qD zIf>E%uRK>g_jno4GaB>DQg^qq2l@M}Pm$`%A2xoMv3(rlW5|8>2{5S{6NxyhV?VoA zw9rMAx)=g@(=@cox-(IVz-4g=&+tGV--)({t+p}^C~@f+IXAPfuMfmy-Tp@<`D;3| zk}SqkKMOx%B#pG$!^VMv-7~M5-L{#M6x2$Efs(M|UA+=2L-Es5CrhBb~5W8Eysq?(IdslXlS)a|H|A}2gT_{9$yaidqJf4+4xq^GR zvGGtbO)x!8WPD@V?*^0i)6_E4eH^;RK1oh*W1}?WM0=^#@cv1Xq$g=IL@|w5LK^I! zQ%5lqFxAnLs(w%6YfgA_gE^Z)p_9k|I%;JCpK(8wH62J@TIwI;pF4+qo!&7)DN>fC zUaP&9o516`&Yd&Hsool;Vy}O>?3C&FPkzY0g(#bAsDT)=go@6yux@gvL_`HI-pIN@ z{h9)3`u>OaK7hI@cu6$iq;&l$Wu!p(eDI$P$ z&k9s+kV1C~O6J-A=4yrzKdJ-ml#g#Q+~xo1NYb%k(@4xvXJCF_o6#qIR{8EBwmdm~ zE%~U~25P^aG<^6*CRQ4-PkyMK3t|dv?pk-6VS!I3hJcv(&)gr?4%RWvokq5X2rX;k zxei*OThePIzTI2}hhhWM*QSac`3QH108_EM=?JU{|5D)1^3nS9Q14tN_iHbCLcOmi zpJ})30nUzl8RFTfAa(M8clz8I43Jt&KpN_Eq)XRIV-C_F4|_(qjH{IA+yP`*o9g8b zpHoMAUq~gZM{$pF)5xCMeVtm@dhHiRuI>rj0tTjiqbLzsu!i{6=kag<99se($L`Q_ zxV_O$)6TlCs1Q4XyON$vTY7KqFFBq}=VSVwIG!Cn`AE;57FyciJKUE;gehZxOyK)TkhxAOdkpI#idq1X5J|rqk zo@MvxnfGOg<~$)_$iU%jPsPL^s%Bczq8JR^<%D(YI$Vq8Tjs?g9^D?BHlSpPD@PV1 z?AY&8)2z}=0_KEb)mUf{|7!`8$7WzY+PU+(F#8D`PuUy7(l z1Wx=@Uj}D*N_e}1Fuep}5O2&U%4Jz5>^*yT&N|+M&ZaZG&%K(Ni`rrmY0RHJ$=@kK z5VV(wq59S9CQCgpdf)n4BYi{`T&9M}BhIK8fzI?4on+KBy->HHSBj)ED)H9b@+Ppx zFGqC=-)@GXukL*WbJ>z3=@TmU&*bUHqaTtk8BI&iAEG3CXLVfCnAt%8!rfr%PBI0a zT&4I%Y+9r_zo91|x1SFj@Gf3=2(I@;g7_ZNc!9K61yE?b3p5a878bSMUmid9eQ!Sv zj_9B%QG7%1yQ$@Z7IIyU;PFIf(QR{l(war67I)Sfjm3R6wNCH%9Q#(_M>g*La`{T- z1v+W2s+G*C9r~VmU>au0TeWIAreKqW%<(7*&AQTdSp+X%&cn|tPTrBw0}x5dIADYa zscA_TDrk-lp^3~hjasUO(`hxa)Rs(({@^$cPVm1M2{%hSf`6gp~@ zuK1!_1Ax2?-ce7!UJ+WACbI?oh{>li=onjjQXjtjC1Ujigwg7+kYb$G>=NPgqUWaLwH)#w9+aR10n;TJbZ4In>SbnTi{s<(_#hBlcn7jTYL>$ z@2j$D@{ev+%I)4{%r>6gmCFQ=Aot2b8$Lqa|z*WxpZLg+03=# z^};CbDqs!~uxAAFXYz;-+{v^PJj!h3J%Qtn9`XJ*dyu@AJiwKGs@s6OS|jvD;2HQi zfBsEbsap~|v zNNbk^cM(yOQoThI47Kh=d|9MMgr4Nr7(L$wS{DRlpq||W#bV$uw@3&w6qv!?(^Ef3 zc*jHK!n>nmcPY870x=41Y^sBwRiPFMN7#9v8xwOAW93}3*{3q?#zMrA=8LM28W$Qd zQ&Y_-+JEPYImv&dWFgh%=39@B+H-FX+z3~yX)m{N+fFUiQbbL_X*J`w9D) z%Td-I{&s6wWY@;@&PGR>I-8n-9^6GGHPjHD?m1%>A2NX5TS;^mL*my9%R7(kwYesKfg{1{q|08W3KXZCy$)r&yb6sBMW~bNg#}Tm`)7P zA9(Hl`B9m&8wfmve$Ym}qorHQ z6#JVzZXn0?f|tesQ6=Azrl@Ki$}^Mi4VBCp^*QcVwlwF`{Oz6SVg9PNFqQ5RIsUl% z>)3Znb-BNA{=@6vF~KE)OdSC~7B>W!C$kPCuBYZjI41MY&khY(obtc2L$n?o_qCo&1bWH zs>7|bSMX9h5a@2r!Ro{J-3twLmL_k>$kXC95odPRD`#cas{nqRvJ5ZKi?ZMScSoL% zD_6bU97|T~m45hndnwx$e8_$60-)^RHx7k3toClOt+ff&`g>FIzVOs~V|sl*CA@4O zV%v7M*Z;kj-5NC9V)rV+b_JPox%RbituAIeNVCHsNv(e#o3Xh)`|ao2qMz|qWd$#b zp%ovx8s73@GT$?c7kh^#7q;2yAtp$An<6P|KSi(x@OQqS5GYoA1)0eMNWOmFtYGH+ zEB(5KG>Cqxa7YSmLr)x&NL>84R41B+(h_J+Y{v?Bhe&!w9kqQnK$N^hKS+TP^g^!q zb1Lpi#S+K#@Dtfyc~v0HH8OuguRE~QEi!-cANsgQ=CA(4WS7VbTeeNHD#5|~Rzd*( z%#FkPJZqjd`y7|~?yaY(a^laaF6Z@ILX~GT3ns zkw_#Ukz2~(KywOJd~IA89HT{fuaLLwcC%mS`}QwTa$E&GI{1CJS9pZKwVeL>+X;1d z(!puqXBt$N=_=sq7|=KWRIEfKtmlOTRqzPP*)Yl4xsQ@`xrsHAbI4O;b^6By=UBeS zTo*3Z**+wZ`b|D;)%QErq<9akYBtPURIsO~Hsd_WWECj1YYZK;`=bUL^_*4l99fW_ z^(*?Jp~C9(w?9TZKf>s_tBk;z*K`wM=-c;bt0xyuv2Iou`ppGwV=CjALc!~16ieUi zvw0FX9sS2)P=k|8=UjZ}xPq8TXx6xY=}+wJ8^2dSW*66|Me9D~D<)leM=p9JmQId`tjV2YSE#T?HpJT$P?biGTZg z-o%&5%hEGaBuOF{?J?NAl3}xMjH$d?s@w4EW5|3*IYCZf8sdB;$R>P3UQALnkwH}ysScGHo}%ZBV#|r3vrCdh4LNwVXY7uj zJXeIwwB4Z=b$*1QTi=QM>GGd)V~U9|&2Mpn6_@ze#G`}iF0AQkaea-;I2@E)^;~Fn z*;ZFx`^b4k=rXW9DHL*Ma5H>2X+_};3V>3iCGK{%Z+`7}1QADU=sa8TN1DE&YcJ5{ z*Ko{`%+^m9mq&cFKj1KWv`I^@lJ|)lKP^%k%yaCy|Krlw8*zACt-|XRH&T^ezlkrU@(+A$#sN<8U zE9NI;&yd#NjkuAk@5+)BGcgOFk(03CH%T$nm4tedU2nfeaa5kB)tnC2I_8c4FyAN> zMkn{I=xG($ZXehjB3{3^uazU8ySfeb)Z7i))5W-;Oht)jfrA-TEQ0Nr`dO$ z#SXJeqj(GglIz@BFYNwp3U!*?hNW$aZ=z@y_19cp=;;lu#Z+LP4XLazi84<0s7tWKS-#62Y%kon89W`vW zOG6N3ohbcxvo}lD^aqJx-%nvNtP*xCg*~0tLt;tHP8ZK-@;C^Bm^|JpGiAT^H zD0_jo)NKXVwp&5ppL^;h;h=C!#6)1Pzi|IDo_L{EePg0vXi`^A9emNF<#89`5a>C+ zsgN?tkrM;;l`(@>KF3OB+e&vGX74z%8*V9#yd>PUf^IOUeuOyMRx3@p!M+UXgt*NYd){ zub0h7GsiHFCtU1nXkah9Ui;Sppw>&7Z#)R0S`@lo*j{vfZ{aVesTZ=j?Xc0w0jrI^6^w6+xt)g+b2 zRSRj0u?VD0zKV*lXt|kT1x4JxrMbroxERQhI)4;jHS)nA@7bix?fJgcx+PTn7khS2 za$!5y)lthd+A||8F)cH6FY~)Q!yw)2-SWlq7-Q_ zIk|}s%SlaF5ApO6{$24(;aIdeZ;iGpGY7@oEIv^2AuD8URv4yD|z>KJ+MljQV~&Cv&LwF0&+UK9i1j z>qKD^Url)qEel62pDNWY>GYBvbJ|x}VYszi?ynVQu+0MlbyIiUY5nU|1}R?m zHwh>6VJfsTvHiu^(3UtQdx_wL?py7Laz3pGrhBGt&(=kErpv?B*`kE0+x)JU=bogB z_52ue!7zg)g=plhda`A!Wh{gN5Xora`RG#e+mp~k5iB@_!=~G5BW(jOa~!Qy|G%HI zSuC}5>+mH8sobpS-kEVeca^hFQeGpesSh}!?W_)1&T?D>v9IqdScI3l5*gbr zfqFZUjTQa)i}BH>B`un?&P_5>5%ZPo5T=q2har9T*4s48^Vfuf&SNI|pQ>&sn{4*1 z>)y@P%C~+S2$UTq!e|LdFCo-0UI!*uEv37&v(xC%`?GET*t6CloBC{i@w76By{slr zUy?@7o!uwM*@ZO6A;N;CF;#na7!G4P5=|(W zl%~wHYZAK}xOhra(|qaB){&LmFm(qTIW}m@Pjr=jv;tTYeRH#zB0ebk*+6dV+Cw?F z$m*wnes{>ygX02QTCW}z=z47LMC)Lz?{7OCim3MyDY*!`lp__T?+u$)%N`EjIEmeT zjuH0L>)xNGQE0c#d1W#B+S5;fCpdF(XQn&WrLT9g6J zlYKzs_^fJX4oc;1Zf?)@W`t2^=t>alPvdbz9e~OF=5~dMFPl`mkn@8aUPLIFq9^vw z+j~#@Vy7_Q)BrT;_I?y~F^~Sw*D?&$02jR^s_cHsg=r!+g8Us^>$#13yP8XZr2xx( zezAx*%qc8f3@ML=F_Ux#K%PH8X9c||lbymt8Y8!kF|X2$bW3wr&Y0YugOo>mXOD63 zam6mD-vtINLu(=~l1fhcIi$$6k-22jQ&VqN&galOe>_e9$e*w=VW@0Pi#ZW40Ybpw z*e0Dyq8^%9SbQ=TCnf_`R}CA*^NZ=kDkQQtMU>EAr;|XNK~h1+2WAnw$Krq_6x5QK z+#e9dcsT*ET=Pfh-KwxN-6XmkEi4JmKI7M?b=v2Og-^Xm(Vn>N;4BEL=?nSYPjk&% zFMB}7uA+~!Y>!6rO(w24zf!7i60gkmij46XJ>zvgYO8q5djGLHg|5DUwhs=JDAh%S zOm12y0j8^?r>zbw4~|SiK~b#D+_y5@ni<*0=83aQ^*Fr-mKP6IdmLCzxA0BecvnT8 zl}?ppfTBr13~*WL-+$YH`}@tQ*LcG%^8oK<9N0kRxGZ;ZRQadUv!{^n;)_vz)KiAH zQyx$miDSy*n4{tC3KkX3jh`Z{Ls*Mehj?>X(obyfT)JR6+SQD8Zivs_jgH6+1S)hEG}Z z!Su(AV{ae9YfW2R z#ThibN%pD&<>wY*kjB zWKe49Md~-~(zmRyx_b9Fd^%imYZKs$HYfbwfXboA=~5}G*{P*4{=G$N4Sa*+sDxa@ z^j|bffAJd_idE%WK0XawOMQ8r&Ji1T=;jE?)lYk4U8&HE>1<-d@@8mpqNyZu4ZMnd zM&PY1ABa2pFDaym_gAA}*}W2qMODU#U;_Ki@X;WI$IH0L-l8}^K`%fBsvb^IaHC~6O{M4L8%IUXfR z6#Ys}^6)XTZMPr~6W_6~foN_$tZ0BT>Qw;2b$8Hjw%_}DC3WGwh|n6$Sx&r$T=>)b z-P1$?n{WuVJ6jBB1D3B>STGDnG538}|;#oxAojZWZz^5#wmwe)%B%gK(%z0JN?z0AaJ>D% z7%wqQUs|iRFQ&c@;xL+$E3V!>35Sp|5aFXH3xaQdSToP>0v%!|^(1f|m~+$-`5=6~ z;hpDo@W3`{#}n)%&;`KC~r+$a$ObR(RXkZu5ZLZ|$;u!Lep(F?{W7*3Ml5BVep z2;PrCw9=*@YhC!RcHNj)q=Oe@V4mgBHILwtA(s%kNW$5`OSCHIJt~n$` zj}m04dQrHJIz@u~Io-D6oakh~!N zdn@Ga@H(Cgpznnm35uZ5FEsvm-MnY$7B8~>b*iD=KV?1ICH$jvBJS?QbAR(KZK*fb zJlde}mSN1Tf@^0g=<~Euv`c&xt_0sW%(R~v})LARFpK+^E7+-L+&*}YBvc**8Z8`@Q>x^q=w=aW+K%16+ zy%Zl<#nuunJH4xKwmZ;gGg>QssXi^gvw7k*7=PbIY#Y1u>zMRH!S+$qFz#w})_uXn z#LJ)i-^qpBCtFh6Sen1Rh4V;GY-WdD7kd`a7N>XC0xZEVA!J9-qr1TZI4UE5Q0SRy zQVvJ5p~i+h9{n#SGBB<4<@NhSJum79wQr$B)b7lx5?)nwcsHpo?@W|G3YRO)y$ks^ z!=Nszv?`gUP(VQE8a0(!MwQDbee@`R-bVUqa!59>>>VtP>uO6{F~H&Aax$*OAVi)# z8nulc5c7}`*@fr&aT{Y$Omf1$C!a=?^a?>EO32j)bwC$ORvRY#yZ7U$xgdc7tdV-g zPxbQl?>;nk>2b1~GUrAoqeU)|^ty|BkCwg6hQ3=+a%dn@)f#i_dg ziZWFVdKKA5Z5SWYrqW)UX9wT|S1KU;K&)5~fw-!z4XT)hg-0^;) zh|D7N?#E9@%5=O{a77OI`ZxRJG{I@ z1(6#;5bVa_-&kh_4s#J%e;v(m!n^slZxrQ4useVU5-XeE0$!BIvqJX z@&IqNsi*ZffsSIR>)s;8DWXH!h^-&6K(ICtGvi7u2c2RF;ToMGCWsSqlAYagWV8pS#C^gD9_h0 zcId3F3m768zw*EL@9hN>NkU?r90K0#9?|wufnU3ccGvJOVloj^{dez|wfx@Z;zF-|5E+s9AA)W1Yx~xN ze>IX;()R!Q?%#>x?cKvYt6poq5u9Abzqs$QCaJ349m4X$+pH1YTTx9{I{v5glw-?- z5EJ8&TP+V+lqM@2y`CvGB9xu(ur{C9Q`vLtq*vE+TErJJ(PBBB-QaV6awpQ`3iHvf zJJ@p>pBtwZ zl!K-?KgcKDmuj`c8=no?(K8rx4)uRj>QfrnVqQO<iB2j!rlBtaa!x#p znsAh0jWGWlo4M~9avCuSbzm)9o6l7T?!KmDlK#Icgcta-5>7pMuVxP!atp5+Ry8ya zZ9(c=WQzJXA8||b&HZ<~^}3Qd$Yj1>(f`-BwcB-4#};66<#Wjcn0`=b@u0b8G=qR` z{CPstV}nSH@8&P2O+X17iP1*Ht)w5|K-tB>Q7hv};7++YPsy*hQ!#Bi$IiPTJ#1*& z3`}EdWK#}pAEC>DU^DEvo^VaI! zoOdGb{J5(=W9zM-7VC~Fw`!T6-sKc%fhN)yyc0Z@SB{}~=Vs$y*LtEHS;n&c_|*KB zxP{CiPv>9Pj4f}>?Z=Ih7mgAq2yYZ(z|W0M5UkUjP1T4}ZqGHoV_Q<()x3Cp3k}_r z-l%lm#?%xr_}2}IiM)AN&XwkqJL-Gkwek z^PO^xPi!)&uzPQdTEof9pR(>s13VDSr!MFA{EHm6Yrm8OEg;*XD*P>K46cz%DcApk zGp>>Q-fP6{8r#*q*NI}Co2R!1JAe!1spLu)=dhI7Y6e|bzrh~YO{iv9sWq6^d<0pT z{p)9)hG1t5WDew1H3ax-KAyW6f}U!3Mgc4w5ZCd1JMUveMUoNtUXD*;tWH=5(&Q!o zY;=;J4TA_Hl;U1e?o`U*xh`2->ky&~!2Jb8KHwi2yB6YJ3$Ec*nJ%v=#@<(Cc(JF~RqT?OnOtzBe4 z7?G6+zTCSsGc6z_?*3y9DC&{XERcB%vQ=@IU;Ui;lIzmZ>(b^pB31}pbv_u+-D^ul zP#-<8jc?pxrbV2HtzH|{AbK4FM*>sW@~Zk$YmHc%x#HqcLF}{vR7|?G%dW^TYa(C3zHlpfpV*S3 zv5`R^KF-Y3bn|9PkS`?*Vqh2oQtD)Vidop#O%44$2~B-d+)B}RR>I$yDdH1Xj<3jJ z^)=J8!0PMd9H!cs>OvUDv>6iyFQK=+MR55dy`2uWvr8$jM$MeNkWQvDp0ml6b|yPw zLK|;z6tz?k{PnfOv$%~-^mQE}Mun*0JaFSHo7rW}zgnprC%ai@)lxUzq$$A%j0$`4 z#IX;d5tw4~v9Xf&5^?7880s1Q^%_MGD$dDS1{Sl(UnQlbObD=4WHy*H7_F>hk)g67 zF+02Hxhgyp%ea&6+a?4o!Gs_Zm-tr};3WxPiOfV&(D`eI*TSr`Ii}y#OqE&n>MtwC zoXSAxs19k}uXK4B7xzF*jrX;7Fi$w(R!I}uoJ%%o%X zjkJh)4D|NvWIbRdQqOc*XTN1ROx63NtN6CjIp=%Sf94AaqohXe*-0otP%(BBBx*)D zhYm=U7Lf5pbE4C;X9z>VHmAqp=-#%c+P+?@ouc% z=^8D9;DU1rA=Cvu(?vC?5T6(iJS&9REIS$mn-HQ~&@)?}%=*p>|}J!5D&JB1Fp zBZLUg!0l!!-+&JY?eUt6S-~n_^i5|sUxD>vpdK?zy3K9Dt(!$XADWxk!1`Z&OQn2y ztd4Y=`GcWEF;I*d_>WmQT5}UCxa*71YLP!$a~L6{sbI3rDjx-+7z3r8(b8+a5BBJk zdeWZJ(rLyGYMm(Z$7tq?fnF5k+RTc+1;2}dI?oX5Hmd~lS;j4(vks{CfiWHgR!_RkIpS-}MH|RqQ9_8;411H+^lJ!!4ea{msZq+8 z#VWAH3Ks>EKxnTrvuQg!g#@`Lgv=IfILuPwfGG$eg#|BDMG$Oo4WT_?rncFN*-Bfd z*}GuFVit-FL5uOAvH~?)Mf_WEih;hLft$@zzRniiGfd9s)C2y!pPZI|tzeIDneGkJ z%${_>frq!aMDGT!XuWx8%3yhQ|M-?|NcGv?=mXaeTAUVqP#gY3)k-DSGp=!(Q_kei zK%#0Iugb-;H9Exy+#K_CrE*P&b?LtcX;(5e?7aDuj^n?77V2f_b!`W_SEiYkC4rY} zjUMa7uwL&*vKqbnZ6gu9vdpl6XF*F|{_|IOB;DV;DGLF{qIq$b!X@I)1%`!gQF@WT zO|4)($sLfI>5`jqZK)cvEBm7J-ZRiexFMK(01h(3}DO0!j$lG*$2#Wy=UFY zT}R=LPySW<2N-1i9=C{SePFF1nlnN$6j?20mip{1`r^6nhG+IGjbr6Y9s3(k`GtQ8 zfw}yULQR8h&W_H;1#14LCv0V^!A-t%VT#ND$g0A9y&eo^i>yyE^4x+vm%2E~JNp=n zVUmXayo7bbUsIp;S4dhZ0P?FUNK5hkJq&Cxll9i%#T%*UYoTDab8s%#&9D?Wp1u{! zv_twiNc-kzGU{HDi%A9bXMF$Y>`FK0f$~X(&ym-&e4S=RaEvyE;nUlTsq?U0kJS%` z7q@o?JMOv%nZ_1aBOpDX$Y-{;k9rPAUIqwyzmqe7&~Q9Op}A+)^1Vg?uw2DFCa7qg z+syu0<_sf0+uM#E^c4XG z_6|6Ya)D+ViYK5Z)Zdh9hxgbL3h{cAb(1Jh6O0=TzZ&ryE~g?!w;pu;=__xXf6k6^ zlfTFt7^M15Oj!Rs@`L`v^E;?cJ9?P;8BkDgJ;gKr*G`M%O8gVSuKeQaM$>@8)erIr z1uo$dSU^8~koMtU0qr^YcWf3oYPKQh{!Z4s`M|*7W|( zA}gbB#57({u~61?!QK0y%kaqYUDYj~;sOPhLB?Yj9GsU$+o(2Rkl&XC$0oaSO@N@* z_k3yJ-kp?v&l*w|&pP257>lt_Bqt~}2@sHKOcm(%@*5cHO8tt*8bv!`s?eUxBpt^} zLUw3Txu3yKo)_%zYDyp#53K__hx5G)Jtwg&7_6VMQ}47*%_IHQCVgC`Wo&xoqqj)8oq^-3TYKcS`7@X{5JWVLx5TpEXN#2q`2r~@|Noxssy%L4FGQu z7OYwW!1%vVid<;NVtUf8_gcKc&fGQ%H})#TJyesdt7YhH3AwK_ZbR=&YRgX7!_a@W zWlChJ&&m6)p7P<`Cdggvod)vZ;IIM6^yv2ZXtPGYO4sgWi>V%v8g{F?qkXpByL>m2 z^w>A@%G}S5a%{qDTDG|Cr^;8dx!ojV+oDwgJ+M`C0#2-ENi0rYZL?)!VMFx!Y$4Z| z+uLSmyFZDtJE-l>e-an6fyFPGofGTkE+PG6>pCC{@*Hh5rJaKJ*@u=ws_dF}qKMUD zwfGOM70>!frBCTN9EQAAURb#rC#_{^oN*^9`OGAB>6vu1<>yQb6RZ6yai6VpT`lD0 z2rBgrPZq7Tx7LYuMZE655R&rlqL<*8Go3zl=9o1UH->du4w7hD%i>SybhYr~d@v7i zO#SFnoZZD=c{&j%$!oJ8RH#ozL0vF}_DByQ`Y2lVT`*z#z4j*Ju8n?MvGxxz(JI*+Ka76>V>6ri-*y_HtELzi*H7` zB$ocEAPeah=tMbTHksydhnhR^gXVB=IpK+QD!pYqQ?6VYi~OutWT1#osNtB%VD z%Xf=Wb%!#+V&2R8vC1=>jy^w1H14eiU9Q4634B#_He0M7AKF*nu>7!2lIKGji^(R4 zR<;{Uoy@<*&aA#Cj|5bBn4a9MDP z_}KTO5*5a%JUS?7uz911D`cM9ZnN7^7Iw^T1+=uEn(q7Z*<{qjn#GsWDAr_|9Qal; zd7A=s=r#kg`)+8R_@T1mP9h;wUHEsWt2*O*%7w^l}n{KDQqBJDt8aerd* zE9=^s4o=Jh@EZq1>+>ez#CJ)+qhO}{{EJ{FW}-0aoc~-vZ%qAcv}8e@5gzuP@O?kO zNBeio#?Y=rj4#lkAZOy7=t#O%^vIyZJ)|8MYj~s7;vYF?`eU4!EJ$#K{7!h^? z?*vMOj~TPk4!{O@@_xU;cx10Xv6gfHl5;9@&2VR%S`L7iFaj_KuW*QYdm5*kjNiOeR26E zReKW>%v9ICg`&m_b0xke`f_P)wZAqX0e_3(N#dl$|EY5&uptoLN!ZhK`Y)+2Q($q$ z*<`XOa@dW$dXHx156@RY3HD9blN(GP?kx2Clx=un?L-(&?w_{;F};L1s|BeTn4olW znm9{d&SRI>N&@r_pPf{5Ct5EY2{X~9#cUu>haG@J?1zt2d5A9FA!ifK80A34WHeNhPJBcRs(%-&CYJE_z6 zw*AbY3tV#1mb{X0ltC^Y`c;g~)P9c93Xwm_--nZvhm*ex7e>QV{<^5ZagZhX>WBOD z(ai8GXI8wso?`ePgK@$HHVRVWJTc)ze&C@N0g5Y*@zbf5toGx`Ft4i1rH=G4Z6YuDG|vR z!;mY(kS_t^7#*s8zU&dAto@1yqOARD7;;q@@-;x3t^K-3h_d!-KzIREZ15>F6a7fn zH$Acx{<~qwj{xCs4lX)x-KujgUZa>R$sYs8nRfNXn=fd>-4@n(FwSTXfvE1D6K5V( zQKB-3#DE?Hki*|f%%MQAc8iyE%^zMgq)IBu!vKTfwRu{Bjtc5JIxOUvFyweZXz}>z zONR&i>&6p;=A4)#dhExoib|anM9csp;FnoJA+HV#c})(P;osAHGa!e-bl$bcosxmk zp^>4*(rj&(#B@{fS9N%|M9=s$hTwnFmwKW^i`g~JOL3eArH3XAE0?w($|Nb)ZidwRVC z7ym*Ya$_H)INMTn=w2TNbO~NLs!znoSp$KNk&%j?Bw353t8XZS*LfgH$~l1W?79Au zXJ4>s^Qv{!TLvX-1E?-_B{E(d43Fq~X4Iy%d4}!WcjzX(Ha0EDJ=S1+GHk#Hjt&4`l_N^)@M|^u2az_|)XBcu1AkE&t*Dpl2L3q{+^cDUR*sVu|Op~eC z@3`rJaPF%$kH~nC6Ofi0Hhbhs9Ih7`5BEUS+_a|$0!0!I285@ir!2l;Pq^7 z2(;)tKsf!)oZ)Yfrp=vzejZpPj00fO~dZ=&MEhR0*oa{!FfMih+ zF#<%uvx_|tRpTWdh%#kq7;W=^dREtVMA8t zkVWP1dsJmj@)>||WaFX7!DR#ZQP9xnh>el_8DVi}h9RrMkh8*&)qrpx0Slo1#RL9_ zm)1n$)<)viMdH>+;+_c{H!-kX>952&k-|0rX9fXodP}3(@wpM0XGLJ}qAk~8N=tpu zb|cj6aAA%p-w#r9XQ@p&qPO}axPo02L~IUfxj0A6uAZ>dQq6PR2vwKo0>N{B)lenk zl3YZ0@k>-AUWs^KE~2m0TTMMb7h!EgDcL<1VN|`Y^r%wSd?`ZAmw{>a@mJgk z<-e~65mx~b=y+cXL%tq{d?O6GIt=+{81gMZ9DY+?IS9oLglfWr-3Zl!hqw_+#zjB` zJbS5Ih*I<7u#lH|Aj*{2c_2#Bdpr>3wtol2v*)BxABjSJGz#^xDAdQJP@jlGeKHF5 zDL+bi{nLJwa{RIABY|$R1?7;{@Ql#bAYxk(@iHKIOL1fvKcnPNa#t-`?0@|K)ww+X z?d7)wHNG{7_;`-+@0fogNBEzc`A;A)C0JK@AV~NsCVlQnEFQwoO^5uyUi&3*&CT$u zAmZ0S#C1Tx;-+U2eiMfLHmK+NAmWA~;>IB2rXb?xAmWxF;?^MIcR|E$LB#Kah(81o ze*_}Xx_=4^`EwBQmmuQyupxg93b`|gxGRXbJBYXkh=9+YioLkzp~+W}bC7rUo;agx zY-#m2h`O20iv|9)wY&F8ecey$o6*%Z(my(|u&aCK6T5n5ly6)Eq!RdZZm)cWyclV2 z+-7%_SDn4#y!98U;v`AS+;5QN%vD{~mgUKBk!H2a*XF81lRR54aT>N3zwNnzQL>&I zhMWS3qY2cR?$tnW{m}dv#mC%olrax=BhnJ`w?NRz=D`%)YM(be?!C=^1w70jy&3HA z({UTU!BuYocrO9tiI;(8qoa5XNi?&UrjkJ%fWyj4*s*XLqeDPCe^RYO0N&De`&pKN zbo;$u0r&~paHIopukHP(ndg|SR`tU3$DS*I6=n#POrh^UvcuU(`8e>c6 z=0bH2__XDWIwCA1jIg_UU5nkj>j;+?>#SpU;<^yK-_{X!SFIylhE$yzM%aC@uElQY zI>PR0bwt>Xuohc}W8df#Rv&UeY&{N0grW$@PE#~r4|1egBPuWNA=xiyoLHUhK3&&i z_i2Y7yHh(L5x#Xns#SI&tTAf8OEJ=vsvh{d5*$JzAQ9H?nC|4VrCOl~Qzig$Y~X&} z8mkV7U1tZxwuO-93e^!VHDMW6Z*m!8LF|F*sE$2S9T1nIsb8W~L%xHXazprn`oS8FcchBnWoi%&r ziQTih=g#PxJ9|d&jNb0@t=4?+=(W~Zu1txJ%=N_6Bay-2Be8_tU-0I}r1^EnWKwM3 z+qZAux<6v3(XrA)ve=}VKR}gnIY%6hl-s;aW8Y)zo+`~(kqMpG#FD0+lIG1*%WzExJ(kIZTKoo9Mvo~A8FA$=K+7v8;nD|pf!c~HI_B3JURSTJqkkQ^xw z$<#PWOYGwfmHYU7bjs6Zp}fY%q$g`{-lr=z#^?=Uu0sFYHofdCV&_!HpSpKD-{VyD z(Fam`(>-O~@!O^y?t`UD{zo8xJkNi)g|2zvxe|DZeeE-#bO{EV)22=zYoSkgW8)KZ zy;y=6UBZXw9!wrjB^2~ifyJe|@dN!KSc#XQ{F9)cnalhc(ij#yHE=2QFVMdj_Tx?I zDSVh*j`IoL+LwMEv8I3CL;qY0I`zFt$;CX8Y(Snd&WoI95-eU!J{0quN&Ii~_#lh_ zFCIBKFSgR-v*YeuKF|HM1Ba0t8)J=7!GAlKgL8a^9xKnr{h@_jT$A8oymSZ$+x}|s zO53xn5BcZiWnZ<*79jteyzDBg>@?)p=ViJ-QRby6OY<^Zm{s&`ZYVnsWeak?Z&-X= zk;jj4OdaS?U56(k@2kVShO$?9WO9Lh9LknMpXed&6wpR3+Dkz@T+z^0$qdjKOS|1^ z<>v#>a_N7NZSr^IxL@Y+p`cSQ){p7oIK&qEnDz4c|4fvf3A{blDeDC&J5tFa?E=t_ zwrJ0B;AVoR>%TX6>BGF9tV40ocAalZvp!hcI`O>Whu``-%d|}XKvd^D>9EgsM<4O` zaj|ycd|P1od}4@C<9VG#yqvQ;amN>)K9G>kzVg^z*k`zhAa;cMxh@A!F)sc;00030 z|9AnUS8Hq)MHHSDiY?_;-p^VPD}^Ejkv=Kx-MjbRE#2MA?p~zCxGDt-CIy0xkbr~` zBp4GxA%^$}CRm!Fe^iu22_`6z5FN?kFX!@LWk>s_wQJf} zwU(`If2tAF_?Z)9T0mpHnJ|*nml>K~R|o1_@m0n6>Tk;D+Brwl{glz%57N0Y(#3s| zYPOlRE#30^R(D>Ex$dUSs_PnQ%j_5DgE7wP8*-{z%2%Cq4vfCnlGShJ)A{`%M2uWr z^9^l;xlfx5bNC!V4S2)VGPHIpZ7ORrb5++Vg}x-So3R})@F1-1?(AAlk@AjlMWB$( zM&xab@>3_qQ$Oh7^)7r$&ruc{UJHAKQFtlhFfD#&-6S14Ori#5QB`_J9(YkdNQeod zjefncyYd_+K^dNuF|x`Oj>L?gzd8$1aVQGvic&ACX!Pi;c9X^Z^`ow1L^?XDqmKAF%kY$uVg^a3{PX(B zV&akM=C&X+!wrS_DZ|Bd!r7}^>UU8ulfkkbvj?z*37yoH6Wa(lff{^c>SjWBdO$}o z^zY7sLoneMTbeakB+3Xi;KV)zH=hc&r8&BX?c816VVsJ?&$S%^Snjx3?n1zoWg25Y zeQolN0W>0G!}}gJf^m2ii^cSQ?^0bW)Geh3%fi@1JV<&@QD%uU5*O{h zT1_4M4eRI6R8l1j_9^1gL?NLrcC4d%l6OK6w4ceUUIqYu3V4Mz7vFyuy36{PpTi)K z&*t0Gb?lo)s2TQb|GSVgusTBxkty1VWs6-Ga?MM zk(i{NOVFVj@{~sy97xh@23<))z`YUR60t~=5;pC#5RqiW<>QsS4diyost#2yPj?iZ z&1}E=1#F3`emHqNXIz)H1#!r)Pp7?Sq>S(efMp;p(cRW&9<2zIY8`Mq_2g=ZK&*g)fWA1PJ&U zDmGBRy0mL&A@wqbs^h3Fe6eH@d}y#|PPVQ87eg3U2?2J-q>gK`_#OLUr}Vvi#qW zW-fdcJ2tNddq%P+n&?XFYbxFA?sNq+rayLnFa5g(7X|6|t*~NNSaOY?R^0JA_lPp$ zuARBJ5SbxQZ+$DH$*A4DYki}gW_uXYL-~GM! z>fXO!%~bbv&rH|pK0V#1PWKQXARts@A-+Pl*F*XhYg@Rw**H1Ua`CWpvUAZ&d)U~U z({l50({l22aB*{Rb8_*}YFW5?+L&3;elaz(qvfL2Qlizd_(3aYZsY#?W;F)Vs~7|X zTG{`N5U}w72ahWK5B5ep3&6-Rl1qJ2UVbaUPa<1~C`EwLT}t$|E7zUTW-b?ZJy$lC zT$<2Al{QTELv&kkQNu~>v)9lHr3WR7mj<>Y{qCC`!SkK+sJ870dph_>hOIMz!CJDxIE>7dqHbp3rcCa0|z zIYd~zs&_zoVt8rMkv7d=0dZy1GPn72L_mJZiWN}Qe)Q=khI*DRfN59SgUzbJ0#txk z?bpy?02L`i6C4s5FVhtO(9SXmAPgsl~=Ze88AADo7!Pi^f}upb;b zk1U$50Wap_$S*4^>T%i?xZHB10kuRM?-`8^)x-_eN_Dc_uqsx+Jw$wFy)Q@@M{7wO6yn#HZC`coh6EMrOUQ!TGpJd%9N$iUGu5lVyN0PFNN!X%-q-%#%c`r>Q%=k z__R;vYF4H9xf2!w+LiN$lsad0t@MsoMM%W-BiV;f=3PG;)XWR%t+2i1&LfLl=NOZi zOt#Esv*&Rebs7Kvwba~{51mC4onVFfN0Jp`5}z#MPgn_i;-ahL4t-jVL>)s*rsg zx4&cF&*yux@U)cw&#?d3#ih^IO!b9#dHrdgwQ<1QY*|kC$sV88AKnY}570E?3w&s$ zJK8j(jo)lV?Mi5ek!TkCJ%-vz$n0H4LmIt>4tvA$M~ee;77v>xhy8}3&nxM6#&HB4 z|6@QN5-Ot9+9#R)hIKmss_y?|a{sR}So{3--Rh4^-x5avyhrsyU#t-|n{1j>@3>?O zxmm21z{za4`3?ZdwPs{v2CYRat8VCjUtjwnIrZBn$X=;pU%e!Givvc*F!S=K$005L%;s{u~8&nBt*O67Ms$Cu$}gcOeuo{ zZc6|GkbQ60uPbRgZRLfOzhkvWlb(lfe9}tR*ZgHAHZl7Gm9K@D+V`k==NFOY{^!7IgrhFtmbA}ZO zj<1j{OgP_~y?oe|eg>qbsSy^ay0Nl(#|`_% zrF>#)Q(Y}0yHc*r+i$-8Q%f39`^I+T9sv<|#}ye5|^VJ0(S15yGkVEh~Q752c5 z&HC!Kypx+7i8)X+e3_+NVqQnXI&0?HC@p`n8cwYWKCT?5UP(UBk5e$fY(9P5&3a^T zV@s=v?^2lqFIKgDU7CXV7>PL8H6I>2TR|_*UbaasN0;HyEW6Xadxy5r!R7j^(4Tq_ zt)h)C9^^~m%lzB{{@P044rEu$19*^@ti})4$+L|DM(*EPn=w}vhM7PARV|E}o>;I+ z8BMN8ie%napDBxGFp!ZmcXS=)rRK%`UU4$Lu(UdDMQ6e&;gTTo)Y9a#R@I`5rwy~| z{(48oU=y(at7Kcd$^YqD$}7=aSE^C7{%f{FROsQC z4@-yC#$NX=m9m`i;;mpB#O9$_P8`JY@@mks31bH$F|T8-W4~6seSN-3FP7y2okLBU zhFe`qA8zpvsmzlPte0x3@WIF$A3L$BhBxOi!)oC;}V7VmYCAADl$kNGftg{>i$U^8&sWqU|2WlSdDe3chc{5 zqRZ5pOdk`-F9jUkSzfi?+kjYh)?od2e{TH6AR|M^XrVDIt9&0Fb;q^(l2h6E4n8u( z4VfWXEQ<6R!NQ?Q9i}PO$>V9Vq`1xz6ayN4}lg#Az-GVSU=4NKb3uoGh_1&F= zaI4E5U%?A%fa;Rp;&n=0iqc#CBok0?4_JDa!%L*%cv=y%I{(e{&;bzd61*yIEFn=< znrp~hmJLtZn0hHo(gNq_h)Fo^$k-0)VMv7UMn`<$(^9-_*JC;ck|ycbuWLGBpkGJs zc=539xUlw?nqxvgJCnk+E7>f-^5Xd!WrvxzB084h01@e9sS6{l$hU**QA0+0 zAwVaY^BJc{vQ2TghB#h)eKDXk4n~7IH0$_0{J3fls=EL6qoM`HHH7|%1~ha7TcL(9 zB~52-gc#aMGth-Y*HTsM;6vh3n~PGWm5k*dq_=@az8oxho~w2SNP@lfnfES?F1Y4` zP`k6knwy(*Pcxd~vU8|aGl)?3qhRbH-#rDSt%d4aF}&3pLLB}oOtdxUFwNr+xb_`%D zLER5@ta0)XhXZ~+tz8k*hiDULTR zJr8)*yukdd^PNLJzjhAn@81p1LtzIRx*KcPw_Pp5@?^QF&w* ztBj%9(Hsr=$WRKe_|NOefJt^0?;xshGQhE*o_WekXRE_|wB_}se7}{Kd`IYR8Xmz) zK#iu~$9yY~%{w+B)^xVuoGnfVN?=pToa3`oMa%Cewts0V5MvzCH_C7^*AAd5n>vP) z#`Up;vDr~xP&(9SQ&7HTh{sba>VQ0WEgbUx4&&W5D)B@c|lo>a*1i%RQYPiLt;}?+aN*iLB2(RTmaN zQ_LewvjxXklf(#!fb-KH;4G!x_9o9x);6mbHnH`KZy%HX3inT!udj~gyDl5AXpYg? zs^9&pLR0t#7?C)Bo-z*bP&&f`IV}!uN()?|cb=s`c5gJL?~pW&#=}26-8^z0klD=< ziW5<03-OEQh4=rHI5}+3W(LP0Uej-*t#VD0TP-vZ?HX^MJ-$T#l7Kyot!4XDa__O) z{LF-EWpn(MO^TOu0|Bw3!f-zbban)5R{nQ<{MO6j!CmM8ZH!iw1B7 zWl{@lKk`i3D?SiL!})U8TD;N>9Eb6&c-GV}u6~1u?Ug;W8r)M%m(XDFTzwt^5M9H; ztAX#Y0ru`nlV1q~OI?_G3~c}1H(8v3)=z&mb94g^m-3&dH}mp_VPLJveBX^)i3REg zj`gSK{j#fFCv^iTnC@jMGx6%C48jQT`wS=#Xn1j3QP)55ns0{|&tT=0X&LgrrmXavHo|2QJ8tFW2QZO+ zk3+5>lakAF7N;`{`3MD7G5T#&$Do{txjip?KV2p64`w<(#gDpXyu6 z_oYvwN)7-i2j8Vri!aKIDR{V$!rqM;zm-3 zQ&$l2HFhT_e_45q=G<`dd?o`qd zOj%9_sB>Xwm$h;2PkVhn-j=R)tVK+Wh<{X*K_ZB1MrtyRDV$!PODAW)chHlos4Ik8 z`Su#F40>=!y-;^V3|@$mfF#&68CBEbVrV8>DF*B}n0H34eaa-Ho0y5G<4>e`I*0;0 zu3U_ouVMEh`(6`{Q+e@vsylw!13dOwm+EL{4P^dn&}rDp?B1g%uTT$_YP^%e+T(SO z?GBHlx10Cc(ZOX~@8q_NS$6+!1b^gkoIP#=#WE$eK#h>7qpn*U;A^|&SY>ZE17@=x^T{`9|$$vBS~OO;Q4?&ypj|Qv&5&3wm3tC?vAwf z4p`pfQ^+)$Ip+s3YtQ(r)5$p*0uNHbTrtH=muQ8`Qjl@mcQd&4qfC-$(7ZoPoJabo z&+Z>Fp&MSzN97m6%2Gt^(s)ShbC{$AW&z`{@#b@c%~akkeYC4MK`|slA?UpuGoia> zEZlsH(^9*Ohv(|$$Sdl?LB9*I;ue*^(&P;nKE~gif5m+#_?zpm80iRq^Iy|rTXbHy zi&eMx#@G4a=>1ii!8Ht9PD{nLti+YMf#gKF`c2|UMr14`xu182bKV}OcxLN5Qjo*1 z&yH9QN}GH%RR-9%5un?~%+qh5?-PJB4HCpu-{D=(K`^%k#2PR6leRUFK#5Zx0i#F= z(hK@;GVU$1wha#k=k8ObE#IZozjrk(!KX$#ND!mL%Lw?}Jp_n)9{EH?pVXDQAxW0m z(T0Ef4CTOW>7N0d>o5zFtl}eiIEEcfdxp27t>ZxEeu5VSli4(GjzOG+Pb;*m^D)LJDeb3BMaO0GoPsc+?G-ZgTVJ#IEslkN- zId4V;>Fgt6WFFcReh1TA`xv}|a z1E1a%Z!1>OoUpP`yvN&yI$87|SlglWm-~Naabj0ExL6$6`NmqDF~fA{Jge|&dmxAYKv!{pCar;0%%eh57Zk5MC&lISn}ZTY}w z>S^L;S)02W=KQ@lps!c`P(r(7BtD)kh8o)ZSAJERk-^JnMFXa{Y< z1IxDb%uCeSJ~s|e`TRVBD8m^Tk%{jZU&>Z_T?y9kGg;HQgWx(-$jW#5k6(FI{s_6;i>4lMT(40sB?8PgL20iRRK@p)e|NLo?p zJ-wane@~%Hs=W7fwx>_)fpIJ4G59dEm@k7;)xDR6M!@A%XWVW4J17$zsAk-G-KP5y zd@O3h7X3e&-o2}dK6Yhqb@-v5Hm^>pwV%H|3)6m7i%Kvi_B(UrCH~UItYx0rmQW&^dbwFf=)`}b0%EQFq zf}Fcn(>IytQXu|Yb>Fb_XQf_6> zeYa%FLUS`ylbtysJ8t>PzWLJVe42T_nbkbxr}&p5B3YN8WBLjem(|wM>-r9JyFltd z!)+2HnN*RrY`UQBL+Abt+5<}dQ(5EcrU{#D=5AceZRe*7B$f><66FkoHUZvdzOd}F zfyPBUL%6k4ywb^W)OEBuyR1c_ zK@S_$YJlhW|L9&Wt`$N_``7xi$=BK8HlNjx?A_}PF7NqZAfFY_TAt=xe|I_>$k$em z<_90Xj0j{w@6+MQWb$8uW9EOf3TXy@N{D2;0m=XmCDrwF-LHE`pLllbu`a!|dlcpP zw7Ztz`u@}tUlDLy1=f)ziJe5tB3LW(veJG1wKo?!gm!P^j1Hn$#GHTi7`0;tu~ICz(66u5%yZ^vM)Idh5e9 z4w6Ed7iLN=Yg<6}dWsC9lcgfs+Uk1upywVxtu;{K_fw2RU#d`3@hEtk6$ndVV!n}J zCxLEP)RO$+JE|4WB@M=qc;Fhm?Y}1>@909j6;S}tbC3=c7y|$+3W|DwE2V0QoL7Ak z1f1P{bZoj!o8S1*|1c@(^^GF$BADEyC19WBY;2FpJAOzMA2+AY!ol-_u%vNLi=o7` zJIS8@N^agfr3;9h{O|dgBd3(eWg53!(8?M&XjUe@gQT6YO6~BY#}0StD#apD`=er+ zAGG-LZP^=pqfRdT^Y+qd=S3#_){gF^TNaDAIzMhuKz@zNndX6I%LUo`Wb_ZNl-%uF z_zV)Q>lCsePZz+RHWvx*v>gpaQZ(^|YyACrJ+DIi5PrCYL4WpH*^+BDPkvXdnTm0@ z4kte&RCZu52CtYEa75mUP~Y#p&`pb*J8h&gR4zF~oEcE%_tHS0JW!$9UyR)_mn*aQ zQQKU|Yb0ivzr}z9&>m*Zds)kNhF|yZBuf7`o9HK5AKWdY0?%FAhq+>hxBij67ggE1 zYGWunlh2gxqKDq}CTMj@XXz$xrbP~#*SRvK^{gzSYcz*6^P3|O%ywu^8*`n2{U^~Q zn?-d#FQEITTjzU{yPrnj7X>2ygisFK`ahR)@xV}yPq>u+8x}eb2??d(Re&MMokfGx zNAhXc=ra0)y`%)4y}{7gB#FxeliGQr2NgJ9;(4st{PeBF(lyujCrpkDeVMOo)^9EX z5Ly+xU2>-sib8p4{c{bX|3pu8Mqg=L>3#msgH6bZ{!F4N=3#eEAM;R4SciBb>AlZ*?F_O zuNjqxKekVr4LceuLS#=(_1Y|#TwRumboi%ueB-_PIR)29i8VFL3ya8d2d0us3 zW|5m)q8eLCUD5WCo+|Yx*$&UC7CPLwH@!IS#@&tIG*B^7`(B+$xOnFL`+P9BR!!b! z5bcdBt|ISPLGjdgAz@pI%;IA0T}rCvw};k-J=RW{fedpZqZagQV88+0mjk3)pC85j zVP?rEJzhuRco=Z zGiz1*RYtuhWJngmWCiAJz2IIf=dAm4z;8Ts;z0y&Pl#spzwBG}US9j7CS)b&!+gjq z;KDP-#JHbx5Emaie@YKF9><`uVCiM8jmg;``L@+k(alZ8++GK2H_S_>;I}5TX znoXo#8+j`uZ0vo#eiY|l%X2BlE~&Z`y4ZYRWI0|k$yG4mUzyPT#W=fXevQ}Q+0l*1 zzDpq5D<|8ukmd2jD@p;6JbmvL>ny5nE!@%Sh^lB`Mg{G$iT9=G0}z+Wd~S@pwC-cK z&PWFS5aJDG7N-r83Mex3BP-S<58W2B3hoVOFOtghT=Z;SAhOs%iGZbEnX@>0xoa%D z8Y<$)$d5Vs$STh^+-A8ZM(9`0o$Kk zAYHzQor5si8{@*|dmGM}W?$xveXN-_qi=SfhxPX>XDcs=;JvJyi$HNQq2@^FsprjR z{g@|PxY1geIrFwys3rJ%TLL}*ic;#X zKka5^fadp#C`WpwP|+|8Y3FdEZ#qZjmxKj^H24FM__t$pv&XD1>6Qnoo>_&|)o748 zrYtew5|@MsNtvrpqj7q{6QhVHrLwLPx90CDMjK0HGo<;FwZ5_u)XOqrHCe;f<7B}C zbH92%tN;GnrK<>cvj*is#V04N2ujJxN5`8`!_%}&_BKLfUiAJEeyiDy4x=h@<}2F# z8ljj%MvcLvwX)3?`ZYn8=$HB>k21y$l_PHI!GWy4bIq~jVtDjp#}@LZ)Reae6<;zL zMfBtV-v$itq5@n!1w0!24%xWdKDW!OLCc8Px{^_5W z^{*Vn@IB6NEZ0Yk3P0E#xvh)xBR|m0A$boGnZi*~CN%^vY~?TJZokdP>cLEgul-tyr8J)z)W4}l ze@dq`iP|{)WH?vC@^ldQAT!TM%rhm&)&7ovFQku{5~z@gIAmrb7y5~lO2=Ri`|zO>aj?f1FDft9P&FT#y*Qi;JYyuzXJbH z@vTKY0@vRJH)5|5M@)Y15~kqE^q>r%YKRj)wax-P_hOvCsOxBNL|spB5p7KU70VqW zh{}#BOfb+?Gk;5A(#I8c4Jf=u#)k*_(=^%TyZ*r)a1j6Nszx($j3pN=Ax2$UPpgy&J0Z3f`8@XEY z78qkJqOBsaxj+Gb(-VQnWKp&jPUXH}Qr4on(4VB!1L&cyB>vazDIC&II!th^vBLs? z#xZ#G^)VBia6h3)6kB`<6Q%}A*C^e*kbI(Gn%@LU`XG;8Opnz{JvDE2zJ1y+fRTeQ zf~fMti4sEKz-aLs>?@Qew{dYC(zh&Vn>Xu3;1ou1P}X&LLH?Wf!*XdeRZ?b#T$UGO z&U5lT5<7zE4$3?|0EH*Plq)N!FV#tOc$~QRS30N;zbW;|F|L;X?AwT(_PxmFy zg3$fIbwM=%#lcRSgq%hRO3Zsq;eysHLF?3sGMscYK>3&m2c_!BB$Hk&(Ne=v-Fr;0 z=T(++3%b!o^U3A-eT;%%A|b4zfPdD zn&9>%p@XAe6YMR~OOQNeC%G{`atI1OXv=JLO8GIEvn!AdzEL$j)8x3AO}=-dbdp6@ z7YQj;i|0AbAMWK#>zXr8w-aJt`*w76LwmNY(lfG;@q#-uB@@U^3a1Xp`uu`@nUDN3 z{udyA4G0U-k*bMh+_l8t9jV@GuCw66k=(H}NjA<|@f5wbl6vzpaiuk~*B|POHg%uKe=n+S>^&z7!&O&sF_o-(jnhq96mOp@bEV?KI zr`!`oYk1M#qeui${}tnTIV^s0D!3Sua^xkvv2kOJv%By)UCd82rI0{{vwSh7$#j$w zV>5O5VgdT(eitvbosHk5mHa~8Ftk~*$9ewYnBtu@%b|^c)+fWn)#AI5ocZ>9rMYw} z@?swkvh(TvC?_W6o0Enk=0o!S?t~9u^+K*C*bkM@KaP}Mi`xKOV;BS#M9rq~U^LIS z5jhKyk6Q}3y0YzPFOdp<4(J4jCZZvnc{88nUkwPl8#+t-DpmimwS=R`WW@7Q*1ifIp%kq^79YOG3EHzxbv5xs@}(k5jY;&F?WlTCM|{!vx*gJ2EhR`v?54_rglcB5P@&i@_kv4LE`3i;#0&QJd%RAbX2V1 z{d)-E_4d{zBd>$m88~#8J4IdgMP;vSOVUMYZZuITH%BL3y)ciNtB1k8?B?%^UXDqq z?sawdZ?Bzly9+cpIprf-D30-oi^$K3i3_jqMVI1Yr%S;v#*|F_HIvp|UY+>+1bfr+ zfi&@}y*K=O81WjEOGR-|h1U;H$SGz*@=0-|BEdld3Gbpj5bc&F>1OViJ2mv>=4m8- z=SViwEv=O?UOJHCx+>}B&7UnlsZM;48Vw$egVQ1?tg<+1Ghx?=i3sAqN0DR_o0IM! z3jZ~AZ{|GXbcSX#T=YV|mz^j<^#pP0!nZL0*o~WCE1%m+xfv5GDoQxhX51}aHUB!L zPv6KctTggsxFMa){Syi>&6IXas@NR6d(jk=ASe=LiXY{P;gSF?fY>#U(Ix>NSQB{d3a%rUff0h1xO||9JYV)o-ud0QNyYSMW{h~#{opg z`+~aLDli5|nZPIq;@tt{bNxk+LTfEu)kgOIAjb8`^B>J5L^3 zeiA!cJDf3|`yIE>!cF~F^_fdt5r}>}O=qQ2ABgkpC5*{>v;1z@KuX4Pj@zGGZFy*w z?1QZsUeL$Z%BUped%rImzrHu!@Z;p$UYYYz6+|Xpg!r>uqe(K-ScpbFJC=(E`Frhk z$#tS#LlSExUyS#HGH)IIL%s@WOK#p3xN-Eh%eSv7IE{KQ1xL5?AJ3=nN@}q*i_Tn2 zjw7?-HHlu<`3CtF3GC$D+U|9c)L}f?C7Q(g(_ag`2O>OWC+5dM*+!=2r-r+&-UHt} z*^KxlZN@@L_e|tpr1wlD^Jq6i{1KjTMo4JkAFgE*;iONdBY+^N&iCJkcF2eenDz7lL} zpYN3kQ-TM8CmU&Ac?uIRv&v(Rkmd8pVemb>0vo6+77a9{Zi+$aL-)R{cjYj?F&VDu{a z2<|y%gCnq6LPi|6PkzNuh1CilL&*;FU4)0o)mwPnnUt4rXvnH020Goc--g=KS0;&S zt_E5^4-ZH5h`**mpu^#jh?N6**+22$u-z9RC@mgb7#kTD#F|;J`V=UwnLMkyGBiN< zuIE_ti{+;Wt2ebiF<>;*$JTtO7~d+_Rt3nztP^#OOM!oUl2gvds?B)CW~bl3Jz;g+ z)M*!&W4d*dRu|@=x#fZ|`Mw0!iVO&`qN2QjMbW&s`%MWfd;3f#x2FkU!XrHP?C(EV zFI-X7xNO`kP%8G8#RI&cE8yr6czZQ_Ae>uxpQtL{{cHOsE!{aVUJJ)|BtzFK$pacIeRpt!@cFm^L4JPi11uG~^vS)v;V| zr#$SE5HwY(>giZraoNCT1ab77iff81{~^Ja-|67WHGDc#*;A*o0j#-xS~Sr&UeYe( z5LKIe3NMxq5YH|2w5@7n1~YO{R=p^CY;^Yz0ohCaVODVO!@g!Tyt{5SOCy6^iPOhE z2MO!%qC~jzY1Dj6Yuh~n0vu6}qwbJ1zAL;F3Qv7?-GAP-bA>Fvu(QcC4 zY!oS?kIbq0PGee0IMJMd*31kJPdlCx&(qhqPeCWQs!i2un^Oz?voESCPF5$7;@-6A z`2P5VsvD2>oEp2;*#PuY9uD%4EBX$LvNiIi;tyGO)`wLTtGvc(yjz(+CFc1bI&nQ* z?vHHjc3CQ@feV9kKguNLU7YjFPKTJ{rV)t_p(Dwl;#An6H5UB=}&fE-%^S5XRH|FK`2JLr?oK z$A-lD11}p8BBr`3t_LI9&A0atUmOPB-H$#$Bu&xKY?9nG{W3qK);f4vx(CeDUqj8n zUeoHUQy1I+?%+6DbMP5x(|!2aq4mENnjI68Eg<&{{KPMB`;yN270weQulQ+yw`G{OIoQJTSK`RLAY*VBi6?TCIH0 zUy;uyk2`r-bD&Tj)WvBI7#>;c@voSm5p*crd|b6+7nyGnHs}GTWIpe=Px0}mW^Q!u z0fQiGi??3%YQ-Nuu+L#&$ve2AxG{F84Xzb0MPc^JO0o6%d#TZXO3Mq^F74ug9ut-3 zd@)U;5gR2)?FqxFqK#K>F0K65Zi`0o3*)_|xqtgHG)by7hgOYWc0MIw5B+M`9Dfcr zImoRm$sBFr=Q7DOxx|xJbXS z9U2THbd_v>WHls2VrXbke4N?(&} z-GuUToJJM4q6U<44)$-XlNblKL1L*wj4tFK?Gt*!n)jl>T+dgzSp#cnMm~N3 z9z&zKODRn^L{x0*>y3rzZv?(&x)yS?;LP$`&JorM(E7jQq?R<+6%veCBR|LbeZcW>x!ts${n#Dy1M>2pKB_SHo zo=nyf?6bItU`7T|Z^628QFHKpHKD^B>(*3jh2VQIA=sw?rXKD2!FrQ@d2J@unKajeVIt#v{@<)b~{S=%W$u}B%{ z6umBlGZ{ep1>ME6BB)IX>DI%+_X!N3=z{JtSrLpTuXO7wDTsA6KMKf$0fcV7lx95~ zdS6KBfZc?bX00sg2Z(OLZhANoWdOO(yi~~g30YsT&Ke_vHecDH_s4_|)Ybwi z*2o0`rLw1J;6?_J;fy2e>_O0dJ7G0m6B~m*5=fN+6e9_-j9x?pz0xAqohb(|+7mD` z22iWyDeG(xB1nS)Y9hojIah8;vtL1N*`k5GTdZ?J_VSLt518@fm_0|U z?w0LDf+GWYt$H4UVkoom|V6*x1 zpRZNlC|a7rnQ#|U9*bS)t(BToqQ9twQEe5B{aZ>Y?`~X6XU^nE?X5A4i%$nlYG^wEbWA zdloF&*unx@RMJ%zXtrHO`%;uX{wZOg_n@(Qr)&yn8YOf zBjGnkd3nLb{@n&KeA5I6JT^A6k1APF^$PLB5&y6=j_lDN?!yX*eVjjU$%4^qHC;nT zY8mW@DMoT$W#By0CL|0CZn-6QUdy8JfG?ptBz?#;BJ=?ez2TrZ=|ObssH@bl+6>=DzwIC@U9{v2B&4J59hH z>>6@*>>8qSm*XrJF6pIq^vUbIf_FeS5(!Si1(_W)2=x9vfN0k2(d0k#GguqD=|{mk z~qhuq-Hl_gl=Y?oCT?=o;WN? z9cpz!TonE(Uf|@d6~2+Zy23NjumDM*;ZGR-8h}385^%?SiaMRmb92BR7Wt&iDZh5P zmEpt4U7HY#V|)K!+e66|g=}%a_^QcA6kIlRs&7gPsPlS-?%$Z!-zll9jiwm%lJ$;F zqHEjB*J<)d%NH!4}PDK4c8c!rDqPIlIp_UB^gmnQ14qC48Je>k40&`-V0 zPI8pcq?lLqsblJ2J{2uwU+V^y{09*r`I9TznlT<>vc&lE*! zz)$N@!(cc6DTZQ7@CF^m6vp{`@_+~CO~S3;>FqrGGxLdM&d{45$Ru~ERqX2uvLXtkbWg|n$@G`(pK8_2->RMZr#5#rHlNlo!+iAU1&Pe z*q7MmIY_$WvG)cU7n;~qA6>q~2! z!ErB{(p`%>FiX#{C!Bz90iHA+Sz7l^Vc2x0PswuXAQ)RT2>wgBIHE2RL80WhyAylM zhHx*}V{Pu<=2Y%*K61I!TiA0Y1%K}*Ac&-K#fT?McCRBz=~$|m{M}1(x`}h|FE%%C zgUVjoO(=p3`!wdG%6IP?mG$4`mwCC>%@$*}KVV-ZFPBE9$I?XDsLqoL{RPI6HHs{e zH7_>I`lR#lM#S+u%JGFk{a@gbMxzXlzcIKo5oKV5$j7E{g3aznKGkHglP=(Qt5H`c#nD;z>l^x z)w-UF>6M9n4i^X$tofFe5i3lT(hz4Cgs*li$<(aVwizb5S)J0hOTI62+&yl+7xwpe zzbvo6>bXL!Z8X^s9sg-LDO|4?kvd7?q1h;`9bEWy(>J<>IkBkKpE!?Dfwwsy8pYFR zyZ9zN2TYK>Y}3_?tkHOtQ;_EtjP77HHO}b8o^8AFl{HAg0VYrc5AJ1oR{JlLP6sl_X_S31uT2YZxIC%j7P? z_8(g(gK-MPsax;wef=+CDw_L_iOEmD>!kd`>HH$;Ag?}2NQHpD6g(T;Q`lRd<*`LZ ztygJ+7D0y=PB)D$1M0(v+Iv~gT-j~Xp$C2tMd@{liKKm0yv9cXPEmzyDRj%=Ly5i7 zL-O&xCd&tK=>vH|%(AGH{&)kJHms<7&I|PxY)%EBG@N)2YXkn7);b5Sa}28H55TfN zkE$+4Gs`%RVGVbb0`KcPE@KW0ae@EX2F9$r*rNX8<%s-aqSFbZdlb4$d<)h0L%*iR zll9r}rjBw21AS;K6#y7A=ZGkPeTvPo6ktU78W#Em_g0qUclRXt54iYNTa;H*D-K5@ z+$z|YYaQ?H6ieJrC~~+D0s=JELA%EXe=_*jly)nA$pIa$w|-XS?~(8w{_o3fKQb`m zqAPpJIn>C+t8vQ)RMBuNDZ1t2Fzc0n|27KlH`(V3B5XD&{o}sLU7xt#FMaQf6^z|( z^h`>dlWn)3Km5$Om^PG0kTIZLR1V}2mzm6c2JpPKhp}~@A>0& z;WWyQkmT13syjAYg9dB+tG{c#KB34k2eZ%{JK`z+gnA5FtK+Q+VxV0yQuCjNkc)|jLjO7jA${LdM??rI#HBx{6fzvUITpu-b*q6v=I-(jR zXc|eg&iv{><1<+_ZO#FX;+V4fmFk-iRriTUwUG>FlfjWh{sve#4^27SA*;2rMvqgSPaJ1pvvX(#bsgANG%%N`}`hm8|8yYqk>IQ=h6^9&5 zjNs>Pv>L?Yz6S-PKU!FR8QC|b(=ku*JCvj zP1WTU!RDQgR-~OZ?MWH$o8_ReV*RrGwHRz~M+i*_11(2seK_)fcSrGrzUA z@xh$?Fkz~XXf9-8U@;GE^>SDzGfn@KlG26V3`8aIjRJ`HA&!I8u3u(>U(}U~(wKXV zzo$*2gt+aSg;*Q%RTCq0INy4>5$DF6_{P&vk?E}ok$)w?xwLMcMmI8y&Iu_@W=^2# z&qY{$2u9_#xUSj*8)*(uG6`$Y&yP16V*l>6*S!v zMrZP$GrZ2op!W3ltlvRtQu1RkC!^b{ymkuEI_jSsujre1?;4 z#KVBQ6caoA8ps@cL(q`qlJC*N%6^lu>bH7M)=TrX6Al3S`hFd2b~*F%asyC9O1-hT z>T@Cy$NxDVhrATNqiVDwQU9WJ6ocU2rXQ`ehF(=QizfSB_7I_OzqV{$;=3CUSMJN{ z$x8tnvSZlEm8gL9os~E~Z_7FJYJq{$k3q^qd0j~}rl>8FUgSKZz2I`{1aI20ltI&x zE7K7sTDsvl#9eeji*aw=**Q*gy)V--p;1I3QR!hgdU;Y2Y2K|p9H?PD6dYpr9GNjo z!EfXvQ)Ms*)58b5MX~h=B63J0{-A_C5Y!=_81OE^ujdq#+P)>V{Yz@&OKQ_W8qOwd zGdjK)7)|O^L!44U61`=Q&8f^G4;ErW3Z+?dRxKT<6rPX!Qq`|VRwM!^PuN#ehWcQr zt<>yfZ((|R;@8ua@8@8f0Ke$bw5e^qhZNAfbqg!gN-pajuu5};xtO6NWMh^)Io z_uyO=H$e%-tkP(>V;JF$t$=iRFYp&2W#C6UzH$3O|MASK~7mdnuK$%rRO+4hJ&ph})h zkC|K@X;3{o;mLX&RZ&Ns%&<{MX}VL;u5sYuuu_qJn(|;c0tElax1_9&3eP^5xGmRw zq(LP7d7f{cF?PUTAL966%KH0iej+E!<=N+ri(zt9feDhPM2eShSh>sdJK91=_#(ah z-Ln_Lk3hWGeq)OXnjlUA4YX&pbZ-H9!2Pl2{o3IoLygSTrYhzina*A8T~1vLA8dSf zI?RS;WJT5kZ@hu`ufIvsEk)ANpbgB@@ge13qH8P$&LO{oPuT5<_~M*;{L2zO-{r36 zj8<+k>wZro5&qnoe&Vb&F%Ydx;S-RHdJ^Tl6MqSzD1<%?((Wirq99$2zt%d!=}a(W z9C2k{M6jPe(c%J`YejJ;al^>_VC(37A?jZADY1>g=-&4~{!3oXrgZ85Ib4+}e#ue3 zDE@v69^y{>;qNbSvhWUm%)4!-1rLq#)8a2Q(b54637W?644M*3IOV`+S{42xss`H) z0?=Dod))Wzh#?7YBhjw-lmZ#N21nk*PvPlS*U*IYO|pf@8rq!>>}{Y~U_itrP~e7{ zw(dhp&81}GtsLuf7h}COf{6gtCBP3152~Vx$VVlRz@y|c&%oFsmo+bdr{$j`yS8@K5bD1LW>?qD-kup9GdpH=bj+O9)7#!Tt9YZZ4d`alo&2Q?Mtfv3_(&{aj~2YSx;L*# zO{Ro6Y5aBDwoOk)&N5;ld3u_gGV4!KZM@}A9FBy0C6=*xY+|rUncwG^G6PG>v{TA^ zsWRW+Abr_`+cxog?M#ouaVd`bK|@=zJrDLt4v{N$S1y=l@{k;956RRxDNF9-4Ym9D ze00jwZK1lx#*`;(Zx*`+8)Ni_FkeFaO`mRKMeLmF{G$(T1T1b^IDn zPX8vbczx0Mf&M7#_&VBqK>uMT^F)*}EzElT6VNb+SmxXd=J>ye29NWA!Q=d4a-8rD z{hlnBy5u+)6mUXsHh(aaFM4C`4&lC8>Q@LKYX4&!sH>2O2<;3lc_Bm-gF%v$reTS2u zR~<33&x*vw$ZBCGK9-a99beLmm4Wpv$MPXbh)?6W|0Eu?*3t4oT?{lBBaD@U9>$pA zHJI2DigVqrWB&gG00960cmbqWS!@+m7(N3OTFO@TwHCxmp-4fbbYYo0ckbsHS6QyDc~ubElj z^;9qC@?>RO>*lp$sj?Aj-8fnYy73ZTd&g$E8s#?ldopcV2 zzSor1@8;9Fy&y!4TwU`F+v?fsGI5BsT6f9WP9HIf29`f=WRjZdBz;r0)U@tECi>7A zA@(am-28UVPxKKJX#lSBW`5T54U6*3qG%dsHbc_jc}&cwKm5&;`R8zYW0j?vgtJn% zZ>EDCAf6|(^xoh2+J5{RO#@gY2PIxsx01T08M+H0Kh?~A)?ARo&tWuxH(aejH`N$( zKk)=fU%&jvU?8Oys++drTENcvqKw|Z54_A(CAG+7UC;5oMBc(mF^KTjZhp{CA2Ego z`z7KAKB;;tq+$h)KCJ4|nRQ4sF_Z=t*jYr)U#4rAW#DAiWcsR(GYZW}X1Bq1yugF7 zwzIQqI7Q0a#uR}V%G0RV_7)#&&2XA%Yr}P|Uq2V>LM;L{dA`a8$=hjWop~D0k zP!?UKd*p!^<j%wV{1!%&CW-& zQ0yhyhFu#!qfg|SPJIf~qJTz`c#7U{w9omavn7EJBnXOTp_og5E!Z&P7G|WQlREl{ zpR){487`)gWYRxxpB_v+GTq!JWM)`Uh@Uc4OeLJXx~UF1B$L6i9kUCtgbAJ0^;7E! zIF1HU(M*f}2kT+tM7}LpgU=cL=BA&sh>Vlllxx?0-|bxUc$vM@GLyGJ_6 zdGL&6$B(NyeirMbN7O8<98{94J2=@G4<*KMx_rIO+KefwQoNA%kYuqKN$>X$T)hFD zG&AlBmCY+!_%hSW$i*;xR)c|`(VX2kKi&R0z~gDa&a`9a0+qST23<}WB`Qfi>xFYw zC&@Tz8=R33NC-`E28q3!SP$q8+DMNOHITI}E&pzPXJ_5c$wUx5{l%N~M@f6hOK_b$ zNV+ajW{5Hp7wx`LO&|6f_RpWGq)HgTh||G>4Yw5zagu74FK>{z&otD z^6@d~F8g184TC^FlW$8;7k}7@sSz{+Rj4TCc^n)w=v@`uV18(;84t%vzftE7 znwd2U+o+K?h!dRHjFWzJ!o`NO#V!5cN=m>gdG77rPKKHA2)ALgpSF zH-?p#drgZl&_-gCb}m7OYRFR_VQL8@y=KsrBm_Jd0p1}NX;Q+bItvj=MqE2l$z>qR zC968rxjfxbbT+f~##gW<>iXg0v7B)o)>gzJzdxP!o{=)b8wdi?m-kjqeE$i6QjhSy z6LoPIFhDG)ceVI&77l!z&xmh`R8l;1X6Ii8BoHNWsT&bNIG)R^@W_kT@k@5+d`9^m z<%{k?$cI9jsgLi`E@4LcJ1{iFDh+IJbICJXfRXX%3x=z!j@IBW(euq~5HlJpD=s2} z(iFZdCKDjwXRz2v-_@lZI|tBL#!z(}wTUm541y00_RQ&)4gX>aGw=-PltyMBQoL~! zL<2*SqgrWh7?>1G7_+;gdOm(7X)N_93pC%&Q2VS0SJWgcv+VP9aJ$v%qM~|wJtl)- zr2T~I>I=*A|Au6^@m1{DvKs8DYi3V0(v{ZNRJzyQ?+#{6e^h@r{W}F04e8#kuwqtN za*wW7-1j~Yh%(}?nZCCWnITValg>3em2c}D4$UA$kf9TJ)t={Cih5qQf ziS_b$x!oR0mMe10zN#sJ#(Jt|Tb^oIVJSnrwC6ZglaNqk(}N0G4z?E2Z2hMmPV-9z{_DWyBjJoo3>H I00030|JyTZr~m)} diff --git a/cpld/db/GR8RAM.acvq.rdb b/cpld/db/GR8RAM.acvq.rdb new file mode 100755 index 0000000000000000000000000000000000000000..f8596944481583c311e8744109726046575fa9e8 GIT binary patch literal 411 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRZU-6y0uR6>1A{2YbRd`wr7IYJ{Re`2 zhcgVUJv}^34$F$&(i)u{{F370vL-fFuTb}qn#rb>n(%}B3OCDFhixokwOj|6?%dhw zI`zb_gq#UwZfXi2*)te8n0FdjmMI)o-Kt}3DXMgcdr1s)O|K7+6Av%X9AA)a|NmDo zCZ#8&JV;1L=rG#i!xqGI$3f=FBN6#0QV--sn1m7&n3B@kxE(I(sRc|H^zddf^aw0i zv3`AWKuS%=;>rEld-hMBzS`J@TRVV}yP07^&)tt7L{Bix5bL<~V1k0b{r8G+`SAE~ z`xdbd@uL(c!qwVT;36qfLf$7`xgxM*eEL!m(&Y{i`x8$}R50~O_4 zAQc4SVIB}l5O&U1K~=;@xqsExxPh5h5c;#y{{W5t z8vs7W@&bTHc^nHhTLodBaT;&n^E-sOUxxt%V>x%B`4fy4&C-}Knd-MN`>;D2@~&$8 z3{2xqeCFy46aJt07w*Hlg>XaC+R3NVSk$FU3$8^b0V`;@9dx4Iq42}ye#r}(%fXZ`6Xbj=aOwJ>1Tw=wO#od!zlMWpa*$GO?rZh?PiKP zZR)tYZfDnZL3G-7`-d1#a9JAnL_djG+Ud73Sml=g6o11w&6<%slM(e36Pnp2+gW@d z;xH;p0`tS}&vbBL;h48Bvit`a`p3i0ZFk&u-QIW0UDkt^zlmXF^W9Fc@q025d1UCZ zi1N~SHujO0q*0R$d1;)5k>EhEQtZg1AXvlvh`D|uP!lN=N<Xr-S4fW_RWLXS!fB2KWEj858>^h(h=asPg(JQ`!)I}vZ_zDepyZ2O zCfJ3DML8Uf`9p{;J?6kFMm7>eSj3^F0m(NKyfuFBe+6x%5-y+ zQm)|HKZETsluL! zUw`RdnBYfX4yq>C0ew&fy)Vy&FTF4p5p8ieMom~53$sl}Mg5-ZI+f=r=-Wk5U+D~- z7F8`(SZ%n32;b*C!#*^QH@Bdwo{i`x8$}Sefr|1i zkO~6vFb@bN2s>xXMIqv$uH&Q$HA(Gjh5CZlcYDsY_to9K5ch@u8z|v7xBj?u?V#iZ z9$4vaXXbW(GrRM#cX@ev`4^sAt#%JjtzNIagQ=^vjK`5wEPq=a^;?jNA#es015g0X7%VEGfwCCk#7Fq!DLAbr#w4R}>~ zdfAUy=@8|H($hh!nI__O8FUKa&5nSjcJs79?*k4q6WFSq;96D z?U2*iYkj|WcNcWKWtV@5X^6wpI4Al^#L|l2#$=6K{(nbbqF!BMZm84Uy$Pz|=n-v^$R5a-81X?J> zBBFfeJUjbXOVa2`hP*V+!bor;SS=3ZNf4}IeuS)_2=qkCgc4DNy)JV~BtE%eEFa}k z8>Y~AsDGP?X!=qr@^i)fYt}fMPG*+N^p{IptRp-_3Kc$%9JK`uYQelg)OL#A}+P8QtWT51W zTqfR>(tymFyaJe`-*Ra1;3-4YY7745tAhUm?|=R9JoEulxVyw2&k~Be!7PJIB$rG# zH!0;B5%CsBQa<-G&1pB19wLWq9)|`jM&+C<4EHTgtj%XuMlaKMpxN7a(zo!H{lC#rym|&X@kPxm=6KSYio)x4D(=Njf z#ec7KKTPl=Fb7rRn}9wngFcWK!k1nci-@*39is-kjD^{zlcImmZJo&rH1zEvsjsyM zE{n32GORY-LWJ*gonajY=bKYdWpWFWO(0&HCx-k`NpIPOI6EF^7N<=A0MQ~)BdJ2{ z`w0{g8N2WKe)T!dmMi#Lo2|eLI_~fHdw(=?yQdFV;Gc>32Jn^82^5O$P`KNVMLe@} ze`+N4P@L3mI`iE;8+-p1bLF}%)88O6M@eHe)kem2s?v!Pad8RE@1R~J;*3VPjc2|1 zU8uM!Y#@!U5OKfbU!USarImqi7!5qv`G+Z#ipF~71{rQZtiOo4uO7SI!zZGz sa$6<4`aMj}h2ylo>vVd3E8{Kq&ZPHT=P_B)`0I#*n5+Di49191ekz8be0cS_UqmRM)lp5Ug~Hq6Qbk=z~ERGBYG(LH6O& zm1@_m(JR($Eh`3E6nP2))MefFB`Hv$Fb=%}2W$;jm5bug1Alsf5!lN8OUgxXXW#pc zyqtfz+<(6L=9~Zj#~*+E@yEmHw=J?6ng1VqPPk+2pQh`XZM%aGS=a?pSyoXFnE5TxZf`*GIFK{+_!ZMBq!`;hLpJkp@NMq85JAm17_~a-aW4j~sMW8OL za9jQn2@FfzPJb~pTd*leU#cJhH1kQLAmn-d>y%gBg0Z_S8t2(_yGI zM*JqV$78pHPVxZ|r7CzJrCn8Mv}JUim}b208p1abra0boymg{#o_;wcoP8XVG*P1W z&JC{N zCxd>8vcP;2(RIll!ukeqW7MC-zP@`rIK+dHgRcqrFA1FCCv3TnhQu9dY8NWpt_UoV zx-_u3;GNcTRCAT@-Rt_ z!OlV@E=z4%$4!fl4W*&Cexq5?fpKJDxHP}7o>3rYo<9W9UuqJHeG5m{x zM}O1wVWP{D&ogtW49p!tC@rQnvwIvgXf=0&%}scwMpiU9?fj)jt8-dp4 zMdD+12LAz{S78I1$p~k!I2OMLUniyqFNPZmmOu^Tia#r`f%tB17`l61_*?KdU&d3L zF<;c4fIYV=p64ne(R{8-Y!)!@$a{I8&wqT@u2W?B2>eE>iY?976SNl~XO79@AMli2 zMK1>BaN}VBL;m{=eS-IHvKBn!tlUXTJC}qRViU7@Yn1fvOE+3J*jrfXCh9l~{97DZ zCco0(|L*%`hAr#dDvQ>pIv+!S0(P}WxRpHgP9d{U?=V^diO{QvCWil(C>-`iOMf)^ zeHI6HD|SFEggdxA1ofjLaM)n2#MMdUee&=2w^cj^x~jBfr5DvlbeHpRisR>B&Y;OI zc2fexW|WYObHhtD`zdgrVJcm-3qr6DV173s?R)gzfWUL?t3BM0*L(7Nf<+|btO;g6 z%gz_1c%aqY1oV8G<1LBr0QX4R=YNXzAzD@gk8C&^P$Fh3bBnUZj}q|lS$YekoR})?WXF%RuSDCg)s#8 z%9>AJAhb31XVYHakKsqWLQ>D4_N+Cw%l?=D}Z<-9prpNSW@?0HLW=YHNEy`q7 zjSIln-1@7#1de+8)PFYU?QRkHSseU~n$4qq8HRFGkf<+jXiK?|LwQHlClXoTok-Vd5f~;UG%WmXbf5*PJf*y&1h&o9Z)1)$bn6a zo3X)wZH!raj0KWks+TIqH>6rhKsT_e5p<^WXqAWTnw>EPwsNSD#Nd$hTN!fqBiaDPVJQNc# z?*qM2de~itTYtbU*HpK(33CE-d90^`>EYzd|deiu9<{#Rp&99Q?Athrop# z#F=SzF9p1?NTn)OSsr{%OQZF|Ae8`uDo*14SuIB}Tp3p(jdK!sq<8uyr%RA#sCK5m zY5HOnc7HF`z=VOammLFtp9o4Ipf5ct8TZ1WXHFUp)Rc=wFRHw-D&1ZYV^~i62A;TpJ8}a4ZvZZy$rlkeLp|=#0yQyo15^7NZ zKYwMXzA{?tzQiWJ#LZvmP}H0R9Svqa*WNpoWz{R@T=-gT`Vtsp*6wRv?WUOcv!KPH z_IT_{EgY!~vCbZ4Pd{B@se)~^#M`-$pSH%94117iMdhoQFHp#u;f;d1(V6p}VTg}P zjXc5*9U`mRw-n#|sN+w%2>YFqX}wppG=CvE>S+qZNHT8$2C~IAv%K)0NXC^`6pzkM$%A6wV2W_%&-?fOVYE- zZlr0ih69wFz`tKa=CDzr3y1XX)9c%y+az{sgnj+;m~3)we?>J55f@kNj1DU}-hTik z{9Zk?l;gvjMn#@>_R_J|)$s<22CR3G+)*v|>^9-sUC(ph?!>la%x|6l-44gTz`4wJ zMkr(Jv<#Erc7GAa)8gJYgwy2QYEFzf*HS(<>R39=(@QX&mtkkCJ?u4dM)>3Uof=`E z&d!*RB-#>&YSi^I{N|A>Yx}8|sDEAyU0pA?=wN-#76;f`C}(M8xh1BJ1Jyg2$LiXxn_GoP}h)KIpFs4zP5Lw^_zi;AJm+1?U#9Il8_redEx-SmvV+8weK<&lW6(aC?dUBMAf-tg)_Nc;l& z+_4sWfY$HJ4D&Uv*2x>Mt}X&MDL!Q-=75b7@P_@LH9@*pN3Q3qd|wsq1WW^=|8>#1 yLhoH4rfOblcX;v)r~2-wtr@d;O)y&u?H3h$KDo3Wt7kDu|NDZaBJkgnIt)mS>mR`Y delta 3082 zcmV+l4E6J*8G;#*TYoooP)sdmwy%qK{@p;DY-^{`U5)P zaNg|fzWL3Y-@Nzc@#Dvj=P@liBr_8KKen83$JoC_^(#;05k@42V%~+8+&c&yoi#WrShz>Ldjj}z39@0-y)vF#vG7S#GD&|xJQdX% zFg~{scG=qfw12>s!oTNVLznqs8vIxa(G0!S2g-H+JK#QH%l0l>F^3N!q6FH13gmwEGbez#DP zznh(NbAY(vM0?b&ww;Fr>|W>q?p+N-GsK{uG$ft`&g(`dnKi>SQ|BFQxmF*zb|x$s zxHniMfPdQ57_VF0v+PoiHxD?kkJB38)Tjlpn+E^5uCCt`Vxn|+>^KK+TOmq^wZF7x zFe`O6izo;0h2H}_Av?wWRb4&AA@Ej{-7_XCKNtK4!tN()E+X2;jStZ8i`WHkup~1_ zzAGHXFwU#6TfQY|FX`=O*~LLJ>Kb8VSkO`=vww$;_j*Y5DG7->gXMx%nVsFeM9p-G!Kwrr?L4}`g_i-~C-oxjVIA!6VeEe(>~OsKHqR>4Ld zet-8G(GGzRw<&S&2Lp|)bVD_PIKe-ao^n6NZYxP~nW^Y)m_O<1Vfv147qFEV`iiTu zY77|j%fV^KpqIaCFZU2t9Q+2FJ|)(u!_+2GG}OdJ(-Lefpq6DuF5snXpy|D43gZgS zc5fd3RT}-R5*AVh%P%f|Fauo0MLWasOMmze^1XT|$>_}se|6J@X|uRp;uCFCTTjF% z>xi-Al*W8U{%5*{zi{ymE9h;=vYbZ?RTN!EGeozS!mCV+)+nQy`KYi|ex%^O!a=*x z%3-LiitRp~WzrOh?;4t$U}T#&XhXi{ki7!-18r>@__T!aE4q2G4ipiD&A`C$q`a*L4%m)973OQ`^w_N= zVsAC5Fl>wD25IT8-Vf89`LJhM5r3=nonoQt`4grv#~6>@+QFM=hNYqsj`Tw*2T_b zwV!|u&p}@XmR^K@l_n^NDd>rx(KKHT@Zpa*;%Q%_x^YU%gDm&c8Dl%(HmS~Z`wemm zs^d8u>x<}e(COsu>uj7C_;2Bj^SH3Rm&ik9ckDLjvWGCy8{9lXuzy+xE}urUE*p=a zuH}h_EjkOnd4Y7yLL3#!pB3g0h{XGuswNR8P+x)dNq=l2HC+NpCnwlN3mSKU1Jq-1 z$QS2UFV*YFzK%r(Uvl~kOu5$tho3KzYY^8e z6stYe@_gI2aDOO=>*NBT5-_c~Um%C7r|RgRmO*ykm^H8d>?p=rIGx)#n^JuFurx)} z^w(HZm%>$YR|SNSPLx+~?ldIaLJJOJJ*2_TsPo^%{6y&#??l8@jtjvAd?axwrDHU)sp2>k zH&jlN-GBGAu|mpIXi~;$fOp6iWKYTwiW`;0`7T%2D@q2k5TBo@2!6(A*`5R>MFt zE|bpdN#l0=e3-A3rrYnoA+UqwIBn0j%LRh-EbPeMSvZzat(4PS;wG~`u%Cj3T82gX zqFAu+39+1Vu*CUXMd(YOb6k5P5euWY1w=0gEZW3nW##+75rKm{mrKH)7g2ei-KN%CiW@+Zodut z*lJ6O`XdX1qB-T5APOT3yfBihYPjljc8)kPkzacmNwhz<1NGX0CVV+>i~>-2===d?_AiU>#G z|6q)tp`}?G`8@W>tK*0l49>x2S=u3Mzu#ci6F6K5BagHD(hR=?#d?9CnhU=#rGG5~ zhZmhKZ!UYp6?VPBdZ4vaiSa)zQ+Y&P^(y(30;+qZ&f(^J%<4!M?zye`F4VCkGV`vX zOAYxMx@!k?U)6W%wsFEX-zz~ij1F@WEAas#?6iYa__Wq2HqF${1F+s7vwE9jqq63A z2?+&~PRfgB=*>F$0Z#W-yh(Vn1%Fr}{14&(DpBnnX9kG@o@cSTXJRLtR&%OQhTUm? zk6b{B)sbXFC0t2vZY6gd_!VB|63Y@P$Z+N(RO6q^u4>l|0Vz;|-`CoRT_20Ovb zD1lSQ`uIgc>J#j5Z9?HaBO;0!Ef?2|OtQNAH(F5lI&9hy(JpLMG`f;{R)17ew4u+$ zZci(^WRNcbt`R}rAgg4Zt%{?r@A*W%jbO+45Kdv$I1 zvl_BGiX4;$y!&Gc_?3A-nGrV4;vD!v-t->^4EuWcRPc`}BmVO<@7~zQs25)Rw!mew YH#xZrbkY4E00030|9AmnVv}VIKe=rH>;M1& diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb index f41e3761beb48bcbeb64c48570ca2d1eb3fb1347..54f68682682ff03a1fe875bab8f993b6ffcdd484 100755 GIT binary patch literal 62678 zcmeEs^;Z;5)Hg~=iAYH-JskCTTxn~;dWYXKoP zMPDb^k8Hvs!fda_1%-qKg8rCD+kIeD`snoOKTR7j z;eRlAc+iXgEAaS<{-2z?{(myy!Eq;HD|;WUxGdijS;vKc#{vY89-Fe8P+8!ACg4={ zeDoDhtyaVH_AA?8j#g^XRsxb!zsWv&Q@RYoo8Wcnz3ZGZr_A5M{#`g+UiDt)!KuFC z0KDwbnMuTc^d|{pLjP?$Cei$1SD&^mo?m4=(LW}%^2V)L*jwF;ba#~xE+?;|S2=$c zdaYA3CQy|kyD`U-tMv&{i(LqQX>rMxX2|@&e91j_0rd-AJm3V7X|CF+vvqe{Kh(=1 zx;=?SnVK(~K{~4bR`RzH@h+J$&6#I6f=S^n*~C|^a^o)oSQBMUpskln3@44_i52_l zv&ORwZY~2=e_fAkx^mYgtm2wkRXE)$L#@m!8r-ayA`F|#$~p5IDU$SmPVzdR9k~Jf zw!BcGF4m1&%XBPI&!i;ZExj`@gfA@K&y?k$7;#W{F=ZTJ2$Nl!gD(3j)u&(R&Orq+ zvF9{@M?8Pb(r#RNF7IWul0(*8q?gT@z&YI}4v1!mdxA2jd5@i&zC|u`Fl)uqeY4X2 zx0h9WUTg3r9~VT}`upal8`+x^beD(A$yAtAa0NU9iaeI|IJ&*i{1;NjWa z02wfySx4P9vxsP16a@vePXDHwb{LCo$~#_uW8|?c92ml~3=JjE=njQ-V7i*&JwoEOIP*^f-{x^lq0Si5z0uVP zAi_<$B~<40Ynb(t-xqibgLUiG`R-p?L7d?6-q6qPeuN|l#68|2E>`*53y1siUl?s0 z2E-c|6SMq4O$QLV=j`m zg4;4}<|WFQEkKZ!-}8XtK}J9(zXkgbgiqGZ6T_g$5FEU}5ce)ozDEAgrbr+4>*Xc< zC_D6oGPSQ88}SK}t$mQ4xQOd}iYk*U!`+=oIcRtbf6s1lFv;?IC0V8s3i0 zodE3w{zcSGaXP`b_Vpp4+X8SN7>ask9AYIub#)<3oams9+%xnI|8VdwZ1>?baOdpg zEl&0>Q$$uC?m-I-MB1yL3sF6!A;Uz}?H}BQ%yG-D<5;3#rLZOk3|}(!9q*;F3kZ{_ z)pd@M*?^k}hoZ3Ci|WZCMv!?N=JB=u9OR}^So~UMXx?f~8*O8;1fKdVAJTR96)+9T z!)7*A#wTDkjW%nMLLuC8b3Was1|aZhFRK$67zRE#hhBaMFuBC^?7sX3n!69EN2x75 zi$v=Z@#bzyu++hzkee2Zz2&5eP@ur13g?}jFg2a|r3zN-8+KyAg#(@0*&$yl!dr%w zPId^{W!joYir|EBFJMn3uD}f~O%Qw;{eKRZ+J`rb-%tW0luFkWfcs^OTx7p8_#1Bx zY{=G4HR<-Gj$eaHZhdXNOeIj$|JVa{eX@Rx2fVL;0=WOr*dcW)uo2|OAsAjW_ZA+*zTJ8yTClzSrb)9%EFvNNayS?sB;Z%GBtpwUf z(p_G;Cx&%~bpT7Q`M@T@bHTwoi~f(6OoFGta#8Ys>YjzkXk%h9&?a@)IAp`CKul;C zC(H_btu!u}V0{RmPnF+`FoJJ^a%FRo)OP=Q9Q`8~?7vCr!{FsQgd>iT4eMGr#xAVw zHOPWhw?cnTTj$9UJ%rBR9#w~0-xbO2^}Ia|yl&)9A zPIf8*w^Cp+*`k#?upCHm7$!sx1jZb|ih)H!5mk3ddjrcwgMg5Gcy8AfQX)Hr@8S+Y zS_GG-b8$hM!tb)u8}m>ln5qewq;)M;_U4W&2{r@nx5xDC>&jocMqXDYzTOo8;ywlg zz=o)NG=KxuZ}UnJu`%GX>CnMIIir#e%S@aU-Svl9$G|=7x?-)TYyrHs(>Oy;k0l@o z78d&bq!Uwtw39`vjNo?*dsn zKVi2|Kxp|j{lJ)YILs7&V+9cTw?MiK+rEBt7t#gV8QGd&PQqZ&uTR!v!q9gX|Eb99 z(&5U`vNxC1z+U)f0ybqF7AWtIDw37QULiG3B&sj==fSG*Wk~z^mKfrw#<&>!=3a-0 zH?)cJetGGsSG*Rk{7wa&2-%)r76E||0yZ%cHJx`fJ9}L~*oWXVQ1RJTT@C6(u!lz+ z;7Vol_J}?M5Q1qPRgr0Gf$rV$r_8t9qIjJtg=~ke96Z+R4m>)(D_Gw*bV5Lxp)VSc zBU>%0h`_BF6u|i2ORg7rP!aMUq}T}vEMcV3K(9X43$r%B0Pux%2*g(r?0#J*Tz&H#=;y)e^>n>;lrjch1Bs`^_V0u- zU+&G%4_cdswIE;LH-s+UW#7Hb>icjdA+sB@0I_}wvj%8j(y#Zajr4H)ymFgYdkwY` z@BnsDuW_^d3<)^ixW*dRB_@t8Ir4%3==JABm+T4VRtoA$@CDx79*N9YF9zvwqVEt? zs1^u_8g^S_0NGu4q$4}?-3&_K(V4rydfw34GT%B17_{cRh_bKSp1i#xxjY)c-xQ3S zH^9oGw}jVHy7p?+ae>z|rhw?-zt&bbuUU^p%lmp{JIMIHJ@Oz+_ z83WT@zg`f5ZVge-(#BOcDYAzYVrj6H-=5&zlfdCG028aT10Xw-2X2oqW z0=F1(wj$OAl}~tiW$lOX7N}I^<9#OuBbs8DNiw>37vDV0)))U0ey_P52;`BWJheIY z__p~q<(^p+J=P%U+M$)Cbl2os|Jj;yL_96mMI}z4ZhtBc?RvmLZ`s}PoZ5z>Yqi%dJwMx4EqD6As{iYz{|$-%KQre^ zjSGQ0XYW~qkZu3@z}5p~Xly8!DBthV=L9J+1NvS%S~;p{n4L-=rgq98A>z>y;bUt` z*9~H%H>K`aQRk>+x*c4ZcF2*$ z3rZgzM}kk{&vNor*rJ&yzlijFl+AkzY=~}IyHM|zB%GoX=u{otBN;A#GKCK zufedvQ;)x^FDT($oV}vy>YH0qA7Ldu&J0=)7&vPlTYI1WizrU8u8(8-tOr6~))dF4r1Ail}4?ng+b(XU5Ixbfy3q z*Tr95@6%7L!Ea{nXfGfrS5bKa=nQ9>*T}PF z!h_EP%uZLrec=M0s88EoR`s8|H81iG(+1wNy{8ucR75I$U7Off369DdtMu^2luakS zgwB_0moc6hf3iM92%}`*DClT2qN-7kVzF|^Ln z*cat0AV0E3bxU|)C{=(bKD8t8LE9-vJ1u4y?-q_p8olfnT26VA`>rIdH=!?5Y2WOXl3-k<5B)nmwq&v^~ ze%h1#E#YW%c3w)mR6>+A+qqbTI{$6_r<-5$clST$=*NEFK6pU~u%6)sW2g>#L&p8JEZFwRTkIWh?5bdtGY~>hvi`oC0 zeE3W%Vq8o91we!_T zSc8SysiQn?9|7g%oM}QSE&!_41f<@+nI1h#H+x1h?{Hx?wk2$R$P0~#ex2=~I!*nT zn;+CVUe;|zn=>v?CskFGBTw7plvzA{bem8G$bZ6hK)ypW_{dYzR4hm0ZRSHnr?g1X ze3A~?bK;8?{u_v9XP#&WVP-=#F2u-jr~+qow676}z!`L=gqeYsHOu_CrK38Ga~o?| z-z^8+)7(i9WHA+yFBe9UPD>hv<}&kStRNmW*Ie#sOFge{c}W?zc46%Kkd|nU&P%bA zk#@OWF775dVjDOcQ?vGxb@W6bxJ>(zu=eAKb6HmFx#y=nMcV9u^ct^AS3mdy3V0ly zqC6)5{ei>4#-mmVvZ7s$1XAU=@r@pH0q0UnC;lQS74(pSdl3H~suG&6DF}IGs{}SL z4QvkfNi#;?hbGQz7a{DQH?#lPI&4zZ#e%7wEY2hswL6>n!up9hp9^nqIrw-!1SZki z=hjf?{;Pc*_M-a>tRA`e`bJkG&H>3(>TB}Gy62UJVLja&_m;_Hr@5lpN4LPu3Ld+h zhb)EvvXJZXA3w0u?(+mm+$O}H?0M-btIaVzf7|qhJ9ps?Wj^w?PXx7 zBlSPe+;T||v@IsDd}A`7r2Ckftexjr}u!^o9sU#(LgnZ}mEPT$Wf73C||E|?L*FznNB;^GB>-Mb!`!D(S8 z+Mm&3^qpCMD)4xovy(Z0wAiaQ<#0!k7JF`?6c$kO0_eJZ8L{(KR3p2)b+%*kjTel| zLl_wC=4M&Rqx~ul#e4Z<$3PID=larADM#~k^CNmVR5uFC=Iv3QrG>E}Ne?dcN(-*9 z9tyRBY_HWToJJa+#u%O!qR;Dhi3=ZWG<#hZ{tS(~8ko)kDAR94oEpJYVcB)`2|a?_ zy$qPg(3-_~Rl_F|wg89FtClzFqKFnkgR>;3&`I?6C%I|WtJ7HE_q!o&DXelDosW_? z&!Ve-hIOwPogp}zJCQm$$o7ruX987^M^~j$*PCqcx8pib%h)~R|6rHP+25vMdEQ9s z9{Kjj*X4uxa^$?MkdE6|ERl(S9ui!gbQGTT`=mZ+*#Va#A1knx1TWHGOj_@(1*KuoqSS8wkkgFMuHCkC zU)!j$VXiWo!&-vO@nn#_p5Abkndu}MoIq~L>+ny*cz^eVbk)MBAiLzgn8~!LVs7lI zF7Fl1zJ2N8ZuHaD@DtC^R!%l^nl-&T{(uT=-CkK(n;}JBe~w+0pram*40v0P=;S1>Fd7jezUs3Ta#nfKTY7T9{3XzU`uuDBX0aJao2%?VZnZx1Z==S@uhCbJtoDcOE$u@ED^8e( z>q^+E@yycgLiDo4_5O@LOd%<`&7sehwR#K#$oz&0pA6+nE63!%`o=;v^Y{3X&Y$+s zev+h%xDue0>GKb1M+09l_ci_3epEd-v5eVN%Ic`MbZ8^|!^q$r+icvOTKAHcLQ=jY@$W{^Df27c=t5((^ zP?M&cCGR&;Fu3c>@HA0QoYhCWBQ(w5Tg*k8Dd4G9!ebl$6Km19)wd2zu0&t|OZ!s7 z=RsNC! zX7ypu!t#K#{?DQ{rujaUv}W50c%+)>Upn}yWqUSX6+Oy%E5-6C40|XkrnUMlPTf^i zyTce6I%n)>*?KZtQZdP+gc)j)ui!w)`k74FEFpCoIY&-4n4OBrn7ZvX1TQ%za( zD~l~l7A?bNTLvoMwh_$zn<$w5x$0ZI*$?ULzmvhNsils5qGjP znB{p+>D*QViWi%?yd7q)(h3JV-d;ejYjoUL3J~oN)VQt8zeekMDT!nln8VAOGa6+H zoGu#?v3X5YYI)7a9kM%q(as~));i`UBcrY!OVZ_v<~^dM&ouL}rsWAqzF7;I;@?~} zig%%Y1fvjuFjGrMyuqF8y=Ilb{TB| z=96Ep#SGC5nga!cDznzUL@6#$W-~2?M^)5cBT^&`P8rh9Iz}H8lV(e`VM1K&o2HzW zAVLq~?1c*G$YdNfzbD8FTA18v*q-Vl3R!4j?u|{ON_>!~T;tUO>wX+K%XGh`r%s>Q zEB|9kR>tw10G~NMvst9;NRR;SA27p~Gx z3At(_UmP`>ZFRxq2|0_Z;H0eXO@-NanY2<&R1l29f@_@NA%&rhx+OP&sI4QNNb%I= zeTo0f-f(I1yEb@7&5XUFD7TpN-2h5WHT6~B87dd_-M|Gi*q3A8kj^)Tt%`fbY5gjt zO5axlrR5v2@IrF%7va{P$!l9rj|*}+g45d%58@Ea{ULc_Y6$Tk=3lZ#7RT6=fA3^F zr1thHGMQ*UQOy7z*V<{oE&~^hyBq&aatZOu6|;^SczX<2JA#i-~$YU_LCh|Odx3A&27fo;URVA^zVbwwD-wstd)u8TTo{gY)*5L z6(B|-PP2inpphx zj6EpH{=}Fxnnn{N_3MQsgnVFtlh$k_^A)6t+AW3tTE>#S+SWI!cJ<1|r`?_o?8mWB zH-T8?gJ&1jOHK5(vTZGM%s@ty%R@@TPN?nI12-)mni5qHpdqYkYWYMrQG#GG!2H(j z(Czob&IE%_{=~R%AAixqWIHV^3R=W8`tkBdjbO3Y(x#DC#J6fS^x^Cy$Xk`|QwuO! z4-ph~h@d(@LpXbhlhJ;e%7m$si|%{?#R)Dm#xED@u{cOnSiDV@yf@ehs(LYQqzmRA z21XeCd;VSsBuI%ETH`IxFY7cM(N%H%FtF6&reF2Lnsy=kDj=V%i09hdB+}}%a$+Pj zbZTUl$0pATHE%t~I}w)~n8e56QPvV=;4XZOc|P(x7D6{%flIv+ylnZ+a~6ux7HHl7xW$GCxcYxq^nC{>v_a;-K|#M&IRLmI^9luzPDbcU9`wm6X=iQ`LMdpu zQqco;n7ZbEn6*a7H?dq%-eS&kePa^2R(`qcMH`ge2?`1pQSl%!wOBIBrgbmhx;`iE zJTa$&?p3TPU0rZ~`p*E_FEo4Nb)^J0{qhHP;1f&QsHIBJ|2)|8$?~}zu=mQLlIxye!rRz;W2K{I|nvMxD!4XQQ8y2F{uO#PH_gqCd4{rEx%i{>UL`CQV~m( zTMc?zg(dG~Z(cYT#!8wNfP&0b&69AFZFOwg0RVsjRbJ_{8u9+{alN!3xcs1!pv!CL z+a+?f!%U6`q#C?oO4N99N`DtoZI$>Vzu#wXW$u{4#K`TI4?E)Si*0jCu8N2aJPOe&5j|>_2qr;NuYcMBifpvRQN5WD{#;5_YyK^vH5O+<&fMrPjj46kZvfc+t;a z+b_dj%ao_1?PPb{AJi-aUSf&0yO3^>o~~=;!U?9nQ$-t4ZR;KiCgllDP5hNRg_KAa zRci{YNHAdreWupKyn~Bvq^9*>m~Z!<4n(^eq0^mi%T!}-n!HIr{-Qiz_?Y3(CaQwp zU6tq8Y}-9dDrF-yw5^JrPh^6$#Y^+L!hl?#13f+b0lL3gj}~+aWdnuB=%KL?nY;G@DV|J9VMnI)=lto0*QBYjp$N9f?no{*OY^Y-NaM z&Ush9shJ3diLZ&Q7x_>s6zub$FN28B*0Opgn*X*%B^;8uU~H4g3Sjc*hwA{FB?5nLIoSuNiqm%_4is2!rsn66B)0Gc2T?4)Ll731FD0F8h$BS{CE1DAlw`Y>T49&TMM_$ zvvGYu?%EegDYH9N93_QFPWKpd_W@20hNcj7HgmD-{eMLiT)Zo7h#gc#YX|Dl`?k8| zY5tLO4if_oQBmWw3bx8i(+jFx)!>fFt%O29cD6MIMO-Z4$n%D`JGX8pM_$jzcFjT3 z^g#47cXa~+V)AYLDMKwAVVRmK|Mb+4ck1p#D>Q7r{?KLYC2D)wGO&HSm^Xk`d8Wa@ zanW9vK2%DQ+odOMd{v0huA_2)y==B@@G8RRw}Naf`Dnx&X{-0~6yHc}b#}8C_{aXt zalX*T4#wPuSo5E0UU-lQX&%f4F{~tFn&YSB>IxpK^D`{GUYfII9|bIjW%^mihQ4MP zYIHD>C5&L`FLYxlS$;_oY&Ey{Bx&_5RXxZxO|C>VYK4Y-#|a*^XY;B=);aI#ec;(2 zQ)zWZ5AKW(+oIj0VXg%8W?|=KOLV$!3Sp%C;EeV`(AaY;-Y^I{o3D8+l^YQ~*D=US znZ!Je4S^ZdURcWTPlQLZs9Y3i|3a^mrJX}w?M zJ=RDw9lqsED2M2i)%_A?vWF-C9d5SEiOz-i3EQ$7Wfcr$OnE00v8ut3y=g!8%K-2L z9`XJe$Mv^q@ihFHy%~CNixJ-`*R&7hQR}*#kd6{3ZPu#WW%;3N_QE?;VqJ9YJ}xD| zAd4a1x~RMAf3Sr~C~_3-e`SBaXS(#YKW5BmvZ3_Mk43oR zd;WPfTCn?)GZ3MFMe{6j4-tsiI}DUt-kt>vk)~aDtT$fE_!>B;M4n@<{qG1A3Fb*fgRUk3D=3p(uTxU|c zGl^_>I^XMdXP%PYCU5U80`67*hL}ZTZ(8y zGQ8rahfoVCe!9N6(fanW^lv&u^AsnCcWD&G$iS^_ROIJ_quI+1uCakKEk1|-aTavC z8V{(O;*-g^&KcWaB`^+ExYygdF9=-ax%Bi=1WZzpK5vv(%o@j^?1gelvql{ zvznnR{ahViV(7))6UQI&@6vv)rqaTk=*L7nP6bcKpE~j7>IgqZiL6Ikxmj#GMjlZt zI`+vX;XQ^QIx2mWtk|6MmbG;u%dL1#hFlO%bQl_XXhghZT1n+$%H6PLIq80xIDV1M zU`L_c)7ke@H}y_2lew!}^A!U{{L^5T!%C3{%J|{+HUBP1C;U%WA2&WZW?GBtsTCBV zS5Z2}chK>mxRFf`Y&7lnCR+B_8)nbsebGA6H)o@r0(+a%jxYlh5)EM#Y>S0C( z$tT+DAC5lh{|YTX1U|V9@hWB{WEM9Q+RT^>-UyoH5!_@guGe+ckCR;bBrzem9~|;r zkpxYOnC|BEGe)wmD<^!TIy5kTGrgCX5$(W!7ANAd`vd+t4XHJ~;vlsCz331p4Ea7w z1jd{{a{R3Kq^5K7SV!d})!ynrw*xk|`KF2oUKZrHJ?AU9%D-h$Pnsim_*KL%L@7TC ztB@uR#o>2D1_E!5r~3uV$1^!j;=fOwK2WQUy2YjSzhi);bTHcms6P7Jz?e|BNl!{*rYv=rp+m*N(MDHvB+ z>P*LQv%<12cf+E&?v(8&jLo*+#&r@t`?h|?Dd(B#T+zoArVdB*{-OH1Y1yT8Y2dRQ zPkGQ2--wS?^6#8?FC5WZ-{7j544?B9t5uxdrKH#q92$rO{Z#PPX3p~o$5-h1!Im-e zOOVZ$rZ@R8G)<@Nu{CYL`r)9>nF3Q3H}vBU zcpt>?3CY=9JIXVEk#5hNNwBhn9Jnzo(M%b$br?nM|VXh%sz!miebevffw#=YgXz7on&~T+nOqfe;y&D6k@R`(-?>ceLYsN>6cu5>$ zNX4{eucsT8`tB^>HdlqUKlXn(n#uWboG(27MHj>E*&Y1;baIlhZtjoZXuR~)mi#6^ zU%oUG(a+qf*QXM1jY^7aA}0@g*#(Mb_tgxZ?A6-PYxxh%5ir)}Omc(+ z{895T9sH)XV0xbl%t79G@@=UW8c_zfw{;DVo>E*UYSp&*Fow<5tq@{sN$887lU)dY z_P6p{>$aULpm?l08EqHl(z6pN& z$8kE)@+0?9#GD0(hs{YOg5!@SFw+AZ=$6x zwK3#`VdM68P4;LrXO2gS!93hANI42X!j}P>TB$`EmaOy9t~x!$U-U)EApgQ67t>P| zgeJfIIxsb)j+d`-vKq*yk`H>ORlMUn!RbC_MU)Xv*KKa5f{rJ-eD`Eo*M(OKy>Mc~ zaz72NV+tc`s4bTFu+5q=!ljNC^1dK)XMBA05E4T|?x*R-NeBglV$_E0VK z@;Q>c1k2r9U;ij1QSUN<-|_H0lBT*?XER`y(1Y#K3WVh(9;@R&%aJ6%ru$4o9p}02 z)j#n-9U_uZWg|6iw6O7x0n{BAyVT|6G#8YUy_Liym!@3nMyEkG6wRf=MYdt_+!zJV)eOC8=!}g@dg>f zM`bH2itN%~e~@EHtEGN575_>4@xPU?eGgS0FOY!#e&v&?gH=G(M25Xp-74WD zT=C_QGT+7ibCD1HFR{tAT!B=Co~>80Oo)fNvJKb`vXYVt@;&Anrf?~J zrKfhzu5t`68_BJa(fIl5OiyFmDdqa)H!nAKHaXiA{Cg8x0{6}6o@f2gx!U%nIRUY{ za30=B;k_QhJj=w{wt|%z<%$MpJUGtua5Avld$tW9kV<2^r_{x|?lLEDc<}7t-uA&8 zHsGtlf&3ESQk2>br&~S=%>H#gO{m+ahgIk%JM9gO>XGT?C7-toGJ9?s z=qC2%%5j$lbx!eQ7-i0WuNq?TvS|wu|LJ6W z@6iugwY*Qu;1q`0Ry8S!Aho$@W=p0WDz!udwTy2xS=`+vw3EMo264)c$0H(9J0IUUcSLg;;V4=;@x|_n$SwfIm(aZ0q+wXxr3%J^ z65X+$V7dX7ZeLi2Z~?0eiKbr3F%!FXKcKyY7a;ZTHSzDhw+2-kV!76}%h##%&1aP) zwRf0^K3D$=>55v9gY@{G|PVxj#c#Z^;lev7gUM z?HBz&%%lRdFQ)XXdt^VKi1!-JTQ*2&Uq8$n2C>2>R9}FZmlpx-MfAec);ljIlOFpx z7L)5^xo}74tT)dePoHfNZk>bw#az=dMGZKNM+*E|y}E#OY-m(yr?xn2qiHtl5{0hB zL|dDkuXsjV@gA%E{&`oo%iO$2&);e$WMTVF#9j2ileW{KiPcXRq~*RU$+lJ|b&mjKxCg@-P+?l?%smI)@ z0!PVwH3#J%3iJPt;WcY%D%rp^t_Y}PwXhAjqV9YGZ=Z$KE7#y{4l7JC;kkS|myh7T zj2#B*^2P33{Zjevsz)E_JXo0fTMq=VU+6WCrCsQwem{4-UlY!7=K zSSj>5Sc;Pd6gX|iY?igvCzuO0Au1ra;kUS_6D$D_B+xI9EU?l!L}tKj9&6{!^pZHo z@HQsqHB+M+64|ESFIyjw0>pL>l4dfe3Cp;HH8p-1V;qcJ4&%^SS0haTqeV`}v8u&1)VEVGpNpqi`azpW!B*9I(Nd{ z8o4(KRXNyQJ@owmm<#H<^=rx-cUt%sxDPt zxe0~j+a2E6?F};!28t>PrPs$mZ*q0vb;rypnq5&`c7Rh56p_)uFa-TgJJq)n!q>5N zN^dqk3#(}r53e`*wy}qav!3h1Z#0_rQ9#kxFfxW7{%meH+KRZ$1ixP9s;;e@qd(Yt zPy#{0Yi8wD%CUn*oz&6york#KE4;`{!Hw;$l}xx7{CIa6sjd>8aB)jL_(f|$g{9Il z@_v2TnhJMgzmSH5rUCB-gfK@Tqo4#Vi8^jKR_q(6K^Q9P7D7*ptC@Mgg?k(HxQk+Q z`_mY3psk|j`*YW-BfKYZ9bZcfuxkXsqtDW=Z~p2!TFWuH4goaMw<$MU71{^Dq9x;7 zT+Vkz1=sp_iSy`l(2sR&IQl(SWgWl#H56rBj#D!LHY+Q&zqANzOx}Gb$#dkYttc6I z1WzXNw=Gy;$+`Yov*J9&m*wOt_KV(a=)rxhPTa!RdRmh?*p{%G2H5e-6uGfhkeZfy z1NpfDo%FlO%prgRbh0)_Q;mKq2PJTsutTsC6UW&5I*dQ3yqJj#TBfCPbbQR0K!QTE zT?cA72&UPyPTVJ;I@C}vHrLp9G8$#L?NZ#IQ6Y~6_1h-5{DwsTR!8@IOE9#+^g3U0 z&ffpmCU*Hi&K^oBe_m_;Sw^p6zHE?r{-un!Ra24raNyFa7Env zh4+6D*1_hp5bb+ z4t8oELW>3wj|h}4MrByNY2chY{O_B=O%SN&-Q^OdJ40W*^SO-=3hTmsd9T z&B=AN5h=CFQxc$unPIo=3k*|kWf}h@WvDB+u9&4lyAvAn^buL-rf;snL|Sg7aHN54 z>|EOeGhy`aeaYWZX0eE{)gIA{FV0h<8(Tw2oAq)%I(>ZGJs(=ah5?#?E3dT$n<*lA z>IcdQ7q^HKVy;AkpWv*;D1rFvI}H3{p{?W3g5E5!7lD5Mg6lz48>XEOQ~g4tMNllc zzr@12AGUva*G9wX&s3bC6%bF%<)mp*5Evr#R9Q&leam{NcckiHWj^Kg_GdDH=||S8 zMPMF@78B!!k&8jsU)(G1j2c;%%B8=m{TENzJ`UJ&@QM?afwWI|-Qne&=OiLc>XIW$ z{&}xX1XvmQYOuG5BxM{mUw&hr5qkAs>7D!zK#GQ}H>6Dg}oV1-US?SO%CNSJ)+`L9%$KBlXytu`_t8b#N>< zZ!*YZ{ipyJI@1+@;`06sk>d3!q*wOS0~S{R&X!zscf!j%C?LX=u??8$g-q0ak9l7OEs6RU;Ed>{)J+nSb}hFU0H*v9%$C%`~X zEaB@>8a9HFWK<2yx8ukHHU6&@z5&1Ezayhpd%_WJ&u9B_l>D|`mF3@V-do?Cu2S}t zeW&|UY-VZ)bgV*Z)_N5@K31>73mzzk>UX94GUEV+k-GiSW{UIEL7&%+=#u-l5HG(! zj&s%qBwz!j0`*x~rgPdFl{cHnpVRDa6Q@kl{yS&l)2x#}0ZRzadi>eaDS>0p!aWYZ zo(#Pd-w4_Po_J7Q>6e^&`;Dp^fh=-q3c0g_fh@NB;tA}ap;FIf653|&A_B4pl-L*I zMemMtQW=}D`2;NwDDHmvpjV$*-a6-5GR{43A{LX5r`9>WrP2+M)Q|ii8M3h%Vz|Mz zQt34l7xa3rXpHIF<~Rym3MdJDgZFxW&4i+D1dV1pmjEau2~9tD%LYE%WNw(^+Code{Mo;giFmi$Kjhf+ zlL)k7d%&vFAv&&0o9BgwqKZP`8%dGq;JcZIh=hQxX=h?8vZ%_2q6&s=4N{R$-4q5qbF=T?Q;0YRIt6@n=2$7p%Se1g>DWJ@kF1+W z&7Y|)4x+w|!EJw`+ELv(dpi$SLR{NzP`%IYAn3Ry`WXk`IO93LzV$tIFh1tSRs4Z9 zCbr&oQ#*~xrL++{Q6)}!%rkQsKYs2^(>~W-?!*c&6v7xNX)eEZ-lP1a$9Ueo`BO7w&~F%q2eAJv`U<%&83O)x@~c;`cr>$Z#~f^U+THHh;ueF9Tg-fIrSupLos+$FTlq=w(%zPChcmRR;2VdBpCX32 z5;I9>Y(HOG>8j646uEc27TD>}d}(<-y?dQ~ z=G))9KQvCAz@|?<;1B!-f9PzYqnQ2lhC`G)=r3q| zwIO%RYxl;vC7Ig(x{w1Uxh(s%G5c1SXol!kwv{}`hcwyT%p}#gOPsLUd%wZ_2M~=i zSmkt(gngc->Un13Fb9vdMj_<@SM1z|uX2Z%_y>6cx+M)c)Z5PSR{TRw{GAA0m67AP zAV)sF3K12?4Vh~P>rJtv6I~li*)w_#=hdFi0Mz5)gMi`a?)&AJ?tZPh_TOz7B}B|D z?|}He?fq1*xQA2~sI@De)wtP`|-RWs~ryU4F79&WVMrm<7 zlpbXx{lA5zqw*}azGtm4G-T?(i%4L3JrKg$=12`4ipu%LNfT#(hT9G}5ff@jY-w=} z@RaQ{E{Le}X}y7&U);XJjOm}wu4F=;K9Pth+Vy7cu1Te1&kU!F!6jC8*24*V0YqFk zXM~U8u*rc2iMryvR;Y5fgyWzh#*G}rR9CWDt)$3H%Lix=e2FEZAeMo-<&_X6CvmQ2=OyshB?lT5Zuu^12v`y1tqyiO z@c<3$U{w?#^~uk3mI+w8u%%-s=d zKYZb4&z8_$8*cwXd}R8}h&^6!+ggJLIX}P%^eF?MGkY>3MSZnHwjX5ID*RnhD3a}1 zj?`r1F;JY;hbk81)c7M?aD6#$x7FY8SAFTaF=K{L85llVetdi~g7Ud$-hacIzn$y$ zkXfK+uq>*ALl4wb=Jy^POiwB2_Pq%A%S5hn=fw#q%W3Y7=oEFh^k@xo2oj_sOK(u~ zXx=3>OKr{=WuE&+M{Ou1 zn;D$Vi{s>WAEA+b%jQ_kuCD`Zm8Ulu@RsrXuc7FNx%eis~LWsZDmvIqWkfR5el>WRBWkqR|F2kcFp>3Ec>!YBUe?c<~z+! zS1e6bri}k^t|YdPp2`YNLHY!jnkS%P3)W);hbyT3ODNXCMK)pvH;B8R7`tKw_Y?p> zUgWvuVu&kFPoq43Vph;hX3BBJS?OBdxf*l;g0`R z)PEN#@ZZnXe{E%mGoj_2|30JrZ&Cb3{%O~GQ~&x%@t>vkA^t_x|KEq4raxSDjQT6W zzoXNSk3aK&d$J>?KTz#Iwe#-*@aK2bzxlRoyjP~@D3y=;pFn*BEBHNAseTVo|DhXz zl`vfOZ}f4uek{HCPx<3a%ino!;|uX<+c1avPs;zwn%~X8Ia9g~{L!a~*ZSjbv#7tc z|1>K9mM%U%MtqPq8Mc|!QYxRFQ?ZVP^(Z}ZRQ*pkFIw*sINpmCIi)A}I?Q_YJ&bXl zyt8WgKiFEP{8fpjX^s6g(wJ$~yIN){uw0~{YU*W)n($+WsFt}9Y1A|iPt-^}SuI8W zZ$$kq%HuE8Q;u<-w1JDn^`u?+Smg(=jb)wuScUHaC_GAHC`FO5tVTl7NNR5pD$2CW z7kJt$8mSApNb&sPj+`Fe#k`2K&(xYJzC|>deRiT?CH(KE{IiYsEZPpB@V)X^B%fW4 zpqYICsnpYP?i~y5GYUHYN+bO`*l&GA`mTWc{a*4{H zX8MH@$uB_1mgyPEU%8RW187-S6ZZ;rws3&IE7N z_!$N%y#D^D_J3Qa63o;`DemjB)#qix=N0Gt2fFz4_)Wgs;V7!&7W%B`?-Q%UHZOkf z*7{UG19c>wP4&Zb^7mkSi(i;6plg^$<3IJE$5j3y&VLSu+?T5k@Mg!@e|vXMeuVUi zPm2CU>Ep?TC?8~L;JJ#h7r&{EPhC9T+M9v#Ni5PQoa(-_?j& zy=yZ&r}l4<%(KtUDu1fqiB!Ik&i`H>W#OTOK-tKliW=*b3N$=_}-a zr-1%bz>hbr_l$D%=hXZ|{5%^W{%IZkf2!^HJ{QI}ec)P0UvpN=b!|MW8B3A=@w7-R zss3@5GN2;duJw#>j%nt99IaVzcZa6>#oN_l{izy1%wLm&?{id!&DH;YsqqI~oQkkd zEIUCy?C?t|=qW1=PitSiwKK}k`kS2msr?6_{*O-ny!bQyr1CGO@?*vl3*(R1YD|8B z1L-fW@t^j;NB!p*?N9k12H$(A49BYczjN_7tKf`pVm(9aRlEjdiFdUAR{K*n+TeHE zpcnNv6Z7$Tkm|o^{CrOHN0EQb0U|7^d_z?~cCQ%y;~}RX@_=q(xq|$Em+Hs+#|s$G zNQ>oYiN!Fr`UmCzldInav;YcrCQy`r{MS~ze|!S|S3-Zgdzty=Q{kE98*l1d>2n=_ zh5?45Jn~1~j|BgC#vz>I)=YPG`I&9drSQI&Wi_bo}2fgEkx{L9~O%!JRKejJwC7A zJd(}w>g}D|X~rC^5%--&BqRP=@b?2bQ)=-3q8X6&?Hz|~_O;7+S7>zDGiYf>;&Y`u ztJy>|5;O9&z|DxJK$MTa{oJ`VGYab%>1u^AiOxtY4hW~5W-Yewq?CD|BrT#PCgz?Y zntOOmZpbz6u8;N9B%_dZlwcX1TVS;-EB9)?CSYOIgF11E|?8f2imxoTL6jy0^%Dpz#mzxt-1(j%Ec4*`)qX(`cf8c#J!vV&U8&Z)~%jF~MCf%L2~_-*ManF(?|X`Yk%Ad_7SlEhKO0j&Hc374YmJlzAubCoy*4C| z2l&I2#nDnHI8Eb=C9(c?Sn+E06mrNyPr!5A;Wn{w<5sP=XSI0`$amVG?Z1YnBOQe` zbrdJ;P*=a7(uejV|E#~m)$4OA;UKN2CAU{gv+XO`r!}WkOZEchbDCNrysHAF`uUN1 zvdvTe0vAN8}bL~pF;cgnC6J|N>-EYf(mgV-X= zRD}8Z?=(}Wc=+m6qw$f`&vdH4p{ie!*;sHARb!;g7Q@Bys5p~dS)d>47G1+=<$nkc zym#A}@gtq(shinY($H>k=Jnl}5o3nMj9|~-jKo98>xhJrzX>G2gmrLR7y-Tbd1~Z_ zaeIm5HZN}NelMI)we&Y`A5u2CAZfCS@I0zo*m(T7_DNl_qd-fIf=O{V1x^2D*yZz7T@!1{?8TMg)mZo zqPdOpxfKvkH)`%-e;^K%=zOl|4A62D#qjz8*?0!Mh0>>dd1uf|s(ch8?N9m>XmF|3&({7@e%-$^Wkc`yUPd=`RD+{IKEG3 zDX>QX-f*?95DdeC$Nx+9-=FJzmi=dP@nc^Uy+>CF{3uSpRb0VV@KgKT4gdNb^!b*? z2W5Oofo}sh-WwdiR#-&&=h!wM#-6u? zZsU(C{}YPe&!SI68`J-M>L00nKhUvtOSLcQ9|?NLEB@L;4CS9+;(`~8~kUK>Q`k6->?!T z)z53n-{#66{Jy0SA6HatRYk6J;Jt3K%!GgSfOveQP|dy%(+i$_y>at|W;15vy!uHC zvJKzS4npA*%{EosO48F4kof*DPwg1x_7|EPi@3EfnN5;N`=WBQrLxf(H{c*zD%IU_ z%FUKkIZ6>Xc-|uRPq}$oxp`Oncg79eE|;4Vl$%{ExLKxvoBt^{Tl7gc`XFbUrMPAZ zl?2PuMY>tOfSX5^o2~l94Prl6H>W5!Hm4}!rnkb&aiurnPsaq#87Qq?HR70XqZ<J0j-iZSP0wOxuI;E4a~WX=Yfv5-D2k!icilZ1>0QR6{y3&r&qwlh>)cWF!)X=^RD zT~SWJb(N?s;`R7Vngf+!Dy?aA0hN{`(0V$<*dJ$>->Npwao6CEgun1TK}2czaYh?U zFaA^ds0tG(e#Rw4&HC=FBamGqB9c=vyJa8l?~QuSp!QR5BY!$;Vs+y4{wL`fNIC*6i2hum#McaCu^H?F5;Jkl>N(&) zLEV7gF#WlBXl#E6yb-IVJ-gsT<^&jjgSG#c&^7tH-|@GmJG-@YviX(2q8ZNdN+st;(-b9V5VWzq36B#c&d4YWNCwq)SQCv{(!6Ucz8APKc@;iy_8q1C z%`V;J>cVp36A@zmWzSs@NV>5Se|zZ+0enRLl8wjJf7#|p%?9g&AWLdroLL5d!_jJA z>gQ3JRk3;F1o%1W4RtfjyfZ*M!=-+_{~7;hsr;#a_%&a234^qL6zD(f^n;0ctRI7P zi*{}L#Y%XrpG^BRKJIp_FH?!F17DKP{@|xE!s%yk)eqb@mQ+7zA5akvQ~ji8_ed#wWMg0Gzfd9pme>|lf3++3Z%U}9V;c#aK|8@pk zq+k0IToHfv1YBzWjg-GD9e*E+Pegw2mRu4ZuHX+Vrdi3;pWO{x#Q*(Dzli_A1^WH7 z@_()4{|U$cZOZ>$75rbQ{fqefO$C2=pG7RGe$P<;jDOnyM#mq%&=>Q|;~nxxB6<47 zeHSr57V$s1@>itasS5bNTlqKtA^&^=lzgm(aTL?K$p6kI<2%QIcNIVT=~;K22kBTG z?qLN=5&tWWCI6o)|MotoSD_`oN!Z5k5n_w5pz4p~558Q`v$Dw5xLNBbQTxyh{QT=~ z%|B)HM|26eN)gLw;7{#`s^IUzz=;KQ%3KquE6@zMS0@(QFK_-bgVv|x+vh6(TRMN> z3b>aa-FW39oUQV+zn|Z}qy2Z*{$zqHc&5L}b^L@(z|5z2F@D0Qt)4Q_{?lna@tgfA zmtJWKBiUICS54TT^|~5D9<%Zc@UxD;S(U!8??pZ3V!hrE+|vIe)a$&YVfw+GDDuzo z|6%p-HKBX+Py0?mFStO{9%F_yupjIm<)ZkSQtdM&>HoIscL^Y383Fpwfc~mV<0jzV z0KZps{>?ToYu#XMS3v(Wu{HULhO!OPHpZXbW7~`RJpLCc@lSLKt3VOhwykg){)e@H zD&M;*AHIzc3+009CseJ#1d_O}yuYf-8kNjPF0F{6_!!68XSZxKQaA z+2;!&VkzSPoC5wWf7{cX^e@bXLPUnY4|ROpreuDAX2HG#lAiyYddpQw3bVP@q{CFcH55PPo5#s_fzr3TdWI$R z@#dfaP?$}Zl2iU4*8YoEteu`m!YHYIEZUY(`FK5NHpkyGYCk+LjvCzifqy8h)6s%8UQEn_{)$~beq46~1`-D(-1_k2vEB(4m>G+`EZHjuYkvuy$Y00)o>+bI9G z+DFP?*N}#UG-=3+neiC z|G{qro{4U5r%0I6ct^t|97r$z}rv7~!I85jc1xtqd2N2SvA6jZja{~ORFsYFePSe|5gI9~ z<+FFhrP*L3SPBipml{pYr@<6tLf+4k&}l8ZJ=z(`eE!{sbRQ{B9m`lOAyZXEqvB|HwR9o#Ef5fd6U5 zSHk(~Kg5YUp|Wht$m4g?CjlbXakN=Z{c8j8FpFj@yqhW3(|=9|eSEVpp8sx$`loTc zqGn6V&k>e=z5M?ykRSIJhfP7xvLUn4ljzU4QIH?vpuc|NvVor)AEw#hZ+h;*>ix(M>XmFd#rAdUlzOj;I`-|IyA96}u?k z!`dA0TcKh~_T!V1cOn7-33vksTI1Uhcw+Y(H=mRBIy}_|kJ-8fE_|N@I93(|-{~+# z#4{@583{b^q5Y3#ya#5E4Jf#BL_ESbZOZE}{8|@w@f(Z{znYEH6ort^06iG|uJ5;SE7RqHp!IK!KcGbsDb_&DV*Mt($5@GYM2gYC^9Jzni4TE` z6ufiiTC_#j2h6!3F)>V%@k}Xr2hXG#gml=eRfVNbxx^ zv~nnkjU4_0oSX*^{}nxPbi}ath%r6?h`p5{pMFHy5jvbV6Z`PX;>cHCR@djud` zaBJ`G7a0GAL+tR*yFiE((LbM&#@k~8hK(Tbmr6Wj8DMwS2g}46y4dtH@Ni;_?Zl>g zK*K{7K5_H`vFQV7&oO%^NV{l^9kHoB=b{W_)3oU!YSWXVrbS~P0S}(@jBCk~zaKBL zDerEWdosMa0$R1)#d{v_ZSgMQd^wE3Sp5r|0Q)0RQnB3|XpeW}2XeS(lt?(~1^kv4 z4Xnu~@p~TlRzZjd@lSk%U%JIpD9#&6aZqO2!D#zBaN3;)5|^aXYONcht!WqD({UVh zBlA=7SUyv9Thy1)7wJ}k25>eWC^?@&lqaJUB3*zKcelVr zx)lIt&XhAp<~maRQHyjRf|h-6SEQpgi=^ZI;^zb7yiw;O-K1zgEJwPTKx-7*zXa)c zU#m$s5!y4RCyFp?M5J2)+&HoKRtCf!uEWxl7%!pWn6<4#iGwIa5j z4*cL-tqv}>o)+*2zzarEts}*uEw+9HIG4yE>__UY(lz6BHgJ0fFmCCj<6ZmjLjrWw zBGL^+PWTAzKSiCi7wMQkAB1!>LOOwqboT@PMvD|8-5ikO%@(*wH#^{O1Gh*=PbS4> zGg$_M8{XBwTn1r3QZJHjPH?*xDDi5Q+3s$%-# zFnNx2BSGsmw4W<$FVdZl_P0Pf&J+ZXNOvCKI`db;BHbv!b^fn#k&ZLQ34mM9y6DNI zxK$<#r8^gGw$32zM=GthYf3jgxcw3sS9H?-8||-$S3Mzbr~(GD-2q>SbPHtdMYjOPr-X}ucWaV5O-hhAWqB9e5ZiMeh7M-I*sxE{db|2CN0s}%&-o=6B<@RowOH? z@#zCRkr{Ree*zbc9S!)LEt(OHalWT>LM0&@rV;Z<^UhRbg~?d_M9=Lvit!;Hg@@mvJ?_OpJFJyO-;w;eJ>Y~jOEZ7+TeO^83tf@@9K{2y0pFrR zw~tElX9E~!0q3j5){;NZ28Q{7wMkJpe;)RI9PQUdowOG#oQ3w60S8yr1TLJM3Haa^ zZHpCN1AIseTr|MDm*)g-$uOi&iu~fYJpWHCERQxkZ*B4>BjXT6+`yhYvx$? zCg}M=P&^2A(q1gPFWR3E9QYEM!iAH+0KT?baM_6eE$9(z;D9sVG}kwX`6y`_WcA=r zXv3#B(HuWop4eKY;JsK9S(IWCaImhEf|;sle7_%3YzGdcy-2~Q!}z=~?ILiI;t0SO z1}6srx8y95f?4QU;1E4?q~kcgU&ixb@OBv5?4Lm>1+kS$u>?5S)=5Dx8mV5icO31+ zi~fd@?p{c-8#s{mBE@cKPbp4=6ap71b_HC|1sYpL{369Jil1IAGtbH3pMTGIrWEuj z`+B+9f{7a5 zXaUEV#CPTh2ThsHG78AaWtohF(fT)Nb9n}#)TGiX_4zR~PrAMfznB;Lgq?Dr&aazr^h;vOA+V>sg7 zuH7sj;o<{ak-*b!q@_i25iK>>gcutk?d)#lc2~fyrEHr%urMsLMkc3dp5p@Xi#&{L zT)-&wfmgxV{8+i&23oaD^>b+VVvjLssf*h!wXI$e0bELaB?!> zGpJ1^TZs?+8ys%iVr%h%e**ry7P$BTXJT)GZu1OuAUJ9D>Sh+g)%S2LxbfE)&I2dB zZ)AnYHTf5bn9VK$<~vdMEquTvIvec|299@tOW-2WKLK9=9Lnr~ctoOq0MD*1oQp)K z0;5k%m8gVrmc=RCCxr`D*@wdRttJLRb^2_{? zaOOs?E$$dOk_u^{M>xf@U7uHPa>030J3rhgD&(KSF9k4Za$3(@OgwQhW+2o^0V(q*xf-KF|UeDSkeVQs`HFDL#sD%WuB$ zs$2xcKY{bw+|mkvDe@xlk0EutEpWH0!yr;D2yX9afr}J)TSKKS%0|Ymvb;ieg{yNR zg(2(v`%>Veq0Z1sTG_;@xXbVVEU=W3(%Tu-#Y zb3LxA33vP#47|GwvSseV;@NOY>U*QjrjrBXW13CaI$d++Tc8_H6zQl zGivc@aKyXDWhN}}b1_%BI?i283W=2U;nk)MsS&Ape+6xAt<6%rp4)_Ruc-~ZtuVX+ z+EOpFEDdcO(V~rgfq~blr4|208;bzWGuI|uFz{}uPJH7+P+kMG=nLZ<^7Apovpb~T z2=HZ)H!!aNZjpLN!1q^9<=ZquYd659opB-B&?i0(h;379^2Y2dwx&&5?IQQ~sRWAQ zJ8%bzp(z*XxAx;afoEK;P(jd&jW9yGi;a3R-X4iFsb)^*T=d~=b|vRl%++|tA&^^M zL$x!Vg#h>WbK@#4n>5x!oX!p!@gV-m`M7>7uL*Xxa6s(J%n&kujvmNDDE_QxJGhOko>kDq~m-CjqoE6 zzXHVci9;0OfmWTkB`(B=M>8&3;KTy#fKQeK{|fN$TJoW^x3}ZQ&hf7)%TAIpg4CVv z&iG!B))fqM!-9Nb!{ke>G6ydGf?#cYRs zhe^NzejGJ!0*AbEF(5+79iKd*H$D&E;D=}@IA;cLbLQkjK1aJ=3tVKp2Jq%;9}w%R z5m$G2ZD}uFil<=`S(;j9?`COw2;SGCoKu$LfWh9>As#{q@5QF><#}n5h2w8;3lHKU zbE5sb3Kx$MS*At%ouV#`3yILvfE&-m1%ulCFjI|%EF%ODa8Z^if#J&xLM{lIxn*AZ zG1}q#A()rGgO>E{k7B*>ybye5M2q(d&r>35e$s+R^#4*kk03@X)%u@D@C4!cMPQgW zgOCg2EQ(O^Eu$qFjNeH)SoM5oj1A%KPTUD>EoEEH+csIQpgzxr)LctJZ~VyHIWBvA z6KRQWObT1m|3^g_8fqbYgBDwh&s+(+Y|^4n(f=io{US1GrNM;dO18_1D>0!L_nZ1dmngiU0V~o zaBYpPnx&fO^)nA4&sT%u27t(XfIMI3d}g|s1H~>Q-~*gh%pYM*iq8SgE0u(dj=04x z?*Kkr^}Jw|U+nTW;Opnuh2I?7Oxp`~k&)lvkz@O>mT{iU3Y76hV3;?9kPAZSV?5W# z{rSOi>@e{5M2lTSmPMeGxm$P>SzZR7)pKOI8MuGa(q3bHEM(zoEm+2nvOEb4i)9dU z@i-vNv9uMhbC@izLzdZLm*#zJBFiYiKL!R~=|*=Hk>zW^e~tb#X7-hQ^*6xrUX&1< z#y^pTU(s#CxL0kL^)t?+?}2lUeLQOvF8&1w$K_eT!!%Bo7r_I^-`O+XBwt+!IG(8v zdn1k~KwpdH=K=34Z+JHlxZsbx9Rcb1jb?#RL%dgQGnIjPBy_&QOkD8@gZ~x6WA#Y29lgBa$dHWe4wB58B4{X+b>I{}TG9F$U zi(EHjmc5Dl17vSrVF3~R$iusUV5<Z{;gt3p@vMvo&%I5`<`Udac5Ka^8je1?!#iL1^ir_M03FV9iw z;h3kjz=is|fES%9&ywkZ5)4C2^aJj!*XW=xi`Z)ZkgaN)7N#ze+lCQPswul}C2; zkNwPEJQp(i4uN#7c1KGT+8x&|(GGV+$Myo}S-eV(I!erzO|IC!-FC#(Y{On-*M|0~ z!sG2rZmh81rJB3GjPWP--3I5y4=G&Qq9w{wSO>TW@INCL;a;~O(qguQ_V06q0dq87ce_kB$rBH8I55I$4yjn{P z3&m^&>L?#0Q$3*Y|3$e)u3dpg+Xq=an-%<1Yv_buIk#xjknbwPuTee+b^lo+y#a0{*Rn?7)8k6ViQsk@Nax#63~d~bd@KA>vOSS+KLMwFL&PI-G?UQ~ zZ_4O7LFJ{6Oh3HC@O^~~W@5p57dW{CaO!4olw9<~XPSAh2b$nV?*0IZt)5o2x=1cx z!fzOCdM>nrw`@h*ode#!iTLpCqi7=;sV{}p^W}`le3$Le7P#;%PmO`4tTcV~7b+v~ zJ+ali(?M4>M;P(}S7Jk}EW8U-qJ~>39EI5Le*Ac^xm-^dS=Pa7Z7a_r3wfTrMbFe7 z-!qEqi7m1S9N(hu;nRw-QT!7)&jp$=?lon^yMIEnPG%i9w0JLNY|qAJGF}ZC|Bl(h zBfw+!`V@M@Te%~xSKQ3%LB!Pdfb)K9;X`=lSH(AKk!3)vzO+P^bySvzqOXc9AGX;G zc=}+k%h7sc*#C5FO_tvQ=LyQ&B~ccMT)bzq=jaxmMV5WQ^EL{>@JSOz2}({PK@oU>BoP#4 z#(*Fy1|F!OwKYk!{+>;i`n=h^@o-3IWk!~D4tu>+14C>GeGBAQD@_4^Nrj3`nP_aO%wT~u#)V( z4?kU0-Yxr9(vH@Z&X>ynyiaZ=tX}w^?9115Hb37XomXg~&lO$YdyYKdWcZ&Cu#w*v zKHTUlSeJ|1i`Tr5QzyyB>P=ts#*;MeuUga1Y~(9ZUyhZ)-%Vy(Q@>^YJvk{&7+85F-nJt%yu5b7hPWAfQO6_i& z7W{d_S6Gg!K4e^ae6LZDYfsX~4~XaQ)PM6mOYifqla1TfbTb=S<9(~>KeM@-bQ=k( zUL$-sD0fxgUiW|hcr<8U_i5?2mUQDQV6NM>lBNIJQk0_NlkU@{o4)gy(9QoRivHZ# z#+M88K%-x+aZ29~3i#`i@#|R8E8ZMc{;%62pEUZhLAD$s^eKv^nPH5uSo*Q%y%$Cu zOs4sHOV!05Ew-E_TfAQ8ggP)=?wL>iKTQaV2&(fN1Md9RvoHn;h#bla>(H{HG} z`UP&Ic$M+rr*qEF{bS-VKjTa82Rvq2?>$4d?5+CWD#W?@`83gwY0>#z(pmqNO67aK zeUq3uO|fxY3w}T0!B#0}%e=)u-v;JMz0I>MW}YmasTX8zA9OQYo~QA}?|1G>;(4a% zw}B5>N?E#UN8F3YokApI#}B>TVA5} z{`W}VGtuo->Go04?S8JZ3H zaQH6D1(Ci)qMN?EHhOBLKRcmsB>IP1VvPTH6WEr!T#U(w^H4AA3C-_~)BBgJWXt=b z4wr|1#_Hut(T|SwuP6Nv^Vko!==>h(d}IsV|36P@$`tjnYs;2D$(FN&U#(tVEZsg( z)4^;xLb_q&1?5;~?c(Rc^G5hrMYpvpqw9YRjE>d#7=5gBz06yTF{l5sY&k3$`#!9( ze!ZxJ&2`L{Un(M@ z(~qIW_kE;_be?hZ3u|!hy<>%czPP?oe10Bk$0Fep(RVZr3tQ9UT>@6>))mrvN?VDg zy*y7GcrMB4=JTj;iN1+A$P=QGzAO&<#c#2Bsk6Q1xR;Q#M4wsG&6dkVKeUW!IM~zJ zk7zxOvnttBNSzlIjU&4Q(m@g58!Vvezd~!=WVKL-+OYUwQOqixg!I5T8MXS{8eeLXQID0^mY-c>`#i`G0`8EEguNw%%6)XO8HI4NT9Jsx>6!>vtYR)FP7E#dDGGx zaM%Ch5d9^!m@!R$A+OF1TzkFrY25|FZ=FPd*ZBF88}Dn1z_|BQaljTkV{bM4GHG#C zjcyvgTl5t~e@UsK(Z4E*U$puv;L_{u)VK0!PtAlcmTuvEk2r5vv(Y%8BMtda*OUv4 zYj0?56Z9~hu^R7fUFv3d=*Nn~aq2ycVw&8pp75-iMAeYej*@s@jc%IorBJ(jcRo-) z8FK1>LB}T}vCyd(in#BlcP{8~-dm*aFSs!SFo& zf2&%-dqc0xRkLxXMxUqFG4(r~)OG||FUeBV+#xK_Ysb3ZCtsLTbgu~uvMW=S& zE)CJt`Sfd2&FkVlps=LROOrKJ@(t=gH&Tz}9M)33yjBuT8c03oQbH5n@WHS4T+e*_ z5zzyn+*K0qE^Q~Ko+XL#J)pv*LD83d3oN$Y$i|%`#gpg6{0d+H%%Ifm3!;BDdg=S2 zrJD{P7X3BROQwd=uM+=FYdTyk%2&hz{~OYxmp3J->AfY<=;mkM#CWIZb|1hMG(5e9{ua?sZlUikx@qkD z%Ky?ed9SJdtehJ0wqhk|6X{Ish~Nvem-!NJmi(mjkCjHIF?4-kFPGI~vC+TeSoGxqHh%9+lKPC)06ml~oo zv$A7L3OYlfZGO0+^E;%&97EQ0E-DVa--ReKooQKzQ!nCU{fX&(xae-R<-`5_ol-+| zeyt>ax1^vm6#D;TCCmO}lll1q^>uYwln<$3=Aa`srR~+qc<+>VgkK< zR_nijj~;0=F=G(>jl(q`W8FRym06r`ppok>E%?)gudp0d-mtIZ^?E-H@<#&f4(W4C zP4g9{Id8q+AkBXn>@gePEI;$Rl6&QoP&D2#eN6q5o%`N?pQ5v3&)ENH@GJZ-V+ZlE zw-$Q6_ehS9q1%gYE1}M3&v3w79M!kPAejeVF8YV0kJ@q(fZ_Y#=a_b)%o1@sVa_cn zl!KyAo{yd;s=Y(|g>g!6EjsT8aQw|s!dlQr2Uz!ZS;seFjL!%~ds~RlOVkq_AQ{gI znwd8?79E)Z6n17E>o%v?=w{tpMCbVp{i}W5Vtqx(mxScb&A!d`QepT8`gx+-Ov-H8 zO!WA_nR;Ijyv>%k46ucw6tsn+Pt%WHDysS)l{9VtTl90p?FS8Q zKQTaCo>^=mZH>=}xa+@}h|i0p?MEfU=%($OqJP6Q2{`{9{p&@yxQyi33W%%O(x-}( z?PQ()BXc2~wpq$vEzA!~3f4iN>tvc?DZ^inD<^SZWlDH>whXy)y3|zZU3)a0|n3GBh<)FMn zTInz*`_9{^2h4nS6aKBT!U~Lp>^0(ZmN;AFK(ad8M)V76+L{$xiGE>?ZdPn5dNr#j z0zV^7d@f~go0$J;S+tR*<-4Fl-2GAGvi(ZjsTPgLs<&T6EzzmoH$I~FSfBf;T`Mv7 zdsf6hKgh5#^s2t-twxQCH%HZ6#pjvYx@<)r_z#$PnXjo(U>)kU#&F#K_)D9pQl zCQm&x#Hfug%c|_vLe42;&ulzgw(~~F10~(O$~~90z?W##N>B)-9v_`Qm?w==>f##s5vCxt;sIvT=(VpOFB#NPKQE9~M?(6?u=@ znqKKH_>F>V{xn(rSk(N_!6Vj7KUH*ADJd-y-?rF|gHSBJ@0{}hLN%X%@1OA8OGN>v}_8qN-7MgnB9$iLF$P;vgdv{*4{ z!JA6IQH(K*`?IKa*KD!+c$M|LmG9}A>vnKoJF*@_0*fu>rBfu|?)6NE8R7$P6tWf) z@uFWU+ifj@5tjR)8P+QZJxi2v={8bQ@BtKNZjXp#I%Yj8`d?Z+`DF1_u_RU6@_Q@1 zm5y0|6Q2jgTlEm>hW{&aqZP)?`ol$K1-euMtfm$b{Q>Dyjag{2cxemPJuIG2Dk+qM z!pseumkKpxeddFr&y11z@gU72bIZQEsN#WNvGGQq@fisU@(rs$sXX;ybhGY0(W9TE zA!glUqCd_wjC8Z^pQ7`m!DdJF_O~m-pHbR^b@vLhR7s&66y|bI7M1@I>?884mCvvT_RDLS@##wUthD1 z|1$lY(iW_{OPFO#3gw{e9qY(Ao*}9h z%@(VXqs76;X#2&v$@-P>@%ODY9d1$&DFup1RA%@&>XR!PM^zv0zgyvZ*?vEDgZR&p zuLRUaU$L$@Gr~SsmY*89S+B)^PxyF?<_Al2#;^0W*?-hCP54%MeC8sqB%>do*1Bbw4hlLN=c^_0&80_SVR3k-YGJonn)@M_ z_)g*Zk6a)v8K1L+kH31Z(N7*woAqD&s!vhk*S;@K?vZ$(J%i&8;>a##5r zxiCmRJ$KP>B6Q6){HEx>X80rZBHlK?CHgMnY;$}#S9Ql( zl`2iZ3su;))1(FSvGpa*ez#&AuGdVD6}+n@?F|8Kk$;FJ^1R@Q!ZR0eiQgB!lEzUb z5kA%vLjRyjQ9FFClym36*Nuq7mr0_{OYG|R72#jDwqt}Chi_C1TD3OoFwL)1@3ERB z{%_gFjm~p~bwvNL==X(kM(5WDk#Wo_&DX58*&j$^d}h(JuYg#aXNX?EvoJb$7CBAEEe;F2{zOF}zT#|~5GPhU7s?jm%4l3Q&gYAMv}}j+^AJDw zyy+~_{}3a5->?=s0;G4I=qCtY-AfxEzPr0j=@VMj-O~9eA&o0#|0!y(_eCM8<;|s? z$`-E4S4F>D689|E=Z*81ML#}jcuCOE=wA|jsZt`GiPHLaM@=H%9bK&@9)2SFhsF8( z;%ZT7HeM7tn|5%%Pn_}U>@sq!-{2dD+eh8(`?%)49YyC^jQ+=CL3y{4@ASS>bbId% zx=Gw#5?4~x>#TrkJ(btvcA*|kBL2sF+Xk9p*+b`Um*W>D^>~Kpdqw&if;Q&8%@vtD z*L-4{oFSj=YxKZN|H)O96C-lzz`?xwCUMA((RiEReP0&rG0yjDR(yJm^RD8Ijf;!x z=L6C>AbUS*+}%NUW`u9{BqHoPrlwPJnQz=IxW9$cCX?oe>M$A`r#ua z(Y{e*-abkku2oD{?^l~GZxDTnmiEhY;rf%}hhyZJH1@_BiK}aSU1_65&WFW$zvyi% zV>TWqUp*+i(b$_NOUR=Ah3C%5t{oe_${Fu{x{W7_Gd+OOxN00eD?0Oaoe4{_TKJ=| z#P&PH<4hep{siHfZ?Njhe8FS;9?_p8pQz^(jWk!bGm~LlIx|qs_O*p1hIbcd{NNl; z5q-7VczvCE{%wV*?gxwm|Hbbl)k|gd?n%GLTVHPV5sD>bT^eX;HNvjPmy_=khl|VJ z#yAsWdr0C2(#`H-%>NsRewXl+y*iXJ`nIC8CLl7K(&MEGbNLqp-$OBfULa(zC1!NF z@vRcmkZ+ZY)cQBpD?EDb>jZG`^^Qdc*;vsmmOduBt)BOKFAmz6jr93=m9nz}-E28p zboz?wYlcSut$g)o(W`d!B*oapwJ0@-n~09Li5ZoZ1mkd=IImE{8-1?uw$_6#B%^O8 zy8VX4y~^)iw-!fxV-%#>@@a9dKN~d;JR9W;vhrmCy4PDw6!)G{jDzq6vjS-E4&E?Z zwh`wYM6dpzjd4Cj-mth2+NzvnD6x8aVl5K(j`d};axf;5Z&WTT&NjP;ZW0fc#2s6_ zbe1%~OL(5PfOd%o2!DOe#?^&nP8C35XA(ao-LRcETX;6^e&*ZJcu7z;r6)!&Eg?_* zUB|8)cN3?UD3BxUdb^6-#x<)ijaDC|7H4&9)^Ydx(VBI};Sfowp4-Bc)v!xyvaAJd zC|S4H=vE^$MSqRxyfOWe#JcMx5v#e6wrDc^&qcq}_!P+N^;QrHq@7iJV&i{m63w3{ z2>ZgC)wf5hZ&V!}8A_Pdvn7ju{!Gzt2>vu3wo(j49ilj+FDK4F5T0*A>=!gLI^+0A zt)@nF)pb5J#H}$pM#wdy&g%ubd5_ked!BoR+0wH&pG+cmd2?zut}7d9-3N)Q-LaUB z9};qAlxR8_huei^w!^*5V&S^c8C7qnab887Um*ngayREu_dOxMCgf3NFJ0;ODbe{a zC!Y^qF*-3uPl!MGK9a}zt)gFClek%|ud1#~&5PxuE#g^to1H6eKd5n7D{@#|HEU(f z8-G)8mzblFWLqO?gV#=dy6~8U5f^S zyE{c%w79!_DNajpcYX8u{+{RkGs(@~-Muq&&dk}}i)?GG3DO_vhm-ysuix00jH0&5 z`Vr|$t;><A{_iL*=tLTXc7qaN8ZO9Z-_yTX~w?hgBGBn^aiZ{}LDW z7juNJn9)M8(*D=FuUecM&KKaOlg3%i;%KInZo5Ua^hv|dVv#03^7KF3iKX%cHfy$7 zT8IX;l`1xy2zM46)RG;w{Hnr1k(qBW;o8I3XY>R9Y!ibrj~}}Ix7$K?>uh~vIubp{ zxV=p?>?rvEkosIyoc8OCE9}g3-+lI(K1p6XKvy2P_ZYg{_GC0J%)6VZmYEtqj*T>tGA7e;anVDzO25V?@KFrn z^H<1F#J6lms{<-aAGpG@YnSz;>^?YCIe>MKRnW)N%QGAQV&5)ZL{P&42utDR)srX;56@?)4KTiGX{Tbs8={|rN4#a#R) z??*a`O@*0f1+d=}5UHJf%5^-EB7b6>_F8`(_tWT~(U+?SRB2@f=XE4lZ;elNf?wP0 zZsUa;lsc-;deEPqqC;L?2W8VQK`i2Ff05ygtQT@$Sr}WxY+o_f+Nf(i&XBex*>ll z(*cgrDH+@QtH6Yk#HTJ8SVa@rTT|dhj(!kxVPCKa(V2|}T+j1NJbt)uf`y!caL05U zi2YEUmEdmms%^DdZTY3*VF!u|ZKJmlGQlA=@}&LuKPKh3lq51b47e-dQ7Al6KM0FQ z!1<2R)eh?|0fD2_@ia4eG`!!Fw?)^la#^rbi0r+e{iU|ezn~WaDgNH|k9jvbg$umw z69Gjjx7gE1i$tef`YDhJcz*lJwY{iW?p7WwRIBKJbg|*JB5NV<@N0OYH7}^rLzH$;W$&=@XE5((OzK z2Dg4F-b>ibrI{mz2;(Dc>ooi)gy+7ZV$gG)ESltrW^=nK|4r-0lS*hZDSm+AMS}Iu z0^jo{S!3EI>#UO$cE_sC*yq=q0S0x>*;d?r=p*+#7*+7F5s4dY^EZBf|7)jx?Zc+XApLm;wQf7rETbLnB|bzhMfAzTkId=Y>bvjWMHOckRkk;&J9~agBIygw zc3#u+h2lR8#=76&obfB+zy6}J%qd_0@mlM_+cBp2Yj#jmz79k6DdQQ1*L_UN(jVQc z=Vv{7Uyw{smUt$NW(-mt6}l=Em$S;lgh3 zv6^fZe9>B)y0B{j>?~Mqwis=Y(}l>F6k4o* zHtuH5(HrrLZCSA6BTC8sr77}{;k%CIn@U@I1t(^gWwbm8ejUzbbbnc3z0>*9nd}d; zaHa(?d3IAuibMXz=oEa8-lgV;yp$elW}hXq#MIxWbNo)kY6-Vs`f82nw~0gKMdakd z%PtMfmzX6ry1hBZn7<~>yNMG$%XS|SS_I1(xIS#cn~|(TTWCh)uiw^1PDbolv={|d zQGSRVs&ysWl&r<*m!1m>rud$T-L{J^4PMw%634sOl;G#D>YV5ZzE_3dd)5KdsVoYT zAC=0&;0wEquyu%j=kEhQ`ptmATcMhgVS9;V)oqiijpzbL-9mCWmNeXJ4z^{}V0!7- zpROmF!5sGRmuuR*l{ofmiYjCQoXP$z+COHO(=kSa+&arUc-I1*LUBuci$Xj0P1*fX zC%9QV*39Sf3=WXtCJ&%{)R=d5KuyA|e_b z*j`FKo8d0lvlnc!f^!?31Y`_(@Er6b!`WD$*i{>Wv_1`~_4aTfp6Sg6nn|;by98;_ zxoE{~@;BXrk19U7yY+ZC4NMxNq?g ztY(}BZF-HUH)JT~=Buu)Z%JC7z+xO)e)}lN{18-F_FlDVeS_{zHF)pGeDYNr1YIC} zQ;*v~TRMTa3j2WF`~8b)&(u2Z>^H4?Q<8xr)3o%SA!qyYG6Gb@i@#4tjB-?S zOEGb%drT_K_nb$LiL!CtXg}vde}kD~;|@O6dSo;b^+^+qa$L@2*Ji9Jz%37nj z^q@=Jq6J6K zE1~ayQmqrBv_mWzoKv9aG8%T<^%U$cO5#2Y{#PO66OGETn)ewD;lC>mipuM>ETEGL z*ebDAvf2K4KrPW%WE4u>of=1`^ms9w0R-ii!?^6P@EVhyH1#l&V^QMeOAvC4zHOrSO*0Z z|IC_Q;2Wnd@8hDfsLvEBH>$bkngK2Es}Gm1FF;D?Kh-S0Ha`f4RrKFl$1n;#^4r%5 z$jr`N_R1FX6^S0>_i6y=^`WR9=cjYBevNiTe1{vGTph~eW&Y5j{aMHDMVuz0CdExz zNcKJ~pwv5?OdsB0RH|G-rEGBm-kFqzH@c_ssa0v{)ZE9P-qx;(h9(p#D~;x-#!nq) zf1X7&@8%^ZZ1iWg0cSsRYc3$lPMVC`u2uXRH(_7ngoFF=eX5y$wXtSp>fAivJm!ly zes1}8iKbsv5uXR;QIbW>%}7Tif6Ah3bK0TRLr8H?_{`;7@2isePpSJ<1D`%&Fmh;x zNm^4QOvGii*hMizpnSI~*Z#(fgylk;^=bCvgRta@X%LTkYLds^Et(hjD6>R{^V#~N684ZQN0U2{3D_22q1?w2UCB04el znRQUR^IJciy4&Iy=~a2IPaHf)&fDiTWM9CMjDrX&R7w8XHf`&3k%+D%m_@h?s)icL zF(3CtF_zbN7_4~{J6-Qkh_V2>4EeLhn$UCmJy%SeqyB0K8pl~v_={pRzj^nw?hPhx z(oiUFC*Rv&PGnvgUCxH9@Urcs#5dKet}0+$W&Qi~t+LNaHYd=)1OZw%DQ|=1dLV^b zKT77pqw7nfvTm^RtKC&H7g{safgk)BdLJ3;CDintgx&g>Ig;BeL98w->3ST%K_dDK@m#8-afLHJ zn&JlWOfu*(+s!Fk>JVQ+I%^qnYhZHNHWHMEhthOz(s{G#8tG9!XuWM*mEa$uP~Hsub+ zpfnXy01h5$vK4X72E#jsLd}Prtf2SD*#CF8alm)=4kLYZ$SWnvAk#T6iEsRL{qq#g z9L0seM$$tJr{hUy_Vb4JN0R zaR7J|Y)?styc--7F$eIE$yfR&rl7$GQ5`SBg<8pkE|^HHi`AKoN~0_mIqJ)0ywT}vI6%59;MTUBU!rLZsT%hqNkS}KZ-{L>YUL0b)|8&BX z$3wXdo@^DASl`K%mE!Vk;X2O(el6csnl}s@X z#OAgN?GhVJt!*EclPOT(z%up|675M(`IUiGnGQ~>OrPfrWGu~udQ;#pq6@4-WWyO} zhV^bvs8fjX*L0WVEByAsIRR3n3T!?10aP;4AtrsFfd%UTrYH~+#6*Z38=b$0a-(?; z0Fl%*qjFB;O;D?7U$+(OzWrLMdRKT1_)*%d(gm2Smq&w`9Ojk4wix##=8*ie_&Qr= z#sQWUVuP(JdDgQ|kPTFsuq>bu-GBGOY{M;=k`fwO#7IIIpfKIaEvN?3ngcZ6y&am( zfau)JF9Y9x7JdlV;Hf{|juj=HRp`1L%Vxyja|}6g5m1D2k1|7M0>CQlbW5xxgEh^T%E11m$S_axqZ9b*O=|$imI0{{XaX;H_JbInA(*o9TD61 z7WnTUha!@NJwvljy}?eQ^#@f9!6RzrHeX zp)NWEO!#HR$|G1Olx#;YgJRIlQKB4JJuS)%oCX<*8yEiUo~F7WgqI*1cVUu3D8#;4;RwLB8$4O(3Cb4oI_vbzufSN zl(hY3BR;rlf8U=V{e9SErEnSy>n)3SMPA&acFGu844+sd(Pk$@YbQw{+gG-liP3oR zZw8WZdo~vY9L_GYC8Q3R;DOvwk0@J8k)X^Jl2l%V8}E!)s^0?eMC>PPe-=8RWT?DD{r0cc9D1l-5^ zTF5wvaWet{W+g3NE`3iiJ-Qp(&inRb)%`7_= z%x8p6;yCr?*29=nOXdJ;^gz^mm2kQDAX{X4)K=s&OS_}e`( z3*YRXm=iSfD<7;O%7BU;7`4Z}+UJRwW+l2ucZnJ2m-y226t=AnDSI6*B{g(IE3KaH zb>X}%%!wq4+h+aO)CyFvTAAeG)VH=z6p(!hnDI`+VZz@3FK~R5=(aYez@g?{J+*Ks zuAG>ioL$N0YA#&SOKDf^&rr77V?H5LeEHXU$eb>#HjB~UWdPcs;WFvo^kL|Y3)|*0 z`NbK!33>CV|t> zc<<=Trpo8J(B+W7_0sTjxb;B=$iLUDqp=|@Hy3ej^L!}FG0FE zNnk$ba5ThNHTQX^mPms3j-p z!h}u5ew~bI&N|hNsgl5OkA(>&95HQ+$3V_NmSuMH;0H&@i&QyV9LT}m{xm(g#02R9%*mCc?#I%3K?~?buFOp@g z+uNgY?ki7NrzM-h24ey>S;y%29-U+-Fi9rd2EFd$BE@!s8ox?yd)nNUZl+$gDddRNLF|qO)%z*U7)Y3*ptT@&#GU_8?pd@YS@ zX|ACob56CWJ}N(VYA*wM&`&QGF?ITHvIW3MU(}gYdr5X>a9t##LhzOjl>ZIUna&%Y z!=}Cl=IUF!##8gT#-UQPWGs%;J>?Fc88?CR|9yHeb(YQU!U%NcVmx$JK7B@J`w#al z89K*)Rc&*+#zSm)qj!GgAEq-7if9U~+H+SH>5730^Zm_vP)IVLqb;4#WX$sfB}((d z|IOrs2w$PcHM#yFoBgiquQ^$2IrP{vNA}#iFvZQmcyuBsAXiQ>j zOdhE!-4B$N4xEj*C{vi;QMZ}hUJ?C{@3?Wtpx$$?^fyAW*axcO3V zALE3&OFOb+RqqdaLjyS2>Qq|y4N@@%`E*x&dCV_u@nb;G84cOLfA^~Hg|TA#WM5tX zp#@)V&n;bof4={CLY|>qwoFaN4KY&Jj|OJ=p-iDCbOafR9^JY6g#> zgYANpOD7ZgYV-O5nwJLkF>)`ZJ{0RP=3s)aR5A3(E>Nqjw#S~2i3SkX=byy^R_Suj zTFa%%I&VM9QS6rgCT#n4>oz(2jHLIlBF_EC_JP*+eo7{qvuo)xcW?ALNKLFL$PWoH z)3>Qe7ix<&xw6@+9=#4}07YB8)RrN`Ib)xLa8){3tf)dVq@xn+#U$8;j|)m>~q@7l=*L} zaWchNdSu2`1^{M68FO+>k!p&D;p1}%o;Xp+u0jbjs=>>|)+e{Jjf}9Udlf|>3l4U# zx$vT5r;9@tS?AAg$H}Z&l0Q~MWOa@lgLla#z!f?F)r%6~vY>hW#7x!L`@v0oW@QoP){6ryZRCAug2Z6D@u+PK2uaV*)m#Oul{; zx80(cbyJ$LZAll*6<2ms4{LXsmRFaKBP`ZyBTN+eOh{}y8c}nj(dfE?-^@o6cS75FB27n~jUL7+h?UU! z!);d!Hbu&tzE4pWY#s!&CrI^v-oXVCWbxK$*buSA?lddx6XQ%KeHnC*4(G$To}?$^ zk}1YYnl3)vVp~+tdfzE`erfXusp*f=pQMY7>lJI3fxASH=y1W$^A};qZ*kyQ*PgnP z3I&XQNHW21vfWG6{0pWx27I6^rsevev4k(t5nBJ5c<(~RC;ztajU~KLK=87%6-5dr z1?<&;v%m9>qTm*q?NVt*d(5Kue#GUHb51J(+9bI}xlsobJAw|R^VNbNN(lu7hf+Q@ zs|j!MGQhCtp~8&}&YR5$h6Y%MP>JOlm$pq6a9RmcKSr(!atl@N^%X>D5Hr z9-T&(>^4dhnp<`0k~FNbpJd6Udf*4G=fPU8a4O5Fn%=hTp~5mQ!XcZ>Gkl5`Yg2AT zvazn{o=`o1;qm-Lbx1uQPDRRM_#Li7G*C0>%TmudHC%MN2jW zWqkO?*k}`=Qy*O6>Cg(y$o|V1ENp~?+Pj20qWZ4VOttQ`1O<9t^lk1^_7dkKD$Va? z1Qka~YFw_4KZ5WxX*K6GoGDnLy58ypHXXg9*@t=3XZX z1e#kJs(Ub*=$6Qh*~`jjiyfIgav?6N?TaimvK({nspee#FMZ09bwU?&L-#xe4SM2c zCtYJ@&GOa$q9lI3sL)Rxy}T7_f$o))CR8!Q)fF*(i6zoDk;5*-jiVRt8bh8g&|UNI z+yJCWHCT{ZE+Qz>ZYHJAw)gHUS-4z`M5OpruoMy0HEjKgLy(RPO(};$lU?&t~>1As)_}pj>G^KXQh#su%eEoE3l*EhPRw$~gxp@809y zofF^Vp%Cu@lz&_yu8Y#v6)CRT!x+CBUH?2V_#j5DX-#5s`B?^Q52RuXb13RV3z=Ps zqul2Is=kjgk%`M4-NBJ803{Z44i+q^3UGqUdIn9+nJO2yL1uegzx@io{|5C)%Nz!% zI9}q-eFujMjvLHwct`b$7yV}Qct6e7r~~=0H$EA!hi!km7UHfG;8?rva&Bt*L>KX8 zN{hW~{}roFNNdW)Q<2b(S@7+eii5G0mm)P^lz)%>`9qPT)AlgS$uH_s_>|B;#G67* zfB1!kj}>cut|gF2cSUVgfn=GWZq{r|e4`cbW$Cp_8VuTi|6_0o1!W`I>x@WC61iK| z2O+zQt#d6Fg?{)mJYs2)fy#w#%jf}xD0x3Q1`ugQGXB_btp1c>6H=HzN7)Hm0SjUQ z)UgpH>f-#u#Z@{TbU16?xlkm2vOu4rIbRL@8ci^w`}vV&%RKl=&8Q1NGN!5byIyF> z4P|)N{BL4b&W?NWIzYL`h3!5A+|*7@s_HDx`%DO6mRRUiQUgtz)qIIg9!Z;Lp+_oW;VOl zKf6!@g>TF!z7;!6*ZwNlJa&g9O~1|TzYZ2=ibP|1%P39v%^$fp12Y4WzCzRaXQ3@n zLWef^gr#bZtAtj#%@=d&Vg@p+4%hcz!N|%{Xzw}kZ-`HGzhj0 zG0Ox_z{0^{M2G1T3VD2QL3d3l3<05g1OyPc+!K1S^f!w$>FyIvgm0c|#t_z7Myo0I zQY|&Zx-=x)eK`gJ_8w{Z7Lv4V%SO|$7KtJB<8(>C#koFRv1IZh74%sDwMJ&*rZuC0 zFSd%*%Eh`{f~+U%(pw3ZDNJ>;PHPJ`qllU6*?NWP!P2thr-NOLRX9v!xU@tYtsO^O zGCsH=mrIlRcWAM5Rl2o}11H#-)A73Dt%jdWFk}?_t)?4vo2ScR%89IBIyV<*ZTTUp z6Zph_avZ+K3R0+2PttgKgw7Df#sIO)T>**K_SjH2|`@Q#!Pa$Ml zBXmuzF&4WGd(JtI8j-3RnFHD1ndYa(xdSb5A(Cm$jBPcJmMohuT}lFg;;$N&U# z_J1zc&{B{5)tlQh9c+-~Cq`Be!jyJ)thS|aeUw311J&_gekQJe%Fh3lK8l@O8^p5n z#CCtUeMMHqNAu~V8RWty=0~8Ak^iF$bZfiSZ5&Ic{Q7yW;y3jzzpNvj^)bbaKW(<4 z=NCN`Q8iYFYC3jht81*f*R5*Brh(mwd+$EGL}XR>7WZ9|VpS>ZFK{BZ?6udn%Yh!k zvQf9STB-{BM9KWrswhZnnw~&;~8ju`&yx380m`@SZgz+biE*Z|-W$j#LRnV#N zuz*x=dhpYSNVHrbIn{iHZf-*>sl*$gAtZ86)*}!;bbVlrKtB})r*H!wWi{le2fk|7QSZ9!y-e9`re8#X)!tSP;kEk_MvtJ+D_OY z+B0xXBuU__K~33FQcacs9R!TH%J;)i1dddel#nw>1hMvTTE(=*1RwyMt!aru)>_s%wbi zfVT3+CBbraw2>^1J5WQ9x!O=ZF}B+pChfG`_FM|Qb$1Iq*ck7bd~gNbN9^B`lrMDy z(BGu+V)2cUmdcdYGeT`m;zX8JV~9gU9Jkb_#;Pti{FMwkzAQ!p9)1VeU0anQ%;x{Jnx{^LANJ7V+I z1@=hb{DZ|oZue(F)8KLOmN2psW0E2UK5Jeb-Pcjb7|QDp|5bQrCUQBUxiG5qXe zfCmKO?H5S`LFVuIeb-kpDdBG-6oH6L%}{BCKgc3}YXjvvYSQ_$vW`cPKPSGuG7Pa3 zEtp(hM3*UMJq~LO^J7X9t~|mC#t4=RXkyVijSvMsM2TZvt+9rif>Q$uutA{QD_@1$ z%CCKx$|l0%gJHOcDym@k46_O%qfhmqUDcTknZj)~NGubA$~;ugTlgo$#JVb}GG7Sj z4hxYakY|Sr)>{OJ*QsZjUwgGua@+cj2q|XI$~qbS5`u@mi6dAN!-aK; zpoC9s%0y+Wo8%n&?jIJFN1?(kal2TP(gO)tWq1vC=(?TpwQwscmDYbWXF$a*A$ zpvte5&tS{ZVk4#(c@0~K7f;JCL>VCw}z*@}j7qWT?h)0s-UGEoj) zQAsz+Qc=UER4;82avSF{K8|zI*fmx;iaHaj1B&}`gb-@1NcrkTGGAf-mvLup-9JuJ zo}F^_s(<()PT9~oXJ%A)qhs2g8iLxWDg>i1@^N$+s-#p^2Q_26_V~vWwDAXCoD&$D zX57oBg%WUK7nc1KSI(Q1bv{N-xsn5YV{d>V#05V>IbeQ^z+;)dYZqn)vilLmtZWyi z0G<+(n+t5JkKY|(7_L2e;UuD^F!UrthrLcQrWl*w=62zB=@> zJ!RW;(Nn%HYoA)rPEWVX-TErFVnzinu@E))ZU70Kr%K_sm1_D_KzxV-7 z%-Ga_+`Z!{d?Z^fAMx@Sx-C6|wPIa_bhZhgb!m2+;K8bX7+`nbk8oaV*60Czd@jiT zug<6cGQ~r-F;f+=(P`f%wu=im`>5NI$eU(fzpfyAqt+-6?LtD7JleUTW0)b&JZvP0 z2bb?Ax0)q3@B2v~orwk%iyA+~R5b~fRv}1ewiSSIv1P&dEP@EsYC#TG)xccg%=bGH*`B$o z|0UG^ZqQ^J(6UbVBbJ=w-n)^T%mU??_+Iz<0TvmPJRL$1y7Q1bbd4H3F?t|GFN3ik zj0ia?0!U_cjS7fOdOq+7UUucY1UPTnUzP)V=a+nhNb{1v3XpImM`S_#V5Fu~oKC^A3)Wlip3$`P%Kup^xWa~R9BF$O55qNSlt1Wz zW#R{cb1(!&sHNz5Tzq>~DoZS_RAWnAS=N~u?|RoGgB0|wSES;<2ineu&bM~)hWoax zm4I=Y$rC3Lo)uW9PGJ*5xfz+JzDt6qJEiQI4@wss+#T`gEh0($S_1jqDwH?TO=i2#KII0oT-&ZOez=59u zp6Cwe3}I%%w-}pNjNWv6Tzu0NBU=`gvK;Qq4|@7)m8abA|k-LBV-mjQ$_Os%;7#O z@DdjmXj4w0$@-m)8?TF&pUIORj4OhbOYkY-6T#PD@(4*6Ws{uvtrbkeOvyUYQ`cK; zgD-cLHJ9=^r!WjJMktuC zw;k~-ai?E_$heQ7PUadAu)U6mZ&FtUgQiNG*mO4l&W!3g6StxBkgu{~@LdAOHH*>7 z0O+0Ps)+k!g`2cXgEuRKC{!8m3d&+%s4~St%h{#?px>W*kperMl=6*PV+Ev;M+k)I zV-U!>>k%E26^|(9`JKUdV5gVt^%`4x8NS!MNKVqiqwU5+DuvU#-f|@K3kZD?FS#G1cUQ+H z$#sSX63C-Ts??bXEM7Dz3qa-L=0BHj0{n9lePp^W7X_U-rWLcUP&D0K$r z^F!XUvvB;xfO=l7b9D<0Q=d+{B_o1lrc!VA6sXPXn4*P$t0^JoH6;ZShzwx!vOWsX z>}Fg=d~!o2V1kXnXx;&+xJ7=lWdVZRTpQdXF!IWQbJZaPQn)wo_#tJAzkSU<(%QWH zSrX({$4HD2p}3OR;TUv-+}xa$+;BSFsyOCbIw-;)o-Qu|or!;;FWDGn*qE4wFYOfK zL_-CUpx6LWh?U;bm$@#>@rXPW`W1AgGEKHi257X9ghob1$);pQzpY;`xyUMU=I=J0 zuv?WJN&$sDqqLGUS-Xdbe%ju9jKN|k_=c=(0b)KP_{%F~TWFHMU_WLm zf-?m7h4}LZCZf2clGG!8gjg?NpI`SCp_G>2^F03rnvs_OO4U4k3lP(QD0)aW|FAxu zaLdRo_2Qso#r`zhY-0GIE{ld$VEx}u1K{z=S;WaGj7z#7L`@{id^3)KzA}5w_10qw z)SCk(zsL_kqAC{-P*usvc2vec-mU~tvDuQdlYbanhPg-kA8Zh4&@B|aJfIC`Sk%R5 zXK~n!!T6qOGJC6HS&pHTCZKSSUNH0DLXWDr+u$e)dSyx(9E5YI338QqK3P5CY~jnGj`3!U$e2G9f(#hHTU# zh^v#Vu@ew}_kIRx~QX2V5JnDTmcwo9`hT>BKBY_k0z$}ID=>H%o5`=z22rriV zYKt55mdPy+b%Wj!*V<>2pLUUZqJ7kpTdMlh?&!Z&3c9KE#kgtSOjAQmvkTn#fr%@a z*6i>sv7#a{XQ$4_=W)~SPAs>eio8OC84+<_MVknNX1e_CUUF8BSkzw*N#la;2J}wC z{oUw?J^w=Wi_8XPWwU7npJVC7aop*Y^W6Q&LAoy3{9t}gsh(RF3f){Tb8SN{L?pO@ z0_2zZKSgvyR%Ou1?iA|XVnXXx;wLu!1GC~MdA>2?Hgpes`?PtLdpiMHz$`~8@&DRY zf$4t`J@ zBs9K?X@3wC-is7hMkVsSZ4Y&IbB z4eu{vJOT1jPM?R>++d^#(2;4G5V61`7$<%h79zDRHp8b{=;OkL7BYKhQLP1NlUTi7 z$&3GFiD^bq*)$>HK{v@Vx4SlK&*^E;)Njol5C8-s3#=DuCPE9b&5A3 zh6yMP0+8qTg#RniLH<7iU;0+n@T0DU0E05Lz9@U{XSpIP{%e-ApG=ZNCBxk*?>Qc6 zJ^#DiUr`^}Y0SU+Y8GXLp!P2wD-&gnIoS;L*D(pe2UnLzGGlP)i%U;JZ(^Iht&!lz zkwER1GomtTE6GM=o3B3tpm7d7Gw;7H`_KuFI#sF4eJ9$~TM@Q+r}{a?eVE~l-JNLi z0;ux&J!};^rpG!{7YgTi60(ehpWJ)ptfj-NpM*S!C$S#9au(8=I!hE4yRL!#7a>nV zDo10kH~u;IgWHArmls0CA19lxFWR((jOQjV{!R$3FHBx62gJlxKY^o*i`F`)(&1E3 zLb*)2BmH)?5oXtS6GHEf;N{ooqK!##V7Aw@WL0brcR59&e!d3c8v+@cqH>l>iA_VU6+ zd)8Z%N#D&Mhf5?IH77-QaFC)vY4DNLBgYf$;!i6#Vbt+>JLTzXWe&rVk!2L5lCFsV z?3v^!M8NSL>@CYw(xpNZ(Jl-mk9H$J-d`qVl9$U)qF?y#{y3X{c(}?UoGewCq*nTo zV_OI(yf9D~3gGE)tYQAMctpL;_;2!rImMs1-@BSo_yycAluMgqsr=(;EVVIr%Ujg= z%3rJV=?3&JUOTR^yBL5 z;qRhLUw1(j2O_256D(00>cdHMHeSg{DU}~t;7L4Y5r*dBME&31tTVIS-XeB>>ddg+ z;VS3?XMCiqeN41d&0j!ZRk)%@A`^{~1r-ydDo!|)`O*-+8R+0|qD zAC6FsH$PD{vKnrPu(g~R-Xv|D;(%qfSdG$S!qw=1|FWSXV!fn&XB*?AoVCc1`bh!m z7LgO6BGW5%9|a3wd!^njTro?RAXJHhGWj)Z&~!vU-bF6|y`8m<_yp{$9|jK2%p79f zsWwK>4xPCD(g_1h{6}$lanmr3zV|ZrPr=C)k1g*W2&3Hzej$B>y2rl?@wL{AQKn4HSPj}|$a0JfZaR4W+QMlEirx?Ks?+2PXU z;OOV*a#B}eM$e9-_S_dA>e(Iz7?Tk7q9aU#7^E-YB0S*5PQ>}vCa#$={?yKk?%JU$ ztISUPu1|qorSHx5yLik=zMDV(z{(nglP}sY>UMj6_3IRk+k@ZPocVf4F?v(!!6WAu zhc9j}FIK8^;lvUgMA}XGIq>U?Ea0B;(T!#F5&BT>{dc?5g!;4kN@^YGQOwC?#7tu4 z0n?xW>Ro(M?xN#^tf2~GJF4{hF5K(Ws{0T2XWGB3Pev`ZqWkXn=O<4>oX3z3!=aO_ zhq`}}O97(=seO&4RWyH^s+ou>-{%v43mCTWYu8rbT zSIB=Ul)!`Y1(9!4YFwUFKF>_ms+T^&gA79G?mgr)8C zkS<7NS+%Gaz$EfmnC!Lu%uFrZWDpiYuLt2DxH#qhuG&hC35Bdh%!#^*X7wnp> zU@bW`n+jBM$(wCCM)q<9We4<64zsQkRi7YD70~OMMJn~Oc>Pg2Ekh*!^6{GPX8BWJ zhncPOhVl>nDNEH^=PGwl=W_x;aozsu$12Y5<=6gp89ly>L&E;mZL9||qLb+71=s(gwQ>In%cx5_g z*0&rIRMbnhk~`!+j8?-80acY#zXVz;j3>wc9LN%+YYGq-&%9UD{0bzBi-1!<_@KG5 zE9G!1+I+O8B%TTq|o*fa_;9wk3T7Er*b*h*A8>>UQ>sd#fmV3y)$)__J5ff3Jk$9_**;i zeicHcCROSW`0F?*KM&+C&cpIjtZ(2pZhJHYIpz9N4n-0#fd5zGW&#rC*!lP^(tMwl^DV#UJq+8!tySAau?N>1&lOOaTCrk{J9j=qoq3&rSIP% zy)N@i{|;~~AiUpE9CjskijEf~Qw$?4o|>;^5KDE2ZH8Cht@ZQoho*@Unqu* zbekyYeTTPyd{|j|P^QF;0w5(d)XHC>HL4w%(Gj9N$7U@{=!lZQn_$|VKf{g5F5`o=M_9A z`(i+exnJZSa6Er98T+?5_Tj*=*Sc;kVAkm5&wMf7R2@UYOOxSb$id7H<{#~w`htPH>bCpZ2*rPsjJ$oj!ss4?T+P_iU(Fjbw}oi4&J0(^6%V%B#f4+X@yd|KU`+@ zPyDR<&kWo9xFZi|5tpRan28+0Z%%Gzj-%p}l_tB`&V{O=gbki~!V>P43h0q&|4pyS z>I~GMVRGH}CcHc+LxR`#qILh^a$DH5gr%ihPIdvMXC_x!drg(#Z^uu+-~NA8zAI?| z-ZK3?p-1wVaOPJdpyBC|D@!d@D55x%dYtMn(Rta~=;Pnn^7T>*UmwXzj78zuspDx`1yDAwO&|T`nDqj1LDlom0pfN zk)%=*K zD*F44b(>{XAKz_xcbi$$zK}iqEn0&k0(iQy<|;nSjd7TCCW;+oeD2o&S13zB#*^tY?wz9zW~9If&1-`| zS@vH_sh3aNi6wpPoXQBgnJQ8x$l^!RUS^E>639p!GzY1JtpA>LP4|z65>4J*0`-Lg z>f@;oLn(;P%lr|d#NK3Y*rTWDPw9JF3I8&Ja}3(Jy-*=VS^nz1NlyBSIofBT;oH}r zLCBPM>WFRj?g1fwnb+?0jMl-H_s8NcRUAUQnE$V$E01UT|KpW!se~j{j*-X_>%yG- zmQV_%q%vEQJJ`0IbmPnn4(FBv_5(d@?!n3rF3n;28z;_yDiysQ?FRox-+Hlr}Fj6 z%{=dX-RT~Aj$ZCmM5lk-5zJ4wUAxLlB3aRIhkuhEZpdOr2A7Uksw|E?C6Oe8=+ZY` zD9nqhYNZb_52c(`$4=&Xw0#@-wwdZ46QEN5DE>zYaQtN`{Bk1v7x08GP1@+RWi)ecv`YL7op8H^ZaRh#Qi#S6l3IX^U7p)%^6o8P9QFFjII~{}G0}EWwro z-W=r`q1oAnXhp4Xzk0j((vRTAxU@V?ZZ)-0MKm+~;RIfB$9dU5XAQ`+I5gbSl6m6a zYVzvld|tui({slUCUUwlGBaS=rv+QEKVn{Kkob-?S#qDy@6&1=5qrHOvfBqLoIP>x zlCj(3=&Znm?tV3&l=xkj>1$fkjH0hDv)kny!wZ*oqp6Yq1ZCpGzkh7J(S- zt2U1Ex;36((JV>Y7peSl*>C)Sd8ciR$O162sQ4>jg9_#ri z5%DiU{xKb){qMUiK`Hl*JZfp z&E=2|q3=SO{9i)k}U7K;|5kowj6w*}19y1ev_u zwLiU&^@DU;aiVR+cmKe0znHq4s#$y7&t^~AyZp@d%p_`Ue}=N|qA^CC^&1UT$>s^< z@)fdO2$!-HCA9hcO|M$W^6C#V^U*a7<0!}d@yz6nB`;(Yp>>s>))B}u(y|nCv@QxM z#bu~+ctnY~!^vw8WjQA!j+e zU2^2xdK7oh;6(KqgJcwYcD@uzMve}2HGyDW)}JAvyVt~!KLB3H`T#~yM;t^qA;hTA zdl-uHhv5bmzY)x|Au5T>5XeZVJ&^S0UD`>m2x}OKN0T(z2^1K1-&nFI&e6C<8+~Q` zb6Uq@^vzW^&9iSsrghmtg^g7>u$%);G`#uMxE8W(rnWyF@+8*qk?z>N;dw>#{WyDX z`Eb@Dq!Zl+;(<}(4!_xl3jppg$4_(XhyHW0lVt zqh92T+8G|oz&LND!2`|HWAkx&7fTze25?$iy$lk5)XekA!6!X@inS(v)q|{GGper* zIT6XP&24_%FoZ(7QQlgpAIP{)rctuCR4r}1_K_BT!DeX&CL%l9vq92~-0RxI47fz! z-+`PQU`%lS#e>f@1X%&Yu;5si=eSaF?^f7&%9!wsD0MzEphClP1cYr)o`dJ^J(L)Y z4j@xc(<)1BzwlsEA|qi8)8pBr4F;nE%QIdZ+}C6^=4q#+%gEq%OU;Pr;DO>2q*V<) zY^5}Zcux>%h7kUQoy$yb?m5vzvi?}|!2{iDb9x@-Uv2-enPaQRaXX5~R@q-0f-zwC zN@4Cu3$V|_n1WkKgS()K+v?8rGM^^tv$2$ked7=*RcNELJtzLUw(c_t(CxYp^6J)r zlum*=j==~VjK)S`^Q(y1&oiC2^YO48$i#f3hGYKxxn-qgrUpR}QNK-2sKhRh;iHF9 z757fk;I()2P}~n5U%XqiA?>rbcEeauP&(WSqaOmQ_lV=1njS7n^0Q}29 zHzlKjrrwu}%a0*otFSoyi)))Hm0AaYD9a{_>IuJx#VUa&N>4vht-+~F0S8H24sH&K z#t;qS+*7R9>20g>Oz-{vmL-#=jk@$^@5^sZ+>twmeNstCHuGpo!)%`PLFSCSbJ~KJ zU(>G~46)uoWFpZRF_KYtZ*r3Ahiu19%B0duU}()lA<^v z94w>xT=$pOrhU>!*f+nep9GT=wYNIUhr1>q_*d$27T=Ig(<2}ZGxgfXdNIPiFJxA@ zG2^QBjAdP|5o8#+!+Kw%5YZ`6hyI21mu|JV{@&uvC#;pH%pwQ3Jl^rc@Ilu|Jf{3w z*;30vljmGO2%<0FbvUltmy;^83_^tFsMB(WfpG#mmA*X!PK@ueY99mZ) zG3OTP=!Vx<^t(p2C2w{sufyne==6x$^x7T$3|M98!H-fxmQc+6xIg+K!(#W7Z`fj} zYTcHI^!j~KQ!4ZL&({%IfuUyp3DvZrGj~CQ)cnB`q%uRRv#!5diz&ywD0r$UsAwP; z&oe5z zD>{_rNWoxv{ZCbwuA8}=62)T1locZGUz2KVF5o_?nN;oP7_FqGVacrX^xoQ|f#&Ki zUm=b{pyNHXO0WX>gIqP)5N+5Q0W<)E`%9R8K_OBiWoY&8`&Izz{zu4)^aYA$eFG%M zbj>f8nozUv_hiNU=^5bI$o#ri0DmAy35=b8*3mg%-=2uzXp$s?GY3N79EyNVZ|Og0 zw-g200C%YeRg9MTSTa*U9!#=G^NLT(tHIATK(9V!N?l;f2La68?1C?;T}kKh!DyzA z9pZ|@NkiA%pEaOE9VuOYDT1Wv@||!p)PklKLRusOh(4KCY;A>=u7H?8E^OJ&EBuOi z9CbCft$Ms?CT!K_!2v{SmK_J)W0h;JHlsT0xE=Hu=nUdy*%xX40slhoyUXUI1`;x+ zU6Gm-Lmx|o?{%-|R})1TtLz>Qkd{)&I&#{heL7y%E!t|zAm&X=Sjo4$P&fAxR!<7Z+A|;T~w-kOV(TBuehR&jh`2+U93M%rYy_!JcZa3vaVHKBIa2ipsfd`(T;g7FEE$Fp=pX%UG z#iPOcLW(*5Me=g2bzC?u^wiaF8U7 zqPDTqz~`O zy9I?aF@yaUu@yP0%$++HySL+?hItJ}!4&D5WHvUGeHm zRwGVF2TEhDLUfrPozhw_*-1{}H7}TrOu6b?M3(~gnkHI%;UqCZ&1|x~U`w_}D5fbj zx^}2%m9^xxID8=+fVN;HzuI((2T#1I@WBu8;Zu?>n4eT$NABlUN>}m&ySo;?wNbtL#gf}-Eqq| zLU=9LzsdnUtc#W}Et&smx$cd-DCPO#leQFP65y%mj))dGbLxzuZkc>?h-)}b7G12YzZ?o19QG&A{E%Mr z)jA$grZw^rG93|%PERUOLM^quCM7;ETR1=4^2psC+H-+>YH^gS5)&8ugiZ@f7s?at zX_R$z;cR~I0k=$f*R?q-f!Lc{BgU zxw|dTklVfHL_tNh%;2FiguztMj1AKh2fERZ8A>yc!E)+}uV+a4ohU@R??oJhX)J9lDN~%#hVAeo>)qI-6-Hh*@3JYFhx7cVn&j8mUdT7I z+)@wL9pu<5z9d`vmM>9}fcK9CkhcgJZ7}JIuA@SQH*#M4)qGZGW%WQq7WXu#2Ht5+ zteCezsxP?{mhm#j1IZW3&z}&NyF^GFryz_G%R&7>)vfsc!-@n+I=xg@2t=lBdhZ7v z#x%nyl&=ydQ2>K|nne_tr<1thqSJ`%=3!vhD}I+QOhR+Z0qs(foy&gWAONXW%mvPA z*6$!_tDyOH=Oj9e((<;Dciy+CWBwTcF=9Z}*)68p&N0V1++9&)=k znvy+RaDmp-Ng5c15B1inm0qfUIg3vParnPgb=?4w@NJ(Jb>aCX)5%vNrNoXLq$3T_g6M)MP%l0&yUP-j0=x&(D?+Wby%*& zp{ybpZ<*u-Z9g*2mD8fOdAa#Lq?*z~Gmb>8(Xc03!;@KSYc%?K4o9D&2_|@XhI{Bb z>0azlV$0Zk``n1WihXNiDv2#*{zAbm#FOj8QeaQ`opVA+97^N3?peNh_z#>= ziEfj;yr&K9|CTy}mSo~#Hd2ux=TPcr-HRdzVofm7SS!V!eQ_p(Gv`rX@3&sn!n9oB z;pz+|6jQrnK2h^(6jn{84cbQq!caq0{yo|(y!l#xmTTzg^yDosq`|}MqLV*ah)nL8 zP$b|pfg}bv>bpdcb0c4A{$%|&tpFLk{q8_U$|XN2?h%rk8w()vT?v_4^()Se+A*^x zx+oV92!Mi&I;+VaB$y?a(^K3# zch&E=1q9Z6q?sTS-2gEuu>17t*c8ipDVUGc=xf%G{K(6+50afhx`rYi#`mSJYJrS= z_Z!~AZJO`>*pW8-JrA#1`~_RPG!phX9Coa#H{>p;dqac2Hyq#+2gdFX@=$nywoEm$ z&x}raq7~PScK*|W2wBGyzIKZ71gPi7IZ(<2)V!-%u0JgS$zHhh)qjebxg9c#?Vwf;|dW?F>2d&dnd>K8G| zU0uuAvu5wR4asq)JC>*l)hKEew3k~Ox_|M=S+wlC>zJ9V zyF=8_zkJjYbevOAXULBhZyz8d@EPa21o#=KthNwIpaBLWV2Z`}pFiPuqX7^CT92=N z>*qXPw;&LBOFG^#2`UegVkx_vzAxtKqoh$TgXy%bJ%$O--Aa6w89nv|+*8ls2rYH6 zTZvW(Qn`lZx<5LD4#bj5MX9^Hh|PDzYnPuvUwWI427VRQc-vKU$ve<)4p@(*F?%t~ zvRX3a(1f)}Jn*87jW;D_D4gQE3Ss5)^n%{@`LjqQ-N_%~Qe46Xk)9^~T?- zTfN{lLl+rPjmCvk_)Z3uA3^_{nGZ=vs!uOcA~oxmL^5r?(A1(|mq85Ef_ZL686n1` z@}jx~Mr)JVSBT=v&P^;SN^vp*oz?3!YofiWC3p{aWaM&B70L;`0+4Z>?xu%yf-C zJHPzj$I=xy&JFAV*wgWdtI4DA#U4ZP@RLesd&OmLC1+1+O}!twDEmuRS3&lu()-W9 z&p-c1x6MDD1B)3j{-K>0KPlzE|4{Z8^q)Tt*VcAIrE}wjZ7;h{ zgKw4r_ColUlqo0)c`lS~@rr+G!}$^a-p*1%3G2TfdlxLuXN^!@M%1BtxuJ;?F2SdE ztR%&fF!z*OdUk6(Y_t|4LurK*i#vR~ua@dc(gF|kZtPb29>xgg-PfEyOiwxnt~1_i z=!=drTv5p3y@DfK?m)hV1^dWBE@dh|MgAG1nTv}Lr5GjphyIJDeCP?&R&~N5?FyuG zBNO*(PZJX;64NOfmEd3QVxIFs=g6*RWDNuDmOp7%UTo#MzmN!!-!+844LW$m-dbPH zpNSMg_KUch8)T?20hVJHoAzyQj{YZ}i$xm9X2zI^TQswMiY5>IdvdzwhJ6Dw;d0oC zax*Vt(ZGM&>setTS2y|8CH&9RXs6v}mzq4Y0+kS;PQAz{h|E!C0CqHi)pFy&tMKhy zzVmY7esm{J(3IrPQBh-12=Qh4Bz8kfp$E-`6$lD;_~L3G>37gI&pN(xc(U`@oj0Amzo-McJ7%^uWpZVkhX5LxrjeTVtf0oA#i(| z_B7VN%+p_){8})Mp>(skj#U$~Tb9ZaC7dEGmM$GSaQ>;=%qZ||68dWd_-y6Ffj>NnpB+-z|7 z5?xV53xf2ZoMyGzW%s<84S9qbz*DKVrN#+?qn2Ag&Z*5-MN+2C`~)k<#iNaN5d>|7 z01c9TKQ8m16_;lmxrKBB_qP z{Bf-GDR1EVZTN6%FASzfqC*=6Zde~Q-7#e65a$y(2H1D@(BXr=IcN6y7x+&k_4X;y zI-~7KJT6s`jKVoN#9hyB6IK@`MeERm$S4hHVwp9#4p#NnW{JONHb}^iai?NPB)R-> zI-_~}{{032OCZnNA8hIF#OU_nxPbMQ?(q9vB&~LL03(QTc=X888tfdcv8mh1X(iQnNXg|*^UVw8kWHkjiP;(b5c4sAkQq4{M82m%gwdsAZT4w zHnu8D>|2j=vdsC7Rk|tVyQXmN(Otif6LCv{5h@Jn0HAQAJ`|=bqu)|&PXaPZ;h#}R zWS;Zbf$9md%t(8aj9|35+FJ2TrOaRpV@Aq@CBS6d8KKi9dH9qD;P3e_^Moms#_gSt z)D_jW51Za;M#T}0&#+{)PS8$=g_GzjgJ&3S>%sFnozMxQo#{`?kKca=`uNp;4_<3~ zsMmO!{~3$r^fN6df2L!&T`IdUUG`sK#C?V{Th$}8#lMT7iv1&+cG_uwNDPhw)|L2j zl*h-N*KbAR_itEfp+Fe*N%wD?$r^hLMFEgOhT6f}){*U}R_xpYG{>;~Q@Mx#qHk7X z)_6-v2SAZK* zwV&10=7U(fqkP=T5blM`l?39TA<$C)>NIAIrfD1c6vRTJB4&)v?aRWSh+6os=SDS58h~7qrXRh zp!__@`wTZ6xoGl`EwrG=Xsr->MX8n2pOa}FGF@Nwcv*V-BeJT+paYu*Am$Q;Ptdv| zDcxK1-LS9rV&M%>ujO z8R0QwbbfQM?tYz_yt~p~t9k|}=P`=76aas^v-ixVYWoq#??doPr&fXHYS$!FZSh>h z;#^V7#YZcjwC)7W2Pf6tavy9oB+##$Q)H6k1wrfoB_2`N_t7`^z2#9Jz)7g9O(FZ`mwXUtp0a}9Z4{Ems?nY9>e;(gEPB6voc*a#sJxy{hRf1(4jGW)9~{p4u!*i;ef zex~86-lshy)`ThmJSC#9@BgI?_R6Ms&6;eHDg(| z{79W=c0|kQ_J-(<&=+zK*Bp&^k z&><(e++I|uiQZeWZxd>IhbTKn*Js2-!`(kY7ffUwuz0-{j` zBlv9%4RIWH4))&r4QRuF)Y4r9wmD``swPw}$cR1!I@^L5kNmIu|opM4wv z0d|=VsoOnAqfFoKPmmu~drqOaCS_KG zi#GEUm#E^{FYs}TDX>q&I&o?NXkuEL6fXGyGkmtEb^4frhVrHz!$}8z2PY6NANpKp z$;(fq>s1K{-hN)+0=Jg|ziT;Kv^mfM*_~Uxur~*50*i1}&2vpa)-mn}K$B zQu~6hng}xj{8}I}xxMRsJ@oFce}}wZO@wS|--|1oJX^27Jo1rw3MVDRc!se{FZVrN z2D^^bD?O?937=0FbK$c;MlNA06N)&Wmerg~X3KB~$|xhGht-~gIpV-1G)?Z?zGQ}W zh%e%$R90qH1U`QzDs$yTSr)J z8uqbdj4U;Kv`}C`-FNv&T~Vj^7xvzdTg&yO$qJLqw2a*?M=bH{m^Jo@&Ij%1Z0-G? zen-`p>hYy90?87XF;YVT;1sjDF}1zIVqlxPb^R7-KX~!OOMU%h5zJJ@K#!p3ZvEXJ zdeGihgS39*pPuuH4{%VTSNTd>Hqr<7x1&;ES>Hu!H}_pkrp)DgHCCd!h&p?D=`rm8 zc|XWcuEq6-pQK778@k)9(3n2{GUZj4si9QL77?eWp|2MbA39}G?RsjWYAs#M|Z%kQz@8+L1FkqTrpa_NL<8 zTLgH4$+$W`dfw?%y&^2CXgW7ElGrZgphVd#R zwQBcOKX!jsxU)Opc zai#m__LU7QPT_4^Zh)U1(At@x)A$nLqGjW_J_y@B+noC(7M*fg$j2$gbCh<4ftx({ zc%-%(m0w7b=uD)Jjmg`3aAyo&C)R?<$@zg0x2J?Th8Fg9Y_pSvFw4=IpV@Znvp<6E&W-T5A;m^DIh#WgC- ztaMs8@6SE1vYa;t>gQwReudx*LQrmRagUY;8g>)n)%SwjVACDzf<~+g?$j=#EF}N< zjZy*DHKbIo^S%nvnu=gYw2)6+dA;l3lmMb zl{eHv$PyF3Ekj1?bHdKIr^~T2CSIaD#@{$zB0ili)7kd_W5Kkko>M;!YZ2C+-JdDB z&sqe2(kBMMm@uP`rRE#?{Yb}~lceKQ?xUxtQTP@F7qHdS6oGVSb?9;J=hEs+o)=ZY z#$oGtpwdCcjb5Zxs2y_eo3m+I3cdE-+wwJo*@K=N;CacHL0|TZrXAjIy&~luwwW$- zmRMC?bY*0X@L~Ifw;p(&QjG*&yB=ab8_nqz9t{9P$fUz$PG+GKehQ^WK0DRz;kz%1 z3+E(DSVYJF34@mH=MXcD=KBo zgWubMg6ht8I0E6Jk9V81HSJ>fYO9nspBp-!(0NpRbcPZVn-Nk-vHbq}1pHU&*S&#V z#>-ExB@&fu^e3^l-)ZTu8y`_}?3W;2HZ-JF-B5U=>Jm?2!i7b)6OKu-&Kk(i7GIFs zB{y9hA527f?pQJYo)jeHUyhnjaX`yW%v_%CLd2OtSjmNRS&0_dPdJ4)4{$%bhZiPqcr{GhLj;8w0S1*O?bxvt zdd9v2PqVeB3Q6fpHKlpH?B!ZQlwrQJOPti@Gv5?&n{~c#I-jAhcq*rOp(YNGXlnBs zr(O$C48G4Oayo`dsqM`$1N$ z3?<~sz8PlV?B*w&kz7i+|2@nlj{H9q0i)7 zglDc)>}N(x>3b9-Frq_w_|9SzvSe}eU%K+H$c+iH;d%U87h1br?i!*@J7{+i0XRNZ z4{g_fy1<*fTikh(PTRU}HmUK1uvI+MF`uoW{{!FWpY~g|&{;}&XNV^mfg?c%|1Ycl zT~s*1P~Pq1eWwp^1A8Q9%?kDG%W@)u?TrXiVVRe4f$gQGFY8w6h}!<$bNaj@g)B=ANL*&A7%S;|2*Vaw zL7aH=bDj5;J%_9#*ph&~XO%Cr20J5Z`zDZI1YyOSV(N;=@ES{8A5!J9L31Ece2GO3Bc%noXW7u+0EbZa+oy((R%hJ` zsj3X)JCo)y;v&4}5Cbr7rGT$GK?X)dV_(5mGEe9W=c|v&@DWzzg0}#$;N3d(zmr;#(nF! zM-Cn0asv*BJu!PL`sg%rCa=0AkGqd~kt3+05ngz<>X_VAlPhd)OR+I+LTW{>N=tuF zcHi>ot982;BQ(| zFZJp?e|ODqEYSZ5v>0G+I%ZfU^QcQ@BU+t5YRqS_smIMq zWpduzh}@SRs*^hp{QgP$T_eYD_w2WaUHZ*GJ{bFb?v>n%eRAf?oX2TN&%u8-6r^?C zTI+Iq@6$xiy4%qX)j%adC^=%ToYVvch}h$5&kyHIyuiRt?NrwS+eh7+V?Vz| zK8gq{hIiKRd5}tH%zvEdQk9K=MixaigT^yU>`pC|N(6=#?mgTalR%mhHxCECWfwY~ zgZO?iytKFW23KpVedd~ z+OM1C(3j_fyql<%WvApneeHOH*<+7O4}y;KBPQzPa&CWs1?$Ky2i*}s5Dq0xz7`e~ zmf(UeOllk-(uiCAe&JcHo}l1QA3s?s!grZ0wV&;ECraby3zZE7&hTw|P79mfu>S>RIb!my(VL{75dB zKh;G;59=Ez3dD3J{xs?r0B4RiY=X5q>` zZ{NN#d+S@9wfTtYq}Fnf%7{k#-=kI~Moy;uxnuG9nR)2*&hmhZLCn6loooHzL;wF> F{s&7D!-)U@ literal 60811 zcmeEtg;!Kx^skD5h=2&f7byWL>23ytE@_b%I;5m?7*GkRp+jPn5ReXO7^NHO?idCb zU|@(DCZ50d{))HOZ=Ji&zH8le;_m&~=j^@DKD4)P-KwIz{jc`@S6=?3rj9J#EZcoLY8fiT>bF_P^ z>fq}4ucfym>A!An-Lg3NzvPw>+5e;Q$p1%!ZXbb2Tb}jJUe>md1)2xga{RgTdE-q> z`(27ZkDlG7`qLBj@ZRyC{D&*t8p+REv_G`(lifQOtR>!5Qrxs z1v$sn^8UEIpVdt%T#9qpC(1(Cn2_b>s+cSPm8$`=ta*(6GJNi`ioLmO{N$#ozDq7)^CGAX zafqTvhK4yxR<3zf0fE6T^ApWXLKO|$VQ$E9w;QsN?T%_I3)(OPD+QMPrQy?zSvvOR z2Q}+WhxYh3gj-Ns?0RaIjWLO+MR4?Y5S2Kgo11cIk);tHgRxdr= zM3GIazb{FnYgd0W>mxU)ARbl!p0%dnPF7!wyJ_>mL5D_UM#ezntnH({?4Tp(u`6^V~6cZ$I)udE&X@%P|gNN`#C0yI!n8cw z5THiuT_`VM5sa%Oewc@%@g{#cLpq+kN5^fH`--feGj;r;B}dWto~D=1{|V%pa5pD9 z-zgDemW}#{y5O>gp5cI`h0~;-;}|s;u75w7m~EVX&EjAUMy@~9i+P$ z)xkrDA6V`=LN4Q1=~jm)^15H*rh7Zl^B&*^rW@E?6i%)C=;XX}=w-Gi!a|Q5&;KBp z9m}kH2@a;ezQXG=IuuzDssNjvfWKXHYGFS_L{8vEe?$<$V9xL!-H?VYax_;}5*CHK zFj2ld;ZeTD5I^K5Zvz$)S@sa_rtYu#lLpIK_|_fZX8(Ag=nLxntW)+Y7LDbfE=8%_ z_>4Q0Xsk_6GVWeszG)D`!iXOvcS;4*azz)WtVhXtL?EE{#ffIvbaXf`=n-u3QF{pr zjzC#Ub=RY3q5L&hB2(b@ThP*&y(IL50^I4{+qrM!j%4VC*w!XWhtFdEqyd+CBgHXP z(Wla;4-0TXpy{kKnu?-uclP#4_O*|S(GZ+>mq!T<55*DlqIy|OtqbVQ?+FDx3TS%}?svwxn@<7|D4qzC40jPBRMIb2S~{7{$s6;{ep4T^Qne>~iST3!l1!k5PaPS`)6j9@~>X?D*qpDJyWwqIbX z=QY_sUlHt2qaoOhiTj)97oYS9F5 zfJ>HPNb3u7Qn;XHrd%p- ud*aG)s=pdj_S}+SSf$d^*pvbaI5BG>bH{eCv8K%5F z50u~J1&4R=Dk7b6M(oPqG$>=9^1}L|L{?2K&wni3zDyuxqaaR?4dda244n6Yfpi|`q)xrQo4kdQT3@JP}I_(;Vm9u7)KO z9NV}cj_7}g8ZG_o$p1;ds%qQ38NGDj#kh_R+|H2A24E$?Y2cFyPzLmr5Vn9D3F0A4 zevw&PpD-(jC>G!`N{AcOCmiP7cAI9lZig^ir8Kf5fkmE%f&xhZZHGNbTm>`e8dX$7 zcmYbH#)}i5Pm92{F(IsQ`!(zEFt*3RaYvh|oAVNJ+&`Stv_-;0l7`l)!eq81;m&?F zF-*c|3sm6na4RyeGlssy2XhP21(Ea@Cwn2CV`7L2<@JR7up&Br^hqm9f;9~~dEpF& zltIJ77si4-m36|^<_lC&q>Xjyy8`j8lVdVR6E`njSI>Z}ItQU@&9KEx$zj}x&7hae zIU|i%)B6~xhoI_N;_!uOk$!L(K|J)G!Y=AON^hHMS&scTPi7vlpAxQZ8cXyi2mSzl z66wwY0+?9A|Bw;>k`Pxt@E@SHYXKbM)|-hBCb*e6p5=5cf@^Qvj}RvOCfD2O5xnz( zGXEBsWO9L(lMy_3`aty5RyAPwaC=~{hz!6SFsfF$t-LFPiG{6RFHwb0 zU0pA&0%oYXIGB_H>uirP!Agtg@Od+JQud2o6y|13jg)=B3o=myOuFBNBPf8Ce2q`3 zd~uUd5BDBVG#q~O5ZbYxfRa^KC`yKc+X;K@*6a=HgXpaD6HxBMqlvCAu*_A_e~KvI z&Vjhi=79c@{qg3uoOLEVXYgQAhQZ{({`iDiR=CWeE)OsT7alJA{A_t8M-saPJyl-+ z3U1sE^c$B!c&&~GE>E(v25W+i$7yubkG$$$vAOC4pw7y+dbKZuz)I51G&jtimvyLd zm%nViw%k|jQ(SripII|-F6dZFM1?X;j1Z;}+&Gm8dkefA+CBL}+{aq9-;nDlr}54M zE*3qNZE?8?pHG~W9nn6a^~ACriLIj5%KyR@_L{6%m-)1XPHU|D-#uv8pD0KoxA?_j z|C9k=mQWZ1RJYu_&U@nnjoki39`j44oHjji>IeU|u}Kq0ZsY1lfYpb(J}_=tl@Yj5 z+4$tW{%^>@=X&gW%BXL~A!X<{m_NV!B6kb5;3TEz&j(E8s^gmHf+~CrD)fF@8tleB zH+v9fY250v;cva$_I%!?wOZ=f^{{G{e7TQmhQLotu~Tn@-NMNE;Ecnc zmS($cb1nZn?EfIp}?Ini!^W68!N-EQ`@fe{e zA$Ht^Jxqwh#Oq)0-r7zry{J4SO`4ch7CV6T5@Z*w@w(m0caU5&%EAXQ?HgP6qgp`x z0FCFJpWU18Dmixn>%!GNOWS>WOEk4&u3hAw%QA?2yH`dv{eYmjs41EER#HGae965g)X zpWs^r-~YD`i|wq5Kbw2sxDhn|afdo16=o@m_nFb*yHRl)9%Yj2RTgYnVB&Edi=%E1U~+jeP#7uwfl zd}k#X+ULdAd)guniyv$8t{iH8L$BY3GOEEd4Nz>w+huSN!m4)SQd|$f+>@7jX*J2? z^uh=G^_;t3L}F4iJN)6-2ag>KtsEQb%Vggn?WY(^c|QH(-3DVrRpsF+s(mBo#@V}L zUZjk)*Z9wMsCD5q7TQ0eMe*`Q`_cJS!Nxjln{!`4?|+3=1{oNjT9@Mc<0x#uORc=U z?RNQ)W$s>{%+yClw@SW-4R_IBSo*zR{1TL3J+T5cV($auSyqHQQ5#?GP-1UJiKis}8SrO4vH4wbpnpQ|oKc@VOm9;7(M=vt5h^CBgs zHZ}&|qLP2g&2yEqK+RP>YblKQoHNbA-D2*bv$KIRqw>#rzgV@ClKu+Rb7?7OTHa?u zNSyrG3tF=^5W9UqE9hPMwog@e(go}j!!+k+*lAvy>ux7%C*v~_fEvuMlG z`Nc@6mtSn0w;IQDY&U;S*z={{Gd2)%BFX50RmJvx-_^awqE`v`FP(a8@fsM;!?OTv zh5xtlICtsJuwSEDF^{jseYL@AR!>ZX4)})3_2{PD{u?Gs7u!|p1sOMLHXHc6e763` zYH(I2Pa*zIUXk4*eqv9~El9OXgL3)7nEI;3c(*D;rK_Nzg2kZO`9Akr$eI_vYoXff ztgL}dZFK;((NqTUOA1SG_@=sw8K!aU3V0J)LtED`o(oWY*I%CXOnO5EK66I041p*b znwf_5@_TSpZptD$YPy_O{yPrH8)b=PNE;8T<&`y9$*r_)SsHl8EAskD<`szVbi3caS)@t6cJ%Cv1X*-f(z%lXlZmvpX+) z;`Lu{#izRT7rZpQA0JZQOmDYL2tGTZ5D@4Z@!6ZW-%k|R9oE+`zxo$P+}j*#%g%+ZoazBe z&)C5-A0MbZRHo%sg@1Ghyn(Yi7ELwV#W1}2V*XP^yn%gpd?MlPgiiX_=GD{(-qwMn z4>t`GTEbT$sW&Q)`{J#EDJu!IPm8PVB3o`N*q%#^^?z;*c*CHa<~onmp8wl z9ltwv(UgR4f}t<%zF1eQy(}yo_8AcHITaJRB7jnFM8y+RM>?Z-)*3#oi1@vom0#N( zea)Y^hiBp)T5CsZ9{cI7KfijOdb2?7eWn#7n^W{E@2D7OkO>t2;BD9ToY}3+tXl)7 zn_J&8jGJQS0MC`IIYB-+|pyz!}@>%JnSp%-SoaJyZ(BS5JN;_vQ zfJL;^<1cTg6~_zTP9dRA(Bum*k>}&X=z16O&M?7$p&Jr^w{S;`y8mQ~j`f+ZG)1y9 z_Z=sN@rVrSkk*=4c}Qg&LcJ#xU2pcqnjzh~8u1U5S|YDsSx{Ojjk|-sjY8K1CYO)4 zXYYK7I+y6Ag<(=W%;(D+NAxv&b&H!3hT)XG*Y+-(lPEe~I#8xK8B@QAV6vofmS3>z zPe!Eb*A`vD9j2(3zuaLey?n1w=lI7QoAjM$Sr=%j87rjT)y+(+;rjPZ+%0>MV;A$)}}XVvmdU7%*eg`^49zOz7_zY)guE2vCIBtHH1j@1(i>Dg8?v*FC!y4fPhY#oWq zwe0CV+u}Hdtc_CZMWRz_;H>IR2%ZiQ|EsiU4?G>p#yS%kHz|@4sDMz~9ix!!-VFh2 z5agkM=`jMJWAZbzq=-F0W7ZI;18d>=Q9gvvAZ2ANRhnHBBxD}x17LUoNr8Ejdb2sd z@F9taXiqF8Js)hj&8FfR<`6_l?|rbGi*c_U-eKTe$xl(Yu&Vvd7t*Ek>u#0YjjCgPie4P4wIW1+(5SJ-$76uW;|HxOFQr)ka3E=ki2~ zu8j@1hfEJ5xhQ=Zf2QANCZeeowig*5k4=h7t=~zl_uS;$_FFMwI(pXm3PXx0t@e#b zq}6LmKu<81=+)J_eZDbPgo`OMJA8{aGf_~#Rb%US{GDaGjaJ3KZeaCHRe^kKu&sES zvW4;pK$~3u%3ScsP`tkD7EUBG#?A4`UdzxUy}}A1%6AK&JC}E4t-p6dsz1Gy4EV(o z$V|Ra5mVRExikdr6Sw)~M@#mF7-sqXI70kLh!DN?`%qnsVGl8FNz8F+c!zZSAYr`p zEXyfTq*%zB@^Z#=zV*sJbx#)!Bn!+pdKBT4+3(TvqnHSu0ZYj}M(7_O!%F*Q&E((Y zq^vpkdQUTGkX!Wdg7I{F0RYgSYU(BG~ljNoesrr!R%ID#&u0t}T zm4HMccCD$CdS9_>uUk(ABbPr?yp?XMd8(a>L4uyV8pZ{Ln`^n;ajna~lfy5!^&|9R zPB-zQ;-pm%t1lv>Ap&#=$uSEFe(9!66A6wmG^>mKBuf7H<5a#SGtUN3>)YMk2Kz_` z&-77(&Rh%eezT(=F980qBKRJrnbR`Lp0)qY%tAqE`VfFEp1&&Q zo~}Slc~Nx#&ot!^XU7R=ILUT&Tj`mR$SEUYZ12+1TfPQu`h#&#i6Js7C<4$MEI1Z? z)BKb5JVY~4%Z@s8x7oZn%SwKK*AHWnV_kjnElUbpoP`(f+^^+l0Ocd$%j1OlL`C8G z5uK@#BUW_1GkGVj{VB%%Q=0pJb1{Neafd9B`Qh%S`n(q8QFp&8QEQr*rN0}r2_ z<*=fi^ilT(vy1z-XXV}>r-L78FE%x-2@6jyKbu-+ow8UA?#F@TCl-oTgiSGT`P2I5 z12YfJQUw4L*(yF&i?(Zl)mz2xYt3OX7pc56V7`S*!ZQfX^c8>75{Ber3hdqJ{{@gB z(upsA6)sovX^r9FTQj-BzQ?gL0%_eRBZ9GrXN^N;V<+ov&-QHEPTP{vLAu`x)%tpT_@b&q9O|QPd&kHJ_}7|A|hO zkaf^kT?#+%r=1#!X5HEs9J0y;2ZeshD8yZGNo8V|XIRl~Ej(IxDAg*02R3;5#iOQ<{H$4AZ-Rk2ZTlf>W3jzcU;>MiYFlL!$ak9Sk(B37lPG+!c#B2c(snh zIwbY79#QVyw!S}g%9Xc1(Z~6udh)T=7dDni?>`dN2C0umvRhy~1+nIw^Fd&tndx(G zbe}*Z&$)ZcH!YRz&jcF}q(j2sDs<@R=Ry0tx@*abJhy%cj1T3Rf^9?mSCU@vFv?8Q zm4-10YZcm=5^ZQ@NfQ3pc>gN>@rA7x?uY5957h>!u_4hclvaF&X1NgsYJv)$XS4t1 zVZ%9i+=dMCiT^I_6j#P^TzUutpL!=1ac}RbLuu#h(dyynU@8_e_{U zAvdCz7F>X5>%RbMNkvL-a$j_1*ZLE@coF?|H;|}aKGgeAu+huCh(_`7;;TkKEvqL| z7IM72rs_7w@EjpdeoR_gqa_LVbz|K`^?|E6v()m>*6knp0h^DLnU)d)q_xnGi@H73 zAKh_LM^wfRxLvWiWUUR3tBoxU6bGbPk6k3DzAp2fs#@XkoxGRf)DY|>Vea9UuHcbq zNNYL#J?Q3zpp!aVL`|EJksF*CkUpjLG{O8TPQXTePkk$6uG`=%Fi5YG)he`QUDtI$ zGsJh0W2^Vb<=w@yCqHfVU{Z|glfB%ItNYxiC&1+V$(I;lH}#>c=T0EWcdzW3MCK>j z?@zl=$&QgvJ|Z>g8KSO|ya~g~iaZabZLMSsmn~Y|B%9RrYZNwgaI-Y5jCv$%zs3xktBaG9 z{!*=0xBnQ2VuSYXG1gIi?VoeoCiMDWr?0+=9cxa@<+y&)Q1|f2(KXkD`D3mOjD0a} z%`4UBc(&90ulw73NVEskq@(5rKhutf(BS(N?@U%6fAnZ5NsC{ zNFHHW&!!hM(4ymd>2Oj}d};kDzZ2vRxL*S^n6y578*4m&6MXtIgsv>QWK#@$dzTa9 zs=nCLQ1xdopmD+GW;}%)te1JkV=P?sXCYHmu)we&D|l})yDE4+feI^7v}4KHB!2NZ@2CS{&~nx9sDbP@0=Yb zlbi?S&8|(3!Ly3pPZON=hfbLDJj#_$NKGd^;t*z*9Ujp^+wG+v=2#je#BPFmY#{@` z@p&QP?x(G+RRzoF6#-MOwsEK8sU*XkQN-{gJ-z{1?xfg6UyFt!6tbDNwg;$y6#B13 z6Rxrf@p8PoY@n5r`ONJNCyxlt)ky6Pc0Bz-cOyL17Y5+N%W;y92(fMQqsdFq9Sxnf zLk{9&s?zrzo)@{d@t>h{3nhcCN5vkq!u@xKjkfAav)67*|4i2yGjdmVMfcZqz1bQC z&kXEzz0q=RYrWK1b?ZB^$TdKDScf*!+Ez6trI)r@T7Mo3Ven8Y=>V0!Mg1%yt}$|j zZd`bqoLN-0Vhl)GKf-@sei__p@OXVpSn^J7yh-2Q@&6#MP)2)OY#ifp9(LAOHzHT3 zyu$FwbNqyJAx zkGURAQ_!$Q>FskR1ClwxHR{ZXivr0FYEKA?5i1E4fS`}Dn9Jq=m@Q{Jn8od->E2E( zbn__waI;i3D_jiE$&~8!kEjRYH`Y@I)<5NCC|EMQvHaY*(dN#cmKQ<$_jG+)JgLR; zYi&xVKu)gOew}3E@H)+@sKf6Ar?(aJncl23TvW^ zZtWL)&HFWMmCa;RVE{M|SRPpeI|M*sze$AbGRAsAH&mDr=hCA;-uuXf3%HHQ@djkB z!P|w}wY!U4E!%mr`oEuJNdaoLR=+l7qbry$2#wO|507Ub-`%s^&RdeyY#z8BA1oVi z*s3X7*EtRRCxB+1bd)?Vzr=S z-L-g=vDf>5R0fsn-Z--~tQp*`edJbD$Es%lqZT3mvJGBix9V;`v$+&`uYdCNIg|qQ zjE2o9;5#`FTYn-}r>JQ$>dD5Swq{or&zS-9yl1yX#E`T2nj218YO=cV{M)MifWPHc zjE(csClk8CPXsQ_ohkqQl}}T2Zq7fIuD&@(Fm>E*7eT28-J8;lCwHf67Ja135U!S( zUQq_pt&_X+Hg0KTO+YNM7#|yJkj)j-9+Ya@Ewa0*iq26zN;E02&*(%&^az>?Ew`-PT0i zpS^d-^ZcK}S(g9a|5>ms^G!}NDrVX-yW7j79&{p|lY)%ze(}1H0?JJ>f=#Q*#QHjBpKtCw?Y^*i)o-eU z>h3Duw2vT*N#aX+4;SrdwUydcOk9+Q$cty`q@I_z0olFd4tWus{lM z3i&twqdmpnhkpb+-VGgjYdLnsfB7#e=ftWsa3oCW%%+=u6P!=Q=ZLG~Bb)_EwO7|% ziaDAkjS0M__WE*p;6AUwx$?74|1cCrtQaJgAH9?2#j55G#tho}Gh&AGQF-HP51d#s z>j!aS4|-Go8T*Chb@AY(DnaoyYR`YQxS@= zd0YC*0kn2-`-o8~V%hUw6e}lX4x-^M+_y5)VaFCxaQjvViQqwgcHFbpy!)^DhpUXh zGW7#s_N)&?))^vOqayA6TvFzt`=%dwl&em^E^3m#I>oZ_gRqP*{Ii~jYOAkl@L=pm zLNC~PC|j1JznA}ev(WDCM#?TplV-|J2;Mnn+1BD~E}n6UBIeO`sTic)DkFd`-HCti zMLy?Yy$gFNz^)(^V0PT8XZaYBUvEG5Y*@FpaIj$(B@R}ybZ5W_yor`^3>*onY;d1v zT>Uk@oZ!&aRFBKJVF?yIBl|#EMb%49d+@dZh_dnd?lRI%(nd0fI!Wl#3l zAC1P$*0 zdPiD5ffOBXDJNe3IDShctJcm`Ck)lWYsOZP0Z5k>|Af@iMq6v zLU~1q!$iRFgLicR$QP2_Q__I9XBgg#A=;rms5KmqbRG(*b=Z+C?VY=uB8xN^LecUU`TCAB*@h0lNI)e-eP1Mr>99@m-YCa0DK`LE88_U@peuZp;9uxX5=mt5e^ z-|;I4^4ABcNHf~-Tt~U?@z3Wo zUDqTxjSaohcgsrJ|8w*9k85={eZxUMeW(ZzE*)xq=$!h^2`QVjYd3h_ww3&NhM_EG z-cj>?+>$->;aEF_F8l=l*;Z`qN6{q_`Ak>&ikU-HD|$k|{k4@V@aLyjd|RKxXbCzS1H&fQ zEalk)f^L)mj539{PJ2o4Og3xLw8VVHGoAy9V78?kZD3)2zV6i*$)~{1j$64?Y?awG ztw_-R1!OpX)QYhJ2_FQ$l{whF_~`3D{wIUnVi8JxfhIo))W_8&(`o$%c{Ol58mUzt zX*`Vf%YG<*V~Ix?1ssn$ZT&OPkYU4DydJM#XRDTCxc;)cd8Tybe|b0%_^y>x?_4hF zdYJ6bar2OwS}YXJXnH&f@>INjFfn#?n9dkMSU%SIB&h+W+zme{jSX*q95mJJJSBt` zf+Z6PXXyY{b`7(66}moPNzt>i`!XX&KDryn9Sa0WWc4V$PH~{uQ5ls4;X)d*=HMZCMgJL;n zqC>Pj^$1_DC)EHdZ{b*pB}pD3*_8j0@N|{#=8?J{eE-61pfQ=4~9=qx^rOq38OmA3w-y;m@xZfM=`a0oAtCHG@ah$+}(tDNjC; z#t+=U!M*FDPoD2Xv$pT|kMC=<04qQ~&1xW;rNt&58(H%2HHkCm$m>q<+8yF6lZ96V z&6}#?NyZDQ;emCnH}e176`0Gj9BX7Nfhdf-5ip_~xKB;=hy@(TuKrs1ZN6eSHb= zhXUF6yhUr{Tq0)B2^}29!Pk^xv`1$grLbrawX&#;RL%ck^3`u|)Au6+O}E&qCUPmm zPho#8@3D-?Lm5_7anl#1dQSq=Q$>F~Dtdi%Z?!C!P;$4|;8j94Do@>_zrFm~cIVGn zSzV?`yt6U*UH)9j1>u-;+(LhnG5iN@#!pfKgO|tC*oDs~K@q9y#f=XyJ%5EK+-|zc z`XMS_^o%{W9aUh&d~^KzC~b_S-6x%nJ#?p9Ba7!8*aB3UgTCY_ zZD$TFMZ;VbhpNsS&oFjRYGm2YKypVT_40et3!gn^p%|9p1LVy7xoiP~~_Ju1Z z_gX$9pbl@C?w$Q6d778CG~SNhkw@XtNZ4IOAB`}pTnX5X{=S9Q6m7&=YBsx9agJ_v zxq5N=<5?)2&(cKlgp(@y0nH%`=}px2i5-bn5|OSCArnWJ#7GP{vx>qCiAg9v%nG9; zTZ#N6IF8RyOE_vZ2z>TxxsLU$H&C=XR=PVo>@v(y&PYT+RT?~BGM-q9EDi@(MnUD4dCOJAg!FjU92hQn#WuX@?N z6?dKSR!rt0)q5 z3tDPhzL(TQ2j&&n{ZbS77?S-q6)m@2+7FQ-H2a&(o@8CcvB z^=xxN@>f5P*3;^j$-2IJ#NzC__DqB?>=n4rE^qNK(bHIGiZ`A7{mfLszP|irKG!8+ zCb8=CrkDRkscyVocftH1W|>YbSVl6mKt~sI{7MmHK$6WR>)S0L$$$ch40cu!K7Kep z7k9dB#Q7|(*$6D64QnN^r-U>3Vu7OPG-pKPq5Y6DpK;FD3Eg=~?G-N-f3Ii6p)V|p zy~^?+HRD$+xi&p$o3Eg6A_WF9(Bg1K>azQntk&ZzzL>Hi>wwqaaha~M>Rl}EzkUdo z>Q(3Iv&e=2)3Sw#RQQUzB+nx2E%Z9O0+E*{ebXBO!9DJquigS&LF62vtd8twrym<2 zj`gz(5#l@&i~3xox}UZ>J(N?04WA)@p6^+!FuI;S`FV>w%P&Af%T+kNn1|vJCvtI& z(-(JySHbR#U%UIDTk{@GFc!~`JKUN~dSu5;%ErVm)&?-SG-t!sA9=?WhRWL9UZVS# zJY@M9k^xFElDxQ)&8U`MU^PkDrumJiANu)=#5TW#wmGKNq`h6tOhS)F8}mFb!lB2I zzO;<`rcL%DRa|YMt8K~mB*-v0NIIC@?7}ni$7LVOh4(K&sq8Wv68!2$*@a|n$=3z` z^9P7G*5TA?X(2$=#>ICp1;t8K4emXA=FNbD@iM>Jr&C3O1yfEyJCp0%dPCFP>+>7L zXN>UQj1l6MMZtG2DN(>Xh3+F4GVDj{n4=pKbgw^$gui-F(u=38)xLq$3oG=3F;?GT z7PepuDK7BDZyDL9TBrk6VEU+i=Cmx{cW6K{SOH5XgPz21pT1$xnT)_CCY9N5oUAnBT4m z${*WeZma>9ooOq|Udt<1*ze)ae-&eccH=kiq0D*#!AwWjSKA4WsS=26zD&)x`br-J zvhV)ggt`pZ3z*LRR;tcc)qObmb3AQ6ibsrTe-TKp$Xj`!uV;A4`dkYww^GkI@!g!1 z`L28SE6-zXtJ=gUY%v%X$;z-aI$>4wdCXH~w16X*cF&y`asSl3e}$k&m-X9u^JS!& zJx>JBU+x7NzXhT$+L`48IJ|NCIMe9Ah8P9HoPHg%u#p~Hl5zo2KZCAW|9zR5vmx7- zlNg4^Th90WCh<t)d~&pT`LtT*QeOV7TZtVhc!{nB&WtR^lfvw*@1mhN2hBkRx1d0k;Q zmTlnEdv)Up?UC`;=dJuZcvU7wtDZ37?T;w7?-fGT2?V$2%uy0QhrWGb_IGNi9}knB zi`AYF6V2D{ZEmGBs}=cYJ+cvthuPZO_Q?cL0useCB}-8M%-Ve-z0cCh`HB2Fe{Nk> zarO`>#CC8%;m%Rg?U3$IgVA9|3J7rJ(Rpk=rP8Kw)rq5ez9io{L6lXV85G6QG ze2CKjY7Ib(8_%vALeF7PwEeZ5Jj>S6M8|JJkEFZ}>>)rH(4V@!4s?!gDPX5W0z{4) zB9HV%ptrDZt)2V#5S7hPRkiNF1eldhkBADW;hG)JrCa7=leCLN3F#xKxVN8opUcR( zLywsE2F9obRVUs|PFPRrB*;xezP4+eT<&UY$*v*r(&IBJs%!MkEEm;tIsK@?FJ;Fs zvObjHzOY+xo&%AU<1o6 z%q|6Y@TN^4>G7-Bs51&@MP-d5`8C>)3@gl$&{9~@&dsm*&T2%HJ`v-5DH6|qu>3wp{T^Y?6U5}wk3-}YsvOhgYo96jpU z!pPu<6ibkf_6R^REWa4Q`Sy{#1gkY4!99HFa;%sAN~mdyt{~Bz>JU-7@~C?GrF5KA zci8*j(pU#qTfw-~t~UZjuQ_X zk3;-}mv*47x_NZ{KRW1p`X$DzisMBYnO<$mZeBDr?Vmg?-NPNdQ(xb6zR>^&gH=sy z3432EdGiVA-r2&t2*NHs-L}00F;OjKrG)j?Lt&rH1+9Y5hnyEV3#6=SETM2yVZ`v% zOzzY(rA?NgZXNzR?`!^OYY?TH1Dbue$^!(pOid|h;=>np{*D*;=u7FYbN#D6@n|a@ zPus%5h5W;1N5Nb=F#5!}EMo{;MpWAqo(8 zKtJ?DMzx{mkGi3?(qssd(ajy&<=_r{&b;h8R9J`C;$0uz@)9ynJ#`*T4c4g<#<{&e z{+-=@lYSuAx6?Dr*x1;=E|!KIY@?diW7Vgo)^|_?>HC!e1#x4t*!^7~htZ)wyx5)ebJV6la3=)wgYT{|^y} zb%XOG;?^YU>BaJoeeOUlRZLpqsQ3~vuf7j;K294c0+F)7O4|dTmVr)kNb6oqcq;d-a2tS`6gDbuzVB^HsWz;W3!eru)!u9#UL z%r+-{P!bD6hiL}u=qku*3hyoBogp_HE(Psx2jl|sA|HX~expLU>8fzxOu>YP zY4QZMX@CuS;vSCimdp{I1W8*ow-oi&$1YX6QF;ert&?VeIq;e{Rd=#8`XaXNhezHo z-ogC_7Ii*mTbc2_Jr-VPlhKXVy9z+c8%^Z~`YL{~d|NrLe7x@jp;a$Bu-0lafVs)Fxha&6uA(Pd!WlxGozio;-POi{M ztAVYju{F4eia&4v#9wP}Uk#lH1L@wqN4euv$8Z5JnHwa3_Ru~N3H9EU%UrL7Vh^`XnEzywSfySck5_}m^YOjt61Zgmp+z=diu zN4QzBMDE)0eKB|Nz-rU5&~*1B^6hJo44VkNe>+N&?Sw~j#HXUuS#qL^_%}##bk|hz zkUn9ac#mlP)qJnOCk6}U(zo?&LSZ!$XgbJLw7b6L*or)CNV@+1E4#gpnY!@4L7d|4 zS=&3ok8C@*$I^ryNwkF7g`bfb$B1yV+j<6KfjUSyKbIj<;CC9atjKx}_)RYRAF&rKG)A_dHSh9aE~=Zw}8} zalU25OTF;wFK*Aus7!CHH{zpGl<7|UNpQ#_4Zt5&tU?@mb+X@|_ZzkPh%y?W3MdlR znEOz5&AY~VBQ!#mUZ}B`04G0~(3lG=R9h`5x~@~OjkOoJ7QFee&biuuEXITw>P=>o z*YN_O1T1IBe)br?p;+esGT%G&RdwM?djCt_ALEZXI1g<^+v;N!E;Mi<6%m34BB8D3k|l`2Hwb z=$WgzUi&L$gB^(@Fg4SwAt?`KSSr?Nx*~#59)~g8FpAl_)=n(wMg6M$z|?d&{K%r= zc9g?^Bw6>#2L5Gq-W~$>0&ImH)am;x9jfcXU-a!jEJu|Kv;WK zTZ-o3K2&Bgy2Wsrg-ZxNsu#}Wa0Nv0yfcjC`*SHJP5OmVod|Q4`e?CtcdOl6?mHu^ z$J}6E$u-r4-yo)?iwyynL$Xwr07UR_2vxOHHj1fa8qpq)T^;R*owsOebqR7Bc;ryp zzl)TiUgO_~H3I@!@Q2o?ff;^{Nv0nry!HD9a~(JZe!2Qn<8&0Yz=!Q(nESuDeiVlP z_Cl)5t6Q`A(Yx8YGVwuRp}p(QZ4AG~a_5anurKG@Ks^3%qi&`gF}w~B9*&aAz#WD& z0<&%dg1J6gIKn8c!j$qHetJv6-}ExRggHlPRM7&-+paljl)D7O_XBx|BIW<3uYjFs z!3uIj)QKAhwIAipFu(`HV+v+YD+gd$hGvyt3D(2FUTO2|VqYb6i*jogi5^2lXLpZL z#TQuqVRrO|ehF|GKG3UFyxz>s4u7Ej>WYEg3C1Yp%y)j$5CzBr(_khf-wm!`b7Rxz?*b!1TQD?+J8L-5SOkHXOzdZPhr z*Hm_T6pyarfFn}DPK=rf50WmoctaXHZCe;KR3)fJ|FdJdjcX-LJ`}}%EAcPhXxCo! z#L+sb1qRp#5_L{+hnlDW3BS?`^9#3Ms@U&BuvG9)4sO1XSa@BE*AGA=0Jueo>hv0y zXL;HZe-w?}t8`GQBlK`Sqc^6Z?G$T($CSt(IHo#-SSd`*Sy@z%;$MLcW+; z?Ua8u@VC3fe{zgw~ag=!d#nc9Ln)1d8uI&9(Mr^tWpYDpRY z_DzVK|GDKq(~E!l&oj<{Y^FS+{3GvwoA|dYpp^eNnrTv=b)W}i-k#gEy2H9#l1{iA z+p!7D#-k+v{Ic&Z=xh0NF0~igm+|pm@t?=XFXg{m$$vT1Im7uc^-KE5C1@$Pf7wju zC(@t4LcSI9=MJ*}NwWXp%AZ{Mzr^LwA1AnA*HyV5zk%dm*U1lEME-iwzsNtIYm>jI zpYb%3=KA@S;_D6RhxR`m{Id^8)l5HUmDqoK>GvA|ve5o(z}~A%{_7xI{9~zeCH{DQ@;Hn-!zi%Ey;I@ zlkX+TM_yM+v%0W>;_B;&hc zpp$Tun-bKPbDXRXpCPp;BUIDuJ=XU{ALOwe zCyz!NUSmr+PPPRn^afi8GwYB8`?c3Xb890&^!STTH{*a1VR9ES5UuVvj0Uz}E{~_cc{_C; ziL()9~mX^ZT2rCxTBzEiG(_flQ-{W~)oRHcw&U@~Grue40ucQg)-lv=< zHb^-t%%j{_G4|4Ygf zhoSGw8r%FG%gn8FD)*bGEiV1{f@Tr_kCpI0r^YVhpR3q+IQ^`cbQv~Kq;T#9QUPRQ zc?rINop6ZePPu${LB8$LeuLyA{xco^17sh(2^uZw8u$SY|LW4;!t%cy|3=b}y@`tY z`&GhOTMcu78=OwrnJbaU#9)AsXvQLGrtpkUXjB6-9{1QwX&*ewA05-zRR6NR1{Ct1&^vN{l z$qaG4BfOEN_wtFo5iuie$R?C8aPotvR(sMB?v|7^SIS$9q#;LkhbFl#iu)(U4M$1O z?Xk+McZ=EW+=~2V_XFGee^*I4BidPP??viQzISr^G5!va{}_G3u{?_Yivs@x0A%6& zhu?R(d5Sb|4m)avXEb@_ql6L4@iH+H@o^TT5^S9TJ^u*^%u{z|03!{D|}Wm z3)@2d&ryHo-djNbUG#-Y!Zv7U{v4+M%&j-#n7GN`F!eY2IZJv`*=8K*QN9?ami~&e z4bX%;q`%z$-^)Mmkbl!Z;ov=ErMQjPP01&o)n-e)7Dj%#&w7*pwlR>k&B zoc`bntcyiY$%IA7I7*p)f35c1zQ2=xY&-fHT8b za{a6%|2S9rL0mQ!;^R!fW&@AM*0ffJ(GEw-5V8J-WR+k~=@z}s0DxfyH=6kVHaFQ) zY`AlheqEj5)8uKwu$=NvJGnV0gn6O)JED}Wa$hI8an=z_ncTQ`3@oi{0c*$#@2Gz} zZrDE=6D}LEm04kz4%{qR!p+L!<`-h4J8pD|zQreQl5TeIz|CJuxLHx$yrurkFg`-> z5Pp1fC~Bh@`td%6Zh^lKlp#j|O0%k_5$@!Y8Pq&lgWuFG$o?BMLgV3CW#AKO7!*b* zqBwAWcFd&aR{yzNg#HZ?IyjwG*2o(`!w^MiS%$E?QSuD&gy?@S`A8pcd*~s3mT}T- zDr_tItTWz!3?6VxPQW=rNFI*sQ)piV$C&ZOwfX&p|6VZ4!WjzAjRO1f*!VwLBUmr$ za{ZIupT*z!XxFG0`yM9z_N^K;*Y*K!;}O?J0;=j?AfbF0DFgBv#h&Uv!s&NG!24?y z?7vAH{lc{2aJf0zw7Y%4YrOu!{&o)q{U?_XUBl1hKY%Supw8a1S75)olKhikN;ivzgD(o!% zGCu7on==5X*<{#D_UQ$%myf)$|Ea=Xq+hl_sS&nJf6K^zx&Gctz7h^nJNY{Vb$GG}hh+cdc=fF|g`|$6vVICW)4O4sBNZYG>E6cp;Yk56%Cv( z4*`D6e0s=`@wbxnpW~ka_&MjkQr>b8V-D2;64C`=Vu2Yj`)T>HJ6kDts2 zlwSOb^fRgKTjU>%oE2O)l+GjX&**&a4e6IO=Ehu`eYlVJd~qAbl7CXaFQ*L2W}6v= z6{TN}wqP}|jb$mxXW5?myCT)a_VtB-ap{khf6#gX_m@k!f}1(>ns(Iv@_>6!{M++J zpj~?kKE0f9h?j6C1Y#MEpV!}K!as$kdz5dAlp!NL=Ar>RVF!2Bg#Hp6@%O#r=PKbZ zo9)<_0ol*fH~!K$g40!pqW0H?Klgv@Kb!nNUmZP2{`-d7x!!rb^RLIn{{xb*w}d}? zk6#&m#9S;xv;GfBe@lW<7OrP*==A%h=E=*QM$bNy^5`qw)9_;KgTw9k!>zYE3RoE`LYp!8ETKG42+${Lxk z+`m5Vkbkx-;r~hTkEao1A^-M$CB89Ru@>$U{||wVkH2wkeCNalWnuK^w_es%4(3em zDC~dY#?KiveiMF4#n)pzKq-nZs3trq{%F7aYzd|f%c-65g`q0ue^dqIe~{Yq{5zre ze@ps%6I%WPHp5{6s(8lE{j)S1W`93Ee=GgrF4=k+1o^H1?7|N|qWy^f1&1H}#yiet zR6FrwE*kl#TvMz62X*YV+Pmt{_Mmo0zIHp>*~Z_(1?>-@ow{NBu!8od(XM$}&GZBJ zi~MtZ`nTo>d><+nQOIj}zfJrR*XGhc#W49`ORfUM{;LUpS$s~Q{$=^oo^2co{6H1; zM_OOYKJ!CZ7GB*P$Jys;@PO)odjimsR#V9DoWh}}6T)GVk7(>{^Aq5aFYvu(u?)w* zr%xTDD$J$%OU~bS;%^Q0XZ%eC+8+Wp^&?#27}3x1Po)00>1>hnBl1t)$Pm868tEVH z_U^%>am3#(jz9QX&$Z$YdJjv2ztOOYT2I#Q%Z_DweU+rvA@v{(8l1u|>kxz8Kl; z&D-hf6fBGuoGoVUz!p#))+kwkhZ+Y_kmi5>y*XnYFM+6iaTczsg%z zl>E$g&$!vdA(H=Y(I=80I{9^G8iby_*Vm>AJC682TL#R%9a$s*EKKdrMzL_fx>zim z`+N9KOMPNHzK;^KI?mc-p?)-iHr=0otmLEqi?R*AVjfGrnll`<<^Vq*NI-sloX;+S5r3NYK6Tif-rBW9nT!qi#UdaNOxa|0 zjrO^HC&p?8uL<6w(bTVMpnLtAU_{o%AN|{|WO{I355#w8P<|^;>B%S|{^M_Ba5_DX zhiF}k^|bM4ou-8I)XaP7CK9dqr7Uk({*^N;;3 z@9}yiCB=1s_z%5fi;g`ytR1Sn^PKehB5?Y4U<-f^kGQxkYRAf3EM<9PTd|qvjYEb1 zJhd}#`Mhz7;(o%CHFbNgXkzit{%1S+MoL?|>aK%ca9PCvNl8t-3#zGod99LtC36t} zU5MK#EdItq41#pn%i)KrVmne%yssQ!;b)4w5$K=OXY3xLxF;KS7R7q5@6!gqm44X2 zDDRYAv*BC$N#DMfz~}Pt&BvC!gKtiurS*dG7Ihdh_ml_!oOX~T`L;n!=3U!j{A6qI7DRln@jv3p#H3%-sQdF z!hgLwaFzFA>5f&W1D4;x+DoeptlLBFyc>D2_)kyQr|6#!`nf;FO$}lNxK21!`r)`n zpCTTfjo2*q4$05@BK=wZ$j6m^VL$r&4KgtOi*KJW`;rDwhDYUJW%yTR14@qn2;gTN z{Zja;-&36ZvHBCxE!?C0#CesyL6z^lHTf=)f0fO99+dn!{YQoW&!SKN+ypj#UOw`; z+80rNA{xHI2%Fl+fMKjs7jLlwh2xrdssT3qHf8p3qAt394g5zTXPN#8gDwGYJwi+C z{UT?n|9?psh5q|H(0yP0!R-Ta94Y^!{6+cW73G&){*#4&b|yv@e^=*1z>Vr~g7i!J z8@kczK;_>jWuIOE=l(_i97pUU{3n~Vd5#$qpq+MK9GO(;vkow zJed4cv#r9=Zc8G*PlXSiFZ+=Hd6hqX{MuLA(Y*yfs=`nR$&%Z5Z}7_T>o1az_a-B0-nh0*+BitrtaNh_ZslTVsov>qMz9N$~r+OreXT1+wPzxXgnWm z$4P?g8?;>+?~apq`ouRBGxFU}M)q)~E2Uu*-*VQNFgpi z@6Qs9_QlS)YLyFt+4l4vtVB8bnXP;vY zxQW{oTHk@&>!2UPq>_AhZD?s#>C@NqttU@Gip%S`lK%ey{0QqfD@d!ZgD?ka)-%p; zP{`pE;E>-KO)@7v<2kz?d^00Np!k<2SCd1}3pCXy4xd2%G?g>6A$Sp8jl0Rw@G%?3_;~MkovoN4e5;6##=su6ypPW#>`u;uIq+$k`(Jf z&-!X8D^ZdZGlGW)!PNrbHsNV_3$N?XQm=1#i*z57o;L#KPToTQ!8;1j!wNGpcms8O zLpNBhWq_}nGQdlKZMGyc0OtVBwagUBzV`#?Rq){S*1%+6e3QKAnl!Hwx#WTC!cTDb zabV>Rk(Z=_CjkF~>haYav?mSV+uS{`CL6H}3f3=C_#{SeQ+?9#VANj*x+xl%V@Ywr zrucdcI2Wqdu-}uYX_k&_BS|`bNg2;K2i)TjEWYsFyq-Ops3+-;1$E{h_Dvi-Dg9n(C8X7DfGW z;3e6Gy-2Z<<%Xb!Pq|FjWEXmJvt4ee+vPQI^i@6fl5}%{%3bw3Qc2R`^s(&Cd3{v9`_u}#4LjeuPieG+`~hnoS< zH$E;|uTTDfxns}QO?FTIFg`T-O;dgH2WHv}>i)nuCB@b2`Wb>6-s^4mvZoA6>Sg}$ z95})|{sO)qkC;l*T~7>%As|yp{=n~iUI!_#QXRI9@JTv8!-B7>1OgugeC7}F`IW>Q zn^2N;SZ3+bGe@afd7jS$k#4dUuS)W(X6rRccQs)6_6>Bzk6Ph<|7Ftg%Sm&AGjBWQ z*e434TL84K1uU;_dfPF_-i>;$9i;k3j_qx-QId|i^tGn?WV_i={~+i#yqy#~lZcr@Y$BIi-(5=DjO{wN6koK)!F}Jiz`8eG+`K3(s^eLOtFw)#P=2)=9Hd zp-Ogfb5zwQyNnG!nYWXdvWXPes#{?QYMA}(olq&mkb1Lpjq&tf;AnAj=Dd#i@O0=H z&(j6cu=(v{pN{M~8?bm&li-td%xTx49@m3apY(hc>c>vJB{a&P-=Th-rurn^x2Qh> zbaAd6QVebnud}BQ)9n39z-(BTm;G4tBB+Z5HfcPa6LI=V#AGdl;?9t!I4vGeIbUhk zSR+pV4k_`aQ@#%`*obomc(}g6AJ&3(P66ym=#$`+#u(RUqMmC&sXl4!RMgMkq?zOo zoT)C*RG&1)+)h6vzbQ^h@eV-pZh2fA9*K7i0H#@%hV^;v^LOB587B+l`Yu=@Dl7h_ zoP!GrJ-F5pa2-|fNtP1<|5wy=`&`sxy6M-@* z?gq#dNt9(-z%Tl%P{)@emd|l zZrzqC!@P<5)ZhLa8RkM{7=Bqg(M_@+0r)|{pVq)A3*X(;%y}cj;M<2`e{jB&vjQ=U zEU*Hv8XXT<-s6$rlNJ61csyMb_-4mcpEx-T^;0!zJ6VA%6;n6WCo6E~&#Mq=l&7?$ zxIZ8_kKEA;^8n^C&|+&UDdHA{Rv(E_RzE22EZh`vjHG5wbUM?#5;7eEdRsgF>|Nj$UFGrsQpY*dc;EzT<$Dvf8^z$3kFAC}Q0qzttZ$Ltvr=b3?O_4>+ zrzDMql#6~1m{aRD)E}`m%f@^EVipdUfigMR)=9BF;9rBbm&h{hTgbG31T5bll;D#T zzamA{Ur?`4Qv4G2OD9fhTSfdyifvJkw?ep#IvcEM-`hx1Yy+5co1`FD%~DKUmx9sq zH*m02q>{Kg3h*DIZbr04K-uC;)PITkd+POR&bA`z#|2kBce*RCAEqe7N_uIOoq#A~ zrb~1izWq7ygC>5QZ%+yjZ05WXWe0(aJL{aM`PHG|Wby(p`UtkhO&z>LtVz;C0DmIt zIdk=#`~mfP;GDC$2g;EkI9 z7B(Uz?aH-$?ymuOZX2bMIS&W?zX6-*CY!DXc%B{O+%TjOjpxN>Hr)%{agLraB%2b$ z>;*P`6`JQ*$NNbVeDdB^0MF+ipRLy?PF6 z88+%^OWad{dh#O+q2?8E^7p#MhoFWLaeKXn{Ya%*>c*&j3OKzC9B&tOl%(Da@VcUh z-Yh8}{t~oy11#?e-yy;$sW%2ZwecwGBe+)HZb$uKa6+k5eUkd`s5cK!^+{^3B&<>( z^)0AB1yZM(31gF-oL-laQZvt-QLmxYJD{daYL4&MgJVArXFmKKnPjpoe}0GjxeH*q z_TlH@%!db~{(*XZvNdzyT#`D$C#knay=K=*=vtE6&9POVY|V4|_nY$V#K^bj)n%mA zTLI?$dJX%rhG%U;g-seySAysNwQdKt4vHX~brJ8Jc{FRXF@xo-bCwB_-Cz-$!JdfR z`<&u8644sk+_)C7qj=*gp{=1E2Y70ju<7aWq)D!Y>U}!3i5|ALI1MG!ufq)BGr z1DfiSCjW?f@`Iz0@&NB0X_lxF5yyZtjzC;1Gl}*GCzCYg0r(=yXOMb(z)lD36Giy6 z3bYU4_e1@-_4*{y-l%6pY*n{Jl89OQk4>B>i4H)$z8(|lrE@U6lNj~9I+NzNZ2A(M zG)r_L;v~%$a92ur6WnYRIZQFTG&q@{Num_vUjYxtS@un3P~1!i|6z=)5}Tykqfq}# z)bl>&gg@ovC4rywPyq6Wa!gC^9Y5C7DqDfW#z>GjqPSD^mc-#*sMmd_vA-C8fT$a4kgsXM z6=%5ERRIqutD&B2Zt)=gB`G#(l7bD*FT&3HodPNNRxCJtWc&nGYxXNh*&;I%AXwdI}HcBcxt+N3GIQf|Bi z+IR^(@LXVy2%mWPA>fQ74Q)IQJiJRI(M@<30v>zUOwtBN*lU`kPTE)( z^~X2WCk(rx9<&2U;#aH<$ddU+Bik$vj__QnxEc{N`GVkT(gQ^s4c`Aizwp|a7kKPDXGt5!0mD^In3FcvNBt2^^@Aeay+=tK zjYwG-932U5JQgKP~P6UMWt$DSmh9I3uJC$YXEHQ`eqO4mA?q}f6<*6+Yw zqaW!})@CXkVKFbwd>&vZ&Dg9)wV@1KYd&GmGHeS#Z4>rvv@yr87q&YX7Ilf>aRfkWLf>nsJ}C5 zJ|z1t-*l%FF|=~UYfjCz$zHU=tibjyxJqYkDNDQzDvfnb;+&3uwIx9d?`aJ2ApWH@ znulQDMjiJWanW3#&LdD4-|WwAlHx&NSQ?n2E!5D4|7`M^;<2DL${P0&eg#akLareZ zV;T$Yhu{9UX)H)*d{bjA!1LCiY$bUS-l)~{c@un+Yhu7p4d0;tQa1Y#zCpiDzDX>} zpE(NPU66pn%2@P=#K|P6pB44b*S#)jctX_EQrDw?w%9Len4=PLG)iX;od_raW+Vuu9qh0;*k0{0-m zbN0ydowNc#-K^E3;r~S2Q5Ie)1BgoEc?-bv>bBJy&)cGY?E;(fEh){ukt|~0MQY$_ z$TAdo8tXsL00XtHwP18e7`XO(Lz8zU4%dWt-PBZ{;Q5sbW^z)zF-A+O=c@A81@Pko zp0JJ5;BejqA5z=XfCMfc;a8ktIJPwVOwl+_e0beg_y>MFg2&4tH`d~!MR+~&LU_^R zb+1U7;TYV_yHSDWFNfY1E2zI8^`z4%4Y{~Z!}_!$k}}DhpxcnHcuvCWozX6V+f-~$ zavNls7_#h&t;zBbcn;w0`T8i9Y&ZNfc$auMBg&a<^Z?+?yo)Qnts@fNmdT;X8)-E^}Ok1kNN2d3&UZwu+%98GpPcnW2oUChX-eM}6F>|E1M190o`gxJ@}6c(NQ`G_SKb;`1%MpX`L#KSdm$_F6$Xd7C&N zO1y23+5RTb&rje3%!{Va=Kzm4Xp;w(r00F0=i>|NcWa~nW?2UHNtWLNdJo8wR#TEJ zV?)o)JS17j!y)1==^C_H06(8{1-{%GOvbTLAKUAHjd7=$=f3IxH{kgX;Q7(0&&2bJ z&?oPwt&`Ffz+J)fF!1(}Y-7Cr0KD;v;GXaqJar2`lm0nJYT)2r|M<*K;PrU;#X`=X zpCZrjjk9C^Bdw(8CsEJ$p1p$lWt~1xgfCs!BxB-vZOC|MLH%-F`%LQ`rk(#&{}(}) zluzXUI6FQXJo6qwJOm9LCRyG@{i3LU8})NzYkI~PxO;eq_>-t7b*q0G@RQ3{KR}z+ zzlM4!$dxi*Y8?~X$+9tM?*}?Ri994(zJ>k|0&j;x|G$sCBv}pw&)Y{C@MXbhv!wrT z06&lF6N9Oq-_@G2?ssfS^>4SCa{?j&5WnJcw05%aDY%Ou%O4{CB+C&vhuTlP9T0g= zvP=X&=e_Nxpzbi|V~0S-D+_#S1NhQHfam$#@h)Ee(h@KIW0zk;mdW8`iB^*33+VX@ z@wR2m^+^`y`5RQ9u5=|me};NKD+7J1r22IrOIaKlWZIU=(zkfo2C^_Nei7}EWVsan z{1@IO;>@Npdj)p9gI9cX`o-Ho6cRt1| zfO7$EW~^Et9epzK#+9)$S*|XSWxcLtfj{(N9N!4g(}K6Oo|NozEbKz-P6^*jc`5nW z>yU9M>Z#}NAiu5V^`icR0-mSrz;nu-jB#d5Tcb!6t5$m=a-Qz6J*6e1rZq!!3GCM>jaB-Kfd@7W zX?=`uc+nglHMA|i13S2>e_BKC1rNi~ch^WCfXalIfd@Xr$C^c=oXJD*wE$abM;q7T zCdKNUX{i<=lQzbIFY!$%v-}_S&IDe!ss8`ZJxGI!RHRJTkV1q+!_PLCeo2L>h!814 zWi015Ns$bdp$r)ch0O4L6YB2(ci8OsnM)A@hi>$|?sUVB*2v+p_Qo~wSX*V)h7 z&v$>;cUs@|U26}|K7B92OK)P6_xOdycKtP>alT#jnJxXbg!=3D7WyKh-(mD3Fz!L2 zU(jOFV5@1u3UHUm!G0fO5{VG*G2JHHmkoHM|55ZG3%`%({KrK1$-Sa)U|L6s=gD^7 zMzgRaK91xV3HJ+md5g}gh}&O8FYh9o#IMM!IooeDK2hSL;=q$E{4Wf%I$oKVM6R?y zyYFq|e3R%qw`lS;@rh>{kq^3atBkd2!ikZtbC}|6(ccs3#`#a8``O>^AoT@OU2TGm%r$%=W89=YA7cp{@&DEQfG~R&Uxa;Hm$&s@k&OuSNGeHA@HnlC5&7 z=wYY-QLdJc=-ZCgyGldOfoW~)L(5KAh{N5{dmAKPy;5|&leV}{T9DP<_6tR)HHG`XXx=q%<5lJ8;)TL% zh7hljz>af9DBDQZuZ=2-^*B}#lX#9hcP@(_*B!WmOm5yV z|I_2S70xw%tI=UaS&jB9iG#$cSPuE6I55k+QqdmBaUAJ?SdP9=YhQ~mjvS{|iubG` z`}pV=ry6Iy=5ur>=^#xDJ93nCSXJMSQq)N$QSFKA)iqCDZQ4c_*4OB~V})*3A1(Ut zTj;M6{fZX)t3{8DV^#JP+UeOM=>l)gkD|V!U`N$rVwMd2xNO^`?@80@`|=p*mxx|^ zO?Q(;=N(sKAB$PHpJH#Ze*bgG`21P1aZAw1@kU=#yk8LcEEIan=)|WZtuC$mw0Wbj zGbRnd5XtmNU9Er`#Y8j0>`dT_vy>!(`rXP zdLI@2kP6-UVh_=elRh?Ifqb80Z%0EG_Up@|h1sc3p&bG z{kN#l!mOJ)z`8HVy5Gq%<1+%A-Vx$6ug;S;l?;2%%eetr2&S;webI#9SHp?Bwo@w=U<%-(LxmqlML#>_(@rw#9^gJlc7WPHq) zgT*I$FTNApY(ehQ;!j@J|B9A9*DD(Jrc8Zfo33hCVUFliun7ud_QRsiA8@ACfuf&V ziEx{>HxN%XONz~FA`}Nn+nLhV_>2V1=fsCIRAP#ih{x4uMgNibOPXjm$!~D}WM4P5 z)&JHW-M>#kTPVy&9~0H?P@A@+qF>pf?aBkR{i3wJQ`)9?y7k`K(YdAVMy&mmdg9Vz;UOcR4g>%J;FQ6Gt_%(}0L&K(5HU|6?{G+~AM3ys?463rLW zEO2pO3;5VQuQw=H|EfZ_`@F9gy_CjYxq7M6%PTEgnP&=Hp`9d6n9qgQhQ7y(!n~l} zp8l7(sCM<{W3oc;^BiUU$uTb@_F6p*H%(R9b2^Ie%=d!*;NUxDZ za`o3Fjdi-OuD6oJ--^QxeTg3-!mi7*ihG_gTp9VTsA-m4S@g%%_RFGMThmSdrMcEqHv0%ua6Rg+ zl5a6=I;@B-k%z^T;a3p-KJhnyu!@^Uy8XeBk~m$I<%PMnPr(OJ{wZyLFKW6jdb#LJ zRU+K9y{Qr5rMCRK6mO;LqNT-$UQ+8wH~dnf<2R`gi>-@R75(xG-Fj*j(HECKwnk-c zMU$HiS(tUxg}J3qp&bK81Er_+83v zqNdipNc26TbzJfHaW^Q^J}&EcpI&O+3&iJQwdY!PYTW{&M?Xg^tnZc-eWqy`>1N#$ zqJLQW$j8zJ>-67uM(;PIC`9J`!aUHY&<+Y$$LSC9YN@x%YaMd1xZS9X$9K<= z#|-GZkIA}^$hrXUjf84Z@h4*~8MK0AefKiaqn`~PSA7eMewS$&>1N$Rq8})I%E9KuXxz_<%-86(PBGmH~cis7snarsEpCyFZ{_Z^!JKhYRz7$A#-|p%vQFGO2IUkE&6dS^!JN?bPIh) z(cxN_(xQ^aUb)6T-uM)@QrcD=P8P-1|7gLxM_BP1&%yk4B`4kr{_^*uOXmIqaqQs=dde_=ZRT%0%PQ_%T5QCPwLR1vjxIAS{g zU9x!IrsQe|1XG-q!nfh z|8L?TQOY&QuA;C~eXh=3PYV3amQCendI~94%$D~GyJ$r>v*j;pyPoLwtS&8#PCstn zg4h2_&Ds*L$rmPfC5?1es^62hHx8|g^SpZMhBI+P@o5_JKUb>fK8EMq=kSQPyC_EI z7Yz?q_!#}U!k4_+E4|GMN~pq)^Xzy0CJJNG&l>GGSNq9*RqDLqAng=+YUjnqGXKe< zyfe(PkpS3SV?XyC+BIfHVOZ`~xWvWOyM9NTr^Og?ys;;+j33bFjea1qy$9FUtZ|Ms zTu69%xKC%jeW-eNZRK(MZwux(e#!f~z9ulgRGK_P6yplT?%Ay)&Oa4Lo?U_J5?2rh z-YIlwEo1Y1+G{GDc_Q6yTsm+u&NmB*jZ5`88-M84r(ew5ZxC0XIF*q8XEdR=c5mBdpc2mR-#!a1LhEy9<*V4Tks{o9pn|DGh< zFCVxY=j(*T##i{>){xadwu(a_~QFxl7 zM4GoZlI^zo(N&tj-8l1{;b|4T(cdNfP8A!!p4e#IjkECail@u8{^DuUA67Vz1i=4_ zbG-f#8<<3{LNGeuX9u_^=#gWTQsS8v&L;64!rN8v$hc<`|1M3AX_@`GEAcz=v6+rG zjK?UyFdj|$oS9UqhNPXxr$v~>z%S(C@;nu+*~>4ic{X51e?@0>W~iubVUfuD^Q#g| zKkOClx**iX;WBa9swJN@ci3EL8oMSZivB6XOClxtpGZX@v}8->r>a(FFMilX+VHDI z^GB&w?mF0JQQKRSoc8}@#drH!(Ub3zo^}qYUvh;ttVw)INHifw{Z+Ny0aXL7S3h5g zD4yO&KOJLJsak+vxkFr~mUe9=o6OU+HM?$?^vhbjy_&RLU-av08Il}scd*a_yZ9fv z!?fZ~bX6-f2s#uz!LmRkaK_ulLoL6d^FpX^IP&*Z;=7f5aEQ_k6{ zwiq{T`GmH3mq=|*`adLPK|A*>%p3RVYzVXGM;h1Q1P65PtiIp_@4>CuITbx5sc`;VVGUaF0PfeDn|s*z#lD5 z(=+ZnHiXyUx=1*KFuP`o?zz-{d1&-oMc+J*HM{d* z^xH*$b!DVq(ZQ}k8SH)1%K8f3ut6&#*;Q2f>*wQ&3bP%r->xmN4j*RAA{ux1L^@aB zJSI1i^zv=HG>Eoql*OyT!lLQj9mXA3x4cJ2LhguKDbhG^GFmBROwxZAwPH2t>%(cn zKR5OWX!n)=LudaTGDbITpAbDh*-{wxK2a_Z=QoP0Wh{%XCna&M3TNZMxgKk($AlL} zT#P=iG+Cns|7+pxj1Da2Tv5(fOS1!tNt{a@KHS3LE5h^qAxJADp*l+RU5#EC9i)5J zDE5h-PXt|^Y8hZ|*}?w=H9I(VSu#=_OAX>*+E$d+_Qaa?7qbb^S=oFTk*aPG-LJqy z^?umld6F*2iW$^WZ#{etb;*NZxpk zypcYS&3cl4)c-tAo__1~{wDg@BjT@LbTf;=q;Aje;%Kk31K&RfV%jJV}@%Ds+>$i|G7@ zs`Q@zhjZu`q}f$h^WIV7{D;WFGL-CBxm#ZPWhKVeN_6Hoavs(EuPb_-yKJ=dvZd(U zzvFJ5(agq=h%?WB*5A-_qv!{S?(MxF_m$?KH+nnKoae49014sRjr{EFu|6hc}dt8qpirs2fHQPtd%Z6NG647L`bzRHi`7e z@|I^Jhif&u9~ENfezcf>crPwG&r$zGbq?g_E z_9Nz>>!iuw%s=tKNT^>ZI(JC*g-wO~zw^q9_Vy9gnOVicDO(InF{Cq zh5UL&HyaB(i2h{k4dY_b#hu6a{vT;U*;z>UhEa?Idj71!*>qb+`1rh&!QuJl&4Zic?ES%>4z~oXUe7RoZsbS3wtMvDJIeWC#}nBI9_HJ z&V3$we|?NVNc}3*T1ABAGCO0otW)t{Y41+k)v#`DLfY5*D-vj+Mv?7a=vjQogee?; zdYt`-xSnYqU&@DDU4K5DXA_RI;pPbH?FqdtePzO?}3^g=QhS33yIt<6hE)b*Nq;f1>fCQz^q8^X?9R zW!XJmUH*;UVJ4No=v=qALiXvL-idDtyIdyZEv^pTB3sI+_Y*ZLR>MnBoYU?+$BKNJ zi=TqdVI3Ou7C27#lN_-f$uEZ!?0w2viSY7gYmP|nH+J1*98Ol_`$*Bu;Y?Co7W(sS zBd$jG>#0 z0fp3OY9Wx!Q%mL3eV^rG+S^s`W{F$$)I&XeYv(o(TfF@o438N{#&iBUYA1KuUK;C> zA6pm4WH*Ms(lvwR#sIlMho)xAP zu`N&Ip*kFfvpJ~)KMYKMOv?2WCjgnI|*kW><0;HJ{od0<<_t}EgH zsXI;RwR<}!G@7KMFV)<*KL3LYRO7GaS`Q{~AV9vI{tIU(P+eB?VcxfSqRVx=!vHG% z7q+%TvxkjVsGxo}T_Z}Kn`!vTG0!XgHP+oOg8uTgYTEO-y}gcIj}_m1ojAt^vGm7g zSoiX>*oyR;ReaLj=gTlg+-ILc?h13-Z3XG2X48>?&6GutI@fVwsb30+ z4!kcacYIpZuZdwS^b(}~udaKXEVzDDSPnSILSNiX#t8E>I5b{BYy~+~UOq&wCJ5D8f<$Zobe4s?C=s;dm>#$8ODxZi znxo!nW$sNs!|v{5alBu#`d2YSq1Z>=t8449#I^Xyy4rCGjGu z>5?^8^_MsmR0UN(?a!cJi8aWV+ESQ$#_D@OeWi??m?luP$K@nS`d#n18_Oz*p>Ko> z&fFb{;#$P8QGMW(e6^I?q7t_=+_Y_gus$rq6#vP0Dw5bQ&GdFQX1sc0aA7&h{_^(} zh$!o@)|o654dUC+5hc5#b_KdsA?Lt)j8-yV(uH6;Ty6K=Z=hKqeqo^>fk`%&X02S> z=&=lmZ80gbfBx5>xo&1gp;xnoY$o2w4njYjsZet@&o7-#Gz>yzLifv(TM}C}J{>&d z(swAQ1nGKrp|t10n@zG$A!id+GCr+TwRKJ;5=h|eF^}N7FZc;1!vH)AzZ;VQ1w6k~ z&W=qpvMN4xpjWz{;VNf-_2ixK)Luf*?RG@JzzD2SBWN$wXnt|eO>u(@d;J`hL^vXQh!`B&?s&c(#C0KQE}Pzt6CCIXY*4s!*=imSbm|Khu&B+=kc>Xw@t4E!PE9 z(~3McOk%%#zLiemV-itymE0dQlsZ)Zr86*>-C>FJjcRKVAG&`*mF(-bo7bq^g+$=vBJA-%2nE+f!ufv;V?Er z;ag@o4N9+{g`LIwm}#9VOb2jGp{Lpu{2Gxrl_j{Zm+=`~-d?88N9%Ta$5uc1Y#8&+ zsFx3{eX1X}I+p!?&(?`Oy!twG>NwK}7hc@$1xcDKA;7<=e*rA{9?b5Apm{435Vm<- z?dP4r^}30E_u+*F)dwNvW!x?2L~DbV+!$-V3IE0Y$2=qYJgUFd0gkkMGuK7Z_DM7Z zkrkNEG!{29eVPV-xkQC+hSmWT`i5upOm~>lu8yo?R|m#!|B^zdeN1x{b^D+71i*1W;RrGRFSs zqqBqUkvE^bR7RH9;yiP>FFj9A%+KkD2E?i@2U7in^8ey{Jj{z>ykeievM#GF9aHRO zhpqF}5pN_3wzyunE|f|#YQ{f&x$eI@DDoREEkZZbz|Q1*(7?97GOvew87DYX z)HA`yN|UqcFY~*awzi?>K{1x(NS!xY(9MX#TGUcrk0brrzJfx z>#dDVHwIVQdXfy60{AP!<@_$ElM2XY45`x38x3?I_6}>oCh%F+L_&Xl>nF&?OEXJ;&4zxV( zpy>f7fZY7${HE$=39t_N#zuSVOW`4doi{<0_tgTqEBW+3k^Jxdq^!pMn4$+LI8>)dg69~ z!bb*FK%Z}fY*|L5v==4BbG6uNoNPw;a0f_Sek~*%!~!?TF$q4?m-_F^w#1dWQC)m| zDpSYbUC@2_v*`G5Sje&opEqv$G*8$;9Pb&IW)H$N~Lz`cclgf0MpFY!*G*9C@B zvPTIGr@9~vB!{656oH#!{sy5noAB6sl@S*`DNw-ixJCGbY;IW7-Tfj9 z^%upa`8gBIH(4_{9FOFmCHJ)Awuf-gpeprPWcT?VNsop#EIAWPA)z!8^%zyZ zAN-~_0`L~D5h%u1k_z=SD?FuKxpN(YiPqGR?x28c`LSw0bvc2uz8ZZO0rF0T|0lGEkPP}!+?4ghlL&NLUkF%vuh50?gJ1tAXq)JbGhURThkHWfv>=^>KF|evl^s&cLak*T)lA2(<0uG z#-f0~$B=V$&pdnrY%yl%{Zl!99keUz$ql}v~*MY1Ht zQT6NQ&%Yg?#%be%Nk789r68E*K*EgESrWu1nV%~TViV7YsS_k4uWJl4Mq3w56VM

Fx)3CQaszWaZr`cGHDGFj}+V6pPA^==U;xurDI+5J?_5z3iCi&DG~ z`vA?borl@BqYN5pLKHtsH-zu!fWU8(y&Ho1LX{r1yne{@T{x$y^>M>qc|8>g0`L+p z;F9fDUk4;_4$;x?YD%4ou52!*$P6eNkx0JBpv>TWsx02FE(U-tlDEqes$~#fl`>mQ z4{Au0193()!hmvcQtw6x$x%uC2eS`#Sm6)KXQzL>&ScZD!=K`fu~xKvdkS7CAdbH` zh|s`}7^xQp_Qcoh3>$A8IT{g)W5)tA`Atbz{!yl+7&Mzpv0ceRK@NAN_%keMD#ksL z(nRDVDIj}G6$oi}F(#rmy{z5}*&f>gwn{E6o(Zeyjp^Q>Yl`Wp1 zTp$ipxBYv#D8Wt};Q8w9VZES&zxwaFo`Jn0xHAu z`X^&QOX3}{VRqUP2u;Krayn!W%s6&t zrB_p93-Dc&XZOdy&?8Dh*RiDskCX!}@LgNQ6j^~poK(RK(^MC%v9SC${H@P7lN_2! zkZ6i98DVAz(J#bVZy zJcJ)cOsF8 zz0(plf@}vF^;5tO`MC!s5y8jKj>pxyx`?|!jJUa`$rmo)Fr!uDV-8kX>Z>$Xw<7Mp zu8*qbtQo3a)GpP$vzl#$&YdX#r1!R53~ z)(siROzU3+&b7F1bA%%{V4FMuuuq;UR`ItQgAH6dsd?=g-5i6IQ!I1SoZzo>5!ES> zDIvLoC|@;+l)2@?1A#zYG7CN0U#I9Ft$9Zqy4P@3olI2pAd{f@nbDhSRFOFDViM^( zxS!mOEa;cB)`$)8k7Zv9~EU1!CCK?_C)n>v!~x1scu6!xGBna0(eP}ozMnE&fpv> zhpfGtb56#pEI;;O#ads0Zmp2gBbs+&KT=K39Zwz0rN^OG4qp*t6{yDMQho|2{6ZJL@?t&gbpw*3zu94U?@;*u2ZDFm#3I& z510;F_x{D3{X8Nm;q@1_1Q{FreNa`mijp9D4Tgz&e%Jz!(t4PH-X0)|EK;lQB zt&^lMpF-NrgvuMLh44_ae>6A*m09P=kz95v`sU0O=`J-zYh${0kKfT7bMlO&a(XLB_rsBX>#f{MOGa=y`hNDxhRBjv&l} zbTkb`oqS0`I*S?#JHK!8$)YJb!(Z&cCBWW9=U#N{NY%m(j9w_UIIb>{vO%>}_j2ql zscu@Li}>Q7-#1B|MRcTv-VWxGSl--u9^4)!YETGlrdEKioMvnfYK$i}9P;EcKf|ok zN$+B9Kp9Z3vGq*4w8`r?-hpQz*_wY^z2sXY&`vMfKxe^WGUHw$b|mMr=@i|=o!eu4 z2{cp-?Z#9mK(!XiP#4gGBK^sLQ2B~;!Rwei4!$~1twpMbTgR<#l0_o_J>~$~HxMo+ zo(`V}_vo-QX~Bw&J5rqq%pS_8*z*&{3V2yyMD=_NVp+k({7C)!#pUe)`-)T5onE4# zW~O_SnbiMP8=2ps`nOb%#PFuV7Z#-w;M;k-Q@Q*umtX_}6vfNF4;k7qhdXE__O=HI zd%<#zmLBc-n?AKxFr_8^me4_H6^Z0UCS}@g+-Q{R$qg9CW^FD!P9QUnIgsd!^E|%& z`1D(_y92x21!i!v_+?F!aUpGN z#*_q;?bpwcZ}Ygy9iX^}QJjOgA5tJSl?Q}CVFVvdCL?gCRy*1?uQL-|l8 zIzHy%^Khxl*oATen2tj_HrT;tP1{d*+1^WQGiGCFGTz+A%L?;=KXSR z085j8ntw2^*Bl<#2>K=kXK&=JgijejWL$Tvs3;{72WQV(k&lYrf*3AL(?WWYn zFwx_#y!h&YFpqciVd1B&y>UyjN+@9TLLso*=G5Fei7adk|HCS3yF;aX3neH^Jr2o1 z!b02R)I7bL?mX3U4?7w~RNgI9$w5xj zp0kZQ!hJuUed4-?aCamwBa&c=83;1D8!(IG*9t2*6g9(@VWT$om_Ax7ruF1_qS;{) zB-zvr5XF3WsyDLa!*?HLCp{K+Hvg+*71GpIiWhVSN7-!oQ5Y=EWFzCqWbMcu!uz|l zQBy7qp})jU8<2+#E+KMPigFn(fQ*!|)9ya?X>*etAw!s|7vk z@Ym)mlEUq`QpPxF(HFLzRs#VW688i)2r%Mw`^Ug@r zTmFU-@K`$}gtCD5Kxrz&;Gjy~5lrgP{X_w*lqjW1K^OW+bXH4acCCK^j_Z)32_q_?Mt~wGlU*&y5%xX1mM^_DUgZ!pSaZ&g`6u)ngQyjAW zaOPgxMgdRABlmJz_&eR|#zwjn%F0X9_E0yOGU&9KCz9>?Vi1KJ``Bw6yGFV65Uow# z3}ULep;q~7>wi=WGYE?2>Z6*zpZ^)Q*1OT*7K}|0YDy*Z^$rkwBf{Lmn!Aw_<|jZ4 z;4NWiW^V^GqFUBvKP0Xr*GWonGK*&l_a0r#a_`yfeT@;9VI`&gVeCS#JzTL;;ndT{ zi`63v3lMr+2)uO;PVf{0YT?uH5OF-nyY{`eH2;8%<=dNmE;S+f=NYFTcS-oKDHU!V zo_C-xKVV)-2)<;-ms}2(MJ*>heVUHTl!8XOnE&`dIxX)g<`g7on%@j3^#loe=J)<( zZud9LrATU|rUZ)gRIiyxMtn#edkBH=vG{AQD2(?QUe>ps(^(Ux=d^+$!>Mk_{`sm7cH6{FOjn)D-ng(FeTZ`ASlw_KVZx?moqX1 zfx);-_(lMmGFirE2rN*Lqr_Fs6y-kqDDx$o1bt z5U%=%H^ILrE#uTW-LvRclzGx8YjWEJgM67RX(>YpROGphjaodMO9V}+d*Fe&lJk#g zR-oT%2i#m`_xnB6W0<+aCZGESW%ZWreA})L{QUP*Si5A;&)ELHY6-HJV#A%9fQyR4 zm;hlk8fYTBoAZ@0XgN%K*``1`N0a}zNK0#E$x_l0p?@ST^a#ZPuAC$`3$B<~D$3-)R5CN|>LnpC)@vr>KUp?UYdVV8uqO`oYf1=z~u}!=A&6cXEdfBgsOPw_JL4k81(Zr~0OO(noFhIG+RlCWA^#hMU(VP?c zTkj8S`|2h#3Ok`5{YB5e^td6_?X&*ar&}QolzWp^3{$M)0*H90wAO|$0dYT-4V9SVC1ibUzXp!2j3dQ zFvcI}I}1LBNuErb!wm$<{%j0sV;^hdaa6#^zAoe=&k6GhNX<*UR&MjTm0cyW|2Hz+ z9F^Obrsenr#ndNuFE|=vB-{5F{0vQR$%%AA2Geou_Pm^V%9R3q8!Z=%tXUXc!z}^o znjIQsqpLXk+<-w?WqH}%_f*J(?F0pupPcL>wCv{DPX%-?Bz2)akp4lRWDJIMo^d4m z1|18?7ap7E;O(Y;$4Us`muQ20La)qD!n}Jkh8J7+U#$(c#s+HkvQjo*n@1)me}gZ(75+`lcl}$-#%3cq3`#5QG|TE8cP3*ULI2T{P0oc_Y1GZbAS&D zDB{RRFF)&fIsPu(17?Dz&Lb&`fp~ zL_t_+<4Y8heUl)(ZrT>CVl!oFpC0Ds2CUO}&XjM%jYUE7I*ro&K#S?$t_I5@m0s~C zkV@WR%Ci+=6TpD0h;44u+Z8IhPaX4PsM=DAWr9>^EM8m^27a?yA6`QpkrP~kY|ASM zU|Wi1^%F5Lx4vT?6r^(NJaU3hh2=uip2?U%c7k2V2G|)QxS5}ms`QZ;pW)w~_w!M$ z4`N^?Z5rH!ANaKRkbaKs*xe=0(^ok-g8fV>H}m%ZTU z3@qjm0Bq&_^m4ajAFzbvrfwU4zA^7)%vK+J_a6;v+c5LsSrP!i%}rZ=5T%{R`!yBt zOO@P5d}q}7bbkw>#TcR#uZBkX*i03Or%E0+QHE!kgoWDf4g=V44ZJV!AOTJ%Z}5lt zKd&$W2FAa4WlMGE8ZFG>TPC3~}!tM9CiR5TpAq!!+vd?AN zbA@+AlP+u%9E35UF|L3LBm6FEfqw%5mwFjsfgD4_@sJuFA~2p6V2Q@x6%7OC)OmKi zB{K)>S;6j08|c+8Oz!Sa^`pbnUL7T#E0SLM9HaN>n3P#Sa~ zu{uY`rq7r|k&B7QU?y{A-Z_+?$47H#oQsFC`kunC!VnMhUgk|K-oh7cA_fn<16?+v zt@teZE;ZUu8w{tv1dGC(?uN&#j#c)%-}>?1bCCZ=qLJ37y{^ zmV*MQD0?o0roB!l5*@=Du(*2hg8WIsOO0#1YEBViM)~1-R#9uiq&h_jkl#$Pa{@2B ztuOkwSIIdVjdQC1hPq09cL~X;uOheDz#@RWn-RA$w9JHCvh1&XSY#o+1BE$g^k7ZZ zG`2V!*(F1|zSTY1_DB&@k;38zj?1@H0MI(?6AR2R#qOD7k(`5dZ@gQ^EG>;y$?bzg zf+Cd+kWT(yW?k2XMN_`rShg&n@A5?JW2<)ojSX?F!4C$N+$%*QS%^TF#nCewX3b2xv9~6Du7OVGLJvib$qJvJ-(%yu@V>M-R35vS4kg;tlfqic_B$PoH1=sU+Ei|i2+AZBXwpf{QU`Ib z5E^1qM%D`tQt6O2ajpdNb6CTelC?JHlZHLTW|lP**}btXP5t3J9I-ZzwuuTVRc)Q0 zslZp(>f$sRZzLXiHe=S~gLhh}cv9tGyFn!4o=(c#PMGZx9 z!XBD-Dy3<8Azt-vH53FDKi<}j^LN{El&7X-JeKOfcyirOT*;Sph4}?-jKA9gGx;ry zeVWwWv5Gbxsu1(_vK-jGKXNBJnXTxa{MU8+;bqxWYjxZY80#o@a|ceQY&-V)8%nxD zL=JIayB(u!`I5tqeB9dW;2xY;9h}ekM|&u_pn)&4`Lz6AV}80VbRz|`UzY9v3z9fE z#XW(y9KCHp(L&v>-o`$s)V{U1upUGrX_wz~H%lF4ioBeNeCk_z6@IUyN4b$dH9+e2jW^WusY9;k)I*iZlAEh<%<$B!z6nwk}syp zhow%-vk?z)Ft*a(*hWw4AA|SE&d3VVwBNso)J7aDF2PWw5hEvaM3nJ&?IOeswl0c+ za~(H$yA{+Uca~M^&l!IW%UG7ZxNN2a)bhK!JPNL?UU+6VBHlof-v_*0kz#4{f@RJi zVj%1&gjzPAk4;?WJh(z=tx6OEmK}Pu?BoK5B~rvNM}6nmDb`@D4bb(g+XOsZIS2hD zERHt7qxtuf_5d7!7K-4W2m@xL9X~B1og$?Qga4Ut4z)0RD=SX$ZHpAVMBaA!JDjv# z1PqXbFce`?vkQjQxfWGSvO0re{A-N~}c4ca%1=J~+C=~Md02~eo?-BO8I@V&dX()Wf~ zSLJ_2Z+^Ha2B`yF4v%U*Q(A_)HdWy>d)CwdwLMv9_{aAr%`)-h1mt#|*?nNNNDh&^ zY4-7~cmd-Zz-Kwv*pL>yD`5!VJ6NXR0bhg^zS)IJR7;dqLnz1X?O_cO^WnOWGXs=p z$VBu|2X2eFezAy%D$c=k>;*uSPdEKfW%fKql&TIk+0c+c^>{(Xz6$nAoz!97jRb`h zG;n~TKZho06;q^u3!ngb%Zo*zm+IB&=Kv8{j8i)PC9yn5*OTy9qdd`i(Qc-Z` zbu$dBe15=QEsI{7wbtbOpri~hMzMs)nt5E`L~i} zDxiz}yhZZw?Fx=30;%vvMOMPw78>3BO~)=(;GT`Y_6J&Mgur;MK>?glpSSNtR)9vD zWw{_+X`8c5NcDqE*2b?8OT>YHB@OaNjO;m0D%|&GKUDuoG2uU%n9#{m7URwS^dB>h zhrvb|evuFBsiW#Kxe+vBv*K1_c!y}a6Ar;6-v+pMe;q1U(IPvuo^5#xt6Y2xrtWd+ z-q^XLMm(foNtjF|zqd+w5$`g#pEV^$aI=>Ac2wL)(Gw2~Lg5iY@P2+Tr6p(*TQAqw zdH*SASnqn#AH+n%xUkfn!RljG;5#_L8w=wSGJ}kF5*=aN9-SA}6zY!Rr+)hE#gG7v z){|c+2z6?}30N;t#T~wlX%)DL#81`Ng=u>gC>2DkEGOH*$CD7C;o1kt62resb%Dp( zCbk|W+X-R8H|$ol^iEK~&0KS-XOxpbz_V@5+5SBD1Ujwa#8S?D4uM_;^8RF~dk(iA zmd#%+%7`8u96i4_i$;%Dibj*i6g^;FPOuJsf0pm}ZKXr+*uMaC@u$mGJ43(pHTEZT z-(%ru>~hCSW!VaXP3@-$(RaXvl01SSX=d+>L;fsYs@SPRQNr!Y9S! zrFQ6&Da-R@X{XuXcQ(*<_dbDs;%%RcwAw_E;N?o?klL$>r|S&;M32DbRpYd@d%1yA ztVh+-j;EzXwm0|n({I!LuP!>wbk8q;$Av_fcK8iimr6#cq%gx zv%SRpuC`tHJa}6M-&GwN3uF8H*t$aLL8(~dod-ITmni2#`RPeIQR?yueiRkZzTrzF ztB0f_#bH`%2={kr+|Gnere}6hB3Dg|Ob6C(bCBsvKm7#i^%Uop=QHi4PmzZq-;j_N z{=`6rFE`clH7{i9Yq6sJ=<9iD?bJ1WI<$Gq)0AtM;mhMq)P1!Q=R{WD<)G|tbCSn< z;UOV+0#IxV`-Qaaor;sSDvQ*E+u6cX*j?qyY?4}5@(}ai_-OnbYw|jos~z>C{|FTB zLw2=HID;rN5B2j3^ApGuWYR5LglAtaH&rZ;mqMGaXZdNK4utxgpM*sJoPd;K%VA1^ zb#jZJe&kY?<)cB2KQ!-}hIdhjAy#%c&G|+ry5&`EaB;J!Pw>{=pm`ZpB zkuP8WYibWhQgfQ&XZ+ASUgNLt%@FRllwwhfT6*42C$IpExJzd>?bEL(@G|s^jA-#*~5YKOEFGgQPanBw?gpG#e zXT*KXJsNi6F@DmqeT`rBY}hHnm^hi%yTDD~>^ZkqSf6TJ!y58)VD^Z#VgAjVp!$hr z?~V}0%&m_~&Vzl7<5iWFDHT>p1uQ~;o5WEX>gqo5SwlVZkW`mcTi1$Wn&PsqO{hW- zTFo_u6opFrlu4cKg`$RJuv;u1wc|rNC z?|(das7<)g8L*MDTBgc~HVvzE%q}U#D@m_o!b?+2 ztP-m}H}|W{sG#ZA&f!-(z zzcSQPAZnC=qbuR5e0Hu{3t_{OMnvSUeSFnG6;XsKi4Sk-s0iZZCy+C;t91}MDC>c% zwHnc42lmcXB(_$i@WG;^-%8U8PePr_&ULa#rT6;DcRd zNqnV8jnCQV@)h?F8-c4vHVSkG$>KuYsb{m8M|A-;jORWTBsukh?prDqQ}$EAJU_&{(+F+PKh6;-Mpva=$tzAo+y4}qo+MRM1r6Z% zxXSxokxk_n@^$E?fOmKVZ|R${)|RGKzb*z_+}_PfL>64~ZYRzEEavQW>HFrNt%t`( zOIqTzu%%L#1iVrqR0gIr6yyBV;1ZAcBFwHfDYALr3KLwz;i9uU0cJ0&E$T$7Ux(^+ zbz_PAqwkz~M~Bh$`WGQ@*^b+ch9s+N54`CfJmy+!&l@o-ZJ}jvV!a zMS0s-jaaL-8?%fC|NNcw{^IxWazJD++#GDafvLJ5*}(b%XkD3WGu=F}ql`MCia`We zty!sAn(bhDaPLe7ve}&oFOw$H1*_Y}b?fQrx_)H& za2;=**GNV*xouK5myr$igMjgurcs((`_)nI(C`~Vpqn(*sfe@J)0Z{o<_mf0B;X{` zd5&x=^Nk!w2E=TJC}<1&d@N!}Hdc`M-9h;;Q^qsBUoR#tG7MW< zhVg_!G9L7VmFjaY0aD272{J}aJL$T0Mj8S#*BQ&%#386waHYr==;N_@13l_&RyU8I zP$zoxe_Jc`p|@}0z&N}1A7>TLXXQ)LRA$^(|IF2*UZ5H@;-G!;QZ()(%>KF8GbZw& zI46HLJPNeY^b8u+?sa&Vh^u_;d$nKY8o&A|!^&C}-B3;!T6Tl*<71!R^5b6MLR6}z zGvV=CUBr`T@jcgZ!L9nEjBWHNLF8Py&}UOow-qe{=IOdLLgfca+qF}x(bM&-;`hvSeykG^0ez~RZya`>CO4J^{wfC$J2fr?G#Q zX=J!%oqTAR5w9vX-1Gi&KQANW15xTR6R(}Pf8C8{BWmBX z19vM~e^0fCeZ~F8MsL57GFPj_%T_{1tIwb+2(!iX%$<)Jmxvb2fVo3UZQfGZuv#+Y zDhp;bubs3+)+sl?S$pRT>~-?|7p|tg=12&$tJBg5BL}}2xCesi5DN)83PPm}NH4?H zi-HyYT<*8tPyLYEDH1J1%+HrByUg@z7ay#r=A3eQUO+?oB=f4U_5RZtU{|D)vKW%c^wO3SL3g|WSq`B*FPv)8S+#fP+*1_mEJkN2~SH;6Gt1|Yxe zRQ$+~Yt%Np*8Qp@5qcjJL{^)W-6)-w<7j_Y^6@Vd)J~@MKh=(vNX*|Ih9=8Lr(Ky% zOxeqLM3Ly}mRX0b>6hZEDnwz@K1+<1XMlKFba~Ua6Tj#D{`8(=-3~{qP|mue@5X__ zH#t`?UN0rvT{_pA39b+?CuS#v^7m6OH{*vzNmKcezXBEt?ZI9t)U3KGyYZ<^4B>QM zn(4Ib;*@TpTz^TAQx%1av~?#h4-;R0M!*6UtKAK~mg_oBf~-S(-eTrDfoj|+K8(;t znRQv2=C-$qf+Od;cEb&!3Be#6K_y z+1wV2Wz!40M^58qLwhw7*amatA08B=%ft!^fb=$fuqVC_lisTY>MJgv7Dx?IA$m|W zyWn0%X1nm%8S#In7b>7u`s_9OGjzsuHE0(5_;YPh(I+A8x_D)lOYdqc!9!0DCRnvV zJu^=1i7LH0-&tLY_tzH7al>owKB&~N6Xu@p9PEeOTa!Pd-8lr#O7%wW-)}Xw$gE78 zJ_@(AT(|xZy|3n#?aFy@THVW6%zj!z{)OuGt;}Q7Gs&w`1K}S{4BQaP+JMe0_+NC#F zq|o^#!%O(2`;@Wk7WOv7W#AN-jcz+)ev?j$+K0u6_RBZD!qYVo7vXBg=lUOJ8|gCs zHH^BYFl2B7%$d3_%P7mDfb%j$l%y>#|Un85Yl#*hq11$mjc z(AC6EdKKeyWSFzBRVCy5GL8Rvu<<`E-ii3-jypJEt*4tgV`}hq@v*YdP-VL@*GpBe zgW2RXqSiVc)|yxc zuh~>Et2y*YuAHC9Z&#?Eh%>Px=4iLB8SJx7@Cf$iKo-Fth&`yve|vP<`}&>Viosph9S&DA zdBw{9)R>E9pY>Q6mK8!0Jf zs`V-``co=jlN?WDUmj)G*MC-N)-+oE@~%W^+#cR;zcqq*=j*=Cv)xG;mZZGGMjup5 z7$#+QH|dLZfj?#@jLb~$b~Ln>^ZF44@K_DbG<$BESH`B35k~%e&2!CNH;T3LlasGi z6M9+HwrM}CwKDjg+P-oH$t6L(nO)9!*8e&+9VVat>owwP=GR(EVW^Soxx*FpoS|5xZG4fjLX?i0}5fo9WmXZG)GPD6Qe8%`)|t^UcHDYC-tMd+@+iY~r>BaAX4V znmyg5t^9DG5;Js0Y38z6VlnP-6g2>icFMV=bc!Ot9yk}w_ifNUx5$6kiG29WyZDAn zG$#Y2;~o0i1b+uP(XoM9gi(Zwu-6PU?K0YML+dIlKMQuE_0Y40>45tE-%-nfZ&Pf| zLcNdNSV=};`<-+oM*a zfuXh929NtNpKb?yUvfr}l1--Q!PCj~!$wtx-$B94L+Ab9t%I#mTK{CyYm-&VqBRY^3Q)9{5T@uRqLYaau+3%(u9G5wN(^ z5nJHzeC}=peDzgoqLc$ZBQ$>?bbeWO$GXRE7Ak1{?_z90o`xQe;rmmZA@7Fq)u*(# z&F3QzSMCDyTMwK*Lo5at(K!xN{n0)~jz$sZKPbBiCfh`hR{kV-fnvcf#~YY9X)~Z# zS#wtxyXD!tYyL$gCmEp=*R;cRGm30VMJs;&D3cDB4r8`kr=}YUf2ZtvE#xSvIm#FB zAV}K^AAl+Qk(zIw(p%D7I1V%mrok^*!P92FaPzzN%as3S3IAz}4X>M@rePL3lGO*b zUEG{kgi!L*6#*nU?_WZ-p^mbdHG&^s{@2m9$20wZ|N8ipN>RC0Zk42R>*f}=RMO=m zp>nscBzHC6Lxek+savh7A(cETsv)gZ<-+%Ahoy(q75JEX0}S5i+QdeP+S#a)`h6A>E5HWCDkAEi1>woV-IQNp_$+w3 z`J)zc4Bp#_wdts_oR~RR{Voc=tXp>3gc8^Gx|9F)$sv5g)A8>aO>vK8TEC8`A#JbY z=eJZvSn-!T^}5p5Npkg{(U;rRS9Rv%Yx>;d!R@{CK3Q!>s(3>V&ed$@#9vd^oa&=`g|>b5>3W8y)qh@*B!D0 z(^=L!uJy=h?7iih1^#PWdJ!pL>avdRQ2VgtS#a#HrnlkJUq3XIx!~+foP%S_zS8q^j&aeCKzw&7cSrwg$EEau7 z_!d8Ms;!t@0AEG@@*&qVuU1nZ%YTk1hu<$ytS!&b5>tiqD&Mu9+E&)+GPs#8gbu>( zP>&d4oZj5je5x(v!A}bRU!Q5u%!;R@^Up_&iHF@F_gBNrwQ8m|vXIJfa!{31OmINE z5$Kg6;Y^+=hF$2>HdA=M8Zg*L0`4CrQ6u0*ly7Zk|75Y`zP#a%X*~C_f<6ap9eRFy z1v;@*})C{+RSoDGtv^UDEnCv2d*y1v)I5FCd|16FrJJin2E z{o)(ulWNa#D0ERt_8Zk1O@WqpXF?wzP($_0H>zO%gE^|8@i9*Q7cE@hAcPBf()t^|vOQau zN7yV#uAW5>8QlzMExSxVY-M7_Mdx*}BaVxD@>I@R1h~8Ne^UQkn}{u*e{Z&u41v}? zdE%i41mmh>-*9HLJF_w7tEBr4vp+H|>z%{J`DwAsmpOVRWDj+49J}x)S$k}5TgX;f zGpH3%*36~9ZMU-_1D&=G5Q#Pxt4{Xhv0<$N{Ma6DY6_9R7NsGn0E>mzq0@I}rf)*P zzMFPxK2Sb;O9nGQ@i1NM709Itb7ZA2 zBcg}a(opC)Io+!^{L$sglpw)9XxANV#OCo3BaLU;^1WQHKMQ(RRThJUT|9XOPg^kM z=8vuVNo~yBHKz9a2QOf@Po7B)!rBeZbBM5XRl0Mh%80gB7;s29z(Ne{bNuwoubL7) zI(M3;2eLmE3Vl%NNG5H)@s^m+6kwp}^`jXq$qUZBaKK!NQBy7Uyp6zU)oE)H}5GNIK*VHQj860PH_*$WVMckeXu+Uazb&WCr0~?kg=yBcXme} zi)o+f-6r|h8ei3*p+S8&K)o+|EsHolt%9Vhl#xHd(PJ~?wc3j`ts;t0F0!wtw3sq8 zoKev5s9syunUpG?(i@dJ*xcx2vn)t!L*K92zy5I(muU)|7n^cFNz4v@_OPEz5o$Cl zC2F`YnqhqzDRj%PQHFLo;MJC7Fi-7@G+46sHaM{IeYUq{ojIIz72lR1D1~)E^~V1j zFyO)4;>ibArl9`DH6b;; zsrxQ?czzmiV={Yp=%=|Fu_fagH7qH(*)bnPzx06lv~sQ{FD7H?AsY$FjpbEB81k9692E_RjuZn>-lmE{1FpNBJI$h7uCtR`Fncq3Tw`^<>=A7bE(AND!|7>9sfRPKAb{@y|qbke=hM|JUoCIc`LVW;vGM zO9xfUbR0t|+*)mY%)AEla5u0Wq7T3siI$2e4 zPv3JI5=4jSJjXU4^i#jp`7xv$BSGpVy94?o1e4&!WDQiHQ>gy81tF{e$wIG-k_H47 zX=72T@tYF$`tO1QUCK$Ri}IN9oslF(Ukd%Sv3m0560QX8KQrwqTm*3=4AhCwK3lT>N znd!~Lq+i0Pk(T1mDPym9W1HZJS9I}Ox{oSO2N@=E6ka_iQOQs!p9eeJU_oiDWD4j8 zJ)ptB@BmP(kPpK5GcC+F@+eq+-NN!;4eJWRqAXaj3(}eyS;X0KeGH-uI+5S19$|fkX0F%go9XE>%v@k~==? z0Lv2^cW@ov>o$jr4Iq42n+jIC4dWHbS>!!z!o*QQtH2fuZ0W=KZi zqV~13(fDr<9SohLA}2qPZtY&1hu;t}e!c`@XD*wDi{d_su-RV_6|5oqV#}&3x#)%J zPON23#Mrr~2ehh7@TMC%_}JivgBz;~iLpUlw2jq+%;qMH|BP>?$Sa>B`Ci2s%m`2Z zZkzUE?Bk@D*@>FZaQJ6%7!oE+GpRq=qFA0gOZ4kD%uD`z)8Y(`jApKTq3O}A*%928+((qa6 zPph*-FdK0@Qj)=07?myHdgv91`HufCdq~7LUTZ7Kph1)1O(WI;2Hv=sOolzFJj;~e zcI4cK|KcwmoAoNju~@|OUY}S)-3~LYp9iZ#1m$80A_Ul?Es2nM*_Z8iMCY3iD3gmhgBHlHOM#V?fnG*Z;C z#pM38oC}is(+IgHw7NZI+<`M+!28J&AApjx$KdaUO{-bWepXLX=in=+n$n%>7g1+P z9w(MB$$%Ga#aDs+l6R4ZNpW7wO85-IVf+2z485%t(3egGFazGNbB1O%jFR#g-ccQ; z$mB9ig&j~OdOP@yKR#O`pKkK4riyZHRU)sl*SDB@HO^~2cyRkU`EdT)#iho!WsRR< zj^)uMjjTkCD2lJ1H&O-vzXU)(ckI5v$DQf!_O+_~Nbq52UoUMhGs+ySorS5vj4;4~ zULKnK#a8WgVz=Cv;W?q>TTW-}Wc5prv9N2`q^p>p**d%FA&&L@NrWh_P{wYa41xd^ zzSHp1n8~&TiG_LtH1IC@nHIqzL`0?b%yIIiI2@n8!|)%lR9y4;i}?ATp$Odx5-XLm z@1>R1LLWJ%fL?FtfZmKgO`V9f{OMiDvhW^}K=w91*n@txRnjwb6rP@m33N9<9EN4D z=FOq{dza`87s7%R8J+tXVz%k-5N>~OfEU5Q!tf6UoCYtbmV?rwcwd|Cs-u-MiMnfw zoYW7x&5clRzrMJ}M)wkh2-aVX9+wf=GLNa`&KVX&%lfE}N23JrKN~;$f z45Mm)-D-F9SKCYzPJ)Y-fr`lQ5G;GiG?CxTD;#&x%7v=Kxt;cAAUsOsz5rQrBOhWv z^t!@*u|Uu0N`|(t90h&;bt@XZ{m56xCYm3z&8>BqT}l9D_(7cJsZ3Oz&s*LQ9w51O zJxKW3(EOfQ;J-}q5Pmn1g7-+zTwpdY=gbcN6KDu8;U%$LEX*o8i8J(upHywto6IMc z+uv%+@m&KJxAFc1a`;``*I)oL+-$?`l2*g)HAaoS2)l3|t@kp`z1XXB?zi>Qbwf9u z!}t(r3VnU>C9<+ED>qH%$s=?W`5N`z!&kWa42A4Y_J~pio0x!h2R<+n-kO?}T8XXA ztCt3iKW_p*jy#pRm5^`*ADbfl_7k$@1=f0~H;X&Max(|zLGA3)s_H$DktegYpx?r+ z-;DhxY;6XHbdE|>UjRVZ!bVcpy<4GiZYdP46R5X4%iu5uDoX-K{|*-sBb(uRD<2S@ z4}f7^3rgi{Rd6r2^CPgg?wFYa{Btw23@G=-y_B==*U7$pW>=!B58eDwk!!!Y4ylNN4AIL4(F2J zfj(Hy)+nVsi-=ITE=sP_j$Xh(B~$0TQsV!!K@Uy6jnX*_JqMs68s5$+!uNsdakeI< zRu>Ob7vU2zcj~oGk*`6UXQf^IOYjlYl!%DI?;ZqoB&5CvCu3t7rREB!Gcqd?^NUwwCt?aP{nl|*6gxvPYw0`8F?IrcPLi(h%6z6qShd~ zFqt0sL@<&&@rv1;ah|gpPvlmgK=BM^(wH z4IwAUrgIV2A;KSF_I(~M&4u-u%LVYR)8kdzcc=h?z`_QC;$~bZ&jTi!PBpq3SH;P|ZRBVYH1`Dxw_#toIGvSBE%aLq=j!SG!5ax~0b% zQ&m}n{bs(uy3nz;_A0dg-RRakHvj#BSx=G7$Ikutw>0M2r&cbIsn z*S!XeHaw(h9*fG{XiRA?Xj?TME4QmgDsyj~JhV!5qJz=gZ+FAZEZpFE5VzrR^;oi6wFP2XxwQKh3g3lH)CUOfz7u(`TeWV)EhQsOu4Qp5uUx^_U;Wz491YiQ700(=&Ws-HB#$yC@?%d67+bb%@)Z|(ssx~;U!3V)+-)?G4Ts#Tr=-^eA=tVWZht; zYT+gtb?HhzW-PDyUcT3{w$3&`>CS=;J;-8t*zCkbEnRFgz2Ld!lk1ze?--h=P;$)h zQsK25Q`?djx%S>JD1Ai;jpbOTG5*Y;a-tdVE!zb9KY7(8fdeL8ifZeC06h;Dbo4Qz zz=&K6vq!8Cq|)gHaKWyK*4mnM?0Hip=N_<9#b-}!1S3}Cj2U{cqOK8F9*I{HwKY?z z+GSMwjztEBXbv> zHFeZtP%*SqKB_>Ed_?+q=Dpn<*$Hbe_tKu4Py+6f*nPG!y}3XG)lD@7mQ%v#5;fq! zGs)VIT^NgRt+$iZr47Fe>r~-n5{pG3Z@r10_1HAMI{)0=b4qV#`t2p91#5V`IBC1t zlaWZuL(nV?PRyXN6D%xT2@Aq2GwzQ00-a=^!n&MBYqQN3uR{yk1lp#y7O!DntvQyA zhq-R{9aX0*o9cv5N#`>OjWcPNB8Kur8#KxUeo1BY1hOc!Q~FPK5tFAOQR6RcOok6h zH)#lkF@3d>p6-?v&}iWLzjKlPxfaKdyH_P}<<`;R<@D8>t*_r87J(He6U?-u`OY4o!7{F`Q5ro#sHi^nK|v$Yr4e^)wdw!9iNi(T3VVzo?cR~P9B z^`kcmwb6J-GHM+S13uW=Ia?`iTzugyMCkHZCvd-C$GS{!n<`EgeF*Dqa^?QEjHb@_nbBAYOh*_P@&%Gv$(2HFj^Pkz_zN4 zzh0Wg5gH7eXmc$Wm`bImn%n@BMPCd8mnxR6zx=)E;y;_U=C$qFQ1U z`wzp{BFBit3bb&-jV$MQyj>0!zoHSPMOCx%Lp~Y9yvH7S>iktl=vk9S=38e?^RdOj zKfX=4&-iY$A&yZUPC0SB@fm{~*5LW0E~8=z@;D(fYizgutx?md9dS*!K|KWmMZ>Yo zw?;I`%Q=x%j4?=zpXlqb`qAl&>pw7fZyq+{Fb5){mX1+OUx{=5-LOy^GsxR?PmAHN z+cj|ggZAREw^bCsmY-Yj`<8VlLIkI+EYHLgu#AJ>``OPK*Jjqa56w({-m(@1ZI5$J zdk1qZnaA3OdD;T(K?YxXSdONfi@&xsA8a{#+y7|@io{l_4&tl;HvtQw6y;G4-zskH z05UGvJ*aleVN{#B`?k`O+V7j|%g-@q46bFxYZsdD@Oj8Uuz20!JwYB?liJIrkk?sM zksWcRkKmEDcHXYz80E}t)CYY)X>2Xl%WW_^gRbyCK-Xx$RS)7SefH2(oV6Kscvyyy zc5(%;{sK?H8$eYDIgRzUvL+)$_>Q)G8%D$*V&<5YS!`H&rB;l(*M6jUgVZOfqm02w z_qMj4uT`E`Y!L|3^Tk!Xhom*_aq-`yYKRjBR_nqyTY{`wAqGj9lM~MUW2~jl8GLY_LuX+V;}mB5-6qqD<6j#ll<_BwM(-hIMj-VW5I5UVpZBB zdQd9M`^R!ZvzRM?GzPm6Ub^_4{|2E>Vt@DT~>$!fi- z)jo6N#1wx6zaqn#(usMTb93|7UM?Dc7LEs0)&~mRyf5dfkP#BhI2>1rq3W&i8UpLzHH@ zvM8|ewvK}({sEvb<|n6wLdls_SwHM7NZacE@!lCwb*?lz%G<~*$gDk_^e4$>XWv0V zKy@Q&o;^Zyv$*)hGcZo;07YAl(!Z5g5Q)AU1-%ZB9H_W{{<)K+^zKw5*2pJh&@XGx z>fwFAn~koVTBTO`slt5A=GtDM7ZRD%33tRl_*T?HQ=jilt<+^;j_pf>tmrlgrUO1> zIE%J33xjQGlp#L*r&$UjX+cXBt+SwFc5U@Z%n$?FIbLo+FY@A}QS+wa>m+!2keMqj z_Vb^i-7>V;VqO%9KF|O=t>7N^Hgm%l89HdzI=FU&m|=;0zhq!*9C{H@ zt6Q@1+bWy(g-L7^qfN^so;qq_F9#p*AW3lgF^o%8&L}VNqko$f|J21I(=l;;<5<5B zZY$F!;Gb1CUTqKNO6D>`cqAE|uo>s(603E5DlC2W2DX&R6x#VHsna zWFDjyq%*f-PrH;-1Ex1HCj3~; zfa>6_DCXihtyTw43;4O{NBp_v7@M5;-Ky%W-NHcD-!Y4^82`A^cl1}X@c#u-*Ezh^ z1IQDBC4$fuk`g1t^sDWtI5^OBJ&MI zhd%W6l)!2dv9Giq+{@*krU@FC32reG%c}+yNXJ>#vjJ|fS1RK7z0g0$_|lHlc_mw*tnP2dnG z$c|N?i*55WW*_p&(%G6ec=>&@G8mOU;wdzdgyjU6KC%id=(*_wLMI4bfD5LLaRxEH z1T-;z2gn{U`YTW2M~)@4#k;c5Zcc8st%K~j&YqvrTW(SMF0teTj=IIlF1Dk@o&8ZS zMb)aSU4Jq9lJT_*@&?a!DcFv)$!bNy4bM|Ck1c8qa53uDjyqfES~J37s`EgJJj@^x31frrfK`Zver&FAx&;?K)<5Ti!_e1)g-fF z_Zs^`0coyncaQy#_2q#h?8ZT%dhp@jL^pkU-ZIvi)IJPQ8>F_lG~@N$S{SoG{^gJ+ zD^=vti?=;ZacI~N8DN+5{h*P~9c?NgX6h3j0L2WM{Y|j=Q!e*3>a9Wyd-0%mKuHGL zITL1n!kQuPxd1Vyz1(5`45JET3FabOVw2`uY?_UC zr@^{b0n=-b=ykIFSKoB_CB{a6YPs9D$ur*5`?L)Va`MQoou~=qo2f!89%h^N{THYd zoVjHEBzZv#kCKP^#rn?W(}=L_%yY{{&%>l4orT`=#T7$|y)vbV{3dJ~KW#9vND?&b zQzYBAZIei%w0aQzD_@`!xUUm-QKnVqy;uFi zViv8J%l14!s+>A!vw!HSwZB4Y+hqLasp4I!DYnXb$FJ>o3rM?=vwSi@#?X?pGGIy> zfL*wm)lj$1XZaIrVOOh*Q9om0Iy+8fw zmF;1kUxY_-^z(P~}&)DCscFw$91igRpk?qjWZL)g36$Z^K+w~5QMn}wz zSzW}&r0)u~(T_YqO?uS&Y)_-?DyQOwkN*|rr<+OgM<%?E*VK(U-mHDnVIACcPO((l z2D5%UHSf6Y^!`)iuF&nvwvoRtCe^DX=USWAtvz#T`x3RH*6N-*bol$rulNfYq;{W z;K$Vnp4FLsm1-_Ky);8{YkKV|e?12Nx?qfe$X(!cwxf+hdq4d6t%|wR+pIa%F>~p% zj>*K;`1nL1@>109D|PG=*AF`%Xxm}j&78?mQ6zpiuH z@x?R0PlqkO-GxcgmSrwtzqwfMu6R_=?g-sEzHOVP8!Aluhk|T_jPi;5QCT~ih8u&6 zr$V%*@4XIBNqYPs(JPGWWOd7^s+$fRK@6=KendwdJRneiySV!xk!hc1rec519(Xuo L+=DOs-+K8!fF=az diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index 70fb348e3ab991359831b1bc1ddc4d5bc26bd249..28a2850d435e19134be7d6091673d2b2e6328fe5 100755 GIT binary patch literal 21262 zcmZ6x1ymft(l$(h00|xv92U3W1Pc}*xI^#&!QI^%T+dA8MJqxLP|pP;v3FbFy<$Nw{0v znNe}`a8q&ead2^SaC36;P-&REcvzd7Q>hx8+EQ^*X~se-i{7(%M z(htS|0um>#$C3L_w;{*fHaAME)`WGSy^-_S#%SM?>Hq! z!ceJYF`~7T{}`khT-f$ZFB&A=ExCWd$NeS@k3O&M?2JYqW6K-KLfm}2UwiRz*VMjK zWO#GAcyU@+x#_c6y!pAavl9ewb=>NGF(iM}FX_ZeoXZ0iYh+Zv4+29AQbU{-2b}pI zI3)eF8AKq1L*o=;ZZH%X{W3uF8M-HOAbLD{pLd`XD|(|vFSBLcn%Wpic?ngDD2=xn zckZ?@QuAG*tR)X+)ItG%CJ`H|yatV~gwSJf7un`yt(Uj)GX80f& zj}#;nxQc1$ZlFc$*)nSaB@Hbs$5>@Hg|ZeurDbaqIu7SZ6{mL*mwFMHWXZ*kyy@0x zNzB$!_MN$m@#5p2#&+4cL?rh67`UcrM0J0!{*YKg*`8?>ZZMQg3>c0T$M!)|d4n`+ z*IW&k4<`EI+n)@qV&yV)IBUCS=$8B>QdZJw-6$0F>T5GImH&Db>;=c8f2RB|V{jT= z>MF|C#gWmq1kjLLXX^8eT~WN>4aK-cl6ne1kfST47IPvi^YycXT|V`~Ek z=d{2f)zSwOFTe;fEcPzns+-53kx%)Ta!rUe8YG{u)7~)GQt#(T>ho9Aq`hbqs^bhB&c&E}O_6}3DfKzqRzw?TCm z(aJ{NWS`CdY@52-=zgv_uLw6}= z9M|zX%%LqEcn~>uvo+t&u{F{3<+_S3z>vi*9>rdMq8EU2V-`P$jHWGShZOje<`|I`K-C6h|r8L(F%KX%ouPrw36>EH~jb- zZeWpN3oKb1Dk^3(((nEo71eS^?1w&o^<@}^0@qD==6^8{!cMN&xGU(}U4HeF_0D&X zr)9czq`MlZ(>*`toA9!fLax&!I|uDpkX%IAS36pMcdwd!nJBRKYf+VM0Y$;s=!Bnr z1!J&h9#ctr*^iGrH4kQMtw$YQ6!sS#zaCL<$f%dk!)3R$4cE~$G?sKGIM#l`)3sOS ztSQT4k6m4~jL_5CRDF=}loNgl7R7KAr}EDeU5NN|ob<5Ye=`4jJ+Ib!u;d$%L8nNe z!90HObLv}Kod#Nl+p|mlF^64^aLHNMi%MQ=MsxmqDn4^v(bF3xb02bi{|}oFhbfO{ zH@hv0rIVgYC|h#ae%c>J^-F6*4AOg0rtHV_CngSRyJ|zAF+lA`9{DVk(nFQCbX&L| z$L0?i|ICO9&#N@`cPAh=#OQbRr0*%YbbdRAN^pqqh1s=W9rz%twHkQ5(Iecn>_@g` z0Utd(s={y=Jk1GXy<-}+XjtT1l-T$15?qt9t{4q$X92!_fmI8uJ$fL1udu<0Dz&Tf z9rFEN)3#JE8oj-4*Dw?)AS##~wFi&=2U zw)*3vIjhT!h-D6KER^G2tA=K!f>cHTsfUrS8qG2Bai{OkG{k36T$pkT5 zLN0i&+0&tjf{%{-vl^5)-#mY;d4|v4Xz8PFF?UN>#ynV<7;$>X^}-PrS!NdCPc?qD zIf>E%uRK>g_jno4GaB>DQg^qq2l@M}Pm$`%A2xoMv3(rlW5|8>2{5S{6NxyhV?VoA zw9rMAx)=g@(=@cox-(IVz-4g=&+tGV--)({t+p}^C~@f+IXAPfuMfmy-Tp@<`D;3| zk}SqkKMOx%B#pG$!^VMv-7~M5-L{#M6x2$Efs(M|UA+=2L-Es5CrhBb~5W8Eysq?(IdslXlS)a|H|A}2gT_{9$yaidqJf4+4xq^GR zvGGtbO)x!8WPD@V?*^0i)6_E4eH^;RK1oh*W1}?WM0=^#@cv1Xq$g=IL@|w5LK^I! zQ%5lqFxAnLs(w%6YfgA_gE^Z)p_9k|I%;JCpK(8wH62J@TIwI;pF4+qo!&7)DN>fC zUaP&9o516`&Yd&Hsool;Vy}O>?3C&FPkzY0g(#bAsDT)=go@6yux@gvL_`HI-pIN@ z{h9)3`u>OaK7hI@cu6$iq;&l$Wu!p(eDI$P$ z&k9s+kV1C~O6J-A=4yrzKdJ-ml#g#Q+~xo1NYb%k(@4xvXJCF_o6#qIR{8EBwmdm~ zE%~U~25P^aG<^6*CRQ4-PkyMK3t|dv?pk-6VS!I3hJcv(&)gr?4%RWvokq5X2rX;k zxei*OThePIzTI2}hhhWM*QSac`3QH108_EM=?JU{|5D)1^3nS9Q14tN_iHbCLcOmi zpJ})30nUzl8RFTfAa(M8clz8I43Jt&KpN_Eq)XRIV-C_F4|_(qjH{IA+yP`*o9g8b zpHoMAUq~gZM{$pF)5xCMeVtm@dhHiRuI>rj0tTjiqbLzsu!i{6=kag<99se($L`Q_ zxV_O$)6TlCs1Q4XyON$vTY7KqFFBq}=VSVwIG!Cn`AE;57FyciJKUE;gehZxOyK)TkhxAOdkpI#idq1X5J|rqk zo@MvxnfGOg<~$)_$iU%jPsPL^s%Bczq8JR^<%D(YI$Vq8Tjs?g9^D?BHlSpPD@PV1 z?AY&8)2z}=0_KEb)mUf{|7!`8$7WzY+PU+(F#8D`PuUy7(l z1Wx=@Uj}D*N_e}1Fuep}5O2&U%4Jz5>^*yT&N|+M&ZaZG&%K(Ni`rrmY0RHJ$=@kK z5VV(wq59S9CQCgpdf)n4BYi{`T&9M}BhIK8fzI?4on+KBy->HHSBj)ED)H9b@+Ppx zFGqC=-)@GXukL*WbJ>z3=@TmU&*bUHqaTtk8BI&iAEG3CXLVfCnAt%8!rfr%PBI0a zT&4I%Y+9r_zo91|x1SFj@Gf3=2(I@;g7_ZNc!9K61yE?b3p5a878bSMUmid9eQ!Sv zj_9B%QG7%1yQ$@Z7IIyU;PFIf(QR{l(war67I)Sfjm3R6wNCH%9Q#(_M>g*La`{T- z1v+W2s+G*C9r~VmU>au0TeWIAreKqW%<(7*&AQTdSp+X%&cn|tPTrBw0}x5dIADYa zscA_TDrk-lp^3~hjasUO(`hxa)Rs(({@^$cPVm1M2{%hSf`6gp~@ zuK1!_1Ax2?-ce7!UJ+WACbI?oh{>li=onjjQXjtjC1Ujigwg7+kYb$G>=NPgqUWaLwH)#w9+aR10n;TJbZ4In>SbnTi{s<(_#hBlcn7jTYL>$ z@2j$D@{ev+%I)4{%r>6gmCFQ=Aot2b8$Lqa|z*WxpZLg+03=# z^};CbDqs!~uxAAFXYz;-+{v^PJj!h3J%Qtn9`XJ*dyu@AJiwKGs@s6OS|jvD;2HQi zfBsEbsap~|v zNNbk^cM(yOQoThI47Kh=d|9MMgr4Nr7(L$wS{DRlpq||W#bV$uw@3&w6qv!?(^Ef3 zc*jHK!n>nmcPY870x=41Y^sBwRiPFMN7#9v8xwOAW93}3*{3q?#zMrA=8LM28W$Qd zQ&Y_-+JEPYImv&dWFgh%=39@B+H-FX+z3~yX)m{N+fFUiQbbL_X*J`w9D) z%Td-I{&s6wWY@;@&PGR>I-8n-9^6GGHPjHD?m1%>A2NX5TS;^mL*my9%R7(kwYesKfg{1{q|08W3KXZCy$)r&yb6sBMW~bNg#}Tm`)7P zA9(Hl`B9m&8wfmve$Ym}qorHQ z6#JVzZXn0?f|tesQ6=Azrl@Ki$}^Mi4VBCp^*QcVwlwF`{Oz6SVg9PNFqQ5RIsUl% z>)3Znb-BNA{=@6vF~KE)OdSC~7B>W!C$kPCuBYZjI41MY&khY(obtc2L$n?o_qCo&1bWH zs>7|bSMX9h5a@2r!Ro{J-3twLmL_k>$kXC95odPRD`#cas{nqRvJ5ZKi?ZMScSoL% zD_6bU97|T~m45hndnwx$e8_$60-)^RHx7k3toClOt+ff&`g>FIzVOs~V|sl*CA@4O zV%v7M*Z;kj-5NC9V)rV+b_JPox%RbituAIeNVCHsNv(e#o3Xh)`|ao2qMz|qWd$#b zp%ovx8s73@GT$?c7kh^#7q;2yAtp$An<6P|KSi(x@OQqS5GYoA1)0eMNWOmFtYGH+ zEB(5KG>Cqxa7YSmLr)x&NL>84R41B+(h_J+Y{v?Bhe&!w9kqQnK$N^hKS+TP^g^!q zb1Lpi#S+K#@Dtfyc~v0HH8OuguRE~QEi!-cANsgQ=CA(4WS7VbTeeNHD#5|~Rzd*( z%#FkPJZqjd`y7|~?yaY(a^laaF6Z@ILX~GT3ns zkw_#Ukz2~(KywOJd~IA89HT{fuaLLwcC%mS`}QwTa$E&GI{1CJS9pZKwVeL>+X;1d z(!puqXBt$N=_=sq7|=KWRIEfKtmlOTRqzPP*)Yl4xsQ@`xrsHAbI4O;b^6By=UBeS zTo*3Z**+wZ`b|D;)%QErq<9akYBtPURIsO~Hsd_WWECj1YYZK;`=bUL^_*4l99fW_ z^(*?Jp~C9(w?9TZKf>s_tBk;z*K`wM=-c;bt0xyuv2Iou`ppGwV=CjALc!~16ieUi zvw0FX9sS2)P=k|8=UjZ}xPq8TXx6xY=}+wJ8^2dSW*66|Me9D~D<)leM=p9JmQId`tjV2YSE#T?HpJT$P?biGTZg z-o%&5%hEGaBuOF{?J?NAl3}xMjH$d?s@w4EW5|3*IYCZf8sdB;$R>P3UQALnkwH}ysScGHo}%ZBV#|r3vrCdh4LNwVXY7uj zJXeIwwB4Z=b$*1QTi=QM>GGd)V~U9|&2Mpn6_@ze#G`}iF0AQkaea-;I2@E)^;~Fn z*;ZFx`^b4k=rXW9DHL*Ma5H>2X+_};3V>3iCGK{%Z+`7}1QADU=sa8TN1DE&YcJ5{ z*Ko{`%+^m9mq&cFKj1KWv`I^@lJ|)lKP^%k%yaCy|Krlw8*zACt-|XRH&T^ezlkrU@(+A$#sN<8U zE9NI;&yd#NjkuAk@5+)BGcgOFk(03CH%T$nm4tedU2nfeaa5kB)tnC2I_8c4FyAN> zMkn{I=xG($ZXehjB3{3^uazU8ySfeb)Z7i))5W-;Oht)jfrA-TEQ0Nr`dO$ z#SXJeqj(GglIz@BFYNwp3U!*?hNW$aZ=z@y_19cp=;;lu#Z+LP4XLazi84<0s7tWKS-#62Y%kon89W`vW zOG6N3ohbcxvo}lD^aqJx-%nvNtP*xCg*~0tLt;tHP8ZK-@;C^Bm^|JpGiAT^H zD0_jo)NKXVwp&5ppL^;h;h=C!#6)1Pzi|IDo_L{EePg0vXi`^A9emNF<#89`5a>C+ zsgN?tkrM;;l`(@>KF3OB+e&vGX74z%8*V9#yd>PUf^IOUeuOyMRx3@p!M+UXgt*NYd){ zub0h7GsiHFCtU1nXkah9Ui;Sppw>&7Z#)R0S`@lo*j{vfZ{aVesTZ=j?Xc0w0jrI^6^w6+xt)g+b2 zRSRj0u?VD0zKV*lXt|kT1x4JxrMbroxERQhI)4;jHS)nA@7bix?fJgcx+PTn7khS2 za$!5y)lthd+A||8F)cH6FY~)Q!yw)2-SWlq7-Q_ zIk|}s%SlaF5ApO6{$24(;aIdeZ;iGpGY7@oEIv^2AuD8URv4yD|z>KJ+MljQV~&Cv&LwF0&+UK9i1j z>qKD^Url)qEel62pDNWY>GYBvbJ|x}VYszi?ynVQu+0MlbyIiUY5nU|1}R?m zHwh>6VJfsTvHiu^(3UtQdx_wL?py7Laz3pGrhBGt&(=kErpv?B*`kE0+x)JU=bogB z_52ue!7zg)g=plhda`A!Wh{gN5Xora`RG#e+mp~k5iB@_!=~G5BW(jOa~!Qy|G%HI zSuC}5>+mH8sobpS-kEVeca^hFQeGpesSh}!?W_)1&T?D>v9IqdScI3l5*gbr zfqFZUjTQa)i}BH>B`un?&P_5>5%ZPo5T=q2har9T*4s48^Vfuf&SNI|pQ>&sn{4*1 z>)y@P%C~+S2$UTq!e|LdFCo-0UI!*uEv37&v(xC%`?GET*t6CloBC{i@w76By{slr zUy?@7o!uwM*@ZO6A;N;CF;#na7!G4P5=|(W zl%~wHYZAK}xOhra(|qaB){&LmFm(qTIW}m@Pjr=jv;tTYeRH#zB0ebk*+6dV+Cw?F z$m*wnes{>ygX02QTCW}z=z47LMC)Lz?{7OCim3MyDY*!`lp__T?+u$)%N`EjIEmeT zjuH0L>)xNGQE0c#d1W#B+S5;fCpdF(XQn&WrLT9g6J zlYKzs_^fJX4oc;1Zf?)@W`t2^=t>alPvdbz9e~OF=5~dMFPl`mkn@8aUPLIFq9^vw z+j~#@Vy7_Q)BrT;_I?y~F^~Sw*D?&$02jR^s_cHsg=r!+g8Us^>$#13yP8XZr2xx( zezAx*%qc8f3@ML=F_Ux#K%PH8X9c||lbymt8Y8!kF|X2$bW3wr&Y0YugOo>mXOD63 zam6mD-vtINLu(=~l1fhcIi$$6k-22jQ&VqN&galOe>_e9$e*w=VW@0Pi#ZW40Ybpw z*e0Dyq8^%9SbQ=TCnf_`R}CA*^NZ=kDkQQtMU>EAr;|XNK~h1+2WAnw$Krq_6x5QK z+#e9dcsT*ET=Pfh-KwxN-6XmkEi4JmKI7M?b=v2Og-^Xm(Vn>N;4BEL=?nSYPjk&% zFMB}7uA+~!Y>!6rO(w24zf!7i60gkmij46XJ>zvgYO8q5djGLHg|5DUwhs=JDAh%S zOm12y0j8^?r>zbw4~|SiK~b#D+_y5@ni<*0=83aQ^*Fr-mKP6IdmLCzxA0BecvnT8 zl}?ppfTBr13~*WL-+$YH`}@tQ*LcG%^8oK<9N0kRxGZ;ZRQadUv!{^n;)_vz)KiAH zQyx$miDSy*n4{tC3KkX3jh`Z{Ls*Mehj?>X(obyfT)JR6+SQD8Zivs_jgH6+1S)hEG}Z z!Su(AV{ae9YfW2R z#ThibN%pD&<>wY*kjB zWKe49Md~-~(zmRyx_b9Fd^%imYZKs$HYfbwfXboA=~5}G*{P*4{=G$N4Sa*+sDxa@ z^j|bffAJd_idE%WK0XawOMQ8r&Ji1T=;jE?)lYk4U8&HE>1<-d@@8mpqNyZu4ZMnd zM&PY1ABa2pFDaym_gAA}*}W2qMODU#U;_Ki@X;WI$IH0L-l8}^K`%fBsvb^IaHC~6O{M4L8%IUXfR z6#Ys}^6)XTZMPr~6W_6~foN_$tZ0BT>Qw;2b$8Hjw%_}DC3WGwh|n6$Sx&r$T=>)b z-P1$?n{WuVJ6jBB1D3B>STGDnG538}|;#oxAojZWZz^5#wmwe)%B%gK(%z0JN?z0AaJ>D% z7%wqQUs|iRFQ&c@;xL+$E3V!>35Sp|5aFXH3xaQdSToP>0v%!|^(1f|m~+$-`5=6~ z;hpDo@W3`{#}n)%&;`KC~r+$a$ObR(RXkZu5ZLZ|$;u!Lep(F?{W7*3Ml5BVep z2;PrCw9=*@YhC!RcHNj)q=Oe@V4mgBHILwtA(s%kNW$5`OSCHIJt~n$` zj}m04dQrHJIz@u~Io-D6oakh~!N zdn@Ga@H(Cgpznnm35uZ5FEsvm-MnY$7B8~>b*iD=KV?1ICH$jvBJS?QbAR(KZK*fb zJlde}mSN1Tf@^0g=<~Euv`c&xt_0sW%(R~v})LARFpK+^E7+-L+&*}YBvc**8Z8`@Q>x^q=w=aW+K%16+ zy%Zl<#nuunJH4xKwmZ;gGg>QssXi^gvw7k*7=PbIY#Y1u>zMRH!S+$qFz#w})_uXn z#LJ)i-^qpBCtFh6Sen1Rh4V;GY-WdD7kd`a7N>XC0xZEVA!J9-qr1TZI4UE5Q0SRy zQVvJ5p~i+h9{n#SGBB<4<@NhSJum79wQr$B)b7lx5?)nwcsHpo?@W|G3YRO)y$ks^ z!=Nszv?`gUP(VQE8a0(!MwQDbee@`R-bVUqa!59>>>VtP>uO6{F~H&Aax$*OAVi)# z8nulc5c7}`*@fr&aT{Y$Omf1$C!a=?^a?>EO32j)bwC$ORvRY#yZ7U$xgdc7tdV-g zPxbQl?>;nk>2b1~GUrAoqeU)|^ty|BkCwg6hQ3=+a%dn@)f#i_dg ziZWFVdKKA5Z5SWYrqW)UX9wT|S1KU;K&)5~fw-!z4XT)hg-0^;) zh|D7N?#E9@%5=O{a77OI`ZxRJG{I@ z1(6#;5bVa_-&kh_4s#J%e;v(m!n^slZxrQ4useVU5-XeE0$!BIvqJX z@&IqNsi*ZffsSIR>)s;8DWXH!h^-&6K(ICtGvi7u2c2RF;ToMGCWsSqlAYagWV8pS#C^gD9_h0 zcId3F3m768zw*EL@9hN>NkU?r90K0#9?|wufnU3ccGvJOVloj^{dez|wfx@Z;zF-|5E+s9AA)W1Yx~xN ze>IX;()R!Q?%#>x?cKvYt6poq5u9Abzqs$QCaJ349m4X$+pH1YTTx9{I{v5glw-?- z5EJ8&TP+V+lqM@2y`CvGB9xu(ur{C9Q`vLtq*vE+TErJJ(PBBB-QaV6awpQ`3iHvf zJJ@p>pBtwZ zl!K-?KgcKDmuj`c8=no?(K8rx4)uRj>QfrnVqQO<iB2j!rlBtaa!x#p znsAh0jWGWlo4M~9avCuSbzm)9o6l7T?!KmDlK#Icgcta-5>7pMuVxP!atp5+Ry8ya zZ9(c=WQzJXA8||b&HZ<~^}3Qd$Yj1>(f`-BwcB-4#};66<#Wjcn0`=b@u0b8G=qR` z{CPstV}nSH@8&P2O+X17iP1*Ht)w5|K-tB>Q7hv};7++YPsy*hQ!#Bi$IiPTJ#1*& z3`}EdWK#}pAEC>DU^DEvo^VaI! zoOdGb{J5(=W9zM-7VC~Fw`!T6-sKc%fhN)yyc0Z@SB{}~=Vs$y*LtEHS;n&c_|*KB zxP{CiPv>9Pj4f}>?Z=Ih7mgAq2yYZ(z|W0M5UkUjP1T4}ZqGHoV_Q<()x3Cp3k}_r z-l%lm#?%xr_}2}IiM)AN&XwkqJL-Gkwek z^PO^xPi!)&uzPQdTEof9pR(>s13VDSr!MFA{EHm6Yrm8OEg;*XD*P>K46cz%DcApk zGp>>Q-fP6{8r#*q*NI}Co2R!1JAe!1spLu)=dhI7Y6e|bzrh~YO{iv9sWq6^d<0pT z{p)9)hG1t5WDew1H3ax-KAyW6f}U!3Mgc4w5ZCd1JMUveMUoNtUXD*;tWH=5(&Q!o zY;=;J4TA_Hl;U1e?o`U*xh`2->ky&~!2Jb8KHwi2yB6YJ3$Ec*nJ%v=#@<(Cc(JF~RqT?OnOtzBe4 z7?G6+zTCSsGc6z_?*3y9DC&{XERcB%vQ=@IU;Ui;lIzmZ>(b^pB31}pbv_u+-D^ul zP#-<8jc?pxrbV2HtzH|{AbK4FM*>sW@~Zk$YmHc%x#HqcLF}{vR7|?G%dW^TYa(C3zHlpfpV*S3 zv5`R^KF-Y3bn|9PkS`?*Vqh2oQtD)Vidop#O%44$2~B-d+)B}RR>I$yDdH1Xj<3jJ z^)=J8!0PMd9H!cs>OvUDv>6iyFQK=+MR55dy`2uWvr8$jM$MeNkWQvDp0ml6b|yPw zLK|;z6tz?k{PnfOv$%~-^mQE}Mun*0JaFSHo7rW}zgnprC%ai@)lxUzq$$A%j0$`4 z#IX;d5tw4~v9Xf&5^?7880s1Q^%_MGD$dDS1{Sl(UnQlbObD=4WHy*H7_F>hk)g67 zF+02Hxhgyp%ea&6+a?4o!Gs_Zm-tr};3WxPiOfV&(D`eI*TSr`Ii}y#OqE&n>MtwC zoXSAxs19k}uXK4B7xzF*jrX;7Fi$w(R!I}uoJ%%o%X zjkJh)4D|NvWIbRdQqOc*XTN1ROx63NtN6CjIp=%Sf94AaqohXe*-0otP%(BBBx*)D zhYm=U7Lf5pbE4C;X9z>VHmAqp=-#%c+P+?@ouc% z=^8D9;DU1rA=Cvu(?vC?5T6(iJS&9REIS$mn-HQ~&@)?}%=*p>|}J!5D&JB1Fp zBZLUg!0l!!-+&JY?eUt6S-~n_^i5|sUxD>vpdK?zy3K9Dt(!$XADWxk!1`Z&OQn2y ztd4Y=`GcWEF;I*d_>WmQT5}UCxa*71YLP!$a~L6{sbI3rDjx-+7z3r8(b8+a5BBJk zdeWZJ(rLyGYMm(Z$7tq?fnF5k+RTc+1;2}dI?oX5Hmd~lS;j4(vks{CfiWHgR!_RkIpS-}MH|RqQ9_8;411H+^lJ!!4ea{msZq+8 z#VWAH3Ks>EKxnTrvuQg!g#@`Lgv=IfILuPwfGG$eg#|BDMG$Oo4WT_?rncFN*-Bfd z*}GuFVit-FL5uOAvH~?)Mf_WEih;hLft$@zzRniiGfd9s)C2y!pPZI|tzeIDneGkJ z%${_>frq!aMDGT!XuWx8%3yhQ|M-?|NcGv?=mXaeTAUVqP#gY3)k-DSGp=!(Q_kei zK%#0Iugb-;H9Exy+#K_CrE*P&b?LtcX;(5e?7aDuj^n?77V2f_b!`W_SEiYkC4rY} zjUMa7uwL&*vKqbnZ6gu9vdpl6XF*F|{_|IOB;DV;DGLF{qIq$b!X@I)1%`!gQF@WT zO|4)($sLfI>5`jqZK)cvEBm7J-ZRiexFMK(01h(3}DO0!j$lG*$2#Wy=UFY zT}R=LPySW<2N-1i9=C{SePFF1nlnN$6j?20mip{1`r^6nhG+IGjbr6Y9s3(k`GtQ8 zfw}yULQR8h&W_H;1#14LCv0V^!A-t%VT#ND$g0A9y&eo^i>yyE^4x+vm%2E~JNp=n zVUmXayo7bbUsIp;S4dhZ0P?FUNK5hkJq&Cxll9i%#T%*UYoTDab8s%#&9D?Wp1u{! zv_twiNc-kzGU{HDi%A9bXMF$Y>`FK0f$~X(&ym-&e4S=RaEvyE;nUlTsq?U0kJS%` z7q@o?JMOv%nZ_1aBOpDX$Y-{;k9rPAUIqwyzmqe7&~Q9Op}A+)^1Vg?uw2DFCa7qg z+syu0<_sf0+uM#E^c4XG z_6|6Ya)D+ViYK5Z)Zdh9hxgbL3h{cAb(1Jh6O0=TzZ&ryE~g?!w;pu;=__xXf6k6^ zlfTFt7^M15Oj!Rs@`L`v^E;?cJ9?P;8BkDgJ;gKr*G`M%O8gVSuKeQaM$>@8)erIr z1uo$dSU^8~koMtU0qr^YcWf3oYPKQh{!Z4s`M|*7W|( zA}gbB#57({u~61?!QK0y%kaqYUDYj~;sOPhLB?Yj9GsU$+o(2Rkl&XC$0oaSO@N@* z_k3yJ-kp?v&l*w|&pP257>lt_Bqt~}2@sHKOcm(%@*5cHO8tt*8bv!`s?eUxBpt^} zLUw3Txu3yKo)_%zYDyp#53K__hx5G)Jtwg&7_6VMQ}47*%_IHQCVgC`Wo&xoqqj)8oq^-3TYKcS`7@X{5JWVLx5TpEXN#2q`2r~@|Noxssy%L4FGQu z7OYwW!1%vVid<;NVtUf8_gcKc&fGQ%H})#TJyesdt7YhH3AwK_ZbR=&YRgX7!_a@W zWlChJ&&m6)p7P<`Cdggvod)vZ;IIM6^yv2ZXtPGYO4sgWi>V%v8g{F?qkXpByL>m2 z^w>A@%G}S5a%{qDTDG|Cr^;8dx!ojV+oDwgJ+M`C0#2-ENi0rYZL?)!VMFx!Y$4Z| z+uLSmyFZDtJE-l>e-an6fyFPGofGTkE+PG6>pCC{@*Hh5rJaKJ*@u=ws_dF}qKMUD zwfGOM70>!frBCTN9EQAAURb#rC#_{^oN*^9`OGAB>6vu1<>yQb6RZ6yai6VpT`lD0 z2rBgrPZq7Tx7LYuMZE655R&rlqL<*8Go3zl=9o1UH->du4w7hD%i>SybhYr~d@v7i zO#SFnoZZD=c{&j%$!oJ8RH#ozL0vF}_DByQ`Y2lVT`*z#z4j*Ju8n?MvGxxz(JI*+Ka76>V>6ri-*y_HtELzi*H7` zB$ocEAPeah=tMbTHksydhnhR^gXVB=IpK+QD!pYqQ?6VYi~OutWT1#osNtB%VD z%Xf=Wb%!#+V&2R8vC1=>jy^w1H14eiU9Q4634B#_He0M7AKF*nu>7!2lIKGji^(R4 zR<;{Uoy@<*&aA#Cj|5bBn4a9MDP z_}KTO5*5a%JUS?7uz911D`cM9ZnN7^7Iw^T1+=uEn(q7Z*<{qjn#GsWDAr_|9Qal; zd7A=s=r#kg`)+8R_@T1mP9h;wUHEsWt2*O*%7w^l}n{KDQqBJDt8aerd* zE9=^s4o=Jh@EZq1>+>ez#CJ)+qhO}{{EJ{FW}-0aoc~-vZ%qAcv}8e@5gzuP@O?kO zNBeio#?Y=rj4#lkAZOy7=t#O%^vIyZJ)|8MYj~s7;vYF?`eU4!EJ$#K{7!h^? z?*vMOj~TPk4!{O@@_xU;cx10Xv6gfHl5;9@&2VR%S`L7iFaj_KuW*QYdm5*kjNiOeR26E zReKW>%v9ICg`&m_b0xke`f_P)wZAqX0e_3(N#dl$|EY5&uptoLN!ZhK`Y)+2Q($q$ z*<`XOa@dW$dXHx156@RY3HD9blN(GP?kx2Clx=un?L-(&?w_{;F};L1s|BeTn4olW znm9{d&SRI>N&@r_pPf{5Ct5EY2{X~9#cUu>haG@J?1zt2d5A9FA!ifK80A34WHeNhPJBcRs(%-&CYJE_z6 zw*AbY3tV#1mb{X0ltC^Y`c;g~)P9c93Xwm_--nZvhm*ex7e>QV{<^5ZagZhX>WBOD z(ai8GXI8wso?`ePgK@$HHVRVWJTc)ze&C@N0g5Y*@zbf5toGx`Ft4i1rH=G4Z6YuDG|vR z!;mY(kS_t^7#*s8zU&dAto@1yqOARD7;;q@@-;x3t^K-3h_d!-KzIREZ15>F6a7fn zH$Acx{<~qwj{xCs4lX)x-KujgUZa>R$sYs8nRfNXn=fd>-4@n(FwSTXfvE1D6K5V( zQKB-3#DE?Hki*|f%%MQAc8iyE%^zMgq)IBu!vKTfwRu{Bjtc5JIxOUvFyweZXz}>z zONR&i>&6p;=A4)#dhExoib|anM9csp;FnoJA+HV#c})(P;osAHGa!e-bl$bcosxmk zp^>4*(rj&(#B@{fS9N%|M9=s$hTwnFmwKW^i`g~JOL3eArH3XAE0?w($|Nb)ZidwRVC z7ym*Ya$_H)INMTn=w2TNbO~NLs!znoSp$KNk&%j?Bw353t8XZS*LfgH$~l1W?79Au zXJ4>s^Qv{!TLvX-1E?-_B{E(d43Fq~X4Iy%d4}!WcjzX(Ha0EDJ=S1+GHk#Hjt&4`l_N^)@M|^u2az_|)XBcu1AkE&t*Dpl2L3q{+^cDUR*sVu|Op~eC z@3`rJaPF%$kH~nC6Ofi0Hhbhs9Ih7`5BEUS+_a|$0!0!I285@ir!2l;Pq^7 z2(;)tKsf!)oZ)Yfrp=vzejZpPj00fO~dZ=&MEhR0*oa{!FfMih+ zF#<%uvx_|tRpTWdh%#kq7;W=^dREtVMA8t zkVWP1dsJmj@)>||WaFX7!DR#ZQP9xnh>el_8DVi}h9RrMkh8*&)qrpx0Slo1#RL9_ zm)1n$)<)viMdH>+;+_c{H!-kX>952&k-|0rX9fXodP}3(@wpM0XGLJ}qAk~8N=tpu zb|cj6aAA%p-w#r9XQ@p&qPO}axPo02L~IUfxj0A6uAZ>dQq6PR2vwKo0>N{B)lenk zl3YZ0@k>-AUWs^KE~2m0TTMMb7h!EgDcL<1VN|`Y^r%wSd?`ZAmw{>a@mJgk z<-e~65mx~b=y+cXL%tq{d?O6GIt=+{81gMZ9DY+?IS9oLglfWr-3Zl!hqw_+#zjB` zJbS5Ih*I<7u#lH|Aj*{2c_2#Bdpr>3wtol2v*)BxABjSJGz#^xDAdQJP@jlGeKHF5 zDL+bi{nLJwa{RIABY|$R1?7;{@Ql#bAYxk(@iHKIOL1fvKcnPNa#t-`?0@|K)ww+X z?d7)wHNG{7_;`-+@0fogNBEzc`A;A)C0JK@AV~NsCVlQnEFQwoO^5uyUi&3*&CT$u zAmZ0S#C1Tx;-+U2eiMfLHmK+NAmWA~;>IB2rXb?xAmWxF;?^MIcR|E$LB#Kah(81o ze*_}Xx_=4^`EwBQmmuQyupxg93b`|gxGRXbJBYXkh=9+YioLkzp~+W}bC7rUo;agx zY-#m2h`O20iv|9)wY&F8ecey$o6*%Z(my(|u&aCK6T5n5ly6)Eq!RdZZm)cWyclV2 z+-7%_SDn4#y!98U;v`AS+;5QN%vD{~mgUKBk!H2a*XF81lRR54aT>N3zwNnzQL>&I zhMWS3qY2cR?$tnW{m}dv#mC%olrax=BhnJ`w?NRz=D`%)YM(be?!C=^1w70jy&3HA z({UTU!BuYocrO9tiI;(8qoa5XNi?&UrjkJ%fWyj4*s*XLqeDPCe^RYO0N&De`&pKN zbo;$u0r&~paHIopukHP(ndg|SR`tU3$DS*I6=n#POrh^UvcuU(`8e>c6 z=0bH2__XDWIwCA1jIg_UU5nkj>j;+?>#SpU;<^yK-_{X!SFIylhE$yzM%aC@uElQY zI>PR0bwt>Xuohc}W8df#Rv&UeY&{N0grW$@PE#~r4|1egBPuWNA=xiyoLHUhK3&&i z_i2Y7yHh(L5x#Xns#SI&tTAf8OEJ=vsvh{d5*$JzAQ9H?nC|4VrCOl~Qzig$Y~X&} z8mkV7U1tZxwuO-93e^!VHDMW6Z*m!8LF|F*sE$2S9T1nIsb8W~L%xHXazprn`oS8FcchBnWoi%&r ziQTih=g#PxJ9|d&jNb0@t=4?+=(W~Zu1txJ%=N_6Bay-2Be8_tU-0I}r1^EnWKwM3 z+qZAux<6v3(XrA)ve=}VKR}gnIY%6hl-s;aW8Y)zo+`~(kqMpG#FD0+lIG1*%WzExJ(kIZTKoo9Mvo~A8FA$=K+7v8;nD|pf!c~HI_B3JURSTJqkkQ^xw z$<#PWOYGwfmHYU7bjs6Zp}fY%q$g`{-lr=z#^?=Uu0sFYHofdCV&_!HpSpKD-{VyD z(Fam`(>-O~@!O^y?t`UD{zo8xJkNi)g|2zvxe|DZeeE-#bO{EV)22=zYoSkgW8)KZ zy;y=6UBZXw9!wrjB^2~ifyJe|@dN!KSc#XQ{F9)cnalhc(ij#yHE=2QFVMdj_Tx?I zDSVh*j`IoL+LwMEv8I3CL;qY0I`zFt$;CX8Y(Snd&WoI95-eU!J{0quN&Ii~_#lh_ zFCIBKFSgR-v*YeuKF|HM1Ba0t8)J=7!GAlKgL8a^9xKnr{h@_jT$A8oymSZ$+x}|s zO53xn5BcZiWnZ<*79jteyzDBg>@?)p=ViJ-QRby6OY<^Zm{s&`ZYVnsWeak?Z&-X= zk;jj4OdaS?U56(k@2kVShO$?9WO9Lh9LknMpXed&6wpR3+Dkz@T+z^0$qdjKOS|1^ z<>v#>a_N7NZSr^IxL@Y+p`cSQ){p7oIK&qEnDz4c|4fvf3A{blDeDC&J5tFa?E=t_ zwrJ0B;AVoR>%TX6>BGF9tV40ocAalZvp!hcI`O>Whu``-%d|}XKvd^D>9EgsM<4O` zaj|ycd|P1od}4@C<9VG#yqvQ;amN>)K9G>kzVg^z*k`zhAa;cMxh@A!F)sc;00030 z|9AnUS8Hq)MHHSDiY?_;-p^VPD}^Ejkv=Kx-MjbRE#2MA?p~zCxGDt-CIy0xkbr~` zBp4GxA%^$}CRm!Fe^iu22_`6z5FN?kFX!@LWk>s_wQJf} zwU(`If2tAF_?Z)9T0mpHnJ|*nml>K~R|o1_@m0n6>Tk;D+Brwl{glz%57N0Y(#3s| zYPOlRE#30^R(D>Ex$dUSs_PnQ%j_5DgE7wP8*-{z%2%Cq4vfCnlGShJ)A{`%M2uWr z^9^l;xlfx5bNC!V4S2)VGPHIpZ7ORrb5++Vg}x-So3R})@F1-1?(AAlk@AjlMWB$( zM&xab@>3_qQ$Oh7^)7r$&ruc{UJHAKQFtlhFfD#&-6S14Ori#5QB`_J9(YkdNQeod zjefncyYd_+K^dNuF|x`Oj>L?gzd8$1aVQGvic&ACX!Pi;c9X^Z^`ow1L^?XDqmKAF%kY$uVg^a3{PX(B zV&akM=C&X+!wrS_DZ|Bd!r7}^>UU8ulfkkbvj?z*37yoH6Wa(lff{^c>SjWBdO$}o z^zY7sLoneMTbeakB+3Xi;KV)zH=hc&r8&BX?c816VVsJ?&$S%^Snjx3?n1zoWg25Y zeQolN0W>0G!}}gJf^m2ii^cSQ?^0bW)Geh3%fi@1JV<&@QD%uU5*O{h zT1_4M4eRI6R8l1j_9^1gL?NLrcC4d%l6OK6w4ceUUIqYu3V4Mz7vFyuy36{PpTi)K z&*t0Gb?lo)s2TQb|GSVgusTBxkty1VWs6-Ga?MM zk(i{NOVFVj@{~sy97xh@23<))z`YUR60t~=5;pC#5RqiW<>QsS4diyost#2yPj?iZ z&1}E=1#F3`emHqNXIz)H1#!r)Pp7?Sq>S(efMp;p(cRW&9<2zIY8`Mq_2g=ZK&*g)fWA1PJ&U zDmGBRy0mL&A@wqbs^h3Fe6eH@d}y#|PPVQ87eg3U2?2J-q>gK`_#OLUr}Vvi#qW zW-fdcJ2tNddq%P+n&?XFYbxFA?sNq+rayLnFa5g(7X|6|t*~NNSaOY?R^0JA_lPp$ zuARBJ5SbxQZ>*Pqm$<4;W#z`URVf({^f{UArf`gBp zlZ%~;gOi&=!_xJqt+^$Is;Rj>1t*1u0)@7v8HJ36t@}qyL{gZKZlIv@Wd93Ls967l zUzPp`y`WG1k+Vo;n%d(A~g6AoV2V&wg$;@Lg-y!yC8m>U_|5mNG^ znf*Lju2Gs=U46dV;Bm58g}GxxaT;QNK0KbVkxx%`57jzBHeXL3%P4Rj{#u;(pnLW; ziio}Cb@~{S#Gxu++Cuyh@$zBk56Zzh>?o`nH)xj|w7%tfKO!hkqhfP9o0kO_$S010 zEWDbact#W?SQjGUC`sc+)*pe6{FFRk(3bA*g2>BGBo^S+n`mnG(;>dsS#<)Yw$S<}}12az*dN z9HioEA#Y<{J>{V$wh54n84W8B4ZT1+d z`fysL_iK)7uY5-Gmq#l604=42Nk!)A$tNaYD!zjS(? z>>BVddX*hL*_}lCRxb2C+$oAy9lK(^gH%L4G8^x_y`cXv&fb9_jcf8qo}X15gsq~) zfH3+8U*{7mpCsXbF++Sr0GNxo^6(M6(2)lyi10@6Vg$?TZ`;|WU@z^66hPzlA@ia) z(7oU-(UrcURM{x4S0K3BE&GR{ipAKqtgTO?$V603)Q`3`SG`+gvE-j4Y2HGt&wiTb zg!IZ@da^e(Vq_I}8XMmSy>17G59{fOYM7l0+V!tlF@LCF${VM0(TZX#7z}! zD_mua7_RcA+#{lk#$1JR?+^VF(is+n2=VA+2}YPp$FBEyuG%MP zj7q4q_tWOoySB_3EcNpDf*kgPhKx8vU!ba{uO-G{SusV{M0@O+PA=t(I8Q=H`b5@V zzKp&7|MdD_1^ZwEkApxWOJ1kAP(t6D>KMAdW6Io=NVSH58TV-^~r#dMlDh2-niA1U-|8|^> zJg!Ir?S<^>$p#b!-3b%az!>5myhn9gjY&FK38`(~{9QUrwq>gat-Ula+I`08c zBR|WSee}1B^xKI(`%uCwoX0G_`RwVnyO{N7>q%HHl zPBNz@?&~eMnQC=IxH)rE)w%z}&mLlacHmbmIr0!QxETdri8haFF~(n&L8jJAV7I#9 zc+xNO7rnQ9@)?9()a`bC0chWmx2jA<;l1CurHmq!zG(&Q2c-hIw0Cb*2Cr|YNCm|q z^?83D;~Sm4UpMjwot~aK%^eG`jB8WD&#;5Xn4k6mJ5G;0L+)Q^7de7nKabS8S16K! z$TP=WE6W7=S!*l^v(cU^t$6QM^dK!k5T&Q??*YBAlW&Dskw>`3<1gYbPV0|M6K1mA ze*5#YL-Vni-}wraU)-POpVn2}{H>d~RSs6gl)iiakee!PvgLRKoovqfFH3QC|8~i% zy5_cC$}v8F_ZEt?qyk^&b~=sm{CP9gXapo?8GBkx1-^Ma zy#~4(ig`3$H}Hlu_(|MV?7My0EvZ`iYSBG)@N=J#<~bpS zaWwtPR3{owHfjc$?w9^SlZrj?UvbiLC2REehdj3Rmb$dzr_~ZB?H0MD%^Uoaw9-n} zN+qI7<8OW0r6&}Ud9rNH=X$Y5Yrmk+ZVplbJ6*~Psg1k|2abpp9wX_UaeU7ioJlae zl-^t;O8W2fHXK&!bg-oZ$=16fdyqs(r5(K>sQKw7gtdp2e*R~B=jMCSA>(^Kae1H6YJ@y(06)gaT+OGEVf9enLx4$)F`1bv&S^K#- z5(7#-V{KtQ)-HA9I=1%sYvTt;A$C!hD`UZgifk?uBeOn!kOIA< z&(0}Ur_Fwijguq&CP1gqj2uqD9ZO#FL_0{$2~L0M1V(b%#FvL2MRVg<`s<6F=DJ?7{sVFSR*g z(pU5?q%?$n|3KXv?G!Hj=v@%B{!zpuO^T5tr3I3Ax{a>)4xVpxn7S>Qs2aP+hPhKh z;p$kEw_HA9ga=ShLHbHF{8~irx@V|W*8OrChLeq(;z*jO5bbx}%0&>oB(e3R&lRKJ ze*34(NkMA*S#zHb2w7O>tZ*WoUH@JaT>o);m0NpQdyawpc>nV2+o-gcg7cs2C%);E z5kk4AMurxqxS;GU4%&Ku<+~+0xaxeOp2^$nu>Ldv(xS%gcXzXZ5U)B&SW zPicO}864gwBXcy(>&{S#d3{P7>Qa5@AZjzKyb<3l&y09~`Uys8fmj&_zuTR9^a#9K zzq(Pl9-qD}z@7D58Jicbih;>vbEn#Fl-@0#$li%xFfo?iZ;)4}`01uxR;^F1-@8|` zoldQvJnqSfYTt+AH=(|H_$_&Qx)9IkIE=DC0AAwQyaAm5EWSUtTy0{c(Xr?%#|9ab z6jnd0Q6D&U9h{CG+^r_%E`yZszQ0>O(fN`*HOAa0r9RB{a)94$q@SZ9DfgUv0DNx{ z47irPVYn+v=c;Ce{s9p4X4lmSK`G4r*gY&N0)BZq4)3q)*S^s@GTn9mb=LgNMTUd@ zIv&7SPQ;(Py1M^JMn{G;X{aM4?H#O%AV_P9>C>cDG{%jXzl5Euc9p2$U^i1TMOHKXg(=+mgyS1+QY z5pY7@Q;d5GsxwwEe?8mxgen1aZ`Ly1FPwzG+X$Z==x?t0@Dq(i2YfBwE4{(g_zBUj zXE*4M2SocDkk`iyZEps?G44Ohk}ti))kE%|?Vf8~SQB*Rm)b4dAod3>74M7*ihG>3 zxFmG!A3HeH=^dT7ZHijQR+5S`oOZu>|D&od&Y zg2BB~CFTq$-m$c+eTq8IuKB+8$n~t|*DkKX2tXAycxOeF^(Cr=le5b8FI{JyvOnp@ z`7!;mykGr?*1zl}6q&rFeZe01OS=P#Pkww`P}`4E@cn zYXNw68-9wJ0!X>)-W0PCJ|Iu{Vrn2LV6*barsXQk{0L7oHXxNmGiA=r{l^=rd!@#JuDdiH zi=iW4_GxX`KF7Oex{syXhbqb@K7c&=+Ks$x8dg{1j zz|JQ<4xy#S>2^W?LN&>5rN6Q_KH60)@x-A`mFqO7+BCkaBwqL~4HZABTsWhf{)p>w zj2W#Og+=3U+f1_L;UayNrzwci*I}7d<~<4AEy-eKlbw)fujA`UH)z_11qoFCzM3vG zdqMrTg0J;X`0qLe>gUxlwz?u_^litjYx?BQqd<}-`3p7a&wHC0MU^5|Fu+-J!u@9H zOG*6}9QH?`E;7^&omu`@uOnG_l`GJ9&0;yf7nDX7JnAuVBT%xuvN16>e0Ut=mZ~~{ z;Erd%#44YO-as=!IkKb9DR#<5^jiv4AwZgbur>V8-9U5tm6p1~)j9l(FWHi%CO&pZ z({X*c=TH$P#oYF>TH=en?wr!{j~_kzu|ACe+o7*92oOUgWR0w_Vj(|28`1hkQkX?t zxMIqL2&7u<&#^?#j^zk*Lpa}}?%1=TCmcDH7=wH>pgs9vb2iXg{}MWv;{Qm)X#QQ! ze`wvpe<*at4L-Ey?E)J%oi-ET z)N#5m;M}nq8tX(de#IN3v8dG8ZG9srHTWV>S3G?lAl0hR#I`-juT7;W3^w=!24{Vg zwLf6Uy*`V%=C78$)CFV*1DarO3p9g>X&r3I%DzjlV`b$)a7jK zB>yPaWg@c|tj8Zb#OsI89YDR5>LV_R-wF4;jm0Clt@p@Y#o^d zPvv_9xNql6n}M$-hewh8rdIZo3(c=j8D93tHYN z;N5T%hfsTQcQ3M<>*^viF4ZhUS9>acZP=v8n7HFs`056UR)+yiG0-~ye;6tgH*<^6 zlU*;L&xF4WHRb1liPWZDsu&Jitv)q&lU^y?m^SZ}4Y{!xdV}kwN$53*zq4oYFLmW} ze-?G#UmgSfe5~#*$W8X#UI(l(Vw6*#{ps{0&0!pP z>bJ=u3ofw|3yJByjzl7(ACf5liG&$qUh57&UoGxyj$Ss0S4;_LTS8pkg$c-dIbJ_0=!hAb)}KuEP0_2w}n=;&xT4g+IaEvOwbeO z^V7I*k*AHt9b_50h&0t~r|taKVPh-?+9ntej&bOOU>@u}@6+#vXP7uS)D>*@@L2Y+ic1knt+v&ezcQLNE z+Eb*Ib`JiHyC{f1E4LEYR{uc~Fz7eoo0H$X>XD7bF|mK>I+Q*#E5VE&nD|Zd>m<-Y z-P_4w6Hlu0dV?Q>0sI2c-}I4zbC2DO?@386>J*RG4hlcZui zlQhfx9?M2%EtotNr8Oyrf$v&^`k)UjCzw?w*zR+7oI(t8>LK%5Ln1nh>kzQpQ!B9# zVz?=;Hso}~cb>-yH!K3&jo$s$atb`!=EK`lJAs0hGX%ktc#z^;j$8eR&3Mq5$ljo* zC6VOvgIkL}5z4f^nzYgba##GDsF%@_AvTlMYlexuj?7yv%<5wnyRaHp=M-UiveHF~ z%lY1l!MTcd17bIB^7;NP&XjcAK|_;NAoIcFryMJ8MDacW^Z56^O7PC$-qz(35pbIT zA(M`XEXp9KA?X40ZtyRHfO?ZHWIKO}7#^0KL@=N5j2c4E_rd1iBbVySrb_>c*}DqZ zme9d(5#g}9t=0=pAaV49J&%KHNUJ0^!18u7w-=~wB@{@Giy4pQ%#sRXHv*YLa|SK- z&M39=oTj*PC0f++B%0aRpixO3d~uRHTVf5ir^kZ6X~t;rvUV`&a=EL=v*%-rVk)5G z?W(t9mouY$fqC%Z>#b)FVdP1Om_|EmlK1?6PjX{Mn9{)?PU0*wXfG>F_Qi+!csdrb zV1u~^Hg>3C!~O%p|83kQ!N$sgHt>>RV-b%wc#|PAg%0%3q!-|wpyHN}l%`COCm4d^ z#T|eMB_|npH zW5;u$H(OO8FxM35S$T}JMD6{~FRBc{a8egGILfhZ(`HUYVmmy#b!=e+0>oWN?GpL0cStm z7Iql;ax0;l1CxN&*iD%&h_5;G7efbwUiw=0rP>Y}>9$7JgdkdxH0CH!%e4B2?FLc_ zeTMn=2ea{;|PQtm8ammyYup@3U$2V<2Wc)udg=yaNx!^|MM8q z*M^`8fzBQDt3>Vf4M=QeXo6a<(nGO?c5Fz;Y^h$b+(^BufR?yqegk?TD- zi}I3zTmY7L?3`}k&Nt9;gKa##S81;+?CQ+UI`dA+uMl9T&aal2RrWYN%5q6Lx3`PS zmt0R;9QAOsVsK53$yaVnwLW1uk~z#{Vh>{J;4Rhmp$O*rOpmZ`fLx4bH)- zDEX#h=!_0k&*m56z!o2zS%jXInN3nS#SC638llcKb;wx8TEGQbDXRQ7X~WXy?XHDH zWEfXY|3_6d5pB`JzZKA??}zwze4Ol!EA_66EheYbZmB~U*OyN2fpe7H2XdlaQm9v8 zf&5ow?o6T{Qf>$&{G%ntzxAMQ{*%?q2;LuxQ$WqV2%@1FVxPOwLaJRNn|%uY)ylX3RQ_`|p%3Q7bmB zC|PQ9zI6f~M; zqLEesZdclj^qo%FMflpG3rhz;d;&61T&$Y%O!3I*qrJJ~081JH8k2;*) zHGGX~tdp^7$M}{0(3Y&K=tVXfh6Cb2=yB(zR#rT`8yfazB8=QzrLr4h%zm2G41StZ zT3#2gB`5LQ6Ey46^cCr@O>gT73?d|vrz`1(3HVoN#tntv?X^1qg{&0eQIbne7~;}R z-BN57+x*IMFHMxY;|C*gMA#JNxA zOUf~t?2E@Vj2gxq%)|tT;i=2^Q}t|O0?0btAh}fV%=%(|cFeT24)$_q!x5q7Wv48g z1z!%BEf_}|lC2saQ6c+<%5In;GQZUapC+TpZn(4EX)acBP3-n!lSj0>1S6Fq)}Dzq z3BO+_?U=%mnK8lD=h~HNLcQ}fa(>*PV%D%i-JoU5x?t&71s+)P3-M(BpmCb3Ju<5T zYiRr@b$J`;0~1Hs;ee8v-&SFaPTK8mO8&_=87}>gKoH@5PEM4d{FxS$XWwClr2L=J z%>H(K&-{c2bW!VRwSEdIIrMR~vma6`Rl${*9c$ny)i{0Nl+&$*{Q5AuCd)|^ow;;h zgE?$dA@%uHd{>s&*^Iy9v%tB{$)*y|{&o>O5M$wPrs0HXJ{p1s#_O&bnJczGAJqi~jHvC# zxP>jtNpjSr$I>Cx%%JsX<3~8bJL?t@`^xXefJcL&5?xzwqwd2tM|RCsu@^4=I;}?f zir;Nz%L|PK+y!>&Q5k1ln)CW<>n^Tp4VbCFl^>cS@73s5Z27{}XUZJoqcYG7H0Mv~ zAcwXpSGCK+O^Muvz~rwPqW?6xM!%*PdE-*1+&;^9@TY~uSrsK3;R|-I_KxHUCHTzx>NJw=IXVk=TB@OVm9*?4;e-#Eza8-Qf93*&x@h#tkwKBT+1 zRo+IHw8AT$7_*^PUA$8P((@;j5UdDKXFqEn+)XAP_8Gg(`1Hvsy40MXu!bNfBhHC` zjiLx?MTgOsM+N?IO@xdZfBe5R5pr(4Y)!0NNgtwg;gBWHVC*FS00_mArM69hV$&4N8_LVb)r9%ilS)q=xgZo0j%UoX*rgUuf(t# zj#X|T)xdrI*vjW9p+z=BAbV>lizJa8=T|s4^ig#6_v{`FHcN~jY_I}-h$JojXWMs0UrkzW(9}BEvvXC5SZHR^8S&;KZGEq{7 zb1{s)lDF3z-a>X+ek4x-QWL^LS~&LV{eP09>#|kNaxl8RJ}CTj zomlFHiIp~eDWVPHu{>0Z|33DxtIoinU7zAu&E4|4aE(8-UD!$f+QVsTx>`HqwGT&E zY5gVDbHP>~LvnX!|ByVDgn3c`txN4i5gF3h>34&>6k@!qS-mCKLw7z`)a&iij$PlH zLEAmZw1o!sW&9*|uS!G>-U8FTW@yNrgRY zCYfdGdONj{2s^A0yqr6NvsRlJpT)vCz3Z<^f3&L^$!T4oe7OF&Q&|a^OF#5%Pvo~> zxR_r-;TCinrr}l-HZDH-B_SWx3{hkDYVM@>~ zT)rzFif+euS|8MFF9-`gD6EUINn^$#xaK$RdZ>nwBsF9$Skp|%cVMtz+ABa4Tm{9i zfmQyzuGdsXtArByu6V{J7|O@mL&g{Bd3@J<;l79R9m^@h&dtQ4t0a1t?s=%@pPKr# z76Gkqxr^4RQvn~dX7Z=-bb!t0PoGSVaON1k-Gjs)tLD+XM@X`7{L98z;*o6C<+G?5 z9kEu2ROcqY@I1lubQ2_yZ!f{8SbY&WmjxV<)Q0bLVgYdzj6%)%q9LS#;xez^5u1^Z zE!g6pUEa8-PF%(%vxF`}*1Gb@`)ANMdh^KpW+DZoFn92`{{*|k_=v@d4FJ%y#pSa2 z13inLbECXqfmPE_G}9_z6G0XDP8>PR9W*)WH#?FkIiJsmRwQoN7qFuEa^gEud9S*L z>^tUpFb1b2FlC=zhBtBB9br{ol1WX(Nj70~JzDW@NAl)x_y;_tUN7=)H>bW_8GQrm z+X}9EZ6g0%LlmxtiAw@a2q+`G6RUENTDq=7kf&<`18{C(R9|`7BwzO;YC+r+;FPUS zGVDPWDTBu%ZE7Xqr;G3!D08%p&ET$@UeQNnd~AgO1ccN$f$ z7+i-7)wL6hBRLJ$1jhZ|JG7J+GXv^|eotQIv8p&iNv}f?iJl0$H<7kh+qdJq{sDfn>!*C851VA6zvkfrv^Vb2h#`pkWO{` zoqP6UnC)(ySJtg=qFa#^0Of3E!e{?PcAv(#p+Z~$3g2{>l=|;?m$|LzH`-?OouNJ} zWb&`x{aX+*OC!XbM&g2fP;im!H`se&)kcgvf9^w{Y5t&k$R?5x-663Af1s;K7W}i& zbWC0iyeQ7zD?UbQ3xBfu#YKM7&yB#>rydB-5Lc0SBu|A?fN^9Q;Ylvy-aU+eoVbQ| ztl>U4#9Er5n`kH!g?i~~7K6ZtG2|srdz1nQJe-c}{mqIKi*O-ttYa zO9&d}!i_%ZIIBoJI~HBQ_|r2S#Cithz&w*p^D?-3PNPr1V+fclt?-}A8QSMOv zeitPkAZ(3&hr52dsJsHTVzeJ|40<~o`QeWeDth}#FnWtar(Ok+bW0BjKuvaG?Ho`~ zTm#@Ydbif$M%%Ktg-DW3ue&v}3*ycuo@(%uLGqKR2b642MKwxu_|yRI<5$-C^w)!( zM<}nOq%*j$2sb9+V9bp7tZb;Xco0i56^Ou(Xnq!5Wk9 z0rMk8sG?1RuEO2!svT#w675bB^U&FbuBKUI+v!Jf22pjof6JEAghNGevGkGlD8?4h zhSaF1xQWv~JjT~2Wz9;fd1DLL88s&bPd@xg05BAtHJ?3j|D>MBc(wdVsM^C$l*Aav zoitRg0#b5k1M%^weu-Sfo%^iU-K_MYv0CmhUEgjn0qUB)oAqNoiL%dLL5Kknd^{&{ zkU}#WJ8qpqi1DWRx6psx2h4v#J|25o7`G2M_kE)ih!i0S?P&uek*p0Y;ScqqD_lt^ z!IGsDJEl)2x32RNf}Ems;#G#H28 z+7-18c;k7U((MIHU19BtlHKBvL}>L19ZIBf1F83}*U`_-oilcNU1``pRYvpYyb7x# zcziYS`y}!l?4qvRFU0z4#mIhi%gA`=kKRa}>|)f{s`N~)X@<}7hVd*tEi93X_N=YS z#@YtAihHXy-TCDmuATjmHIF@91Q9dzam9 zYj^&Mz@gV?4C&78r{O0Wj?Da5Q0;-BJb;(g_wx=jb~lN;j07eH-7CpKshpWc0?PIV z&&ytisfIYi%iU1Vi|8r%6VvMOEw7F)M4;`AEU@q8#lJeVD_Cb1*{mB3|0y3AqZLedUJU7$uR5qzB*H8w z^$t3de4Jgyw`ylWZnG|)wnB8dfjkE=n==|oa_M5X?|TB1N_W5hoP0W}+e(TpGT&7g zsFC%4lTV8BC6fslV6jpq*Sr3!&qFA>O z#$0=%=bRJz9fbG*^h3cs3agx5-Y`(Rl0ZayI8Te7KrR{DsL-TLmY66$BIgh_1Yw$6 zA{Y9Z?jxhUdgoPg*9fj{yfGYdJT`o3YZ40)h*;)pr;C}AdFGBVhWR(oIux`8`E2X> za@5pcpoyUylLWQT#{urxA^4JUf^T#nhP1|2v>AS@lF$WhI|Gp{hSddQL{TydeQgW< zYRNv(+m)5h&pa8dn<8y=0#uZ^w~XDB%0Mh($e!iVJ$lJ`*Rn%s?f zl-=`=cnFqg6Yb(Y6wMoUB#C_-%O|E`KDml;6G^DY3_!i@`_$lOhp6*^pB*)d{P+D4 zEv7->txT(yBlJ3q4>rStqS1#%rvcES#J3)KYr?+NAK|_wuo~W<{*W<`TcV9l2;r7F zZwkH>g9p0vvpZDs$*Ff3RIW#88uKel!MOb86x5S&n+}Hn(#4b`izOG{>m^AeyRu~X2G_AOlrfCLO1ui? zI+DaxqN1c{qK0SLW7?HD8w=~5K;ON}`}8qwwhM!enFd#HkX>)J$W6*cD4vz=Anj2F zo?PjucC~=#N?U1-j6N8-u?LpNbOM0kaVWkvvQ~M?6jvsD?L6_4UQTXIeYs$Ife7&y zmR%RLJJSQ|A?kM<*LvgR%;v3J9GzlDT5wG2>9!dsW?v>F(0aRg&%Uds2vlv5S51Lv+`;b<3@YX42 zWjJW?r1JLoxgz!;nvwR=>jL@7G5>^ac>DDaNY{v2Vj~N#HKSOYnSNDSvhH}z3ULzo zF|)^0esi5z^~!g8xy+MMv^~(#hL(5N{n!{d$B=rP5xpdLS*)&kwmP{1e0UZ@FH%ft z3enABy=naYgEKed_x0}M3N1}X)s3xwHv3SfHDtWWVF6#9@8-}OPki<>v-aJ`>2g!9 zEwwt;{=_x5VeM+ML3vU;&0+6GNT00AJO7G1p}F>K4Cl8$Ysq+fms*I^V3sdkHp z<{#HzzCH7?H6EC;{w?NC3D+$gRG^hzZ?$XG)vStH%L5Ay5g){TGC=>IUb+n5w;b4buW zXt+w%E^SC@0Y5}WHVJQ{-%KRzPgH~i@|4+kud;)iEX`b7pS@31(?EWt$AiI!nC<`7 z>G?#Ow)NQkllLvYBnn{qbx{;yqe9TchkhDBt@$=2KZQ|sDmGbUsEqM7T zr=hte+rz>BWvl>!rRs5RziksxeqtS$1>`&McQJ(gSY>m6o@)+%5i$hHY8?a~9f%Zv zsZv`5_a5&uuXQz7o#Z-ZH=k$PzxG+L{=3#OUokstYuX{+Z-{NqnL-{0z#mq;8N7*= z`sHkr57#^w+2ji6KHPV`_>P8OyS=PE(`V$q09FsD&R%r#{KHxz-*2v4lpxKy@69m~ z+&h)Bw<^E%&9yW93-X7KjyB-S`nm|9U@uN3pa8-o@bzS$?er zz74dHce|*zi22;{l>==223MyR)UzSyPmG{$F^cyR3CQ1zjoAX#chm(y!IL*+@E|uH z(iYGHXlZ(tz6(Ef+8cwUbofiXAvaC!?%j0oEnMZEyyv;S?mwMBhh9tF<=VVS8NT(e zzs0}PWr(Z2hwQq&Ja$f*y<5dKy)aGX_H>Q11^MGWv?499kJjY!FFQ7$z17TC1Jw_n z9N!O4ez6?@QxC#-*Pr68~hJ?MwcdTDo#2@YT7}gA}a%c zmLQv7QomN%=_=ZQpoehRl44>`;PiA zZI4f5MA2I^?*>QqTipcbxUx@G#Bw1h0>zxkm5@uA3F*dHA*M{nr(`D2{nAYUEq(TP zgdY;Ul;$xGU*)f@v^d(2llp`LwlJDoR)lT2K}j-shs7|#j$}WN?h&KZw=hz5NMv%~ z#ix(kf5{A8yK}v{ESlml3#4*~!T3>2v;e{cN^=sEIl)4zdL;t&wDDMQ;Tgw%sf`pD zso7ENuQGzqR^P&ow$)#ejz$RkfIm_Ga)k*jPi>`1{b%H=2m&isGZHG(V%#s9B_>ZO z+W%&wP0tZjtp<@gRIzaDG~IdBPAwYW1b(m2NWU71$Lk^mbXm=Mg>%`Z zvm=TLWKZ!L3i33Jd@#7|GT0$S-QZRbgAn@p*dw>lJJY9my(4V+DgaZq42^cGpe{OT zH(W2@ss@A!fe$HOV?Dlr$Op5_x6T1!WT2GdU5_}aG6vgK0P;qMV*Ovrmt&j@ysgfP z0bz0=sv=NntFvK17#`S?;#C^-kc#8P>gB|AwHWjehI4^-X{5d50CiE1<3#OM!+13b zeUXTBVQ|@;?4{qcs<~r`w4oFEKzSLI??p|!5#B4DKhS{#45i(W>(N); zF@)c6j(kwN6w}z5fWK&<-5|L(Nz9h`y4kQaQ&j6H*99DNLe!=C6k2+$)DIzR&C@0B z2#$_YJzE($8Y$z~jbQ+OoxHBy_+vaI*WjyN(vymI=!qSyjAaVu%JoR@B`Fi=L!F z#l`cjg7&Mm;!MPDrU|6*K|#|$9EMDN_Aop%KfQ#z8a9u3mGk`Ei_LxC`<)+2#)FaD zEd5(~gU;|cVRu(y2wl6nehTO3b*9o^5f(6-|s(?2QllC1T7X~=r7c3Y^J2F(hWwKzLg7XNf&dqApMB&zLWY< z)8$A`3Q@}&9eW;vIEyzg_q#dza5UHxb5)l&K~ zNY^HY_vXL2KWK{mZ%Fz?&E_w+oNY6HWul+&#93uOIR;fmg9%&JHSQsZ^L8$RstS z+qw#T5wFD|XI{LabMf*;(Bu{nbNmBbkr)B`*3wV+AK{GSeYbQ6lYUKbU9Gens8uE4^Q2o^nK>J8h(sN)Qx`=}kwRYi3dOHb zW&SFbN8Sc4rSfr^kI6Y1+#w8+>a<@BB0^Y&kG`{Z`h+3#tU|!0vMvojdqbP+E9~|h zdk|Y{LqbBP-+veqz^a*! zq4poblwV0+saZ?qQuuyktXHA%KCAxyIW4tfw!2X?<*m55;+CEDd$BR0-KkE25FBCQ z9s)w)e9!LgU;}QvMq^Iauc)3fir;sz1v=s!A5i$eu%v0St7Q2t+pwHMfL4F3ig(3W zCfQEIku~DKltQ6trs^LiYE1QpfOX`tfFOHITBn1d@dZN!VdHCRZ~I%&ze3pMaTDE? z5sUS#E;Yhu1TFy)%a!Hv+{%z)ObaB%5(+?6V_xi&zV<5 zW&vh07I|=l1guiBX%^sU+%2I@3wQ95HA(MrO5f*{0RElI{l4C9OVMTf?JSlS5%zG~ zdH1K~_$!ZCTOOVYYLo?0sa@Ry3_Z}e<4C!b$FRyb`?Cx&W?5}(U$lxsdK5fM$Zf2U z;i>A6{MdVeeiU@Z-(Q>bJ9bpn_C00V3YaU}Ittn+D+M$iBC}497WL$i%9?}VG*=Pf z=V@7YjwfL%JjwzK?C|%>V#{1+H0)Exsky$CuBEjdS`u)qrO`ozF6{-c3%DRjHKT08y@m89Fz=1D0ekd~v?l6A#PvY5z)7LV z4DLd=mGo1Wa79z8oOgln=)=02f0OX!GXuawuIZ=ehv@GLaAld$z4U^Mk0z?e;&CFg zT(WMqTFg4$0&=Mwuc~!&^&|u6K{{m#_xsRfS-z-f1=wAN*WA+}asy*RrT&u6{4_)m z)w1&nyX(TW${!RA>F+mds6NlLjuH>j zrdEfyojOi82SX3uxa4D_94$7c-7)I>x2ES zdDs!`m?p9a*Y_i`h*?)Hf_m)uXOwJWtv*1EX3cJ2ZK7hZ!lSQintgAoGdUIX@Hs&( zhB>a~jXTFC0ad$UaMkF-b*Rs;r#O1RAC^?@cIKMEwJm1PQOYLiII++TsRQ z*lTVFiRDPk8q)XimUSe{6VITYPm9BB%CbbEez{59eGgDexb9xP!>Sjr&0X+PsE7v- zvFOkA>8q63hj^Y|6r;5r&@Qd%bYBD4gIr5OC=pBIpHNdHHn>EQuzq%r-c|;xz=kRM zpU|ZQwTRzn>g(w!83Tkq)L@~#XRtDeadW8Jm3b7z@Vx=5-1CCdly(Z*0+YdzM`(Ic zc!iIP!*k|!BcZu>N9J3K4-4G=1pMb%mOsL?!aO_zA}#2|z z7W>7@q)a@W4WJrx2CF$OTt+ur7D~B9i#RKqHzUQJc3;z%fun7+MfjAgJ+;JLYS%)< z0$K2Kuj?ce*0=WSw<=lQl}Ga=#}D3^A< z5M(<1#dLVCFTR;$cZ*{;o@4jObeOv@UaCL7zc0SOKi)cwQV!}@_cfn+B0sfQ5ZN)$ zqiZ{EBC{M3Yxt#niM6s8lw*QA7g*%{C0AC zc~Ab~V6<5z%GDovr8syDK*|u5!h(2lXv#fn5=p&=&Iou-Ay2AV(G#JstySyI8;F^- zI_o6~X9YqU$ED^_`Q0NT2-A>>S(pVB=HT?Vi4)42ZLua*e)6jk%DR5p=-IBBP0~zw zp~zito=-}~d8^ngmj0516LyLpCc=xhOpc{2{ZF5LFC7-j#N!n-T?&2mjiSO=uCw1E zDohR^;}(6AkmS&bm^4eD+ojn%S}9Km9kI0e(a^V5F0x`8n=IlJjE@Du_3!!QSJ6+j zP6Y?05wFlbgf3sOTx^%(|MtgEc~g9KoIVhZCz*MaBye>gxR#M1DU@oON)u5?ZUT8z zjIOYUQ-Z=NjiHM+R%(2b8SI^)Liiw0K#Z(*jQabzKbj0$CO2V zLvh%o0cZr)D|^;X2FBqXq6Rwwtp#Ur-$}FQ+A&!_72v4EK%Zg8_irjpKugsA>_=VM zN1G&96%0KL3hccc6{(U4M;H@gsocHf*wqc3-l@aI$GCq2#mK==q^D8|bvm_>kV-eg zVqYq%sE!c#DQx_aT!HxdT)^#0Jcg>AT@@8MS(w_xD?Pai;)U&QBPQpFAy+HGK?-F4+TICIp%nLSHcwW1*ANc%Ga@GFED1U)V2a^gR` z(|I2+*P;XbQfyr&KSH7}-Kam2PB7}q=lj?RVuyaIc|H+WQW8C$dA{b_O;^-eraI`^ zptlgf&cc>BMo$C!jn`-J&u_L2(#C{-6#!>FlhST;Qk*a#0v6xbi&xrC`qdiNn>tG$v)p&}hc9`g==qHRhKN?Gh(~8E7g{XnTtnh8Kfw=w_{f~^ zA1SwlV^`aBm^E-ZIdCc_TIbM^vFNo-;rh!3hSWK_)Ejn~ z2$X#11Nkj;f&*5U(C0(uSHr9h5;ZQF5~FT#2~1yG&8$cPm{VCI(P>G9k9A<%XXeTa zQ+h&4kTDjP^C%hC~c%wh#w&lHHi>1XYAS0-TSQ0oBj53{FcWG>ljjT zMZzz+V9kcb(|lgs^`#}1M*GH(p9+y@9})X?SE8q(pDd!{3IaPgg;0XLMblnWeefDcMe`7c#IX)wwQ{(w?P!NJ_Sh5t# zOj6&m5lI*es+2N@R4QzrKO9S-Px#9#J9|~cKvncW)$f6-*nw;q5`NBF!=svk@`TYv zC~E|0G9P?BWm@H^9v}})@KjGLf<_{~M;LLwRXDeX^qc+DNABke46O4X#2fWW#miF_)AMqRu~(!j zN*mFtkn`LKrRID$LYZ-a8=*?sU=o=o0s?c{l5LM9k0pWUi{=nja`NgrW6#WP&)@j<&idoon|Cy&Kh5tDq zq2B$=6jNE;Cb^=Ue3%^4_*H67O*{QIg*a|WMA6NF)HmfHJW5nM-2zCc+P8Yts9OIe zLd|W!IP6u%{1phZi8|0fxX8OOy3<#dvgclRS>ifSetj!25AB32jKg51WM?2;N)%+F z2a@EN6FiU{(YPuM`DhsONkAMGQZ4W)j}m3=r#%p5?PtP}s{!EylO==wWAm03R|3kO zYr>j72S}&~f5C@Q^}5zml(OcF5o*2!OudhLXF8tD;aJjY54a3iWY6N_qVgew1?jGtox^-DDH;p{wQ{ zq0K?WmLTF1AoxgeXb?Z6NBI_aue~@N?4v|F7464P1RQ{3eL_Z4mK0AYgIby9mDzLv9Y1^M@ef zmLTGfLByYeh(8Arw+0b^2_kL_B5n^N{u)I5Er_@Sh(PP!8C3H3AmXkd;_k2^_XL&v zBZ#;!h`2w9cmRli&yK@hT>a2I9z%+QyrXMcd)w&3;$sl?Fq=0E{Ap`P*D=#Oj+x%x z);82T(l@WIqx;!yo$dMehX5%A{+!#ZUm?#%oEx|HiSn|StXaGIES248Bzfxhh;rsC zE^71q#?6Sc+WAK$Ri=r)L~e0vwidtXxq(r-jtfJM2gK0?>Pq(pAh>?0e~scJZavDF z$GH(n4tXvRbh3Fd1&`Wi4~}^svwt2Q=8xVCcJPIGj9%j^$6XC~ffF1O91yxi2L}WO z7Xl$gZyr;~Z!~!KR7Bu~AM=q70Xpul%9d1>lnrqxDO<7$1@fJs>C@Y1c6ZO{=;`X} znbAG1qo-r$toEK6GqE|*F(dn`)itp4rjn&%v5)?NcuoP4!QdmYggsL5X3N98H#U(N zyTILBw{G4WlyP*lt_DgpJ5jqR4~oZkRHho>C`xh3-!r{ z@_lkXKK1FmP+DVS;uEzu?Z*WhW6BL-u1EjddurZS#LjI^KmOoW{wh4{qmQQe&mYL^ zj+Z+p-3M1I{rI*{@`W_r+eBGcKn7nrNrd{^=fkQj!CV%K)OnTjcO?Iz>n2e#{I1cySMCGg8f7&;k?NlY9@F9{@dvKwhX2VFC;87$`5#O9c)QHt zW~cPCDPQV?e`j0p@0=7T`e-l9zciR%~eT04Gpog%}@V-#&2=#NFulxM}2LJ&7|9AnUS6gfpRTw@aP+H2Z+^@ADRtiN5 zBBd8Dv$M0iQ+6)Q%pxVmQ7KT+6bLp#f)YZIU`zys7~&6g8_{G-#>F^yDiWXCOLC<&iT%F{`)!Wi3df0A;hL%w|+^TsG*igCW5qX z1-g|pgH%>cH)^I6c)sfAJRYoWZ`-_XZQJUWigj(zEW$E=W*0Ecr?H+)7)k2O4Nb4F z2legvY6|!oZpr7_Iakwzl#%TN>6`-6CB2bqw&~cGZuz~7JGX$j{+7(D=NW0s>=Wlh z1)Q}vk4s*q*{N$-AY!Hd5?Ygt-3g+@Giulc)i%@@9eK2Zlv)W|21y)5+3o_&h8Y(2rpA z6#g7RZ>+LZlW9#)r_F^q zd=94uyy0mX-Bb&h`-?|u_O*+D3;|L~p?YbnPzva|KvdBC_dypqtE3cptmnIdpU7)i zEd~?*%Jug;s1swUv0Y+r;FGGaVpgoAb`OhsbXEfbO$?)k1-1jP11Gv9&SEPrVpNebK=io%ocNqbM}|X0`~U z@KVHK+WgG=i8^GMNDacGs`T_c@S>7x(seW1X~!>afURCV9Gxla28ZVl0Qt*W0Yk7?L6t zCK6I~i5NxicMn{?2Aov0&=e}GSF-3Ork9>8!0=fO27bnHbYK5u`{!`U1ZvPT?b^9e zWUjJdl~YEGYMP(@{Fxdgl++Ez$U7v0COCq`-c2kAd+?u!9Oh()TD zuqn?XM3NC#j#qOU$aHa3mnxU9yNb?gwq5%Qy2MpKT0D*;uG88IKjinP)802yMsx## zBl_{)%JJ_%22jco-FM-D@tWdFYDb8-43QZ_k-Iv zKiu^`lQ@T8`E=Lev!oepWP0hq*H`#Kd`N_cD>N79sd;SHb8`;HB>+php_Otj6k}Tc VWQ!uHfiemUBb{dAe*gdg|Npmpk*xp# diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index 0379a24d59abcb6233bc757997a29061bf38daad..a8ef6edca708e9107c7d7e8adc9d400154611534 100755 GIT binary patch delta 18137 zcmaHSWmH^CvuF~61RH`o1PKrV1b2tv9^3+i;DbBN4#C}B1}6j$?!n#NVQ?MX<#En; z?_2l2A8)TUwX3^&^>kNvSC{RskeBUIFQe1|AKF(h{(0b^|9!on{7>MlsQ?k#dBBLA;#kSDg06ClV0D+pPK%FnMs`67T*o=5xj z&I_ftbc+2vmtpn?$*@`Wo(i+*ODz?+Qo9%KmhUIl_K&#XTJK-K)i7YJS9ECJK#~Fa z!edftxaGj{=urSV_9oSecnmjfnVdmv6P3I6Y4(PDUu8@It&%i(tiXqxz;E2z5Kx~% zj5m!S&7F)(^v4g{@(y`}@Q4k;KIE848VWg~Xs`FY;ttsxWib=9-ZTX=it%Yw+IrI_ z^&7-}D>Rxi;OIf7IW4x5Z+v~{F+?9yDH)8_`m-AA^J9CU!;VMUfJ`VozW zpzoUH+cof^?;D#}GP=^cCB|I-Jg2g_BlQ?v)7$ECo2V028F19&W6T&EBy0nJw^iyt z<6;_L`Mi~=;}D4suyhDZlSe(a%3Xc$qhiyi>Z4{e$VCSw97T?Mi#52)U0Lid(=2W0 z^2;``NMF(IF4MY8-WtX?y{}gZFibomiKzg_fr1VFT{z1UDEF?~DV4@mS}1x=iI!XX ziD3Leb^PN)tz1j=<9;5$PQ!|v@mTvqivpX*ZCNb%V-N~Jw?yNN83op@7^S-B-c@|R z)JLl(TN=OSGT!{TK~s(^?&f>+8hc)AvlBFSO*@Z2^_xo{X$&td8I7Y1pX^rbA|r6- z8gnET<4+qZi=TKNyU8zC8=6~`n|j2u?i};+owh=NW@7O>Z8f%vFiok3ec9>QVwO3P zKUv?X`?_NkXi{alVh5SeT%!hQlf~Hzz7hA~#kj;AnhKH8@XNZySkta^CLVlvXq0hD zw5IFOr|tMAOd2OZS#Rh7&4q5D_H6<&1vGU1_X#prs(rLEp)>@t%u#79yYDB;o>z5$ z|J^?e>+oYkr0-o!2Th<{L>ws-ug18XL)ymcKAD(UTG#$t`B*ST-)_wEpGWpw&-~o7 zjgNg5FrjX}H^xUV>_>d-2t>?xX@hu4I@4al43M)9c|?mbD7TEU91&55dH^(IFfwb; z&mdAt>UISta8U zlL{6nWyFl;D3cW0u7|bN^l96Vml6H4wZOmakznL=k3a8w`EuZs z8y6qdAVvGvrv(MV1K`Bk?|~&Fy!@%F^6h0SdHXp*KJ?SGUvRD|F#s9!m>d)DMok}MDL@)0{ed{u84N%gYVuPsj~@XD6D>N z{lP$6(el>@h&uWb!#WN`Zs5z15M}6``kwdP`gxTv|Im685n%j5k@p0aDds!I5(e&4C@VH21VZ-Hfa;nV)C% zhJ2qR8`-qIpNSAMtB`1z5|*b5mZt)irvTPIRgm*rptA`H26@%-tp%ADBz=^)NViK@ zFNVEOJ#OOtxa^CR4m^H@ z?yN&%jSyX0)h2ZjDEgTM5)sJxiA-}ch{BzLC@OI0<93N~GKc`vM($)s3^GH-8o~Z* zFC!oh{0%ol!FqTFBYN3Mj@_97y277F$QGoWN1(#|MY0H#uRkJ;31N*qQXa9`#XFgh z`;lA`1etkY9U|Kc`$*-IoY5?5wTkY%6ayUx&`jIboyeoYv~fCb(Z%SnM!=LALm~p6 zKBLHfLX;ULB0I02Yu8ab-CnU~h=e_(Z-D=S`z=#$S(&?O&5DLG9w%&I^eM{>4xscj zp&J_@v9kCkC5jFXe04PvIj0MHd_4xGyv32w7g@@rFzR9FM0pU|L4*hyjE6MCrv zU_F3fL}Z;jFDhDo)gqPd?z$oOx;2R;>WL=|DIi&y;8usK4o0Ew^L7Q%@wl z1x9BBlCeHQMTU>oh)A)?DxXZTp`FRYs|tvZR_ouX7_G9^?pp-ohnHDTug1VT=wg~! z4L?Wm{7P9QNzKt5`6%uaL^6KGj9Bd%=+Y;^dvg?M7aeV z@Ul*e44VDwFj|At1$p@V0tG$1bQ8h8dzmZhp}YV^70#7fiYC9x1!w0isZEkVt|3O@ zLA8>zC$(I5C3e*0*9L4_vlvvsQYI-dYZY|9FF|fst1a8Oz$R1cgprp%MqwlWCuxQZHX~S zQfuA!fjo7sqo0>{z+sK+ROsuLNxc*jgtufi6L0tjAu?W?p0b@Cd{?Q`$i^(5iiB8a z?}BcyI=xVggT-*!mM6?t6ErrB0QeBr#YMw)~1LAjjP4_0?jc{1w=Cg2v ziO?Mci&Uv_(-Q#COc9IJZ6BdI$dT~CvYEnwbzlmLoG*~AA(KsqUQ@#SP_nI;zIy$Q zctGXR&h@3Z-Oi%P@Gf^1Pj2ubFE_alK;z%C!*WCmg1-?-pjnIL+do%6RiK0X{h*^!Pm4{6H1abikAOUyy=;6A3;n z)90Cf?RmuTV?Gvdp%>>KYX@EWwm0Fp91s))-;4MeEw&~~5H+-2`;eU-BO3(@89Xm= za{(9&J70Yq@CtI2o z)ND-Pxt{>Ci>kLs4*~`o2+vccBtMu1{kU!vH#Vw4z4LlzBxzhAUs{|W8Pb`K>f06# zyMQ3jdz_=bn52T$OMLKbG&y>L09BG|T_hS+3HZQ|;^59thUuV)rouMRvzih{Np>Ga z@ry**NQrL!W?lzIJ@nQ{WT_bIGA#Jx4KRX#qOACRty`!vYZP^#Hc1Zq4(#WQ2cgU$ z5haijC>X+Z??ge^L%PG8O{Y0YLvj`DyN%IuU0YGc-e+0Vr!9~h#;PLNDy9cLpQOvK zYfQ`)9A7sG;>iQatPJ)FsAEatPa{o>nYhjdvhSr&S0334i3m;{f-gKvbO)gk$jPld z_a!ls2Jw&QD0(Aag}AuOUMBicpl>0Uw+87dCkeJ6(cL2Z1xRbsV@8v&i2kMUBO&&s zUrup>;^=_~pK(I5Fvv=IWQ1k%qEiUT`XhSKHVEtCjZ3Tqph1wI|A~{v zsK?{Aagz_B8D%%Y=`_YP%j~`k7q%b8xX<(cAv={2z*;Bhtn;VnCJb`=^ou*lgPQqN z(jW+V5A4?wasA0mg6ICz@v`mlQeYUK^)3yHY}?*b&A-I)QeWMtSoKKI#}*D@rzW!f-LvX zN)Y@d_dn_%N)Rt`XG3JeD&$4Q3{u6+>x$Y}6$LLUG<>yiL>F}_r)4($UH(Qm{B5B^ z_eRq<8Wp}J^?~C?kpl-T?H*{po-ZAx?2XzbW@U}aYnI<4SS9fU0jojeqD~wTlo!G|wOv5&c;y!)p zTq!;KHo!}C=_9U-Ulyq{H<}-r5k0}=ke87Z;oi&kzo3hkM!@kBi<2%BdJDpj%Zzq6 z>GlYrpVJ8bM3is%Z!LqqCiNn(aPIkC+EGL;vb;HbzHgpEz@^q*H0H`1$|ZBrToT3| zT67*o>}O4#PDT-5f^-9PD?wj>cb;4n0oKX4=8=(nM7_9^}<0t^@Ec ziP~4myS&i={(Cdj+tB8>L1u=ZR69@b{KzTi5wrE5ffzrQ4f2=^mJO5^y8e)A`d`rqjmQt)0{mAl#k*s+$CN!tf`UlIY$5HE3e|G-A?n+VzWA#lBuK(b>GHGD zQhKg1o}=7$=D6|zx|{a!bNe&##k!Md+r|6U2wn1aShtjx9`|X){%3Ey-3!TQ0Gzr!0MBWiPB6jggG_&gb(3a>}lQ_9%6ZoL30}qHy^5v+-4+9B+HvCCBKW0-nGk#HGI_k}f=HQ$AL|llgQ3(bzX-HS@ON?^BOZ z9TS^N^ZnUbm!wh~xVisnTSxm$6+iEXst7|St}?1u*{j*nVE=P?g$~4aQ{C&o2-oj$ z|FVxHS}Dv|z@8MFW@p`ef!KVq)Jt%UT(RUeY$mZ@R1E>~I@K|m7f8IWJYIqu<{V$& z>T9Bt&?jHIzL8^Vl6=9K>yn4J0+H|rXwNr<30uYaFLt2HE5GUP{l46+T*@(~P~e%> zrZY#7CRv@A1$_nZ=nT@#S8TdSuOD0EW(=7~4Jp3#(T;BTAx`Rb|F@D~oV_u<Y5d&5)YWH-xx zNR6?ziDH{SYsTj~*4CYMIi(!9>$%;aRQW!^_|CfgmD(3nfF3dKmF&wr5wQ^gAd${% zKxV#%1E(Pq7)4=?S{i!1k=P|4d7x(vqAq^BP zpvVr}u-ZStfMbQb8lrcR^)>Q)hK-Esi0E`Kmh$d_i;bESgRMmkZWx))@$9T=GSfvy zLcD__bU=;*KihkI04W~i!b#5L$@S~7xc{g~5ECh1=Ar0hYX7AuChZC_94b%bGzgSb zSWjr^7_8M>HJUXxv)kRU#a5`%rDmS8%XjBI*!(00oLj9H|9ncUZc?y{BucINfD^}V z9eN__6CfJg&P;UYI^RDL8G)(OWSLT-yU-?DvQ5fedZ;#^^7+e2V<}&kXQPx2lVU4R zKx(CdF&8(HG}?nztoH+Znt%(DpbMe-ox_hOWWy7@&m~)?KVjb=nfGc~O;bj0Y22^7 zuUS34fOO4-WmWs}RgHj1tC;a6g=n3XFXZb7%QZ>tC54HpHQxz)UCPut2e`pRD<*LF zie}HCA_|I|&XaBtG53Q5=wkD5n7LI`YS|3&Ssobgi$iIS=Rp{O*QX}of>821!$M85 zO<-We*%SN9(OLMlfzyymiNyQ{#qO$eNrVzI5YF-aGWpjOo%cG`5ev7!)_#Ul%G|Y4 zd7I8m)uzLjP`=x*Ed5$d?zt7ZIl-bGE%NYR5q?ZtmUFQlHZVA$ut0=sU!V}pzuS_Z!`DZ>E>pn zx|VsIP1Yw3M?K77(4uRDuV*z><8`+N;NU<}ZZ0@7tSJ^+Stt!QiH%yAzJ_cbEUhGZ z4ht4|{aLnkmP-xek619bH=q!<@@k}gYnrOFm=dtT`CG~2D%eqIr65>3_(u6=6mE;y^&-n>w?UDFD%)<(d;b46%>(^kt*Z4L{DvSdP% z$$JJy9@WjRP#W`6R^U|76bu%i6HQ8=qNWd)VNz|nLhy%jdUnH02UY)?uRI@)zYfp9 zsOI~|^W6?m>AqLj$(be5h41TBZNROC&IpXeqcd6)9Va-yy%1-rbY#zE)Tg3<9&6b0~S0xL! z$jr=^+d{6ApP<)6$>5TjJY%Kh2aEJ`uO~dKe3Vao0ybhL-SE88^LR(41DyuzAK zKmQ~ADA7WHsaPffS{??3cRKUexz?4(vm9E<6rc`2{T58@-}vQHwU`mfdWIpes*sf_ zEW7z@3t}=PRi+j=XmaXiVTylEXx#+X<=RmkvFV;=v|s>~{WFy=C`fD&4t!N3-*C4O zC35y&Rg5)D2DbhSReA4h%E&;F+(a208rha{Rlo! zjG7a%SVXGS>kRgWdgu{hpV2Tv{p#b3J)y5~%?tToL;XtsUVQ&0wEuGtUZ3De@7T(S z8i+QT|2S#tiRDFe=xXL?@vC`O}sq&a1GO2%YnLZS)_1Z4mcTpJ2SL{5k|*; z%7R^E9ZUH zTDmSacm&eI3%VG_bUiE4-yIGk*A}0=y%xNoC0SHrG>eroRC{#!Y{jedZg1VTNF>1; z80~UsG+$wna!*qGF*kYsftGlaWm&)@1JIA^dQY|3G5LFghR;bjZ)h=)KweiC*v|Xh zW9tAtpyl8u)`)+Yb6n#%?LTqXtlz!&-X|oy97{HEbB3X(Iv$692K6r6FmtD}`5jF2 zsG7pxPZoVMwmG0Ivt(>iSgn6ICIIOn#t0QFQDImsyU1&Q>x{? z&2Mj{+*@yAv((k(Zu=)p+_ie?6{z(oI+db@0^}m4<<0(VgTpcU74B$M84Gs4f+l<(r+;aL zdTZQowQut-6GvrALQ_8iCphUzL;0$O)%RwR!(WG0p8~4yU&lTPA6p+?n&!ZU4^Q^* z+)IMt!ryyQ&aMk@x}FM_1<&h4BI5Ui%_eHl$%H(;P0!dT^|dC?XT1#Wu~XP*;N?G? zEWuk<+<#)FMg<{tp~gC*Kt)p zw7Kndtn(qYMC2SO~>sC&-gvn5NVK!Lmoo~&Kc{cEX zWBq=*!6w8yJrosCAnDT|_S9|fy3HQ&sWuKODYKZDQeu+7QVKG;TaF;(=C2*qp>Tu* zbD5O;KD+_EO@l6W=O5FQ_M+SBhWr_b01<`}yW- za*0U1%7gb3O3WfS|H)Dloa!GMkm})7S@m+aMICNCg>wNkr0u~ENE+e+e_yWTNwN?daAM(?#!RDH^S$;X)iOg$4+%F?J zvAA95^Z^bO`%`2jFIpcE*aYdeG9_=P7~j_BX^0THFT6`tIsTZwg`NVJMxoY)sf+OLzShm+4AiU^FsdV=8R`^v9rYW&o@wG&j{JHoic2T55kIIp>Gte z5t5F>?D|Y|5rZUZb5$Rry6<(hCN(bzTZV@Z`1#iWk2sIBF(m}{D7?$Ct;Ve9&)4gkkuHDL82?oO@gatsMY$K<+EKpty>g8f<3zI{I~yE%!bjoEnjK!-0B-iYWw zk!&+^7^;bkd)gucaXby5S>KG0g64gO!urr8L14+Kq!?Q8zv zE8A=#?&}rwAX2B`j;5Jzdyn3>+3J%`Of`60Ol5wbHb3;@I+T|`I)*W1SRBd0*(}dy%E2|S`C*T9oK!Z%l~+LV znf##x9oAJPQZKb-2S+Kr;?v_hg9gGx`!lJeqv`cQbDW`W+5{uFx=`&(O^ZY|#xk!N z)qE`O0U-&-oCU&EG>Zp?RPaNw=>*LPgB8R(<=(QoCp{AK!C={G3-;FPfrMlJ8|v)h zERy^FN!t8JPXE%QkFZYLSc91x8zx|czgZ(5-vEMiVEVSk!pw4S!t^Jntsq2i&wl;Z z+oJZszvVm}e#w&FdvJTgROXI7YycvyW&@Qr+4kclMUyuLt;#Mqcvn82IQ|?MiE6em zIHP_#HAME_#Bm)th0kU&jPK6h5+S(>vd%Gi7gSTb&q+@lg_Tv^3ZLo!YF1Tdk2SlJ zD8o19$lJXEj;CWH*)H2F_Uv5JYy++M@7Q1&q6-sRIP@E7iyt=?7Bt|x zw_8dP(aVAE67KdJytHdFe6#;!7RQcK;sHKD%_|;Hy5V*0)}p)EX&r;~Q@Xd0Am2x! z)$(-MmAI%fe|>s)+M83ZQ)-Lk)w8d4-h>f_m*vR95$8G z#H^;XT_uhWuWWx*>zROsSD9hk4GT&@w~a~c_$5(rp==ppDQ}MhW~nzw*V59h7w8)J zmMhnj@$AlGFu&R*v?&e{GocFoH(OYNOd9h8kDP zI;4`~G`^YQsej(WLOE^3UA@B{a810O%#%Z3$hZE>=rCeBLhEb}-XY&pE9axb@DxK@ zLH4TYlOnI4@YxcI+l?w3T=SEI-)GxD843-9)^j1H~xez4;>yY)zH>b3~F45o) zcG)P8$6SJB26!gR?2!!Wk#?Ae>QR>R7@O!MRw^N)3=G>5glF-GGU#)F|6|dx@OrU! zjN>t>r|$$Tl5~D5p`WzkzGV7R9=~LoS5ad#ARHTVa#NlON*W`Elkf8yS(q4%4#BoUf3jxo1`n1_saf=Hf(^NZhPnpgv;Ei1Zbp|%&&N9=T`vmT z(j$)Y$GeTI%wfoorj572Obhl9_kivtofZf6-gE&gQ-NK8Salz-Hsl27uV$Tl3UZih z!X<@i?i#_wsQ=YW_3b&sv53j z{O$EoP_lYH4Z1;dD?7jXDTnn<6WGu z4^*T)X8IB=3o6rW%8|M&XjUe|2uyY5&u}&~iRq*qJA;6iPDj5t4~(~3Y^&Kq1sl5X z@HcX(1;NLg*6uq6vJ>?Z0Vt?^f~jgm8Fq)&>POq>_g zG4qt^AG-vIMur_iNp9lC4`N4n#+9f9?pFJnY}(mQt)JqcG)l7C*(TTe1?r@C!;wPu zlApFp$>H~IOEm|6kQ6U4W@OrE?ECPPvUv9MDf17pPf_1{^nSV{2t2s))b_Ef6?yh9 zFIMsGsxu82xL>9i7F4^$Wj9kBp`$+(a?#DHWUv6+zrPd4*3vT#G^aPWZ#$f~^)#J0 zsolEo)bYqZt!On)a#W5e4DjkN$ldG=RxEPRZ_#hVuOi?sd%Zs1koR|s3G5^ol^5R= z=^##?rcx#0oZEW&u1hTCW`pkxXqNq^-ITSh^0@C_JNo`YDXm`i!3?VRbXSslYKV95 zROAFgtxhn*ih68+(oOWjU?of#jH4gd(Mol;QC93+G97$eI4pZumz}qnq3{W@P>O@c zkhQXj+E|S0c`qMB1GH@&CdISIkG|&64ngp2%Uk_JivIe>Vc3TMmRe%?quz}&o6eT| zpcki-M@On7T5tZU!*YxD`j$=pGov;&M!0T5f|)&-+{MP(D#;%)t^(y%n@o8 zQv6A?i&TI6)6&aDHtR7O6CMt;B?l@zb?KCRcODq0Zv^Rmx}sqkNm)+T7rW(Cx$#JK z*Xd*U;+0#k`6^>(-~NYT#?Q2Qd;AGjra&=vUp?{Q>Mf#4UA?A8i1lSsE$E@xJKWp0%e`D9 z?etc_@<`z4wr2R`-l)C4a3Yd#nf+f5x>I7ZfkwWjtphYFw>bogQe6Eo;t?W==*2kC z?|1PL)sG5t6PwaHi7E(pYQVHoeVwwhx8?MoS0w~zgFb0K2Mz3?o7SXWAGt8xw|f$o zh-LOlS&i%LW(rDGyiYamaqnhOT!4nGyL^scHgb?y4=~MSavo6px37LRmQB-dy^_Nt8yc>z5=vJGb4a1G!Az~5Sv$8QubOl-TP#n(MABv$ajSlHd2l+eh zR*f()lYJ|g@)oMtt&bhi!orDPk({`A%5`b+T*sh{D>1u)sjH0Z zfMS4-y5Q``44%d3y^i~e;kUo{wS$GuF~&}n@X}&6N)E*?6>1&*fF-v{wm1rui84;2 z_PU|7SHL$flA)TZt5aK8%knq}2Ipy{aRF=A_c$MCJK(~3+AMr`AOEZ>646}4l0fVp zcd7K0=q4=MDSXrY^^Ggu(?Iy8lT1rYL#vVkL4P{z{*E7;1Jf0(us=Y2eO#LQtvFuO zN-jKNw{SCT%By4N>ki3n$_kk+D5Nw4YK2 zxARCJl(qqF<;EDP@p!a(C@#2hxZ5bomy(?-EBpDnF)flo4!0x_xR=&VsnL{o7)}5o zz$o2)uG;9!&AIMplEn$(>3)_)Mt=SDtD7e;HoW`ZR@}Lvz)piLF>y^|Ws9tuGTxR} z!uU5CgMwFrn@Tgtqqgz4XZ5Uty^a>hw@FqUEWcs`_sB~`)w4(J`{NWjy6PFE!crt~ ztRH%h8lpp8ndFnx*p#0*gh>A|A^IPZ1B%hD2Hc+4dNUg&>&pq1Q();e{@Tt7+I6Lb z2cg>wX>NOF=RrmThRe3u{SYZN@aE|aVBEcI!|)hsP8}Zh6~Y?mezU8yQMrR(5-ecV zJ&Uq~Ba7+1ui~~GtJm|M2};GDhr7>I+Ql+rxv7zwlDd2d?om`?w0J}$Hp@N)fZ}a$ z%tKCBu3E-4AsXT4j}GZQfiq@z!*nPYqN}N(hpHR7&|gCT#-9E=ocF)Inox}wW@E=o zU4~fiG$bk?Th9!GpmuS<=*Vvij7}d!dkG&fiu2c=cesbD1@}(0|5z?Qz@JxpaZtX^ z0%66QhgkS=tJK0IgRpbIQu?V{!Tl$aLN&;L=!!T0qK1o0g?yU?ni!$_|F&o$$Lp8+ z$HV$xHdM@WcPqeb>OYV^Q?~Ru=6FAgI*8V^cbQX7*?0Hho^|e}RrLbiqRB)0yP|Ew z@?zAI2+qGAhc|i6^~*JZeoa8~b*1}LuiA9%%Cz)TPC~h_`gNS!MaLg^qpgUu#tEa5 zWb0W_0u-b57doM5IK+XO44N%1o zsr$|nWQ_wi2wiv)7E4DE)H8@uV8AeO7V=qq_o4rt(@ix~a{tjL9q&hYnLO?k5Q#7l zUQpgOIPzOPSJW2dIL+ERy1x)PSK|e4XegsJ?N^O3yAtfRuo}!w0Vl9J-Xv`TMydy2 zG52g3>Q9hhE0^b)5|zyM%T+jqmKFA1o1b6P!S&OvyR4w8P4gWJ)>Jo>se?}Ii5_v4 zSvbyx1YMj10&AuP84gdY2e_XA$`r;_YxBkVjg9y$UUj{;MYkRg1E*rbETL_i+S3kL zM{lzzuaNqN^5T2Ibfq@L{6VME{p_98eBH*8=30YPbOz@U6$$T)eHX66%0Qz ziB!i7N=#1@`2}6lwezJU(AMr}T?(evdlOf?)#;qcZSyd9aD8sT4qpPWm>HR28z7IQ zKrt~7iQCYYijWnI4u~i0sr}76(a$$Ao7abZl3Fj}IO6%ocjLs4na6>>(gOe>vk zkK+o-j`Ci}hROygWAudJ56iZ6YBs)In<#%>Z>DdW)3W?&jo@&^jiP-7J3sy53t{j~ zreHO>%FiKq*Ha4sp$9eQ>7)3Np~%$X?@da`wnX(V9Al*$gY{MvTOuo>0r)d; z2s^M*DO@DDLO&gqFIfDU{a*3RR+}-Z<5J|3$T85-lf*rAG8LWn-mvz10D7l=iy?9!va{5bEA^@Kdy!q9Anw)7B~TDFqL7}(=|AtW>*J`*Ig7)eRJz+ zs&q8w`$8AvscxVcnyNH>DxIKSwe7S-<{%3+Afe(Gr9+{DaK zKc+UlzD}y7Vd?Z&t;$(;?9t*p%7DKekzEPXpP%ivay*x*9QcjL(06icy=+*>!ix_X zV4whKvhnkbc}oH2HK^=02yzkCXv$z@ZbP>>TS4a8IaWT@{;_lfIlMqMtAqYH(rj@} zOGxPLbOQbpxKQdQEO`l%LDAOg&lRQ_8PieL;8dbKb-XnJR!pyZZ{zZzClSiL<_6yZ=SF7Xa9%*HvV5kq+T>~+LV4RHfRXUbC`|S+qLhY<06s=pSb*C+$ z5vFhE+rf1L&+)KK$t-mxkI0>j?NJ>NAK~%pcyo5lo6wYaFubL~JSL7AF;qI0r$xk~ z$X)(33ldNyu(P)P>+U5u+XRY-Pqj3RZC#2=fjVOwtZ0;p?!9K*|%C_ zY{nBvP&fFvi~lFX4EF6UGg?g@af9IXU(SrM$}Mfk4ua+k63NZ%Z1TOaqiV|4q6kG} zGH+MA!DRK7#P2$DBw@qLd14Bk@4x`-K($Oyp%eI#PVsQp6r-a#di-4iivYRR#oej< z-b|nF5cLt$R_KhuX&k4x`6DgGd>RXpGa7N5cFw`CBscGqRWhQTS<@59v4zor?k$mK zdSYN{B&3T#x{3e17~#;{R4!Rh_GCW`G&_@)rqivMc|qP$RV`G!4!JZ`2ZDYU=)-(? zneB!an|XBKxb`dGzYnw(`kS}?t)QAg^VF|qm*Mz}oKCAF$`!XlCa$j1@y0{)ul@G$ z4Lj>U2{S$`#yl5F>+V3|*V1{r60Y~JTyGmng?JN-(7#0xo6iYwrixX06$@%YH?4VC zT`-Yrmt;NJ&;J%R5s^6;rvT|j@VF1t7lg#iLzjb1YWKDFWG5@#_Q9VX#F8LOg~@Ra z@Q5`jn+`Yc$lQ$;V`O-}x{rbNLJu18%=gwx8FT50!E?F1%E`+~Yp~e|kpZri+;y(L zIp9?9w@WD{Q&C;=0ItEH_j~-z0uGIZ1s-)q1(s}&iAg*~ulGb_xj;Q8$Db=f=289_ zj|G=i@hSe%oDf*^k*C9WI+Xm_C#R@Tp`Nl|z=Z9yf_WP2z1!MLIxl4eI%WtT76WWtfDY5vUSVJhcPS_Ij z#kG69!hy>=4b*l^6tK}r%M*Q^y0Oa}{{{#_pk9mvIK02@8{*$HYu%i&Um6CJa4#8F zCql{-u?RXjS*v2_=&UpxQn3c!`5T_5P%->+8o@m;S8#U`U^72x597|Gq;>TQBHPdqZf>u6WNL7~ftTnJdt217sL#Gy#H%Zp`~Oug z)kM-y??8=u&41v+xXQGesPu>s6hy`5Qi~hf28!$&L(M;cwkFBd0Q(1k?3lnS2)YoIVo*Xg)la-aaf*z%(AR7z_Wq ztbcLWnAAB5hyPw#QEzQ6`tSOsx>TKKlKoWq?9{)+2ahlG6#qAK?|-TK%FoPyltBf& zdbBy5lUTAMo-kkH$d=y!YaILqW&PkAEWkV~?C=uqEV&ieR3+O|3%r>$5^_!g#U}kX zZSNEGU$kp!@^^>VzyJGB3;4cDme>Es%AjL7CNmqGG-~nV10MNb%hT7Nsyz=U?v=3L ztJaMEZHH3{+==jZiJLOJX@xw7p7fQQookMBN==Q^bduqBYLzNQH8XYL-h2&fU$>|( zg6Gk3Ejl+J{)V5Tw+`1-=Uh(=b4+?dz$ttiLYl;9dT6#6e^9GSDk2?k6Y?Thx$9JR z1#sV5ijz_M0`8LYe{fZ<-)d?ls7zSGFT%bwz|>nD>3?dL+2w6|cevXRYmzF+Ff5!) zY}8V7OU}slt3kPQ_G6zizYIZ7^0~fe@V4vAJvCF9?js(bIPIbw!fW@M+z*qqb?{vS zF(`ZbS{<$=LmBM3k%^6fl!b!wf?Nvfx^boCLiqq1;QXVZ$8)yg$i3Qc>;UE~TL+0o z8mDb{zJAz!Ks3~>tvRh#kN3kg<6GP2ho6e%O9Kn3yrT!&SJ$2KrISlqAwQL_HpbMw zs!zR1TDRYVjkcggW^9XxhJK`GesAU9i;6UDQP|4nd z>6}G}=c$&^;mCUu=a@oLGC$tHte>(gEl84D{9ur|!&F3eg9mpF`{ee@`aUk*3RN?iLWtWLUlW_?#Dmm?VKkL^sk;d%%9aC6e`WzxqHdT^D~6 zMsr@#m&H}aSnZeAfD>-p5)|uSLp2G!B-lg1Ps8gdV@NpUdF$^^lb7_84n!~?x*(J z!3uCUwx0ax3bY4Hdfe^yaH4Tp&6+rep5jfvFxy_D*PLG!|H%??G*%UI13s>2RHvRE{Bl+JDQ8W;(2{cN~C*vj*@R2@li<$=wc7--V+ddpfFx1!hzB{nh-K{O>o zZAmP0ZhA}y5XPEdT?%0QhVVdmv21-rezwt7=;*3@@UErra1-Oc*gQ7im>$TGj^frUii7SZ?SIByrFTSlOfXoG|dU==x$t|y8YB*llHs<_C;r&=aLo* z*iS58?0I@j+7vj;R~7OE&E^Z69Hx;q`hVv&*a#=1p8ZsSXG7r@Zf004o=!d_80ZD0 zs4Y5}pm|CU%QfYzgMS0Me?wz4tUSrr6kKwhyZIuU6hAB0CcIQ|eHu#=mY2=G7<cd&gcqN*{4gqnXbRn$@paw`TT|H z?R=5IsT2D=&pLMG{{5s*hE+)l2W>O~D3naD2OBS}s)wi%D)8bZOXYySiY%$l`YIB8jJC@iN>eJ?dvcnQID&As8^ zD#}JAYImb$DxEu*aC(bFZb1q=$=&_$JKxUjGoyiP$FWOlhKJwYI*?J9&NfkwvZ)s> zZp+arvr`i>V2|o>BbNGyj%n`OXd$A2=m?e+KM&bFH@DPA;&& z>~zt^GuNzl{GVmDrQ)`%uI#IZUAN6j7V7Oa&#crtTY9Qy;f&^oUd@en6mxZ7$n@$Sw`VLW-g|M&*@6%0uY~3`&e=S7)3d-8A>6CJ zX-#?QBlzjG_1UWTiv0)uqc2RoARV(L!LDd;&P(^bYa`?SIEmgd4oWyeVwqo8HWP|6A?yGsZ88KaOtsa(hSCmFJhObobfG)qV3zlF)wEl2WY| zeB}>w)QsmldGxy9**{-he`Sfy%Mbz zohIAmHDaDy?tO~+eo)H2Y<^qX-|oykIn{2njpgLeXLE4IA1!sSy{Gc4w#ASe7#$s5i)!KHSvnGyl%GJx3L!r z?MkbU?OXUXc3bz}Qy(jeH6LB;>``mKK7Grd_sjL%x{Xs5e)n#-T;%z#qGz`Lp)cA0 zmTteZI>O8A?hC{3wFjf@iZ2Ntd{=(KuXwSntpC+3lP|O_eE#Ff%%&$Z&qaRz{NiI} zenzi~j^`=0i$=SPoGwYO^r|zV^0O;WY%#oVs<#%iz_KpSM*V+qlFwM!)ZMwl?4AwX+_6 zUS65<=Os)0R>RlX`rpr+T#QS<-3ZF4Up4Db%^LmE8UH%jJJwzfVm~eSqjYzF&h|@5?spoK&%6

UYlsaBm8 zeRJu^Hv}JR$&R@`7^=MngE(dnqrXLPgXHs`rE9%{F(UhC= zIHvX9I>qnL7_WW(nX<~JZT4T=t+h|iuua>mvFLsMKJU}p{S=KC^Zc#5boX@K#yjyd zW(&>>h&8*~`6ugKU$AIuC~)9dvi?E62e>88_gU3MZy#Dq8r+h7WpJOraP_|nQ$xTl zS)PQ_8T}rz&wf{Fft$Ab*1NX?TeI>D4l_EI&hUpec=zc)_bi=peo=?jneR_e1mxjr zO{W9y{^51Q#HH}(mQDnaDbTxZOMb(BXI!Sex828Wf_>y*~k4g z%?^$XEmxQW{o*VHY=n!&f?CVR+do15`hM+!@;RQ!))ojC&R0HIkYz)g7lgM|yBK>}>RtE;htdH|BZHvZ+%!1kYzaeYv~ g3bNw|rQh&lqR0>KzRelHz!aDL{hx93JLhml0ICwO5C8xG delta 17339 zcmZ^}1ymeO&?x#P1V|vbJHegcwpefvPS5}$xVuggJow`77Tg_zyOYJ;T^8BJ_T~Hj zbKiUC+8kGP>6xjn?y9Nkp4b=tKVQUZ0xj?t|NMJhN&ScZp?>*4SVrc5u-A)| zOjJDrxrf_q*J`2@3|9V=A03-7$Zi+R&y50Ct?ZZv2z}4Z&e<2p!WOXm*Q!5b{Y0+$ z$7KRK-eGzB$}HTwOTat+(Xz@GHqPUJmYJDpG$=YYhIxH#iqn|+9@re4aZGrY{t+H5 zMH)zrDJp7LAg536Bl!4QZmocu+_X9lrSFA!Nir6IyLs&-$~jZ~lPF919w$rK*z3w` z^K!NiOAUupWyI?_^71it3(hkLwTycfoIb}?HLQ2?mu3t>lMG6hQ}R)WP9$eM zWEV2Nk{f;lj;CW(0vxb!@>blzgLE;9lyM+BZwZ&|9m-)Nu(;A$>&tT>+ zBAvES(Yzx;B`jxRZ1fNuraJEp^d;0O9U#j~XA z#1-ZiBbTzBufsOA6nylebjOPP@pkVH8CrB)GIy}RIJGPLU`{#{#oMGOfBIAT;d!t+ z*#Aqbz)S!uT(!0;l8X7*pRJcl{x-gy6P~GzkY1wy(iy=0NJJv`$tCtJR`M;jHCAE< zXK$#|Lu0)hp!kqgFQ?=ixnn^hrnU$P2X`R z>6D()4VeVrQd*xUw!iBoQlbQJhSFP(I04pFiS5ilFOfo4>?Tivzy6XWIG5Wm_ev~2nNB)yr4Y{8c8=a+1raSZMsGQnUy)smr`ZLfGPTVhK+WRuJ;}53(y@hKP<^)2 zZSp2(!IQ>S;+KLQ+hI?zSnZ>pH4qyaUoW3#AspCzX&%6%xTH`7zG+h|N*H6?u9N?o zIK}{wFpQ4BJIw&M(77t@#knPnv8JkVcYS#=`~zH5`-lae26KUBELzRL6Sb@Tg+?D{ zBtr&={U*2{Q|m*Ay@*JR6>H1ia?*d&2}zD;N#P;a&IHxkSFtU=UPQ?w?u13iFlp9kNtDi4u@Qg%#hwnf zD`r^B%j>uxNJUUo3uT`nl3DaWx&F42E1D8xtJHhMQwG&h)Msy~_r74eq6w;2S5O0c zK2D`{d-x0H$jfic&QQ1pf25d8aCYDRNJ*C*E2Z9}#NKZYq&|!K?;fVGnzv?bfy87K z$j%NTZ?-Z#2>XOiX~JBWw4D;==T)2vKYL0>PE-CM&KE__C4(5~;RQPBd79csx)VVL z{w0vN^tG%0LV=Rb*DhEpl2+Tki5%H?xX=$PWK~_5cdRSss;! zQX14#eT!1#f&BkMApN95R&qO2nENKmCIr1nwOL{_`VA5Fiu&ebQ18-HwUb^O75>>b zr-$HW#ueku+t*D7%|<<@MzA_3J)$#ghc>6>px#!e2q!>~$N?Ag_(S<>4M*S7s#LT7 z-(F!2B9LIcHuL`<0ey9ZY0CzyNqQNoU?Ozam)7SCpUogZnt3>4* z3``N}p6mJETr~eC&xpE5q8?qk?f+H)A`U?kQnIDZ}{Qd&%HJ>FmaQKmXlTf49vH=o9k)%cAm9`JeU;cZIQ-YOcxe0(4xQ zJp&-DwS+#Qmrl)=Ei9u?3DXgkto94sAqE740Wjo zpsbsNb??J0D*$=xKras;c6;neS@ju|%P}Og@t@ou&&W2NOkM@j)eQKv_DX_Azr6++ zd%L-4$fX5GB)q+zQ6kXHj036WMZ=u$Bm~D?H++Uq!6~8G(cZ87K(EXwUviy)YwhI$ zJ$iZk9rLYfY+oJcNg>z^B{<6R<9jXKZRA^2g-EgmSwMvKl+Ic79r+{+HKc?Zl0Xd! zdwMk;fPISkx3HF2T}=+SMlwwy>V7vf@Pv9RKkUm*`6Mlv^&|;u3v5>VPE167k8Wo8 zjTno1;6)e|W9#vUC*5WFYqH62pEKyLzhO{F9Q?C&kK$|;xCY*)8&vdB*lLv(Hk_xf zw8i%M0qFVu9py)BW%I;2I@vaAAM6DZJrdm$opZJ{=q#T4EPlgycop0UCI-R=;YiNU z5*gyy+gN_o|-@GNkcj6J;#E6 z!57Y=rtCl6(Y@4`h^eYCRZ&)0!aW(PpXe_E2PFQrPv5Kr!aOlIG>3`6UUJ`@3>kAg zjDvt!Co7~01Hd})PTF@$XJuWo-m}(e2>l2XzDdO*BniW5RClVTp5O`d!ruMSJRxZ~ zsozYugi5xJKgPL)Y?kqZxHzY2M{u|XYz!tYmJf9%QOY%LXg+J215+KA|JX2^;m$wa$A+SWUA|$@VI-fxgMeFS z?b~^zh}T5FGhtohJCujD(RgcLrO`n`!v=k@Eler(-({%6HX(`-+}#+W!)n!2>ItGS zTY~%VQy2j|sQ*r5F6Y3{v-e^fgKY%Zlhg6i3x=Brodm2-BFsaHm10Q{KxAHifO&@l zy}IqUiG}xklqZJm3^Ye?#A0F2i`?gktPXhT(`>yr9{KrK#*eS2Ju)wPE0X#| zYId1)7mTFEoPw)$-=I1quF!_V$v0CbCCBzR*f*y?>a@=4JJH7jtT1SeanPnW8qf#D3)`oUv@byQ zr^ov1ioA_$=LoY!K9np#jiZ$I!Tw9g>0hJz&r!GqQTcrHvTT+KGWDy+d3q6ta*SG@ zD{-yvSwa+W@Zv)Z1K3JKS~Td1t%}Ig)sU= zRDvi7F>Qsh-48JV;omWrO(rl$bn!4-@;+ADZ1>~8IxZ(7dEoLfh#mKtGB1;Q zCdat>sb_$v`s_XB<{i<58qD%F1RpNZcS-s(MvZ%B-^_B-Zib5XdswuGYI|lw<50)EKHiXI%xgU$k zzvdk1)#a@k#E$(uKqDH)TY~ETcTO1}Q|38dBY3-o|F#L5bSr^NG(Cw2FY=9agQ)iZ z&|Q5mQSy{W6^D>~_V2c(vFp4LAowdgNzoG5V!B7Cvfwu6tzt9?-l62m=!3!R%%!kSxE+yt0r`LItv z_%x4$TSe6u)TD(I5K;1|A?OdKPF{==?ytjc_3k)ba&rhjuCE z?g#Z6`j1v<@-r!oNu+$&hlNvIgEyzK=TaaVAfsM#VTzDW9c3W%Q}Z6pTj{oG22c#J znUUM&RGmw5`%{7^bAjiF3C=KYoW7;Meg#$E6L~v>x6xGy-5yJydF4OO z_J3W1&naKTHbbNKv9b4Y*cij1VM18P7>3K#iLy1UD>}@<#Vgu@{?%Y*?dMT=&iOAv|MagnlDAnO6Ewr9)tndnCJTv?V(72&59`9B_+l=Bo?#J7leI& zDbYTN6lbDDJM-O(G6wIo`|*X@+AFTCZf~$&e$PM}o-z%T{jW=)|JsBlya5F`p$9h? z_ZqW>`!d?CEdM3}qtiQ|O9<|HV7u~%wGj0Ay)hGeAHaq#j37Pj!W1IDoD?^c`>IBux9 z0B_f=_rX2av3wHro zSVxdlN6uL%Q9xfV1vPok6y;wL|IS&~+#D3_7Aj%LXrp@+)a83+`$kt2Hz0$jyx~zs ztQ~#o&8cZsoFRLQqDvuNy_~RBOasmpUjm%;O(mfRp5zJpKbI>n8)N%?i1{Iz@_|>9 z30Qf4*t@ixTX95}LlIuUj?5$Pbn@ko(;y6$C3Fw$v)tFc_o#jMD1E*>PO;M?xnMn> zcjWFgoFj)!3krf(5q=l6?R6VII1m}9`XN-+L_?+p?S)Vokk?0-svQRf6NU1pws1F; z&5(%NaT;=0&OLE#SEMjoVm5m6y)QrF^)0VAJctmKV-|gG2_|*lrjq4i*jN z+;%RKofXyxU+ks8DN0{kjJ&4=mFB&`R*W&tE|X-{K;Ikam}W=zeoi-%$jucw$Z8>( zCSuo_io4l_GN$uM*z+j8*t&``>tz(m?L#HiPv)fJc*}VZU#t5m{m)Z~HUsNofdLi{ zGwv-}O0iC{WFQn+c=_w@biPn6OE~K(^zFoKN%B6ml&pG-RjJ2onL|xX(cd`Yn5M0n z$}n|VKM{41Na+PSz1^ikfH^JjcooYZ;FCbs1zNZ~mNI<24vTQiKY{Tt1xh(`{wtMk$AGnGXA{N}cAdit=3 zd6quNat>g+u%8G30qolXI8><)dD_p}Y*I=-s@E9fI)lXoFdzTN{zD%B)>zKaEb&Rh znbb3xmQlDoc3nqvv`y%Y-w`;a=!b`;$h2Jzb)+_$e!Pz2&&98YsuY!NAF(d!w zd`E=8)N5l*nVgNrLA>AYcNk9-P?HqlKmA0M9-{G+J(mkq6kZcM&+nQf$PZs8=?k2q zvUyKg^Sq!gLx&|z4?~RH_loS|ytsP~7RafZ2lf#cv?V?>`1uGbj{<^Ie}eNLDnwOJ zFqa?CSN}W@c^z)iKS-_Z(A+#AJ_rFN8gax`=$DDe?F@ZNVwpa${jm917W#7 z*U?_oP&)o>ewJGKylFy<<|K+~ze$PsvBkphihGfncRm~;WM9+iPhMga|jv^9=kJoJH{aR_PVU~(7;ow474Rnf2Kny zXUq4jFc`@qZ9Zs$=Xs%~{b(6f$~a#2(Y@fg3SknbLl5_5{#Xc&`-Qpvb*czB5q|(G zEhw7yJj;X~J8GbtGYjDBY4vKCX#Lx!Z8OqLmx7ij2j}^xmf@7Tcaz3#Q8sqrbt|CO zXbwuw9fbM-=c~w-yKde!+G(m09?ya%=6oq@{R5uwMEaG`Yiv1Va^EW}%Jt=<>U-}e zHB!wMZC*KehaiEKqDg0MmkA)I8~;%858|EmURr?9pFzN;bfBo)vI(wxzO?Xk0k)TO zz;ZaqIxo(Y3s@fO^j~h9%&eOs4EQtsM|AmODama$;KI?c{l~*?1f#uk*Z70w5|7*p zk9&FNq)WA%L+!G`;#7f*B7(97qD?kMM}_eV8adc7E?d}ZB<78YhzC4Ye>%C0W^cBx zCtqbbCXI}HyK4R5C8Ja=Z#CDn=)E~dx$-uTMf43>R`#Cqp!k)Ni*hV^73p)krXm!n zjNLH#5}%5)GM5kd+L@fq+oUD2ZjW!ii4z@fK`v%A43?!vzw#5jM!TDz)%p|Ql2VJUQGNf*UPOsFBeJgV@9fS_^p15i7NXcRpP;7Pk<%XW zMFk%s#RIX}T5^SWMhm(f0(Nh2VK^S0Df5V~Mg8n3 z@w6n}$nC5fpuk91^QtxFHKNYfGDUIz%(0Ad`)*qWCten2Vmq^x@H8M<+hy0WXAs$8 zy2RU>lf)qtAzS20*7Sf1=nnb2=JxTn%*&i+={hSo-^CkMJYQl^{6al5Un6=tm~wph zY-~8}Z?!H6KEA`kTF>Rxm$}_-INAM2hJKzoo~l_L`X5tq z^IcR_2Cr99TKvNOn)i^~{igD+x?A$k{R9108Aa!Qy8o@gaf{eMeW4Mq4I!`LsM1x+ z!g+`_Z0v{r!gXC|(X&5C?d6vq-c{SR3Vyyiuj57rAjX4JUtfG=4v`qX_KL3Db3ao5 zZ2h_kvX7TJembZn#Ke2+_M=goOmp3>Z&bJJJgB9Fd8LzsFFLJ!kuD~Uciku?nM#bT)_eOT_;K6Nm;3wAe48T{Dd3NL#{Q6P2*F3&uoR}v%dATV^R1|G$Wk7Rb zR78Ls@V-qdJ~foh3W*0<43il==u_!g>+9BaRE*^`*J+cni*}=OynTc83&9;SI5Q3ca!smzBbh;F%e@c;|L;{xsVDi;)MP z3kX_hfg>)c$9Uh#IUwBT-#T!je|oe*weVyW&cpPb%TQX!4j@$Q;|+dwJO|uzs27d$e7(p4yE4x>Vo9_AYsIh$jqWnm#9$vnA_^UkApGt=qgu@J@?AU{Q5&Z5L09g|u*I(HJkyRq zL9Nj*>0ZLO#Cr+C_iM3yqL3<^Ox;Iwi5@@1_h8_RgJH#D`ZerQZF325euem5h54Vg zxTw&oTAUthc4 z>sPg$FTar+L{=?91As2l+n|e@Em!J23iK#f&3f)*{coJN#YNMJp;S>->W^N#h`$D- zw!X?f>T158?b-cqy>i-zLQXWiK57_!l+!tJGcnR9#R1FpGD3-~DlGS4;AV;3sZd5q z1$A|8<(>&npKflup|jq$#HabY5Oj zSh@*{EZH8PbZoX>G!jakal{VWzDlGI;(J#PB#h> z41D_N_)Fsuc#Fg8V!fNqkv6QOHdQO2Ptl=SM8E!Nvk{&f3(LO3NN(p_0eNa4uitnV z@)=Bqi8-vrk5=C~^Kv?X6LAYg9m9P;&Ah)+)!+U}9gPk}%S)1BGxSpQ-fpFbk3S7C z%PF{h+d_Z0#Ce7{7@~KuyxA9^l@&FvuSD8Vnrl>%Ldq%q9|3xGm^h*y5_{2 zeMv5W{!nWsLq7$YhU)Aiw7Oz*}Vm$bLF$}sW5 ze#=#vb{=Lv>698S2vp$^qVPa=rF;k0gWQ{-)a!1=B8#OAG%9V%~U0>19 zfwhSZo3o$7q`cV|XA=a-na0aHa-FM?>#xTlLCdt$8BC&?Y~UTbLrwoRd(9) zsh}i#_(D>4W*`V{g42d)sbYQCQIg`5 zFkY;u0pp?=0MTGPN_C7+mUA4!UxcY--)`WPr^Bhwf1@1RrA$dZGo2~`tqC$~=~{HUlNwutIlc@vDA;183*gtnS4 z9nRNwu&1T*UuW93H~NIOI4wIyUM};9Sp(3a0}}mbxy-ZFI?hQ8!*gxOip^M+2_SfI zJ#(^ig`Vu6n%Hw!6t0`>o?*ZT%s!b_`D}o6waAUUe;U>Pe%A;^BHKhfd4yF>Sgd`a z6sbZ_tur>W>hPMTalJcRje@pja^HP2T+{3Gn(?5VMj_W7Cy3+=STZvXXngIzB4C>) z6-bq$t2HcXOJ-wIQ-dF`gn_7kq{>iNmwtZZlg;enjH8*B>KV9=}}XrhmF6919M6 z(9#=O#oJPB=#U&VE`MJMl-bM-$QX@>12F_%xPmITni~e{5kvGqpTk!X7d}?W_%U@URGB!FT zA|5v7)!K|yR8wpjIm-xAtyE~X_bY2)?mgMSGCH(k;7}~r;L#h9i;Tey7cBHO_VL~E zcsam{<=k3P>@Up0?jOJQy=X40SJgJ%YFdEw7RQwZ^Vkq;{ZMprYh-@dDw$?ry#0$l z^UuS@NX*B%kF1kg73?>^_{Sl#F=M4;554(873-Wm(I+bUMh4V#;hn(3UIgq8sWr8^HyK@G214@bqDXh z_v>ProbqL>YA##-S{TfzadfEY#&PXww%1606?MKh-w*MOjDHo^)65MkV1I6M)>&Rk zs)ctFiEy>o=QKP>E%2c}GiKZjQGmk@RZ^o+XLa5W!qxJG>6NJx;U{`2I(IELy7u!K za?17wtwI8ljcE^OLY7?D=eQr=e>UEYjHij5zbqAY#!ve^ebv{L--lmqlf&2f#Cy5q zS-GfF*`U3JT={f>=+>LJ)z$iI!QM@F?i@Sr166o+2u_(d39nT=zo589jm?>fz|J9!!3 zGOdlWs$|!N#qtoeCgU0e1O(`RO10_uQ{R~(h?9tIpuA_O@_|Yb(PO*E<;jwqSX4nW zf??xH9g{a{e>aywpg99I#++jzHd)2%I?}Xc_N5T9h?sx5n?3WX0WlPbfF4qz|>>>0HmX?u|9>8*cj zBbF_glp)agyjJ}ymdH46yLRYV~2DB3L~wUaUOk*60#=vL-N@8#v4mS!@7#o0#nQ(9f#p7Yhgl zmeyC0&-xq_SCQ>YdKA_8mH**+_81bpj0hiuKi9FYY}g2}S2%9=H#%p}3o&D`>xB4J zV($Fr*k!s5{`jtZfz365F=Nj|GC zWJ!Aod5!t&Da5f+toMOmU?$!li~-HsobH@%7Xyrb32dTyVm|!NWoFM{jgL5NT1G0S6q>6l|uugrd%gp)E2n`-cEjVRlz}Zc1RHTyLW$jx?#hh0)*Zl{-pTO4| zb_#D(8xzae;j*j<`FVVqh$}r*shjQLi%s!8R-mk=XhCF-~fYfbbYf&K0!_jA~s?O)~cAwx40@tWk z4-bv1Gcw|gQmP337_J3UsUPct$M=E4Xl2I>tn$UFuDa)iD&%BwIp=EzITfOJl_Ce9-&m_+!*h}HcH@ztW z&{uf0**GI>9k4!iUZa_utS4j@XO5Mf^W}V<2yNL(z=e$Tub&7wI3HIAT2;d-)c6>R zO;fX6L+z;Qk8$QrHqjx+E~{xWD=kVmXv`_uglg;K(Tuk0HM&wTyTCoSA|J*|t~{>u zrH=zscVDoC6TN9ru`u>5*UTGyG_py6!iIUB(u)kehbZW+QVRx_TGURRF~ni5+{Hv` zeoUakwb;MYjkY!aROtFkuVvE6AKKEL@=sb#cEXeb7GxN!O)^U27eA^Zpue3agvCUS zn5Tov#@}o$FP3S>L#paww>+e+c9ZMbqRm|Skpj6;Chh07m9Lo%j#kO0o-Qtcs?Imc zid9=%sX2jJj6)R+FK5c*X0;Bs4-ra@@rL&%snWqpDqAT>y?s>&7=L=yRm*H}CQd`^ zi;lIiY)+j@Kq=hXx3gR5_Yl{XSx;gadF#5Qe0(db zwFz~ZqjE}vt6N8e5lKx?YPtp(c_xArDFc3pQ5t5 za@Tbb0p@I_T+^*q!DETlmE>74ZKj}u6qmj*JeM#gKxvde$Q)LPs|i*O?mJ1S972$ivCVp)fQFq4U#X#iL1~P z^!)?_ef5X>Z6VLZM*L+r{yWy44=QzP1kp?_go7*WBQklLA?o%sgX=L2?FbG8#A73^a3H1SP|&bW6s%DH=*{K_%E!=EXxt(zZ7fx+6;FgsJ= z+;Fyt&=L+}Uvx`q<>S2Rzv503>Tet4Wag-4-+UBx!#`H!QZ+lK%1`9mDgr!&{9LU(n*6+fj_eGChN6Y zn>C{2cl=OQ-(v*pBEl%(Duu$vR&BW>bJ+97y%NM0Ybn~%6Ghm*xspFR6Pv3mLevfIMO(zl4Sa@XZMW-9+7e!Qs zV9$)N?^mgohF~TqUB&_TxXKEF4nt9}YbB-fCrpF8(@a#c#9kyN#C;o8AF=+5N$UeE z53nFI0eJ#RNv)V%d)q*5P3$>dP2-k|$8QJ{0vE|l-#U2iyORA{J^*oJ*SmDt(V~Fy z<7gz$YJ>~Vu1}q9m$$=+Em0fU{hhBYE0*1E`JeQl7$H(o_x9W#Eu>9E-=cpjG0A<` z!eCIQ9P;0D3v}O104Bx;sC*Uy^1q2OlfrLH-US|%he-OTpqWIN<;PQyhDgkNjXx6r z?cZ+y)#fJtH}c*R)LW{<@^2dL-vryg>7jo^kpE`YfQXki`_sCAqn*$LR%s1||Jq_+ zLLV!i@10`-`KByw&acC62Vi^413gDZXiD5X7l1gMUbD_V^<-kRID@ABS?y$52hIr} z5ve(5p?OI!x9UicY|A(597CKd4#$~Ke26V8K8Eg53HC-0_6x|816y#6uHv+KpP9&V zSv28*xVoZY<(p;X%aC;D(wPhczl|B~wG>a%;Al(Zjiw)*00JppgP->N?VT55PrrWW z*4x;qlj(+3IGF65MAaMXW=1~%;DmAnv@sA=7~S)5f=*2z5T%IhRgFc2G_pt3#O?0W zGGMlfIL$LzXEP5!glD0G;IJmGkMpN9C_Oh^DsSgUGiNUsubP-`{46Vt8;OzskmvC2 zJKPi+!jg#tc)d8OI%ngK=s2W0&QV+$9=H=)<-VdlFr&*PjE(b|lYRtdrRYnqzY!T) zZhvM!r@l<3Fyw*%V7FUw$g!oGaosf`)!3+$%h+{(F|zE8H!lSGA@+)VPs&`?YF_Rp z_Lp0^Gty7O^Yt9)Hd~e^qbIWZ=9L zY*r|{a|Jjo6qU(DIJWHp0@RP1S-soqUkX{FWpVH#`=tja+sopbNWXio@^+qFlj>YD z%!Lbqu?cbkUZmpn#^f{1=3(@g`CdWu(i4ayet6s6c2om@?eS&H926M}#pq1pwHDA! ziyO=T#qAvl3~t*9LA{Di78qR!VoG^cSRXX>iF}&pjG^0;V}}4ChuA_7LyP24QJ}745=fH`NSi;=ji(@kj8S}*xjuF7PUKJCgV&ddfnG1{0o>N%UJd=!^Y|`}e`s0n9yWKj-Mq`%|&08zp zz$0K_dB5N4GC+;Bg`5nx^2r3i7tGnBKpMb#Bv#7t2GauTzHix8J-(3<;rZL7MBJ~a zNa0x)FhtJ3p}(!QJjAFU@=9`TNi6>3YyexVDQLVj@CMW=d~jI;f&$9J)kuytib7?q zW;@bBE-IcrNgf;`Yh#Mv*LAj#WtNE1C&UihYFYcm3Iyr)VnYH$u%@srwEbg9q# z=$z$R#(6nArj^hq@Q>2^*YzBe>!yVyAFl4#+)}63B<`t(+6!5#EQ z#zI8}`2GyjO={#NE4Tufjvv$Y#SBUk!vh}K_QL&^U4-k>*6=b z?sXbzFVo*A=fvt6I|_hh4D3#w4uob}9>8xxine1eAvoGr>T}*=O-@}F;9ktMMSEci ztLKo>b(Rt9m@iY;b$qg3G!f!13fGSeZ9r4@B$jMcZDOiL|0~Owc!8D}BhKD_g5iTW zScPU)b93DgnSszkOCPtIivf2O+o;A=oukrnB_F3qn?}s(WS!I(g$fE?Zt)s7sDfq5 zD#I}2D3gx`p~XR35JZh-MBS)j`@*e?I@y8@JUx=i>rj`h{j*82fyq4#f| z%ANXP(XO}^dg~gL`o2=ib=BFZXh4v1Kh;;XAC@JJ6!1&2tvGoj2`4M)GO7EN`C)EC z9*6m~WbfAlh*?QT*l|o6D_KZ=Bd?El&^&Ngbzh{u(T=(GWY(a~kz9Xk)QGJ0<4vgm ziS0P;8mgD%_X6HR3zrG<#+Vf{(u1LDVvZB9uxu2gqEC}VSCQJ`EnXdmyufBn@akKs zX6=kjQ>_sSeQ#YURhQhFT`S3%BoNz;zzLUW_itOG5~U75T}nVSH2Duv_gS;ItN zk%0|yXlq}ZuRDcxcqc+W-2h$9F!M8p+fFwJG-8xc)nrcMvXp=hL9Hr|w&IpE^)d$5 z9VSh8_BLZ^F(w}KCWj@x3b_20iS_Rog;tgeJweDR4->)JF_Ra6Hf<(ZGY zrs(2ATHkfgEGeDJ@wCT;6O-)1cU|F?F5i9CI$_GV538xol%k?7xPS;5rEK0A9|n(u@M+wmP(+heM?AubgmR@T=62>SBdUB_ZEN|SYtL-<^pv1OjG?W18EC9jAY zUI5#5zu2|%zS_i26+s-^zS)FJE@_n~*5)JoK%DDc#iO89KyN1rkGD;<0C}h16%ij~ z`MWzaM1;|w10ig64GgWn4_HFM`-_eqHh-8bj@8Q!JGZFZVCao<13nY@ z9JYKrx5$>BrmZ-R__BtQ<{`lGoxg2;Z1!Z?n({Iy{HAnyEa+10$Wb}=S&Q=cF8-QB z+jGp`GK;_OgdDq@UP{fTn^K&22d#e(8)9L&D@uh2mF|ZoTd}2wD(7sIQ5-;G?@vTw z80S{y4Pl8kVjDteLGpi4>ERFqX#-nu4 z*H@T38R;gr_Wo02!X|s*TYuBgcEt~SiEkbV4Zeohv*#q|m&9+pBLslT|GcJyGBNvW z0-92~zi!oUanVRyph4#dH7OZP1$cxkeC*0gM zvA(|R3tdcwgd6aLI)M`#(inxl`80_JmKz`gPIz)a7;QvDOplk_`t?t4uhs4+r1w^b z54A#0Pcl;u&f-kGY_xEN-70YjvapAVSX1GuEq(Rn?y5fZGoilHu#55V7YRqpmM0qv z(yV1u9jE%fCg(IZH3L0l9tQOnSI)YydLx{xz{o~i5j{Aqmu^ghkg1w#y`L|lPp%5L9%BfysxMV}@J7QzWq@2%?=B+(QEcV1Jn!4w?F@1=lkuDcL z%nYX+H|el=!~ST+Nj0MF%~5r5%whmY^PctdR&eZmH<@fJ4y4#!tWO5GTh%w)6-omR z#Kza#t-0Aj<=*zB)y^Q@TCy*!=vnW+imxTE2L`;on;ucYE{*KUe3`E~LDQQ;wA3;p z%!A>r*4bZ`k8usXJKI5=QCcdQZ=!mi9B3^YtiUYg*q>##`x4sJwC_FQ`8oj&s3!=~ zhPvYGfoXs+=H!D$>tZ#lrdq>u{TwyqTEE0rgwG72qO1l*E z6EMqlovyU6+udG|$&gHpHvkR!zi-n0e*IB~^tvslwDVFNTjn)JRVd8c)ftDjMop2@ zn%SAdG~`6sO-nRi_MXKU^y? zirck~FCTCt>Y)i52rfb0%`bf_( z1}dOjhF75Ri#BTS=VTfCc9IS z)$$TT-2kXX000Pu>liC!3mWh1WjG*v&h0(PIPyD3;Y4{S!|8XUDwMv@M?-gU9{S+7 zGgmro%?8IY6}X$$d+88w0D>EGFV%Dh=LHK$ETk zA;Iwal>ctdcaBrP#d>nT=@6fSa0Hb!WACT7hQ`)51h~r4{JEoPXYbZw7%z))_jQ#2 z*h>~p`*P)lu-JB%4#U#NQ@=O8HM9nT$D8%~8I``ZnLD^sxuP=S=i?Rr?FXiVCJ#j0 zwIgs?^>-}+g&;_DN^ex@*PBrN)GqtM6Vt`gsl*DyKOZL`j@fuJ7$l>pE=Bjzb7RCK zKAEiyvVddJQcS-6KI3|f!Vs+ror%@Q_@2e|x+!@VZt|%kgDl#X(JZ(^!(~5XK+c+@ z2y}afSz(^!X#p?(yP@U1Yg($qb>)~QJC*PQ@3Q16`L*#}#=hrz!3`C_O46ou zt#~fqh|8%^M#fL0{i9$cF`LOH-$JX82dA%2g&dAlDxxXBme1O_H`qkgx=`IQbUGzq z(Eplw0LeAfYOE+9nu${jXdl9d_)QLcHi34w^|g2w$MumGlTTI5eYu>Uz(jm(zIa2$ zHoMgOU$E+HN$D!KT%63QlU2Z}(SI6`((3cN>BG%gem3*Z^LLq@NLXekx54f60}{MF z&?-jmkZ+douI5}F(XWTvxyI?x8h1)Z``w|lK1)!WhAz`b9mb&mzVgBN%Ec$CYfMirf*}-|7V+S?MgZJPA+Oj`LE!pS%K$IPk!fq)P+mdgu zTyQyg-pn6+w_W?VDt?O9^&M-!vR)Tjx7g;QB)(fWT#_!Gk7PaeBCVODJ*wf7Gq0F)`!Y0?Zt>dA7eQ2ES{ z)%{)5g&zHwwD$N-F7bJy&hc5ro_`FUhC_xc(MK=s!yDJ%w_dz2;mh-RoT0lQ?a^-0 z0C0=2_QXnFw_Wu@|9MvNx?TIc#7qLn&uX4{D`Cm`TVT3nV?(bggw^Zz>kb1@-N&mx zKL5#T4%7p-D%VISUu9ct|K2KCRU6yb>HcOWU}H6WPV0K{m)cyxps`b6Kj6L#w8`4O z2xyab{m1hQZY8AH`a^66JL1=xwP0F;8z}hITlEz;$X&mh1c7*BU3*Bp!LLxxr@`3$ z{J)JUet)@J?Lpwe&+S^y;IZ64xgtTj$VuRcwg}II_e&aXC470h`Qv|v&9B|U8397PDntMP diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 096f455d27cc149010a689f4c22d6eb8cf9a66eb..53ff59e82ba5d4220d457ebfae41909bb7329142 100755 GIT binary patch literal 16274 zcmV;DKW)Gf000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D1?0RR91008Pe00000 z0071U00000005Fd00000004La?0svDWJz{b^@1_mUhLhS@!G5(TzhtAd%RQCm6`P% zSmW%h?C#vH*JM_8Pw!$CSy{KLlg`Sl%}4jtXtjO`?GFniB!1XJ7%Yq|g9PRmk|l#I zBby&!Vg4WsBZI-P_=g{B@B@22d|y0noO^F%=B-!uWAm!3Gj7}y5hu<$apJ^@*L&~1 z_uil4?<@G5!E^1}%!lz)>KvamTlIdk(+;ZPNvGTYvxt4G{C1f@^11dG@b)K2{m1zG ze~G_8!uubZzdyh)|5(!hdGEdN!_W7jw7-btJI&+ptwGr92M9IWM?tY&Z=Jpyc2%LZ zouVv|;cNWw&*AS*b){O|_%jIg`gQ#OJ;?C`_+1Z=>aAe2({DCHyr!OOUw$7ed2-rq z9zE;_H%hMuxz*L&Y<@mh2#T$K*sTYpP8StdG`RktGw23`UKn%^J)b|`3l73w^Qay4 zJDpbVjiA*zYBqwyLAyZ;_JZs6_Ce6;KMcD;uXEUcQtyTVqYOBM&3-Q^ZftJ{C-rW> z4M3g8^(d1!REm20H0TWaCxd=)*ldNp;IP{{#uvmM1}Gpn>~zDU4vBdEMzh^$4GtJS z2p@wI!AZAs)U6*Y8h3z8^LSvGtTKDum2th^>vbBJlU zS5_r_=cF6Qb;51N`922(@kZH-f~4g}kv@b%hY zzt`wCPn7T#kGf&V!r!oT-vDdDnlQL+$m>!HU|^}~T|YT#H5>K)Rv6${l~8wiMihD@ zxc;Qse+be7?6|4x`UdJy?+2%r`Av`;8I#Pt4l+C$Kti-oT$iNPtkX2_1;_RF;IQ84 z54xb`{;918nT}jk)OAA((iU=19{`hX^Ig)u+3R0wSYw(|KZ}NBgL8+iANt7bK zJSl;=;i1@m2*0Xzlw0+a9=aY{W$J65ra(EbM zb2*r8n9y~b;bF5sEJGwaPmcB8@g?U73qM@}MI>_kWrWnQp$9ANwV-y|hUtT_9zs0W zj8hYAr!mAa3&$tFm-tJLS^l>kt5EW9H~TPZRj#)yJE>gBM&@dBqG39n=F!sngt4IaJM`C00uRzx;7eWvmMlfw>D~b)R16~LXC$+`DXm;9&d1> zOmsloj1*AfzjKtv3gcmE@5gVk*9(tfY-1$l+U=1IK;|CXQ;u zEcHAc_Jz}++^I1tI6DnyqJYsQY&X^#9IB((Sg1+9q%{V0+;+WNZ?(b}+!K+D##b)Y z+l58^!Wn4Hs2pBH(BHH%x`u1ww(@3F(WMQe28W_&@2njLpBRQgHSB2|cBzJ~t{H{~ zb<~CFGR+{fJHauqFrI<^W~=$Gc1*$3Qf~K~{Zq-vHMA&r1;aOk+jQh8w-B65-6lL~ z^#26?C!L@@INlGtJvf4TaDUMWgdW$#p|6F54oP5KAWaiatp=QA0)~z_c*B%L^{iL! zsQEaAckuKiq!&^7e3)zRBy2Pf;VMLiz%;10I_+>S*bxP4Bl#E(|v6jfb#?dh`X?Hnug0`yU!etJ43aRq5+^^{S&S_#Ka}3_&ws@IKszWc97B z_!~OoZyT1ewY#J^0ycU$WYPBd9L)Q<&mH%Uge7+aU%mL&(w5yBN?32yM;+R4j(96o@V<7qCfNIO-^I?Zt5QPX5*xFa8u8tYR8%R zFn7{yf32g=2N8@$2Q^G=Vm5b3Dax3!UZ1SLv>kp$+YR4H})zrqfp)lwOQ^>6t3G8y&UcX9vE9H-liK*=`=gD{u>AdVG!^c5>Hf=t^5w)%~eP z5-VNfmk_LmM|8n-16CcOW@GqJoHUT#?tj(V{UeCpz!<)H(hA>+<%AEZ2b9*i{7=_6 zm`*t|17c1>mg?!J=LCLp{KJFOX;;KwWkCdCot1iHEmU6waQdT&L||VZ{+L&yftGw$B(gdq+@Dab3N<# zX{J+}o>6wkiP%)6rXn>Jsd263EZ?UsYTBZvE$S>SW*qFaMNM1O^U$K)IDtO2Tg`)J zca#r}`;@QIzdKI;&-;Cvxpyo)CCij7*;&WjTbm780^t$O=Wpk@n) z%n~yCf^)F0R4!F2V)^!Vz43^<7!s3D5Aazpe8&fzD$v!7nR)>ZiGVxq4ST2rR>kkL z<9%K2Guy{u130(>3G|Yr&IuNPs~z{q1Pj@_!ExsxoYPp$%!CN24f<#4aiFyc>PsUIZRchq{guD zluE-WBjcx3lGGR$)($qVF6TJ_ZUtRnj~86;)DP5=7W%MWIPG>yTf*)5LrA9Gf4lVB z;c!=+R?A~mdF%xbeRv#pyF6b)oofMipE|V=Cyw$c3gzfCM^zx_$MqJ@>Q(+hb2x=L z9>U?Jk9>7Ac>1J`UgRiLn-__mtngFXb>nFJDuSuq9=;Pr1YxHKiVQ!@C_TjKYW64$!Er4w`v14^^OVGPTI@5SJ*I4!vOx?Rr{Zw=;-FP6jUOH&oE&`%g62T(*McpWyxrh- z9k)-6mMLzG9)13xPnJ}QGktJ){v`x?h`7P^F7B!TqWZv-(YPLABXfF#E7AB}{HZU~ zmzkL<4W=~UX8frfOldHcgK57o?Hi}|!PG{Yc861wU^+yY4wI%s#p!T)Ix_ld92q$m z6fdR2ja0azfcI5aabzy$UecPnr1jbi zW}7+P@ttT!_6W>gPz{f=Q0X8$HjZjxqKgr+X>*ClKS} zAkx|36x7ruPX|L52dVQZt`4_Izh6pCFPvL~RNv$$Re&{uL&(Kjq#`URfziveQNb8G ziP~DhSmPnp?M6RP2LKOifx~Z*dj@M7tM>ExVwuGwC3n;vX)QIP35}ve^vulf;O{pX zV27%qa2H6AF*AQ1(s+o!88&JC?Qs(q@xoY&V163k`KQwF-rflITb;%uou0}~JtHwd zV%~x3#ek`|nEMC}2y-zEej7PlISq*B%nZkW`es_#Jp)Z9bw^}v&}iU3+`~Z&N8I0s zfmb}~2Kii&pMP^c|K|KW0{NWELLG|#mc9`=s8oVNes&+%7~jLyeYiJ8gUsV6SD2fh z%LTUv&DKGXFXV&yrJK3@&HQ|>5Y)DVTu}QI3fd3K2TfkMq7!~SeyTY1fLR5bb$b&w z`x|}iz6Rs*Lpz<5*;e=%S8|s1Eq=qnnf!MBxY;_@$@#ufd>h!icYXVdey2_>Z*Sxm z=67=Q^QA={OWbfdH?77E9KX;q)YG>UV^Nfb;)OCprG4fle9adYf|~&{4{ijjE5WOQ zF)(Q%BwB!yyOnu>@+Zsv1fuo0Wwn+pr-n`)aIT-MvK_a5me z3S#Oi)r{Pyp@IvK%~EQ=3`cehfm7ON%v)O03fQ?d1=erg2pYxq9s zcl1}{;VX{4UvYiI6|-F|eVWCTZ{Oak?&!qKip!n!n`$QEL341d-$!P>yR)^&EX!+A z5w$%uGTX+;40S6;^W88g;tqA4XEx;7-is`uTCQ#FR!e2jtXKv14zV-Qp81uwHnuD4 zpm(iuXS2AoTP^QZ)^vVc0Jg9&zp}WvoLedsmX;Uia!a|@`GwWx`NDi5XXSbrL1a9y z9JpQCEUxcuuNO<@jq;`vq{>F+GsT_C)}}5o$x~8`JUzy-FAZD|Y802uScVCX`!Fay zlAY9JXs_*qdKcSNntfds$K%Y~#mKB=nCUB9w~Cve-n&;SRkn0`vaB#yxToJFybMzX znGWNk+2nOeq@)rkHpGa2dpqUQ-Ob8dyJZcf1S%3Q*7a7sRc|*!)2JnmGEB=Ex$l*> zAPAq@-7Jwg$lTs(O4t?C6UcPK!YaSY=Ft3viXN7QjHk}q*nOJ~V5hoUqPQXk4}q-g ztGZ{>1Wp#UyzFi4uJ2T~*P&}vt}|3&`8nz+JbcO77m1Y&zXqV~t@{+gx1lF@x3||H z?A_a1-znZH@2RGHXLr50vtXV2Kj=KchQz5(PWr4t?d+d z%Fy}ft2WDfU{$GHt3^th%t>F1lnpnoKvz9)xmKy|#j(ug3;2pB1D4+oFx`VwfuQqS zt5)unH+8Shyj4c3@?&``YfqB`HsPQZ3TuQFF1P9@Jj)AqW2p1~X8aW4L{*1{bvrb= zJGk^3`vVU&04=HQu>L5xXT~}k@IZG@^~YE6qm91O_O8k`xB*L<7f7E(nHhVMmZI11E&uwwHof)-gImV*{!TR|>ui4LC=DFzdqO z{Z`mb+Nv1v9iB^xKa-f4MkbRecZp^dD08s-eyKxS-?cnFKp4xrIjW9mXwmq%GV<- zmz8w}nYpZ@lu?eM<7uzi!*Hr*CzsS5P}bo&##zfR^zfXLs+FF{fuwt?MWaI~ofP_RbUAH3*o!auh5)J;HhDW3 z(RKPVCe`#b%>HQ*RThzWsIp$wJ(26fSc3ph1REITK+3?2F*pAz0(jDZh6V%-0tfgV zc;{uqO4(uaeE%W4%O6FKVPCPX&4yS9$O7LeD# zv_iZ$BggY}oM3tOB1D}9`y9m){d$HLLNlGLnVk*bf7x%g>-4_CrK)J!?gU+P`=icT z^|uA1%vp>{8_oZA+H!E3H+>@6Yal(P)DwlUQ(QGI*wxyF-oSS~$!2`VI2(cX6Qr@N znpw~}4Aysdz;f9Bh6^q^ZBv8Hf(8i=2beBEy$!6YW!}_MfEWDW#CO3+w1CbJhhADl z5%U zO9NQ%q7@#8a8L+flBQ6=e|SEGKLh)@!~I7BN>q|f&;VJ<3mWxaqmD^Phl5D)ic8Qt zZ8sivJMGS(7c^R7y?e98136qW#VAAikGXX9ObPR=NP)-E(}k#HbU$N}j!BZ?$#+Ij zy5nkutyT}5L|-$NFfS6s@FX9ebh?l5gGad_n|*t2aCYN$ap{FNUTMfo=ayzjhLLki zlpoJz%{cP01$iR^=Avy*pcr`t@$46wF(aO%EeS^luYZLmSM`KDT`_9SSQmB zPbi>$B7m=WV%WuAz5`#4Y#RTWa5-?1i?~9jub5V}Kf}HpT&EFSuKO90s2WyPc>CVNmbugD`Xf8c)eV875xaa0ztL z_AnS{D3A=%sb9j6W<^>mM}4EQ6V+OhcT}QL->BIV83s^}LAkWm)2PO%7JIn~05nUr zJ{kjZtW9YJhtPCJdQCe(PNt6G*c?A0v8il1b5m$CVkc`(H8x7B$&hvEJ~XFJu+p=*=qJrW9&6m9HL8yM62l&_>qEI zD|`}Ev35h@Gy*9agWIJF<#rq>b>Mx@S8Rhnv%4oS;o;5fcSNTbY0p^2sd|kbxHag_ zsuo>pb{m6c-#S`i@Ua(~@=9-uQ{xP6WNVS(Szi(*jP`^V4yCk8awCaYsj-(5@-vIX zgYAcK;Wp59ITtSF2qPikVbNnA#+ziEmPRIcVj0I?h_x-n*GH)eoFTvO^5s{>C|*sC zoDsQ?i_D60P_38h;x?RiNX67uQ633w$P>PlezlzUFGEI_*X^A7WM(0w%=i8lbL#q?$1}K-} z<4zNvPB;}$aCQ=ue`I~#uXjo0vHI`pkZa_VHPA}GJzLJ|1!JVrh_mjCLFCY;Nt?$X z9%qxf&`XGa8qVqk=d314+39uKMAEa6j*Z%=KGdr_+Z&v~=!7Go$JKSSgA=&3AZWpj z()y=&YgID>k2RQ&xh(W8QF`4z`j4<5+*m8$+bgYC+rE_40H$!663UMk#E054Q#ovv zed#%aZPHgZD_DfFwOKazSm6tv7@oA+Ao+*QqXF-*LPP8|9%7iJAl1=w+dLK*U0w}> zZC6pU4D=&m7xA3j9<<4S;Sr-UIY01D!P;-M8v6&f!K=PO?LK z;8{K#GKXFKXRg=M;4!4fGLRkZIYz6xDE63c^(sySW+(4vby>Jv?CRdBX3QF1wVE}N zNG@q5f~8YP5e$;bz2MHQAbOXqvrSmnXjOPua1H1$577_ zL;(W37VZy@6kF(p?kSt8vaMW2WBW{+soPYm)J2CO$6Iu_tG4Hn63HTJTI@|xL*1>D zu&rE6+bzsifT;&h>TpzrJl0$3MZn^T3482?Lo+*}7+c`MR%br!<>%+k+{1`iPDjRa zpuhMYV)t^Zs|AGdc%oiHbgg~}MJ<(pf*yf3$K~aB`dzvmEc&qw#?VlCFKl{ZewM`a z>s5|0qZLMFLp>=UQv;KKN)4LwW3-OFaL`!6@J#n!Ej84movd=8_OjJ#bvh>|H@51< zc{}MIf8(&$mtPx4PL9fxNaYueX%u_8fiJ}aPJ;J(vpbj(8{ouBZSBHrthC`>;T(Cj zxG{#>2$35k0G{E*<)xz1IEZusaUN(z>bzo?ke_F zQMy{GxK_@ZlAVA_d1oEVuh+9CRP58@UfsGMoGr1a=Q`KCW-XKqG1%6&ZtujCNePi* zY6a~yDY8vh;3PYO3Bpkhb_zM$A=VIMFO)Yh9>X9rEf==F=`v}_uR3`e6mkuU@I zRfkOdJ-gPeWA@fL2V|9GH~<1FRl+#HH4%2q1_(Uy-ar0E$GFNw4LSp3a;LJ8<;pO1 zpRrKHG&xLhd5$x27~ZBoj&FJpN+&ngSfE`;6YeEWxZ6FsDw`3#zybi6hf+%G9NGX8 z=wFZ%GZw~^2g6j`JbJ~x*Xofq%f7RT_Ba`}(i{io?l zt6DjUjAJ1APGwNTARBfZkMuCMdTbz3#q|?hn75&GH@uF~DE6|7EX|@mvx-TOZKD!*6`^%#9q``UpX$Y zRfUWHxYjZ9f=GFnVRj&DeFw~RHW#jqh}wQ!CC1;lX2Vfd&i=*W;%}d1s`!{q=v24~ zwbCp?fp~SDuD7g!48Mxc7%j|h)KB1dI0CXL8vS@&1$_y?!!{tZ3ouLZ;u9AmmWJl2sPM4qo3xRLxuv0^Dr_Lb3rVgF zRq7>gDCm5=E=1YZBc18e(AbhzL2^ee7vkt7Mz`}X4YeKzW@$Kkfu*5Trgl3F%?XoZ z*Y??s%Um0}w2Tt)d<=gUb*cA&HsyH?>%Q`^cqZ@bkoBN9@;xt zvq$jc=6^MQik+r0ep#vV2qn+Ykn5qSTH@67so1G>IVc4`*IP?OzG!63D~=+04~`ur3&sTwe* z_MDCIaYPp%>uq}&vxHrEYG|gLmFPG6JmBQ!-JAE!jEN$_{HrW)f&Uklsrc|v)YSIA z3+2M>t+5|ljjI%K%M60_=a=wfJ0{rVv2W~ywZLdt*3c||Fr)4R{efs>se)R$qhpA6wD=*|J#n$= zRdwtlG4$1LM9z5~wSme0sZK+BxrN*0$`S|7c-T_%Dx`vDs~}@d+hEYZ#@NsJd7Y5) z(f$->-tOoRGEAUosRoIhS_4QVF^uo(v}EeqMzPt}ugq#eU?(h^B@H1EN(2S0!B`?T zEPNh>E1NqpggK1ELwp1hra>gI6kHInSBNm_y-}%c5ku{!$q7;l97IqcDS`rE48Ac@ zIV**U@b=w`D8lZONf3BZ)ku-u01E)}TUOLS#4yVw6I6gaWFV+D9%70QJAk{*BRCr2 zaKv1B5a!-krccaToyqAAdnQnt0%B+bP1Iza1g;~fWEfL zC4@i_RRjfnpOyeGnHEQUg-i-0MNr@?Vg&=PULKYOzW!cAU?wW`wCKAc@b&i@etbpj zMCrRK@D&PJpd*5Uz5+=Ryh3^4kQcL`x9>+LF|D;#Ark@*fvC_C0zg#i3^=nyyRqr) z7QL%MtlRS==&yl5TLdRo18&A>V?}SRyp9ka62z{NPH1dVEq_}S%H<3dh?)3j=K;qk z?aEfH0tNrb+g|~}7ZIFX1r!TVft~6tGQhCFFWLSY2yQ%;8gQ7~#_53ydo4ji6ogod zrY&&xS9Nj>pa8b-mj(8Mies@Cu%aT9!=A*Sq%-t`C~r%z=eJHzO*uNjYo488OyG5S z((lftPk~zcq%%=&;%ddMo7T}6^NxN9nUn@tr-%iWwLX5L)m-0%FY2CfQzN@T#_q28 zMtmRbS6#=cAR0%)nrzH^D!o!ie|rHv+ZvFSnN0z z+w(F$MxfNo=xGXqUl!}x>@jB$YxZ_QLZ)VKh$V&hB4O5TbnV$vFRj^Y2Mm@^B2zqy z{eHtuuswcWl?*7ulGXm z-cGD3-V3EBYAzk8|~ouRS3m|6Z&tR^^QTO5Egs@t5Sm@oUoc$&c$)r&_)i2T z*7GUZugQf(i-Px}jr(H_faLdu>OP+Ngos+cEcYC;&m@yvhV&7XcZqv4x2|kO(+j?I ziG1JKs#WlVheDJaYwL=pAT)vqdOATVo|&3O4Ct!b0tdOQ{Z^T^*IPZ!`X;*ls%x>O z&GzC-(bFZy27c0s*fErmR2mkZ7-1SXq5KPi*Kxu~zrczZ9*6{@-XbUf#GvWLAxvz7 z$lp~6YKWldQeQ~I#O98~fXXirf-u6scp(WRr5vnce(Sh@%jiQo%E7iq&RiVBLLU%&WO%?m>pX~HZDArV1;I}!$+py3Nc z7iqyP3R`6?T?FilNEd0qED9MIOBVs~LeYi(Hy)Vg&V!?8MjUF&Er<6?C0y`$uhZ(| z@MpZ^;qhzts^#19SkWzg7yyM8p1-$}JVKF11J8!S4<6bnvtrkqp)}Jkz^Lxr*Y28Wu8ZXwCwo zeZ-g8n3-?1kDM>j_E<#{qgEUtT*yj=B?%eil?vX5m7iO@chhe$xEzxVu_lGWM5q(d ze1wF`V;m>YXcSUHp$J!+L5i)xO&k)X{bsZy^aA>K49kp0QTV01bA?oNDC*_WX$(?E zpcPVvJVL<`jjG!%dx_%B0_6fC+gB+>j2x-3XnaDZz>}=HV zgD24loj!CS=3}*?KUP98oHvrAFi3YN8iTb8%fBiNjF>14(kfeHU{A)l8X6dhP#F02 z^D8@R#-K=wtRu4vF{3lGL1<&!hJ@l%0xFl1Cb1aPO`Lbs$BNh$%PO4K$qKcKSmiuP z)P!cXO({t=xe9TTs0rig$q&tTo`9jalkTcix9-l&xH{gX`cQj#xI!%5R}mAM)^-{& zp{cJ>K^27hw#q6YH$=?N!%Yw|snpXwo2A(KoIw{+=>=hC+e>yl6q~Gvk#bcK3f-zH z4`SzYGG2&cLY-SJl|W1$y_t})EN6K-9di*p?SZ9=nCY>KHgv@yr%w-2qv{o+>Cp?V zK6k~%)5B8O=T`p-wrkr*99a7F5EW*;)rSI6D)Z=I&!>l^u=ES*9)vu1ho?S0EKPUf z8l)iP#Eg&yLm!8Q3z0NeYlPVKJF0c2M}5qBl=_3CHF zNTUVWJ)}pFL_}PPG&#KN`ZR@O+Q~;m#ELe)PKJ+mU5mmiJ*G5xN&90$cnN*KAi7Tz zG1TT0x2;PSP)~UI8xHy zo&-{26kxSFdz3g*a&$5Yq{IloDtmzxyJB|^@fio1=NELL-Q^v3hEmEth;|iD3@M|| zUH2>K6qy5pnclfA;(n27ttF$p9TqM`t#q~KfIYB7X&}+PNybDcd`yZlOQv+CB1PXO zk&1SoPYfxepB;Bx$O_A3CPMASmWn*0ixuQ?H(^qQvRg{$Zs1EP(>hL_E1o&G=L##5 z7&?f?%r@!{BA%GU2u*Bz`UFz#sy#+vVn_*1>{&ZWGXJCtw{Sk7ts23Ac$gutXcAh>1f*_?q2qGDgBUTQYpDt39HFBIY^9(;#N8 zs!1XyRQa+I_%y|AqnspS!ff|k@n9%sWq*Q**&`wpEB#JP$e1-3CW)9Z-949r7>Zdn zHc7;cTq%nA6+b9u?U+d-Hp1~jG_KY`wrYgOnJA*+QE5)ZTv!#Vd^z3uaR-{dWmYOD z;r6+*WeSuQxz5YpY^$Uw-5EMW?GS<-d@6_vvwb<;IT9@_Es`o#V0nd0p6q?{B%n1_ zg~S=WhOqf6@e-Qe8m~#b);?=T6GU?Yoi@Ak+`KX96~%^hj_!o=guGo5#r&I0X>qx` zG`|UNJ7M>@*{=7Sopw+Sd(B?I-fo2WfX9m((H9pmabNFdrymp_*PE^Se#<0f@tBjC zy%((CD`5i)Uh!m0ODOEs3GS&hXGoNrTRBgnGnSM^lVz(YbK<6?pw@VJ-0b&*oo@5! z2>W|0Zp&@)pn~(_pi6Ah@~SIche7QrK$sG;ztN^%6LL1kQ(D z6H6O*_x46`tJP^dGI10g>}?k5?lrspLA@2kW7l_gX1Cg{(_p=1U_XqMwt&Q^53%^& zotyTvOY}i}Db>5()1dUQjxE(uZp3Z7-gp!qxVV=QcYDxk1)|>Af{j=_s*25h&;!(n zVmZ(7`8(B>YH>qB&8Qt>g@xcozOeARj#7==ZttL~k?q`sMKp8BiN8gh+#@jJn3{F;Rg@jT(#bhH|{`po5tBdxpTeW z2}+%A7#O^XSz_6UkUC}xtONYuA#7sl%TQRRj4!TBg3jA)QwztEkTC?%7s?)c9IWi0 z#=*)yb{wo6NsNP)#{D>0X>X5%l_u~wSlJ(rgSD<+B^yo!rG){!us-yWu(VFOJUSEO z*b=zTHM*gFY}UzDu|w;pvR+FpO&to&`o^a(lc13Z+X`lqHVd3dEG%#)xv;>Q1la;- zl4R@aZc*ay5z=uOu*x^SU34U9 zd>8I8P?lIr%*c*|we55qw(#Rx0nPw=hFon6&5)~YpBX@{M#%tb^+^U$+s$MEwY^LR zP}|950JVM0C{TXma)B)DvanhBWnr^0Osg@uj$)V`YDjx+#i)8I$k@84k$J|Ju8o4k z%DZ)t49~dI<7@Or53#@IhSzv989SfUS!#$F|iFNzqU^CMf`DUgsU^CMfu$k!# z*v#|=Y$p0rLgS}%3?&&sR4KSkxx3$F zw%;$k_uhNDG+RbNl_6Z+IpcIZe<9!cr^!O{$%+`4AxG+3)1A2{{~=WqU5J=>GP6Dx zDC9)b3jbTyFNmq6oSCsXKj#e@ej`ON%@r{NX_vac23#cT-w0}_?Z#ZtXodBzeQ9;- zMyj*O#P>y&jLU>@KHGi3-wecn zP{{-@!0w+&wII!I)4;~wMB3$gH8;xo~_=e{Uy4witnft2qc1Ay-F~t8?BY8Wc zOdXDsE}NH6yN%Au5cmrzCJ&|m=={9&O!f3fQE@jTdN{T6<>)ILh<^SgibKG3fsh#! z`UML%^G8wKyAgR@iZ4cD=F#X~AYk=c6z2jy z{qp{deDjDwW?s%Z6r#`a)El7RiLjTkQw-bZVFK(FYKECFmA179l&(C@Yc*~Ra zCp~a)d*FV;1NYB7aK9MU4FMzLF66L$9^-z@p&y05Geq=!x^St?*Z${G4el8+BAYuvXcGsF7>Pu!}<&hham zD_#Dthc18YK{{)GJ~$uW{t$r4>iN=s$%CJV1T*jRMEdf}8g~Mullc8@4_$n9^YP;y z4}M?t)D2Ooa((&v;(T@U;pZ#k^B(+u(1V{3?gu<@zA}7qKjbO*)RUjD9)HJ^w(Uv# z1rOZ!d*FO!_-OjKJo$Aq=Jz9>v`3z_f71h(l`cM<|E?#$N1k$hH27gp+N|~U;qv!9 z`5k1$#h2gDdGh;iPkz@?xn!IEvZqb^%0PChwExyq?y{%czwd#od*FPu_u=wyJo)|Q zjQRZ|PujOVX}{e=t6$4Vt6%bzk@J-CD;~IydEk6>`Dstut&C}XH1);(yr+!6;wj_T zJ#ZiO!1?O&GoG~NjA?y1?|S(5Pdt44@1AmfIRBOh?&~w+2e4F|@!{;F%ddLMeZ^Dm z?|R@q;DPg%krfwTTHjdQH-7Vt^L*v{;{KzDmz=LnK0f>|JMOOm!DP7}(yP1FvcP$U zJ(<&9q+DOxthoGoMjHH#r@i_3n>FsIJaTZ)QwGPs(Z-o}w6o~#9r*rP8y3_6E86YRLL#r1+u24-@S zGXb?ewjK5Q%?84FSjxS6YY?ipnGfPeXV{HzGg2#t?EacJ0ObmdAcH}#**;S1Iv#dA zz_J&VxVl4UO;+a2tm`K-XW(|gF}*z$pRV!Y2&hT&AsEvBoKHmiTQ6~1G4>6txlqz-T3yx%`nXpb}ibhOqfMkT0T+QlFN^U=i{#n?lo50%c3-{ z`ObZ>U@RA%7Dg9aNEBOGb=6@pxg;7n z_kC2cvDy+a#?O^RsX0MnRjPO=$%k?D8$@02W4*Lp$I1d1By}%GfMjviHMcyQ#l<8@ z7N_cykQqT#R&N+9ljYf^!jzueimaa$Sr#azCDCA#^o?kzi$ocQ>y%c&ZJv z0=OZz7?U{#Ueyff9eqqU#5I0Qp z7VL5>JPzCaUJ%?UqW{Hx*s!Qp=av@@MZXQ1J7WZuC#3GIJ{jcg24&F!JL8g(#w0?> zJd7;Z7yHXdV?Nj@eLHe$_KT3IDLY1*uF+Yij5Nz7<9Vi5X(-#xSQ2D{eqO;3syq9n zc@PG*=25%eiW4a}w`{jlXCo4&Du*kx-6BU_l3Nx;TPFvzGFq-qi&Z~5u{OQr@^ZJT zjmS5qCpSO0WVeuK zqYFzhc@s-|E~-5eDdYj3!W<7>SPam?hsjt(<>rD6g$)fiN1UImahbtA<82LJ&7|9AmZ zk~>SoP!z{cYG1b1w!!-72M`1Yi>1&-xM^-{ZIZTW>Z7(qaBy-`(7{R2%|&;=fFg(> zMFd?63Jwkq6&!SNa26LA&rNb88SXuB|G)F+Jgx`=gaM$H$**I8C>~vwOr6%My1`z+ zhbI8fc+a)#Qv(<$@Gz*+R8^v{m|DJ9Y@(T2kc zF_^*k+~v(31{n7;GBJor6{=Frc8#Mx2z|W8%y}+^am2}>szha(%G@^rFQ{yFQf~o_ zV-8iAC%WM&Rv3l^idXN~{axX|0K1>EMpWu8AO#S&GA6U98yA={>R9s(gY!bf;yT@r zuWziIPt4{ThF;c6E}DcG+Uz}U?_h8zWus32LJ*!%%N-uH{TQ(hYXKTv^7b=iVT-}M znnon)V78ULAHfgz_&iL=30o{j6j~w*A798qdOx28TeSLM+cxO3!3kU`O4)S1!c6!Y zl(JSa^_olh-~rYBv*|kX;j>~z7psa)b?z3nxLKvR8bOYK6)z(~7q#Qp9-Ec>O`V?p I00030|D-v(x&QzG literal 16061 zcmY*=1B@;_(C*sSyS8oP?4Gr4+qP}nd)DSz+qP}n#(%#1-{j_|>CBVn>9kGLr0vWg z0|5b5!vg=4PXCI~Kdoxw=wxAMOUT4R&q&WiDCA;cZA{3_!c54>&cMXXz|6?RLa1co z=xSkPLMU%wWJSnCs3b|KW@1PvVr=33uV+@;f98OI(D?q30vZPSU){z3zuF!6(#I;= zSVC2|>8hz~z{<^3W1C#x)zx#g{Cs?};=Dr8Dj_FjD~IPPbF$*vV!3Q|yj>+nTGiBs zzrA$$V5qA8mw329Y9B@--A9<8KP~JV*(Cu6N}>sEd;(Jca3TTb0YS4y=dpqM@D3mj z=VTk_t*oNvGK0Lfo%>*z&tZQs0+m8G+r8^$+w10w>AO3+hl_WmW{NK^Px0iiajoJd zE2p_av^2-|XNK@O=03{=Wb9;JZSN{B{vGk|ff)H(y^)_C z@Myh=otV?sZAGmd-@nLvY!BKWIRZmMxw$S`BZwd8o@eMOT3QoRCjcjK73-%$Tb&ec z9W?ES-8RS^K{a^KgmYYQ{+>;xFojx=P1P^i(j_mIChn1`P;Tr-oI`y%J~can3fUin zMU}2;g&&7594b)_dx)&BTx#3v%d!Q}mK}&ceRtZ?hblP*+x$v7f0P0uZ*5xvSkalC#_lnbG+C7smEizstuygkuwKv zp0z6$tQTLW@_4S;K}ls>9Q*#xQ|yjhOtrLtQkj@KWU_53%_YHvYZroS)h@_On*bS*4nex&jf)@Ks*+i4c(EY^#XdV%*ma4qDsblN`F8C#Zy$3^kVXKPT?Y7a^812&(9dje`>x| zgnwdYvuou%e|kDA6Ewb`%T_x1 z`1w@CW~BdnNV!MbreJQZGQiOG-is$H9-h61%I^5^GZtxXQoCS1IW!`7Ydg z`bHD`O$qzJ26f(Vy&~%3aCo3Z7g_o`!xPEDJwnd@_S1A?@aSs`bYMTbAyA%I@%Cn` zEU^b^tZ1iY!l? zSJ|A`?)UW0!WRf(01t4Wf{yr>OX4ntW?YDHUrLnD7HY$VSfo!{InLX2PFlSZ3K~&LB0TTew{kl;J#~e zp2mX>gU_?>En4%l6WFF&4j6Yl`0f^fTLL9?UJxw#ev_CeLe@!-q>U!{Pr^^ER_7| zP$;h5Wh;wq-gD!1UI^GOSn#mFA03C*mv zp2(wHk89AvY8OsPSl1k<4c<26L)ox{sQ_&@`-b1N(+LUGaA6QOIXhDp4=KQAlCyTE zsHYLncWM=0Pij8~Uy~!LsZp5GgO^lb%Sq0{b(%%kd?J_+RllRoY*$BmgJtJ5if1w~ z1CHXY7qVfJh(Wsat46o(kCD=kg$exAw~lt*Ex`z;^?~R~OS+Y49$5wHgA2x;ue{%y zX4cX~mF^@lmaVg7 z(e&>YZ-Ck^#_;1SuE6DC&D?BCa~1uEQVgj|$shxvdQ9wD;2AXrUcRZ(t9&+Z{MNM} z^ZM68`1T-Xx5s+qwyb`C*d(Yd4sFlb2gC-|i0Q9>iBWCPFSMMTu>ipWt@N%=#AOt| zwRfh1!4gTDqWNPGzSWX}Dh|(xnz@5STi>Ma8I<30a^tksE2f*dOxD@GlbTCaDltpc zEJR$T@vq$pe<5#|qt9>!`lb_N%N?ekI(N*(&3O4rFJn>bGsLHaEy)!RG{-!;XEYb9 zM5Z(sJv1jdS2dzCo5~P{qV5mC-e+yu5VN)v_*t)&x2R5)Mo*=t``)$Nl*_}9Hh7+fwKj+1Grc|i zXH55a_;-~9YBVMnl{iU3u3Oc%@=?^${d!|x(7R?Xfx_T-cVS2wr4qSI)q!fS~B{`oPHu@yS8THSr4L&X&*9os3QXoXRy<>oSNG$Cjc1L~^ z0W6|e-R=^fmv;}P8Mj>-$QHm9_&K=D^P16cJ36o^uhh`z@79Bk*HQ4TYKqA<{mQ0as3}xy*qa4 zL06`3Mbp>fDytVP9VBc{V&_d<($9{~dmD4no6yO8DlxKk;`{Q|i0$pr?H@zZS~A}= zYx`9yuLH!jqC6NhF)^QAyl7si?S~Z_d;H$4rGO>=@Cvw!2R9JWEmSU~$N# zaX-YPsT2YGzh->hdaXQMe1<8aD=x+ucofoF@WjG8nMoh)OWSkt9hz|7bAZ#|lIp#I zSR68|9vOC_LvB4;CI3in;&&np~eDt2`GRF1*WrLz9BIcP4Xa*l*%T5$j zkt{#y7k#2~DDWpQNu>fJWPtPdv(#TI3jlgN1dXzR37G-xw=q=g6Be08s%vkZbRHO~ z$58w5G|r)9C6I$O3k;)vX{BP9hPr8%f{j*R-Mk*2D)2-O2mYIv#NlB6CQbX!v&^WI*IgKW)pE{5rT!8e}^ zXD_yP6+x<{cc~I`TSa*ZXoPoOdZg<9Afh*@A5bt*PFyhG9E{prh~meaxM6n3x@zfk zpabMS1BN!U=DX}`g@Uk-W_CZ`q>xamjuIn-o>l=qjT# z1iL7^UahYPEwPyKBA^SFcop76wHRu)hxZ)!QEh=0S7q51KB8N32+D7D zV;7Rr{k6k#=wc8^DeDy-UPW!&!?>Duz3%QawkOWr=zRSlsU}X4+xGV4Jvssw&C}A3 z-F6r|U{Bv$n>mKt<@4h_S#QNdTbuia7Hflv?AkUmg?6Z6fJWLEPV?@q!9-%vp$qj; z$&XFg*GvZ53LKcxC6}h=0$s&req+cyOJL1wRp{8C8XBa7z6eY4!t?`SlnWrl`jYjK z?)n&Ur(P>4-W6}Ze#o49dFbTvC0JJdI}%9b4;8$2B!O^{k0ZZ9_iWh&PKt$+3C>@eI|X-9mW zHdG_qw9P(FNBz?CWbG{7A+jT0hcB+#r zhb*B5*n)zWl&$${@-%=yk#-=zV&5dWh1Sk+5;@p?*m;KLFD6U8Kb~D(R!GUI>7SVF z+B1a1rurg02qHY~+`RHACoUW?ntWK9SBBMF-en_>dF1I^12%WFqurZdh@Hw(tv0-t z6tQ?|R0X>zF10%;y62ydWlcaoYgDeS(cNAuj=sj*`$mT3q@W0s-K86&xW6K zBrSTBw^!>j)$TKSu__NF9MKzxukN95Ga@(lqdTIX_>^@dPLse~k|MzKEsyTGeQ%Kj zyY-KB8cj5am?smLU86coPQ4#hw2pj~Rm!z1ha@volDd@5nb%u=wO%@pG>e<_ikQ6p}2dVERS?OCLYfRtC7$8mA7%E#ds#GjS9dyKb1%F1BJr;H%B{lPSo1JKx ztlJx4>`BcrU*wEEyZXE6<7Y{PB%5Jyhb6ZN79lgkXtUFk902pRynEPNjyXM_;bt9w zeE=l#2sOJ-aeVBN6xx+$W0+hs^}aEc)CbMxby9zU4JLiLF^}&a6o?mlp;`B|{!C#V zCx4L&+zx1N<%>S${vAHX#uDXdU$WnyDP7*6%gmQ>GoTI$`#LoDM&}#ZLDYp zOos?nmJIpH-uY0WTYXvg)cF&yW9o(v`c?+(dcFAJx+s}kB;2=ChyK*Iuz4twlCN+Z z`Wv+v(oCXiC`h-I&EIXns3>#G9N+n(22irkeq9zK27%mB{C8AUK*kS6jBhh|w4&nz z2h%#h9aNfz)Vdml(Xj4CrC==p>az= z$IGptuj*qXuo}(OXkmDvC8rrT`N{BIP<1g8J<-%>NMW(P*3nXaWM`%y8 ztKk9L(k-k<3#|lHmPOlk6<~qJW7K;)gS;VK|vzZS2T&HdJz!Y$)H96aa&*97t?7XJ3#mKxej@9& z?>Dnj9rt$hDWEz=^d^BSddN&aoVw+7RZO|YY0MuT<9>o1xr2LeMa3-(^V}H+ab{6f znxq4cB$bSLkkojjQ9)6*c2)hk=23U&{x5guT53y6l(TJ7a&(AL`c9~;A`Ths2d`o~ zoMIkjrMUmZ_SICq12INt(ZvMrC6eDPp8$f4s2b{s4_t2xBxX#6WKcbfr7W(?3kzf=2>?L0q;7vMNR%maYqtP?L>&#x_dDZOO{q936AJAoq{h& z65GM*2nHjEm`J6MR9(7Mj%^XY(T7_TPR?oJ2;`RdZ%LY+N~fG{%^{=t3v#oR9I@Vj zP1(xrSsHicHz?Si_i=jcAP82Ci`d0Bg{HAR-PHxBHWce&r&1F?Ac1J==MPGnX_G*+ zo@dpE#Y3t_5T{^4oBi^#Xmx5=Hrv!*@1np^JJ;{r_12b-4g+Ez1B;$>EV-ko$#y=A z?!p*}N*fIq$J|IZ-1G&;)nDF#N(M%BxQ&6rY7tw^!VG}DI82w3x>;FqjY~-2l}#8B zK{M$qCc^WRv3S+%3S=qWWZNs3#WAiIX!#@5kICosln<_7-J{A)a8g0c^q9q8Hd+&q zsS9y++m*dD#ZnjAZEFK;o@bvkvW?$es^_z`ypxBbW6mtV%+hO(9!xTL6WZ-_RN#$r zAh+mpf$f76Eqj$IG8Y0#F4gRu;I#^;?A^uHBexyTuBQ=F(rXtDSr;{S@UgLVp1q`! zhdJ)~e>SQ}IQ#1=dS{L19j0pN)Mk(f#mUEP*tF5h;|(}vs5Qh5=l{8O4Ev{Avx zbuHSv0zPZH;>KCnpW2tMQ$+X8SOUIByccEszQmzBogDO;D~z=TlXw z+L~pJA&flUmn|1+!-JH>bI*G~=PAp3>rzU;y)}L2DOiYVGAr0s?ovhE#C|+>iK1ug zh!OmIgG&efItVM{QQb0>VU^0!&@_7MO&Nh9>edb&rYK7GmLN>LGAIT8dWmh<^ngUa z3DHh}(_f5hKuXF>YdSgLo)x_?|0|Fpfb&kMLWe$|UlOp3``S$sTpOv-nTyRu;lKcE zEW%aB9%bc_HfgbF+01kX@{2bjkxUn@8zSAjI^W5e8MpeikE{YUmh<^Yec7~t<*Vaj zJ^@MYo(_Y>3qdyGKpvD*L@HFT-;6GUT|(QU9JEZ-h-&xYO18yd5!x9vS?W%q6k3iV zJF=9M18Q7=o%3d;!}wnP>jk|R`@@*7aoO&M!K^8Zvk>`Y6A-kTFO$R`C&0T+{*(_u z`eDsp^0=uYms&e>Stn(#i;s<2ui#Iv(=<$aj zqCNcN@cGZwI{=?)9epUb!~48$!+S7e>%i7*mY=ok?6g}Y%mINT(=`!q4s_;oFP`gs zU%-ni1y~M=uNh=*PFKItvcWL!Os#gGR>$?;S2ZnTVyNaj$8xx4Z>ds4DdI3u6-Avp z2(6E>d{fD7dr_jv4#&IcBaN6tIA%Nc%C3Aou|1x))C#hEaxf)pJ7THBwpRY-kzmJ? zTg)2;c8csT8A513ktHld!_s6w4rRIcBHY)^{N@l)&t2WtXSUWuISWqxXO!YzyHZCa z>d>FJlIj|$985W8Tg@ZRWCVo5sO&7Irm(3({&B;v&@6?Q^a6D|XCA)vkL8~==V2-< zrP#=%&3yCKJ#)DmDPYgnHJu->WL%p)uKBsd!!oY6U&j-pdve3QPpFh;&;>vNI&laP zBkh`}A=egJvzcoYqh#l}_5g0S|DQU$G!R9DUP z>R-k9{51m#=y&qg)d1iq3Zlyh0K(K}u%Tf9q*l&XUEavKasiXoUg)4BH*-M1_r6#7 z)=YIZy0hg~u&hXzKSR?yGFp=|2n4U7#eT@a{r$!l{T4!ME@>bW@8ULWT5d9hBSBKS zZ`U}JX=4GWT^Yrdk){5VH?JzS5b zR<2OJWEc1vgC&I)r@*~^qafIwTk*HlJ;1HaNL$0cLcA<9^VITlP5S_QJIg6wc2CG# z@|?wK>!L0q0^17DRoX{Ia40J`vRScp>A|EQTz&O8{s1aAqiU$+kmVDwYoqjGjiDTR zX=O^}#gT+k18N=BjkW&U({K^A%M+GEt?iE5i4^U4TOV6(lIb{1{o-=7-3@t?1ayLd zCHMg=RfCM$E-6nabiV?^adqcaym@#8U>t`Ue}IsO4$u_0g@SbKyuglz6)WaMya(1) z%VT_g^Y&zm_qS!6i!h3G00!(-nd$&2jkc8uhwzrv>*o*$?*Kaj-APXyaek_I!!+hl~*ee3{+7?hP%2M#42?FF`UfkH14-Xaa z4bH=4WWeXaC>=9pq^t`<;HVq>cuDBm! z1I1h7m3D47e@NVY>k#YjUH|B5W6LYi=K=xYRhpT zPxoG67KB6Fk>ttpMiH6mo^Nnju`+!yh|N4ZI7p}sR=dnKbu4A$075{O_H(-B7h2m` z(UdgG4KaVC48aE1U@&qgj9^ts0fC~Tz1 zpCek~9@a&ox?!F~5U#!P&zL^$Z$ft1kgFIx#96U+pB`W9A=SRWT98h`{R^D~!;!C$ zDMA_lr-tPy6+C_~?G~#rJwq4%x;&7WIUK)M_&R;dH+ZR2<$rk$% zKOanw_X%7OPAKPFaC(-5rNbyE+gRge){f0Yy;*TH&+D277u$3rUi6CpuXo zJa7GybeEw(NRR_a6erw}I;=kGU_T9i$igtRFaj}Unbc7{YMwA-*78yOgs-H688CnJ zerqg>Ag^{Q%bn&d0EpKbl(_?_1C4>pfyvOKYy)k&#BO1j_&6;zvY;*iZicjrd9e)8 z@jUzC?i1c%Bg*}^I6s1{+L((6nKxI9e{fDB&<>!Bo#{m57BRnkNtPB`6Mx>urHP7R zu;Q{7L(#_SoMB3pE4MqNK)>`o%T^4~#2zn6)6$N5`DUQ|nEK34XOd!cV3k2+a5uj` zOk;KTyy?N`KU?_PG{8PkOR?locz=a!!;Q=&Yr9NFi{|v{ZJ30Uo85Rq+r9G!^DFYA zl3_Pd+(V3mLfM>7ss9++qK!m?7>;CoW7(sEc=XnC_Cy(SOzM*DLvc~E&s~3DSuM2e zOXs#{?Vkvz=V$JCW;Bjyw_lu+)CFDteh4r&Dh||bH8K=;?R*xz z%6VVCEZ}~Q$9%(PATI5U-S~63J6S~3^Vx2*JRYecYfa?=I{4=b7OT-FNDwxw%y*b! z9})53;EGCk`{Pxp4xGE|6|6fr@0 zYD#^&p@Hz^I{;B@LsJ&vY9!4WvhXIWh@=9QrC_fvQQzTs!vRyJxC8f*9;LPap} zUi5_yrxs#I`;U9Y&n6g(Aw5B3jNNhJR?W}L{TECGmbk&)U-t4~;10y9;)(kHR@#cT zCa;~$(k9@MPO--O_P6mi|H01nQvI-VjtoftXILep+@^1>o-?lppu#O^huqge9FzU#i zLXKnG7Tjb4fuGG&4W1|7tW^ap?HH@^Ltug{Bwst)0Y#56q(QI-fO~o(^78= zgjDc#+DI4JRz9DDQ5BqBg|!vUWR4fgo8)1&LoajRQ}DRc2IizyB1UO!8Q?6(7bDDi zH~JC>>chfdkW7M=h%G)xSqO&c)1G#d;Ex=Xh5BSiz~e3GfwBM=RKZEq3!*BN$Gm0_duJc{ZGf zj3chJ?2dtJeSefczMxKZ6IQ4u>kev)FmQ($6m!{qDwTa?=H(GLafpPGTWW|01HJ0M zxG%m@`WV(+l$4n&c+cJb1uc0{y=Q!VQ03n{n0^3h&ZX&jv}(117iz|WOWSEMRQH(1 z@!P(a`b?wj&PGs228UPciASu&CB;gEmTP-m{7Ck_;2}M#6xxcIkLte7u=r?xY`9hv zNf2Swem5()-s()O@ycHrPTgh?oDlDj{%|JUnNmzZaXXNdybAH^`j3*G_K&hSyxavI z|Kyf&yHM`M5ma)ayVyY46^^cXlR?>)6}M1~_~O1dFMxWrJ#~FHAJ&w+8}V;FS{=R5JwFeOXnqu`wn5LivQiDanm$}+H$L(?1mRHpm zW|Q;VeI|=}YTj2~ASNay`;4dxPZY_hWZAH1{gdOZDiOo=rj6bqtP8I#Q(Kb5f`Sr_+*dl89_%8H>Uvt>;#*aXSbx(C zuo$L=MhI^(Jt7+71pY{VBeofksu#g#ng|3gxPw|CpYTTu%SCS%M>W+jkE^#v#zpBf z3!$6$*T6VJ6`X6dE~g?Urqs{-$9OQ&2oHw}#Ytd1-WIU-aO76gWSHoe#hL&Be|+yv zpf0q{yE;GuHzY)xL;<;{t_scI$k9E7HOx_vAYdH%4tN!#&}($ASUfoZv25Sn!Zms= z-Cr43ohIZ${xVP@2u$Ngiq9dJ#p(zn;H_Hss6U!w&OA%I=aoc!0nlX69LCAu=H?bV zbRZ)S4$vj@wBl`MuT>R-K+ZXsk;_#SIz5L{@;YhSxdNoQQ@OnQam-jzsvKyy_;{Ko z-=Th)^F}1~!HWjUIyPtT(yTR6ua}vmJr|)1TyR5ovv0JnjUA$9&pnR>CQ1~`TG`J( ztNk!dK$16EdqbP4SL_+{U`wSe%$*cQMpy4w;MHx;GEqqc@)VS>{~;SIAE8Ph<;Kx6 zD3GL+n7++nBaBEwg>Fe~V9eudTHTkDo|yZr8rwor|gUwpGR6OlC6?x5;TuILtmS3!E;u&7MljA!J4<`C&G zQk`+Ni8TT^LF$N>0)mTkW}e++>(1UWscymZ=W=pkl#hlsqto`&XHP<`8N_497FbFt zjINaxrn)X~2h*GPN$05)P1lO3CSv8ySKlT`OT<&_+CX2>%tObNL`uao>V|S;QTt~S zEW9yK(8^IPd*W3-szm*Y&d|mhBzN4V0#&SIm6*^|X@B#g^9$>0SC{XquAX9~;&mnf z)&ILgBz2_H7t(IA2`UywtrHRL8xnQve!*c_Xe3JVZd@fGIrz;!o;uQuvc_nb;X)%@ zO}}#IcsfVPvFfbJ&difl2UE?FDZeJ3K3LDrlf?v&ofUiwyl9hR9j5An2iiEwRHF1b1CumlS%6qmWhaTOg5HyOb{d6&_<6WDQ4gZC82@q9qvyzFhTl}Y7B+{{=$Ap^ zyx4KDIycs6Hn%nL@~|-i2wbER$i!&$i!@|k?Q7$;Z?Ke#rZWD`fhTMXGD+3qXJ@Mj z&bhJJLuU!(tAl@m-GDE0IW_eVjHPb)1Yj zD3MSfzJ6}*U()KV#PZT&4AGvI{Z%<8KAoceb-ijzH{Co1&24?r^9Q6SnzI8nh0S0M ze+I=>@L^Xm7@Dn{7&on;M8B02avE*OLxuW2zbn^CMmmYHeBv)&-V>PhufaR%B>Fve z2MATk=StrWS~6S5ma$?|Y4iJD!7NfR=QegOk@jHX9*ZHq35FHYQt`C9HBdK3bziQ& zJbayNAjpckECWdL^SKNdr6MWys{k4v+MaBPEMlF0Yd2F>)$VL?7Lj&QcWv>ZCC}mI zszdh;;ag)%*Z$1eJ&wO!_$}cX6B@;unVUOKST1KZ{!3G|8#f;Fo8|#7>}2yrJHc^!H+N8%sV-QuV@Gw#vNbtyey#WVKWZb^or^w%{Q1??TsDlf3OWh+S82oj5HwmVn#R3_zIj<5=t5`xx{WkzXbkT6#d47=^~Pl$zdtA1JTHqRA?F= zNHXoIb?ulsFuIJcex0gFLQhU_WjYa?4U^*|y>3Rnp4&t&oM2b71;A zr9idPEPcXroihJ8PT@geWms|VdTU#`MKfnui#@g==xd00tIM=SpsYoi+11F-&9`HV zTj~FKxA?~|UtIsal=$bwZi|&~{yE^z4DdEGjjW4oO1Ir}~NZEm=A!{hm70(F_rB;nP$19um$NrepnUeZoHJY8x~dKXJ17 zpR>!H>sPSP5>ixUxVm9iGTfj%m zhV`FKzU`Yb#Znw9DOxHiI%wp3siHrcdyt3*N)wu){SCa@446AQ)hzdPBoP@HlAbPm z=8N~&cL`+~3XbTy*mngqdZu3**v!XZ3FKzg^M9BWQNpgZGSMwoF{?vTbj~@|Eij^k zQi8G^>KuES5L6LBhWjK3ym6~8`$zh?S02PQ>ONigzY^-uB-*qCG?)jNDuhCut;3@VnS3zaZoWxKS)`x z;fAUOk+<|CfSOD#DgLcK(APOIS~sX81?JbJNI~__1+BDlMb&qSu?3MYB_{`Rku>}V z8YqBVu)Y3)x_{u^6OFRRfvT5u=}4cVoB@(YA|yk%cPo@JQWNxyJ_ZvuLw$i&T9<8l zu%S^QUR{O4`^<>&Ca}$YcrHV`kr~G9o;TGXzHtP_j1LZ!b)DF@!n^Az4wKL*QPg^z zi63Ek>5J4|$0`SGnQcWvU&re|u=)=~;lXBU=J6$(m9xDa=u;ds+{#Ys_5Oc#P*2kQ zJ~%p`mc-mKJ&l(%8t?wf(+gh)oQStd-2o1B<2Fb2qN{lHF%E7Ulp&Sd-wQ}?GR#g`k-G&Csv2MXj@9sg%Yce{*D4ip4Kbo5) zPAxz>(hqR*n_*GZ;%BP(3-axYjtSOgD_OYouB_||PrmVPa||%QowTRte$(|LZA%{) zIQ{!oz{&N|?@n6x`@or;<^wVHxuxOXmuESSjN5hMXRWlv{RbkUdA9y@s~l_+kVyJ=4(P zGnzNbn&g?M$`bFxrc|X;3%nVMsAdZ$5>Gu#Thtj)3+&qku=CmHHaN;d_^0ECmf==# zN|KcFCPzE3VhBox`n7H+)t4;^&}7II03X(TK>p4K$ zW&FP6@yqz9#}U6z%|KY~$O$JW1Q2?=@clnZfm9ct!GCd#(gV@_#i=g*+Y-jJyp?oR||9=jF+W?QUstd>_~l zME~~t7w_d*Xob;Hb(eZmKTFO0g-nP&L40M{ zCZHFq0N&27y$R%f6%zlOFwnP9b6=kL=P(e9-}XfBzWn|(?aw|$NbiQ9$L06=uSW6| z{B*4z#+Otq`8Uvm;Hw-X^%n2YDM2JtkEnqkLfB9@`Hf| z{Q9-t!)maOG?m}#hcikdyi*IYtD6~*;cv1o6rPHN=*u5}V-?{2;{$X(1f)$Fhflol z+!qJ-{_2PCPXnnYdx-%WymYMdp4bOa6x_@Nt;EU0Qh;z2kAh_s7{{i`y!b4 zwfxtYBQ4gAp~c9CwC?QO6TYh&o=dyBrPI8}Vd}0u*6-qH54W?V-0Yjj_w%yt>sA$B zZQr_$Go!Sawl6uCSU41GKZmua#nP0V-olZNF)a>Aizt~@pKQV*1 z4s!|qdXG%6cf(NT8Nii8bp1=StMzwZz49N=r6vO;wDJ`3voV46;KeK*PKTca@7jYz zYWFe+gSfRmpAwTYx}#8{yYfv;kWev735}P7i`lLXx5P+yEW~&wLtd0mf{hYb5XkIS|5#FmU#cG7Ey6!hvFoP{;3$nm~YXvD>QyLdin)FMNB61PO@ zH-eHtFH3^{aNu!t0{LpLsW4lsX9cPD{FhwensswIj-8sPrnqf~|4cv}9X9o#+68E8 z&8%LHIp~ZoDU1}yy3q82H-*`feFDCUd zHAovvk*U7Tv}wrxtEUJ#)lHUr<)UJf9M(hsUOV_m*zkw8aEtQ!T9$5`Q##!wLyJFU zBXVS9@?mU+>?d>d%7~bQzIWOuu1vkAaFk(OT1~iw_FhIowEIMa-&+skCc;~Uv zPzy81hA73xUVp;{F{od93tu1 zt^lLl2=BK?cNLOGKiR#utcs!UT2yF>;3BpKQr=pUjms?oJ~ecjNpVTxaW|I0##flU zmP(oPd73+X%}%RCwjnUX84cZ9$+T!Z1MQyWURI@4s}|;ms-9vkAh{|3*N*n{F71ry9foS$dsm-u?t#b% zxUO?FO_Grz>*IEfr9EmbS#FBItNZVxIeL4B2B6Y^(FMmC&H~LO9Nbsz<3uoB%IXml zb&balL~a$(*HwwRSy7~Z680xbK#!pzYlu3wA`}W8J4)xC$X^Wf;h`c;&2^X0r@m6m zGItn6GG$(u5aO!>wV#N1*L)r3dDoaR@s6t5 zjb3TnDPFsg`@by0RKJcrkOj&v-bSVd#FsKht~_bRNH1F%R`=zGNhMVf+{8cF8p$aH zvh%Bxf;6yd@yt*j(g%kh6Ro=Zc$zn;Cb(?!$Wu8!1d%3=fj%2#esYc-whwIq23mU$ zD&rZJu!cPz?Br9bBTSo|AxBSP(0Ai>^t9Y#8PP6P999<|o>&$k2t*%@_d(>&Rjv=_ z3XLZBhWSP;A@lXkR30U#tI}Hk5YrnriC7oE_zGrKvv74aZPn2X*-gQGCzwvzym+@q z`ey2MT2Ml>eJ*k|ZZTCNX$1HvKS?E(G}zCIHJTB^gUHCbv6K2qS!0Z?#LcD* zRpL>|5iCcTF;lLz6OX0T9s7k2|n(B9GL7JlcqyrC#$=bKmLE_zM$AGvkvSB7A?&WNgu*x&r4C+}j=GHu6QNgP$eX0R}M$ zTSkTKJ<|e7BHvU+vjCi@IOG@F^&S7(8fG2ZoCH;ZX#_j2YIq-R_esqSK4WiDT0(@N zbcKA1WwRCTlfmf$ooS6DQM8dPgbEq;gz|AWwEJ(9mZWzm{cQWPe&RWJx%z%eQu)re z&MQ0EAMn+$WVQlDJ76mR))c0ku2t@lXorzZGt6uNF~-W7?-yL1Ek9G16{e>KO`AE2 zp}Es-XG>gPPI3(Q7p$PWAf21{;VE*_*uY%? diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index 443cfd1c34047a9c86a7be582021324bc8adfdd0..b10142e8260cabb276e6b86d692405358a6f274b 100755 GIT binary patch literal 108783 zcmV)9K*hfi000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*B#+3;+NC002U!0RR91 z003(R00000008f(0RR91004La)V+0l6v-1eJOcrOyZfO#fz+bG-3~n*4tICg1W5=4 zLU0f6?kwFCdOeV9)MrK8KXS%XR#9>$mR$e894TmQfqs=Ml~ldtjDjlK zJf*EDQLVa0LDlCt(pNzh&dh8thM_1|*c2tImubWj>A|5s+Htsz?}0%{TU{Sp@F>h5 zgjz+p8rRzZ26aB32mRji`IB6)X!|Ume@L59pkL&(x>8w}I-@=(@}NiIQTX3WFU4bG zxkkxNQTRfjDLneV_829uoPQ-}lE~+`@>yw0jiUOhUW#(XT{5};xm>T#D_+!j^;uz7 za#O9s_ELH&EvW02gdcmHS6n2JQIsx}9G=KE3aUm?=aubw+N9z_$ySZ1WUJ)%#siO{ zU-6h(M)ZU#E|mP0wAHvu?@Df-GK?V>qA(~8dRnrgQ}tC)Piyp)q#8wCudY#?DoHBt z)ER|eS(K91JK;{*w!*3G)6+Y&#Uhrfz6y`B95tf4MrlV`i=tVL?&)!gD%Dq=|6#+Y z(uq737ysL;>y_Tq$dwO;a!+ikmpY?9D{EKUROb~{YFtH|!mQ|5yr^DE@}81Zcs!vB zr@BV*?#Zbh1@R=U(5&cJ`chC&DJhyg@pxiWy%e>Ia^-i5W_6{~iyBKsbhl7j+A_^g_mJ8g!C@U zjD11x)`0c^<(jdNHS0K1$Hi$ru7k0(Jc79@yfiJ}k%_{LR@T7}w!asUSK?vK@l2py zsJlNcEEp-s`EvhnKz;~1xd}X)w5aQOEEbv~?FQ0NEXeS6{I-r%UGC&rHLOea)&xVGAt!&FhFYADrUo1?lFKk2m+z#U$R&ww=dXmB9~= zS^Q@|0r?5ujHN+uFHrGz7p)F3c^p@ma$_{ezp;2LwM-Sqd31x9Pha4~aTYr9#*ox^foN z;vXBx@4}Ek4&ET)-{T0JZ1Pa}Ie(SmxpYs=En#4}SOt4BSo$Eu5 zz6HtHv9!i6{$RXszT5tGf}LyWVS`|5@oJ5ugEpor-i-GROToy$uocBPlaE#uXlCtU zsR{D4&^M%F)Vn=~k~Kae$oZ?X)V2&V6EP(&()LZNYccKsVJr_Dlf4-u_y>)oc)Jy8 zg_ty9tTB+^MWc=Bl|92TMJsd-4`V)sh*$5gM}r$UDP6MFR(uU!&L8ZQFpeU(Hc(Kd zzp1-1+e)s%yVy|7y|BC)8P?MF*|)^MTWVvf$Nh|iX!d6)PhGYv|DM49oe(Oy!o%7T z7~2!vFM$#8E*pbi0U|-o6YT1p6(BJVPjGMDd;1p_7>70gpOCwH=ZwPw%ZtXd_tbdN zV?V^$8F_%87<(*A;*;-TN88YN_I4q6Le;Q((-Z6>?IV!CXYy@Nu&ehAFn0P^vABA_ zz_5@0b8J>bA6L}LpAwsPOiJV8HEw$t4mJT?F93RjzMGU4q<=7H*FJ~6Y5V_U5 zslkdZ|c^z7?JF^8(!THYWqAiWsdI%TKG zIiX_bF1|NFW5+?7CwNudXv$mh2Lz7*(-ZkwJ@)*M+_4S&q3zk*g}eY%odu02xC1-9 zh$SU#I371(-o66$Cr9k@?oa2Sej z2VYkw%H^OJm;bUxgJ2vZKw3r?s(rS=S!(}2iXL7YX;npr!BK-dnzisLQ{mY(uf}cy z<(4+&y6A{6X0}O0&5|ztnR2;In%-Oed>gedEZ~ot@(vKdQP8I;pFKI$u}o9`?klTg z(Kl8}^*hxmk)MN7483nv6)WIA?jwhFn*u9jbfyTnVeQhV8^aW9vnd*FXYQoT?czFj z7AS1R)r_%48|HcrxkfUt2INdXLm2x_GbUk0P{m$RiFVyy@-K`D*q-3$dp5ARfCJdN zL?s*;q7Tj3fegzX=@Ues9Exl(8}yBN*ob(HL`F$fQA=$(G~1k$y{${C56?e3vT9STjE+NKEvZTup>tvW;$z1(-+53a z z%2$Kd^>C2${zq%SCan@f%!WWdAa4}C9_r&nwRc#PHj^QCCm;`5SBiFYQHjdsCDq}a zk1>>Yke_dll)ggwPEFbv$a6iD?@F-;ldf2TDT|pXPH+6iQkuBCO4F+@S$nC$ATI9V>Guj@uTp@P=8=cV+#X)5K z3zVcye4)IyrhF{wmYJWpDY>yA&5s=SGvyjJubhna^BtV3f`B_}%CE&_yruY?%l&t4 z8S1#8NrUjscwp~tvx*e4G8-ivIm+s(!A^LI4`g$p`Z(IxdmWuU_SmYX!E3T1 zykpYR3gyQ%HLvLSOOG8K z#R40QkXyU7A2o_fOOH3VwdQMZEk95n> z)=7l&I+}8~%saNIPUS|a{OxjJH@I=QpKqQeDPwC}YwAy8%Y|;z{|QA{8Br^w!0({mTeRM z%aDKD?&n)%vLcPGN6mrT!$@yIq1uoil-}c5s!4kb0&?@%X3(I{RHA<|+O%Mjr9vV@ znn9j3an1GAOKCJ4@`{-atRy=5F;%wN8pag1svAXa-SRW#SXZ#8vRKUAr^->n6~Cld zNW}<5F?el@pKs=ie!`fq7OHsD5FW~9$R7g_I96-QWjfo8m2S@%-jULFD?&LcrnKNY zax_NZN(`wr4Ju2&w;m;=Wz|Cc9TLG5$oSf}Ahk;Ji;u}=JYO`7!;AfVvs6&g!6npf zP)R{B?_?qmoSz~Gv)>tFH?c`2ZjBYwG5u>=er?X zS<3|Ng6$xdx@fcuB48iYl*dN-K9s+iI$#$W*2Utytd5*4AY%}!x7j5}Qe@k;LRt<@ zn*1+-OZ)wnmy&<~#T*1I&CwNpzDG|M6Uv)vb65=r$;)HA();R;Lmf4B#dVOa&}fL} zw~iHQfv*yS+xuFRF`N0VRpo{FksACUjemFAwm zR8-{YopNB`*xZp_B32hMV*t>vXP)(@nu z2Were`;*W0Z9;izO?e1N!xuA0>B{sl-7l0cKu3V>ZJdeHH>e;opQ1I^5k{ zy{{!~Mv2cY6RG`6k_>#2#rOVUWey>XP9`4Tkt79;w$z6iS~yPVv120Y2)Io|NqW09 z6P0~9(W<5O?Hb=0vvCTo2stkL&i)f=a?SLsKD2G&FHzCmyU+qZ-_?I9slDPzE2O>P zxq-r@SI8Fm9+Aa6SrPrr8Q8uH$>#63j`p-aDtsgS3*N$rE&RncN=Hqm;HrlNtobtL zVS#D{)I0tDI$B*W^5@=FppyA;|AIFrQ=P&a1dISKM1c5UKFX_9GIlfr{7)lqF}JJLl#$DNYH}DU&xmY>dgKCXemnI~tCqmK{w(Vjm>S!cCSYcbSB@nTQ? zC!DH3QBu?HZIoqz-4fTtj6a@kR8!y&Y(pZ{gwWU{7K^=oU;^ivH-(*{b(&dGTBzJF z`gpTHguI?TbZJbh+%Q%!`DWgZA+&6@0-w~#k3pUrQ5tE+ax}VNWo4ntN^oJwvn)5} ziooj>vp`M}Y7}2CV{!${tI%+jB{go)^8_SdmwT6hgYe3wzr8c>4O$#Sf#HHbRDVr* z;WjtOe^`F$?WIq;|L-xbQ{kWj*Iji9?Rl!v}%QH%Sx0AwX(sO@~a&tyk@?f!ir zuq%-H?3nfFQQEu|5O~|VrAZUMYr|64&$>egHv5WLple1LeQbcformvIhrCMWusxSr zj6cx(UDZ4EWwPq+GDVGmSN?~ z!|&EiV8^i*Uebme`^SMl>EQOcba8H9%hpo62s`Hicm=O(D2;YpaCwRJuFtLc2)LfS z3#D6&6?iYRBKn>Q@rsidDI3Q*@=9)Jkw3yM2YZR=yA^k|#j=tQSw@$i#1fTg%8cA# z8H=js<6_GxrcZ1ELsl~OU3|!et0xv)Mn^8F-Yf^Xxo>2_Me$j7wxjiO8dF5|?xjs} zE8=BG_9?Sad&9XqndSU8D@1PPNL1v27+_R@`ZNAHhi2z{<>Fhk5fsH^Cqr4Yvd~q z=EYbmm~sAcY(2Yx9^}|V`8wpZ+Gb)l>8BMGBxe|M!-;!@Ro*KGZ4WoPU0zay@%@k2gd`k zmir90V}da)mJKV8TYQ5@9eH^avpR;l^<)b<1HRDg>!DU}CQXd#HAufSN2k+F+$N5zAVigB`CaCFdOi6(*nt-8{U?rj`EJrXDP zk$?nHUM$Qdhty_OPw0F!y0~++W25y>_d38fYkXs|*kz_~pn^URsq^aP)(H)kJEc6w zF^o9DtEt_W+f?F9GV7N1gWix@c4+^>#)?!u_eAPbceHh!`IeAd8&=4(al^PVZ7OxS zq=bsWfYF!TdBbPn_mwubA7>;+v$psBZW z9W}51(xp(~RyWt@0{Qc4b7=k&r2gYoej7i?<)}7z3(d%5)A<*ptRvb(YeJV@K-!r+Q^|Ol5>-3=qod*$$g?1vsDGm^bU%qrJv&aY zE@;M{fxwrDeG}VGqWa&xT!~7kDfra`e3 z7!(O<9lZcXQ+eoNs+L-TU8Z;vq~(Lg<~KYt@PSjGNH)44)Uv4*i2g&#dEHkI?eK9#-t#-1(|0;bEx1- z8c|e<1^Sed$_tA*;TSu1uNhQ#o*OK~?t?kVr|%_ZP{j}hE*(GG)@ET?F9fdnn>SOg z!|}vY@9Lm=2t7rs^_$nFEyIsT_<9K*yD)YG7?U2meY4%T9U35p90ka9=xpzYRpkHui1dajid;vqs>No_WItBX z?HFp33(~&Bjnc6A%&NGi&B0aF@s8^KDq(aXDhp_QnPD8yv6`}_RlVc$ZA0S*pWMhi z*r_4eAzNlkSf$Ar!d z%|=?^wG*{QtvH+Wv01swRH~m^#d9#`p2c2c)3QU)O{Fir71)~@OAW@J!igpT`Mp=y zeMj$JA!6}j$O}-M3YOXjE|_=8RN7uo^?t~j5XOXPX|~qI=9-)YJ3S6Hl5{2%AvYMf{E{br!KU$Wq*JOEeDMQT|_a0Da+SJZA>1SA7IVy_AxZ<)6$v3+ahf~Upsy+v9q5M2p zJPy0v8a9u7#<{^lc`mH_iT<)wpGQ-BEAT?Sn6sTPGQ*+o-pL}V?bKAxqOG@EuG=YP zm~y)T2tBv-3=P^F-Z;v;J9_i(2VSKrwt?=o zS2EW^Q^nv3^qx0)E?Kn{*yVzYV924cwJqf~P>Ze#oRo8@*cz6jjm^+1-MmfDJEwB) z|Ix%stH&ORv5RwKXZhNTa@JCr=sY{T^nQl8ksM93l>bmtE44G(rdQS%NCQVLQa^^c_ zU)R_gt~FW+wZn0Qy)}D=)9PN^Y1Op3)=;KgGSMZhDVzXzX*PvZ!S?QHs*uJv&i!pa zP+q21I6bVQz?w3-#Na&y0p`&-^Jw=YWs0~TAW?A!<2BPkEW-}&rPx{HJ0ImeY4P>y z7zW4{d>%9JJY{txa6YXOWLcWD=NNVz_^xqnI(;3d7SMjq6{9eA_T$qi&5P$^=i}H~ zWb70u8_GhzLGSm{M&J0(&qX&|ZMDX{A^d@`mU6*IY4l&IB=n%pkrcZWkoo-0*gbO# znORjah?7EmX{aOz=*t_th3=+PV1T4|G6bQ3cMZ4D z!gLCpm$wwUbIDO$b8^6ax}PDpv+UkNRudhW1g|tbcC@4;Th}YTwWab90p?e3T*g%H z(=w|w_ZEGJF6F1mN$NDL- zi*K&>0*rOA=53vKQqAEC+>p@;W2qsqIv7D0MJe)6v3P8iOP-)c{)ZcLPNPXwugW4} z49Laa&cOTlW~*Ue%rS}nEa(PHL>>z1N(sH}b{M@%sKC&I^p3#T$62Vxo=stt^}|9z zo`(r`7Y7{A-8+(&JlpFC)r)t+auF;;MaFJVMfVgT=koDZ7j3CyVCMo9Y`UV*Q4BWQ!a5FYTSO&FT%|HcUb}C;O^o7UN2B=9 z>wSwh<##v>4<2lN)l%~T-zcQbs>>$Rj5cZ>%7ZB{`5Oh9gRilxjGscc_A79DmWGU- zi|t5Vs8v!k>a*q0>HQvzi8sIp7>!fip~jC>JFy(oSGz$E7}FV!4o0UKv#7>s71xj( z#ah;bwE8GNZYP;d3oa}0ERHQw-G^hI*AQ_xUbAQP?M*^wG5@mG3+c+jm<5%{E!9x( zcGNS4n)Kw>`W^|B?#}l=>=k*x_=cRGDAwXKwU!JuOR@$GPjE?QO(ya-HDbLEf7O$JBgZGdH7}yhGzbzL)SGKAZ z@a&qjLrl5pg~B9v_+{UkKPCxjJfe~`mj3Lk{LSY}}cqEww%_q8izl?Z!0N0qOXVS$f3Y<O%4tHQyvP!jJ&uwaXI<<4B5o%@7q&tyi5Gc$QSEka#tyigga2acv zRw!sT`XC}tIMkPJ2Ok%)6wp%3kBChYfHcVB2VW0}hwI1bEVD9kmy#4MWmT;*>h zO+kJ+ia%_^w`q=b)Y(h9!948-`2ksqYc%pZe^#=ZGjf?5ynz!{WEx^3?^fp}$P2!B)(#WdM9;&A2P-sn%(*E~gdYM4=Ue4wqF8INVDP*8+ z?(ZHpqU9FPXknUxUO!mvVPkj@FsyzoT#!`d5!=T&~T!%A}XskJq^W=o@5Jo@p z(>n7Bi;YD+3Xuj2RC76kn03carceLe5p!@M9}X+r4BF<$SWkCOsoTVev2u)rL?Y45 zZM~kxq&_3Pp#uRIK`6hCiIKlGg?0t2yG$-iJkA~MtcXm>ldPxyR~2&RMD?x-RSTY| zZc`}BV$~bFp+ss^nP{6$%e@T*Pa{p%#>VN>pP%I8Mn8=-tW~I$j$@Iirjf3UF8zY;e;Pd9aOn}bwnt=`mW0NJ6uwU)TV*OUT88Ln@7F!L{Pld0g_9^&gIs} zBqWrT&qL_Zl3(rv7eVUo4t@766hgOasIdds^TZ7Ml2b5AXhBNhs{C8#z>U48)HF&u?}?192{%5VqYSh0 z?lj70sKSJYR^_KW`Xb7gwWoXYR5uLPO4H`$n-ZO z2t85lBXw+_-nnPR2rE!m!D-+iueq_)ya=M#zL%)=_ny`_MlN2mOzJhz_Tgd(U4E}* zE_{KZKT$;rN zzoKp8l%4&?Uvz$fx)1zNORXRni@?}g=HV&US_Od}M=*?uAMpw>O6J)@WpCV~!&7=# z$+Xls>ZqqI&0Dj^QO;w^O9nBm;(~(#4u}E;zi*}PJO?+bBzEs8_JVGPVW`rPRjEdi zF!EZ}-a^EdBL=9dgMi&D#|TQ7a|^XEu-`;4db?G@jQTiFP2Y~@Y!__nCbc#7Fmf%!&<$F$`m3JARfR%Ft6$Ddl}?y(b< zs7_;>)2IdfJK?yC^BKOs04bJGWkGj55y`d-ReF4G48!~@XXfNe$ zqk3!qHF!xlz&Hv|axB|R4Hqi#DHgR%*B-OoL1xO#I}iznu=0YpJd3v(2$k4}J?O;TznxecNtLxq=H*|K}U5-?UJd3s;JHL~$F=G~2xDB()w{#lqb zv6e1?6a!=W)_kQp>l}Y-jdkD;c0!=A>$jnI-TbI&a1TqTZ^J+t`x(ue`n{<8gKe}f zX>#{ukN4097)zk^zK4F}TN*v6W2(MZq;`Yru(hVpOWjM8=w)$&^=9!>PUnY-GfIQYB99&u;4<;PML1P73OhvVC@vfJre za&Kpm8f&dNT4S6A`L+kHtkq#DCAx8&@4xr7&gx22-A01Ur}P<185gVUkBOv0kKS4M z&n!G>90es;E{J3ynWziIy%2V-RpRTn$35YK_{^OUORX#G>0#HG^d(}JH9{-&0QWOC zV47OG`!ouSdz)|<*;~6{B*LN-vRNOy6D9OnFUHhYCyPaZ42t4L_x}35x2$nVCK?Ik zV%5Vpj-k>y6y;z?4p~Po!zj#|v&PWubP7D5eN4Qh9Ewa{AMkhiSitQ+8BH6k`EFD=QHrtwER^NKscmJzI0xrPTCFW2M@zQjq!f1-(F;4e# zjGWXST5QWH2X0Eck7bbT@|<0XvXr1Sem$%{8g>zOz6D8fU%zG)RV17W-Ho(%XmiK| zJI@5tTBX@ellYEi+Sn~b<|4IDa1i(_??)a(zt2!&d8{*0DxmoQN`BAMjH+f0C+piI z>vwoPjvL(1oB{4?J$_B4j-+!oD7(d4smD%=0=yOIE4O9`#T@iS#?Gd*U}L_{oDcdU z<_D1@x4IcKkHfvFG=-N~xZ5trJQkQS854xZ zZFp0C^Lx~{!W6fywP7PQy0aJC4ZN09o%?Doh)wIF(CS!n=n2{Akej%DUW?gQRZn3r zraTP6quAEgkpyUhyGoCtChPZTiixXOe=KEsD3A2VC;~+k1jA#?M`;$$eo2rO8pO)l#Rn(Y8MP z+@5oRRUh#H$4Si0AF+bWz(aGX^WT?ctF)=Xa*a4-mH3ruYOAq=yskE;?J)6k2p*o` z6w-?u6h(FcXw?%unxA|Bah<{cAS$v3jGw?R_iqMBiT?yofG*R(COnb5QcVWbLwu9r z=doSLCHwaRo1VR0;GHZmqY?_Te+5f9x50}e$iIS_G}}lB{rxLgb`z%gPvn20>7JGLhd^V-V^MS+E=(>Bn1Dj;Mh#G;m3^qM4GF24fX(|8UowD_TG%Kx5HBZ6+8;P zPl5b@1@C3NCWiV6`2;PN?<^L(J>Z_bD{HavCM@1{gT@o=qC5`^$mj)=`B(61IQk^7 zUy!?cCj`+&e$}@NT!;G^C;yYNcCd@OT-E+07MI3GG2e#we~xWoSP<}&SX@rD9jfN{ zTKueUPT9(s&O+bmP#oy67h}kH$5!CPQ3tB-3(A|-txAukIp~ijXRT=(91bFRgE_yL zCjC}QJS46&EJuVTySvc4APSrS5q$7jn!PK&bLF(VR)o$aeZbi7tTr+K}Ck%A=$8`Gw=_r?P1%N zc`wrlT6I$`^^Vp{o`0eU-peAk3%)ozEeZR_SQqP5Pd?c&BonnLEbf2N2Y80i^W+^4YD%(D3zp=+>T5Ru4V1sE$0*j(eMptA?Y$w01~)55?K91@Hfzcsfasba|HZng^mfB-sygmZE1oXEL76&UStIB} z7j?e`L0*oy9E_EAmn;n+%BjG7qeGGQ$yL^92mTsoy(7OiMM1$gf&$FYf=wuJQ2|Q=plso9_;;A>yXTvZihTw$x;%VC9op*YaJ zLyI<)t#!YQeS2;{s$Wu-HLL<|J}u3gjfdbyW5T565m zgt9guLEBv#(fiU)>bc~##jDG|B0nALJ|#Jw-kG-j4C{+aWv;;=E}cjbB@a>gX8o-= z+JPHG=C7C%v)Zx=G@g{DdT}QadlRrA;rn*b9O@oZfq5H&qI^HZ_6CNmO>ukb-HrgQ zF$*cI1CUL*(TTcLC`NlSOtrdb#yW$vd3=p|#5tZe?KwgJly53QQ-t~jb!rM`-cD9@ zB+Z$t7A<*&MJDul1&&IY`8VC?4Dh&BNVdQqB;XyRHO^7&AKc-xQCO<5| z4?3gKmb&0(D@SHc8Yol8uzjUy>ZeS!=kiW#qh@Rf>?jimsF!Uo6;J$;rbL8Vf3pJ% z<@ex%*xh8-y0DzSUR5&-1TMiTwg#cvc8TS*b(#V*RDl=4Lkl4+2PIifHAg5g_NDzY zRn#)aEsgZ9f(=7-MCj4CG_G7}W+h^MyDfDlk6`DhI*bN(Jt|_!qwO5~wT&^zz}n7h z4k=|ZWhyes%Ktiy5dN_L2R``GZ+~MT@5RNh8p<1qh?DFSu8AdT)?n>@U2jx6VyC^0w-eX0Ugh0BX0aa z^y+$G43+r&OOg~EUd)H-zkUp*eWk!RSrEdQfWN{0HRS~pjj@_R)HwKMXaOeP9Apgd zFpv6YyFyn_AGG9MQUK4w{B{!?o7wu_Dmp#sorL?EKZW~7xTa`_5tJbMMvxdNdb^Ko zAtUH>BIW+sIghzXn*{>&*tZr}w_@tpBE35Rx&Mm*n!8_>7A4tQBtdVLV9b=etfl{# z%8qwBa3K|&D_||5S&LAv+UdwT8sBG~4tq}#7?Lmw^N(JMoGn80I%ghVYVlK89>;5r z+V;X8vuM8v4bmdo?qaBUd0GIqXr=C8zh>8xvDdTk4c?z* z*c$nl^n0w`+8k=biO#}_hD}^fZ{Pn-UVWNc>sTzZpb||X|64wA6s^swEVVpasw~Ik zKtQ|=`ZRJB!AW)Ris+t@Wp1ROJC@S6JR(u?BXcUfrz2jbKnb9G4}BbJHPEJr&gyuv zY94JZca>H?>0s^BV&N%9fVmE1f0`Lgh4OEwh*?GCzQ34aAw)Po?r)wR)sqs=IU!?z z=1!tezURXAIg8HL$d@J9WL42(DFM8D;7MB&FQ+eE{+35}zc6DG?wf$83?Q_}y9v~M zntB3^zpLQEn-w@gb7NNA!gZ=4ce{*KtO-dqGOx#TKQ5K5xNyt;mcm-lMiw+VW?!H( z#}YYnX8YYLsK<_$3IBUkxho}ot}L|*TfZR3ijuhjN*~?(9K|o4(m6l>0V}7LT1U{h zmP4))`{pLfP{gLp6K7iewZ_(h^3e!XQ!lKd1BKQ4IkE$v=cvdgZp#aHQs!Sxjk+i> zfD+sGK_aq4P`=G3lC}-Wq>y(jC~<5nD+0W zz*;IsW<7p_GtdoX&3cK z7}vQqo5!w!KOp%LV5DY)g*0Tdx=)ma1tIRA7#a8#^KEP7qmK==GHK*9T+_8>9i~uV z@!fJOXQhtkSHbsGxWS?vAvCRoT1T$WauO%v>Y2BvBV}sjS(5LuDr&jN?(ptl>{x=i zwDZ(^DtG*vB}*L;R&QK49LrDxJ6)m&lM_1^RhnU)(Y?=N?C;?2$s?1dyzc8bZ zs|fZ+5(1Ovz#n`DF#C-{6pO)q!pR>W?PeRFDRrL_IfhiDy^boe4T3e?plE12gY=7Y`i_L zEtR+R`C}sNZf0u~EnqGWOGi{pqg`{Z#?ZMj|Rn z$rlU~|sglhkz3Cwlv)u=}p! zBUsB$egKe_HRKR2jTu23Wzh;PSU_-pXf%V^giXFBOG15DI$#bR+6gFQHC+Cd{G(YhRuL@c}YYRxS~+j{WOyK64c zo0X}YcuT?p9eTcDlnR*6HU2G-wtrO$ZKZqXgsMA&KHdA?{fpKLT@xJEvDeo^}+2|2N^H<`XIQZ5+5 zy~UV5AhukC`+N3ot9!?1vDi6LuZ`$CiT3PKD+k@#e5AK~Y+dC>I0idrYir@RBm|hv zfc$jN%Jh6iF3LZBtMy8QJ0dbI2J$Zdtf6vrjF^o>| zl5=cq=9Cu;X~I4QcDn)awu)lLGA39=_aYSdD_emuHW(c{NoQpWd0M>3&PzuM6;IB>s7bUcdF+NU2-hqS#MHTESZ1{KS5M@c=Pk>6DMgTjZuC51xn32< zBDIYc+?esBHqovRU#Qr`ZPrXJHN0ERj6l?Gp6wXDEE(S!e{569L}IC4fYik`mwN_L z?SjfuOEYPr(6`XW5KMYBY3=!)mS;8c<*s5-zthqVT4dGHiK;Oolko7BpM0x1;1s>> zRn_|ECod83^%KKrNtUNt_QfAeYp&^IGLGR9*^%+L>zr z5$7q}gOtv(F-BUiw9NOrOz}qJU`jWM^VroD)-Ek`O(#EvUUfb%{i$a>pa_8^hZXKa zlX3ohsuV}cz~jOa&+%eqJ0oO1bW$(c`B^2Zrz{9jXfhtw zybqb{RMY=ucZ-KsuLGEZ$i4)R_n zB{-K2y?DCaEL(pjT}`RLkeUcJM-?w(c^w8>1GT^cw% zNh_~OD}k{`Ab7|y(amyAT6Ltb-3UFJv_#2DJ9=qW(&Ku>0kc!NVzfMMdb*bJzU8L_ zb^ySU{LM~M|1iZU>h1Ji-OWnIZY_~1Eoz6+C|;5*4xuN}I}WuE8GKWMUqS+N4ecF5 z`{Jr1cnm94Vk+Ltiib~aS9+h3v74kCQD{%*+vG=C3?phu00oy&H@K%UPBDk~sBa_? z>A+Rw!*s_^%^1Hkhqvs(CX$5LqUsy-Q0_7Zt%jPh+pf|gVdIT-B=;x6FU~yVkSk+9 zfP?V!L;*&u&Hj`*tqQqMIZVpf8Q>s1*Y`J`wB1M<^L?V*D`We-(NPh1WsLFYT_zxo zvwA=tXZ(!Qt=BsA9EFkgMej6Arqir-*C}_?d9r@)4);tv&w=~Qpx6b}@|#Pj`w^>O zz?y5&49byFf!A>^5uuhvNJ|dBH*SdKta7D@6KU?0&Lz8HYl1vSL;&T>qb7R^dE<`s zuE-r#5Yke^lE?&YseLgviMht@OYgU>5KFzxDtw1tPmui?Y$y~mjE7yu;DPNVETo?- z)%B$lEMXaU`uE;T0iMcWR|nvCeVGE5<%tHI*2gZkme|?#w+x=uUi+ov9aFVR_ys5d z=2^H(!jS6pC4X)za%!N3wO=`=K;KRnd%IL)sb0iZTGFb8n_>pez-DEn;k;q(=+D@G6!7`8`|S|hU{$h_Y)PFjG~#3~ zXaBT4+y!_wCeX&#cq4MjMEbT&`B+T0Knd9QVP+3Oxkldi)&VOrnlEDo(`a62@(rs2 zzYENKMa%iFLw=cZ^EE!~L;yxWxxaP=c+%BJtEq6N6g{8~rb3}=J;$y&gdm<0cH3Ewe-* zSimiihtJPhO?syvF$@83?b zM?G=>mIiyDzcC8r=iBg}4mC~Vob`N!RaN`9oAQBmrjvO_6~VwQx9;5FuBoR}_*?~^ zuK6zSlA7lGUA`f*A}V~}W^*u7gRuzyCF+K6WN&*Etof(=1fsdj;G;7MmtN z>qxnx)Fii>UJ4olm0(AtnWN7$dVeOPvtELh?v(>S6rytxK~npMQ04||DQK#8q7w*d z_Yr@z%+sX$)BTfhGa&AJOqm_fax`t^yL*sC6cZ%RG7a?NZwJwgC_Ioh#@iIJ-i5iJ`LrJ9-I(>P2 zi?3JDBD*>m$wmax;*{e>q5L~N%1b`M66%0&E%OE^!X5nnHFY3h8%fX%o79}z^vfrY zpSX73wS<|4Lt|y$51{zRmJ9OpIx@M(*2uqpE$Jwyk-Nct@)YAlkxuqA9r`J@_+she zALj(x*zl+z=T0g~et|jgZ*}p8rRZm{u{y_6Q$aHh%;7hX)~jhNx|v$7&MncT@r|+o zOYQf@bWUs6!b+oy!Lcy$R7{E4 zHGd$*$fQziUp;$I!bJpr>(1YPvu7VQzWRZ7+9RyA>^2gaI>1B6!;8PSm`_KdpAXH* zIA!7?WB0{1TBr|SM>_K9!i3*S8DQ|wIPfNyjXkLO%K?;oNF1L(+1R8betXEoYL`7W zR}}eV^>Yrq_qVlCmln7mYM;lIy9({2oZk~T@w$)wnz8JVXL3lkbE-kKWs35W8Eo0& zCEt;J!$82J)$yFgds)s*w<}qNG-)kaIX1sp-Y`b<8;-LVUr5ag46?SezNLh&9mOFH zPrezGUQMB`g}syG1Aa%jE-^KG?cR|(F31!?}M zMuSq#rn6nIQmXDs7JVVG$iwmzrtf3bd)zSc;Q&N+v0h=F<@0-@p1`=11mg$PRg9Gt)VJb&8GZtx#vP2Yb0j+ri@yLwHnQi*PU z%0`1PjJ0O#m^zq;HTku-0Y>f_Q|N8P3EGycm(`FJDmNvrKt8g(o_6dQ$~8b$x%`vt zD)eBrQ*FJ`hK#yph(u$31P7<^Up#rxNQhp3SO{~_ib?gv;YC_VDp>t^!c!REbc=LFGnGJbXo5N3KY$|BJ zDgT+C3#MRK%2-~c=1R_E4A)1Ih%6tCi+C$cTTW<%}N#(c# zLrH+h6rQy_b&JSK2X5}SWMK?&eqt&*hZfAWmp2u>qnnuPVoQsi;>lH%@i)N)O*^-;oALn z8`-rYng-=d({J@M)BG$O-TQjVKqYaZl8B?bXmG$wQAu~Lk^}IPPms;>Q~c`Yg(~!5 zHC9yeJ37`xg4V`_g3Pt-c#H`*dVoG%kYUf@K1MFa^cr}}qq$UI0{ItH`u?Gf$<3}d zCTEEoj`mvL0zMB9J!AEuO2#01{&cs+i?GT71?&rZJa=ao)h-s-S>D%S;pt<6@UK({ z7>Cfr>QvY_I$eqVapQ+Tuc zwKlxfTRm21tjW2GZteX**iuOB{^BL?Fhwqn%EO#KnC5OcF0}(oLNU9lhxA+d;HDWhHBk z_V0SI*;6purw<3x{Gfv(maKfe__r@qg4I$}_ij7;rTa(Kg`F|*v1wcO(dc6-oyR8_ zKCfY7IQVu-w4KMC@rf4H@o}Dwebri|eQXrOA{Umq7fFtk37mLl4-avOkBvs6Isrp2 zmVPV+&r#{UKc5hDNR1^zUS_t9ovS0Ml9|AHyGA!FrLH{MA$?|t)Us5bMlGuCB5WEe zu5IlTstOtV$f)78CY~xKvuGELi>gAvS|!&G*LPRguTdNXB7Z;3)}NYG2o|Zi%pnPi z!66{v*F%OZz>Jw&f3=ng+8lVJ1jh+nsmDe+7sX&nlArmLaRr{#B~KUXxLlb-1~v!L zSZ_E;e}o?Gf|+u9TNSnYcf;6!g8XeUC(`2h{V0C=R6cmBUP!&c!`g#ce`e8GX`Jhl zmUK>^@|T7ChI05rv&}%?j6H{_#y6YxG@fsbWT6UaymOV4FY@fSQS&IyWwr0#wX?Ps z@QMUlmJO$($znNy6u9MNYf#R2;`z1FN#{}eo@%i+h~*^9Zyh1-P&m1!{LcCV?pGkr zgt&XNkc{>(w^LX-Z)f!q@2t$)9I7H#FNZ}=*+!alY4FDqtxvD?){Puwn4;d~ys3oJX^!DDW#LMxr7=njT=@!_``$ z`CEjzjj1?G&0Y%y)lU{mk0z-5f*@RS$u3AFCyImQu_n^680xMf_B;HtDyjATvhYHO zyzvoK?ScQ~f#?ptX-0uP3Q)wSv({uSmLp7*c@d(^Jn%RL#qf4w6G<@*_D3cXM@l~7Vks+ z^*EK}94%NLU$*s4)E?@-=wYltGBPXVkfMZKH~802VoYU0+9SkN&!aL+O+xNph#RvR zm#b!qcV?V>^}Qo#6g>Q+60~MkE(sp_HEGkq({RL=BDeZb`C;mvcguC~@IwNe0|IoS z%5iX?!&mctf;-y0{^xI`PSAlGv?xM(o>g~0gy|1urG}->uhy1oy_iX6#2brquJX{8 zECHjg7tqG`dLS)c zH%#8QmxCE|?^hhhjG1XC&!>SG)eRAdT;#^fHUY+5d<)_wu;Q~6cm!Lj@GWZuX(3sS zd`G?bKCYL$H91GY4KhyrUW}w&;;8OdSuJqQCRBj?~5pJHwEU`6R9j13<&Gb zz1;~FS7I%-H!!o7XmrJe2gC~U*pgxoF&e`k1ngZEOzrO~L(ZuUxg-j`5$LTIIymPf z30z`KOk(sgt>#3^aYDV}nDvi>fp`5uEM4G&FYkuYgHZL7Qnq7I%QIc%5`KEdFn9ho ziO#Q8U?g=}mM98qY0P6Qvj@WHLy#{6(XF|@&}ZfUFy=@6n-c*)!403$#)SQA^6(Wd z!zjm!~FRO@lBEdBU@q9>(uJp0ZD#C-#>p1L55qukCSAjx5jvGq9B~p>; z4|XkagRHXWlSBXRk#Y`PT=d!T8BM zb>XA?;Z)N16AgTM*y5L;sKWuOhgCuwll&Z%fcGyNPp@sY81>ppYge@!#%&glyCeY z9bK$HhRiA|c)Z{)g8VX6{TQ;*)-pPIV|SY4_b402YArbEJc&$fpDMkN zV4lqGa1}df0=3w$z%XPXrY*Pj0_5sl3&&HU-xU~d1{QNj52?LHqfHS{S&r5U6%^9w zrz|Un#q{BusOsP!;EdMy+(F*XN>_6^r_Y&Xq(^)DI9C?;GaFq*Db_@@(To zC4E__?zy1wpsOD2@=W-XP*ZVqH}x*ha&KN)ec`gM<0)LQ>6`cb7V*^1qw5yABl244 zTdpg6e+Ts$qr~D8D%MKvp%7hIu^qIa#4keqf^a_?` z_JE5*-Qb|DL3F8rnrJ4`##9u;;#WOf6KpJT&(Ms;#D}FQxb?01l@%trtG%nxda7ThkC1kFzb`P+w?09R?zz3F&&Y)OWi>6sUgeP?+nrMRt1XzfGB zON5o$XvUYCNF^Mqgk~xIUzL(K;DYylEhU?>N+i{EhRQNQblShMkz=RM!0Qo4I>AHr zMh?us@$yFDJ3b6$223XNlkRloo%;FUg&diL?-P(Y@8xutk}YmLcJQ*5I{eW4B_i?+ ze)MJOo6;2KSZAd|P{2_XLj4OU;@g|(*sV|0sP}fOyH@B)7Lfgn$ur*mzMBeux=jhP zPH^9Jd;}CP0q*&DI>j6w9UZg_rh+cpg8=Pt7M zooJ}hJM(AqPn+I}?aG;0ED{}Zu*{7hP@Xkp2Axi-%psdDOkS{1jS6h+E^~;eGfJk& z())KF#Vic}PTwem@D_ftDwM`wu&FgJ8Oq|@ulrULny{m1;(ad zxl3{pAuX=<85bM;L1IQYOT^|<(%%zexC#^ZSuHhdMWADWRw%#3P^b4LHK=zH2OVtl zkF`wb;;s@?1x0iIS=CbyQacw6X)HeqBgXUsULxQEqsLOaJLx(P(cI`W>2ZKzL{Z)m84xWPZx!@mX4{wBTIpWazA$!P18M!pMiV>s9xvUEB{9e=li*ac-)gC{{z#`+tN+xMrGmyQc#%UDhl&)adi ztCFN9Z9(r~iDfjDIPBJ!LuqJI1?H#elpEZLVlZBy z=t7S5HSVJ#@-+ybmzixN&-~7mXr5YM!_x}lM1KDtdv5_9MH2lB_dsw9Ebb1|f!uB+ z!C`TCheZ~5Uz~*C3GTMLxVyXC;;xI!VvBo#dG}Y9>YhxpyZ`sS^Un8u=S-hNG3nd) z*7cIEu7X-FfHh@MZ2q)TMW~b+rP}=IQk>uQk;-DckU7}V2AuESI+dpNzD)@-?Y8$` z&yN6}1DzLXvW*ITEq9i%$Z#CZ)2woZ%h5& z%kP16vlj?#{xBt2OAM>3b_}bT#lFJ8%nK3lMWRcs%N=`biQZD#n|G$*v*e(7J<}9Q zc$mM_ode3)HI_-7s~)+n$uMvYfTZ%0%B=QTB)B&7!V|T#nyu&~z{H3`n@IcybP! z?w^!-BamfFfIphR)crB6QXu><$#sJ>9e*d8>10vz_+FiLdhu?XOSj3ffsu2Nx##gF6HTS>~BL`4v9-d{5Y zI|7XKy#w?T3M&m{iV1Jt)2Itw-5)|zcadI63A)^eAH2l>Tse55RL;5+seF&sdS>M< z=%G4tL$Eq2XHc_xSE-hxk)Bg^ihg3Si}&>5oseRN(8Y(c9qYv2B0_KoqAxFsJ*(my zC4&lz#Zp-2B^oNOo4e**I5sU9Pbc_vVKDthc?-|RiUZK>d>*MSDGAQScXL%c^dsYp zM_a;g6kHD8A|jGKK~Wm@3CrZ#z&f-{3=nS&F8hl3Luj1BHVVcA&@?NsgoG}T-#1Yip?N^7a$=b52+rL{==^*mz*xcG?AD(*&pQ|8Wu5)IR+2J`% zEx^j4n_{)K=Xx$d?xZM+XB|aLyUXRP===*DKRDA%6m=Jkpw@N6BHOzsyd@#L#q$yz zjmJdNI|%Q7{jBVL6V<+85>`IPR8VpycQ#a;49=vcg5Eud={Eu`E3QRW0M9MqF#am; zG|HOC^zEvqrd9wy@3)l-Zu&;?OYha&DB|idO-uf4KYc&(ReWxQCE_B++HnJ@VMkLf zEK3MAeIPqzJ5`L$LerfeCP^2%lJ;&3CwxVnNkynleDTr2bm5i6w)Vub!OA39md_sM zxuUq&-=VZ{s$9)%b?4rJwZ~9=$kPXrUF zNiDI^wb;^p2!)T9Em2XWRBmd5HG^w+y>6G#C_6X6z~uZ?g^llwwJ+SxYUSIFrHv7- zARp}~(_TSzM9;xxlu{pEuVMFkVzRerolzA1-h(ns9U0k?v9YpaYd914yo5IL!I5uz zIx;CowsDl!;;x+T@vcTZv$bI@de+#FdJJzOns;H6vQ_|BKGu)Eq!)A zoK9WZJ!G2Y;hV`=^O4Gq?xL4q)yZsdF3cwD;bJpAjcN zed70mHTc~>rr)fd<{4fOs4YWVcP>wFy0lS_sM<13!5SZq5~O`b@|e3ikuhg$8DnX{ zLcN(Xs9-=8{u%i5@COgY`x5w?{Z3MV=bh$3?ltLa4mBfcW5?MU*El_-pn<(a4l=fg zk~h%W1y+*dhx%jb>;joQkeI|YRARIfVriXCNB$BP6F+%i5+nryfK`zU8TNR4Ghy1_tGGTY?1>s=(*&B8W^Fv^lhv4nx2jwUtCjx zJp&EtyP>VAOVU~NAkQtmgo?2RT#L3BB#sxqK?66ZGV&&zuluVoXod*=5hOO%SVwOb zT@m|QM6(B4lWU{t!Vu}mdl*T?m{Np29(%I7oBf`s1ek6tX5Wo5^s>tYk=K-5+5s@V z1Zgi$zA;oT0*vi7lqytIW+`@I>%%9gRJ^@CHG7GxEap&c82{(-JBEX zRDrej0+#PkA8+N$M@omz;9Ux@(U;o~b>7oWUdu5yhzH$F-ujr1y3&g&?#P`3@#N}1 zr_=QD@>OkFWzYyB{GW1%gHJ|^Zmiv{Il)olceCd4OWfhr)R@- zUVG(vt(Y^4%w*ds>(Vc})ulRs$d8REsTb!1br_J|z^CaL3yMY(ImW@ABAy{jw~#9A zkS{zTj-WmZl8r``1p6+a$4LBklRQ&YP%k&9NA{@_gx#< z-gm{?cYLfQQqKWafTI*xJDYe2J#fg8BqPhLSb|OM+UFj?kv_vn0l~VWi1usZXYdvu zPB6j(HYX9uL);k8#3Pw(lj@MghYxoGf663Shy6fJEPOy#oCf~XI&5qPG(L+Q9KE0>tFBEPA z#7|#z0p2GbM`>sCzLHCp>H8IU2l~G}WYQcD<1Q!vOt)!NioALTWwDBTLrzQZD;hZ)n+M$n!GH?jnFq?) zuPkH16NaG(PTh@G9hc*87+<`zC=8A83|=I23u?kY4j|k9%&bN77R&^T2<2V3gEe2Y zR;up529^?iMd8RiO~*&vqi=Km^+5WPR5tIJtPst67+jneL)tUJI0eL-3GM@tmvf46 zsZ&6@bscAaP#~BT#q_hIsmF)yRA}}V{f!F$@vL+0v5-3}pGS2vwt^LXr&zOzD?iVBYnOAIvRHK(R6H}_dwZ()ttjo=w?qG+yk&!BlDP%f zQP+=e#rzsJ1G00fz%FvI52dIp&t+=M$`WCa367ErxkV{;rcqD#VO0yx@4_V4kX!yN zFpR>7%V}nuF(8!M3D~^bm_7FY++~vUq2ip!x0)jl+M96!HK_1tC$*^ayZ%{mzM3`S zngzvo%s7Xt-nu}&>I@gFxJBJ-V6k;z?NyI)w7jQ$eWrR;+RAXIy9@!D_xw1@yibCg zv0#F=XB^26{zlyHc_j90VD~&eqgA=ga%k1o_oZR0<&5Y$z9E`eUXq|WxV^S}9`kz6 za2`^ErD3q^6Z|pL*c#NE=X=inv0C?0;8h%Bj#?1&Ud?%Q*ZGLLpLXfH6!lE-RNS59>UPIxdm%&8PQB;>X$1T)Y&_9`r<-N8Ssj zv)~!`Avz*v_ven=k^af8g!=d zi{$nX>)D_pEAm?LVC^@!tBSG8S>`*%vQN<72`~H^>^~pVj6S}~M>{9o&@Fq%0Afup z9H+t$(2g0&jjk&W>3CN!uJgzPpSW=KY;}eTm-$YI;SJg*jDGwPvlh>X{8Mp4rB3f%GYrRt*TW)U&q8n zYp@DwWey@~{D*!NHc;+6w~4hTKO4^Ehl;~LkKi*GH zUN=xnE^uDM8OP{WWOI+ftdHfOS z5+>p8<9WP;!u>kV?%>hORVG3E&8{gYl5CunqqBqj}8;@|du;>8bdjqW=s>qXIDJ5$m!AdJyI1=JX zAI_;GUoWLD3nlm=(-f?ghr$Xo374w7WX9e4EQQW}MLwShMfw3d>5ma{#g>9<$D7m6vUaw4Zo`iTK^-d zM$)Gj5%OI+!5NK^y2telJ`r`_hfHbt8ArzZmuQih(CFBEzixfZz&n0(W5N8p8ZWv?fyKYm z#ksxpb{zhqW6}%Zc1odCs2Uu|Rbf!ka^%Mk!l-q`b^yv7w3}e9Fk8UU^4Dy4DC)SUdTCY{*qY|j}J$=i{`!CvOW|Ve=9XK zv-*`&VZ!}U*33dR- z!eF#?n`w0Xj2w^g)1L02TB63YYuazHE%vmTuZB{NaU+CDvMcPKh>|Q^Sl!slnHt)o zx$(%z9PL4_6{UyMqK0xbhq^tIhpHRcJ`5HiXxClQ7*4J+5*w>qJ+M{k8Ixj|^R=3W zvaz>}t4g^_Fr6<;PzGEe2y&G1wobT<`t_>DX^b`@ytE2amB=lhw?_mHm@!j#VU0edi742AuD ziCd?rAQEq9m}J)S0Td@xu9g_ZS`#|Y$PwxY<9N1SN~~S{)M*Xw`FInT_6qXT>%KI| ze+b2|-BRDA(q3ISDL%7u{QjsH^_jeZ5~iQ1w^SnwUTMzXu0e?*J7!XoDRRROs8qBD zp-kK%J~GL6ZYG5+kYHv=YP^yUx9t2ulS`YGbtbjWE5Sdqos#C1L6*Xi}ra-jBL_cm@$aF2f)Zf+)7Tx4_=)A99B5 zEfBweM49db>H3VpLg(`sY_}zXA=S*qT^~mmpYY@EU+6Xna4~%XtcfJi(v*#A8fq;2Br1 zNrC=lFXa`i`7&$oVUiT^ao#CVvl^;HN9H+~DpxGb8P9=z{c>Ci(ny5JT5COJl761E zqG$~^B40LwQgiiNMw27X2Ua=-PZ3w;T}$aqh@2tAS}Nr*5#UENm(lmXBsh>;1KD>_ z$X2fhug|P);gj-n+AeUeUZ3TcI!ymtfS9@b_ol{v7V8ZKX}2P${4XlohP zZlI7+Z|R4*r*%2>v|;=!X0gq5uzh^v>F+J=#c>^AtT4FZC_ZJkf6>iU^=>^KXQhd2 z2GSme3XXg2yVA+tawJ(%xx-aVflc5H;yR!9ihukj3x%HxI|BU;N3yFqenktu0gH)X zcL#$SP&D4FTyyY@_CH|11@YW?&>h>V8$TSUk+|H!K6oD20(3e)KzMg>V&yFp;R*2} zyi#h?ER7bPO491+<3G2^Zaw-8rTxM{|Xc@#=#_Xs0lG_(Xz3xi*h6k$Xc` zE>fRKa_LsGJhG8wrSi$5=vt@-`s$5e>^>78_#C8F#ryB)`^Qj))^a1k{gFB*$=y39 zD|&5lE>ZRt6+Q>{ZP(9~YjgnpIdz+k-J%5~oz?jM#G91v81j~Sjy|HtP+{^AnMpht ztL#e3oZ<`7n0b0uHFF>!PP797;ZglQB#V44OSX}|UVBM!rra#4 z#|V0HhUqjd;)pQl)TTchN&QKW5p>Ly&Qz99N3e5CTNccv>c(shHaQc0MZ5lr@%qxD zgv&&Peo=iz8?3N#fFL&9@))Lm`FQ}99VxLVMa^qUEtta|qS)Splr|k^Q*+q&25i%_ zsAjG-Qd&otWccTa@}}@Of*?JT(rtcNYo2Njoy#o2;M}Gw zew`^chi>GRV7?(;aV%2LBFL@6AHy}qmKN&Ix5R=*;-YX* zJ?6is9=xl!!(c1yqGrfW2V8PCQ!$2GwMtCfb!%A<`gLe=I?e}W;+PNNifzGk2B>99 zWWoam+Z2aixGkF#tf)CBZ|<; zW60h49fD^ebGpG1HQf6v62mCHGH|DIOEIraaHhUF~cV$)Eyf(4&?# zHby>iGU9EP+ruPv`1`T0nWfxE6>AZd@qg~DS+lV`tHb9TKyLTTYUZkfHC}IJy92ho zWJ%mcvD;zqhs9gc@fmW<%R3CV3|w)GBJaSMD%z z%_?WpsA?&?r6oCLo8rq>oK@?gmg@Ww|btUWRJj3gGb*s&bI3iAcDXaQx^||lhc!l2m;p*4@hyA=r zgrJ2S7v6FvoMXWqe3iAPWoO6&H19v6Aytk%=|f!+p(4I{B?NCNi4j01ULDi;piDiX zN~yf*G{2(8tcq$ZMl>De6lbAE=6y?#>eTs+lOCrYYcJ$zh`hm5`@xRRJEqX7;wQvH ztuLyXTZX*x68)BW@jFtikTpWEp^=(7Y>sbX_v8bg8>-AC>L1G_T)uIJ(SwL1KH1ki zGjg5>A$)#l#HxK2L^k(IE&D<$>lgWZLXYe6rEZjJ&j`Vq&L&BP5fyJ|7SYVb)L;0Y z`%_LV;MJ4B7AFjeU+!Qln)%o!{>U2bY_#CIK8zX*?QFDQtl`kABLt%b#|QQXgkZGb z9Kc@mkJvzV@o1a}9&Uo+8~rEQ_-0kmt&T#uL$!0moyRb}gp1#%@|IL6>Q}Bt`yb_| z8}mBqE0x7~6B7RBo*#B3&J|3WkBqSgJc_j&st+(|vg*M!Ah`smP&&^IPwfHD9Xqc0 zXYV~(n8Z?QPcVS*OQHu?W~iero{1KF7YC6`jAEk&^S6L5t?55v=L1>Me!F8^?l9Mf z;T>U6cd*4dcm7?jA3X(Yz-kma7tS;Rbt_LDS*MkF5hMaQ8;k6y50APYV-W4!B3CRN zQcC612ZCJJF&IsFhd;BrA;ATdQaf6X+`s(=|0;dhEPUCl;V*GT3&yHH*HzdhT5wgy zbcmUQXu(*?=K5!F4aigul}C$xhL!551f{xzEuGI-u^0)APLWXRQn;BEEY^COo-&MZ zbn$pGuI1a4VU1;QWOa0LvRHfUH@RI!3n#q!>KclZgYw(>pT3&4KSZhL7{j1N?#>??ht;vDCUYD9dDNwfGT$`s_ z6gFCa7F)g$5nPEPPR-7=u%5)Osv0V8l6VVn;ffIkJ^oW|XQ;|dqk!2RO4>2DBqcqW zk&}|5MaSeB=q5xBs`YPGcDZw>(m9r{Io<(# zY+xg*INzjd;}N_mqufKV?vxHD!`WJCQ*7j>o9meJ2w1&jo9reK@{L!f%zI-;IC5IYOC)kCF`1 za2$tYZb%P$__8=*{`^nHxv0A}{IPeVg0!aY3}KRJ=8@gO?7N=x$jV~)M0<$pkQp$j zFG59qqTL|(IX%Csn-^;Fhe*tUBku0oRBS{%<3aijPV2~K!P*nB_ANM&#_p88`)KBo zD=!c9zf!P_;QTJLCZ_fUwkQ;93BIpz_E)SmwPtI=S2U+>zWM0>h3Y2yp#q3gRRh`KG-*b{>A3XAua-5%&aIg^~??!$KUU`<&J zQv)3p@n6wp;hnkdsez2S4(c3q@@j=-{0ypF^m;q3i}N>SS+iVUs=&6~cUEtrs{OuF zRHe2+U-6q?0Xoo0zVNg-7ufTl^Ir3_`Y(vSQa9a0u$7?uf(z}+wm|<VM2TB)ae$KPn;hI6#!><-=9XV4FHMGezW z9H#=k;|OP3t*|eFZXP_-;aCA0&}d9#XA+1P@yvl9f&RUG%L?$gNSupj6z4d#t)bGI zfQUk!+SW8Ih5Ze-4UW0DI92!UK$-jA*AFY!p21>qIrTW2jyOe0r^GY5PrPU!>c%Ih z1!bZf)~DP_YCbQn@h#xEo=&OdJ7-1LO}K;K&GVG2Q$oRc zRt2^>zc@B8xqg$wwa8H-o~bb{(Eoi}X%aqq+cUlu=OrpFkB;*{&NZNVlk-vGB-{0? zJWVA?E@WTRI>XOvR9QpAyZ%k_m)_IkE7pEuU(=?dXB5ueiV;Z?7^Ca#(fJLn=T$A_ zJT2)g6PM+R_Cq0h0h+(f!`JxJ65gU5c`Xfx|D&W*u)Hd#u0>mN9C=L%Hu~2`&PP$} z&X;32ReE2Nt~S`DAF%6Gzl!eNK;Jukpp<26>pv>&HK^9bxy8|GWj893aW*v#{a_1L z8`X+E@9z4B)5}`vg~bl2JUs-ae#CQHuAF;I(#a}vU5{n45SaQoCx6GLpg{jkz2tk~ zSKJ}9GxB=GSeh6cDb24RsN{~h`ZDeucq|L(;4_o9caTf#nlnfCgo=<&wcnU_EE`~mO2CVf=a12@%};MD9Odmlxt8aJ-Grmht_f+pTCUPmi9c`AaW`nDJHLz ztWV9)hyQrGCKq+6BRRJ^2LWiiWAIoY5;!SuJSAQ--(q8EY0==i6Yr>qb28>l3Q$qB z#Bn;SYcJ z+Xl~A5a{3iBZ)@xcQ&CN-{Kh+p&vVjMi-&;>yIN>8@VB_4hex&7sH3VzN28^RWYf12C zl^uS9sRyD^N=;a5g40mlSYhwl;p}TA%xbizo0D<|#`NRYrIO?V_)&fgJSI)}PJXWn z8D(3=(T^)^QLQNUEGtF3ZjKjWP+Sn@+uaaE6H)i&%mGw2rAIGUJSG--cbgaJ|6*z$ zp>upUEp#3iF1`w!D79cIC3l?VG;NK-DZw|Y0V$XSza)(+Y=vS|Y(Sg~A1Z(vwar;+r3X$Q+i;Ws5UZDhjzF9mI(Vn&=m@gbUAsj+q`_KSQ`GOu z;~mX?rI1_Yhf$ELHr}b;n)5ov`TTq_`ADBA7D$0 zAb>kJpWw31sg16>rzN?L-mMdk1hgguke=y|-o5rL38VTaF<+wBL@^vi6VC|uO8QYV zxAwe616lGT-aB`4*dayH5A=jI@7X;@croLt3p}8B5n#`c!fki2mG|X8+0Yvzk{i?- zG%&mYqDAeZJ}u;$^bUrV3x4_0F0Le^Q*+mM>)h`_g|bly=m|NX$E2R|1KpQFKW~fd zvXVS8DbHECA}O|bjsxK6@V5~9W$$~`J2|>d$QwiF4Bm82R3YUxD}6FaS>n%sNPJKf zl0kb-3W$zB6bZ@c+FyDU$7rRXEzSzTH$1Jp@WRj1UOf=u)H^0hJ{9<3z5J^4v(vM5r$}1 z(4OsD>Y=JTdm_y!Cy>J)9`}wfZTPwdIHh2+|7L|see}*f$+Bm`{b2ug7Zl?E#je$L zUTD3&&+MKlgnY(T@&u!+Aw5yLxhXfdM9Op0K{*gRLMoMOb;bmgmqKu_P%`TN@@;`w za!-nf%RW7+XM4MbAu;P_q}jAcT+MPpT%p_d;vk|!6VFltA*A=OI!QpBCS`q&n= zo4T>7G+}5`oGIIV3AGqPpUV_*z>3kiLq1x9f zWrMjpd&L}-#>TS*<_W)$)D^?ApDmA z*a&R1x}lvR4bt{{#8ZwlxR&9D0}u)6#GBqSmcaUjiGjnu9T@22cKO2^jgIG_^=7Z& zmwCDK4(*qy8SOAG@`}4WB0B6>g=}QINQ&|M?Yx9hrp-QMu$LADed}Nr6*n4 zaRpm|=_#|+Embpb=Pn9$Zv6S~tD;YrIY!dH^LRCP++9gyl z8i(yw3{8U$nh{d8kH>g@4+E6@TF|R(wCed6W@tr(s}X!J|~;o`s0_X|pfKkC|-wS@EPg z#LzH+*J)5s5h<=vun`+PBOW=?$!fOz+MGDA^~o)Ay6^} z-clDcK3Xey?7ztN$_Z)_dj--ZvzMoSaGxN5@anbDG-pi^UN)J2+U(z#KfQ|PocN`3=vny8mu&N=O4wuOU1pQ>4H z=n}*pshv)@QQH%6ntJ@?KoZ_q7@*qGwek2%~>m5)IV2Gr(NqT{iz%98^HCr|} zCjNafls;L?Z7yJT0zW+td4Jp+l&vayIoM2Kb^C6~=WDCqPQ1Vbwf-UBSVo!sO7VuY z;O?md@ehpi);2{>X^3HE&Dd^w8zDwJqY$J#gtKSc9f#R_e#4xU>V{!**xo9G}KhS=Bs9-birmJ$ZNGYj^iux!dp4j3(`>FoNT@?i-kSQ z86Bq8J~kntat{BJx#zulKqsv{`5Ya>615pz4o5#1-T9?a@+vN5_{7R31vzRxT zc8Ev=25&Jx>=;CRW&UI-+DZsdclJj+6Q~9qWkfzq1a!0ybzNbtf-AsU;L;f0zvYK> zJ)B;qHdR(b?IQ~_WX&RBeA@@fbWl{ z=!qOGR3zOYPk=iZJ^tX0l7{tbNbT#66p-;gWnPjyD~T$2WKOZ;8Jb&b0YrMj!bVmz>NYTwTQFH&UwXzr+=CE zM@ShjS5PlQaDwtK;p5s47}PDDwN_zD@E{Ml6F?Jq@t6mu4R8_?Pe7x_t$%EK8= z(t}8!18Wn`!`V%uiwf5XpXvZz*^|ePw%Ucm!rZb17QrNpy=p(&G9tPv+g}ec^L@v# z+|aRoN9eaPtqe@4_(K1?+kLe?q-5d+-EYBEyk|$K#9S9bF1kwjq7vJ-nNAlm=rm6p z?q~&fi|vaHC^*Z{X$rjMJMjsb?7lwFE28#u3Re2%_0l`yn%fG5<|I)gh5BpmiIja> z1FdJDiXUB&Lr)^WKNrH1(zHAjc{`PpUBII5JmqP;RH7mvCP zLSi6<1B-n)i+P9QrX8^>6K!%t5Yj?)G%POK$A5e43gPXAf_C{=JI62WBgSoh162p_ ziNP?-pR2F2b4<6zU~{V)Vxt;z-Csu);cR@;dyv;E?G!Ed*j&9+$gxk?-MNB~ykyf* zxAGSivvQrbLJoj$7U&OQZ>f?5FY1i>DdBPDkQo`N$*Bu}IAX=#wt_e8Vj&TO*O7}` zqH}yToUQ2mxXcehUsXQOq?I?Zka&S^8WHq~foxpq~uPi8ch*kZ%*kUyYBg#W_7PYJEB_g7%Y%8UF0$vaWZwSAP_0{p$rrnXFy>sQ*)K~} zN%w;;tGrfm66Dc9LQb-D@vrj$@rgX=JP1~A(k}8f@m4Lbk;ASV78@^QJx9&{vi!I2^gf$$D>`vi-BnBxaLSBDpX{hdE(cr@D;IP0Z}=^V zL#n$SA%3=eaIgOUjTid_xUt0mB=#5Y%5=Ee5y3KW=KZiCUF?j_91&ms@jOft^@q2y zq3j7Dt%_{YJvJYkn*A8YA@m3Sr6=vwlTQn&xbl<`i!r@z#4HQ{?YYe=;-tKtJ2Gad z$_QkoukBn_8W#NV=zM?V4eU@e{zZ-$pR=CBr&1Pd^OFq!;IZC$WYx7e>clDKbfA!^ z+6NJ*GihI@U4D9|nNp>0sc-&;^Hdt(|6MK8n~APc;%|*A_*{TQjo_DAS>! zFEcC>dvs;WQNO^6k>ssdh=g7}T}A zu7Adrv>{onkDLT9an1B81hfc;2d#BJx9ksW55J0{Qh;T7z*?OC7@zF`Q3mQo5x|Yp zt3|>pFU+cy=De%AaYMRn>b;J1u;J$3dYtJ`b{rQL-8@-YF+c8BMwfJ^S@~W5cZoVs zQ&_=meLw&(C~OC#r7LVjP%Sh}O=>=LHyFSvUal&k9?@33EGea`IAbyLYF0h{vt{T- zcZrTkfj{B}yjkio1gaI!?mE;aPy~J|zYF}R6-iQ!0JF2h?WfB6yE1oqg%{7F4!ETP zrnK98RU!vS+%_NhB0Lji&G15l;%9dT>emKIcYyV}J0vSD$cg!FM6g2Ti~eHgJ;jCM zN7{74>rqr^M#NHw*o&`i-kooJ&dQb({;6}wv>`afg%D~afee7oEvs@jV94ZAGB>vfiE-=P|P=ae^CMS)qh34=M8D)msl|yW{Sf| ztmh?prxc_!pxRIQ`Ma1T?4pVd^^gPr*D;|ij}DjxO9jp|!g(F0dP$S{UIh^sl@+!p#z9^OxRwf1w>l#tMx z#lC%mx8pG|QurL|;zC8Yu|FCZGG8pq+^Vf_8l*2!P=5;99xWZUH75omM9XqDY;J*f zSmV+nz(&V_3omdaQ~76gVkitfYk2B%-5DNqCW#PL6-pC5-$3vUUX5o&b?~r>%uAn8NO;F!Uq(&lf zeC-#+daHN48}QqutYfDT{T2 z$vogtdm56PWTB ze=5rBd=vlubf=%jtb+U5&+YOH0t(o;QNto2)PjO)-XihuaR_Lu4CQ_F&mpq7u+agxbR{p z@u%>o{hUhv6a1DCs2`j+z4HZS6(3&fYF8%6z-;9b=eQNV^Xk_AC31r44)FwN$_2Fj zXgwzgYtIR$Du9$=Xbt}G1Ao8k?c6s%il{}&qK(hlO`>%p%g+I#b)H`HKfaLv`N2GXQLNskoI<`KFIUwVV?3`j zBYjEUd;m18S-hj4)?+s%KPAlm37mf-dug5Vd-i{lLNG-dkVfbMnM}uR1P+k+KdyUH z&UbvSK6+O^2(!LIX_>G5eq0J9O?b(A=>i?Uay4YU3$W9>cpNUKb%~yan-YNd%n0!w zCwA1Ugqu<3d_oe4@sz=Q3-!azvYk*F83^M7^F!6Q!yqxG_ib5XCx7qPTZcX7yb9#V zihJ3@fj$X|ID>23h9uMi>a-EU4oJ%r_PydLSkw9L)D`Nu+#hz`>6T+buPK5t7%^Ih z`pY54=OxI>2X?3xLUE+cR(LkJ43zair!7N&A3R5SiP7In(Xbf4-RBgoyTY<%&UOTY z*9yvZ72|}o4>;FmyPM6wwOiAe_H4KUo6)FJqAnzBd!^d#?6(X@4q?vu{Rg^%1r@-; z)2nFEmWs}S;LBHeDd2#92Sc6T$KSAwo^<+_#h+L%mP8vHC{lV#a zpMnEQw#81lW;^$o6}B6v`bGGZ(^?x?J37msOGAAAo8mfJVUY1AEqE|D+IFLC9+ z;GR0Jsnias8B<&0Bmm3S(+|8ozPVguqRn@6(6WOE0JUa|@6_=}BsRw2@jA3PPR?A>l(afhxy{o>mOX%u0R4xSTnU=^sbMMW* zBja$i4;~5&hQl{bW%k8h=sfRgAKV)o2XqZz^~v`O*e?nnI}yzij1P=Y@sPUj*-9+C z7JGw%{r;XePikjB=dS{oU&j?SU7nd`5qS&!zJ*uZv#iHxvb^zssZ#CJ_&0l|d)XPm zVs; zc*uBkc){C|Q}Vg+9s+}f&v-9hn|v!(P#%Id4*>7Ul=1QW3b5cO-MvbWE#&5{X1{_t z;u9AOJ-P$yo=oTXz|7o6YszI5A)O=p*3A6yrAJ`Gvtv#$AX{X+#@|>&<_ikuJ1^1s zFpV}GBh7rH+v8?76DG6WOMT_rRkmj8ah+WOvz0}&*UFq<9NeEZ#h)SJk>%T)yYH|O zLocE8+k)kb08AOij+@Z3te7W1F=(nMJkE-ji|YdnDfEHs{^M z9fzx@{0r|J;aS^!;0{#(ntIBRw*qX)Vf-BSu|3`8dJ0CCV+o*imS4fT;bn;BuxwFa zwc^|#wO|ls=n^HiCBI7pZpkrEn=;O)=(E(xe$4LDGE2-{ncCeD z)zrm~tX5vD3N1pyX~DHKWNS#%_4bs|wR@gUV%$&z)Jey5+_$kKoX&#H4R%t(7*i}^LhSz3(L zP;nb~HA>g9N8-|qCKj!njis@U1kcMpR7e32B`vN54Lv`Z7I*E!ZOXdXvFJ95DfYtr zE}^cZvX<3vv^YKzC(5h$tcKHqDqk)gl-s)z0)yfQ1l486qurb$mAAl1B6{|+PY1j- zT8o`j%%lSw?Q0T{Z6M(8pZX3FbK z@?YeN`)IR6wM@}7i|r(r-70GvBd#R`a;X>NK|acBUXc5p43)#EO=hsk(>`KFZ$lAx zuVL3rRhTQw0fX*4IN{xZmlyL>rx>pllAY|dj^aDtIWye2)%tGCY>&*@yrFMvN z_BOax$|8!-6lWQ*JY1ibe0){gDV^T;IUT-{OMa=qKEigNsA8*j6QGJN+_ugFU z`4|}w3ZC9+LsWhnWf#wv@8f#b!@mk8uUPN%e9NM}@zKH_B31_%MNXJJcwYY{{>>|y zkYRu91Rtf==!PKa5fFC?jSLq58+3q%+ll8PT6R4<68%XG+Q zWpgPNv_gSS<6+*(e|Ezd7U|u5@tCpQGHx#8;q}`OgKfn1(>{)%Sij<=UCEz36 zQlgMN++ZM$Lr)t$cI;pFovaD{#nB-ZPGu z2O5>Tg(<_A;D6-$gb(0j$AC!4V_UER6WQsr=Yz^HBTv3t<{vN zi}3g2snF5nGK~3XekplK+YSMhMIEY-)Xe`z290E>r9VK?ytf8;G9gq7W1;6miM&R{ zqK4Kji~h)j+!6&JZhhS&rcKwnzDL5~2JKb98uFd#@yNq@|C*=i;ZF3UqQT8?ESglad0nei zVW0|2wA377@`}mf88=t()}v+$$wb387FjQO(;Fn-DJ6qbnb&-M_aXiCkO`|A_d)d< zg^dRy*ta0Zgas$ti+$N=6^4M@Z`{iwWt_(6isJ2fV=RH;^6Rqb)lALe`(pVoT&NU&NS_x~Qq;6Ugy*hK`l!*-WQ04nTGM{HM}0+F{- zo7^C-j*-9TcLc8BcSRSq#ykJtMceU3Ze(W!kl$0MBY>Z~_}uQHNVcE5F3|spx*_R_ z`@5Cu|EvV=;{W#i|3c>nx}EO+Z|MKG*Z5$_Xdl+hlJ>_3^+Pl2h)6$V6Mo8t|)@&>xbOgs95 zgI6U_qpp5`5Tr19KngSP8VM8^i(#4F^qR)bTP;sYPe*7S`dC!jw>`jNL}R3{kznN% z<1Wf2*^i@-^T&MC6^h2)d;tEA>Iz3dqbgGkb3dn`RiC3pI1yg+y~*)G8m5qP#qfv- z+z^ML+(JWuvp6~&83B~!J4!H^hWh>}e$6B*ETZHHd^-2`CwrI=oBCv!p%~xlE(l$|igNo1lI(qy@Y!1|tLcq*0(EI59^A_e(F%Z%6*RBTobf*8(Cs zv0O0IbQ)WTMi64$2&_<}G-#ZzmU>(8J&K6-ADWrPxE%Et6L3LURC08YCQxS2=0;m! z11{)%3u>(E*R@uGjv&NX5sKtS=^tjjtwJ3B_K3lx5<-n0;-xxju0&ZvP^b1}t?6bm z;<)#eC{uRYMYUOfH{Y1+5gp-<{n;$E@b3N*?um1`mdx6>=zo@eMESdPdSxF8+vwLdHe>#;QA$Cie5 z6?K>AP`;uIo(!+~l&*5aSVL0>FIa<0 z+K>@yYSwf&!r14dpmt`wHbqIzoNle{5gvs<(1cIhWNm7bD{~AxEN;7~lHxOnQ7g=T z=X@4*YzRdysw$v%I;O&0nO>s-)kwSpt#!LTR#xL$te6gpoRD#W(a&dJGT2wKWExoS zdIS|X9PgMM0*?u~Tr8><%^f_0L!snY8~tUiu^&)hw?l2j^wn~K##Tb$In3$(8f8$f zwuv!(PQMaW+dca;6yq+ke*`71<22by{2=>e^Bu*yDu5ksvD>nW&{6d{e|qG|{@8y0 z^-es3tTZY^VNKAH6WbQfD|{lfFk_}pCO`>t>R0hyx;2F@OWQL(6kUknrlMY>Utp2z zDeRtTJiUb?%gkrdvDUD+8-xP!vq9rtkLeNE?_dQ%u?qw*6XAMFQ>nYj4Z zDOgJ&`&8Su5$*QlWc^)4>L4U$`bawX8!{|}U76Od2Rp)tHSdF{@KZH!DKf^H8}C|- zeh|&S3}2Dj5Ly3)=vHh z(t1ok+6Fm1Mb8J9PWXasFrfy5C>-D9;=mHFN4!Brk(2{s{aCBBd6`)f$CX+CuWT8j z2Q^~db+9X#5KOm@UPTpjcRdVajrHk{@@JrBRfLhXnS^kPm$Z=~SuzAgGCObUB9v_9k?~ z3L>K$lGs(SL4n1_3`Fpl(wZ`oEuZ#!+sGg!Cbk4_p)6Q+inh7=a@MHH0)^+KRi8G4 zI+Tq`Uli(ygE$J`9jX2UJ*xnZT39^S4FJ3;sMCo-bYVT92MqsUR9Cox=Y3FtfJTS~ z?%3`IzkkHO4phcQ>qPXpwh=9(`5U-k>kJ7!*gtun1Uq%Sb&x)YePPGT_cH?@;y4i- zcA8b~N809eoTCcVGCqCNz)c$yf~29Nn(T5NqGc71nyn$8TUxoPcFc6)s@5PYCSaU&h0t8?F(Bc?g)Q;Jhi@ zLJPf!99Huerh}x4NS>?=RcycLshlBEEIb7w7+;7}ZkW762oqv1m;gG1SgrTegN2wO z)90(8V`&OvRz0}z5IRz?V-qvXx;t+;P9?h`Lhh@0K{x$3kP$~Q&|>IiU1eNIWsoI% zEgZytrS~pZG%@t5>#~b59wE8w8nw9(?kN1vfS475-}<&vcsuvtuon|iLaJk^X9}BG zsgIg4(~+pg!}j4z>IUx+v;v_miU?s=%jeh|+I{LIifH{4(0{n!`+hl@y>U@IIUiw! zsM`H(JR57UPD!us$787kBM&|~(Z`|h&1jsObvRBVK?k9rioo9IB=m~n;xkFR>Td7v z*WgvYjh#20vdTA={j@Q#^uOR7Rl=6WW#S4Vx0v1%g=z}zFZCSVn6VBo4IA>9Q;>xZ zoqzo?vZxn69&iK>iLuLAO_E3Rf8D%C0GT;rW8B74+j*zZefTx=TeVT$?QIArBSXM2w!^Mh`*Ab4&Yz)QO z1=J}(lIY6~uod3Y8|}~ILj}MzSkUb)z<5fuJ8eW;4Yp#d66S#k1RCT?D-jXoTGlG~ zP!L`Ip|&F6vX=S#`1AUitKT4RcrOdnVX6YwDC6VB;B8+L)>V5)XK^SkJ-&z)Y(@z4 z(9xl^d$WCjVv48+<@6LJNCe8fowq(cxISo%yx$vkl90CAgs8*ga0IZ(_WFD%&p6jTM)yIdR@%py_PqF>A^nzwSfQV3kR|*!| zeUK;=OsLuJ*SNcXjRn^U1}l^Qd*%h&StUr<9f|a=)ICM+&(2H>-@P5-P|A4MT7Ug$ zUXSUio7)V=oH^duqk!g>-8zH#a?`})#A%JZ7-`mK#`p`B*v?(9=m+H z1tUAEt`{kp(>Nf6**GRn6qfkF2bbA|WZTqm*U}%(-G~< zTBEfS*_owpt%ak=O***uRr{1`VZz7Da*?fh{HbNg4xtma29tqbh1MGLr0P$skmG-E z<#;XYd3cYsdvRxN5BYeH^I2S%7{4NDx9U1#P2231@EEkCcozE2;$BxL#U`-2Ht7`q4MIvsYVzptTf@ zW3k&B6WZwPBxowrygc2{`z~<4*_JzCBDn0@L+<-ueuR1zGQB*?%vkCmYpW_*Rd22Igi%8a|w6qf6B4M~*2xbWj*SRoEK?|TvjdjCAiv`b&w*hsJSI7?Mg%JSKiunRA;_TUx%OwV*2aRSUi*9H;ATh1GeL;>w=8IaeU|j|7_hcT~*d8pHak zjvT_k90=vr)>c)4&S&4zdUD@;0h+Oh`-jed?4iL@LIzuYFy^75T5G znwR?(Hxg6E4}g-AxdSOUgGvkkQ0T^y;&E~%+WS!wN%8(qd4Cz??Nj&SD_>2Ka2Li&($pS+2#o2KJX-vs|U}kyCzqdde4>u!Xmk+5< zoq0*M#mtU~Ia@W>&2T2L3IWCP0V+soN%ld7BS!>`F*rqFCkcXTtjXd7b!rWa`va%2 zj+o#eh6Qs_gJTvPyy-V`=V#Av1*RAH3&WbyQMG@D5kC~kBxyq|`bJ00Rs+3SYO2ei4of^bOki*u=>*y#zx!cq%x*IH8FDSccOo zDpPyrCZ>5n)bfvRVOa!6At~s{JB1d0lfW36N8>d734&I(xed^yuwA7n)#c^HNR|Cz zH!&c?=U^~)5wn`5uFv5zwed*Ti1~gj7w<7TF7C6YE%=qO6Fp%LIg81<#{{O)_aO#d zbtwCq`+Pt$3xon7@8tRNxVo*5H2XV{Sw7KCy39NzI-BIZ-cWuWGI$vO$bQ-|#kG1u z#4V&3>oynbP`tzKXMpW2`&~S?@eLEcc|AiLCbNEsNw!AZLx0prpdC-RvLib|N*}4c z;D};mnT%yTGAA1yRNvZAR=*9*!0A_w@1)76(%SW#3T(aa{DwyP+AGg09l-cjd(XB) zzvVD?>yI$Se7=G*J<U6xX8oi&5)+-ACz^Ses@GkDG7TSVRJ zRt773jq2P3!9Rf!Jk8f9Ng6@7cEgdVESVQ*$HdqHZ`b(hXq9PQY3U^uA45IBHCRa@ z`_usgKGk>(SAAtU>eU!Wb4 zLzlNz^_W$5qlUiuNi_SM>pzlVL@D$6dvuzxhCUoruFzrc{)eeg;K4Di8kuNm>D zrj;yBb8JqPsC@G%Oy+-5Nb_+(Wu^#{5#Npk*sY5tj?Bm-q2dmL2#qhU4%5z?^H))Bu zVhB$1ohu8!j@s5~imoP|(zs4cy4B|TRLnh)W_s}2k+EBs?XJfIT@N->rBnXHaJ6_y zCG|RwI9Q)W{8Ml0vx&TaZxT}iFUV=QMfAm2p39(BBr?d)92r z8T5X&3Rfzyej(=?2B&UW$|Ii}ok=Cx%{l|CcN8Eqfzq^V@Hu7rqo;IS;ZInVMRZe0 z5_mQGda^B2XyB4_=@dT7H4k)`9=*A(C%6Du`KvZIeuFkOV(e8SSLX>6NdAU z9%FvsSC8y#j+ArA-Bg;{wp;)&&GjaKb)0NzbOh?$c#cx3U?_SHZc8fi5w)UkJAy1> zWtMG}){)!LoF^N5uvGKJtUI^!$C6u(Ad2~-q0#R(7^M7k-5+Lg$srNotEHYH28S$D zG70f18JBrb&|Goy=STXRt5hcf(t>6T=k@h1ldw zZ1n63Om4ynJxOzuUbyonG+UB~Z^9yO^IG)Bm|N-IA4R8&%g7MR#RDG$t0~s6Rf_@R zSrY5yP5dN)CLIVR_he^WPf5imWxybPG6_ZvoX%Rb(n~zs75swbxnUgIC1~MMmhBte zZ?TNvF`^@?PXLFOgAFtrv}~IB8u;mmM{vhFvju+jFER4rxN@J68V2agx%M$#8<^Z8 zp@z_4b{5hbdW*X@56_E(j5ej_Gx^RD67lVmmGnV@Dz;uY5dvARnoq-Q>O`yTc1fUE zaA%RuC+!CJ>QH@4hi>H!co&1*OPP3axdo9({C217sJwWT($#@1gchwFQ++Id-k0 z5we`i3IfYQ4vzlvWHSMWG}|Yj9RbinTT5WGrc!*BAXY=TeTtLwJx-l?6lP-ETq zgAGu5C3dT!crG*D(dR%hfS5o2?ii|QA~G-tu-YRN~@hQUm#+Dv} zma{%TWRb+6!Z6I^RVSY$i?q{O5WB+39S_zn`21=s_^Lj1rqQiU>Z?SjEU@)tZ?D80 zxF>$g^V`Bs1hECMXmH<+^DCb8d;)Piu+$445Vt6@!4_wUIsV&EL1x{D{FfPtHUn2M zi)TCS@$u&xm5K|!tDO^rCjxtJ`%*uZqYSmwSgQNklpizpUe=w0`m4xo0 zjLd47GeyRnTzu57VvUCex76Fn5YoeE=UM_U!_IoIg)f3Y%cb7nDAVMwz62^`3mKl^ z`nk-ge?vH;p3XG{;D>l!(?_AHstbADnE>iaX31wLu^Fzz6szW=Nrgx+#mp%qsRwXN zVy8`K;OU>4O1jSPpWQ^g~;%D?q1K=*A zmU5v-LUs==#NvwFIGYvH8X^p}gZ(80GzLaNsTMvE3^k5i@;wog0I&w9P(R7^K}&jD zlq*Aa&$?@v1swuq_tCjX8u6_=%Co=N1h?|oNJ;R2XLP9~Bwq4EDHOjPd!JK3|E7Hk zYwux{l?@e`&JJK6(^5|?rUYl_va7&ZGadyg4g*xxP-OT%M-12Hehk`uN;S@A#aUM@CRy4IJpGk$TI)DDspC1)j9=-v9}0=9u3f3e&-Nyi zoCDU1+EfUrPWC*BA-=VFc`^!qj5DZm31kBXd&rdGo-w(PT-UdX1PwMkWqPR8xrct~ z!U-b#J=Y=R@-6jx=6Q`n`$Lcu2%nGfvj(7)x4|i~n9`8q^X@l$QbOpZM%7 z15-*>21fPWadBLZ?rR=PaBY`e#Rvr3nY)v1Mq0WUi$JNNb(LEL)7Bm3e~O!!q~Niq z0tF31KZ~nmWrDT6n<`xM7E@d!r8LRbMMV_$7*LIg^AKc9b0-fh_P>3 zWv@^2mZ14U40aDNCSj?Sm$*SHqsz)KyjB+?VX#WSfDYZdW_rZ^ltTh@&m zt*6}!WBw#wE;_>bcZb=B5%N=F#(FNGWg;)-q7ep_0D%nshu)kJjy7MoIpN z^KBT+sgK$~4GK_9sa>g5utpV;Vd(O=Mf()V(rT#^DD9=@gUlM}b+z28%o>y=qru=TP8jLZHK1b_SH$Lm2A|M$$;_G?n?i~-a9@=8m-^o;bekICicWe$DY`> zF|ln=Y}>XuNyoPB^h|7YtS9Gt&Oh-~{c6AIs{LYrYVW(d>%R82)R$}G>?9V=Ea(8e{i=umE!*j^7)hcx%Ex?^U&N0OVPO1fTt#ZwSSMTCvF6;d>2scN=z7Z`>yP>>Tzz4$l*BwnSt={+Y0Xe&B z`TK`bs*F)?0+mQ5IghL;CRcw5=4omp;j}Q`MxQ((ey#zkgSV6W({E99l^k9B{WEeM zJY5b&Bu4y+HYtZ=i!$QaHgA6mYhs>SLr8Xm^CX@}y+i2Kd!46d@Jg6JL}%EdI$d2d z8BIf<^tDS~T6)qbfmVMuyr#U4XG_JnkCO|jCEqT^ExFP_`TO3HBz5NZt4 z5t=ZnjigAnE=CbU)IIGhIy2`)o_w*=U7Yt?PN7Wo|fGs~c?G zG4#JEew(>Z*7AK)nKR?dNHzCB=T`HssJC;)KL{q0J+E_o9wM(nTTt0^ULcxb;VJ(7 ztF#=9Z~rB($)bZ(x%2pi`Tn=~^GwXt>-D!O!kc($9R9PrN!Gr`6V|mYtFLv3%w2zW zYVFTg*RhCdO8zeL8Gu!@`+q)UUH%J)%L8fJ}vdx>+Rj4d?Ziadkp&C7Y3 zkke|;J@E`+|HfqNhf32~C?j`5u6b*|I_NDb!aNYZfFt?W2PS!;?D4zEg~hp?nB7N|s!lGYYenC^ z5Q;r$jNmCPec8Tjkb{$jhiU)Dv(L>tZTO3^Dh58}AYDJ%s%LSC?d=46>t|6%A0`|< z+atr>;S_XW>q)OQ{8StcN)xb8_H=ZI3y;*Lg&2;Sv~AAz+CW|1ftvpX zGPc@m**$v&D#t-}KV@qcnl>rox95#1d#rsQ`IDO3`wsAEA;EIBC!L9hDjA2bb3F>h z|2|M#O~!L+53|kDgZ+m$kJ?IuYAxarY9MuWr5QVNBf|iDsdmvVr(fAgg`{bK70809 z?ir1sf>JUL-KSQe$1QateL|vFv6dg%^@EvAol!llaD2F9{@sbE~YKeXA%|jZo`eNfz;&!ho*jMo+?? z0!Z4Su=cI7X4)iCuI=F6RR zRa-40eCQPY1}pJEvmC{WOG3C5tgU9!xb8O+3Mr6tzWE&&$a_W+V*Z<-r5EMhEGmBS7H-P8QODM^Oh;Fc00HY-8qVoTEisM=Nz!&KlKUs>$Rr%b;te zz7!2;S_9%QK%oAsutKFfw0&;TcTh-p{&KppKC$nXe1ShDa&~dp^~Q9VpNu^V<#x0S ztB(dEL&@K-pu*Ijm%!b2dtM;4-WOh?d{U3Px>{`x_a9S?6bVW4KI*##c{R#g zjppEt;_r>^!QKAx=$Fmc{lk_|#cshfVdCxWKQbtCP8mkj#>21*Ymr>Lt2Rq~=THN2 zx12PJLJUiPN7$;QSS_o-D&~vN)=H=6R{K>&7D9}>kXrbJYd4UEV+J?$YgITwKi_5R zN+O9VLptD2g@h#uf2f6${aOXI=p|R|j6&BzJu-!bT0!sA<9h$xBYm_Uux%autT{%~ zibRC|^v6P?x|lL*`oV2VJ4V>Dk8)f&{wv#yS`82Hjti%Q^rnzDbi4fPaN~nc04Y1yxPBAE+^+gsT9L`#beXzxv`AN7a;@fnIKy=-mFfhSplLaR z>(zdBDq8CGjI+Kgmw)N0ABzv6**&o*Lki*ySu{sqTlVI}xhJyHdtoZ<*k`d=q^n}^ zo1(d`uBu`{I-Fybof?f%F6P@Q%7igWeCYod994scWa@EALejLIhxf!ovkcUS5K%e+ z@75YnZD^FqHyBx)yS1<0yd^OJ>Rp}tQ3}TF`!G4js8~gd$(P3N;E8L4NdWpu9<(G1bfM5BNx2F3xRUb`8l)&dpdD2BZAE+<75|1l zv39;?9Pk5j1+%oA5NrsU!h}X9aMdKY3yizfV#%I!5b@PtLR|lhw`^%j&kgQ88|PbA<-e{7Zx->(xT+HW7n9J%OKoZ6fNzqww;T%0T^c;la*f!5IvV-Mn<4YlqxpEv4V!PMlfI3eKx1V5P$$BjWMOtaH`-NY=IB2aYQwU>PB-MG zVHAtf?muPxETuFo(tDT&7($B=Ug-fdspII?%PkTk$0QFfEg21$T@1?wrPvd#4HwC3 z``CB>4Yt8rn#hgcZk$h=&U7$kU3{Gf+Wo6;hbcX`tZ`cHx&nDfzQ593J?8uQWqo+K zy|DUlb@zp@k^}1a^UR-czULImNOFqIo>VJEo}o!T=$DRNd@V{&gFIoEkF&Qgeew1b zwH6WVLAKDFXL(A6xw|=BO6qXYYZh17PgsBCy4|kHqzjdXRCDRPS9A_SbC5V)r|INd zgHHiL0=i`Li;`P#EKf+kuqw5_Bc}oj-+>V4rf*f2T{~(hWXyho-Wt*RX04b8HmjMM z@d)OMX~96QRd}9`HClI9xE11)#7R@HUA7bY{*E^ecLb3~ia6zPP#5IG_=4N$- zp~nWLs`>ER_UeSRPIsx0-g@m8gc3Zn7W2l{lDiVY68ujc`Khzm$@%LMYR$x7I?6l{ zcSB>OSWBK-IJvS3N`7W(8ZjOPpP}D_8Dcu`)TU_$-iFQXr*Pz&BNi8t{X^=acN_WY zwbW&sbH){tIIp?Vm{@nkuKS+jN+FVh``A(pR+IwsZ6w~5^8UPkPXheY6R}@^wC@uf z8ERzmbvzs1hWn5HqKPzQCFlAQ-x-H#@@iQMq_h{m*i;;|CVvSD4wsUwz+x9 zZy>CVt*qv!rdn&lAn&mQMfSV>WbA+0P&q}2%16*9D<~q3ori||dpw4jlKLXOMhH4} z@H%RVOjS6a-IH8P_VW*sX-T^dD?pTKH%*JySyQkQ}nz-^zNZay7>egOC z-OH8i2s3ZG-^##b=ToG8-l+ndBR^)Uk40!4>6~Z>DI^RZS4*0ISmcgTKDdrD3MP3$ zU|a&4EMqiT&_s^c{6edW5t>Q&J$C$u!F6}dnL(+|HV`r*Q$4rOL~eCGaCGw~ar`;lfw z>g1EpR2dzHMy*S*bYv;t$}9aGl!WutNKV#> z-2Q82Lp$K*BqH$RhHaquHNK`Nj((vgLPR$SKUnUEs#`*B=Kd1g{vztnGnphkC9chC zk^FXW8Xajx%?MO^w@eAb+v}Ea3LBCHrV+Jucz_1vms&|^1iR5JcN?WdCk+hcFgWe1IpoEXy=H<-6ig zA<}{!BsN{8uZus{)#Bfs#0sv4h?g?;ltvXLtQp+J(yo}okUnxB^eeBt#TegwW?!68 z7n6ZLSn!^l-tl~hUfWVi5SMZV2&>BtZbq)W3b{+5Qq6LRu0JMCEv;rte{xA~kb|vm zrJuftx7Hjj($CBLezhopZB`muU9fmi!U__xo!>nV}-`Kw=ZGw zEnV2Cl3m)Hcz0`^+K8=jKD7MV_Mcdb)U@rnXt(32QY}SpWx$CUSp#TmX!iI7PFIrD zi17|V&HrqDz1k*&9B{0!69cQEJep6o&7(a_N;Rsu#23;8&`CQ}OZFRdLkGEa6vj() zdZ372UR0?~rp}BO8OSIKtGTlye;!=|*#nOO9> zx7qDCePyzvS0%HwL>|D*8gB8wA}h6&1q>aw@%3|YDL;vn8v=V%5x2__auPUZJMK36 zTlKR#%~d$`>v(6YU5jm`5T>h5Ah9fh^?9|TaXy_#0~yKb78(DT?$g_7X#QA4eL&Dwlv@Lses<#7 zAE0wuz0Yb~z04LL`%b)*TcYAO@$?xo^su6I)CRxMfx89jfZMbCRX)`Xxv(I!&0^Rs zOJ7Or6=>BOgukP4^fB$IM^!k}d8T@cPKBNBs1xF!WaSY$<$;!=p>n>2k2+mT-HEOr ztP0Ax`um|=j3r^Z-y$v_tQq6}_Wkb0lhl2lUoW9G!dyVfM5t;!zP)r^rb*4#WzQSI zo(0uVH%X+=O{5Z~1gio?Q>=_0wjADh9aov5WKWABklNEHxh|-H3u6FN((P^Lp7LQd zS>Z)o>?Y^e?aKrtC~S7TL#8u)L@15bY&wVr@!C`d>NwNGD`e zW~2;(VE2AR`_o-=%#(_6KD_lt5i)StTfiU0vaI>k%q$(oXdW?KTHjhqIu~7JP)sC0 zcm1j?L3*(=rZ0|3n)Ba@y@&g(vOZw!VL4Z1p5CF%!-XH;%2}W%g&|DO$;Ig65jm^821wVyI#( zu4so{s&C}ihfeRdwjWu&tj{nvZ;&NDyZqc&1im>IOH%_Fz4UD*J-@}kkD=7o&g_#O zA>sKPWJlAgaABIDF7Zhxy4%0@2QGvUD$5a zC&$WMD)yn;OK5pgmfbL77D)APgvC-I*51?#d2ep+#0MxBnRW>R9iHO{n3B3xhR4ao zFhBtv>yOJYgm$Xt!_ov{+4) zy)U_oIqjY&SWBfxfR)tmZ1k-;2HzAZB^5cfzFj>23h|WNouxL=CW(R@B_-mlPc!!< z#k&(#&Uhl7=?A@%7 z%`1a*{9rq+@mY?J&15~})Od)w;Sea4^(J-|%NRDv+>K<3sjUzLVT5Ll4Ja+Sb6yP4 zrbS+L(;>L4#SGGV=fl>Pmlj37yNVHU#U$o1-(;Z3*HSOW(12TfZduXzvvm@9{$*Jy zDFqS{v(#D-i=u3x3uwvx^@YIo zWU3`RKMh~>y2+olxrWAybX&2{f-pIbD8I#kbpxVj$v8L0MpjN%7@Cb!Rbs#*q5d*S zPxpHo3pW0q+7xHv>_$b6o=@XX91ZT7Ib>*NMw-7SWIH~~`|M$|KrY4)>-(eXn$&$1 z+ZD3Kme7R=vZflJrRl341TRBaReOhOvM^~)Q!TUPr;-Gl=a%>&IA4Kcv zmd4~Pg70c}KfA8>b)N)xR9}!W^VW_wQfnI0el`uNC&RJ!y?-%3C&UKt>29B%^^>_h3bACU)lDbQ3VO;xxjb}S%`)_~^xnKcLv!ou& zdO1!ZvDT92Y~4An*8;k6whWmPJgggd0Kw8yhGX0b!j^_4Mr6S{#@4|&Gaua2Ju8iJ zg$om3)8j|)6@hC`(b4$F*4~LcjOQu$z)N-#I$5v=_vsjBh-E{U$5<41dtqa&A-Il? zM?xdFDEw8Sbb#3ebnK6j1N}7f&Z&0yq306&?j?|fbh9AkVOF+iy36G~SA_-*V-8Th z_~^mZ1NUUT?ta)|S7mDh;jw$D)NK0|_r4HVq^NFvU7|EPTTiiUI|%p?nd4qG3r@P~ zab$SPbzMnBR(-0>*pkRK&H5@p%YPtHt8x2qpDbf{a2Rjn1N$^-0L zL|QNY&B@KII43A@m)yYu5~!ZyrcE*LZMX~$NFsZ61p+@L5OwFcXSu?lTwGnnVjPeL z*egD;azq|K?8Od0H!qUV>e8R0^TGemDgAY)HH!>BDxk|rY_f-iu-4-GqjWqgLe!6^ z()q||bpo}eh$qbVbJJv%e%KHWI4iP8RJv=#MxF;|AZxgkq8^LX9ud5`#*zxZeJG_( zxfuAp6P*CT{wl})y%|HX1&joWY_LJP8CEI4cZoSBq(+%awcH}TLuw$B8Nq%AxK@hr zuGY!o%vgMf-*u5UtfVu`gi1$f40%*zzUXS#bHssrExi5~-6d&B*>kZKE+Z zV9}&SJ`Ihj^Edtuk+WXx;-bQbW%pzCN46BL9ah-tO z{ytuZZ0_<}OtaoL9`q<9c9)?7c-_hXwK5Quwbst&vEKHNbEGLGcoOYNVDU$*=}unZ z<+fQSBjK|}t*_h0+dV%?#F3q08@KBdEwB9?>04}uJaoz_OK292JHK6zuS0{~+tTs* zArjTR14ti4^IjzxedJ5OH{~8V=PNw{6%JOlSL7Pcf~Jz;aOMt~v+4$*c!dT>$w6}V)s2@w z|9nPfj21&wdDXR|5bFL~i%pa&;;(2Q9;bxX;i%l!>)NmnCG9!vk@IUi)ZO?qi19X@ zjl52c3>iGbuJrsOT9sUPNVcW5w6WszzF%;3F%e-E|R zpp()1gEG|a??F+8`@3l6-n=L4Ev}giFB2AT0AtnuxAGVC#i0?v{s-&AjNj zbD3?Ud_@ZvtLo)9W!tY(B)Iu?UT(eX0sg1LiLi0Sw_#ar-YoQwPZ8okV!Ba zoFzj@|11CcX2xU2YjFPRO3V@VJ@Ru!;s0ad4O1lypZgqS zVTy1@&R+}Sqy9_uUl6g+6$}1vK1ZYy`Tw92ME*-Z`ah!of{=c$sQ5oyFS+YiCAR{-1HsT-xzjZ5Bk=F{!ZOt<%LK92z+fD(aV zK7-M3c3u+i2vGhXQE+HP`!D293h?iHX5z@@USoNON-N8A zmZe^tGi(@+AE77e(qD)TODf&TAL(+VbUqcnR}>*t{yH1V5#SwZ%2uLp^9#Q`a#a5* zpc@8$zP@H)^|UbGXg&)t`P>!9p9gJ6ko+PadSnRO{1kn~c$u<)$|Yodb_coKWKW)N z+ZOkkeE0_yb01_zX*?V(O9t1g7!~MCCz~(?|OQR3EM*%eF`Bs+B1_mA9}-#IUf)9R&qlaWSgvO*{$`GWO|<_{P$dx zO85`zctRR4$dab_)`ke6$yXe z$x0HYyvl39dh7_J#N zvcq5{uA8)>OJh`iC1?2fgQ_g7Nw2ILbNLs!PXJLFtw>}RsJ$uU*vR1Nw|ku``dJ~PJJQ&x zDFF?j2iXx@Mec12Vf^!3BeDoF%{qCBNwUL(UpF;LY%Mwqi7 z2DOyBd;!{HJHZcoRr(sa3$R~RmVX2BYq${lWRgx4w4`OEU>|T&=_&kg%ZrfO5e^37o#>i64 zpZ=v8Q%jq)Zs0q(+B_yU*R<($^K#L)+37;v)7~qki3i_Lb-L*RzMaGP{{#zp zwnEgT_W`O+EI%S6;J*$tV=*(L@BaB)`FqTI+*f5>)dgYk z3zXj@i(kg>Lv=#%b9n9-zRcvS^rU)}Z<_)1^8F*(!4mRcJ10I+J#vDlTHyBAj>&Td;-54mRW01 zh!0oZU}HSJLOFxq-;_G;jjOC@J^O>uj2|dlf$~~Iy$(^Y2ulb2R9}`nrVNjG_9>`_ zU7c2Xa6{9YZOmJ@ttYMJqdlECj}Qk&)-gG_z>41Xy^^0UtIA8z5pdW(i5}Y#%<$36 zQRx9e?qyZhyyMHzhxOLZHG?Re>I!UW`2B;oenD0$nUWq`BTthc=v>@`@>s`f#B)FX zmy(0u2K}99k=@^`5VgbU{odYcrYdPxGBt9p>`6A&9%Cy0A!JwrFyexHay$HGJbz!+ zFut%|r=sht{UhQT5~F!&2bu-W`63mi=Y%Id&STE~-s=-oI6?>385WXn+aiUZ=9OC= z_!v#a$oJDkPawulSWdEvO(vT?k-`)5DtKoQU%63%%(X%^em-GlZo|nV^@5!jf-6lK zWp@29-Nf*cb%i=)9^ToN=pJbtyXdrKI!c|re7sqm7ZNiMzEK&Hf6-6wQG_fc#V(Z= zO>eG)0*9sYB3-(=-iZY&rE^2S`FdB9U$}@RDYdE#AO1)9@%x{;h6_rKZ?3`V7c~y9 zaQy7xj$-V$0W=1NKBmUXUJ}&c*G=pO zpMw1%G#R}Fu3031uEx!WY4eEAEPgo`H!%g0PHI1(Mu(jUtIZ1L0VS0o4924^y#`Yv z6RndM*SHiHK<xP2krjvF`Fz5_ZMWpg@nOHkg$XolCS6i77Hke1fN+rKNoiUgl!rU^FB?T+PM_m z3%Rr*l`aFGJ!R-i-np8OG~0bQGNUEK8*!vJEr3yh9AJl*_z_l+dTMzuJ(F#rIIMdR z92d#aqcW_@fF;^5A%;9~JWb%Wu*Z@8DLHjOJD_qQpy=^A1e@4M|0pTG-gYRA%R2B+ z^Ps+TkC4g6dA4W&QAC$Va3G;xA?9VYE4Bt~tM!2zs-brc5z&#s=C;9(xwbnP9Q}Ry zjV!D+I%S3URqocC(#Z;L-iRi8JB24 z8EviMe_|!7Cn`z>S6qHXAU=z27;g6+bu5|KQdF%GF<|nMjLd5|!cltn_F?u=o>RVk zTwqZ0zNm0Ie4gM&E%7y$&*WI(d0- z-dSvdh4>Dn1Ho`HG?An)7+pd;ar==NkmKruEmbY$F#s}$UG|y>@4u-X&KXq%1CGu2 zyhsgaCyAlgEChG_RMko#J#fpi6c-){*SWv8b8hX%WADqEAY}!7#wA6rixF|wA5qD- zz^;=_Z=MwPrC&s!fjUc{w??5yd>CZ(%k3gp{|+NwLPDkq-%WRCoywH#fxt#1kTyA) zjgjISB6^LkzZlX3->Z8d*UMklK}i-2)ce+HHxA}mYWn?n4+hMC`GbX0$QAJDQ}k~X zWJ*`xESQO<{c$%-muE3_Qi%dcKOXV1aj81o1tNkPT$Ikm#au&ilprsaW$nG=5;MvA zAx{jibiKqN9{utVr=I2wGUq)talW9zh%=g2nlOV$6-Io6EY1F7$+&&QCaKBF=eJpUre$jAYVkwM717sG1}b!eTVN9M;d{6z?$N^z~G}p>0p6I zMQ)X9XRfx)L>GCP0N+sG$1QS=WDUCJv^oDK&UjS}$BMs_X`Gz37zrS;t|&#cW!{~} zo9XiWUANohm*Z!ca4$D-!r+RoQ8z_h0$m7msEKaCagtC!pn0~lW72%)j(J_=CW}7x z5Y^`iV+r9o9TDbRjWv$*?X(jD!N3-nP6AHw0~5v3LJfam|1#3-_vry&auM;T-|5<9 z>a#}r7BY2d9er{SY)TETB?5PKI8q0UgZDxzqHFY1yqxvo$>ROYa`Jnb1vkY8+MrCKNlwxPJ z);FWn3@(QPDQC_?fAjN9!|~eOxE)DSB1~?S@6+NFOzzatcC$3auc2IfM5sz{J^51! zRqfMLF9?d63)aMp{9KWF0KX}mh1W9p(zK(31F$wc{Wc>(y)lnRXb&F52VQx#)ctJm? zg}9+Sc>~AN`R8WOX+zbi|LN?$t@<@Sy%$~|P$fuB8U9qyk(9Aq5mXH{7O>!h#zTS} z?7rO{Z9qOhrz!jGkgmkx@Yxvl17QIv4!PZHH-FT=8D08=$|HYqMwZZ~HKJ|Z;P$s{ zjO>B_7X@vhW{nr?`tLB6-_)W?WZdjRTV;NveUG3_NWQ6ZpNO~c426Vdcn*!4D7PL> zW;~Ck!(C|bsZB(8RX3BIS8t0G$c4Hy znE5&NS~yQ^sWj_Fo((1`e+re(@GsLXD&@2L*qGL8t;HM!ppSFJmmEWVL^WY!AYZsY zN=3sQImxAYhdh*WA1=jG&E#alFQ;q~xSTyiP7p#_{tzFS%7epC>-+W|?4Jax0pb)pWjoYW(dNM%bTRQf_AQ z5wj@g{q3?92M$|FNR)BdXy3Ocs_FGN2pkpc(YJ2i6DX}|Jw&_k4&*e7tLV{qWt!;= zzV^+hO)Gy17#;%uDDeA}X=zs0EVH!|V-Y<$%y+pylr#l~eh@`uyM?gg+SmLr_&{b! zPKk9N#uhhDWV%cUIHmrg?V9G{=UE^abMALL#2t05zr#TlD>!Qex-S+PY zu6UdBGA!Rd(5bW>8H4}mau{`qCwaF#Q9E!or(rmb^9#RTobhDa;vC8tfMc_yL17`e zxc2Z<5gAnUhzla|I@w9!n6BRD7<}FBoT6l^j4(1bP^s-2_t_2pfHfp9!}d_TBgiWF z{QXR*gu3*>F+xUU#2*ebj-?+8r}A{x($C9&Uk(gvk8I0S7q&sM=uuRn39( zTzl0w(X(!R)8c9iR?R^E?KCp3$FUX}l6Lsq62lRXXp%*Y-vFm#N+;YI_KZEM z+g&P-P0O*{b8UT(%l@GohW%D#!s+b%nfx4GWF@YV17P=FvBxnSH{T=@^Qwy972$)K zyU`OV%Xpqft$|3paJo@3C!iH~E4VTLtE%-JpPfsa)vtm%#Hf%zWs|(=l)0Uj#P`F4 zH^TN=7EpJae7VofzRIw;^aIwxRZ4_abxq#s!LZ(Z_ICks8iB@$vI@WihOgi2 zXOBIG=IcQ+D;3nX$df#rj2IKzPk!2F4(9U;$Oyhha**$5#wMCp(BJ%_NUOIXI`ol{ z@ea*Mtbn=C?2bxZVKofed879S$)j?MDH7Ut=#cD@^gu6CA5%~*vyPM*Kp8Kl-kxix z%KdK7sMX)#%j(+#x=CiHgZJo-QPK539c-!7#HSeb>!vk(`oEayt7$KBX zN;UdE1}KW`6%xan2kFwgOyzGPM;y9}CtN}`4jwy>^U;=1`P$pG$8Ax5Wq*}}S7Dk~ zCmr$TQa2?tn%)3BDy>=0kBp}%Vgp#>28OW>(Q8A7;f0?^(?t7Jcz>0y7DblHpcR}z zjSBr)7JixBdi1w09s0y0&+I&xgC6To1LO>c=bR{PIk|+k9N||dlLqn2lq8`mME(h7Tom2L&d_ru0a`^P39V0EBb zfA!X~f)8xJau7pCQV9Cu!cMR3*bd2!PemT$kZH)(JSCfCWfvClX*cm{vfA09B(8xG zd5zZj<6jwFCGGgVvuAsQj@2Ci%J|@$KC5XH!2g#?jKzR0oOU<3WfqnS4zcjUe(ov8QU9Nr>RG;h3F-6 z7ts?_IBCdnOt2S?X80gELB zkI5Q2sEemYaK*`-a!+gz5C9)OnUjJF4s{OykCG)Xmj86C=__3TSC21|Sq)18MaEd8YzfOn#>hx76-w z=}j73R)B2-7XldBST89P4!A)h?2(Y9i};-9Cz*Hug~A|tyFS99z|ok=_c;e zM;|U`owV?(Siw9CYn~AMC1pxM&*}XCBr+@Hi$uS%yrMfHVD2Ip%)e(+uvj234@fXq zjfAUuWT=#Rui;Edp~NdZUE-8XcdEu@D0Prak6q=8{+spu4b;*szZ!!4OW6ht&_9uW z-p-3y^NUY1sE{Yo>k@-mnt!MdrVJlLuB~#)!5*?Hg7^vOQ|;@9rT9ID|Fo^Q*rM|L zMw6U?z4CVjd0+`r_#S!oWey0962X8$8HS!{42EQG#&VGy%tRDJ_TYMcc5$GCt$JkE zVL8k1uwe?UC=EtL@gfdIhGcP%@6tSV30|@aCGNAwNuU!ZL^f{BiKqptkg!5fR&MA@ z(mzqo_$x<>zz=BY*b~GkQfL_Bq#+`>pYJCGnh>KVCCkwB{JB0qkWvDiCtoY?JrtT} zKsB~~r+hV!oQ6aH%B94%X7Wp(i>)?a55|?B>PJ^JLs3*Tb|wBPY7yZ8y~^}T z9dKt7TW7i43Env<>)O!9)mk~fp1YiUe)g%iN`@IpancXq{>F~n;;neoCiG$TM>5tz zic~e`LiukRhUiZX@{L;-KN^&Pt6O^4scK#Mk?{&EA?3wbK0#bbC7V_&sS8ri(cDO+ zxVy<;9vs$+7g0Glb&*S2a##?kul*f0Jz!p;89n$m+ywGwUbRAwsq{$V z$hK>*k@#E1n?{)_^V!vWpB_}()d6G_QsTNu0R~o(M$L@Qy4e#-B^Cbw9=Dyq5|OXc zDI16`_hVZ)3H0BRsK_5L$2u6>8V8d-9kN<)EVic~)8d?DQ^V<1sJ|@EJY@9&6k@+T z$0;Bj#?(^nIhjH%EsTm;AE7gC4dvFvhqHJ4{-VkEyHf76 z`3ucKkuB2M?;HFab)+3yT+OI^PytL&qppwFOS6lU(}op18eG(%m2Y6=GaMjx7AI(! zFNVP8mF#C!&%7}~jc*vbxAIqCCKZOt5W3e$!K@mrAst;jF=XBlV(KV34>EgLQ|fuj z3cIqZWc^ml3>1N`#ri10IUJIH*h1tvGzN~kFcY|Wt&llaO+AR81~&Sjw{$~QA|9oG z`b{50ylky=INsfxR2XAdjC}J0Uo~xHrFpvGeyysE_Ja;4bL4p~0`_Ro*S5QP6Na>; zm2sw7C-P>I%-=t-K&-3hE+HyeyaDcC5QIaMDCms*Q!<#?aqmGw8PPrrpn$?_#Y{f7 z_=SRfp}=n%kNQg@TLuph$mx7;VRH}SYe6zvG96Lxfw(jgNDWYGb0`f2X z`g^C6S;cBvIfhOWnncguBI952n+Ij`3a?7Et#v(dzJFIPT z|BW+bhp0NTCNO+MN&x{zB=oGx)J2gwSx`YfdMA6q8X$Lr42`is(YlfZTp@YUiU9n~ z5c;CO9`wfMxT5z3hfv&=#|2e5;*#Ayro!yPIYA2^sE1<6YkM`bShRfS&Dzj@C z(PJPmvUgf9IHk)_(ue_TZ#==hBA3#6Br-?eA)lG72Wxq#^Mh?Fb2`dwt#OC)YK@iX zyPrV1ZS2!rff6CeT`6s#o=19y85cJG`~r8|TP+eF%MyW`ZLmMd-v4nDVss7#J0o#A zpfEbgiLz->d|+Chd%l;O@Sc2l4c=f5(^Lpb3lGt!b;;mf5Nn|imMQ%2R$K=93FisB z{+wdJzay?%K-w6m6#B^$ys$d(Mz4*yt9e(9}7x0y5)jb$5f!sz#_^&Zix*ehyRhXeF_GwrmzjU;l93y<=hhzw_ z$n`Dsj54ja%CD#+{>gX`!$;9SR5XQkDOHVAvl3}}EKgzhy6K#iJzHgKwuNoVpGnQj z=vX$#k$MRZ$3~vXq>@c~88zpdXClwUm0SK6RZpgwT^-v*C&R2|d5^&?c$g)-U4zd= zRw{GgR|D*a7t-HVk;5@0=BehCgL0@*9lB#k75SZlhDo)1imP$bv@_W%Ed`DH3GRIL z>q-1y1H)5?`hj6Kp%r}nYQIjqNXvmDBr%(f`6eKld$W);!l1znqQ9WAKa z3J8O$Hl&8xi5+6ybDPHqee}W0T8t&8W+I#O~NRV3G7Ruv~p=H8O!`W_F;@pBU zn|Fg&$|~Gb9We)&ZXEa_c`vLhs9UY<$pT3-5XU=jz!Qq|@klM>)(vWoX1LqM zw@#Uzfae3kxi7Xi=QoPPKu|#Bk0nw3#ggVBxF?S_k9%t;aKuUcq)sFp<#D@8NW-l&`UFG6jp@RP;{B{{VlX1Ot zFUPkP&FD-ulEUka4>N5lbQ}LBOLJMe#W;;*Zb%gw&vDF(b4k|%559dt5D%0={;pL%GW;FT*a=6%84xJ=El zKJyL4vx>Z-x+q8NI09$RL8M($gjH(Pz6LjNSFinR`Wf`)7P7u?h3glb4zg-%q>Iz9 zf?z*y$_KmGHC3cH&nCDanCC z+y03|jZp`nHOnRMmqg5jm05Yt_6X}ndWO91$txmeTF5~`;5wn9-ldTRN^$$kjlE_v z3MjMeUPIFbeMZ1t9poJ(f}f!tj1o2)XYMfNxeTOL{)QP!8XDP^QJeT6zEHvH87^H8 zbynIlZ7%5IxX3EMnRQg@9UrezzH0p*Pp2Iy*f^$mroacmE9ZI$U0ucMVXi1j@4wbe zYnFD~6@=QIfs+8Z%*dE&)wA{6M;?)Ux~0G*fT^AXd^CDtpxOqfb>oWgDfkSCW9Rt$ zlFq={=}`*-Pv$)06oyRjq|$%?8Q|A(f@H5%%i$dBHglB8~5ee(p^fvEM=g; zu1y@z+#0YP6a=!%kx+Hs4~57+j;b(LxJ5`glg-gM(b(9|64rEdY#URyf#(#VngB4Z z5Ia%Swjt6X_Tn2KX2nK{~qp%0~w|zNqc0~briccRLCUg5oyxGt#rhR z<4#pdTO>A%nNT>7bo3}8&h3OP`ZOV-PZblx#qJ*IYGQMqu}yk5XiHFE{}cpvWzf5W zK>C=T7j634=*i~k^BV{H*W>adLFN$N?(^vvA8Y%9n~be`=3}81gDv7zPPtCv6zP{! z@8_zD#Y36&q`mWmYw&&H&iajoN=^^i%jZpEByvEB6iKVpO{zM1BnQA-&+8me`Ds^c z@uH!!ou6AF>ej?zcr7~rj*$8j_h!+Y!)30&C>>Z4|3tEcb~3>+j{wFgfVdS05y>$3@(%+fwL zv}y4_n4XicE6{OFz|ZrhyDmJDu4?3zZ4`5!4@EhAmIzo~YI%mMtU)=OLisyW3}wyo zB1IRApH~d^?iMO!k&C*rI$PGF>tLv9d&#Ks>ZJ}+&!E^HM8dF_k2vSRkmRqXm)%yJ zC!I?^zj#7XxWAumZ?7M{X!xwH^?PZCs+@TaJz%Q@7bvKDD#l}`9! z4yXYPi29ZvJ3mR9@CuAdDA`m=vv5jv=BhTD_PSn*e8zv?<-~7lr*sv8{3{v6oGr6C zTaQ^_ct4hs{{6s&jC_f;4#S^>+|(L*Om2A?A<6f+XVQO%9GM$O)Q+2M*d-Q7dn59Xp~N+FTv4#g)EL? zK}XdXvV4Lei;|{w_ipBxv_z>M#s?K)=yO!Z( zVqH(w=1M}1nnEYLG5hG=gTLfq?G(usOMEDh1dd@-*W^0z+KP6Qi(+E@w+OGUvNLjD zh)^UWS-+9%q`}IfW8n3XlfIrnW~rECJ&uKIoi?d^qZAQW-In{ZQ*6Kn%mCMw zyJ(W^{Yk!+$;S1wtk97OWI1?}Yx2vOUID`M9*@t^*DmnG3PJ;T9TrFAk=|yzyKAvm z8gKFrq+}^fiIMZtl=wlHW_dk1OhAAVRr>0kck?0Un zrDIsiF?=oz_1OoDVn6N_TPBZzHwP6Zw!xm;N&8i?C6be^i5gj)mawsFv+fkU3*p(# z$|8+UT>)VT&x|2Oz*aYW?|Y6)G0ed)=6P%i2?Mj7IO~ykh~ux_X;@U_y*9O!ZFEWl zEQ$Tt*O!?Z#WvSK^)lXw_u5#^+AR(?Qvkyog{aLSVVG$azz$Q^Eb}S%li&YV_G?ldKwRKos3yh&j=GdGuam&~6I-chJ%V?j$_LSbwDbFM2V5H!3%nCjX!T&OY) z96bvTH0-SURJ2!zfLR#PDbR9MD;yf?MF-FnHt_PERJdC46TSRAzIWm8!wf4ib;Q1u zVGdm7XAGEpIp*PFc}yd)=Fl01Uh&Q-Z_}b&+)!=o=J8fZ6%PLs96smtLkD0*dBOPAQVlwgld8PRAaaB|EF^>S2sq{+dh#2dJjn^xR!?vgH7s;DlPfmz^sS>9gE@Vmm0 zMP1&dKjeyT#{;Z@A#;beUz}&EI%SI(@|Fp26ohlwsECgM$Q$vdpGa6tcPoTttYV#a zOJB24+I673yM2$Sxx5{mr0OnI(S(lIgFI%~M9lYzzD7=OJHw5e&`F@1*}<|9lvw#^ zqKmXtk(XHOv_EvQXcITDY$ClF)W!kxY8}f}TSW}jd0hy+0#Pw>UfC8fl3Qdup}il9 za`?+*0OmIv4ZnaQq}4GiR?RI*uKGOlsY7Rbw$L`z507y zljS2&|Bt=%fVZQl{`lMwkS-tyQXdcnDS4MJolvD0Dbh;_5Df{0&`TZ&QUygoX&O3$ z2-5sZZ&E}A>C&Ysz1K+g|NEZ3XLj%Id*S5)0c7^`$>zS@otZOdPMb4l&V=@L|CR4qN1ZW1=4*QQ)Ge70SKR&xu zlMObfdzbIf!^R=~RrE*w`)LE<%*_MUWdr_X7-LxBCMJ;{incfv`ETJ>rzk(=-fr5zU*U zh0F}NP1$N>^6))m)EqW4l7;Ipa&YrSb_G7RclEM?7jWe*wq&lCQ}4IOs_!rAb<|%Xp&Y%>(aeYN1Xdnta2XRD z7eyL$g}-ZL0^1;vqHIkyks{A*2-`U6>33Me?RgTc1Y68^d;Tv@83x>{X9wO^kF*x2ZMkzUYWX)dbKoD3YLs|vW&+QIf1)k)DkkKL%*e0tsHgBpgn`1%F3o?aEJ?RoWj)la{&>4bpzB>ou6D4wft@&@%I zzB-3jCzPMWSHGl7>-E<=zerO)*#Q4*%CePmf}>X(gS#5{K*Am&iI2>#Uou`2;M$}j z4NKG=69i+Tqa&h-bnZNRl;w6dUfwez%Gu#GC!5)&i5hF5j)+Vn#dKVhyb?;#?kYtm zZMlI->}Uk8EnqUjAZ- zLv?rMBr2*4Pm4erq!7d+V!TY(J;T`lMg$T^S#R=@R_fl-nKF2f=80V&sY27{{vbqc zewhos<;6caHF(}RQU@3qHw#gDGsyV)4&S1ApMW>QE-LiNNdE|Yd`Ai<@UHSsK)^(TB8aZ{SK@@x;U|E})C{X=Ehn}JMIgW% z=O=}1`M!9?QYKR1B=6TM5gXnw$^0A}PiiQ`%mCkS@U#|42HFgDPYCWzE01{sOlARh z2xcR;qAmZmykIWodiK@0j z%G~lIFLf;hvk4zwaoieBlA`=wh_X>W9}>0QIz-*$7+>3`?fZk?GxWZ3)PXA)f5jQ% zG8jvz!LwiOsl9lJw2vuRcN$Su;qRH=bLlNPQ#gDhq2PHx%7xo0MO7Yz(1?2=j;y zRgv3=*TJhlg=+~TA($MQXQR0Y!0iAr5)X*!1Ixb+H_ikd?ym}S*3^?6DE+ud|3ZIm zei6(t*#P}D4QgZ4o)A ze85nijveLw5;k%v`Zb1f9>5?y=ldVM*?G2uAxC{z_-naeO4XEKvTa6(CAl%L1e6$x zQ$UCr!d8e`+<-X}68xrG*1U4KWsn=?vo<0PIGbR!C9%O3%#KQv06&CNPKJ}%yvEAo z9IB^~06X*EF~5i_UuFAIpbNz4Va8jCI1D)QUpMeRWIG?RzJ>n&(bXtx0olEL#g5E1 zrd+Xky#qf09DM_9DZi+N;`~aKXG-cLl+e#nX*OZDW-`{y+Y$< z3UTeR5*hPCr+Be&1Uc+sBWPm-&`YG)V{Z&=zOP}(6(Y6T<^5W~f&UONMq@FNet{69 z1>Npd5`ZlG7R7MQ5_PY?b8MKFTmTz@1U4*>@vXIRBKO;Q#YSHC!~9mAG!i`uOK&ff zvx_f%nlr2wwHs@`L{?Q5v0l#p(G<4W3hXAnwgq^FTy|MWzZugi<$>}8fDOO?BoggG zW!oV!{$2h*dQ#g4J5O;LwV#@4D1Dc<;2jJ`7^ zh36<==5}I3zSwmD1+>y-dk{=7Pv)0Vah1PfO)A@s3b2+jzxQ$4cmo;q zUA<|rB*EUcE2M6W05T9Y4w@%jJR1#&FfozR08!KzSggjg?ssfF z!~jo?1W?pR=jIACG6w}!D5|`rQ;2ED+KVdtak~5sgu^St*Rz9W{^2;Z#$N$Z#8a>x zVok^4A`rkz*pD1d!*x?a?4s_kH8 z?|(t0Q|}1ZDJ=dr`h!UAl{P2FH`Fgjv=!Bw{lZ?ukMUh?TT@6a1IIM!&ptS1Me+&x$Xv!FA zf1xkz%ME)23l>o+O%uZO`qwyIIOLCb*}x1%rzp8xSA6^rb=lZqA*yBpG|o6;)W?%( zn$@^@HsiQ%z7pu20`#&?hQ*BGj@}!f-uLJ?vuue51Zqh0AhDy6v0kDUiRukI7M48b+pRbopN5~#A2@| zgLcTx^2Fk^HrcIYPbUROH*>?HBzWRHuW&53=JMR|Mw5)Z}&0|c2MsLy6Mf5$SgR&Tnk%LMFVLX8R7MO7*X$Y~?aZmfXaFu%zOf3&0b zMZoCd<~AEU=k1c^kcE|0Nle@{ty8ZerSmNVrX7gy=@jc3^}fY)X+<#9z%@mdyQItzJ-AjfOQ zIG7Efkln7vOq42LHcVp>PcKo*FFArn8xxJ9z%exF#x1hfsP`BOjG{qwC06AHJyY)+ zj>CiK?Zwmz<-WYcnw`IQ{@NJYx)b8!tTqBd=GJ${Ujsp}EYl#>mY3Suh|u933eDg3 z`tnUufxHe$aLEuhf9Gh-?khjJWkZN;s8Srdy1_{J6GQT~|^r4~5FpM2#*IaaSs!@jRy;UTD- zwE*&`&#s{~%#Va{_kzux^;UD%+m*JqLvKGkdWTL923UY9xE@n8*(i-e1Ggf({1$M` zk7jf%+YRxXFaCFx<{?6qfpIuvdI&lP=O-~{F@kG->ardZSBWo$`s~3DK&X|s(SZ;W zB7%OZ{+m^K80b3|CUXd5I-31K-$)_AHii&zHJ17AoM>k(n!zOLCTR;J(LVueJF6U*H z%`O7jP}Fr-PEqK}tLW`_P>7v>nB4*zS+BG%>+{y-;>)t@@a!6BdPPt|w6nQ`y~}?Q z0F4_#3DH|n?=t>sG!pw=m1?j>hP|ARyNtRFI{P}71=@iPqB^-J2Se+TN>Ra$t^DL^ zRV+>QT6x>fCfjn3b51EfAhd&}0x)CZt9VuZWdtw?F#e5<@=J-UavR`Ac=Z(35p96z zb=(Dbti0l}ZHxV)4#r8qeGt4%-f!ly=Q+bF6>L}IR2uX}$${RlJ5(T(uVr*N=E_y< zB$T)@Yrk?%c^G0liBTqGm5o-n+lg!pD_b)Q2Y?9|De8W%^?pjtdjT&8IWoIhN&PZ9d>su+Kwn(E z6ZPfJnFBz?d@5v{Ua^Q)Ya=#`Yj$j0>2jX$!(-?#QWePy)A?-yZ;HcS$=Rm7j@nl- z;+&yI*oF^Hx4Xh=`ha+V=9&N8u6eL1%K9ZGq|kY=icAnh1R1CN4)pFrtzK$IFSQkzT^=w==o)fm!qeV%r zXD;@n9lG%ci4-%;o}{fUsDlVDLVq@pf-w@Eo4O9Ct-oFRY$qk>g}b??RNP?W7z7nF zsmI=3iOER*>RsVE|3$_`j``81;Qab75ZF(`r~h^CfaY>e0G3|dUg4>bzHA<%kBw{; zh$#;zIDTmLtt|}lepiTt5QV1SI8BaNtOs3GDxH%S7ZBS77bse{`HDWZ3Lj*s{zJdZ zqinRXFg4%VUpH(3_ek3u_9KM#y4?KAyW)Sf{Xd>pj|+^+2I%WMqOs)WfoLNqwxuM4 zavU-(BY0ADl+OYV7Tk^DkT3yjEK5La*7%OPXJb%+OesjZP3AHi%Gtoio7`M(9O{E& zMeXLhKeYIgUpkniHD2tWVgss&~cDyL6!Ssb=65tf8 zaet70GXOIvL~_R^b<+AZP8{3%kgwySiDo4sTMLx>qKPWVwfTkAtn9dj6je1jo4>=W zOXvzQOC-;yT`CUmfC$B)jm}u^jZ37Gy|KIZ*;DFp7GdQq>_=DiO94yB}t4c7Z>`%J17={ z{#bnCFcDL}cSpIw;vHrWOD(3P6j?nwyG0Gwms$p(vZtXfqN>>o<8&P!gN-}6@tA(8 z_aAHaJO(>FT{e5R5_(N6+r~z@%?kedvumP@Vcnr{iZ8a3Fvt2e6$AV$hI*G9H*SvF zWZmW&k^t7PJHVmhj0&?yu7vzric+s4+1Qdx4&QHzvhCf{AnHbnvgM6U<->hY{;JMr zF9{T-`JSsu$Y5N^_<*vn@(M#5*?XO{Lx09&CmM7OyRcW71H;|fA)d@zykaL8+r#Em zmrcNaTR03q1wzuc;l!BNmG3J;R9ID{7l#s>w^C~g_{Ng(7i)-E=Z=hE;A~HYm{|N{ zI%5R9u;9qZk!*Gpi(CZAnV0u|M8df} zcpYODoX%xe3JY#EHM%&2`#eLlnyGt6HJ1bcAv>je>j@t1-S zv~0s;7kV1NTL&c5NInEB8TsSnX=hYN3frEX#idP6dmV}kEubmo{^-OSC$OWn$6 z9P=Y8cL72IUGY_-E3FY>MLQ*79L~FAGBUNxsK3HL+9jb>5UmtU`Zg!*M?j`ra5`C3 zE-|*`5M^qFy%b%(4=`NAUg&g~_lY}F4e9n%$NXLJ*AO7YWr|}w=2~0~COQ=yl1%BN zOBZM!?b4>GkZqpiBDg^iR@lL@At-W3P*I9VmY16Ebm~CRoQbvovpPMpE3Wul<`6E- zk7@KT^SH0=eY}2-(!^A?gCp6Q8K-87`x+fyf{Czunc>{$(loTzVV85Iy?R5v z%syOp9b0XGr00I=$r=q$>I0E!- zQEE$uYG>;+&vnHLFJZXnESK6=ADc=14i%ox&1W}J=&g+HVimdgI?HKZXD*D+I{^a` zjiHlMb0)F^ZKd#$*#m9pXjORex!W|~-fXGn3jX!CfO`@s?)vAR?_^8qnhYM-@A~WZ zfc|CdHG?)fe3Y@E8uJ4@B#L$Z9hdW4>s59iv_nn|Jm5dpC>uK*1Sc%a?e>+nFguD6 z2ovUNOIs9mYmlb`58azS%JO1a!ut?;S%2r$yCD!VQ&)6EMfT31k!#Ee!I;H9 zyHvP|!=$8vxoua)Z;*KGKyr-0o+4(z|hl_$x2PIgc2E z>$OSjY2dHBUK-jYt7eS)n4PhI3;rT#9bYy%(`- z$ppbRlpX5W09oM}aLg_V{A`jGdB0|c4m&`k3nG1*Gwer4<3>@iDo2~}=dnj{Y!BMA&oVM}j2=x64_bu z5T~_pHWYP&aGb$*M4865wodVTP?B{ykQM$g`&<9&oZGqbA51GA;>KQB5^f`VtzR=T z%GNm!F2hqS36OK;%M6vh@1N(##AHfKS_Xu3HYqt&xe4YRA4kd-Km; z^D>c%AW=e^hj@klNeBaG9nd7^hHycn`QcIwfecT!v5`oEtDWYLM|CX*dNF&*#5L#mM*_TKj)mftqc@UG@d~Fn5faf9nN0l({{fL;9+uGbJ|C|SVTX=L@Tz15QF$>b zeqUh5ypFJgiIg{>Gi%V*&1-HSejPfqS0n?37SF6(Dfpwv!!>_fmnTJyvhc*gTgy74 zR%9sU$JNP(OYfjGamzGM@KWqe3eQRBgnpavWV>2))Iu&&mcUs02Se?M5=^;pmE()U2oBB~qKCNl5H^e*q%VdSX^r}%-W5_o-FJ# zRwn0*SLI(el#c=Tj%AT@fW)#*mj(bKHbR_A>vs;u+ej1n4`rsdbjp$<05e6FXCcFm zw{{%Hop*{M8y#+etbDpO7x>}&2Vm&zot&^)d5j`uR=CNsiQ@|9WQ}MMT_C4bI3GPg zU>7Mfc2YeCh7tlxsKKzL0U?}e8y^&F&~7**rRe^_96_*wqV22s}i~0dy_;#*c4)9Ih|;h zJN3#8Gju_?0G@Ekwww#1VU*e({pM?kvxz9}(wt{>I1c@WfMpGF1*iElRdcwWqGT4r z4=~V4bQ?Hj5JgELXc6NsH(K@TKV$}`<8}JjXuf#mazjn!LT?bjZGkrk{|4a#4tuyB z;Lb&)@QQz=4696UUA67%#eRg0I)t0&xgF>IdW|2COO!Uqr8mQ`U+s*G$g@&hX~(jR zh!6Dg&R*fqP`*>pZ+6jg$Va&+DOa}FK&9ioM_V@FA%ceM>k;aEmFA>O`8wki&<0zm%0_tVagyio89T{yX z5L3&h08egb(5vJr)r<5nglZGuZESv%I@nkVFj%nWBo7tJrOgT&s_X`8bz)O(xptTj z;E(23+lBN`M)}_Y(=h7o7k?b93M1+-ccX&%gz#fvaU0-SH|XC*+MEb19;bJZi)F7p zkEJkQBBQ``9|H~Ooq zu*qb8hXxC~SDr9ia}LM+){wgnP#K*a^T*dZ*~{^$1Z-ux#A*dPj3Yg4C^^ulaTaoe zP#i4td7ap%#OE+2e{qBhCv08q=J)YHpk%hA0~@_bAtaY_?!}rFHEH0MhtiCtnHj}1 zxe>}lXgFhKK3F>s@wYNRyrcHN4dqQpt{ntM99TSN#wp6w*A=EiqPy(HwlQLpdqiua z=xvs{al$hRHPv=BB)Az|>#{d`%=V;9+7GMj7OlJoF(|YEjH9&SFKvIyuhWFXFe9o> zTxq!@rW9ow7&{^lUltJsHXE|PVo?X9sL<)~{~Yr_U{LHm@{k;(@A@cT6w%B1x~%N8 zfxVDXR*LPboT0LlkYFULD3q=+AH3{{>hFm97wG*byv$x$jKHrugg>Sfr>R6G^_)XE z2`g~Q8E>|zncp8cgvYDz*~uUhB%xu6?lWxs0nA?l7;JZAalx&FaN$_hbw12NNVuSE zZX@7ryj~JFHMBph8x8vbQusl^8@Fp+qCZUCXn8T{Ty~Xmb#sdY&#UZxXvf`{{r#03 zB3WZYx`H5Ie4Eg`#$Uaq-e%_k;VmbwWye|_;OuauDh!4jHm{NW>?(;$17lfi8*TH< zqRaFI7Y^^y z=DqvbfZaj`Ze*0Dvm_x}7rpV{mH#87Ri5k5-)m4N8_@RLDN5bSC3f+aWCK_!f7GCu zv{Bq(SNIKN<)YHEVN&91C}syEMung=Fmb&4QD6hz8iaS^%4AK>u6yCZ_jSuy*V}N& zqRpdxP!znCh0WDXv*%&I--(nBhkt-9bc*}vjFZEaZMCUQ!TF`2eVHP<=?KWln#6Bh zq6$rl{sMJ#5Y&C1QZV7Zzg*a`ep%Ve)Va3VjSb4TsBo(Og0Qym^lvI$nOpfX>}$i6 zL?ySQ?GX#>|(1q4jwHkh@brk!z){ z=&7MsX(2UV=H{0+tmZn!AA~3>MM61O7OxilX4VN|Bg~DWidQae{^=C81id|

(%c z+(Tz1ItUWQH_x8pnbj{7mFr?dEAhyaD)3x3&>yB1m$l#1!qu!O6_~DR(-dU_?6txHB-azuP z2N6#oAh)XY{|JI&*^j(@HeNa)rbTQH#yw7=-;cybG7nD7^^pvZ1IE(AXoFWz+^17) zy~2@vbS+(Bgwe8ifQ{Ie1&Y)4Pe=UZ2UJBRH3P2{F`X%k>@nJj{B!fc+PGMlpMAL4 z^}n=0O}9kCaOkj$+cGPk*yOcpD0TT{I!8LX9iGl}+!ayWJJY!pesD~4%IA-3lDeeA z=5Djy>jWSRRhxN5m66S#^r8F>1hAwCz?R|u_`+1p10$yBmtESBXGbKk!cw^quAEd# z$VxZtK)Bnfs=dMvu9pQxj$UQBlFwWa+8Iw|;Q>+B+yj7WGG&o}&uyEA=!p@)+v;Fr zQK;7?+vYAeHj#kMzKL+~9T^Wh&D%or-$1sa?wk9Bt*tHV*J*m1+csbS&W?6sV;p6z zNNcYPh$7VkseOMdtQH#9%6nTn`ZK?N0k0}zI!~8@6dfpvG6^t5Uo?h702pS|ys`~D zp7IK(WPqoZ(cT~imZ-bcd{7pkOIkF^GQePC$egOsFn>HvzR4u)?TrT8p2ufI*oOI4 zVXbdC@LtMTFNf2L&ihjt?I#w&Ld7c>=sRzoSa9W1s+omd-044U{%FXF&6hQTHYocs zUejZPdwJaQ2I2bj)?y(&G_gb7DQM$V`t2%U1;?RPGfWNIi3W(~m=j}ZFuOccGm=uW zZJX0qt*zT=OW7iWJdR)t}`t^Quhx@fUg63ahyu4M-CaMy^N0|_G z8|9lcUO8Df@UJ-VE5y3ozp;~muaGF5!ZH$EsX;GaFwmhVY=RoiAzUoB<^58T9KyR| zTlkfUXdRIO~LD4D>=a;P7x^ z!*HmhMzhAz7=bRn;)G7AeY5a7{bRLV#657zcTq=vZ6NfTFJ)aY zE6cPP6iC$A{4xsYH2BeGM=_~W_j^(|(1tUx){wu2#; zSBbDMN2EAmQ=t*S{M2=y#xr_*^fQ<94^!b5+z1JS*ccSAy!Ahp9;^=VMjOlrgEplV zU8XG)DGLIbQ3G@cMIEG4CL9*RrE?E$IrUPZshgrff(tm(Vp^v|d-awYj83QL-Ew#f z$#yXSvkQs9zqt8&8pdlI*a1%u%W&lTvOzfv({Mpl1Z&y22=0?IGeqr=4gG**FEO`P z;T9@Yfe>CMW$|NFEY;r32oUqTGj| zWz=in=z87aGB;s|24R6H{}oHwgK5!3tV!`NmRgaaIv5pGD|I7n?GBwf+32&JM5lqU zCo7M##x++rZ&Bbwin5-=VY_AhNm$He-UED=Hb^Jj6EeyvfH5oe9Nr*-0mV}a%g@DRo`H1IRAboFs1>F z^#pt4PN6NL_ZkVAw*cb;Mp6F@L@ho4W9QkMS9^u_)NZv3i&y@OL_k)dKPdX88FKaV zeu>!f>${?##ySio5vI~%%~>724-gPSFy&ClqHckX9i6sD!00RjSqG@+9JCZ3Fed~Ex)vfr9~UK({Uf&=kqT)F)5zg(#E9d$;hD1 zK@>YA2<3M?(SGZC?aa}3&?m76KG3aKs8Mq1EF~$L>q;*aJ<>7d$l~i29BO*A)Hj;-+u` z*uWQsRis+-S-|%sO~R%W)qyOQfBq=dUa_&g_0`&J0DJ1fTrlT~<4u5*c~Hy()Ksq8 zbZFG*uny~A#A1=__C}FJA6Mjv36kqg_{S zfrdSaYF$Px;}dX2&uUK===Ck7@n|pu!)_#%Av5UbqYceCn5RFS5;Qhf|qv^@P>KXBJo9mLn}&XCy9qX zblawA%ORx8l_|{pH*DK{`jJhVzfhNpwydb*@F4H0UK)?I+=5`gJMSaafKrcQU z_Hit#E}I>7C0)uP)S4b=27o7fA`O~lzo9~gkvL99*=TAsb?v~7$pJ_FETT~^NVE;Y z0~|toYBz^)fJ0ck!ij;?e=(=SXCbD=U|;BvUC%8bKJ4Eb6jflz@8m zM@}}!z~*Puud>~1m>&UOY)moCR5EL8T_(r;r1W-jZhkG&Gn=y}SCHtRz_ByzHcs|tBbu~{CiUUs-PElADI(xb1=N|;{WKy(o9^dv-Hcsh$>(m zz?hbPm9bIGZvM=8F=Hdw;!{FY!Cz~rXq-IC=&ni|lm=`r8=ypo2A&V)R^4}*gutAw zQ|U691#y5LQ}437BgWL(oKp=kVlH-dQy^%mJD0S%gS=tYi=C~Q;H$d5v8i-r<8`dc zVT)~R_C_o;Eu(z*SkbA!dRW*91N8JXRGa281$yBW6U#56e8*ySvfmM89p#8pBD>LH zQf9_3U~Lhw9Y&vx#>G~$Q{u){!Z&SQS$6j~J3n+4a16Zq8hROj6sOl5+@=DKN5gul z9}4q@;ux-?wwagX5=F^X$wu54%Se%;3MieT?q}Cj`^AZJBsp9_=$#qM{GUKz-)QpP zIm4MJb>a@U2fy(j!C&Pc>ZM}-vM?5%Q+qYCzur%Z&Z)h6l~U`$4z*VcQ0h|Hq4sK0 zd_ZS+TZ%%NW@90iVz1o`%=OlxuJyS2P~Oh;pG&JSIwy;pZz;XdF|WsF9Z`ozVtfCiky?2?xj@v{X~wSF zD+R!1*~8$t_G%rKXxI#~_DWfbLe~AOfxx{QjnzuMDMU5a1X2Df;_#Hn4*m*7Z-_b? zvM}J?7CqJ-3&(@m^C31rCMmA?42L>@2*oiqV>kEOqQiZpO{S>QsBR z%U(( z0fwVj_2mv^klFYTZTXH}>_Y?NgZ|>L716~`hle?OueMRtD@&?O0^-LULThVBXy=ek z|J%9#eE;l>j=KU3|0VjW`q>=w@o+$`)+=}t685;1#k?&AGmH-S;2RuUL~Ket{>fT+ z0We4#fK}OzpN*Yxq`&!>z%Vza!xbU{?CZA84sn*vIqIYzjlm2*ilUbD=!f1qe{(sv zV3dqK%QjT?wz$BIG=iZ~RK_uGfHBL#4|VRTv8aIInE#PicseRsnf!`rpco*(2AfI- zB_y$7f^eR{D*A;VKuWQKsO_+A*lB{n6d|-yW56MKIJbKH!Oq1U!bd5fqrbZ%ZS3X{ zewza80|r?;6b0A$fWIQ&X_$keWZwb8*&VV6;IC&WO7gJ7?j6|N*MUDsD9i@J6Td#_ zl}?88La%TU6>mHX`f*nCIs$(ob-k*aB@umKnuj|Nap0}Zfq>!YjgE!qkZku-)aS4# zdRwzaHfIV$RB+4#vmkX@t)z)V)?ehM9-yv;c+ZrRGiV}&R^Wc#gI);WXKfJLdx!9c zjtzTiH_yf&_hc2XSkhVTZ_jo9#ld(9%ug;hZ)gT!j`4ZCLS@KD7Yd9Mr(decsi=SS zQbmO%5obq1JMi`%>IJ<&sRQr4e0IG;{3tNerv6GkD`lS+qQa4@E^Q%vvpIz0ByFIOnb@9`4^A<&Vm|6w^DteL-vHo`FIkdS6pd@Sgkcgu=4!U<@5 zj>Ki7fmU;>7p_@V*oeDgV>wK4aIsr%J`4ts99oi}tk5@p7&c;x>FelfL6}Sm0sx773G9WvOb^0Y7QALG#ZY@`p zzuFdTd>eU4FgcL~N-LjVe-n>|+b*GRcBD=S&GQY?~Nverf&{vLBW!9OYpAi+()|N@}HSIsIo?_R3}fLj^1(oHNqj>59%}sYNhNcX@Puf*_K>F?~xRBVvGCQtd!kwmM|lo z+R3JP#X9U&D=yev(&b_C3fa5E)88ogt9UiyoEJ>?{#L_K@Rz+G52whEGF!C2KD2Y_ zUW~R43G?uaiW_o!ejiD{Y&c!^3f1@AbUaeO8pqRRW{9k|>(h*}4>Lu~vcieodxdl5 z)(qAD+#FGHvCXi$(OA)jBT7px`B0q6*e`~0d)QrDH05||{?!dL>#f}uo$bC(6GT2d zBOvUb(-y0oqn~}FFc0h#3;aVy9d_3vohLdDwe+kF1hnj3j%ngX1Dp=!$O5r8L{_tQ zW8Q-)0Pb9LsrT!Yx(m+F3m}K2iLWtnCdJU4aur@a6{*k}8ga(oC42A_1FC3bq_ki`IwIP5r~$`ek5nq%hH+ zs<3*YiICdu{j#szN+CqH!YK~MLUk}$Qs>{cPKQN{1Wl09c!o9zPXhBttoR&3*Ud5h z>NrRca5FZrBhc4(;CF|xhjL@19Lu!b0FMp8peSo=&gQStjKSiA(qDsO)7$A8MSDeC z@H2zLu>_@y@j}sSFGEgj7sd+R;M~c9-y~MZUQTTK$go!9gHXXj%gtcRI)8S;wpYx# zGj=@V-&jLJrlOZwk!BWfhPPFK$lfJ(#79K!1%!uzNSwz^rz4_{0>b^lA#o|Uuk=VK ziNtM`kAeh;P|6XdCUWZC3lcn)QbfXIIue>_5FSN=UAQ@Iob3?qMS)*)^Hi}4i>h89 z^t-L|cQ@V9si@%U#X+Cg*_wA(FXGHOxjFW$_yDfVo`1CS+XB7^>Wyb27o~iHpnR~^ zi@_&Hkl7{eFVTAB{ET4Chjy3(Y`{cQU;fX|?>l<`iJX5AY`9}Vv{v$OERI!4x-23j zaW$A@;VP`JD}|f5Ky#l%xH{I?H?eR59>KRj8xAi47VS509z5S%%|E$Q?7d}BTtU+? znuI`r;1V2yy9c)fcXwOd-F-s>!6CT2J1nk?y99R+5?I_}VZVLe=hm(J^ZRbq{deos z?9TLb_4MhQbGm2dboY{A-P^j~c5xem4nCaq0l3neI1{V^mKLto*Z3S)XBJNRX?Mz} zHOCGr{XPgGO7j!#@cmo)&y zYntJpZ*2r-3GM|hIL5+CmC&!@w(hD0?$UzkA+@wypin}k(#>D~jKyeW*>N!<`P^P7 z+R07~mOownoG59h1_P09mi<|w7P&5<2a-q;oj<5T_Zk8Oij$LZ^Ou{5e zy6?)|5)ld$EsMygNEfO2=srMrV`j`QmA-D9_OSdlA|HOU=HL0GQRS*lAG5|2^?BqD ziR9IendlU0CyMylg=X1>qj7@{JXJuj#ML&CBBNFfab#37w5fV`@{RWzdcv0t7WctW z?d{-rXN~<1gJ_39c! zY(ltlyno}{sf$A!=n*v$a>@ORzr>B)Z#w1k?S#JCjVP24w~1$E>HK^Xj24qrM2uid z?s7w(1^TDB)i{#=)xLd(Mp&s07D?tHB0<4hRA-MrpRsV*dfr7X z3|4lk^X_Xz3ePSs(x?UaR=ReUXc#;$Kl{Youz}ea;~mHI=Gz?>vhq1wz971>d!3!^ zo_r~a;3~2MS9wiC0@ti2e|CzKsT1{$wGW~;S&D!U3KU*E$2lYx+w5|t)Qt1hS-pAA zkgiDuSX1EPF0(Ml#PM|BPk3YIFT@n75n9myRA-r4Wws(M0}6Edw3K@%Mq4kbP{yjUBE@*_cH{D?HsIyNlmJ(!@JQ#Y z|MtApHQ7HLFCM%XQ!MIkV?*<$R$kyc(6ZbD(}YhMt-ru*aP9}C>)%ITGTb-bsQmtT zA}JWuirU#vjJ=N;$q{6AGc-QPe0~*(0d4je-Fz0d#P&9*3foOXt&i@1k83Yf%CLe$ zxsIHefVO;3x$0UocVcVqDy#WEPmw3=Q>P+M8AO7KhBp~P?`_-Zpz2iAV==-(Pm=y5 za65%e&oUSjL+NOn3n-Zv4ZW$cF59dD#ZF3)ay-o$h}k7%Ur;jCb!bEYV!x* z3F{OQCz%DwrU7gXf6Fr2;`WU9`A zBlcm9a)c_Rg!7*>%x3(j2p$C8GXQ&4G&S#^g<)-v3^KG$$ov_(B!v^@pe#vSljy=8 zgd38o!Xhfd6ti}*m~4@s7`?y0ZcQ>)B(@(Q&?>*7U+kiCDt0k54=q5Jr;^>fC$=ms zd+FKXl0{~3s{8vZ*^dmFhD_qK9g^xUJQK^E$7_CB$S z9pZaD#3xRMpi|~H2k=tSyin3{%j0!+h8G5nX=>LKZgEKg-gQzokk|Rz7rFSwj5FM5 z+BJ1xu21)YnBVFw6k-GdR*qDBexiB(tVUBW>1ua}?1+vud^V^Lcrp96?CkyBe9Gv2 z$@R^>b>tJz?DIb6k9YZ7pYfN!k~OO%k~hSs-!(YCWT2Al8iWvU^-6y} z&f&oTWoWXk3y}7aJvR|7r+wUZ4df^Uj6Zwgu{Cp6Z zLTp=|a@k*`Y6pH$vh=A77;G*}_99&O2%{gcBV0>1khdL7XUs+9FdJiI=ulDI|8nHl z#HZXwvI_HD+K;a4R|o#O7|vNK+2 z>*iguh&xWk5W)f`Gru-)Y!T+sdj<8{ifbMePhF^M{3#X~$1B!6eDsWEatIjkdBUGO zXXS+F5D|Wftc8BNgtcS*63LK|APWw2K=bkU%y|<%cy_J+@$Hbg<@WiN`aQysm*sY$ zMbzy8#nu%n4AqnE-Jk%k)jeuzQBB9VTR(b%i*WIo^sh&Jtr%0q4%sMX;#2N_dV7Z9 zGqt*uYU{5YFfbVA8{T6BY^kGaB^(R-8T@SP#FOtW=+Y3kUWw8X%He+B72a zeu*ilL5^vsl9VLNJWT{e&+N`5mZc(yh&MJ*0lteK9^6|AuAH~AbWG|2q7kNz)Tw<} z>mNd{bIq;8wpEwdU&BLF{5LXa^^ecjdelEy>I#^$5*c)ZF)7$mhytTq?H*^*>zw5q9$ z;{GhF+H4cj1)umc+QfO$kk6jG$VjASh*wCzft%-96DAieABkNu&9TAiclIbAd`l-O?BN41BK zja^|zO_?NB^4OEHz0#ce!>{KPm6tk3OmTO0Ez_(k+&>*Pcrrh4@EbYq&S@KV6h%2GP$7@woPeQ*csKW=MF zLXIWjBAy&(bP_P_j`{tbhm-EL)d-#B{J$)odGn?`0zg*G_rqQvhw3ZpIYDT@pxSN1 zq=h;wI~N2|w$s(u^Iyr{Wdi8M-#nY$ZO>b(UEM8a&H_KcQ^INX$tP)cE#phl-4JpZ z2K02kb@lZ((gcmiNsxNs_7gjjzI9RHB(YRW&|9P4Toird9j0`$KS!P9fz<1Ov+aR( zY461UgU!bqeDF>@DPpYmvl)RCPX?G&u;aUW(97m&jHjl1Gh?x#X3m+R?baDQRLeVj zj${&i_kz@p&uWd2VsXM1qsN&<_$r0`bULBwsgW{DzI$9QMG=4F>|SSR4K5?Af0K5F z`C4=*ePf74ctb9UZ2&(lyj66-K=f%&v?D5S%S)WZ$0IBkJYLq#d^aqP7-aN!S@*m8 z8V6Twj8S$SMbUhEG}>W*0)iTm^OZeAG22^O$Q6AY&3Fl~F}PqJDKCUfcJ=yCrK;(LHPG^P&kxa5g+z!5DDD_z{2*SVmGuEJBR+j)4@;WsQqUIJ*Yz#@+VYzbpl7-WX+k09RrwT$2@G7wZ>QT`LLMmikNLGZPa!QVvQY*Nyxf&YU;Xm zcT^e3^a0_MbgK2S%@kd4yQ{yyaB?aPvaus#BpY-w_u*m}PmBtd$}|$Z^)EtKyG}td zaCV~tqaPbqLkMEBKwsv4dHhyt&EexxD)YWNUc!6`?>s*gKCA+7?MJA2AeT0pW1p1|&rma)UUrA#P*% zHg4Qr+-2QQv}0*V< ziU%&G=jRQ)4U{r-_*9-PddGhbxZm}6>gtp!?9fbv5f}XWUdA(KVza|KJXHSqcpC2( z<>A^hLEn4~|I{WKfQ*)D>HO6w(?#f_&ospgq84mwjD1wT4{=rE^XJ#0 z14~^zp6q^4qk!X|pzNP&JxYGIcblXP9871TUJoyp{|ezBp`K+i=7W%iCcn1w@PTvO zjzu!xipralmER-eFNg}IUp}Q+sT0{oF4tWKYn<~iESdi<2>H5e3!W(V)=D~IC^}MV z@zhJHYbE>}6ZYiei0UHWK8Fw1Tf_EzyXVTwCo>Nq>w9q3^=Z+XIQ%n7Ox5cH;Xkzk zqB3G5U$jpKi+#HPqcM@XwRMa2(YjBUYjN)FdVYPBljpXzDFdw5;XcUR;bRzI55`)) zJLyC9`XmSkaVXCn3*&uzba|AT+wpF&ujG4GJtMOVl%GC>l$wMIIGD30F>j1DE*-6AaI~47?n@$^k}S)75(`V1;fQhr`X4+ zEHH0D53&=@Bz5zZBmJ9NI^8l722pWcp3<}ac~9n$^J3nMZD#B(u})KT z>?z4iOfbgfenr>2z@U5VpwGVItN%1jEiO$Z>Yi2F7U)4=Fzi*L((&W3oy!%{g9M;Xf zO`tJ}P9_nL$c|5zAeb(luf~C0|0X2FXhYf|?v3zbIp~|L**F?KbCvR9`IyfR z-j?5tm3u?8x^@!7yY;k>Ey;cf&Dt-wyPqPy4Hf+`JuG2O5nKK9%w5On-GbKf;V2L^ zQMbC9Sh(7ZpJgJ|M49oqzn`>A;@bd6q+02>$LVJ8~n}+K@xeUB1@k z4L?XHO?d6atMovh>brcX@v**jSmDu7!jTgq0qm!RRU4 zk|9eZUQXe5&1^>n#46a&^B52q0T$Fmy+uHf&c7Y(OSI7Zq`lw&qOCiNVXf2G0i~Ml zFlA(l(ZEw2V$?j~KA%^$8h$Gr!K>H1Aw6If>spL-gi5ba`ej7wqOvK)zGlxT2b-vo zTk79g0GPjM#p9a?vHZ~|e8)P`X-4+*jz4SIAU$O%Zh0)x$zUS<34id^T{Ef!hq0u# z%#GcNlTNGZU)59+q2LuINmqeQ&Cz4FdY$6=+x2C#DzeM$%ZWUD2A=TD*UkP5Y$i0EK5qHX`l0{z!CPlGAF@S#(Y8?XlYgnG0@^y~S)M+OL{yih ze5L%mV>1k$#JZ#WQ!epPW6&f>sh|EOZw|LKs3eiQK_IRWt4^EwDe8Jimd8e-+%h$7)tD2L9c1|C$E1Do*BdS%FQQj0lt-VvgY0Ie`Eb%) z4ql_#nGB`n!!P_a9eRtTUa9b%annVe7+?5wT_YR9UA}pn!;EK3#4IMP`DuxyH6Cg>I=YfLhFN#>7!82Pu0@Dq&eV686xs<>>r)J5ij0V=Uf)imoChOw!}E$oA>qeJHB zb9-Upt#<}IuNj8nBd?Pq4+~23T_jn|0+Y`z@~Q>pH;AU2R(uBXMC|YVWXODmKH8su zQp4L@I7ei#l5yfewzeu$-aA;DH@9~2`Ok_#6gN;IVY%hTbd&;X4zNg?N3{xh^I>#BEs4?y)*^2?FBF- zEG!B<#B48vfh|@v&)2g%%?D8sxLhNDyyIb?{`Fc-1+ah^F#%N|Yl8a}IuQA=2(9WLcQ zIGY}DV#WovCk7UNKoOryb~hij1v@%TWj{`zqJ{Bx1#0y0EJ}eE7$O;JAzU<>08)Y@ zumLQW|0P#Y<#jX$!JM~xw+rWuZccGOS@bTx6|&WIFg%I_r$AwvXH?le(V#Su8a7(7 z%@OK1sh?pXgMZ3TS)0GBj{`cJ$()sT?<4oWbI1Jj!1UrM$*Xx?hc9JSOhRC8*C#VM zjK^8+16F+N<+b1?-&_)WW2L$yYBO*XjlNVZMjwZ1P&TkS+@{SVPlIl|NW*s-#RSkU zj3hY7Iuv~)>)E#3D)AflH@Ko*QBw;{baWcmJ_xP6xhvv2Q1Keh{;Bcc_-j!LRie+m|0584?o` zLqj_D<#%0tT|7&eEJ6es71Is1Df~kDzgh_{424wx1#qF$IKZ!Q%Gs}GVd1DRvdi$R z@4u}`IGM8l0`e6R{xi_1TlkmX%ajG;VmQ1wya_J1&4oByA^{|}^hiNX?A9@=%^z>@hJ__jlJLhuB zKGo;W7lYcRr#sFqzb@Q&63;F3JqrkET}PSyYbGpqI{sbQn@-@suQwN8Mb~{r5;c2p z()1RM3q`XU@3bDuUbXn*+RN=D{fssOZcV$MUb`5V33Zs4k4oZV^o=&~tC|@)160e6 z;YgKt;cB+S6|WV$3UTdkZ^6l*wA7mX@T-DXu?&{) zm@mYty=ViK2ITSmp-7D4wiBe*N^rTtFG`HlLg$XsThyyIzx{Sn)o|cv?-5Ph&irYs zhT7r)AC>O?vFlpZnE%FACc(BjS_eq2#o8MUb@*!LxN`t&!zWH8%o%+0Csu38sLQ;T zlKP&I$=oBpzjs46)&q0qA60cUtslGIj=hM97M!r89IhVuo zU4K~gvODH^!NkI;HyM?N2PU@?-)k@33A(V?=6=xJ%$pe07Tw}&-#+5S0R zqTKn&MT^U4;9^GtDeidL{5-!9>AX*Z+p7yx2o=&Rf#d}>8TlQHexH7*!I5LMwf-#v`gDe?3=UZPj)1d=nW@y@+1Nlhxz`<=42fh zWWsI(eTeFhb?b#vj062wx1bDPIhgT0nBXSPn|F%jILvNKOG2bhbO1qUkyO-YIY$U% zrOHAh-({`b#@7YT2X`NbWz%vohGc~g`r7;W}` zUUtvGSK(3EX8um7O!hwe2tx`bwJ*mAB^5^`f(9^sR+|UP&G8j(#5xO&ujz zmkqjYlnyTclg%M}BI2J^U3R9L1Wh#wXMSW)gmFExpD?dpNN2>AKJt6~KG?Gr-IRWB zE1Gk#7ymgSF#SJ(95bYPyop$vuU2!5&i+R&ZtI7!6!&jk8+aHEYE19ro=yG^2iEC$ zQ`9wmY?!A0*>Ov!DR%57S{-@yZ$Im){@{r$(}}}oVNtZ{a?o0R!c69|R|_8Gf4=jv z^pUKw_!|RF2XzuV$OZFo!0{0qpD6{kG6 zgd_BRxLr=m5GhS8F%INrNAcX%y4@{|8d*l_v@|W5+@s)Bi*KB$ekCv zu>9MvPzEpjxYa=mR!5f?l{2{#^OCOze=ytLGqsL*i#5o#qvI!47$2BrDye8GV2UEH z*_`gDE$-k#ybMbh6taHhT=#po#GM0~_5w@Cc&+9qjY33dg&t^P{@^#% zQT2=FIe<1xPP`oIj*!SI6Z{k~ceRRzmk}UIxNII#(smqoCfpqh;3q+Qr@D*dlPd7H zVIlqOT zVeR|f$Urx?@<=MU8vI`~qdZ37&cRC>U-oE!U zva=$2(I<&(ekiE|bhvC1vwKvbPV^XZas4#7G=*~k(78lrlvI?|tG7Qx$WIT7hQ&mP z<^D@@MN(W66p{B!MRZBtuX9ifB~>m?h%w;TYi-dm*=Vkz7p)d|l->V#<7*YLk_HhiD`i^9nRPk-vq&bO9V zS^J&pooZ96k@XBz8uHjnUNV6{*GhJdvFkcV={yb|&eW2xeMy?6<`=cjw<0;nX0PEJpa~$^*G{rMO{5_r)LH zsM#$4cXsX5@mO!`-J@2ah>}ueO{Ff*u3?ufL#ZYn&l&_x;t2Mw5=&9_OQOY<@VV0; zxG$sedk>Z=zVK~ap-vL%K2nPdirhgjN2jqgpsOJ7x5KHysVRs_@~M+Xix9J8kOLL{ zNAkx%olj95N_r97WzG~xfS@~UGY7dOtAyY>+vcjCpl4)E&m zI%KEsr0EyhA$zT|=?Sm)%p`PF^qCer!3m@o?M_wv^5zV}G`tb8D53Ooqj}~&9^yYr z9!zu|713LWTNvXMX4)wNt5UcLqC$v0uHWu0G%{R z1QMZA4a)Gq0AX&#%uvWZw1I8L+v&`IR1#r(s>T9W8HSJb`+sT_AvdaJGN zoR*$cUS2W!G9Av=^1}_f&VS%CpmW;i#o7o?Q8)`IB)Q!?c73Zy&$4%27F$m|oI}=T zjhv=?b9{zMx+MQ|8xR99-Oxio`Wi!KYOa=8g(F9TS2EznZ%sbVK)#)Jr+aQKwbH`3 zK`-q0#~VkT$DeJtR-F_c0qY+&7QZIr3PqJ>Kv}L$y%aPWQECU6XIv$vUJK8YRO#k0 zw0MoVPE%0De9;5Ly3c8Q*Q{yYmHTyikh&U{?6K=XzQvI#vJ=9ZmA<3UqR_h50;qmX zhZ2f18>|V=wZE{VId1VBr&iKP@Ny2>oBi1surW8=K5lT@8<)xt47&qHG>h41r0KRk z0ggV*;lI8N&kCKg%y(4)pyRpgw|q4%Iu5Wii)Uitu-`!Mu~9>c#=s@ypI_oxQb|+0 zSU)j{*@m10lO(oPzx1(IG(nY^lI!B<%nM?;X#cas;0Mq!>L1ms6lnahQN`D<#x7av zQZ(NK#j{QxZrywwUTj<#fF4Y>1-E{01L*EXFilYG=MDtjeoUNyTF7+vo6~mgCi+QK zRoS!SwO7Fb^GG@7dw%KYa2_k1V`qVi-)3$ulUP^JIXRc@Qv^(Acq88qXPFmvd{`X; zm1;kg#qbiFO6=RS^yBuqHw8@^)dQbG37EGmR(zA`DXY}#3qg?3l!f}~I5g>{Fd;rGXjKN1bgTBrB8p$=3XssJSB+no}{C;!}Rau1*JuVuw&oEw-)wsH-QsM~Ya$U_T?53Oo2>K~3RSvnEjs3G z?gu1HxBZoUV*WQLE>9DfabruqmvJLCOF;fD<3K1hWCB#gj_N=Y7=JSeOX#)E7ybI6pM z<(2G0|6n~9*zBL#v3I}MWVK{$_aH%`a~w5%zGmZD-bEl3^x)csY`QR7QCt&mIGNja zxMlAtm-%E|(%yaCTFW&FOn!c~UEz&ee0bTS7;mG57{dvPHqVz1pXV;NItv+S*>zn{ z49itxy;kwKrdqb~vH2RIOuf zuMPGJ)v(>Uh!1JdZQE5As^0am)*r4bD=IPeQ35DC0i4Q2B$PLmlec$ef!B(sqcCX^ zl1anCAqqQ@>;h1O=zEk*RPC)w$Xi(FCDiuTo<9BHbpGmb_b_UqR(e_8P4T@s|8X^d zYJ4jB%xTzegV{|F{rWb2{##ReQos0V4{l!xk6+DH^HV5bsjP*aJdS0}9TH-W5Pm&w z>J;kSl;d^2Cdbb*r;?IQM*@Nt)m|OW?bfO&kOv7~{0&%*%@qs(Fy6Kffa7)7um!{ZJVJqA)fYzo{mb+^S)2#P0n{5qHN=7+=C?h%^=8( ze)+uiibAT(|JfscX*(-DZuw{Ruu&^*$$3^dOBaU0{j$$y z+De;dcj0dzFTo!yu!=+VKzrt7rfGL@)iT5MBz+CVwqV=Ycr{<&31-WjT}ri|?G#XX zhmyr3E+tG~X9|&I9Rd^zRpBzL@)d^D{d>kBaO|d>{!-X^u$yVeq6Mz=KOu1z8Glv3 zHmVElSdYRwR^Ls@(6MNjXY5sv_&bX+rEjoR8IWjs-Dr1b9CGm$@jx1$su#^PCD02^7+8R@ym zd;1CK5m-VsS$~JrgB;}UbUPg6J~^a&A9JT#T&G?pvMj$Nu{B;{)0tE3R3sVoR=Z22 z`PiHnV-eRh9`jd?#a$jWJT%QXO3u7TzhaKan;^2trjsb5T>{5QZc+pdqpr%riLS$c z(lru9c!QMTV-ShK@$2Ft^P-mbP*h$hBR|8{lq1g%jBdMrcVwXjyP9ct=b8nm31XkLEXQG*B@0f@ zS~=ScT+bOw)3&LpiYH84GGdDtz;#_)lI$H4Z_P7qf|1Vuj+Xnsb>$`a8;QY)IUpy3 zukYOMP%1$8*~(;}^e4+&>#m$r$TM@$9*aR4!4|YR#mGMnRhZJS$dsX#8V0HxnzbN^bj`{)iXR7;sEfLM?mb|(NuS%wOM^Hoya+N z0|XnXcBzekFWt+pAGD0>o*W-_-+FJ(A!wHcafeFE`Ga|(I=7=Lc2B!rJ2hSNNIa(; zkd9dI;cM~8;7e-(Vb8$&4e{5|R4SZ_-2LK&ZU!HYkj;8g_<-n(A(w_U9-o z8FcDL{gbiVLB@0Kdi^B=v5MqlojEQ*K;Wg+UeqMggKX;=`OU*{+@R=Ic?uQRVs4-w zq^$Nzcf#`G)~wyM1`5|F~q;3w2xfv+B(NLVqS~ft@n>;2}R`4 zYA%P8Ef8c=o%5~9uQ|y&uS@esX`QmfL&46W4vE(Ai5=~PBSo*s2Q9GP)6+2@SyQ4X zploKyohOo5=khv?qkzglT=qtzB$s{F$4K`&j`m4zFWJ89?2$}lBpJ9@%0{39u{=ou z_EVhV*w!;vsysDEww(N0@OwJgcnLhcIe;IUHQ%3f>#O*m&^px~Hbs8Qc`%M&eADbO zW6FbB3OHs^!U8kz$4*G;(HHhzzADF?nj*ru{} z%?Nq>$1@au!skn##>3p%cx(|oEAb{bu7CN*NbjyR-iQtj)v33#ikWj*6b!iX;WBfWUNA6XQ=yXW$2|a zsV-J~r9?fk7HP{D4BD5ct1<>uNfU4T8In5rComwp8mwA9!*9b6!h{~WB5Yy0z`YOhB zX0ld=^s=hG!M-yHGBlPbohDICN~J#yn!OwP6m#q4v3erVt?rJzb%S{W+?-pvtwb|L z_SP~>l1MApircHhE~&ZsGX825l_k{nD_6llE$2=Ay@yQD@m0ois5?`&^~#Ur=!KX% z2gEQvFZX>p>UC9v=aY#{kpJdAVBvFBtyE6AbEgT$br#&t_h6S>trsl$Q7=hy`XJY+ z<|Evl)p)ri{~Cp5W$PO0?wvqlDVOjU32wJj(v2o4GZq0rd}HcVGtwQ|za3_>1QS>@ zATgjj#p@&xl3ZiF4R!7wJxn^CJpowwCx>$0mU=XXhR-hnZu{dn-bj*+TIbjl(yz+8 z!50JtF^!Ab&BFc-7@2>i6G-HoXxcdQ-3s}dk5G~W4D_0-K|?M6s~S-075A%!h%NZa z;h+_7O=Gc-@r-#!+9|QfbNL6(EOB7RK0N4qkp`-roGW0vApBYWKWzLHY@+ zB$cTANFzx5$VaE?B+MPC?0RN^VJeZWwbIS&>PkEH&Ht47Q18ye&(L2)CV;wW-$$8W zv2mw#hW?dFfi(yi)L5@ye9LZa9KX4&Ca059Uh|w*4E;F$+*o{t-6VDieh_OhXkKge zNf9G*-x*FVP!yWjr*9~^Q$_F2iB)5< z{t47Yu(Zf!>9|3RTH6MA2!sQzni_PxZDK&F$7~4GuRK0Cd0ao9m$n6(kfw8Ze9mfn z6E8G;$QmFNP23RYs+gsLqTE2c3zxnccTMGKxG8-^f0z=IO9Moef8ZK9qydiYX)OUz z#P)_&X3yb21-T))0h>vX!@e{0ow*DE&8s~0R_=j$2HvA0F4k2KB(FSeBs10vMm05i z0#4QRUQZkz!{iN~iuASP5e-zJLPkcB)d^~*dY%osHP+aZ+e2`_?7x68q zIc_4@uOTQNlFKEswDf_VF367?_QW)&(lCq6ANzO*uLqUO4~(;i&sI@;rCs1_-*AK@d(~ zA&<|iendCM&W$#iu?q6@VveEOKYwJt@mj4jDP)aBeK8HkrvAd7MxT8`{|equ!1AUV zj%#_a^q@ZJwa(IUThpDz%qj!@liW?>oM}OBRxzDoY;v2>CQCQJYo4?8c8!XW)igC=-A$L$0%xJj1kR(c z|EekSSQZ*s{?&55(7b33NjpOhCB-bGX`dL)3;AvmZ*+*vZC{Py@FV)sT&|%6C?k9+ zufjP8d4YC0Q2eS>hE-7HkpuWRmp=G61PQ|bp1T0IqhEth`Jr3~*n$BuS&6NO?v>Pck_Dt$=X|Ud|Qfae|u&RpiOnZy1(&tW|JRV)xdJt zh3upluiT`Vmr?a?xt+=xd`3MiGsSG^F)wJIoW96EFe-pUDB`1QvT|@=lImwjUfW9! z4#n!=?ltMwKS9j$sr=-A0ALBF zd1Zo&>FI?8M7w$#GR-%2{phT-^BAzl##=dPqzGx9yPH{2Kv;jJ84Skzl55Er+ukGX z?K&R;9(yn~D#^}-Q@=v=Z^G_=c*etH&>`xDKPPL`qoOR={u`o-*HK(SvrxFgIy*ho zp>=&oRTz1(hV~P~R?i{$<0=i18nA2E#>SlIqH#IY5qS%?6jWi}G&bjbxOLo`$*TuG zwH*)k9({FGkvPKmwafSvWBk@R#VAk2!ZJq34Yj#@lvDN9sxRllb5q-x4ScvPST|3q zBK}*Uao0dZS!LCLy-mm<=_L;BH{&qs!-u`QOLFet9{gxrXfO@q}+x^EQY()sy zWIXaJHkXf!fx%uiB5y)>j86OOXH<9&_z;sGJO*smFL~nOlHW|!5hXeCk$wj*OR2rb zRa3w%il*r;=5WA=?^GaRMVSH+EpYV?ieZN*K^uWj-F){O-sge$oA>@&FJ~eE_R{Gk znDf8d=bIRFeXR?DeYj3)d&{ft^gSkt#Dx0)eRHF42wM;|0n?j*`a#z33C~&+M6cn& z+;F>Vl^z9Hi>|CxB$onS@iODC5>bflKKoYJADUq~^|S=L)C|6~_=%F&2m(<`$5%p@#D^V!sN z5dFo}^n=pU&XSac;Q9Q?W4IQyJxOeWPTh$kQ??D;f`0j%H%9OGNz=;{{g_mn2~&Rp zfw!J{QwMDWCuV3x(0%k>PdfU_SV1$>+Y$n`UBX~nS|N99$9Es2LI>fy{hzv`W{kq5 zmhw-YYEQnBl_7ZQ>atYHy{&|~o(Z(*z+l549K{u_s{E>!0p1>a0XBC_z9scwxZA4^ zeVM`w=c1{G(~T2ZO13g%?l+?`bk$Tp$topDC$z)W_n&x^oji=jVmAM^pF(&?{c&YJ z`A4KH4b&4-96Dx~*;Zm$<3f(3!*|IzYkxr3JH6X6=7R;JN|axN_Hn14^wOP9Y0pvs z!;!j?n|ZX7b3QR~*PD`K6mSWU{6_-sSH3OPSIz}Ieh+CQ6X@-0p2aCJH63s9yf{&B zG=Vc_Vrm{8eotp%R9|<+>T?fHPQgO|XrRCBGWc<&#rbAY3xWzg>Kbj_8WEdpxvNi|)I-Lzb8avgHkdeL&#zVI5<>(${2dYs87 z+6|b2w(+v%csNdHj^v`hXxL0Rbc+5X+fcQtYH#tG)%o(oE&BRYFinDAN(Jojnf=$v zjQ6CW^#NaHEUhHXT5-<%QZRAOjjr2(QmBx!^qfuk=Edx?sDZh3zXLzBm0aFjDw~72 z{hm!E>G|U{k2aaQFk}0YkHuoe%}ZS=BajZ39Q>UVQqGUv795wfTbfm9szMFaf9(3{ ztU@$rV_I23<}c_ygy)~J`eWtRKVIXnrm<5cH)mRIY))2zzl^hDyvEQx6@EWqf38pv zyIW)yRp}0atofHJ1A9lYx;#0Ym7qFZE#V-VMV0Y|$Sneub_;lwXwD-@kl0+mz#Eqj zmUJPV-J@?1{YGbD``q0`QRAi=_nZvMDRp0BE=b(&o!KWN*!ZWbaEJQTSoq&z6g^oC z=czVY?(+80B%D$&(VWxDTyGq8@}`OPHN1jurXn*fBd%O3RPvL(G@8*|u=APpAXY?5 zIeu>RugAq9*G9sB(7c!rI3C>7ow_QQl_*BTnxSh+_IUImqy(s~Dh95vOFt5@0@BZE zXevFuU4M<5x^8#K6t%OwYSkH-_zRX;SB3goX z&pt+S!E{wIm>INYre=pC?X-HW%`;=Je*6f7zhN^Q=4Rz6br<`{=8lr`K>P|O{ zwc{!Z4_VhIZm8Cr#T~@I_E_j7R4yKYh7;fD#In_SCZjTiN+Cg=;lHY1#(H{tVs!X6 zEz2tGa~!5doaAEhc*#W#CK9yqF8jwfh!S?3v`;Q}Ax(!8!4WfURjo^5V0dzXkZG|r(c+a7Jb1g)**HYkCbJeVDYre5qyMyO z-&cz0CeC%_;peMdo7yqOU*>%kMgXQ0*^9 z6gmW(H$S^bPR|;Kr2xw}$My{%Ed|l&xRwLuyb={*Z6h5>6Z+%Rml`zD{n|t}{oEF2 z$fEgRcYQI2LVm$Q0oh)dE5d8h`WrDuzTdS;Bu8-l|Nkrvm3(Yl<4*-JtIMU0DmmY) z=90L^-77lUMU>MC_RiYGL~*a$ExgxdWvOb%4Lkd81cgJ1a}bi@>FVk zJc@V|5rO&bfTNtjVL-B{$N)5Be`3J-lhV-yGDjC^VArRyf$S#JH^ zrFj3ruY<$>Qy|atco4TS3V1<>ml&rN%S8?K8W0?{FxvdyDUgV?By7rO+DY9A|Q$cq)9J|Gywq-DFHz#iiq?gRZ0*91Pmn*iYQ1&iikiWAT6OIE%c6{ z1f`b{=_L?aAdnDve82O1?~gZc-h5`~-n-|_*|R%4pS^SLxx1|^L#GLfL?&^j>X9ks zg3EK*9RIr#=Gkp_*=j*fM&|(&m4WI>{%xqON!nDt<+hCCAs~)T5g>7hS2xRUZO}DB zJ{%2*D>~ZG%i-v$36Mh6o%0UpQX22Y zt0p(%-9rR|40Rj%ff_tMSwp?hI}3Ls+XO7|LKH%H*&xA-LJ5llXAV7O_X5Xy zBk$t78klD?VY0*-rbU%k^@Nk#iNW;?;I4c4NWmg)G}-`Y->Q5{rryUv#I{x0Lou8^ zUu4OWCXH?&4bS?`;O&#+xvi$PPf)>L%H8;2Nr|m)Pg0{yB-BtBg#Wo%xxLXM-YEZz}Sw9X@*VfWl^`Y1#@!kpAUWM@CMF>&g&OVV{ zBl2$8Hl`uK!`AiMB6zEGaJHpzUhC{vP3%O(-s}n)?sHI6zjf8ObXGmshVb5?qSc%s zHeQPRVDd_9JsHy%l5gaHToAq8yt3z_sF=o&JZ#)E&=;`vj@Ev8d2vxp`nbOuiKhhR zzei1t9onZ6=h^x&t^2>pwpj7!8CF#dMu)r`odsvV5u1idZfRw~bpiV^IRCintWby3 z0?=0AgM7^8wxv_A$@<@329!TM2W&{i-B%%MJ5NF`jw0N9uvG}NsmZtQ45vd`;!=py zO|P=)GV4zX&`J_Dz(Zq9?SX630tPh_2mU0;>5?cuFr4T!?acW9v+7$hSKy^NGmniF zU1IB=K@rs7D8E!~Z9H@%uZzb8d$Q*jXA!cz5(Vcu`JvM^SHCT5))y*)u@7^v83@V1 z``J|$gGNUYDigM7sUO{2|r#z0EJe8yqy z>ysT>QjZ{J`;wAOhk4KWrw;6Kn&A4u=+AEP@|D)UkLH7q1xp8`kRXpc7vNjm0ixSa zCM130$VwHhR}VbPT@{q(3|7Uz=oUR~9wO>ZkDtib)_)qj6mVD2Fkreb-OOIr-{rbl zXq>fgCd^tRJHNQJ$41-88XJZJ5V`O>AILAz74lmI@zIb@j2}c1%m91u^GMY4GfSTD zXrx?UinaSkt|hvlmuPuM`%3bbFdg|Vl$9ZwYpLg?)ZbNo@*kn5-G?qcHc3_!5r1L6 zG@Eww7gAN}lLH-<>6*G2yoJr__UEm3kJ5jNo|Ohn4LZ##yG z;TgT@zK8elfgCPcaq|PeRhj-VrWBX5dPM7`%?06`V9!Py0J#*PaKwX*^}+7PSX~>B zYN4d@GWwiKm~7R@d~2)ahB(w_#Vk<|GhmeN5k}%Hf0KVmRDbtnwehgfLP2fH6(2Xo z(Q`VAFu$Xbw&_}VzAu4)YnJf$rVNjQECGfsl_-?P5tU%xO=>_C{rSz_MRCY^hA1qJ zU5G1+_PpYc6>lIF;IF11p=9o9ds64WkY{R-Hd=_x>rg^{L)&^N7VVCW8HSWL`XRoU z=+`%WiU*;lU+I`?7fu9hElV}!wf2f0P#iKAF0MBXOdppI09;hPH4UpeMWOf&zdoI==Ep19H8n43=Q9=I(ilz?Zh|!;+05|I8e6qV^X?0-gq|R z)3)Fhm%{0YLhkSZ49;i|{I!k0T|)bs5Rj{n){he{q9MF1&rx8f&e>vORlG_glhrIc zIQe z%f45O=6oFu%4TYbwcF|zV6)$%^ZF}kBkC@FrgJa(P9=}H%z06JgJ1q?@%y|%F|9Q- zb6WmOs9K(Dr3BB=q>aS4lNWl+_nTw`ic2&Za5+Z}QhwOd-Y3LNq=q##=zUY<;aBxV z^)ih-_r8kaX&i6x@z?xfZ$b+~1%m}(-wW-i=hW-9Gkuo(HFFyL(1HFZ;i|$yxv>V; zobj^&h3Eqd2(=)b5N3a0=G|A$fe1M+S+A!g6TL~sNfoW#nw6S1saVjB2fn8 zQ6)t~=2kCSlrGdNm;++u$`N*dBjgf|@9|T@`LZmG z@FHGijLC~16qY6l$8VO(EL=W1E!{ilh6rcW$M{m`MH-d0VCh@5_?gw(4=6qsuxoh zqCK&TGlrP3ZCj${d|(;6WX=VE(*QIPccN>z<7O2Q+mPo{FA!Yb9*ju9!Il?KQ$^!9 z^prL2@0Q?s)jwVYh4~6cKK1tKuN}VuYtfoBfZMM=XE&lN#9EmE-wxX$E;#~3JJKus zz)K~F=tJOS?-Svll91Z#^iK}QO+G*W{BUsIg9%w7yea9qQmPj(<~c8}V=N-=Z|SOU99@Os%AGJxA@~w$KYK!>?vai5>81c`I~X%I zprTTM^hUAoo1@FeODdd=(&~20)Q!XF5ifun(0D2d5UnA_7P|V(e5<5%Yi(4iAR5!w z-!@6REK%oN@TS%gS}^|R;O%yjEdzqNHeQkTz{N0~qqfLsNb2O`T8v1#*wwRZ*L^e@ zN40t1^D(lnp^8&~&vo=VB;DY(oLqbKmu`OI(am8dH~NrsJff~)bY<(MUSLXLSYTS9 z`O+Z*b(GQ0*8I>8Y6sm{_{#m_q2o1Zlmpi8lX1m_>%*$HWTlH1)xgKr5yovgpd1`a z+Ynf*ZU`J7HZ-E(ZmD2nUs`;eD{I(L#b)>vIT&T6I^oj8S|&BB_`SR#p{Yn+yB4cE zk#4?9qquR{#jjYm|3J|`DZI5bH!eo%IlpPvV-HbWz^>AyY6dF~N#43e)FzID1K%E9 zZb1IgjSW}dJSy<5Fr@{n=EdfHZ!ge;){|v6(0l-q14~0npbm7-w#0(Bk$uoT-E6eM z4%xJ|tZ(zN_H}HLOnC|9aY2349iHnfFgFiPPpz4731ONijQk@R?zcq=UyI(+##vl^ zfZCWf4wtx{z8y_tk`g1ocRl;BVT{s95<`T|t(T~djfRiuRx5niDCIdGXzLP% zZovHg)5{x*8mPRl@Gj6@(=z|5!rUfs6_#EHmJs1^Crd$w(#Th z$IDOthDJR`1#~jnr@vMtd>owxUpE_}=_v#Q(#*_=!MyB=;xFeS%v**XDgn}}r-*ky z?_N}M&y;2;NsUBJ2@k!m@m0`ubwXpfZOxt_OX8x$oqDcLO&s)FVcO|6^)W(51~SKQn(WDjs(I+zyq%@Htbkn6Yuv0|3(%Vfp%`kRtuU z4lp9arM*r z{&qi&!^D$ohf~)c5pJBn26N~6xiqr}vu@GhhFoPKwSt*o)y>`8Gfo6rlH=#y!H|oT z%b}S7#nlJasj%%ooS|l6`h6lk>)9lgr41WeBedTm`06-vL72!44~{|uH3nNoR$=4v zL>YLn@8E232%b@$NE6@*+AT&C$+K+GauT24L1gO&4pePpWJ(0e1i0i>APZ!sQrF$P zHXj>u?|-KiI?qQ;9bS1wcGI0zYgj9##30=1NrJP~kp}QkK#hCKWChNkoaf-dLLO+vy zWXs(%Jrs4D*um&<(wZ*eI*FAc&Llpsah0}M?tZpbV&{$DaGS?_QX!W@Vml5bnD=~J zZp3{Qz`3*T3VmDs;WWcsWkhh7w1Q58vE5G3cLuu+jr%kS-3GDO7 zdmz;@?4r1ZSYo$%q6*(4H_N^B<%!82&|t;=uSe80suSWum5AX8qc(zle)xTs&(`-? z-2U7fE2loWf6_jc&dqX>M$;jgW~FoC-BpE;;fzps|1UU3qqGmb{o7n(3$xeTIsx4P z)6t|rA=a1mye)}4^q9vFQH@e+I)hc6)e_VamX0+dr7`{3WMIsxPQCL@hXga_#oI_< zi2>gV&I_?hnlCK5IK|Qm+f-Hh%tFV`8cnVly%AD*_KEYtW09%M`)aq{bfA~mx@o6! zdY-(aL|`Cs_lPHZQl+=eE&Um!;yGNC-ZU=g-RYHJ$dgNCFxjeO^Gcg65d6Ltk;q$J zS~{ReyiL$K@7$rDs!vv+*!Qzg;Kd6OLa!m9a5|44UoLux{DD&@riE@N)zv5nkIe^_ zRw}`y&Q&BDT5 zUaV=($Z@&K{IvN@d|v=a+o6qg9W7GhJ!yBG60}^LNSMs&tkQqpv}ClU9=1Mb$$r2u z!ZVIpl~n^5#h-I^=&gLajgqhwBk#k}^P?yYU??Y@mT?n}FGjMvrX-K+7s3(%f2q~< zoN@)9!1Mok$W;nVE|qBcJ4Ac;SH9HKU#$v@q7i8Sl^4c- zol%LOry2J04znL{od>q8Z=w?;oJ_wr-+Mc&tap+F(n zPm!T^{zc7IvE1B%U@&l;1iFTj_D8xSVDk4Z{`6hE>=23Cs|NmQ)?-_t8@) zbSmHFt+~tM&2^;m)1#N;A+I>^Ue0>|tJBr7rqZhJkN?*!U3X&VYnb#Pxv1&&dRCx6U}%iBf2~3yZ<6z+W9PTifa6K0w6NL zoZ){Af7oWh%1Ieq=sLRppCV*$)Z7oe*+3ic=SbEY$14yhh&d9%T7S7d!Sk8{fA867 zKa19R-A=n|PjB?8_EWIPaDvSGzC#96Dhf3W|2C$qm|YRn*L|sE8uL>WI`_q>es9!; z8ytN8FJcwQ`(U(j4!@Wv7607q3a`L5r4g$5tX*p0)N?f<@0Mlnilxs!PP5ra3v|lT z>Q}MgIEJON`V(zKX0b4CrC#X-jjv+SY=HQgorJ8sMP$>xjBzVv!PyYUAqFM&Qg;Ib zzbO00Z-${Ci{o;}|(Fc|x6(J9&`PH*Tp(vlDd~U4M5o52YNTp;^xf-qn~gDn z>8l3jhLowHgPx7`$J;qQNU4Via)oRahi!|C=s~>Fj&|TP8rcZ8extt^AR@16fUNiA zenPbJi{CWYgh60z?ixbRz>xgnqH%M@XORq5i5J11(Bm9GVN-v~7oI>r6kpsInE_u) zRyWPW*z`bZ66f=n)(9RrA{~r_Nak|l{eUJIMOz*uE=E%Jk!c_E*n?n8*onH(^gaM`Wcx=CMtp+l{zLAQ)}Qpww?Q)!mKV(cq-l^r6RD_y^MNj7_>D{ zm#rNaiMjLBK`HXornd0PDf4OOamf)VbsdxW()x9;nuEOavh}5Nt)Y;S=WkZB!0hiE zdYx6AU9GPl>31vsyi5c7`-JbJ9j}jd>g9FD-FNpqhd+-bY@TPmV4Yj1;<*(7#fZPV zHF#&vN!MSRGk@Xp@B?FyaE0-loBJnCFLTZvqXorI-3B-a4C|k_k_s*gb+dj=Kh&Oi zi$pC`1veK6Rd?#I_~0>V_iBZjGR*T+se}8TQ|rsSk3t;ai_UvO_-?gvr5@_JfXCRu z1*q6ufIEb!Fv4>LIs*M(SyJdZIrm>MikQQs(7eq~0Le%ln+d?3F)`qx!Yk-qc?APx z-6+ku7k}dqsK#mE>M+^O&=m3K%l0YDAhq{epA&PSdl&rD|1MZShhE$W$sUr#FZtJ9bd_r}Zo zm>x7Gkw>m9qEdZTGz5)m54z8iv$d{44d=WR@p{1@Ob-1${!T&h#`{V+vlr0_cSI`h zyp@29Cn$sZJZ4wtbjLk-eZvcmxHNP=a;eVzfB!gWJAX8AW}A9=ck$#!0|>vSK;R}Z zL8H{On%Wj3uC&uT&{k4UQoW+`5Z~IS>G67@RFySpuzAy;ttkexxh(6s;PLl=p;ES( zXRn@q=jHDIfk+FrfTx0thVlPxI{YhZ6?Gx2GO_)ry^*0eS`70DkixnE>PCNnvua!q z?VXKjWb+eSdHOTwv#QT&<}YS*@M)&2N&R`Kv*hV)TC_5@K=4@M0`U!p>1sA-Wd@rMq5?>$qPo&^;VUbmj6E^#A4(ZUW?K-CP+}yMa)p+c z+p&#>iu!g-0(oUNIa<`~9qJe=xYH>1JtU6{dcJG?O6!szz&jJi{I+zP*LR?_DWJcsig1XMm_}jj8vXd||#M{#sa3jz|AL@lqqQkDR!?VbY9l^>a zE`!8iS&^j`aHKa|2tv)mLt&wF;S=#ntHDml9%@hClvw!*Z0njs&b&oDqobmtIy(!b z4oPeC9=XrnhfU}FQup$K+e^JLQP!(hnS@3Ym>YHr_zYeR);=HbeUg6dnImV zI*t($bh`{JEVNfmxW3XVXuT;(4sSH(`W%Q=@ZY*vN$_nYs&7Ycx9BHa!2D#j-D-lG zviuJHbiek^pl_-2y2sewmr{|97s?O2PE?`R%EL=m;nM>d2ki&B3Wk}eAZXj}&)&M9 zG_UMraP4ETrgxQ3yO#L`sP^Nz;aps#HYe52l)WmK5$mD9z&k_zk-Y^xvAw~U(1pVz zuS7Q-r*yx|^w;u&a+N#x1ZB4x>P8O~auop6eD~`g9hAIT5KDAQ6Xg&pF*b~Ac^GhE z`7S2-bs2?i^T}JuK7*8BN?uG*W73TWr^MTRq}ugI`9~u8ym7vCyYdi&$RdM;3hd1z#(6^M6_; z4H^gv(0^rds-;wr+aoaTfE$;Ri)>}C5?a~IuJCLu-bmpv5|g|+zqDM^H@ri|%fhYw zQL-6ITGkb~w^2=7KA2&w^rf7`ZRXOg@vCKgeeH|=rlB6clyyv(i0{mwcE=)Oswt=t zLA%J8^i>aIAXfDxUyj^rIfuTrE{DB@t6n|bS8zC6yFSZgwCei$)Ud^$M6M@-yfH)j zef&S~3F}9v^3ofb=*Zu=dFN(&DzkJH+m7&qU5Q*~`qbAC*eeyA=jMXCrMyD;m=9uF zZ(J$7=SguRi8?TN$@UTxhS2 z5AKi5enEfrrpXUDdt?k))C`o*%JEErQl_ra+^e(C6{AwXR!2zj4uZ{6wsGc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Gfu3jhEB006$30RR91 z003(R00000003^B0RR91004La%zbrq6iXBD3OD1kp8{)A`$ zzx?Glftz7uFz~^Y9RCf2kq5xziRWj}lAh21U+Vt5{Qtc*RbG|x#7cescU|@5&;J$w zf8*f!#Iw!+)r%^BUwTRF!KEkiB#p-tRr0AFg}Is94|L=fhx?S%4Y>tIH+7b z{~>j~rHuLzXWmR6c3?2R| z&lNtgrQ~~glF*};;(0825>Ikf>z$NTlzPc?)xPRW@iT@zS8c|Z&kCw&R~ROf=O3gs zPpPTaKFV`NQBoMRE`$?WF`6_zV=LFKG;-11YOiD(V=Rf7M zq9utuQSB=pDlRF^Rr#1wM$xF+RD4q$Q+O)66vxza#U<63!d&Hw+lo@vdlbThbJBm_;q3TQF_D5_yg%OQy_N7Q!aYNNrG^xu;Ck zXVtRmMYXR=DqT`?Q7tIhr4l|XucbWpbLm&;=GerTbFCybKb4+3K2B@Bg-b?Sz+l19_>!(Ht+?8So}}6Am?_~6gO4%6 zW<5|HyKqs;8!JfqF80axj}6qSFdfD`WZ&l$@H^Hbr+~BCw*MEP_L4u?*Ew?$HfU3Q zi!lp`j@ox)7y(A1D4dn~-JeP&A0xHF8U`B1tMB~bG%$ZXsXzJIqXnFs>HdkvGk9@! z3)pMAS4JJ=n58k_gJyQ}H+!mY1?rYA8)cue(<-XL30bnnZx*X@^Wiq4dbjCyZ+|PX z2G<4x$C2&b6hcQ2UnXnn1S|I!+g~ux4#-uAZQg`w^y1uGL5=08Q0oTNL=WQZT2IgF zji&D{4>^u&o~J{%*N~ms+McFoC`@0EoUqz!a9J>nq5j77ds}J!oG+BMdu-n*td3~a zxCVwDV;&m0KSp=fdREA3&8}?q*YX~Ra;t&Bu4fIY&e(aBf23jg3P${}D+CIyHhk(h zX?W-|!Q3U#ORTs`$(LNr;5RarQ^31o8UZ3uAFgOW0P7Z~j^oVqzOWP8a$aNp4T`dj z5iqm;Q;upKn<%v>u(rg7ygx&hAza4x1p9E~pL`gc0$9P2A~ar6i=^;R=1A2aoO#xE zHNGvn5deW05x^h#sWko!n7@n4TuYZ^D~A%s0s z4H`xzNTd57&X!NfN%Pw%O?CCnCdi76%oE%3Idb2w+QPm5TqXi)F2ZgyN9<1jlPycZkyVk|vYu^B1iFv8YTo0_<@PFNTV z1-cKN$3pgcOf&lSJ~wqvl-)WGW+AO%uG*70GjnvRL!XOI5-af&j2S!?jaOo>*;O;< zrT)DmS;=8Ek(g^6>lPRAOZXzc==pR;O{`=sH)GFY3pTa+SIOVZkvR@(aVo?-H{O8w zXkUxbj)Li=c8!nWKD86`T;UNlK@M7!*Ut}?xQuH28rUsKyS<$E_Bw(!-7i?Oc2m}K zbI_j-RQGog+PHh0^+toA!gj$HJ6)$xvb{f1;KhyBehp5@4V(8Eb7M=gGt}_mFBuK0 zX}07*zp;>IPnVyzj2b1FyPOByiam%~Y&ITSz;APBH5ydan7;+)ZCTfB&6YMLn>sG* zzD@Mf6I`n~sTg(5o{nnwU27H5;PvQs8G6WCX*>NH^n`k}9b_%k;4knkMbY=uXQOF$ zm0g1QA&ohFnmL@Ehh6-8W}16gc_tU;4nWX>(Y;1?zXoHJK+9;%1tOWoJWk0;jzU_Q z+~DH5s#0io2LlD0@O$&Rfp;FqyhDM2llMy&)SRvSFjsKwfQ%rRS|)&&x4 z0LL|DV<+m~r!Xy;9AItHs5L;}?Y&sf+YA~lp>ay=8^;S9hXw-JWxwe5m_VjH?E0g9TBhf%>nMQ33 zyA|^Y%>P}jVEW|T5mBIf0TBc`Zp=($_D9lGH)@NsWcM4^aTmNE?d;(|N9S@>}D>evfF7q#lc7r%G(^F-t*b8R5l@*1_*?+>PSB^1}{X@R=gQuJwNn*QW}a;;Rl?0&1ds<0+lqt+PRb_N0( zwd+eJIW}w5&Z3tz_%@+VRmwWcLHDhW)(97B5Op6eV{b_iM7Hysj3vo6YWQzPgRXhS z_oH^@B8?@wm|=v>D&SYYY#HGiELwD}Dca2i{It6tlxUEnm+pHDEMP9E&zGtKIVc?(I8Q*G4^+F26IJu@dKZB38_(QSwK(HJM39m%>fx z%*y2vJg+w z;u-~mF#=2VATOd(L24BIrP+d@!xpvHjZYqU#IaCIY&=lA&OMlu&UK_4CkoM{ZiX)h zJK;ko&|3SId6O&sZ_0i!yEFZveAY!>Td@TB#rSQgZ}J5q&=i_2Zt%@rxv5a1NV?V) zG<|~|mhY9xuj1Go!nJQ2^JzdWCJaquUMKqs$0FVL4Cb2AoeP_G=LYn-gp)Go`D5kQ znD>CL<$!~^`1lvy%;In&tU0A?hoRlJi0MAnYD{OU4;9R_{~Pn7jq}j_sY@dY)J<58 zi3R+&_Ef64Qe%DrG}VvBna2ECn&yrZTA7^ado_ae)@O>*$K~m$%Fj$zaouP_RQ$@L zf%$ktS&DI{EnU5u)M~D4e??$241|^KUz{3xkEf6Se6w6(O)&I)JS4Uu(GPlg(IJ}3 zb3v6}K^pvuu-?R%VFzKNQ`^OHd?b_wo#Se1OuHsoIG0z!@X1a(Si~`Q|&SYkAj4yO=WBQ$uT0>W4XnYwI-T zWq{y4u5GMp{DanSPbFOA(O;#uP(@y$@HdJkDnJ8Mj*p01cq#t3VP7V{hSwF>rfJmN zV66_R{^I$DRrk!TBa-$scbl+29W9N{q9#VzMB=V(hUVANn z4bIOWOo<%V9t@>JsXx-Pp3!`<9wX@#LaIkl*U{-?Iji$jG5!1KRuWyi8@incTgVWj zF4Y{>OU6)MBVoMldF3?WOr?_TJ&5=S8)|q|8vRZGXO&i^BRv3Pi&j;eWNa z7w~i3R?4(f6XphMiD5Bku*Up6movt&=9zji=hlfumW%FC5O$bZY`5yQp7OT5M-`Sw z@tvS+V;*Oxfy7Q<{z*UXC|%2@F&_hxLy*n>yAX}rIXR-Pxlywx6!42NTMcup1Zs`z zCpfYJ_-V|`Pd?$8s{6i=4`tESv3=cW$H?MTw)=Ifs9vq%fxxye@t^Ub?oL{q)Z4i> z&qym7vs#w^c5=Gho!dWiqXh5ZJAtl0X1%SNWfZ;jRr7- zeejCi{nn6uK!HIHdNpdYGMPtEx@N((bi1Z%TWwp{w-N|E#!t+$?Y2>qFI7LZQ(VDKA%Atd8r-DdM)GI>)d1h0WUX848 zym%K4bf*mCZhcYzz`&m5{pqO?_JjRF7`P^W{VO=@YCOvkYrQ7CEk4}lKjU|!9W*Q+|ZW3j`Ts)2@4 ze!)&Uxyai&U`?>K`g6FhaW4S|w-sQldq0&NejkJ_z*j0J$E<1g2h)nJsqO&<24n&j>Vk+H#dOQ7({N+6M zcS9>NV=gw<3-g^6VEmRY{VCsuXTr7OY`4PmgX~+33!qN3WkuV`mdiUJoD*#^yDyth zbDv+N1zDe2*h}F~4WlBv9wU^|H?}rBPJQ-yJJ)2`Z`~KF7|bidhEa~`w6{%NO-9+@ zRNVf@ilRkQ52%fV#OCzBODVggbq1ZAZcWtQp#o6b0n{#s&ZkkWqebL-5A>ZH`nbE` zCi0%3z%TDSBr|-suo=jp~5q3@w=4t zgaW&G-i)pq%B!JlCUOhCEXp zX=8??oB+)4gN2W*pK8-{2gj)&@>{F5+uIJh|A8$edp(ryc336a!fQRM@zUI_@c{_v z+NY8{w$f{X?t=*?VsBt(G2hYXOUax;?HgICv?|ueIQE;r*;jnWP~4iQr1AOOrif(S zH%2`H8rMTjx9zt&%Uv36NowBxGEi*;W>4>Z|m zX{BS#CA6sHiXC1WqbN}xHN+O@zGZk{#7Nq)!E>!GL+XujoYjqsEWLrrEvq_BUS!dn z#HTD?byMjb92c*W8%ERCmnh^_66ec@J*~9dw>a$hAZ!GD>WUhZXvgPwLU$ZZ_XWe9-%m>Hb*$7P34^;|!bObWft{#mzru8T`#Ni??z=IJGbXsUpwvb(XMCnRGY(qu zb?tcEi*Xi>pD%Ql3g3(6tWdh7wMT<9a=T^-a5CUY$rf}-6Z`3=w?^);9VOi>P-5ej(e!{FiI`6w^` zq;)NLCs~Y(lJ^^K+{^=IkJhyv^47BeQ93lZ&oT`goL=jMtEkH>U@({g{5+?30`Go)}AW><-8aHd(4?t^mN7*>VJQx zwN3l;eGuqz&dlL{GiiUr=;9SYiFDZ?Jsd_BaC!#SDWJg6Pdqb5fNNM~w0)<{q`pHG z_$=?PiQ8L*HY%Wp*14XO-}+R}BWEjGt##}mD@w1SJ6AFU(($1uMHR=hpu_}&VMH9u z8s<*FJMfA!aruv`yNZAaY#(k0agd2ICSDpr&%;D?j8Xv{ow+&QBVrk9Tq}= zhAD74=A}4IU~cXKb=uu`nY6>vQY+I7h+0C0cSqaM=-c_I*3kPFM+=Iu?WpLFEdQN5 z6u)sYXOGvrt+NU-j)Y+Wlc8&SUvHo{HGb2UG)JwCy76J4yBXxtF2P!g-~6YHf(Nuf z4>AKJ?6Nxz?n$TmD{VQ%v4e0e6-XQi^xHOAOCLu4q%QThSnasBaE-$T_E|tJR-LWn zlV62%Fk8XyGV)i*^32~talfd!0r(`YZ7s?@<8Su!nOiA)HC3BiQ=vsqRKzUX7`AmQ z?P#FD6Is`UsV$+yEkWIgXSc|Id@5)E*{iL@+64D-McW73od3)+s_{bgtz!e@2K?Gx z)Shv6IVCKt8h4psV^my;k*MYFWfb?3s*Q11WS$qOwS?GKKUq%o|5CLxvr7@K?E{IY zSbnA!=#dX&t;AZO3m~juE@KD$UPxEBou*ocw^<{!#CR#ywzHsc?qVycO|;t-{B@^w zSDV2QaAX~_h2OT(>l&(W^eCwX@@&X{CEr2)?j;k~0}n0n+EtLe5n0+5+vss2RU1|# zBB>*jT&$WlEWU$UzfrZ5X?1vvcB%k1jd`013#?+A=i)?od63VG%-clu>npAa$MBG& z3V-7O7cy`9Z=&(xQ$-igc`YTtECAwf1ZgY|zF{^jCA$xI^1m zHAW4cu)2nyNGh5u%&{BuHKdhb_i6b2ME+x`?2L+3Bj#}HhQ>TI)FB^dcD;O4=-7=1 z5q*nl19^@vQ|Q1O1@_W2ix3zQ#|{C8ZhZ4UldZ49QLb|sd>IBdbSgZao=v+-xvz9~ zkKccxTnom}EP8whE&jEgyl%}Drb>MNiwQI1a}oRDvQ^~w*vlnQA(+`^+xehTnWwF! zwtW=XrKz)FoISwQUxBOW+Z6?FrycfopoYCU7v{}koVNxsTO_1P1%EjbBgR6;`b&l! z(l=TY+Sb5Ih9U&$P~-}A_?}6?nrx#Us|j0gIAwhelt>`!6){y6;tT zrcjMz=mFH=Ge!RP0W|Ta*%f*bQ`Oe;H2R>n6l~YvDz%QUYKQW?T1+r8>y6zA+N?#g zqTe8kEn21L+>0qw_`qKS>2{V-s+KXDZ)c6$a#nHs4;MCHee`y|NuJZ0)u*BrMMrzx z!R|nwgwiwxU(N{b{PfbAq`CH<8DLC7 z?2z@qJ^EH7wKGrAkyZ>QOy&lBgo~G$pi%b%XHudlN@7ZPxp5raF*ET8V_VmmH1)TV zw+qb6dOUSt#+wT(&!l!Y)e6~NHee-hhMIN2_&ihY(3C^Toe#2(w-V^S&oRK}X(tZ} zJ!g|$UHMekB9;T1P#=WNEi{Mzj8nSW3tHEAU7?juD%xu@qVSb76EW zNp@%Abfv8lx_WaSlZ-H)qZ=0-9ce=pW#83UTarUtZUG5w1DY)YulgmqsB}%!h2vvt z1>E)oyN0<~EG**%%)k>2LM2^9SaS;^@dRtk42aHt4PEmDyR-#en@O;r;|YfDN#og= zVMa^P{h#3HjD^j?K}6KnGuQs{;rjU*b5qdQ5;M9^v^r^t9ma&~2#d{pQDXs(y`{7T zV~ZpX&3R)V3m*wTFQ6ZplmWXipNxWC@zuV!fIg*E;I&#}KbU{!cr^5(=q3s^9#XkP zTdXEJ5KRaRy$-IG57{Rx$}zGL>5})0f{y4}L0eRz^(%(WGd%CHHOT927aqmAh0)=`S+x9L>gUe*^~J0;88_yT3$5WQRVk|iczWI{I0QT_y@pH5k#Sm>tNmPhp-=j|S%u$zh9r8f?f8FZ5g8o7l}}t*{H^n`>4oA!EEDgnQhc0*)M9> zaI3Xei)1xEcthd*Gwh?W$$rv>ntxla74Sx=m=2cIy7dK0uqw9m&gkye4Q+xW&@J!e z8fK{#6Uce_tpp|ybhI}EUa=0xA$F8SD`~O$hzk4vWnI;MAAlM7ve=9(oBPs-<7zIL zPrJQ;AkDS#yQNoO^YeM3++Gk9Cf?y3Gt8*RMO+f=^(&|KLfijU)W&?#9F?jl)yqGd z;^g_u8lwB=1Kez5u;QHGTT|K)C6eM=B)dU!J}k!+ZFbeK$3S(~!evAWz?N~4m?!u! zTd0iRgVFa9mcFT#X>{EVRtnvBTK-@T;RwObo_hivey2>Zitc+98mAfm~!<{KJ=#6gV+t2vB>3kmrW)UgyFGTJWpiEH<@1eW})s$%y2SpOE z%>+$5Uk5Tc_S$GFe@Lg_BcrKf7 zo1qihGmX44#u}|nu%>)~Tt=puNG-~qrk7QNt(@$7gzj=^ygLN?SAoUUcD7PvmyO}@ zzj+CSMccZVerHpG=nEczgs`&+uF-;Pt!L-1$?mltfk@V@%p}^{WU&wi5dt5NRCUlK znmR;*YcsRNuVsQ?%L^BwCz=6^COJy#m4%dGSa&p1`bjN%o<9d&^dDn&(u75UCP=6| z`NTp>IOC=C?NTN|Vkz8SwvdHXx|srl4}#WF7hx-(ETlx+6gVl{x6mzRB-4GbsX5s? zt^587)6N5-w`Qk#J>Zq}?Fy-)!=Hl#=Bba1Y06*)KFyR$VQwRk=A*OzZY20qR_@I`jtNL}ZCleVoJg~U=C6E5f9=a>9n#}>0OMx!I+Jb83hhVH=P0k}&w4I|Z3QG6v&Gby$NPJS z^+;p>3@&#B#_z1Vc2Lj9-|1=TCRVHnb3q|K*~(zbw@OmEYE0>#<&0l6zgJE9%Ak~^HFZnGir`&x{rrXHOO}dlrdtUp$t#P_>4vzy28!Gc> z>MlB4$=mrVPdy8ZKa$R&aTAT-vPRNsZ$)=J-S=xuo;RS0JYR456>#dUq6R1z58q8Ii7i?9d}C z1w@Fn4_1;D(f#K`4`}>>~GmdpYlY0d0I|04jcx*iN z7}1+5Mcrrd88Vf6VTcVz52psMrpp^X(Y?h>tdE-KSeG%H!!ymvJfDtdyhbx`ue4gR zZxNo~0*=Wbzt=T3P^__PHCA_RM8l_>=%_%8P?}d#fkCKro*8V(j&HAehSG_03S66e z6NxPVBnir#oHviYzPd_>eRf+R+60H-LrNfeuHs3`(;%jE=gX?rZ5?^609GGhXx*j; z(daH}4*ZZCm(gGql(Y*n&6YZ=rdzy}pimS1#g(JDr?j(LY7Nmg5ySxrV9&|rWRm- z9%&TReV^*P&04JeIgSq)2av6dyN$lxR#A`Uim?SeukXd#*=Jj*SdaoU7ZqXrpT`oQ zf92y=`f*u-lX7G#7CHs){vY@?&6eX)4p;@XM{Wnga>LLX44O|FrhgXm_tv94DT z;}bgsQ2K1jD>mlx()eEVi)~-mT<}(%&5pFOP=Ql72AjM+ZX0Rdx1w8#@=~|Vi>!v4 z?!qikn-79>_`ZJ$6{!_fCb_UVNkS8w7{7_RcqtWG6JNj_G^xJFLey8mcpKeeuH<9N+z2)ffw98GD{UXj?LCUZ@E%NJnvH?pk_MpD$VO4o9- z@`>|6vnuJqVqUgRI8ziLmQ3d}aA z8cz;U|HT8Y%k5>IQqvvAmgjcN{RlTxb?Qw|`l>cFp*_gq@%=my8F zOd9jmFc3bNVHl&c?4m&p!|2}9Dpp#?TqYiuplb=Zuo<^~AldVk+e^Uj#kzfq6OJok zr!{nB{T<5QWWH68wMG2-X{OL94pl6hV-5Xyq9$AjErm8-URNszMp(Z!l*d+Jex@XL z1}+z8L|yX6EBQ>0dL=&@E3%e+icA?^TM$KMca0bP?I-CM71Y52*f`Y)$ zh5ToJj5dcZ+`UFazE-j3Yo-nd-EzJz`LdyO;ITSWgm-PF?@|yohr0aAZg}HY@*TH& zXnFH+p31Le*D9Q$8y7ApU z(=69}bB|b-Mq6&&3~Du(*6lt+X`C~yL|Tzou`JDlFxaAFW{_`twWjHshc;j%k|jhl zO_nuuHB^C1vSy2(SB5$)hr;>JnoAompP@|K2U~JT0$6XurbH0S&+e0D0=*frjp|z` ztVNpc7C`zN;_c0EF4Cp2m`|zTfU`xnbFz`NY`>eoT(lTd>VVpT2?^OHllB22uLq{aV0FsT_3!44n-=pK5 z6?ir~BoQbMr0^y}|Ivy78F{N?0GXPptz{e@B_k zM;cL-3nBFS!f|U8_bt9I%$dPKAvm3e}k|XXk1_SQK;1p_jMm*K-d8c zHQ840r4_NfoCBWCvvz3jz;(mZn$@8+ZnyG^E^Xm$SbiT^mOMYKr}Asm#(+!L&ZA%@ zG%zpb23mAe)#h~yC9ynM)L4(GO#8LaW@X(cEdyX$l0|mRch5&No?w1F>2?F=A(`SErXHAg|}7ptRt75WEuugbGr z%*F|O)9do{scP9Iz6qdRuCxmyaKQ<2oEzSkcHSzw+}f`}9H9i5IP7MOYP^`9J$^tr zp3JgbN3G7W$Fr}%|J;okLJLz~p*2~%T36V{Wc>DJJ1`d^)J=IXnCj=cEQI}H0YMh6ac&7YpL<@ZQ$ugF# zF$q9Zb{9mpz3zN^wOE0}KrT{hw0i>CzV-{~_%8*1!kD|wKniNF z__bOs#|P~dCqU2NqwzHhO3=!k=_GDxteLtNBrE`7^9GNm^v{)7^ztx8&vG50s+ z=s<_*A+&n?3951Ai~H<*8^+9T4Cz<)Go2q}Th0%uds(sBCPkq4FdCd-Q!($=AJ9V2c84%qUR~mIo~W|n7Z*g$<|tYSW2aoP&0mk&EKx@oZspB`kl6{9%n_> zMigF(|7E+onr1yxer*NwQX1zmC&1uM1~=x<%Kl>&gykVkfik5-7Le&W-94Dv`Rj9T zt1UBChML0=^$HA<=@Yl4O6}Dow+lBe!(1`2yAjOvYJnRx@jyIh#RuChc9W4)hPR=V zD*7*KkYuM7hjlR${H1x8J|~FneA(u*H9(_Q3KmccW*RtWJ1vP9+nIO#a_g~{_lq!Y zW*Furg@>=B-0Rhh3hqGO_`3#Ob3&URU0O#64=b=2gEe0bqXwuue{2q&@l(MdG}nz9 zzZYN|U0-dW4}}zXK4aw8mi4e=O?Qv$Gpu>;mUSZ?3r?kdwQf>@sKc#H{|4`@I)h@? z-$gTdMYN3$-=%IepcdMj4`S|80?on?+) z_uM~AiBrXP2J<2BTwGhspteTBXcxMLa;#Fz%(`oXk4hUxdPw!c@~w2vS9u44d& zTI;b!xFnSHCzeFkSh;9M^9j`G{UU45zeO^SPv*R*})1l-o3_^S1U?!d%OL4lWwOrL5H;U^D zjWV3s*4D8Ut&IZzr9~1IBrjw9Tn2n9MkT8=i$sRl-ypdOi2ph}h=vzd0*(1^)Oy9Z z!LLHZb51$F&`Pfb+7*+jCUATA_HU)n{nd<$wMS9Af$fD?5jmx;x|K?lQDE0t!dL3> zDNhuRwQei5?;dGBmKUeScHZWC(bFgiJf@F>He0x1Pintm3XLmpxy^5{ zpu{t8Qii{WTlus{mNlf%W`;$q>;}Jv zKzTfln5MA?M$gR8VxjL>@Tvc)=U>q`&vgAGpoZ5EMbF=|9+`Yl%YA?aTht}A2{wXo z)Q9DYUPPEBOEouOp zCV1#zw&3>Me6T8gGpjU8zVa&sEChGN^$N2OP51T$Y1}Ux$AZ873^Sd+XC@UY zI-KS$PVSpY`_!*k6y%uK-*|W-zSB2B1!uqIQ{0ycgm=dOZs(dw^R6GI;Gmc8#@*nz zElyJO_lYH51J}eedArOY&DlWm9iv=sdmW#D2hX1}ex^q4$N25mAKj=8S^6XF^0&9q zqG(?z*25N-?DV6^O8&D4;L~ES9+dR;99dU(x6}3Q{yy)$Cuh)831L^q~r3cR~ zPs=-JA&dr%G|zQUhH>FTAgwjjS`Tlss5wzHG(H4Ytm%&PAV5|`#VamB<3X4MJDZH5 z>*Lieg|1N2-T2-6V`#;71%`+|!$-G*0f(?tkD#fpFVj$cZDh9Kx-JW zBT8w{3)J0uJ!r zA)kp@+>)Z4&qcTeWxIBJyj9Ec27WcJ4WN}pSBWyYqrLD}_(pIKx95uXT+j#~z%{q| z3rKSfuZQ;r8SEn3z*wX;&sa}KSj(!Y@w5*S-GJLTz9l?u%8affsJ zm;^H(bIvX~y9nKF#-|4IU9mcAi4{j!SPNlnC;KEF35g-g%qKKz(cme-?v9%Es<0@j3mST)}2p+(ZqRXc~zYU*259n>n!wIeZAbIo_rSZj^$o6oKU7<@}r zfKmPablN@Y9}%c#Iq$$R*OG#>H`~pn=doT27&;;dv7sAgGkhz&ZZ4e*KO5`N7P>Et zK@#(73k|#&=nmhHfvRB6%zD0)DD@O|ZqLbIs)@eqBY^NswYhdArfN-{m}{-06ZjM{tr*ana`wze z9memp5^1jGa*ZWhV{M=mzu!=v+5zsHECm-RSknu#DOjQUTc%z2Vu3xn{Nj z>on$>E;g2z8DtckiJ)Vi46#T1Q?outT_SO}E1#Oa8bFWtDR3684(>8q9U??~h2YBD zAoJY9f-oi8%LE2+1f^KxZYw;5=l4VXji!-DqRia4j6~(&&(pxZwcGpsY=`5jHt;HJ zq2?1r9{CfrBmac?2&;2NSQ0`vw!WEx+{Br8eQCr*b?*KlKM?03ClkAK5mVPbl6jds z@~8tnN(9!uslS@SYFwqMan4!U{;e%#GH;^VNxss!6pyS9+76mS8flPqtNn(`dnb$- znB0U`K@4WV!%=kbrt)jMI1~}oVqi+iCunT@cIZa(KlFv7KYi+Myfp`QW?HzXv!AX~ z!dq#bSBm7dvTE;u7c}fq7?+m%w4}r*Lq*>H%nND!9w36J7U<5D9wYDzfM$Tqq4md5 z{5v5oZTSiz9^%^ON(|7RNsJc{N}%>J(CwLA;LBXm<^yyQYcFI+EF-YHjqe5im&!LBQ4%NJ!tX<64~ zMwJll@|LPQFqt#ZW2@E=y7mc}y!7ux^Wvyk%^5B)Og#wO?I5vi_>V>uJ$~5nAIlQe zAGD{-7Yoy+&IhccnlLxr*X>R8>(3`@GPJ9;R15TPS42}W*I1hDR2xCnwS|_1x0NQe zKqG`y?#3%u7(+=?DUS>}X~u<@@XI`w@=Z`+3?Yr(HUI0A2$ka-p4EVBp2@X+Rt2c7 zM&zLdiaF6>-SK!RN^4lCo)gu4y~e$LmYDft&PPNTb?$sx-Q*(msr}XBx3*Mj=^8_u zk9tjgN@jK9c)Dv*!;R2{X#MDMN3{pdC)Ogbw`ku|>Gwi+NEH<{Ex<_Row*+Mc#~5O zk*sHtNPNyD8%8r=lIGeVx^P*ARQy#9H7hU@c`<&jC>JNtn5n9^8?}P%TTzn^>c$<{ zDUt8s$RKXZ#WgG}RMFAiK2*=aF2dAvf4pZ=S)*1Ndfo_zHnl+;`jB+B7%<;Vq)@BL zPR6(h#_QVkiafJOGqq6|dk5U}Zmvd z;3iC~B9{L6X@HDl6QC{pGc5te-$O=I>5P%4EjamNmvOh@{v^8A1-837vh$dtg9CTz z{|pY?V9!suaYBNFjKFFMGL3o6j`iG_rv!iaVh!G^UDA&R*BvcP<+FCmdGLaTZE(cw zJ~XtUz%EBet><3=?##rQBNld~rPT{jw0&zWak4-Lhl|V~OqiWz>nxF!e^6#Xi%s*ncnrui_@mW2yVo@*ipzn@=y0Cx<3{hqma(aZeG>zPo2N zEkM}J=A$X|SQT1iMz`o2Yrf_z7+T~c^5#3x{Oyi#9-e6#mzUt+Bn!*z35jXn5>jWG z!{t*8pwc=tRIy?U3YnjW8r_NEJ5B5Pc?Q^+3z|)K8qTwl`)stAs5(Ekg2oR z`%k23%~W)MOGo!;Tcz)F!)WO6yv~n3E6Hg`@eUfui=X?u(U5U!U(mHc{*jq#?n2C@ zb!}dPc5=d0`i_Tgk71l$u`!ss?@_0jwKk}>%i!PeF+=FjUkbdF*&^~5cxsf^eyvYm ze@AY;NZk?)+rtY9#`Fs1=c(ORoHvqcDN z0k))qwj?>UoN9STdXXY4bd3+s@YejJ* z{LOA?eW2(G(>V|PX>6s@E?1@`M}X$9!@X(SE_ELlUW^mpG6LrRJdCs9K0Bz)-Z0r1 zSgEaqufy^;%JBeJK&ijjV2mx?hhk4xn^4%(inKAHwIz4+aTX(_UfMygD#b53iI)2; z6wkyxs#{Px!15chu9;uGC(=v4c@~AiCYg_gK$d)~p18aF*cNRy79%&qWOZCy8soD9s&Xm!c zTl+Oz_#$*3d|7_>&d1{@(*YIru==R+yE`{yzGXJJjA(me%VNb=2K*G;&;E#08<%fI z3m?`Kx_u&8iJ+mKN7=h;9lRtGIUP0HQ0hMUsBD}OmMr!~EbzuBkg`YHKykcwS*ars zCgp-#t)g_dZ_=G2pR7~=2G^VZn#NsD>pU~Nploel;SS0r0_an&bzS`N#&Rmn)o54>%IBcyKVNP7nI;diH zWUun~A@APmRfsUI<0gAM2JzSv11U}-H44I_WwIw__=lJNhcev+=93Xpc_yAwDP(RNH=|C|$M)XN=)Wpi znb|^RB&v(h95X}X|wV$ILe4VaJcz4%$0G@ZxQl0MYbI{)W zTdY`Gpyyx#e8j-tu6Lt9bOGkm#DNsOuG+K6%mNkPf@M0RF8bC24NBb59k&$0DANwv z!k_`Pc9xPD*eJ6(tPz`h-B83K7GOzN!Sguq=bp(0J_5h-8AF0+>dy$vZ0;U^P-Q&> zGS_wrF153Nf-RnjulG`fRR>3+Bh%wp;F}P~D;)&q1y&DHJ4O3iR6k)33f_Il+N-&? zm|1Shn-V$cFnte-bfeZO2HP^SG){P!PV`Y=El;B*x($TN9Pl|pGag48=G^$c9CVmE ztcg_H4PF@Y2(`GbYTshdBog}pS>^}&T4E6#*E1OB8AdOH;~K9W*Bmcy{ttMr*)siP zX2)yI79k-e7dCRoB#Lsvq|GPKSnHWBVg^C*iqA35wwiI07R<^hr@*v{xe+(=w>e2u z0u|WBmZtF4>%sF6eNNCfGo921E2X9{KJon%wplyLNqUuAfnBu|K^LU(*Cr?E&X2TG z8=G%pg6%-lLPjmZYw@L7y(5KG9#|UXv>EgVR{Zl7?v&^_b(;HFfRvG}?W*bYaQSV%+Gd-@ue5li zm*CC0#Ve@8=$jP7w%wPc3;}8{(Rb9!(VV%;`8pR3ZfK=u&m=BaETk$h`PF$W70s$5 z%}LrjaO}XSL1Ib9Pp3p7x9RfeCe|7)P&WqI{|TVUGt@#-Y1TDiY5;Ui%4l8d)?~b# z@|3|MfE!mFNW=Hl_Nu622cRl-{NOhlBP+jPA}zchDR$s#pl$ref|zpChBq)DhWNrW z;lQ254kLkDdyEF!<-X0o*ilQXOI@HXFJT_~(3D1Y&m)^}S+zj3vasw@{LTCv%0B^E zVI#raAJ=Rd%9C6(br=(}wOSy>_^GBXcoAOMa-J8^j08YS_l?uc3$ziHW+ZG`qSL3D zv95@Vh(uyNL0ArC<3{wStLInA0L{Nhq5L_&_s%ctBh!rsoZsLG&_Pj8sLgZqePKdd z+P)z_fjPen9rF{7?Vrk-aEiaRT40=g=h}8kIM(H@gD9ZwUh3Cz zqs1>bsT3ap?sLo^qOaOOE0^3QbJHSgBV#V|4uO(B0&3ZE&Zmbtm1ladLkqqY&fh-G zj5jrEh3a>ai@t?!>7q7<_Xih-(au|Eg>DC%pnKvWWwfrPPdeN^5=}zbz(0(~Z0RHs$J`>jM;kw|#Wl5)o0a=?M|*RDzF^^ziAeZBVt`Q+&cidg zwsLyoDNgl9*vfIadp^GEZ%o1n?3pTRckh0vMUtV+Q^zLF^MoLIG_sk+f~oq+zi9KK zDOL$xD*(HSe4j60#=Cwt9hrKVMz31szDKke{JgDD$v5# zVVp(X_LX+WOeH^_5L5f}XBbOCge4rZg&=5x-r>43yEVMAi1_pPQ;!*4p+zhNtR=VWx&i&4Re_x)3F z*em8g7f45gr^&x|3gwUWTnzXN4;G>h7=+Br0BZwo*Q2<5Zh-eQ?R;pw?4?fBYPVVe z=VL+&wTqxTH4D`B(rrMTlCo_mk;iYS!$vT*)2uGE@$hiz`-b+&OTd!EpiT=E9yt{x zfyBoqY(uu%wMT}g;<^v49N5@R<_$R{lySN6> zex4`0es~GLPsDEsdZgqSpj(B_p`O?7P|FX$t+Kjy8whI_>xAv~{vD0~lii75M~JT{ z9`m5X)8U?G=4?Ylqp8U~zkj3#XkL#BF!%;$_p9k%t82>700?1SQE?$$?wnhFDa|f5 zAJh9^9{Ald`cUff3Y>|b%gH+rxW`AaPJHKI&}Gac&&NQClpYUF=mnkds4O!u`92H` z5F!32cpP*M_l>B=!poFoZHb64MEnds+{%3!x6rA=Q_60;yW4iahG` zQ^9;YyB?EIakEYsXDKjGOy}Y{`>nxRnbtywrSBrQc2SLQzvRM>*sM%qz#4DEXfbIF z?TM)jxDr49f7x6w3VA1r?2!lPfDG%?*jLcVF{d=md^N=4>xmSv#<;fT9WzJJ z`k5PKbN!|sB>TGr`uodD>htObwF#+cJ!FZAyxj=(|2Bw*#Z`;7fy^h7_aZn3DWm)L z$`xvTh6WlBHdXA-zeKVMUVaPTfW5ft4x|}Nfxklx-dAo14 zz7C#eVtq98>@GmjR*axAL!gr&swy_A;UhuI1bpwl8Z)Pu=FmR+8Gf0);Jt>TnuN(4Lbb{9KB;3f( z?V7dFxM$)YEmINK4OH}FkZUw(TC|QVaSP7_VI}wp0(xmg zpqEZ3Em`o(l53AZ4sY_<_E4a|w8=+$*f+HkD@8|j#I>9O<}&nf>G?v+(MTQRyvG8S z(SM&y8k#K=8_7=_svEV?fl3n#wWNL})sm-6pmQ+F6pAb{R1kr-!zgnceS4--TA;B} zkzfCHD^r>@9W16qtwPH$D4vB!PP8SM($rTwdn^M^++KS8<6q(AUv+vrgoY$kVEA(( z>=0rHFJPi2wr2lSM;q`7=`o}c3f8rZUWcOh&q;Fvc37P?&*P)aSoE-~%|hDw_%*?w zyRM#y2VpgayxTvTLcx7iNY$RrI+8!vjVHQ&$Q{0;ZI!Aly-3;eeV58egqdu{`s~=n2+ozc#IG69?ZjsM0Q$tT72Zf4QU>9X*tmhNbT=zX}m)&7<_V z;WTiLTKdClvyoh`ZoE*cP{$`dmh9(lMY4u@y(O)!mz(<3+haY`Tr1^Lrg$$mQLhts zIK8=0#%tKohTMb4=HF6D&sX=~sgv?&00tX3pT_l;nLfXJzlkRw~W4^dPwjq?&ha56Tp0 zz6>?4&GoJz`4$GMY9EJDtOqJq%*N4-cqY6AWz1sjFsALkOpDXTb>fC4T$&_Iy@Ked z2c%!*9_!jlWhL-y!c;z>Ynav0gGQ}MmRFYCxeTo1gYGQ&lr!#2>Q*G3(|govi`NTP zB9L4IR#IW?5{fzN5yh(>;NH>*FU9|=Z68nGv()j`co8PK$qz>tk3I zWma<%a}M^%wq0oWNE(}3slz7zE|JG&rrTuK_^-f24ODGlMAsr4Fphr9T8|qw?G?@F zV;!lq%XNcaq^?ZA24th?Lz-DFSyLmq+*^P7Q_1gYpNO4{vXb%;=n`ZuZ5jB%u|;z& z2{i5kzE!w4n*MHBmI}29alcSd+KWZP`x>^9rus@69CVMG-Rp0$zfvhN{*?gZhh+<( ze&=^c@PA1g(R;{p5tQkAtC4hlo|>1e((>lJ_Wf-fw>00E8&1`G?4+!p{&u_EdMpk6 zKCo?Xh;^32;_&TpJZjpC^%drYqV)uK}w9$h3EW%VnRTB9D=%I2#ey z=ox`@>ZI!XIzJF28jOnVG27GBWWtF0AYM5mizUxN8JqHGboS5;V$231Gb!q{*@~4PVF2pXSkcN zI2aAGf-T#2kEV7d_YpQF4l-fxrCw<#_uSz$XNc0Z`Vs6~!1@ds<|R#Z2>pR=(P#+5 zhF2Ow7emxggRxPL{jlp{f$}$zzBCh_xgYdk>LS=buLA!s`!0Cnq~p6bL3eZM-*=(n z!wS>bbfAI{39{XWsgGD3F2hUR=DC&CdJsm!h-T9-#PwS3Wrdwew(1TuFaLK1@RJKw| zIqz_dc`kFJtW1J=n~NB|<_1&XV0DP3xL$YQL%+N=24(6#>@)v2$}bk}v8(VGwYFOU2nby<2J*GJy>$JMTpJhF56Qu@$2ifkKZXLa}= zaZBdxi`_RnS745qTVMue%X$=jkRKJlJjN;x)RFFcvCrb$l{p<9M+0VUr*h8@TkW(m zfgW=`FWDG(#~-KE$)Y=#Odo9Vv2K+@+1Ts`EM9Z!hdJ~z#o3j8F^DD(*2ZtyFI>)6jF1i0BzJLK^I^8YlHik$Ca z`QQQ4O*CGJKbSx7^q_W~=gDD6wknn0aBb6OGqrzz+DccOe3hGD?`mzS3y74Axp^c_ z`lUju9PGv;X^ZX~CoNrvA$e~uz{H4ZG~(Yc`7`{R9Qt*=v@;1h+yJ)lh1VSp1#T39 zR@SOm0+!D|x8vs8p?hO^H74A?r$8Nw0k`2Z#?#a(YB!a^3STUD*a(V@6f4J5t$C5a zJ`h%CaJFs93Dote0+-^x-Lu%caOzrO&j**3jowIWTyw8=p)00ZyU(m^_kpO-Akz~2 z(RGUBwdVPF^qm*kg#6X1&}avxGqzeSv|q~yZE47Kn;lZ^qIGNE%1xjS_Nk(&AE0rv z%e470DrQ_e>J}QmIC}{`4)^HoEC|+I?JE zK$9W1w*@X!(Md6#>uQ#F-wXW=mVeocIa{pNa7uJk#mKlbLi{-n^qTX5faY3+RcKrz zVy}e<@jN^OuE^n;O^DC?i9Lm*h<_NhGGxOyr%3?MKlSbUKi} z`Y4_sV_anE~57%mr(wxd8Tq*Vq4Bp#1yEe1O}FrRurSi-+PgcY^`g7u0yT{tG?n!PG*? zA|zI^ND~houi>|eH)td33yGZq`uewt%d}kVo*OiSY__7|{`c2Xuip2m3xU-R9KfW2r~i-E#jLyrPJtE>+=E@ndoZmD zzu)07hjSv5a?pe&FoQuy*V3OS4=KskF&20(!Qg33)rJ6*jvGg2E-K9|e= zPb12XF{%~^5$lV2syStWBthf|{yC#qX5AN>nPzVl1i(7GMkRpZR4&{6IH^c0H`I5Hp zymQ`p|8w5A=P+!(yZ6qWt248+Gb(WP{ZJ*~ld|*FKzz$ip^eW`l=9ZgNC_Ve*9R)8 zE&9arZ12TYIgd@G@R~=(=F3C4-6Ck#K=*hlrbT=B52r|nG-MyHTZG(5=yEV(pVGJQ z&BXz874cq>XE>60^?(+%d|W~Ddo^1}@^ib&U7A7%fBQ|GD8<{=xL;i}PNHesx6?Q6 zhUp^|Yy9azs8$k*YEs^Dw{r6d37&eUq9 z%-?NP!>YlFZn3Rd{k6>VmIQ25?_#f@O%);Y7x9waRmcQ!alw zX&JlO+V*5&l&_8iV>?#21nnC3im9;YE*$wcFTa-9uc3_%kd12hm+QmCW}U(fl7aJj zOiLS3Z4CACl50%3ozmgUS%E888U{a*AD8o*6zL^=ZlTk;3B;AYdlj4*uuZirEmYSY z9-8byeOfj(AN3qMPp_xIe?X`S@yx>t)9Di*WIsP$!oZ$N-?bsnI}CMv*FLeaJZCXu zZsmFUJ>`OZz?uVY8h`5!>NZd=A?1###eVrNsMxiN^yLXxWPbM=Pnca%ceT0*tYh+gX-&Z4TnNL$0AA)zrWmeL~7y1U(` z)6Soc(~s|3>(*BM24o%rnJ?}dLE~P?Q4Myc5E~h+gmd;`H+9tR5l%r~59_p=SBrx_(UF*D;f zidBZ(kteal9-TlvYPo@$#L6>vrf!KW3W0&YvJBPA2d|*b^KX%FV0XQ$5-QI2)_83n z_fwM%qWz1uQ{^7(bnGQBuJmAX7C-E4J9jEYZhtD~xV$)p2!)dK}hZobnJO=8{VJk+6`LpNP@-l0^KV5AG$%M??^D-VYr<(J7DKcnj9qS z<}U^$=N7URJhZA00v0Ow-($%<5r6VCuz7hZyLqkNPJt^}9@_oYM)I%nnpUNJrt_Bo z@_LO-^c)^~y5lR_aVLW@dg@p`NCl5%$V4g7Z<#!O7R^fM5bXxCTjTz!Ig3Uml3;e| ze|p;zo~NAsCf9D*)94}ebfO$t+~RiI;}Y?VO3=y5P6&8sJFdbnZPudo-2y04?UDKr z)i4F*Pw#q7pu7*SP{+G7bj%~WjcWSrSxNJD$*||8urgWwmNtb}QKAPDT#ijwu=a=5 zZ&9qx+tnjrljZ-4jCQ_I1!~zThd7NALKSHaTbXP47R}-PVGM1{CKp3mj0XUlUqbQG zO^3!%%9cljhn`aYEmptrzSZ_YJfXkW6^Tmpxcq>fmH#;%d3uxACF#ILl>@(km*j#Q zD9#gI+ZJ#}2{j+Q1Qayt-q{FaWVz8jZN_4;qAK)j5GJ||Kir(&u=6vgk+bLnnQ zIkkUO#kF71?lxS+*mc%Q(51oEJd1&^#HusrF6kXoQ=DV<`qr2-XCXP&>h)d?!u1@n z_1$;iXqu7ru@I`IZ&BF@WWk0%&!G<|{}kZ;%(>9_2XM}kO_wvG zymsWDd@ROF4Ijic-jkGfBU;guPArZP4f{oz!z*yb`vlwTQ{OH-8o(cExnH}Mb|G5c zhq9f!Xn$nA8N|CI$G>P{GNu@V^v?>rHi%C|{(duMB>mOtBAx8GME_G+%Qzs` zf@BAKFQmpzqx}&jh2V{CyJszN4$+&`3C8{NLXHV z;h{V5OoO#E$ZYeAn54ePLKR+OLe(AFiJU=WhS8Pr_k~zYP8K4~@gnaK=kJJps@?cD z75lHb1&>UPM$*|Va_&AC*DNNf-DPM0ErMnnj4X2UU_C|%ZNdr_V?jP1oOf+z8CugZ z2%6k3`OZRkDv#FqLytmTDdz|oG(RbGz#=TiCP+MUPyTl%gCW2%Sfu*Rk%qR1L7M%LNzRT`~3DA(b<-lL?>=bQDx-O zD)_S1&Ltz!I@NE%W&+PtJMJ+=+YhO#%>=L)cV%TvnPCY#V2=?~W>TA} zxeE=gC&z+V)+c0+X*#V6SaUTdD`<*+^m$uMO$zZVFNc&VMuRvXhTx1FJ3nhyTd6eg z6&a`FNK@qZz`VgZUjD0)TlxITfb}Xe@%r6Rjko0p)lRi)L3z92L5V*W z2+?M+7#vmCtf3+0u9H5ihR!D@xm*_@#3Vi2(32-ysBna)`+9wa4n(^%!&R#EDL~!g zO%y&>>XkDj=?#8l1R7Qo3XNJ-B_R?qR6VOF*9**F#358c= z75e7)+*G{%ANqM!H@e3fhY~)@_VHzEvnr7R*08IKxbC9b6l~{?xpQh#xu3_0x+9r2 zAF$R3oWmTQu3+BPD)bdtd&^`T_t-cr;;S1>;56LtT^^3=F5V2kB;_A&t-lvpmJ$s!HUr{eS~ ze5e`d&*R#Tn2LQw9bw%LQ5H&MJWP@)5J6R3=u=ILDyPHsaXpBN`(LGGOtKbNOXQ7)VAy;LZSE2xeiCWRp^OmHZtI-GA901MAKfu zkhk@yO~GdZ=tRz=x>$%0!q{U>D-QOL&pt?dS|>KjZl9*VQ_-OcjHwP(;ZS%deR4yus zacb)iVw)2YxURwdc@HQ4BJpYjz5gU%D_r;a8o2Vn{o8wS`wq;CppUmC*gBN8JQ5W) z2XOA{a4BfR!6ic9%GBh>>6xLiIKgZQylV$)h!c!$*PY*kzc|6bcC7waY%Ku{&K27W z4EuAnC0Q)aPZ-Sw@R>}3Dc+Fp(xWx#`CDiQLAAxL<}2c98R94e);cb)Nn`GQBMwh^uZaJqgs+Vq zwUGRS<$#NqbxYzx+@NiBEynNaZ1-~cHJj5}A0C<_!zk)xL3)0Nm>xYEXTLUiVi9>{W)`4~SXe zWBwZ&QH9_Fbo51(zE{Qb(LlTdYk62;Jx$AdLwt0*N{L0(c#ugrvKEY>lsV*#C>GlY z&P#wb^o*?U1^cbt7SK!8-3P|Bm!Vqv^&M&Yz@jv!%r1PfO8DZIV4{zz&z|~#a-P=V<~wa`BLJf zasys79jf`Fmm8-W@aE+l($IZ(y>Q6QKg5Bk5wa4A}hgSlanvRC^EYb|pSj5ibEt&5Rlp@jSVz=?S=F zEdtkOaK0KOE{64`8mlDn-28*k*lhGk3P1y@-9tCG7h^$TOk1IEe-KZ5ErK$B8Y|k( zd&#>8O~u;N*7XDCD%SWdJD;V2;=+V7wC6-tx;VGDcvmBchoBR&3q^^x;dJ4Wv~w#r zj>N!YGzv2^oDM#a;8V&{JMxX=8pPsisn#2h_Dt7#4#O6vZ(YC^?Jj^eHDuAnn4Pn* zErb|1{z_{0uS#mL7LGjf#Mj&4Dj|w!?>@A5o1B*5`59SvHq`wQg_7Fp&Jl5K3|pjR zEvnUsz2xRD-Rk@g2i>(8%{@Q0omve3ODyu9tBi>|gCW{?klM&R!>OB(e5C=_M9_@Q zxo==25j=#C)!{yq6xfZ@;S@4Ua$bt{EqrVf#yf(9;ygTCSil0MZ=3kSkebwwx5M9D zewc`Vx?OO3|TWNG!Z{yI*?K&SaAvMNFZG>vwp!7aDx6zAppJ~b9EBajw0dZYME|~md^`7`>MFO!gY zph|OLe4~}&);Xe#R^%8UWgf-xK!p2FAbu9(j zjPh=k%Qzj3E!>~?t#LY;0sgk`udS3Tx3`gc(FwhXYPZ-I`Ub*N#bakEYDZFIeUYvD z5@k%{8)q~@n-j8uI%NAuQ=3)M2P%D6fsajvA10m{LWyomeZNvYY=IbzXB6=zS(@u( z)N6%MAWnxu($ene(UOI+3R7Fg^S~!w2x4A^6osaBqdgg+w6R?SU6E9P; z2^o#I!Nc@Diu1NGvu`2u6VIm7!k14)*vrC}>XxWf?>^Dp@&UiZe02u~E8Qv9t-7xaSXzd+rui$_w*{6_@w@hlc$4xib_wB)E;iDk0men6PYW4&aw<#uN{K7!T^ zC?_UsH6=Cvgk5ut))#g-n=z>28$N5sB3!MmYqJRE~H3tLbZf5nOYe*>)C zThP{G)%{$xyF0uO;JDj85#9%I+ztB<=J3zhynKL9r2WKR5@)+l!%!Z0@iTFP3&G4{ z5w|pU64?LDT1Pa@3!%i7cmkeRjOWia^4lnW)5ArKc6U(L63q(LPM~nbeyXI#lK{LC z5%U+LVOx@YCC+ahG{;RZH{x>@aqJ4`JjnlIV0Tp5{ECP_6=e2euy#OUm*lROV-`4Z zWev>{*YtlkMP9fVhfpnSaiEj#b9-@u zAppnlf2`a35;Sh&U`<}G#20clc$ABO?}jWR;3{Avk3CWm`A2As_X-NtR37Vbb*GLk zTgAn1hV$(;v3Re5TYx1E;=&){9_}wLL3xi_cZ(N*%h!(ah@8+^c*q2&WBE2QLN2D@)gybOXy?VNn1i}1e}(oA$poazy*Jk%cJae{i#A(*?s4C>T+4%L(rWF zOI5aZwP{V=p;XZmJo2V?e6ZuCo_xBHw6zZ}zSxypTad?UA!~)L#5i+lWYB}bMn9h92i&3P^#h>w5@Yb4xgjy|qo$_z>JfF1PtQVac zC1qYy`FDBvcXf0>*1mlfly{t)%pn#Be{T>HH`wZ9#0^eoRURkt6#ou}LY)txk~pyi z@gR_J1zRea$^vry32Si$mu0C5nIEwmEo*oP%~>v24a{Yq6#a7> ztfB)>((+Mbe*s<(rK^*)^e3Tu1=W2&2$^GZA7_&n<7r2OV>EU29{sNJPz(~Zitwa4 zYgbdtN^iwSTK!Z{)2_RIl`gctuk5~$VVa_+ISHNM`lz9&-x}IF=q*JzsjTN=nqvIj z4RqI|hQjgsQ{ppnw#Hhi(F@qgU=B(wkt-V5vvZ4(Ms|UNgH?J{y(1$;WU^++p1@Fw zp>Q?i|Crv7wfnF=>NvI^B!yv09@+l=G$Zl4+bQ z#Ei*(2=z9?o*Ft7INB`0PkoYq2N5qTBwKS-DVh^6tN4CwFpo>bSTHLx@Ewq-nPe2z z3X>D2PndHzTT=~7Hn*P6EpeaBN$fqG67YTlkmEANnk`gisnJzO2K0{E3&umUcmnN< zUm#WX+pe!-vDt{?u2a!@*1~4M6^s{uVy(q23_Qd$ zs$ut=j}9oPT+l$Zzrqc^WT-A1w7@4xjPAp}HST5J9Dogc z#FY$Xe9*eGooI9Y(L$)!Vu~N3v7bq>4XDNO@PozC)T@e*V6C)btriTqH|pNGw zYaRUGg+)bzo`;tZoChk_x}w?%utz1elVAD=;H(vKO=hFhdVqM~@v0QuBo8$W+3EH% zqIfVtlLM4D_&q^t7HgA1w=~z_&{h_rz@$>4mejg}?k`+}QyW(#DD$EUg0+;2wXZDj ze~PtoZ6g9gRJ(0(fm*fba^(Q(c59n1PBR4P5UX0s{KTP!bYRpURQ*p!U_m9+l2*9) z4*Nt;b_WWfT1&0gS;kb%-z5JbV`A#N0dp=L;`8bvK|TBD6JYKhOMTCWP?v&);(Yvf zivs+VP;n%t)(j*LjBP<%>J*?H>sRS{6l-?FcKbz9`R{HDq4IY)@|p*sPD2gjyBDO& zwdMSpCDb+$D(`H^pRUfz8MGmx6zU_z+6G{+LZMiLDJD{^wM9H%%N1GEj%%wO(X%Vo z`aq&J*;<{w*B+(~xf2ViZBeZChbguI1CKriQKIo~`u^Gg{%>@=fS}U>k~Pc}2`{n1 ziZv{j;;nz(FSS^zN$ubCq>45Bq3!4P()!hJ2%L|J4PL9Cqe|@KuvDvIHum{s1*zN} z`IgBNstv9=qexK0tQCd6AI9cfg?pSpGE@mQ65Zozkhwa6Bxk=9dS{jWdm&GBhSU-i zJxr_1CpJC~*{O?JNAl3s{z0O1wwP^G>KjoJoi?kV`mWO$k={iX?1D~k^-oOvXqpLh z_v|?b#4rd)j50)LL{k!t$@f29lF2`zfW_Zz%7H*v_WR68f;>y8H zXon%S6XlB2>Yg$KTX}3d>|!9K<_b>E)2$+p4FaSfIwr2fgB9^E!2TW?!xh^iUKTPh ziozA#lV?l>amgS`SFnYRFZ;EqSez@?rl9V#;BWq=QFQdL<6>6wsUrH4nR4_;=-j&kUoxMFuxoG0LFWj1gHTiA@OX^*(>7{pt$j|r9h1<3d4 z{Hw6HBL2XDrpnfS=J@A)#(y~`2Uex7S@Y7Rrsec%{J5Z-2W8(+{Aa@?)oJuk(@C$i zO;4n-i=t!S41=B*?`P4O->=Y{CvO7=Deyi>gwPQ^|D-&HOqd`HIY@=va**daNH)!q zK>FccxbW{df=AzjMS{Y5mKWf>Y%Ol_JbTMlRJO`=Iy9x5K7xC>X)%#xUk$d7rD9Fx zN7nqSbl{g@t(5D)16v7k%#@vfU$AyibbShSrjB1fDBvY&vIBk_*u3+f9k|NO9TJdN zb-=s8*2H*m@PWPdJU$YM=9*b?JV%tbjS#w$Zl`6E#LYPFl0aBBQzlhc`TVv(Sm z#@Dc?g62v#QU`%QrBJM$+r=I&uKhsFhRGbYb}n_tYWg@OmeN4vQ&dB=m03nmj&ZW{ z&zMpy39YWA>ik12T%+v#e}s{WYNmD=NXN6vH@Ul&SU$5vWFS#daeb%d0eO^IytrQ1-KVh16zS;ogEP&JphU$51zO zI9)T62DX#&9ESQ7ETu*c4Hu)>^5d!WbqU_f4HILcC&-~aI4W8_F3iqlMYyo8q-dR* zor4>UR&HQDqMd9|g7U|2C){91>~;_QE+6$s{Et2CN!;#o#{)&Xm;Q6RljR*0SG#}Z zV*vIPkhX^S{!FSuF_*c~SgN&6f)6W&DxhX1=t9qC23Vg_UVsM_h1AaFs80{d@+aC^ zvfE1%gm@ZrRgNn+%hHQ4;WT1wKYf52hvtPTrh*jGznVmOhswDJGLwmKk)@LN?n2N71Sp zvP<;I|Ke6@I+Hw`;2b+AiR&S%{eogw^Rg7s(=GI*N44=#_)aJi zls=st&GFRM*DlppDlykm@sI94mbJnlN>)fNSH`)Ug7Zv>wzZHARN%trMk7@=XyCN%Xi$hQL1*xFWhse*!z|aav>AmMUWGZ!%4{ zt4hIUwC9Xl2Yf%m-e`y=D-XDa-;x9DtQ$Qp7 zEoR960$2H1hE}`rsq&f_(P17sjOtV68s!hzo2v_wZQuq2e%nr`PI`-#Ie57)mY7ZD zi6p7qcP;wS-ZpY}&iW=dm~*pa%T?yZmDFs0mCScOn62N44bJH^gXXt8M&D$~99U6t zJ_&$u zhcFrE&+yQ8v${~f^bEnUIaZ=3eAbGT4v1eA51xk zzEAvz;9OTPg1*6!L=Z~b>~>I%6-xzJyfwqGDu`&BD_GIiQn6H=72!#)VD1V@EsN*y zNDS=O+?~_>nYcJafL}yAovBLyOx2UTqn4cq>0=c2=ua$`VVFKRyK)T0n=ThqlvA8{ z=B}EvE5>Z?Ti*=wd9p%qjx;BlV;8Pqp<&c*UrMOG`7~*sUUI+MZ{19L_r9hp0p<0L ziZ%P8#%qSs-BxmnyO?6FC?v8HhTQtl)u4oH+>r8#wGo@Zg7e z=e-=$Xz19*F`dXZszD8>(e>pL4Auk=zlY)P=^^u-Z>G|{u5Q@BF>4MqQ~QmHpC2i~ zh%F+fmS-BgmmhsIR=yW#=$*H8?VIWPA1Z&_b!Yi@9-VzJ_tfC64gYD8*ry{a19*Q> zc`bnlRKg391NVQVzEwlR9^2kHJcIQO_wwDXuubJ3h?#OpH_K&W;QF<_OxjVcyT zXncG-OK+#rdnEE>DMXNgi)PZef>I@Mav zYZ>~4QrbpxCG~V(Ix8I51}lZ)T&C14RPi>oD*{6%nBs$=P|CjKpolGV+5JVsCd2#w zK<7ZYKR!)o({!o3JFI!Yv>}wnA>DsM3|pJ%>O|qm_65vUc5btFt4(KGIeRWHh z0hM93!CG(FW1YP>sR8fYjwQptDRbBi)^4$a9BbOPp_!4g-LP|E4jqBb+Z8yifhOK) zvUSO8Ca_bZ>!aRiVzcdxOk6ndQ?yAuS@_pcL>UhYv0w*tp^}tnlK{I$CTjzSuXVWn z$Hy^A)>=T^iNT*MwzYBOB8dAP_;bC2m{&V835Zfjmua&^XVC3KsZt%MQ-ON2$E9M2 zwixo{bQG#(>o=WN{^$m7!ksHeEl?j)c{-gfE5QR)qVhu2iGnq&x<^EjNK|fNFF}{~ z)N6_CJB(Ig&u)&&-zW2}u)p|w%+F~l5PDYZ{GNRNHfipqZ94L@xY8i3JV&o=TIF@5 zALQKv-tE%2D*rx&hMk5V-t$^Z$sW9;?XT9_M>g|!EAl8EZRwtER5DC%b7F}Fj;`%O z(SO1=+R#mc(=jtb-w)9NufcX}vDP78b-k)$P5d8-j!E&;Eh+EnDPq>5tzyl7Y<*}M z`uXoMwD*@W_712vcxC?Clxv^th+2JQ8+>}eENcDXFJX$Gz-!EFP_o&yeU`*-#Tph4 zY(L^^Yu4@%HrVy9vvMN)N2Re-1w-vKxJw{b&xSQ`X;P7vP0UU2&qeF};z(YH5v$w7 znvW+xN%1mzi_u&m_Az?`tuU+2(d^hT`t8s$(J=NpmuZRP5UR4Z*7d)$mqQf8*nbS1 z=-vU|$E3DgOmSc3?*W{sG~w(je`9O*$(Rem#WU*~Ui|Ufp!na>gADlzOT=?9!y=~k zVex8wpf6A3H5)`vuSoa5!YvgsH3>XmIQL>K?18m=>Q5|dLJi`2y)}Zq&B+VvZ&lTC zXqiYgvtg-+VX02NFV)&E+iiVk(H^!|0yQX^_w$|}a7(3FMVi;S9;r(=8wOI-3K4n^ zW$b;^cQ0S?W$uk`Y}>YN+qRwD*miPb+r}rhZQHiZ$$Won&06yh%+tJepRPW=SD*7x zr)t+OT0TLNL-~yj=Je_iJ0xc~WeZyd1`o2ORITz+ zE>qsDoCkIDN+PXDt#XCT>1=M^9;viInGRDYnL8RpeqS-1rRK8gV3@1s6Y5txIYVQd z22r?w{ycaF?yCJTPK66Nw-P|CYG?*_Ol+&Omr64*P8PuO527K;p z{k&04oQkdl=t`#)^QQy4p1|O}i*_eNke9`oY=Md;DG-_i&^`D4Xx{@mlRQl3BC-%$ z!_2|zO?~qDd5b|(PYPrC648gZDQ8tG{mBI~{7bM;>Vh%DoB6_4Z6|0d{pspuq%y?{ z3w7Za?&Qu;+!`C(jPZYcc`{b?8rMUp_Ez2y1~xr-wD3gbcU9`7f|Dbv@NBGe3J{pR z3PDP~kKO)d?NfVS2TQtj^VQi=}bp$n7T)spJzjq5UpikRx zO1{)c+$REx>9X`{De)nx&!YXa+ zHsQp~p&!8edx*==M(Cn=sk`~uKDIPaah)X&7#0*&yr=pbfX$#3R#bN=95WPEh`~ne z2HLHH?2O0X*oly=Qof44$)(>@Qj?h?5MzoxMEjgxm`j+Yh%VvAqXfU_G(mMb3WuEY zJKA)d_4Ikyy@j$TbuG_1++FMG>+veAGvFoC&i(S270rvmaKb&!iPL+PVf>p`P0dcf zJ`9#VCmI~l7{{zl7C7^<8_d@m?gTEgQ>8Xee+5{V>=NV3DeJ_3l(fu?==OmH;Gs(VFA_ep-l zbbo@)?e+@!YUhL#-S6Qx_E$JK?NH$>$3AD`ubafN?lJurwNDMbLgjcY8Cl5vRTp2R z#^^Q)XOH017 z&5|KFNt$Z%7a?sUWUS$sO#K`Ypo&4=?2Zi<$RtGvX(r;dVx$&zTKBQCV#1Tybz04i zdOT2QZsx%yzX&TB9Is4yBqeMZ>yNMlN>6xPh#oI+WOi}VPi|K_L^fe&T~|OaE=^uL zT6gd0+xbx=OFd!gq)GIt06m}yLYPaB$r#S$XwaYYWcK-Inz;~~z@`hYl2WFX)ymq~ z2&P#XYZ$~+{Pf+&>C*j=;DSaLt6su*udru6VO!aKS04JEHn6InYdf&_CpQIr<}YO& zPf?@%&=OOD{y4K%WyVrzLaTXM0qtF}(=ZRqL&Z8`B0?9?)QF4fN83k~nB%d-V> zh!>NF2$h#$(GPAzD%4VmjT^fsM?0JR7bA(+y`EXqu_=n4`oW#vbbJbhaMPL%JY{nB zoHrMZ* z%t_1KPSBbq)ABwy@MDN@uoWF~9(=8`t95YmQj}zp(<^o95fDTc3Q5O;Br7aiHl?Mt zx12@nM(a5C0v!``@?$E>vP|H$YOS4U6Wz{OuWH{~!lYX%GoNGd-G8FBX!RJiavoOU zS_1~b8Gumy362GJA#o@51UYUcwrl8%t;n@|)qD1C%t~0}Sh@+QG^Nm7oBUszc#itkD?uL|-z`+gV;PetBNlgmB7__zo^` zOfoZ+MVaJQbi!_Z*I7+MiJyu+|A>cuz%M;DjmbX6RTZIzVDb2fmls~Uhw8D^uDZ!@ zmA2=9u&+l6x5G$_ZD-Z)*)0L(=7o@g{kZKNH(GK0zLoe9Jf z&9(qv70h}(d(dGQ0Q!JRz0Co-KFiWOc-jBC|;U2;n=rRmxXy0cV{^Dj$=p64Wr zHMy3hPrQ}yz+P4G4ro(QL4_7p>B%k&@K~~+%K$wJz(){t3RK!0iuI`WN^ood75X(t z5O)c|uPuH;XVCA^uJ8V(FQFsx^d{QDEUQh_7Cy|U>_Nl=0~KX$EYK;WUQiu?+E;rD zzQmW*=IEyWM+w#Kch46bUOlTmXOw>CR^xq)wAEJo%%G?ZPKKLqM&X-|6qG%$g%ro0{l zzdP2N6fR4ko9ukK8JK-Y!nF!YLgZkmW{Q?*dI;G>s3cJ8QRTUr%#amO-tAbk9sz5z z`!&7^pqYB^|0Jv39s1*m+5`{fJC4kB5l-`D6TUl@bpIXu`mKNS_>;t}NwsmYdv5b( zE1nxmwWh3AtPeN3$N>$eS{cjR!;uGlZlhsa3Fy5SM6O=hbJK3ub-e)p-m)D>eg%n_ zugdp40bG0#h)T6@3QZUJqDdwDqD3y!XLv4$FzkOPQ!kiLivA-&{Rv)gB()qGIRqxG z@l|lCSxZqvqR&fJYX2n4EL~-TaI>vrEMSw7HU&@8&bIXGdmNCyeIdT!@-#CFKc@FT z_zF!eF22L=KP+R%-)%!U-I_(Tw)u+r;Ac|GpxQDF>XSHpxY9RAMPC~)hO)fffxDG> zZWL~KzkF2Yr}Rclopk3`dR7P0*aIRpC^Lu7(f!@J&O#*)yhyh-q>axJ>y2sY;Y&PRRh}Xzh$APZLC#X)xL?I?o!CY zG*;;yYprH{##orbXYG_rzlfG;$YA|_vPdc#Wtqa)?2;YRRW}Ww9l{7^R^k&*#@!)s zdlNVWEx|zW!OrA#H;Y^xnJ^ZC*G@ZC`Yr84Ct8zp9HJ($C0rv$G$g2i?Sx#bfx)4w z=3c}hG0A$XQVnM5zpSJ6s*QF4)(bpqpH`&%;>*QvXDj|ySB+l_22-$reH*#QEkC^q zpGEa{7M>Fwg4esrNKgWHvCze|)W&P^WU7id6MGq8tjzN-Qk18 zuYNs#)N=@Z z(~V@I0lJmQ?BtYtD_4y?+o8lAC&~TKQ%TXM5&F)U^eVvFayd<^%uTJxIz{eI631q8bGVZya|;6 zH9G}vcBn44L7JxjRJ19<5RzKg8c-T?nX{pYhpI*Gc@A6r3~MoxoCj-K-LOYKr-~)$ zogqPQN))t!DL^YCPi|F~LZ+n;jl7ww^Glg5mk+075)edilHUljU$*aTyPsBC!*Lru4wrYAYYdZ z#l~{?HyVVj#Uvz-yv>Z7J*HmdB^)vUP?8e$haG&UVWi@4}OT;Ne5TTOY5+ z`NEddftVkxvMMbWV5>Ao0r$XXbc{Lw%$~K9HngIg97CqG^7KunN1NMgQ9xm^LiFiw zO_u(2fGIPUwW_{am69zjF*&6B=tJn;ENTkeA?N5ASi!?O`!+QD@VY3#w;F`0k#Q)M`7I4PjVDuOV58FFc{ox>|SR_hwel}~t) z6^e2P=KiET-3g)VL3JdG50kWp`nVgy-ptefZceh}5X+k-jpd%*LGw z851Y##MLx}e47+wcGg?T&>W^sV@Uzp+&n2T@`!WyOht>0;Xzm6hk_VYKr5mx1^s=y z+n4rau4r_3zc+M^0~tADEGE+XMbV9L@M+i?W<|D&y`ekQ^5)8SxLv<`U&KZEeRYz= zTN`$U+!$H}xyL5x6=lF9iDeODz2plhD!PKC-4LnA-N-BTh<;2Q(?pJK-pHCwAbrdd z3WfEV$)HMF*&!CwmG=*Kj}|2Hz62(U5lT^i+%0`3!J~1bkE^X)@-WdrZ}S>a0`|H) zRUSi#Std3+FaFMrACz$8$0-a-#3M3yMZsV;D>qj7gX<^Pgk5e+{kDl_#1Zt*Y)b`Q zhQoFnN$@f^$OC3TEs&37AqFeqL@O!g@Ymk+PL1odpmLk0s?pU4($7}uJiFq`>3=wX ziAg-ZGVb)WF7xiTgg#7ljjQ&37py7f1owomc(pRqt@hf%FtJJ*U0MEY>k@obr!i+` z(6)~Uq;&Db5BSA6tMnRy@Ewl?MJec;kPp_74(^qBQG)|#9{X3Z=jd1m`H0gwQW)ww7T4LN&?+J2U=vx*0Gd?cVHlstRr(InlgnCuO{VX zsz_%*wE~4 ztokXBEybE-3cf;#9Tui^n-4wnu%4x$^i$+jK9KWXuZr_ce2T~E9Ib{%-v5f!+w9)? zX*wu$rnQi3!q{10=_)h+86!>2Uy*K(==2k3{&8NWlTC&`cpsc|6DB9m8Sad zOPE4dX2EmdUqmOHT!9pc@ruw{1HzzAd*OWPHd(8OH(KQ2)V8kx>lpUOwfm_?k~)|G zR(G8PJ6jEtg{3$u(dN`?+vBS_NP_~-DLLURF{L9zdRGRkjBml34b#lqG@#-5i`OBR z2iC?Z^4M`0I{!V|q#X-@vQi0wSbnv;?s8(KoZl9iy+HvT<&vT&BX<2E0})fXI7~q| z8es7rARaHabh|o0#yfX$zW?|sX-QzdB9$uC&*Er)rAonq5B0Vov%qXu$)`7C0lS$) z+3QPTbmvdvwx3lNpT3+a^ea4R;ljmJ%s4MIC6oEJdEY~IFdfF{-HGY)&x`cEUiQp$ z*@zQnWs;bJ#gIk$z_ov~N6ftYYHJ51|Bv&$1;(`s$F$S8a8ba37Yxa`d=vkDAekgnHA@ST5C;N$`&~$!a3U6 zeK+t=%MHyp`a{-3ZeImFlSb(oeuW``zYoumO-BrO^|sQIp+Msf9ht>sVxefA>h2qX z2`uZ&K~d@CHFAmRBETeI5wYy#A>Nf2-W%8y8 zu9#2harZ_LRjw;T>t^QX*M51)Cucsm(si5Qvg!Q1h3-;q8h@RT;H(nnM%12i~qC^Rp~Yc18;>rB`|l)nzq+w``=;^eeQy#Aj8fcH&v4&>y+|y=T_Qga4e;=>4GA8G~5}#iuX_=+)t~I zGJai_fX)XNchV)Na&&`ycTlw9Rjy>ul|HUT?!QG-t>v^W_M0oTy~~}+Vs-6T&)4RU z>_Y_!^ap%dbJS1V%oDiNf)x=v14 zONr>shzs)v@;xKj>awerZ8+9S;;FSt;1dVJb_4RK1$xb-PLbuP(V$d2r|JDLv-}mDz~x-x(*Z$LG&qxTIgWGS|z}%_V7|$NA)Z zuAGZev76~2l|;?BDe-ILwL$(r#T#q4YZB4UI&Kt)ca~4C%JsXMYMUm$$-G#0P0bOd{G*Ss?G6*pJ%{2K2JXIku$3kvbCf{d0)GW;*FzYD`ZW$e^ z4rcm^*-8>J3o|hJQa49tgx}2p?7FOf_B!<=is#nUKd) z;nP?tjo$wKf;D)c{ORGDLvLS+I8JZh6%1ANhCAuDtF+O=*^swshFD1hY?VRWx+jVXqY1;_L7yX@uf3({N;mEPp4aWva|D=-VYW5mrRICZ0c6Y(F2jJh$f$$j zndTbT*#aC!@|9lh-_sP zdr;$YfM@S!K(lVTAO@>W$$r`Ono&MH`!NP?s@vKJL9zDC6B0`*Ah{Ae& z-BmXC^1dotQmP~iBQ~l5T@+xm&bQj@(_EphW`$CpEU@uGXt$h!YO*t=F-kO#xwumX zq2*Y`E9L$Y7IfcBe)}u!0sb2#a*6 zHWBQ}iVTMGjsnM2ID~&r6da63B{WK6tVoi#pAD_;AaqL?;OJD(3w>tsN)Mu9W#08# zdDMSm33=~YfXm!Uk2V>VUB)nGUq{iPUdMF}<(m#hOD&t7NvM$4g{#42iprJiq8Rp~ zW!nzaNMlL^KZyf!yxY z^!f`@+Y7KwJv(9W_c|9f>bI%bZy{*o4AQGmAbXSY;%HGSA0(EMt2{k(#`f{rRa1(x zc*}EFr1hdBsE@HHvI-t2d>^QQ?`r`MCj0N3Ye#hMiK}66>y{0K znAvT|*FB`m>Q<}|H@4qB_Vrsx7m;gf)kN?zxy*FHuf{}#jxe(&3&osJp#sm(r+SNZ zn$et?eU;+DMrExB%f|$qPN75T9!z3QS^^ETcPqd?-Bq-i32AI9K=X3{ z<9trZyoZ&#%GBfCF{1WWk_LgxeA-#M5*Y-WO~)fXzSoGcy;QAy^iF1rg)?yNmeiRr z{cuyRrjQ&S*yIF9AR|+(B8zWq~2N+#RztJ^>zH0 zamZ3M=QjEQwEXIi@Sxu8Rl?}HVp({7>DsNZfA`RoFdk7>!;Tk1|2aRccO7DRDb}Xq z@XPI^g=dn$!|{dMYm2_j}m2r{ev!qATo z3@5=DB3T*F8zK`HJmDUy-VETId!Laj-xODli*1#>CSkMi&q-RKBrtypnDE4Nmm3!~ zW$DQGh=g$$PG1jLjWr2tS8A6jKDRj7*z&5@Ds0tE9k7H%q-4yys#e`p9ar9DD%q^# z(`n3IJ2|KswGt8C&){C)ix_cFw#m%_+sKUTWVaWsax0> z5_aC6EX=bo&eyDDZw8Xbw1xz9g@mDp&A?zgUh+ty}W^Z&B)HHL2Zx)9ahppP-%QbI?eEA+&8n| zQ9N9TCaG^iaslgr_0G~&bWUb9TJ^raCe47{a;<~-6-=d8;|Jny{_ho9b=R~Sh9WhU zg7J>KB6?yBn1r%V6IvX-*APbI?E*SgOsp{L8934e!!w5TCvQW5E`#fZ#c&0W znEYWpW0SV&)a42zq!8!e>&mqlXjo@3b??J)Z1u@+I?J_dw(?HC=&5(nR;mUsV_L`- z`Z%wQ%lS?eD^yUM(FW(lnhP1t^Lv3~y82DJ?d}{!fMeSZx1IHr9QB#ZWWO;RDpO#< z{iXclFE#JxtQGp5wmCMJ-!lVSFC})~kZ0!D1;7}tCm+A^I+A-kYrP~gZY~)q?T<5@4;eANC zcqD5uHBq+|;zjGYPM_qIT?1Z3vk7E7L-#g@zRvp`B^8M(900-uJUZ58jc|5C>y9H2 zyiz~GGu1cT22YWmfR#!Tn&gNx7k3F6-0a8-t@+zQ$+b?>u3L8{=T8Ugse~jeS*x{4 z;_t`kOC1IuzdFjikrKGv$!lNKuV|i;V0kq`taf=~UvyC%TL~$e9{(q2X)7(pom+{= zY1F6$F&lj@e3V-a+K!4^9kEnjy!QlL{r+wOC0RIm{0x^%o+#u8s zu>S@`|0j;2RQ?{T>JyE1RCA{U^?2tkns4wKy^dy#u+Y$8u>`GCyl- z&wGD|=6eqkm>N~wxq~%KN}CCV#>#SDTyAH&ueblJ;g#vzBh(J(C=lE9BN{^n+-C?S z8l3mHlt1rHClJbxWy1uy?&YXumu}J&@&J%$h*`($P#%9Ser@$$-nce9%19p~TmH*7 zkOs(SuRl5R5>JS?fKAPhlR^%QCS|ERc{5tOmINO!1A(z!I5spG`Dg>*%sTLjtiIJQ zmDVc)wKjJHEJ%q=#(BsTqdj60*fL76rbqtK#mwAau3RrbA+P44Pa<_@b+*oo^?>cn z1G2Q!J37hoxWAsu%r72F_!$s%bk>Y#1B(Z|ix5%9hLq}<-CZwW;U9}2Vs0$xI0K4S z$>(p6H+Zqtbri{`voZn{Bu%a*s4vP1uU^iUgckQTY-m4uNl`My=)Umyas2*Jp;bSYT2CV_6?UD61oOCNe9(=xNb zI^aABZrc3r3Uilgjg61&=u;-@a^mm#Y``E#z;Gd>Z*}|z_XOVu%nX9xR!_aaSI=itgav z0$}tF>Kzl3NpHgPI{xefB?S5-N+pkZvx!1OzRfye-ptgeK8#PFoAuSlX5`RJ9oL*@ zUDLl&#;=i@JCCItS$Jl8JA*VVn&i zX;!Tt+v5>Q8eH(QlQpWH%ABQ<>1#$=Dap+Q>#Bg`fyQ^$pLVi&VO33X)Zrf^%qfp7(bV1|QAlryTbiJ#F`=ZQ4_0jf4K5`4UTxLpD2)8%ov%JgZfl*Xhw zG}cZG>jyi!RX@dzAI&8fbjNgChUC0YMclJ+*M7td3ouefy&)j>j#RX6nZ=2JofYZs zuNu7uQ1&x^(J~pG?RB?T%YTPdh7etTXrH62{bhr`kAv#UAC3NyK?ZXAAY$NO;~ad1 z{%M=b2HCsETmI2D6V3A(Ie)F&vz8}T=C_TTXW4J8G#yWqR%qN*O-Fn2#v;dPw< zw;s|n#tt$mYZT0F$RnE47*qeitN!#bSwan>EWnbgBp*V!L}aLk{F8kiyBbBFuKJRa z8#Ytr@Z4K=x%yDWF+{Ha+_i!))No3!+DK`wx~kM;Jw{Fl4z?tNO+x>kXQ&-S$oB1c)qQ1?&vmEZ zpDrl6fnd)WYpZB1tN(Afzhy0V1k{`7d(Q@U0Wlro2C7<(enUgwM|I^_zts>gT4cPO zJw&9Xw`0z1#C344V!qBkf`}d)r6H`iKBNjHE>mF6wm$xC1sby!Dt?`@g{OQNp*} zZxIUCUn2dCekfr;nN)&}vUoL^+mA1k{P!X-QU;;#w`v(aYEg~Bw3uCcuC#sj_25fd zdv_{Cu9w@;>N)zAApAHA4{ItT*0Zl#_ds7gD)0^2J)6a^C=76Dl!kweQ4NswL0S9boT z6<3KAzZK{bIC>6!^x^R4nV>6-oG&2GKiHOMyhOe?rn}%yJn9#5AeWMS-yo`I2{hq+ z9}1_mEK=$z=>t_DeU}p0TZ$I|-2zFD$q$qcQ!9#94UJCfnZ2avAfGVX(!2f5S3<2D z85X8S(zNYk``2E}u8*J5tf>C{x?FQMdSR8lku?#tCXx`^_rq5CQ3$Ag?a;1G3p)*q zY#&HZ4*cf0y>II3?Slmk6Z@4e1XBO05jYtK6EHdT5s9b2F*={wmpATgW79RVJ@s_> zSR4JIFX;~g)wA}`&?GZg<;B@KGk2(`JcbZeKmdwpU?tase(J@s-}!Xb3*+MM`O)Md&?ci{4L8Y?RoSCD%q$yuLMIXVq$m5D3;Vy+`%)i; z5r(=XlhmdV&AO5##&CYI@a^~Y$)J|)Gw*_o+Dz?1t2qLFKplQPrnu!a9vXdKXG(%j zA|Oj<_+C)3P%aeaKI+Od2OqR)I}d2(EWs!Z+3GXU?AkL?@*u9i{Og6nn#?iM>?nFR z`qc%^0{sqS(35Oke$}snZ+?UejLzmqlYiXoq~&6m?!;NRvR;E`MquE(8Jwf^B0G?lX?mGfN^N<}t?LB1oCGx#57k`wVJCoNIq} zkrw;~${$+lmDCtLt6uaR+@EZ4=4Gu?#twgOF(ume#R1B65PVSby82S&l$3u1!4NMf z;-CCdcDqD?xpV3WMIS6q7%7ZCR^a<3qbAL!#c?7D`~xS*N(pa!lpEg_Gb6cR>CC83 zlDy62h0f4G0ax@d$cNt!Lc#_A++DFWCUjgdVTPCj)Id3h`S5GZ=WiT!(R=C}ss4P^ z=9R^IlY()y@rP)s9WkfSO_3so;w$0xexPgaTs|(Hq+K=o-@tfqo11n;5+=fm$M;x! zsW1)S)ZhVqfZR?AC$N3_EUSC5tdSSgp84+(?C80j(#tJ*F}STOrEh+s3S`p9T&PcMiY zewZ0Iz7B#9j_Gd^y7fjZ(7!7BS%9KELZ9{Tw16H?*il%&WkM$c8zQBj$P=g5E^3~Y zz6IKhNAU$a&>c`wrPj*TR5U-!rTp#@(&U7)NP4>9D}Ft8l?+T#A)RtRw7c@?z=c21 zG%(Fo76*%w3#Ox^ET0l|gx_GEyyMLZvv9?WndQCsG_qibpT4fBW&o>|GA2_(PEPnL z$1g~5ti7u>y3xs9vG_IhJvD`oYs_IbMU^v{tyx5ZNYY# zt{UTOtIQ;mP=k?B0n-X%iu&4Olg@XCZ1vfbRD?i^ci)EBT>Vw#=~ZSsu?l}>A;S=z zp7A-L$ioN)7{z1p@gWHX;Mqen6H3Lw1mcC`r~`x*1Q>ElbIB+=6(~b?ME>6qN&K6Y`7Z-T~l>#tTvM`hAeS)M#Mfa-Z31r19&ma5yWy+Qyis35o z`-c*Dfqy@$rF@yby=8-KVm{uBs1wgq$gZwm(;Rn3W93^91LgPzK+=B}oa$oOH7!e& z{hRN57eMtjE9`Ww6#)-t;}1j6h@et4;%azSgg(QU&{3GJv7mrcU_*_rwvfFjHKg1Z z2w&yh`SVV~RiwIBZfcn&SzL0{V?pPs@NYKM7TxhBg5wwK+<47QU(rcI-t34MTg1U_ zhj171x#8JK#k3t5FXUy!bDVC3YscMa2QmuIwag8C+V%bh7YUci!m6om=ev=jeJDi; z^ZxVS$<9MM=9D;bO0)V{@Zs>))|e({D6i{HW6yXIC^^Br& z>YMxRdRhwtk&!J*QRtqOldq9l?`?F-w4^^OnadNNHXil*x~oBi*`{h&tIF$EZ*DYp zbhhGjMv&Oo#-?hhZ!IBeZ`v|;v?hBD@%-3DYuKY-9m2vR?xJycvJkFyI=(q}`#fAt z(Q3Ss`|Mom$a$${Xf;f9c0PKFAamo-cWKG+{c+mY#Z;ooS*}cq(586WPdC@ydaSG> zK+lOg^ay(;)n1bg4%L`cLm3x?%Wjrx`#y9gz3+uOJ9wU%>+-`|TmV&iTJT`L=?XjC z3x$4pw>-^>rLEj6W!<&qD6P%S%Gr{X+kA|5lxC~aCQQiy#=F6~~o+mvoWWF)I_BcXp&g zpVt#?I;;Ldk)4PJC_zDQqP02SfHm2kFD|xW#_ftKDzKs3^VTT$Zd1x7-LrD5Z9&~B zPzNf3^rwuuKfWcUWCo(oqHa}5gup$m7+7kr8a?f4#MOaaLoVw^Kc^{Nd!Y(b{8f{s z=pH?7^{#iv+evXcl075XD+MXh2(Hu(d`ufu3^E`&%L^*Ass4A*_{qGL5#th$eq-$i zH}X!mjByNe&ZEnn(Sm0ITCXmZdpcX^9Bt za)0!2ehAq~E_zln;9&b^HP*1+&!{h*ereMe68^B=evv|Hj!2_Iw8!#_lG9Lg@0)CsIz$ zL={!*1n!uS#gJ_HvP~GadQ;BUmqsx2P9=;p z+&p&=$ix+0aWkX!$G%m>jY(X-$#gn&zwlM5KSkZc??b5L_4 z0v&wGq*3qQN9p&i@0BM%mk%&1^gVoS?s(SfI%QzOzg(MW@0Ks%?RX7)R?05#{o@&j z$U6ZU6ypphL&{+PBMC$j4(oYKCTe7cQDkVQJ90XSQ1r2k zi;Q>5`sEsF0|lC|zH`D|C}a-{+RWx}6iJfyq=$E4+1&zI0@s>8nI-Z3s>R`wSjTZ! zjN9T(E_X);a)o=D5Z0{uU^^eeR--W06MVTSNO^n}{9$Hcmk>~LoF&=d1lyy{{XCRo z06bJ$fiQ#$+lgn9QpC(dVY<<4yl2I`4qUPf)*sAD`t5Mzt$Da%xGm$P#Pk zY}##^@Q%a3FQqt(;My??a1EOnYf}F?zm3=v6>Pb|3i1^UoPpsXg+NibGFkfL%Gfh( z^M*OEfIN?Nu#id>C56DM`o){g12*L<&+O1!5->o+I4F;CEhuqutV=_WY5G&au|u6l zXH%=Z37e=i&_ZpFb<&qKmy!x>5w369AS1WocMBT0n|bNyqueNlfI&nexQ&Pfc**x5 zr6Uwhd7=U(4%fY|fodF|b+;6`vkG-iA&u`DoktIBz>?^xMVaJAzcMeZnb1hR_1W)} zEVFl7!No!k^=z2lr>r5ol)-rG(|P()au|U5pp%__1mo6Y7j@5hXRKRzXQ+4jxm|7o zptHh*-!h8O-oZPgM!2`GgUoDuNnZfzhkYO=NjpTz`E0*Y4sm8npD$D6X>9mvjsicq zJ%9{es7F3CohX>^S*L4Y?(RA8GslBv%$z2pNv{tZ1rUvh&AlC-ERP%pAbrE%P13@?><*Y)gt$x`F5!=CveZ8<#wf=9X|^0l?E; zgDyN$%;enPae?M`W^cEv!hx!zL73E27U90Ku7)fA%7D-?hBWl4C=nkF%lOz9?)NU1 zvea~M?+b5#m8(2^y9CNQ!(w-zrw9IHgcUM&D+s!!I+^F9r0Bvv4F+V!E%$&MR<5q0 z!i%modc5obeP8Xkwf!*+ENYn{P0fIJ3($la-d=+3ljf3vzeW#-fAuq0sOJ}3gb5(!Q0o^w-n#cz8Q zP2+aiyv)znN4bk3ths$NK}OUFI+e{c3>53wuEa}f6_Hc8IGVl*OyA|d%er@uJz@K*UoA5F7rC8)A z=(S+fJia1|Q5qC=f5t_>bUx}ghS=TvY^$vGeKqSe5L2*lJwpN!u3%L2~8g%jjb- z_o=yQ&7;xV0Hj92Iq-!pRNjrobJ@vL9rxU#8rCQ`d|XR+b)Fz#1PcRUbDYsgaI7Qqu_;E34Kmw>zPKyYa(8*s?px&b?c zTk}vcyfal*&0=H-M`3v zGV9!WuO;hEVVeL$p;9W16YQ-pG~PE!akXe-E|(m(C`W@v_bs@)%&x^F;PI%4fZ>u; zX6lf9xbd1T)l70ooE&MQkJ^&mvy<7qFd`0a@g+??1x(6!mw_Sw&870=BG3VsY-j-n z!3U)({v$I(Zs}&JTXPbtT=~am;IM>_C;k9pjUQ$#A1vWk`E>RhU{wYJjEO2=%yAFm zDlTg_xlnCp;HDypR^1UVih5m-w5Cj6O$`HA2wOvg?pTNvpB4)~vc={}HH^9T&SXhe z2fsBuM@*QLRa`2n8RgFgo7)qZtCc;PGMz~x>s6SU_xQ3n1nROJ?c_^rBHfyDnV*1W z%2b+C$N@qZ9?>f-eim<;|FJ1X?>u~VnLCS7frv0zo<}xH@@C+V%dh0c;XHBTiSaxd zKCa6_-cyq?cLeC*GxXs@y%meC9hu_i*JxAnhygqE!{#rPavPAdN%dW`0Lh^-OOSG^ zV6{dIa4?CG3%0rD6mQ`~`F}AZH~1K;?2Ak1_d-^170c}MFF0SluKSqtGS}lJ^%9a| z1Fd*c@`Lr0urBi={rHW3OAGL>r+ zGi{_=b_E=vSvzzq(`1;drJIx8w7cGFO{pg#3tt!ou}TY9j`JaIXnfLt_WG~M!J0ma z+4Z_srH$0JAj#B!6pd(_#JS7$K0IWt%Aw4!`u zA!2mjx|G-399#bz%H=9wkrz6OjR^T)52;ph&MfXc)ZuYbk2_+0%}u6bUq_UGS|t~l~Q-V*#X{9 z@F8gwUZNWbdej*~#~{%;D@o?8cVy!k=tEu9s1U=u7I>#5l`%Rs1Qqlbk`~K9dYw{r zw6M$CoS*5~>W?WG=iurOr)U}-dbJFR8%294h2?&R89Q|zAbYHgP9jjwH)||7TRtQt?Y0fd-?rKPYWr2$q#W zMz^W@ZmP(BmwUfuhCQ5rgPy=?c4J~tk9@Sd+q}8f9Z^B+l@zQ_C6F=<3>Z-jY1=Ic zWviVL)cmo9QjyQ}9u)1*b)+1KZPk@W_9Rh8qrevJ1ktKPR%2XlAJUzg&^2AUy>9k) zfE7$illvBpK4*B!c`^a@vPOB>=s88I<$bib{q9zqvA;ede}k=LJe4=Lhz% z?kGZjV8lykd#dHjU4iHz8vZ38{yUNE4xNLc)=ATtRy#9C_*Zsry|1k@&-GD*hpve{ z#4G!jj#SFsOtQyT_2*-UZg^A{w%gFQC|u90e1x25Dm(J1II(U+$esM}xLV4=76d9U zyTr&Kb(n!8-=-bOI!)61$}`i3x)tH*SO3CBEtH!hDx98T~942-nj#`vaE?*u7Vim8^)`0Jhxd;!t&JV`pXZKGq`w!ZAK-@ovk?NLWH*TJe$qt+T#HRpZZ(w)3EHLmr4yfMMsu?-zC z(U``<^uoX+reXRSmWzC9Q~I)UIEh7zZ$A46S{&NuO;r}RNY5n3(gLsiW~aA$jkW|l z!uGp38&<@)vzIyP{i3wEmqxnq9na*TIdNaMFf#0X1z47n7Nsn2ca?8v+`DjmCHxG6 zqTTR~JWj`|u|F&N33$sBh&bq9CdBUa#MaT6Huu9k;9R((yZ-J!ymu5Vg`o0E-Q9EX z9X)ANd(OA3Uz--vZ#vU6_qWCh=5XtdN;@?aETOv%j+O`|Y^(qp$GQqShW)B(7Ri)0 zdIB#KPZEtf=i-~=MBP;ap*b7Yj=EZL*=#cek|Qc~E}`yI@7BD$CUoSl5Xj$L+b&d2 z>(^wcx@l^p3XTv7)#-?x7A`n7uZtX zHE+c|arXJEVt7Ow(QJtgPkacXVKrkKFCs7NhgdwzjD^D*{%y$yBOmG{?~}1T~W& zyG5UgDq(f9x1D9w08KEsLW#v8LafM?+8y;}N?g@9F>^VpI6Gt%T|!QdCYo1dgN&5B zL*X}mOYDJx+MX^q(NVf>49Z&;8}HNI%$_=qCGo^ah1_1X++?)w&P|$6uW<8#mG%Cr zbAP?dsgruy`BKvnrILmUCeO*_$wN)Vf6VTq<8VDr0q=XFg&bZU>yalN&3>|UUVx(1 zyV@!lT&IRqssM%F69aTvaLLS?WmW<%j*(tdA|W>Jx3(kn^oqs9j8rrc<8HhofO#RC z#y=7`w?qSxo-+oQ!%Xf#vvdQkh1ibkUHbqd1o0!$pS=oY<-1sy*>`xZpFz+})z!p1 zVIOy#t+{_0j?!0)O&oqR{_bz9VS;=Ehu}Nk5V#~#1fgn!+u69V778AlUCPk%Zx7E&e<@bZW0#Q{R`hi#*9uTR+@;6Z4xsE{vN3tgsf8F8CQeWt=lzt7o zggpd{gxPg$c2hBK7CV`}b)xv5B2ppm8e7%}@Zz)r?k*mWVp5HR zUqli2iryr#+sMyhm``2RLw%xy3#-XEac$~lgO>N@8;f4X=mhWR0Uxe(WAsF)F z-se2JH@-Qn;G9g?&r8~iB_FcBu0uwq*C<_SB>??;YxXEtrC#2+#}tjj*?D$-%x{$W z-u@vNm2a$(Qpy`mpLQ>w%_i_iY6epx#LJ`Hu@m?-^pE_uz&|WLms%rEW!d@wk71qh zyAm%o8}|tc*gy#{njq3LmW;j<#j5KT75kWlUMxrI7*{H1FLql5$T}M(f`h$PinQF( zO2aR@;W#)M@*3>;2EdJm(5!6J6*-pQ`u?y}%?8bxq?I+*B zL;iB{?zacH2JHc%&Jak$xWIN5+s4>mBs8>gBygAm#&e-IBkyf}~zz!mMnUCxyQ`FRxFr1LCS{-+N zRcI4Nf}P<^m*|RT!U|)~A)V~y-{Uh+h3>NFjg{3()IyO*aoG}tHetwOGHYGOqZ zew5LbePy=1G7cUR?Q3;eb`1+}#{}twPzt~O9c`KG@>p_}P6_J4-L6px^mn$pijK^u zl38w%bZZEdK<)S-NG6(=}y$#M$JJCCr)k|tp+ zA6z2z`88;n*nReRnJ^Q(EzL5l544<{$Gg;s_pF4ApnR-FoF=Hb{##eO=LgEwDmPHd zQTIUyTI@16qe~vur{S0twwT8g_7<@58eA+i_o*M^;fr{9GBnYrC)`~M2jw?2Hv}f} z{^Wztu!aP3-4`emCjX_OOTS5zN)^htZa;@=k8}g*qHP+s{OL*tq!^IDL&fkY;~AB% zE2#s>Mn!gvTV~rzknQnRmy6g{e$ky)tGLe+ZW7>CfFP^Zw}6~+|G;n3NdOusBIVe| z&wvJ-WRqUh$&%0hRY5OXi~I~88)9!(-k@l{XN{N=$$XF7g#WZeQjah}*GZaxT)$tw zKv(I}HY$cMZFaPWIscSkpopi!tC-y{<8!(S_Q(Inv> zVU^C*V4_u3yZmEJL#s;nd;Cq$k2xuo7!MD^B9TRt`Z9xywNmDK6P}CZR{Wnp?Qsfu zyPg6ZU7aDAWhJ0#^ar+cxv02Hg(2xprki|+gyFpYRy)CEoS9|SbKuCJGKFi_pF z^JrSKUw_<98)dA z$K7QXoGY31_nG$~GGClwcq2T5z)P~TWgvA>=Yam@Q!IhKwhdKe+~dl} z;Bufwf3{?E&Psj*Xg>Nl44;ZA?;j|048goPB3a4_RPERih$8hh{l}QpKk9(J64gWk z->seu3qV%+O&Wq>?|8Q2LkZKW?4)LC*Z+XSEF^K8;8^cpod)RlOgrDUz2K4>EJA5s-0(NJ^Www5a7_eD63$crJ5sGaUKcZFUZ8_Kv0W@BAIsxo)^2*dMK6Ou0$w-|3y!IWgVD z`q$%94(^4G*>^4!E|InMV;ihLi$PTd1i!CMs;0`$uj>zdzu)+8c~;9`XRjG9On05G z6I_Wu4M|t(`WEdvlCgqb72n-`yGLDF4Ek1iBsFUOLG^FQaoL$^%Vm4Ds7!2q!3@LJ2QShbpQ9l= zg%Q!7Du+LZVnnmLX2j!#Wj-uCjXSjcqx~uHlRt0-0`g^OQszknVq)ym2lP|YHYlfl zQ(F&aaLM;BwGV^^bjT8foh)(sP3@04k^ganSw(wbXhxIFO)*v9tR4AC(g6Zo>%OST zD1~;8y1l`#cyka>6NH>_4i<=G1c*68Ng>aCZxGz-b-NtYN*I*}R2?-~FfEaQqF!YC zd+5+B$DkBA3uHD@LJ+HZvue9To{#E?ISplmx$O_zG&ye+^k~_LQrN%#GVtqKC?#o< zhX?DM7dmjRhXYj{MI=&DUK%mf(r~Wml0_8+Rb$~^#Ks3lkY9FlC0-a8P1%6gpv61q zOE7i}SsBW!8Ciju5Xg1HUq4Pyey*)zo@@Mq1_a12t8Uefk|>K|A&cy|FhDck6^)rz z#KCe=DKtr759_f5ts;Gm*`8GtJHC??P^cG!tD*tQ2`)^NN?-F9w{;=cee~7tW&)C# z9l0{PfbL4_H`+f0UgJ$v1Y;-)_ze{2GB{ZLjiuZh^q?SpLrGg!I|FK+FXh1L_gU|M5 z*mW{g!`UP0{1Sp8?4_C{U*h{{0F#~wl}4K5e^w#O{LSS?9sXl1ARA=SA54N=zm%SR zQTMW=FD^grMF!b>D$#Y!hk78l(uzs0Ntsg*oz?e{CHiLk$Z;_)VEf%p4$wu?a_hC202#-IqB>jpOZeF12KiE6TzxM+21dR?-umhh>R zCqXtoy+~yMU#@y)fYq7W3`iZ?XW^Otv%VqRxC?9Io!S2DGVJ-nIx%regA(?i+Vjai zTVm-CzAr`-w=ZN&Yl_>`p7|%7PsSshPs<_Y7)pFy2<*k3JGWCy@=T zmy89pg5I+K-sUOU0UBcK-^v2Nm)d5fNojX*+s;WnA7A>D8|~&SW>Ta;=+aH)2(Yb| zNDU^(Xi9omR)=lEYy28RdyPzorw{x$)dmj8Z5Jj91FPMJg3X~MKu4ccaBSGn+gbR~ zqLb$S-R}WLMveELNY}91)|W4Yi(QO9glIV&%*tOQC(eg(x+@HGFsjJllrwx4Ps0Q4 z`+txAP}QA1E1`C=--yhM3F)|$;TS40pqd}TkD$^aL&p~4yHRP^SXw$*VC=~Nt-1k$ zf<8WFVNZCxw7tYy%hXa;53e@&jND)@J4lt>f%)?mn@Wg0ft?71aqfGp&^0@hy(fAm z*-y)hWSbnT+Ub&vF-77TfA6lT&|(+Ef^5Qg{S0953=!>!z1Uw`!{T4Gn5`52!k1dN zWb7bvkij*?683nLGnv5&)^HC-n}pw8bA;7jE>`sDPHAuCa?>uNhjkv)6SMJfiTcGO zXdf)2GWHyPVSvZUZ{z0$73yj19<*D<90|MUX8@jStT=_bQs-I+NUzAt2B=3DdNFYK zK16Ivy-Hc+?`u#7Q{O{SM}bF6D4o{YZ61>W+MdM#WbEU~z^rswAt_F;E-UJ?cLN`mhH>{$2Wb!C9 zl)-U+VE`~R-~JBUs6;}&GUYUkOetod+;{L=#Irtq^)phcQA3Lbu64{2YpW|%0$jIS zIw?oJDO2(%Bi>`nE@#H6L!xUrY6JZaB_pj96g(kU$M3Bhx+@V}OK_i+80rURiO=K^ z=I>!Zb&c`97&_m(=cB*yRBOM43vI=Hwd|#t$9{o+QeWG|bkr>ua;ZqMa`)wNTN>QwEMQ_hAIxN31 z=`q=z_+Qv30Pc(<$zi67B6;Tm@D*V2`+Gtx5^ZKF7V5QX$4uMn;6$RMYj4B?>YzWV zMZhS&pgl&vz)(As$^l>LWAvNgNm36CvY%UMnyj|fXL`In-Ogl3hmr_rUDJNHbq~uw zfJ&ONK3+&HjFJe&btM={RgWS4~&w|fW0>Q|?F6bp8QKinQ4Uom2U~Iut z% z_DNcPXOv8{splc@wI)QCNSlmGkU90$8u|cI4Q5MbpuzBhjtG=tKnCZ?>&5Vlu)blx z(An9D%#JZBg+L3Fmz8Sy_9Zt zpLWq8`Qix&lhFhTO3W@zp)0`The;s4(E5BvXK?vso6`#zBD#GIE+zKby786e+jvve zb{6nBI09Op`RK-^emmLR!p6n&H#-I{eN-IiniYFSV?RJ*IeKPR_E`(*l6uEuCr83z zsAyBOY`u@!!U3lePxsv-JElt};JRG4LRA_Ro5%Q$IxR)yM#ej|@IC|bzcd4XK6mWn z$6k3sg{1b-tZulOe69CmoOM{btHjBRfadG5m=wAd&cS$`utDEGv925+@H7!WPwRc= z+b@ka?#~tL7Onq&f$LI<_m_y2+PnYutniZ6sDht0q?@zd zSz}uE?ncq8(^|e^8N*?BjauEdDQ`0`E{;Key*b7!ySb9;s?!9WSa*cs=}xnm2}gZW zJ&c6aQW55GTfJm3yRVavoC7PV9eR=WxyEg0U+u;>YJr?L%UTM=RLyQPjXAnX-@RD) z#2$19^%9Ju#&7%arPl-VqSX>c^gB^m#uu&npo~aK9pnl=g6kmHLHAKEkcV4gPbU~5BSL=( zaOiBMVhWFsIfSPX;ennfw(AmfZI8jI2YQoJ27s^&ydw{AdGY6T%)|9c{Gaa}S>bNT z==1Ftlp=fj$3IgUwWzj0ywA;oES5mra|lECIJhnC$F}CSNy;lmYPmXWjn~FS-AJ1& zFb0IwMi@bH{?auikJQ9T*GDbz;^LR`|rnCQ)Q>6d_XM|Iuw<1cT{x)4m% z=!?2dBUj0$*PF*DFiQgYt4sGKo_HI%YeNYnt%H8vS-bZ}%M9c2;;J9kHr#z)Ppgxg zXIp<$mi&sU46HA}L02r6Y!UV5Z!a06_}%S+3nu-of_-&?DW2{I{c9zjn5f0Ev=5%Y za}P}5ZBG_QOxHT3fBy3&C7D3;ySUr%s_H*BXz zf$xjN&^uT(wOIPqM8h_V>F5}r>URuxg}`vDa?-y1M$|8Jg@BSP7voQp)RJ%P}Z)3q|c^5TLs$@#dX> zJ9ULqZv1^}Lck)Okp)J{z0}`rofLg0`NwdIu`4ky? zk1Br}<#P}D>gD2WKl571>Y%)r#LhEX0RO_l%^{&6lpy!i=ldj*9c1Pn_db(G$cGb$ z&-tF>-I+*(B)RHxwQDhw9{XghEGpPr@l;Yu%XR;wc|Y?PiDV*;kNZ3h??IOPIVs#z zEZ1>o!?m(zvK;ZJx)+6)CO;mM`=7inE;e?LXE@uqVT6bwJe-rAzZ*Pg**g5I{Yw%9 z6DFiEWo`KD0qTF2*2AfX@1HtoqR}N4k)cb`)6YH_-|48N`f5zln>$lW#Uc|FY|3A& zQh}?yR}7?EiQaJ6Pm34cpRi!{>IU(_pcq8hMA-So8hB8Xy~0S`p&FFJtl#bESH;i& zJTchUcOhhjgaxPnZ}`7Gz%j02KGf`Y%ND6b2r~a}@qvr}Gq|*)7yc94XWN6XgLH*nLX!HlH}=^n-j{RMD-pka`|BaUaXhHsw-lE zx4Hrd#4*nyp~Ik zu0A7>*Ca=k?^f=kJpQQRSP|4e!F^Z&jVY3RpLNuBn+qQjdcgMa*5Ax36P$}Wt!q`! z=w$*~?RihYPmG*jV+J*K)nO0Z6=wC2r&DX)iX6QS+j%Ti-63`3pdy>doE~=+O`M34 zR~-t2i%N)nQz;~trUR|2pwXpz+Zm_7kt!Q(mJNCA2JsTAe~W5oYt$d&?d&6EWzuVm z5pnH-ovhNcqpLNcGUY`leSxTM!)}+=RKoPm{UXc%+8jM8nu5=>RK5~c3*+j1=Y+ky zkMtQzm@0l=8Mx~%tS1^fPc%!SvpUcg@zeRqrAaW@u5d)XduRBK!@t%Gr~fx< zU~~iS-)py>Dz6-u4KcE^dsU?8B^W=bzYp!3wWD_{tAn+&x(L~+ax0)k>m;0-sY)U| zCa^TpgilpRC@NcEj8lKQA`? z4JlyDvXIH-BObdDm_)s{z*_~-)b>9YUgn{`(B!5|9g-kJru3|T-^D+PdTwTND1BKM z#Gb;y6+SebEYK(PMn(2Si(%n6=oD8u1~r{=dJg+RcplHCY>lgP-KL^{h`kvkezYB3 za!h|F40@LV(g`Elv5~o*+R#|upOV;H!3Jr^l@qO3Ci7=4q8|!ajk*Q&1I;2mXWOWE zEToo^+IFlGyXV?|JNin_{9!Rmq_LcJRIC5_!Z8L5$>PB$suc}_!b;@G38YP@cAVxuseD|1^S>WOQr9&97G7onhTVb_-x_i zBTkDa*u2A+GZ>hMyy+%+Hm2Ad24vyPttCa5(a;zMDs0&nWnd&+ZGBnl3MJB5tk|pvXXEK-z=G{Xf=s@ zRT(rFEoWgRetk|@`4EKj5S2p>#Pp;VQzialtyN?y0b*{1d9!dso)UfiRb}!@ z)>Wv$VPVGZo-1WaZ94f4#2{Q$7d9S+sXe*LiH^PaiB_H@lT;gUw&L!)U38*{#V0zx z3rc$&g)7Ia9U+QAlw($e?eOL_D6^Mgl8n;Ow9cI%Mc+n<39!G&D-9C7Q83#+Y{iqW zQVAt~fx~-(oDM;u6_QnW!eFg+b50L_R>>(m4u8b~?g=dsadGj+7vxYx5 zGGtUxA?QO~3_|rxdMc^<1p^Ov)V2hvajfq@rn-kK==if$V}z=%vK;2D!jaf-acGZf zrQ_<1I5FnH1?C0k9z)$mbVm9Xb`mpWk`xXu`~)%0ZARAWexCW^B527<&$xd?mtZSH zxU3)tf7PSUeu5NduwhaDZbgt&V-?4rka;}BHf!dAup|{|F9i;%nY=4*?Ky=g`J_{9 z4;N$JIs4UWB+ofW+tfG*^IkinkaIzIygCeEke*wjs#9|+eoh)d$1nQ;qRal+t72Qg??Mn&v7i5$>^sDhs$4la7V?@h8{=WfFd^H4f-ylN<4?QMn%a zQISt>O!!f7knwWax;;Rr)fL4xq}ii0IBTyK>PuFdquU#mgsu<#5`s2Z-&WJQqH)0S2KW z8fb9JFolqgbp6yuFg(=1BMFUF`sE#ZJxOXzVz9q>zg@q^oh?y~4sXK%{a+uU5(vB$ zF;Gs+?xlS4r(vd+I@O9tPj~mLS;+CxRFR^)jbWcF$r5JDi@PC6PE5(xh$UH{3@Q~< z1Z>QqB(dq0QV+xx>4JPdgIu`jpNh7DnpXE3164`VLm=lSI8$t9ezN*pcJt|lRb|&e zt~(#}2hHeL%`++KiY!E?--~6*TC9-M?cF`zAEBN{aqfk2tG5CUaR#)5K`6{G9T6e* zE?ipk$RP!$sr4_&$;_Ej5gkd0V9D~@hjBK+@}xrqtLP8*fiMK9RJYaTLAiTaW~{7( zi$pz5R^Y)~KbGHF8)4FoFRs}4k|S=qb5lp0$lI=DOq{x za(r@$P#NZn=TK>XMM1?SM}k z9(JJCi4jpxvEoEK&QZMuSv4%ph7T|OH%>VZv&dHZL)96!bMg~5UFNX4zTd6D6(2qi zGTox%WGufHz>d?*t97XqRY~n;JmAG_!(de7vE_sa(%W4d(%V!vN-w=6-CkHE)nS)3+)f9A~yEM<(N|%>UA$+k-*W|QBY{Wk(Z-y za-!fP=WW4gr&AgbbPWmasW&B?VHwn>u2E_qP}q5{d*(~h*UBZfTehm=pUy)=ShBaN zzRIgql=dP?8VWX&#x-RmxKPRvl*l%Ux=D^|#2K&0+EzkEpgt<2P*Ew)^iMg;PmY{$ zmYce#2KH=BWJ7b-jWL6loH5k9Y>Z1R-<-_Ol)#GrPlQx^j6%)=+Ocn4mjyo;yUswIS~q-jc*gOf zrPJj0+YwmKUp7{9n3a>%E%ufADOgl59__W*&iDP2GE8lIanBbtcAy3>fpERg`v*RFyaC#4B=@aWFeH|Q{7E{Res`$j$Ixp8Wu&Myt9mY8 z2nD`3dzqb%e8FJ>5{!ZtE;&c)L|mu|(g8~#92seqWZo)Wnf~#HQh5+_`Gx5d-`mFB z5bmX!r4V_14Jg-iD5mWzBBb~;q9>{g!u-i@?q1J;^OOJu-W_dAq!XuvUzkg%`&t!r z`SME7jKDzEzY&9I?$Fb$!p-Tb&x6u}!_I(o8D9y?esgIawUXzCP|c3wW?(>@v3Vwh z|GV>mLMrqlRX_20>_TNa-^6R&O&Gqw3>TsDF(+0;Dhu4nZ9ct&Cpgu+!fRQDiEgjA zN0?;wMMfV|EfZhnzeb6jPBHZt_6QTYJi#T)_@#!b6jtQ@g}vbH zJ5=@;7;YM+-)X7Gb-fK6NvcKH|22A%kOc3_TU#KwlD<`tga`7~#d=N;HPPrG zaN3QdjCaSF2o;_@gk;aY*Z}b~`f*85|CnFKq^N(d!#wLz-7!PWNw`3*Rg}ydZPrmG z5v!W%DLJ$8Zd>D+WxyV?s+@e!(cqy5+M65!?e(_~v4+(mfvrL5dGDwXM*B{fqwjZW$5GIj(X`D0`#z1Svuo`drWNm5+e5!d&8AF>hX2HJ5=$N1n!>UM z*p$LtQ?yHkh?iV25sEQ#i?!eKArFk73=|%oi2x?}9giFWSGmI5;vYhJO+BU|C#g+1 z!!9gkqrUZ1)B3s=O_rtJK@d{U+tZx$OIggFlde(80b;i7g#?4f`0Y zUH#6%BGKHeo>BQH3NS}BIdV7mOZl^=eMz&RNi8vMFB4akH*U!UR;IEz6eN{!g$(h3 zSm;W3-fK(dTbWEjokjjwit||wqt7UD!Wk=m+cbi9|6;QZW$Am*^39sPnR$uv-D3n| ziZ@a+^D~B~kZOp=e!TAN2oK(fzgD6Snu|YUMSk8M8!Kay)0$fwaQFuJ+BYiKnU_wG zACo9tvapR$d@2C&fs|X_v53qDeKSWj<;NgRu2}MK3^MF1OHqid<#dBBxuwC;rD>A6 zvW)kRpbH$4b2R?Au%4) zRB`EIA0RXe*_uuMkd1oqpe6BsJ7I7_u#LzPnICbZHu&LcBANC6ErDiaD{X+c=8_s` z*@fR*pPw4A0DD76!!a@mD1>8xk#cv1T;mO!G~NtpvL3D$f0m&b*=63(r$eC(N30fc z$Ec0o>s~g?I^l9GbBRLCkd_Ao9xDU!3p8{`yf zQ0eVsb)FgO5imi~jO$+7;?_Y{WT{r;~ZWYT(xiL!E2-Wb?7ZyaMSr zd@}jz8B_#Jjb$=}jfQfi)sN=i+>%miUN%p6Fwf=elpwojby!`7nfN<^o7VWGt+1!! zuAy?j4bwuS+Wb<4 z<=9>X`lxR7x2LHXcczkLw9Sg~l-P*_=RIlo1O@sjgR+HlaJ4Y`*y}e?p+q)z_7Gl> z#DyrUpO{(xG{vdRG#9yI@1*|WfOtYG_MdB~WM0JF{jnF<*RCAg$ylUAnUv+<*E5jW zXtf>%;?9$#2SN|Z#c?fluRh-gZ0FE3JqEmK+fN0jWl>YJ>*?Z?MLs0EsmiW2H zma_M3yM_4V;UuV9+z`IVvd}??o%2;a2Ilv1wD_|;N0>b^N9wi07W-ss1eFzu#b1Ig zUiLdQi%4n5K;f2pP{C&(^TUWCQNSJ?_OZ;N#wcMNyaf0T8TueP6xw6=IuIb}q`@2zm7{ zA!Yf`oTG5+0$*STah{Ju}ftZ<>h@#f}F?Rp)cwEH@Wfs%Et$|=Lc8* ziT%Y8&1?NO#A%yiDz0W^XbI_pdXd&D>9~13!(1iiNZgd3xZ80bd^?N8$$bVG&wffA z4PkhskQI*g%ukho1r(|_0zpn_>m?h2U!Iy?37S>|u6Y(ESQ~TP3>p*KOI5@>0>p*h z58vj!lAXiaz&-en8eAxN6kM4NZ@+_bq>_yUX#a?*JrM>4eFPgaaT&%d>f2%eNS+ut z&U)Y`xuKRtO;UKuE!`~VmSrW}O4F$KrVu_yugUEAnppT7a*b{QBDWwI=Cognt#e){ z3b3=*a6IKF7el+-$Q{@PvIy#91O~cYJ0M^vf$Y zdafACU{}z#TSB?OK;ADtMrR^KkY-x^KQzv0s&?E?J^k#0aJ*1BA#f| zKa+3j5$ABG?7LK^A932-`CX1mAAKfcznl}vVKpkSe(IazUJ>NrXYAYxwBa*v(Ai2-%7-CcGaM+7SxYh~07V03YI+6-gGca++L%MUf)zpl7wKjNYY}C;? zK){XYWAaVRlS|Jkt5|arn}V_cF*c?tN%7hp&+M{^Pz-9V-|IkD!8%@`s_6+H$k}W!;B}=DgthoXYf0;I$*Q5qlMJ8r z?pyw+in=Lltz;or+#Tu1u5^8T4iyTkwr#vWs6ku};L)dI_I0rHuSe;mK(VJ5l>19V zK)?P26YT>6PdZ~X`;tT6Qimjec?zlN4ebc4a)vI75~-P!GmKZE3b0BGsqKJEfoLR5 zr&Vk?)3-s(8tN`{{2vO7R-Q7cBP9rQAr+pO$ZtfDJM;F}#K!lGW>zh*vO)j`CRP<$lu%_#sy8*KV%Jzt<|Icre! z3yy5(3;!*V352hQk}VX%Q7Ee0`%Y^AHo86@(%^8eYIvlEhbMRzBao%yZdoeAl9D&@ zSjS6`ZFr{)@Vo(cE8+3B{`+z){09$*Wetvo+eELAh677|TIr8~=jecYoMQ6~ZY*F) z{qS!vP%lJWv*%Pq0OPWFTluxcawKwqP~k+1$wh#JCUv%aYTsH`R82H-U#Qx1U3)H@ z$8@8J+-Vay_TMw*zvIPrK55xar=^b}NzN60GiD6+!Byx!5h+j#_sBAYklOU)J+8)3 z8i1V|*~svA0MaK7=%s%y#=i{ctiL)`ZU+N|*Q1T{0Ijk)x7x1M&+AKRs!76PY++57 zd9E1@N6kKZ$LzeJeSLK&Bj&`B>J!F{bT@ev4sz)<;Z+2uUppv-0kOP)t{8#s<{F47 zh{TuVV4o~RKa*L|v92PrXE4IeA06=8VJt?za^66$MpNP8T z(*yGA0V+i1_DtIlwMdW^bj);>?u)IYh~9K~8}8fa44H^|Qo22Vb*uJS+mz&jR6@?= zB6k3I$Ow~MB5dF#F`2cX5?iP;H)02l+s9wh#lM_bfAWo$2`H+07o?)}4mDCz;8F@! zR3c8%O^vHYS=YnJ=cQ(v$&JR6#!t=<&bCQ@$Qa^Wiw_SkrNXn&JTY%c>h zLfc56*isCkd@iW@CYs(iCP0_#puS=(vrRaElXXDhzDI*)&PR;3ibJgAs4fx8J2_g{ z+U6O|SWNON<6f%ek5eT%{E_Lo(G_jbN$b;Rg#=byBYTXhjK~0SY2J_hD-WN@nW`x! z^xL&&e%d=1SL~NS-h}4<(mWTFsY!qQyPD+_bYOIf5vn+}vaF26*EWL`O}NwmjhHq~ zFlI1C9g?NYV{`n&{jJBzW3nC_*$;6g>--#2ZoFj-sLDm~;x9z8&^rQg@gQFs4NdK~`;_?zAQD6 z^s?*c$VJUM9Unv5zJ*zG9Ab*vq*3Q&ierw3(SwD0mx60D;PblAfQ<`qG4gxA#ftUs zWNnSn-Y)zoXa}+~6v(F6Y2MY~{cAXq(y^8mqT8WP7;IL(sI{pAlzVV^NN_9P2km%S zHo-!e%u(dPCo~X3?Wq--T5Ac@b&&&1X}Fr5x+88Z{GuSyMh39Mlyo6AG{4?TXJV_X z40J76?)=t>A81)rjNKM+c1D9xOHB{ToBneBDWWON4VLYe-?to+rLn~RuiuD}^R4?j z;^c?58;80o$3Nmt`;2-WTrp_7pLs-0-u}qed*M9JoC&isO8IkauKJ;nvP* zd~KF2l|L+~o(yD_L+(MD`;lbbk;+q){kZ4l;*8H4sR2iY`0eP&8;Drwe}5+{u?rhb zTP)mjBHoysmf(BU8XhZNcBoiyIMb0!o%rV4ci2Z;lQ8MzXUXQQ&+GbqE>E_YX9liRA}vQxd@&!F*mZU0&I8p2t8a1PFjmJyCe`Lgsc(29 z-p!o#*6WRz>WCcgAKK2(s|hG!OQmF9#b@9Rlb-_lJ&$2HmqmlS1=0S>HuDvYK0q~( znD!td`R0IS#epl=A8Q+FqDDuPw!ksHJ5;ojEL>sL@>GN(6>>dOtf{fMj2fF#DFm+2 zSMBUA*4P>zdi#l=mQPg)Lxn8%h=%YrblW51K$(9lOsN<&D}QqLNyS5QY&$7(xE!cY zGUZXr(vKs2W_(vlZow{(Gj(Bq`@4bv%{j`LD7TKBCAbu4%_`0wk~qa5`_=ens$eZi zCcPQ5r_N@cbG(CcKIT?N2&B>LhxoZPvP)j9JuZ62_~*i}g&rQ={F2?NQRfEr5_yk* z>M%VUr{I$jR7(Bbbj)tE&vXf^w;^Cf#g8O-b<-C6_n3_2H&oOjeAcnaYW)znjKax> z33@5QyJJ{8a#L~TIbS)#%7@9h4dY!3f|cdG#jLJ02~}d61@Vd;Aq!-lTxj7UfzoF@ zxc@H1U&n)sP0GNJI(%XYG4}lTt>~g|B9q~m*MFX)TJkS>BY%rDfKpeDq{6QI1tmPZ z)8UUpkpUD~7uqilV*+8-Kz2)5kTueYn4%faQx+|Bbdtdmnl6F+QY2Um({V{Z$SO-o z&*-ZZ(=i+$FWYfIwG?T-sWZQAj2RELKnRShaDG0e2A*2_T@-;%l+Zc-X*;X_SAtxr zlo2(V=)Mt;Sy93Jm+27=ooSkm=bbD0&=wuq_5i7)>!juH6bF;c`Bcy#bie=p1D9M^ zjHjP@yAq?N3?QVrlbR>3&#qYe&^!G~tx~-L)%ajQN3}T!sL|B>COXkL^W+Ga$>>jY zAOwmq)2lKG#J-rJdhnuN(V7|O`x?bQ-twNl!wrRc$7A53a8&|q%#-mX$3AdarhZ2x z%@ZTZ$$cof*GX=QAq-L)SepAQclIl23JKcs!7K>Hh^DUC7E{Z5+w!F`4<3>_jQ85l zqae5aZXb2rHlcqRgzG}Vd@9EPKmz)&{+v9mv5dS?aV1$oxa^S*E)d}$ii>NIn&Fnh zz9r)Ikvkzqfi>G(YG{&bPxgMw9F6YbDQ)x*IUvGqEjO;T(o7V&fehI~B6@05H>Ozck~%{&Yq`HX5lr}*#k%^L(pzWa%a;YNFDo1f4@8phf=IB6mqvyG00eOLj)k^bLGLeI8`qwzN{Su?R^5Tb9 z?MjN^^5l=H=^xiVrtqY2NwVcTO?tM8!40!}9DV9B6jkC5djo9A?1&yd6UwvlVq^3H zMsbXi-esGI1P|_vIu*idT@jdXxt_r>`8_@&D^2-SPcIUi=6!5k@` zwFvhCBEk;>;b5}&Ln$VXX6SFaL$ceCAHXCRemI9gqdQ13g)G+T5SW75RMbgu-~&z% z4|e@S3C(*lHsEcyth7!Vt*Qeq#Er(dQU-$67)kW3mRf-JcNT#=stszhR|&+JQLg{et5 zrqO0LN_}r;)JC>5LR6ugSq`Smag)O{1`{XF0Vrh>k*5(77XKJg_PlnLV>zn#-#)jw zn^B_n;fxJFiuCI3Mlkpwt7ZIthxC$Rawkt>w%^70zM@s$H{biN7rI%H-z)*vowmk_17W(aYz3f=j4qEUGgveV@A=(|{{?L94L_&<)e zM|8I7#TI^i94LEjB*SWFHaT&Rx{{xyX5WXdV;-x2gGjhMfbBbxmj$7%8d#eH$%76C zVek;MBp8dJIFEZimxG61VWXP@(L4I=faf0xqw59pq7mb3x zIW_5uDHuGUln&#$^%ZIk+Zu7LiQ%-zCT;!(&hG_nOQo&Wb`NC+_~=y>C%u{sdbd)D zJhDndi>~b3XlRApjrBy6!Og(XZc9JBZiri;sZ0szcqV0!Rspsuc*^qlJ?T#E$`=fQrTaqn6r=G|P*Hx!4G z^@Ivy$rjV!0Mb=P=Npq};vNh7K)pvP(^%W#UKg+vNy)Nsor(-^3+LQwIfRybv#NJb zPOmmr(B4ABaA75P#<)81CqD3G@*hMEW&=jZ!7*4xBAOx2TPusp)2 zq@r$t%)%6@D9A+T%{d6WU2G*SMjzn_&>_ z^Ba2F;7q3)`r+ z=7e-^E;sa??om7=u@OrgU6Li^FRNh|TS`OYAXDOrF+oQw8H_u2)CUM!P=bEMmLIch zpL<4eycWy)Z%k3asaX~DO+zMsffIcLWz}vQ3_H~Q9Z3WB$Az_3R;+9L-IR|@F`Gwp ztmkCy)1XnNhmL#uyb={j{sqP>0sf^hP~X8)2|r*g9`dwR_b%H{K8ZFNFg8z{{vh=qrWyY1dGU3 z)f`$7=%ws0U3mHtenY%yX`+#tD$0)zeeiOtV7RGBxhJBh`)oZ?zDzn>C3 zjFYu5@QzMYWi!JL)}<3w*4&CWilWKUZ9n{_hX{rgH}+!_emzP7I+;n~qXd}PfW3|9 zyDNs61t7lx3je;Ri^>bp`60Zxl#e(M`I(U5oe{%jzm3`{yVzQMJR?D?a;mCJsYt(p zP4vMEI6s#WjOI(|n>Cm6s4C?c!izUHun8)0T48qt^CWE|B`!WoY@Kqg9+U) z#E>JN?t~Yap5{nYpY7uQd2ZvgzE{wy!Ke6M;K+=lOlHU=X1hzH1PRg~!gdYtDpK0HPYPeB$}#ki@AeD+5_h7a7Y1oVxp-1ca9uyEPdOpe1R$@Kkhe{x%s7gx4kq7;?F{4sRI1M$Y2M7YM<#PS>srQ9uft3j2bhP z9)NGrGFn!JlKLDhP&iJ!$-+9j+jXbZ&*JmhGiy;<+7jA3* zSj9L;gB7;3iXwuSmYQ=R$Kph^OiYE)OY|JH-c>0EHl%qn=)wZ4}h*a0cgSnsfykZU;i55^@8o zj1F&daGgn4+4X93v#eC3U?vqXt2_)Az<`vQ zrOGRxFh}u23|4m6bVsqPRCa`I#JZh(vMxwjfqM6Gfs zD)mRwe-G&SoMHAL9_U;7Lz9t6pA9yWol)%_fM=Zhc+#aY1aQ$qK92e;`BUHN1#GmO zot=V(!g#0Pw|xv>9?l2dkjOj}d0{E$*1=a4fXhtoIo3=)nXjF)&5qXW5N>C}a2%}*E8Ou>eZQ?!0

7{v+NBNMb3& z11=WQ<+cncl3q#2U?4-ZOf>YEgdbu=Pg0B}9APy)cvUMJ#|~~!OVJqHrtb5h?d~i_ zF>OfA}ps#YF-pNI)H?AS;;U%o9oNKUzD(_{0icwC2F4tQn8 zfhIXl57x|&C@j796c>)7Zh0X+_F0b0x*7M@rm+>iL)CI-n_jCx442WEH_G<>T(JRr7 zZnU2zu?9O?2gIb$#|(%;kq(G_yHlEcFD1a+=eL@kc$-}8D!i!bpi^A=h9vfsL=6@b zQJ>>&un^xx_3#3Vo<{>*q&_12Ck{(QK<~I$AiR8)lvzitYetXrb{OSHqOxbbH}JiQ zPv8$1khz1a6UJ2W0Pj!%HsF_|b&1TRXi0Ui4efULktlvr?RTBsoZv{b7^Lzx-1`k) zG^I63n1j7|nZ2wGxz{m>mfKSJa{hDX^{<{Wg;@>jVt&j;ZaINJ>>>SyZ(_vzU1+d+ zEWmSO%XEA+l%t`81B*$s|lQ znYL?BM)}p`AGcT<8GJXO$w!@L%>Hdm}(&O(0d7?6GE?n z5PCJe_udHx?>B$xjaF~AM~Q$vsj!(Z4QOR6Yo<+gWHMW1`PMZ+i*2PNqLDL%{M&6R*uG z(7ZUXH0e{*Uyxwg;W1e2GWp7zkM6A*vj4)+r}~CyGeJ5IUhaEBds+0KqjHxvSpp%7 zH;eQ`&PoN&f70b{{2C-)mgB26+1oz!P`wk@yw5D5@iiCZ!3&X|wmT8=^mz>50{& zW~a%rA-XA3Fqj6~T)07I&kB0;zN5FSbeOM4Wm&<7&2WI)&0uY$oEZ}dD&9Yq3 zYw*b)C*N9-?d28*F&yMU793^GnD&(f_pU<#F9P97s0W%vGj{}V1SKCLVE75bC5qk~ zxPgFjVn=}929+CjfASkp3N91k zE$}7DWyROOsq1R$BEkwYw+@1N%gan=&34okc8cvv@s1V06DO9l3d1OiRno- zhtt(Kc;iXHx7v{E|AuylS!<8aRlFAoU~|1B62M~qzx2nS05cK}@4+OGQoIU><5f7E z-ByYm4-U1-pJA(qv1bMOl2ZzDm4gq@u_xS>b$J>TNekJuldwF9e z(F1@$Lclh8hFx5lNR(1zoSmGVz%Lv9^yjA58Oow~5u z@W;xC?XsB|IC|1)Y~{n#6n5BI{+tP619ZADS=#6aW*>rjHSH;NS=tb-QG8DkUlABK zwCIY0EeZMP29AfMQ>+f~IdpawN6d6d|Wmb$EWM2=T5(V70K z1R!fpBl98BwhUJ$gn17sqR^whX)EPwfqk{VY+XAFEcRp#{E7X>ke!*EugitKX3W}l z5?;2zuPa27eJXYN0-sVa`q7mvS!A;wysh>9URvrXw3NRBrmeMlvFH`2nkLf;hSlWF z&O>>Ex0p{}REq1=NHd0!NJZtQDk}ZQp60Jt9L`OXMsvaA&U)SH*G;Te94Z82cYhF2 zNsRd}?E?>A5^l*a%XPr@|sFEw#r&CWYja+YK)bb(&wAAdu2?DbyPz?^0~or;o^-$p`nA9S%Xl8&h12Ood&~8)>fIApHzMl${1LAkHaZSk2)a z=vqPKsZM#8AYz0k5e8ZdkHZ&384)_6NI3Mw~+#|n}op+|R$Ymi?RewqO zWj#y+IIFjw{^fKZfiTqPf!2O?JiR!C{?z>b#%^-QobHoourp%>L6df zg1kE)>J4ebQq;Qe>ONdBRe?vg=~;@p2ry)0V==9`STR3>uDl-!LGXNL^vkOtx=6nv zGJ|a7S=dg%E#}!*;(%i(^5++gtn4O&$c~l1IfmtXP8#@+4mao1mG9FtthFH%caSF* z!dryRGx6>lX?NbS_x4~VfUBW1TPh2Z#3Y+fee}|IoKFMXZ<>G6-^SSha1Zk_f{fPUt%XK@5xD!~^ zbWem>n>M1Z4H2cfXJ59@I~-i?m*F_xr}2_+8ei1@+Rk?h`w-bH{ia~v_E!N0b^x3V z5101a{<;c=wC}Ypd;S?u-Vto%B2#5AjKm^B$T~MbdG!_Wyp8x`f8|xCedRl)mKVU` zlJYBKDHuBRzQk98zJaJB98ST`g<&y-Hy=B+vi(hmSC&^^-j1%?5=4bGZv~Mo`MI6Q z5{7X`tIp9BJG9LQlgPICk)DZYl5Od-QdYJ#1!|ID^-gmbONNeos1qNl!Qt?5sF!e{ zxt_`=6zXue3t-GaVVX;L&5`I4pvCHknC{uR&`G&+D7o$jk*aS7sYFb|z4J9`mmT%)^q7r0g+g6or_S2@ebwkY5V*$j zmMymAl*E^k&DSb>?~-b4@8GuD$V}iprKk-&0k9>Pq0}p!RcPcNMtGvE;23E!zdvw` zg--@dSqWqoaIV&6|68*((Yu+R&8M$ygwy^^3U*t$V%DJ8ZuG_TbAwaSex z+Dci^%Bx&;w%tT-SOREbMsW!w%CQBQ39c84YK8#j(q0B^mxw&g8Bbnr?CWXcoNqU` z5v~ZcV}Tc2L7ua9!|uy|^06bV+^_>Ze3pGB5XQp1hcHnts){(fR(CJ@PEjCg|JzPE z8ZcG?Tbg#%P7&X^Jl`E`DWYywin@zx20=RzHkM*Pv}rN+Brkhd>K_yvi_@fC83$N{ zMdJ7cwE;BgC4@On@`N8N^&flz1`QO`2sOGs?l3Mh_AxSt_6 zETT@8)@Am%Dh@h8K-f5MKsN{NT8cYa7PD&{Vv})6Y||r652%;n`qZ&2RlIq&`i1-> z-Y1;Wu4dx1BANw(%Gfz}jF64xlz*ewcA-M1OSo%aFZXtYeMZ`qiO8uLV4X1U4PieY zG7+wTc5YsPq2lWgD!z(WY9;O&i?95>U99+8UV7P5Z&y0yA}-p?H!3#fg+j*iivY9e zH{L09@3w+oHX|AqS_$eUGQp+!__scY#6=5-#;29A|3fcJr1>V(aNd3u77MS?{@O!y zn;f^GjM0I^mAv>GCYa@XqC7{B!qaJgE%11u1al*G$^6UO*~EJ3rhzicZZcxvDW)(2 zmJ?ssLpHL7$k<34HR9_PkbYZ;d0JyRWqEM)Z!Vgil>%+2JP!n`b5TJgeCkHnQDis^ z%>lX~&e99=!xHwvWa!I`L?@5l1gA9^gVQw*sDl-~hk?E!l!|Jw$KG!?_El^gMYg%= zukB`&73mXptK6*E_%9;<5cJ1L-;kY3P1&9lsB&YpKxl`XyU_;5(P>H>;+EmkWdJWR z77Ew;NBNF4Bb@LOO(x_cKG`1lap>4f(`2U6?8&-UfVZ6Disg#e%%z*}TiKz8zwCR} z0d`e&?n~hdvlGCxUvGg;wq{&*OX_n19LwOg_iXl>AA_X@p7+FBR^~4q&3{mK&?01G z@rN5V^7pc0V`WHm0ALWYgUyY{sRVc&68#I2mod zTabbN-u9hrFxyv@0G=Eto${}?@)6=|ySX-~JTEx3^OU6p)XW}8OSoMY;@8u8WiR-v z8w7wQRSdh8N0e+{le*0D0FNUXj=Ze$S}D3DWHSulBeEGvuH8`tlx)DWm24-F>mp$y zk6xN&yI}{E20787$#+U0m26kSb@NK6`A|i5Bpd$N><%J~RY@_kVZiWDm9DgsjY?h8 zuKYr~auaE7b|WOJ`=r*>Z)K0FEOF4WoR^K~$KIL?n6qnSs%?W0v*0SOelGn*h(F&^ zx+vpBSt1L)uju8}2Wwi)nPKA=rpmHem|QZ`A}0L7;+b|}MWPQl%>NU!bJsHF?WngD zIPA)W)l_1p0GOS&w+BM&VpN68{PY05)Zaq!T8&%;17r(udw!5)o0)ii%1wsoB1oT5xl2f{ z>=Pseu}s;M^{V#rN<6h+VfJ9B-wGlIOTDahc?TX@3y_napi}%RiQw%Wp~paHTR^95 zhQbYEb0wSg;kpK-tYSkt$?@08bmh9hK@SriQC>N?n7-yRg%NvI`Bi(6KEyUoTp$?Q zHjuiC+0F9;M$K0AlFb2k6~tu+%{7d!dX$S2_9u$N$~nbQ4~XZ|zGgZ>v^pDg`8QwOI~!{x+*9RW0+W^4FF;K5BbM<^#VhDX+f*&>BgB9l)KkGI*N%t?E51&kKmLqd z(f&F|3mgiLj${yH5g8NQ)7mKtvUoj;j7O}sihM5I9CC55A;F*<~7lk&wzHy7L-HZk9F5pn@hbsse zC4B>hlkE_3vkY5gm}toYO?i;gcCM)+MIRJ_O2j?S<@yH9UQ4^RUDEkYmPBUIRkO~u$i)g zE{>YuP#qdYTI6=K;T15+aAB7W6C8gdR07N^ux7_eyK_agO306kQxFg}J zrO$oIt17WAONJgWw2oh*%?43%Yz`$hX^xvO`*Mu>=_zRxZzT57@2q|}i&R{i-6*k% zo0?0{dJd$MW+xGAFW#+65fSiF3|15horWcWwy;%~+_Q_rhn1RsR%g7`Js< z0cA?_9S*wQIEL>F9Pol`2} z`RO1ma;b^n0>$CKJr0R(#oBoveYLvh6wX^9e2{o`x-<@n{RNyNs)&ts-%%NMMkMxJ zjLR%Ya5@bCyr3q*-F|nu{VeY*;c<^#gEIxS0GqfXCW^O#$$e5*0J(>&wHBP z;7BgoS0jkBLjWNS4L=m>LsVq)6nW5YROkNtB5eK9IrCUX0R74H>8&z zQn_&-?dl~L-{*diS`P@$r9zb(n+b%${0nR-gg4dVP!Ny{0oViXmx%id?Ui`TzP~JR zM=?5#d}lwqZ}c94(Dv7VfeN)Alfd3Mh5jtKw({^f&nftc;CmnrXD6iAk^+JP{Fir)D?kt^RZLlAsyR0I( ziKo(*V?@*En7_HjjbE0!wJUK}$gT$)D!v%?ESry}t8D9!dZCSt&jzPhnjb`ytC(^B zR#Q3@PeIw@IYlGnnLy-O$&5P`CQxbkvui`fGOPoxUmwz{Pf~Kp&gCg z_Y=c0N$f36=4_!2^TvfetZDILhZJ|Dpt+Vw*qMiZ+Q?C)GHG#XcPh0OBLdl3mldI2 zOHr>1u@MvA#Xgdbsfch4l#1g3URh6y3AB{qw3~HNq^QEK(8zyPV1!tl&0%+#WFy)g z5`e|wMUchqv|Gsrmlcac%p;~NU})K15ZTz5-^gPxDj!g?d4mGyqSK;WD@yN}E5N7< z*dJ7U$vTnj|7USe$I8E}1Q6e_CL<@9i8V+zG%(59UrM)yi_@pXmX&4-9WEnU%G?!+ z&K;!hKn_8vSy64*w)#d6wUNmow1>R`)8e{c=Obr(MP45T@ZIPXcEXK%?4`!R0^zsq zgEEbuRt!)GkAz{b=fa*W7BXz0S~8)o8DU@Xc9E!f%=g&QY}4CRGndezJx7%=j3)>f za{$J0AOwG|nQ|l15}LjSjQQINFN@QS><+FLxRMq)+z<3bsv)Z8Gx};Yzzvo%va?3d zXU`_^b$yLU0U5q7wkO!SJ{3+gG6oh+?yF6HmhbIwM34g7JTGIyWD(SNO7#o*hoO3donLP3E$m=BXLc(~0H>Q<> zpzSFjc##X+wTa(%tWM|}DR3DsTGwB-E?e3&bP5JZP8wKDFkeh7R+L7C0I}52Z)>(ZJ8}4FV9gG4oky&ds8!k09i=(2hD7XFlS?5&MDiobiLS`?kyM+ z*doss0rSzTy(z=v z?(!2CtgNc1)eA(e3aDSxY0)doXEr#Nz8VKTlkBVSxzktniGL-l zM$Np+#5N{}b5_A<%XcK7-M?BH{B)34_aPj_i#cFDd=yBR2|W2N~Dvj109?E%F7RHfeU+`O$)qLNmQl{xj7%!!@hxHwvH5N$FGy63-T4i0?*R| z=a;A1s(``Vi}ki2w7};SnT9h8v5ktWpDWO!kMjI5DpccEz{R{TAq3$RJU*;Q(QMdC>@2iP$I4d}QOiN@-&3lNl|HK70c2PVnrEzJ zL-buL504?kTlDggXDw%~j#p}vZRPh%){MB0m~>d!wh*oj7>xE_pC77(JsbkKi&nA+ zoax!gT9*x^Al@u;@}anB;h6NT)MeEkIon>Cgu2*0BRyRisfzTeJYA0WTHsI0dbZy- z02U%9eL8T9%Hhg-y~%JFDA8P7*R;zv4qGL2Sd4_WUAI%0eWlYSQF~n4hyv{`ZNlxx zx9cI~f&`js$~cdMuKZEkwI&i^SF(;9bcnTm-5_-p!rT_9g#9n2!)lfCPw9|QmKIUP zE1dDzLF3~S{!Sn;a`;J;VS9b2CAMcTjH~N>$(AiiUe)wOXgOiNGr;{xF7`BPyE9Ws zw5W^CwJ6h%Dv64NN|R}a_NB>_=*J-aD^y1%(e;!>_1l7%y`xL9;Hmgl0$L#Pjm`LaAIOB+R$Uk*M(s>$A< zs~JKGwbxbK)mifAtD+KUSN>JIavdP}1Z)rv9F6F|F&%QY9tIOxRC09qAl1A>yR^VF zwZOHZs6{AM3*<}}D;#bB!mG$im{U0^uduko|mBFaCOUT^GsGI1o4iw0lTqtG| zAF?cn6pV8e3|U!|*t1+z_F`MM>cL0`&D*))%Lb1*mXZfYqud_Dg;Q6Ko@vh_p7}mf zR`wE2*5oa>drMh4CldR4xi5|)6Bw}8Y zIA*Nu1BMkuMS%(-3~OnAd(iT<0yUH+GRl&TeYF>* z%0OS?;K-3m*q<|I*yv1J;AhH}b2DZmD1cGeJvRQf{#2^9Lq zPD&eh2t{VIfqq5QX^M^OP+lLEX0qMbMl(J`acHYp1fVc`pa%?4I)sd~Kg*(wU3vyt zbLnU<%A4D-=X3o>(R(y1)HVQ*NMUtJ;v$ttSVa_=w@f5QO;vG-feWsboxhHW_K>>r zvl!a6U85EFzahu_a#7dBt@V{{m%T7KK--gmtq$`NmbS?ZqSFW$&n~351$Fk@7m&Rt z(`g*acE^F0iZ?p#1PRVVr(x0|&Yhg&?9xVU<-a{(=U#D;gWxbxT3+5uZp_Bgf{cAq z?AW(A=jos)I^la;T@m>o& zR!yd($#6QI!gP}@$DaslEcG5ph9hW!_Lpp^3)1_bSKLT!FbbKBIn3J+UPnf;{-uRp1F{AD=>`~)imIprz z=FLF4@d@VjKg_xGjQ*qqo4Qaxe{Hpm;fLxpfU4~6YAxLbt$KUJ&@IOmkTArCv>^5yW$WO75i$z*4x%P5j?d3P5Q_O$3X!=aCA)~o$mKRuj z6*taaEs&rD**k560?*L`|0UV;d*#pP+DCJV2|G7mOFbTpdo|ZOqC#YAYt4Y-v!C8P z*@)v!ll{=Q+2`82ri_{&P5gSiZl~-DdL;@=k$i!dHQy;alj~F1MUxi*3(zTRbJ4Vh z49B)pglr6lZ{}Ws`N{4Sl&7cBA2TxQ$wHxx_ti$sM+;yjzDGhZ&uzVZ-C(1t3a^wp_Sx}}Y+P-`9unTUAQ7KgG?XQWwMknJar@@e4qGY%?H9A2aR zB>+|v2a1BjZpvSKz+am&%mT&}9nUDtv(|nn{-Um3HLpCs$GH~Ns^VAHJHoz>>Y`Kf z4-~yMb=d;NJn8t0S0245NaM4Qw3m6+Nw8sYc$LSzPDU_&SpCpKU@u>OWbE?dIl>!O zKP+A;SI(hxJ^ShzI!%`(HdNJ7f;&}%4&hQqWVg3HrwABkL#UgWGlVKSKsBPGuD!QHjcPFE@c2(dY<$c2dA z+o_SbeK;9nbFk{%Cv@uEGPfE{Rkl$K7E9*RGU4aWmguh^KSg3hm&e!AkLIB4BM@ z?}4b75GiUvo?AKpEu_g}V2cTzcw#jES@d2@8;da#y+u|+(y}@K6M?Wq8w$KYTb_Vp zOrrspDi{T#l%j^!YG!MTvlzolKQnk~?M>0%wWRH6K2alx*Qo`rI2-z)I`s1ADu&DW5q z4pOq)!lg%$$QO!iHxlcsBJMa9HlN!xJJ%UtDYil|4fG43yMuW--_os0pK|utMpj|$ z0;oifDS;ur)-H8tOcCA3btRm+f`YLrkgvsu1c<_MZ9N5}JH$4Ox?UMKuic31!Kjui z|5%6Ja+wz!&@n@$lTG5b**-6y5ycyKRx*b9yGC>Yf$<)4BvQrlI$Qp24+J%Y!Cg>+qsx81Ej{oJ^U zBI@^ERB{~drWtUs9qpSqLqv^fU)a0&o_RkU|dVCE4e5bGbR>RNf>C^cs{lrmW8+tBYrg2URVyC&BG=-B=Cz&}bsz*$&&@Hm@q-vb6_tl7 zqE1I$I}IFaB;I{>)cZeV>%L$E7YMLnHy;%saEB>^)ABHSml6(8tRafpQ%;17ANkIg zh099u!KIsjY=J*Q+2K`ydzy;^zonHjlBF}^R03c+?+A=sJw)xXJ}`3j$4Fy$`C-I3 zX44ZIFA^GZ{e`r#69^q{#>p!?It)ND+f05M78dwpoENi}>=a@4@-mvabfrB&=LtdY zN9}p%lS25kHhGBWO7_8A+GG93&id*^xbi=Oeu-fC!i>%aX|BLQFD zb*yd75>lyo5NzNqO#CSC1M?61^dPXIX-+X!Svh|&Pq$(4)dKr#fdk0(C!}mtU?*_6 z1{Y03WaeuN)CaPHUIv5RdFECi)O3P^@fYfP5?BaJf5n~OHh!kxd?f&62g2r16w6h< zU4$ddM@gv)vKgjeY)DxrNmjhzYIBJWV9ZBcH2GZP7$!5?73KO5a6b_O?{nGOzm&pk zP|6wzF?MI27=g8}K?>oFQjL2W)n&i^Q0nsS+EZxWqW4ptZZE^EU$EXqX=AL&mVCCh zQXy(SZd#klXSOUmv)y@|{DfpsRcF>*?NBIpMUm!MMmAm@tgr5-wT&oC8$J4N;|9HF zsB~2E)fpT;1-9@oWd~>-X`>9gjP!Cz9cZ6+~AEF=aA0Da@F+3rE>>4;dC`0mAV`$ z=w9iKw8>RKtK_j43@t+IY=jv5-a0Y_vcX&SR8BJrst6h>5EG&$wNLMMR~mL!z{c z0r8Sw)h@i8E?K0Vb&&hqp;ZB4SYyHULG2WMAp@c;`19wtcyQXX*%oYQ3}nB&Xq&#V zkWTjD{dYAsUion22%V=a1KEhHsVH``X-Jj|Z0tTe64|=eWb`1+`F%U=SDC+EG!kiD zen2cBlq206+w?lIaT_J<;*|pLnaIA9W4)W~$r@~<+N1{a3(;Rt)KXhK*Bs`ds52Dv z?@*SA<$}$n3P(|kP}cmkTbKN976_XeVQV3@V6yW=RJz736~YgwW;_=l9Dd@{1%wMy zO&c!C=BFxz1vXlsc^pvfsaML&3nRI@BSlpSJX)nFCun9jB1L5gu*a;wrmxnLzBlu0 zu9X*lrtw{UwFblgN8}>*>_O|vvBFYLDMenU_aah`p~+Y&?il(?<6ZTxaQJYpLijJarjF7iai{gtoKD@7lrU-1kn~i zh>HI`h<4sCEG9C6`O|a1mUKQq-0rx?eM%4B9Kot&y&}t{iZ-Eh&)`8JLS<8YF7LhlPd|JTtqrIPU^=Yc3hyT`$>hcx_GGItZ6@@unAr&30`pWhYbrnewCDZ?MB3uRr zn(Gvd;saiR$2Ap5F4()uMarC(KeDC4+}BnJ3>+VN=&b=$wh%mhtjQ%0E$<{ z2*gQ)HG>iz>PH)>MOq&e?q@PzcDcmwT^2HcP*Z2k^&SKu8`ujwHoHxWx}HP4Db4>= z^{BIjm@?UR&_sLyYi3~^nzbKV+UQ8Bgy!0z%g|yc@^GmpUkSqIR9@m#z#n$Q<`-lb zDZert8p$Qyo?GO1qMF0WN)$t4aYFJo*El}7QN9!t+`%@ipZsge!H-kt#k2Bkj+wJ28K5L#~k92(G+{~H3h=U zRz__gd2@Ctc{cabrh!~y$}(QsaPWl1dD0~9zkzG8(UGK3ZmJRHj27b$9_p@qh#rn+a%t5vV%q>K#n;bP48VDzp7uzzs(zt6_Ijm zMMBNEA)t7rdX&Uaa_JhVb0dtp_+p`*AA#s0&4BEcfWW!+tj6}m&U%}A2=(R{A&4-s zVCD4QEY&aMAAZEx^cK>(z7aY!?{h&t=yK+&mF1O*Zk0;2g%}-hSX+C)A(g)g7g)@1 z3({?=9F#^d@l&?({LQrTn{hHUUnS8Vtn|N{v;R zF*UStQb^e6C><7H5OoqekrPnzd<($%Gqgj8FhV@1U3mjwyed?a5%q-gZlFWkmHUwE zFCtg`WaMsTqBF@gufS`!4YD%w2Px1p(KoG zyZjiNY?==?{sPT{==d4c+XAN?^!ljV&p z`Eg;VKWD=%AQfA7coQX1Jo1bOV-p3#{$G^A_iw8{Ypwdd6^!B&4#K)`hc9jDwi4!v z5W-&yL2V;Zf_`#L!<28C87Dkh_SAqKSMCUM1t#J%6UJC(rKVH%L%hMbX6Y_We$ zhnu2UjYzhHcJm>icXuw>^X578v&MsT2si@tUc?2u_~5Spt1O^?uyKL^CzvmZW#(Ux zecZ3IkMwd$EUSLlR=ct&P$N#j+;z(__OLmD!hQQ3Dwgdbf{4Sk^2WVdksA!i zhQJrsUUyXGZYjq$`6fE}MS4aePghu%+Dn1I47hFMusN`$^jQUdXD^naUSd{icNNGm zjL96O*+JV?ybB8~jpoEY#5tLk*f2XqE_#`5o(ncF4}E{V2YSW2ApQW=2q70LvHbz` z-i%P>h#w*}a$ItWHVD}wE@3v}L`kU_de3MZ?8Q$53kcb|O3s*3%#W8HEo(O?TUEdG zdY3&;5r^S3Dr1CgN_40Yws##-)MO0dif>#0kCjWbzlxVXN_?BcWM0XUoh9_L5tTm~ z+RCDmXk`2mklYT4o5FP$L2P&{5V?LEt!p94ZzR&S>@<;xNo+KDTWMpYe3RYAaD!CC z*Bm)yo4mLuY<4bevfkjz<+55qU2W!}t`E70QFkT&v^nEHgToi#qG`mq2>3QTy@w#` z*by;Un_l^@Q{}UvOIF^4K}dT-8%I(X%!<3VYzA}b0wCN#KC~_n7?4+MTYdEqh`?l; zGn1;>_D<(y-=I{mMEh{3ml|cE(AvJ*tO2JyO@&dr{!M`&gi>TaZI`&U7}H7qa)h1? ziXFwB(gEL|YG&fH*Q@K>Q>grAc`~^~huCup6?lDN%!%JDVh!VUjMW(K*t5T>0^bOZ zHs%rm{}lakTi7YHEAai0{{4iABsPl!Ui^q$Is@r{26GFRbQnDBu@1a^18lq}qZ!An zSD@evwNn~s?IKVS@{sWKPYJ^oggu^4BiJ^7N};YodxhSKbvu(d@mgYgn!38yZC6L? z`e)d#OCfcV%+Z?6iZl*`lLXpm6fbu7YG$P9Gc<0-C%aUb33yBUkBsp)KjS~d_K*N? zhk%(F)MrZ`F3(`cEE6JbNHiRgSy%rgD8+_kS6YBF%d|Vb1s_Z99IRyJ|HSgrmT08 z3RqfUx(U4bNj0m_o*@&sV(vKNHj>i_vu z#ZSNwqEnuyLJo`?a`X|_0%IYOn1d3T9JPl~Pxl))Alfly6n(mNTY1l6j%b#@6KtTt zwvk8?64e(7nWOM0w>FLc1BmDlV&h_^jg^F$TrVp&_iC%M}ef%(!-wjaONk_-L-RBv+xP+5$QHfw6fxX=!O?glTloOV+ALM4NIF{7LwV zIVyewMe%`dps=!z$Lm3&D{@iS`eVeWJ!b>OebB};Tr{!Fopq33JCk9nFxMKIYX|0E z*M_;IyBrP%MEc77LI4!l=4Fxo`CIg7B=mj<<`?t*DYegE!wy@MOBvQ%q%FvQCl@_T zPp>5CwR62Mh4{^$DD~_n#9Hy9^q`$d38RfP7hnz20tYH>*aGc^Gt^|(QMq0vz;lqz z>LR1E=jK_VB0IV(cS>DWxA_CZpIx9J_6BEjLN#_ldTy#2C9Ib(+4U%qY)4ma6ZG0% z-cKa5`HE6Cwbh$3GAl9dKnd|TSOi@}U)le&fDP@+e`}}MSKo&?jJd%Vqs{UUiW{BW z6i@t}htNOqH=Y3e6Mv)9%ReY?97`3ygS+>XqJn4D+ZJ+7C9k{&yFSy`CN7%PY-8?4 zBFhPZ1?#c@)PB~F;iNsok>>I^w0-Iy^BQBb{p>Wxr&k!MG*|4sWl$tR(=f>H!eR^T z0t<_~yE`oIu(-?M&f@Ow?l8E!`>?pX4esvl@XhnQUtGlfySunQ7jaS1G1XaF+0)h4 znOWJDnTT)mpRMN-t3+ErzVS86zcPFr|MvY5Og|kxDkC;#{XX%Hhw@HWLAVpdXcLRU zh7wK?H0g6y;l3zTg<-ZX&ghEZ)|``sGyl0i1?)F%7NYP=GXc{nBi=9d@L7ypeO{Y= zBy$k@qB1NyV|?qsWPo**WfAG{tt}hJ+8t>%?mJ!!K}>hzwTfv{9J+0evfIG`j2Yq?T-R- zehm0WI*6^BuJfw(gCBa;O zl@f~AVT152Xzp7VFr5EF_CIt$s|Qs*YpJJD_zoVHS@*W_syPSU(IYxMwY|fi=+Hs$ z1Fl-F_7;QlfwURyDW3O_0j0b1qvHv(E5FRV>}7ADYk;V}-de8=2u8<^l$f&KGPPp& zNOw#hd|~TD6{nx+)4i)Xu09?zY*Q$3;7MrXWQEut94Ql?;`XCs$Fprg!x8KoMkt=& za-r0vC;BP4;VFTSJSZJL+qS&pFBI=4>^_)lkL2REktv;5G#gc90EgOm_9t6jK-brd zZ0uv4_oNT-hRlQ-9pT7@`@Qc|#xOhp(aCMh4fI_F@9aQuqV5d6-((oC_8L<@P~eOJv%7tcZI@*v)Od6FPB5AG7$vgE=m)V=n`SPrEr@|NzdQu%)~5fyhWCKcj;TNT0;mg zU+b-st)$AEFJg`jD>rPVeKc;Lg^)^g919z1dYx=^JBY3J)9W*p%_*`JH4*eUL{Fp1?3M~n;l8*~eDh+vk$>kA^ZiZv(rlwVWiE$JhK(+B@7vS3pIQPDJp z2WU!}OuGY-di?VAGF~O{ik10yUf_SW} z5cu9iWo|gEy99%7woKGSelDqJcBh9Q6^nFovTkb~O@8A>4-(J@QhkLyea(DPB%+to8%|G+|`;%5+VCI0g9_dM^YguF@AEt zPid<_r}H(vV3wYIh`2YFU9A?Lwf}0Cb!7=(N=_iAlTP~2hVav=QU{}YkehB77aW@- z`SQ3%{x|%!L}ZTqgTFawC<(@=*LfVPVX}gU-;mD1!VC4ldA$+|fN6tURFw$>1yIi0 zaf(!^+KqSkO-AdtN@Fy2YNe(u*K?!O_=#FRP~3t4zC< zd)v2x$kFTq407Ls$p4qq>hy>{lCm0fju_P6R~WEJ&dtkPO|D~WSIt)HNAGvc{oP~? zP`orNSU9>@WY?qIqn}=3nQ_J^;~ZpR&jmb;#rp>$;%Qb;;{vXJy}YJT*QUg2x8jBx zF;||Pr|)fwy2jj#(zbxYdr_c-w=EaCxBPu;F)(F0`ZIezCGp^23lDnSW^4-p5D3q^ znesTHl5-uE@H{sPF>QmpLb*j>OGcBFlJj;<{KC}VypJXtX}+j@j*PRd+lxJ1P>{g= z*jJS^XF$237%Kb@DvWi?mCUds8smzC>A>moHrR<+hEvlT{I@|<+us*e-9xMvCNjzE z_-*Vo=NyYWSX%gmjS4Z`OP+bSUUdqC1k5Y#WRl_92WR81*J@@hs{*>pjAP;5Us z4(u#J-lQ~GbE$1aVz(JPDo=pj@b>X?%k30&YTGyrbLskb<#2dr7xl75_NqDH*26q! zv8`%>X-`{puiqG1X<~4fM9H=GDP8Y) zG@ou|29<3zj2-us1QW?{cmQr;4{?mPU-DFU^UN_s6ZsiUvOTh`(PxfYQiaqzdXP{r zj@wn1d65Hg{tDueUvA=u_a+Hm=xBo#LiK`BO4QLqH$G`ed8s&faPyik#<7bWurp6+ zkRR{Y*VaU!8a^Q2+#1N|on9NBjC9-iFeMN_ZXQc1uv5Q0EB_)orugev&7e}|>IcSZ zlzb|8zMAk3kPzdHyhpmxxPlYwauYZ2?PA(Q;pNYP{?lO)0!_V!P`m$cz*9B+D!PW) z7&r5p4?P5hg7+)>0;Z+dG8-cL;4TjA#YTjQ&C?0h;c0Cf*bH6dSA$24-_}kU_}38J zGpB~rlQ&Oclu{~Gh0H=42Y5y-AW^+~FVOqVlsl@K<14)g^8nv|+pil=tnFElMWh=vSHw`W zbk)pb(9xH>3^{tYZou=Q!%7K4+!f&|yEK$%kn~*DqToh?Hmh~X?EOzq%SIg!vvy7o zCIMLLe>(vrsP7eL-0@o%=mBL0lb_-=85SnHNu zh>}#(PBe#sYA}jLvvlB*?hEi}{Zgs(mF<4Y8l}7j;XN;$0b1>z*up9>>Hzw)ynjP? zMWsGi?>?`sM8t}wn1b8Wh64vksh>#B|M+hc?yboez++5B$lGR9-0_WAED#)f!(+J> zuUmxF>d#BgdbEsa8O9BLv;%PevRtSKyQKjcTc2a}4 zXFhz1MkI>+Yj#@reIj(qBdBf4`maUDZ@nntL#G`2qNY z!rnq^_JJ5{l2F(g`;JiC?vcO&uP zNLt6g{uqQ4=F zw{rd<*0N|EQ3Zy%E=Op)+Io4+aQ_U$*`FZ22qww1GYfW(pR!AEGR1Rd1Ht0F7k!y~RZ zI*cbk<47jL78|kkwc9Vw@1yeB{CU^*vc=%fp@3^J=85WV$Bwpbp|XaYglt>83O3Qh5CLB*5)c>W%4 z7~4vfl17L1-3{@p7=RWp?>+aSgU!oEhyPBkbr6)X(=01;4*X& zPjlSJ$#JafqQ0pUhVdZmf@gzUeh2o-Y9Uorly0B={_85XCWj^KbGwsgZunF0IcF*# zf7)F13ZWgz`Shy_XN1}}&^L~dep0DoM#8#;@<1dL9ILT*x`6=_`#_V7wZ*crqI_Wm zOd24bGgxdVx=}f*W?MatXMDM~u}d2wv|RknjtX zmtW~K2VoehOZ0JN{IvcOaZY-y#8mx*BHOISs!3pbbifxc9fsq>aGp%Cq25!}O-B1Z z3Xj_VWb}!uhBb+Nf=+DM!4W2RC}R4E0L6u7YyvjlTROIvy!~Q-ejtkGz)~mwyWZkd zm5K|;g8JKqE1bI13SOm{V>t21e7{l9Z>dxFc*Zp%_rl+DZuN-mJbgWxzm2VK@qapy z0cla&3hkThji>3CxNOt_ufO#=3`}~z9Z29EMyTD4FRel1QnOY?)DP5nqYY0@e7CMz zfqX0%efu}t3iAn+77|^~{`3j3^J?v?aR&A7dO|PJRQa1B;HwGeXA$t$zL*SD3NgCC zvv+KkaW;j%I>}b%4A0Wj!LK)UOyOy)k&q`Xnvl5Oi*3 zMOPm^s&wey0~c`yqdm)~Z5OF^e-w(bi>g&AGY)+2#x7Q#{m8J*Lkf}FyRVPc1 zal!K}C}g&egk72h{`F!R_-f|}R*R%5JsWBE`-kxzU^xB#^UJWMo!T*ak?>d&s%fcE zV3Ai6%^)E{j9-Pi1;A%dbUEpT2m$4vVklV>Ay~S9p*8sCzWlG|EaK-5;}Eq^-oNg9 z;U4dye0cALW$iUxutH+qcT~ep-Ce}PIF-F&iid;%I~V9ebOY*eKalI>>ocbor>IlH z{7gkv3{=!3(qP_sd_N@UnJ();^Gd}s=&?U=!MXSm`4Fmg`REW)Sgp?~IMcK`Qg!gM zv~u=kmcFEfyXi%*-G;kpiW1UR8Zp@!z4j3Nn;CdezA@H;GtI>&&jIZ&q6>ZWb==K3 zKo_1;inpbp0e*+p)n$Ly^PIYmyrYqrfib|fpF{8POh)}T3AI>CAIJi=%10Dxlfn9O{34jFtf1myT@f zcH~evEW@S(yKcG{F?kqCT^D%o}`Rzb7Jp}d(OyDqb7UNi3j(3CNdOH z<>cq#w!Oux@`xSI3=;1bH#YtOB9(6y zyfzDN8L$tiqZP_EqTP|k7saBuoqk}lHxMxu*sGVcjmC6F{s1LxY>aGhTt7G+tw~*b zEhy2ioX-{mE(G6RP`Gn8{(+F*g4u7aez6<;?b79Y;kZ4Y?K*&S;2JeyS(i%Qy*x#eWM286)b_rPn76; zN%Qog`0jg&__VY1?OKjeB||YduuudWMxNAL;+3)XF?T)o2QtAbN#>6kxRbP?ZzP|x z5Yj|ApG4*Kez)bXh#}#)oG)0<(zUUFJK^yCi~5R_A{96jNba~zJ-4b!11?>t^daNFejTZ>kC?wb@69(LP( zTze7?9u1z_u{y-l&z$Zl=}m!t?FH#G(`MTu&`FR=GVY!!gRPjA6@%ON4E zF4OF{7&RVuN8lRSYp9((wg_F3)>Y1q>` zWdYsWPSUvz6NxpU+JQ5{w?`|sCHfVB-(9-GUn|uKlTdmwDrLy=gOAWk_YI$3B;NTG z1=g3m*QIOY;ArhjJ|mqoV4F|s_I{PTK*5<~bgU`K zL=t{R!e8x@7p?{h2S>(E;5Wted&A9OD@r!SmJgRU_PU>wX&iIp;fJHr!+5ZhX@?(5 zI;Od5V-Y`Q?~=8B6_$!mk;0JFC3iEAmqRxXycCa5k<=A}l~il4e=y*Vza1^m>-klf zxN97r5-akbwuSG4GYkpdNASc;3<-3c($04cVt!?R?L7lHLmzd9ickhKLm!+1q zfe`ao08!U%_xm0Hzldxi!OpKI6RaZy^Ye{`gvIXk$i<(av$5@g~?-OSaLOLgvKL(W`LR=d- zQu3F$!``3UktVC=I-a_&pEa~k$$}MSe8)(*L`e^JAmP=@ zX$~XVu@%PfILOTTp6y3UyCR;%2zFNflJP=dc_2+S2^oH5DAAR8U_7ZbapLQ<^?g_s zF)4Xl_@DSgY9UGNeBwk>4b)uH;dnSQ$z%~q$vRRY$#}9KQt`B5f8vcK|EJ&ofudAQ zYrZhX->-WZF1{uz?WN%oC93!SoawkwZF^a;?IF!QKe}78v)JsZcwpuw-^6d4_vx>x zQp<0S()M(OlZEMLpq1BziJ$pZ|L*4Wu!8uSjaltp0=M{yN8KHl*5c;yv_L|BwB%ZT z7k+!?#qh$x>)oGtL;Ksw-4c>%s7}e}yVO+Nw;Qc05@kAa6`m2N7Eq$)qk;cgXu8u? zsWfh73RhQUX6}t%Kn}*CrQ%~Z>UB{+3O0l@wUPbV-CaMTRFNCu3ve)`NBqULUq^KTYq?v;7@qns{;&tNS-z-V`nW{~c`<@f|=#j%j&8Erk2i zhEs|>NzRC#d@z`lw90L7P&10*GUFhTlSdSTMK>J-K>~w892wv)cTi= zuHj2#d>6`T(-6qNZE`nS;9<8`TympA?`2Kn-ty8a^5y)rUgD$jiJm1(bPL5Hda~;W z23)q0NkTRrG=iuJeyn1ztzuOml6XuQyO5LwSOWY9^k^-iFo5_R$wb29Fv@n?ef1Q3 z+>*D^z(gTt*xmQMZ|WPTJjW&sJsIeY)|403TBuo;%nR6A>|cZAx1}=5*!Ywa^2Y`f z=YV71ub0;+e|D0Mb8JktZiPQ^Fx6!*b>(vS(KBp@a&^E{`I&r@fq{>y$kLp3@&={c zkmC1y6i2z;?4+W-wGlPser$}F_2O1> z@mH8$gmvS;Eo?IBqsP~j$^|#?(a6SMqhmkLEV3gfi-X#(UmptZ_DVMvtiLs#*QBu2 zyO;h%1F0&GQt>2KT&1;M=Q*>TzzFH__-GjRy41||+z5wMkf$(>(jOS5Di(R_xx5hv zii5C}+P$Z>=`AR@G7i3E`Opi3CD-SZd9@le?Enp$3P09!l@;IS5e%ObA895rE2>n@ z=!bDC-6QF@mQqLa?NNFAOHwWk!=`2dc?5%L%M#a1lF_W*g;%Um#pWFn z*Nnr$ErgQ^yfTnlZx{&*i-Y*ExB@Lc8DaoaM8sifqYz<455>++P`o$g&DlN z`}f)ff3-_!$4QO>$F$PS6XY$12N}9>&9eRUXET0CAI*fajVNjKC|yXJMA zlB$+{G1qp^zOb_4cKlv}o~mU(gMEK&rYd>CJjso}<0tqpqk}9bx@fKn=h%&d6wOg( z5{?$bA8DL{*G>_-fw61vwBvP*7E7v|m(V;-3LkQ0-n6AcR&UAnK-gZLeK+snO(#>Y zErVGq&*g!Z;>AjWCRiG}k^Td1vzlhgBEV?@WX4)iMCzworCOnrXQXsYoI{T_^KWH= z3>tJAT7WSJvQ(CUYDYK#kU-hpKqdy2_fE6>9OGEQ%BYC9n7t@6DzG+?NoQP|b^mc{ zIJ++i2C_XIanB+CwUL=6DhZ}|4ksnS`Nwu~g&%2TP#xKkVPhScc@5D;A4^KXO~|7p zwv}HLYjs6Zxj8xhj98scpmTgdH%3F;ZLMZ&9BnvJF{xLDv7F?ydT4e*%uleyvwEer z?6bNAjwAu1cp3!szfo^%W0m6h7nmXVw0-h3g9uhKa$dBPFC%s0l!FK^m_TlUw7LtW zEz1DeRWCFTVHFSqXeVP^EzWYX>_Fve*PkH#LVNhFACo3#S2U`U-Li^N(NM4u^%P#OjLERlz}^(k)IGe4R56cImtA<@E+J zF6UFHlR5kIkL_L!nosT>N1mLBsah9!np)S#Di>3EyIf^0(-Z?^^F_{9BR5C^ag$q5 zN%6&nOtA3QflkI^LMIEGOR1gt@rKghvr|?;TGq&bMWc7-=P|%UZ|e13Yw+o9cCv)ES<5ytmt`pUvz7Gc`4L z8276Sn?{Gt3!4tyjuAsdLxdOj*jg#D3*(E=!$1tcxyhgQg2xe>R-_=x0(YRiHS_V( zF}1GX7EMZC3=Z08iEW-XY`BP`jU;oK(~jtIguhZ`TAiWGD(2(QIYn1q-9Aq{u{G^R zRC_-O@pNpbuH~kUwTgA2l~^c0L_ecFNk4%Rd&NAbcc@2$`R%_V1s{|hnrgrluikKm zB7@Y)bXQ%ZW!;dcqECO)dh<`J!}b{LjuZCO@A9SFN|+9kJK#vm;-|!|v)|RzVSe@2 zD7}o2C~#%v+dGHxuA=hh7RWxr*D|rq#272La!$D1MkJC^kc;G4qiE5t+<}XDxLI zmvRCQ!!70q#d*R_7nRnf4-U7MnHtCbrcGnAbC^+WWUmH5)aP2gIN~UQxB45y<#A2h z0lldDkB8j?VQ=cnzn*r6ScZK=B|!(}@mT^aTEoY`A7z0XTJ8|OOO_%ea^{B5NvihZ zCFB7aWhE&x9F{I`!Vev3%((XNLWcM>Zn;=2dX2dK02332M%KxVn1!*4@Jk2b`50J2 zZD|h&8cAszLwdS-;DJx#p(asannrtIFK<`ZEh)=`NI6AR6}5+xwE&4fqwaXC1ZX-E zdB0yuKC-k60j(k3w8$UPpy4Z@k^ zwkwjvVtKQHgNY&#Ks+QfivkBbx0J+9;{Tal3cT zV+pHfayF#P5)IS1)}&sLCWi(lBKw^=MWaC84MXw}1sw+_^2%Ne8q3*PZmYbgKM~TF zw~#mD9df%E}a#_uYMktuLU%yD%lMF|Z za?H3(QNEEcV0&RJ3024m+(#AI7BG=TQJKrY7j6VbQb}UU+VA+p;S8JL+ppf{+scHl zN;F(qn(v&oX0cK)ls1RUt+X{YB-6bROPkKHx+Dvqto>dNKAW&{&d1t#$0q z5~`|jcEQcd%NJ#0CYw|c4YR2b4CnT)gD$%*@>JK9$&+t}+OEPXB}IAH2OJuE3~G$ww+x{F_%QuD86Vjf<#fOZyp=gO&N7 zUM{8)g=nCm_1~Fk`5t+qrv&L0r_|VcmX+E$sIMO^It5r0}rG=n)}s(*@4-l zKIB>6sRIvvdI{-Wx?#>lND#FxDlPNoL7Lcg9hi6X5Saa^Ug|#TGmaZ@*J1S9P3SV= zu{?*;(BM5Cc*R0=6EPf?4PQ%8bVXBe0Ol9qx=J`KHh#*yOt`%18e>3xYmgC;{4mE7 zKob&}y?NdYoSNM2n@rU6siq%Mlh64@f6}^zX1FkLt0ya0m!*5hO~VV_Q~_KVH}y<7 zsH4=OHf7T|S==3It#G$-SD5&$z%{C();xSyq+^FV&@6;T!$sG_AK*V2}C zpeI!eA*dU@=~l3tWIERBAGNGal1IUjvTQTLlBgWs&xt7>&~_YxoHc%TZ~PDNM&0nQ5 z>ZA5DHk~>{cf1E|TC(^HhD?ku*UD zK3wQ*@E*qB^%lFmgYye)kNM7;+=?%?4B6do2&oM7XJ#*w*PO<@R;)E{8l*NC@w9K| z=7Mrv5mKhUZjRrS_ra3xX84+yZM576Z@jiqc%B{GKdsIKPGWPofxk$I^GQ7hh7y*S zzu5gr`p#E4wQMUUwnvLQKTkwCH1BP5JH8edRcWhi@85c;WcdG zE^A6vzwKvH^J=y;wX0e(5aMdx1x!&4cq%TBrXG}wJMT31>(wU)+lLv-%Ie8%C>NLKK;klEy!z64#g`yk#iGv3TG!biVIk(s`m4s!+BGvt zF8q8<0~xRNVFS?Se_sE&4Wu6Y36boM#-WcuXKGF zYX}`{IctcM=k+Md73s<4hD>rovYY91?PB4Q2sR+=&fZ=#3~ipQOj4sG1>j{Ll)Y111 z6eKvA2O?c%mi(ul-=?C&w(h{mA}s2C^T)V_$(&u`Rr8go+v2|CDssbxA61BZ!1Fq2+J-xl!H zN5yKr*t0acrfh++0k5}dIPwJH21yJ*_{>4LCU}Wx&@*w{S*LHmzKZ~AO zq8!M?$ztx5n457{Sw(-;s98pM9=lV!pe$NlQIIyJ>`pj96k%k*?N4l{wm7N|t5^QF zN?~Ds^2~Z)uh~Ie8PQf0#qZTQ62DwEc8b>MxO_P4S~SJYAEkERF4DnbeR;&Kz}sM$ zmn^5jFYBdg24heB3_Y|ySDcF+`#2Zo!vV64RK-J#OjF-E>f4?LOC>lK)WBwJ7-v2w zwu;So2p@3}vA-@HNrcG@^HShZB`PR=ys{oi5Z#gEQ5CG0>WNF;%*MJGBQ0eN%+3|t zL?@qiLKIW$n^{ti-u1M)x(7&*MOe$w3-R)w;&Nyw%vTou4+_%avcA@TkM&X{y|9-( zilg{to4RY{Df)s1?rzL!<1k`Wf6hLeb5>_QzpxIk$I3&38pL$R%-# z(sVbQn=#Lqr@+XTdvi#0O*GG1=eb+)K+6tBrMfCbGnpVxd~{r{=PH2QH}}(7=j^0w zx?_PJ4^Zxnd#3Mrw$!K6+TiMF&ekCnl8d|4hc`>zgQm!vKTF+<`|jolf)O@ctimGU zBtA(yO}`xX?Dy(|JybZIuqk+#Q63;pznC%2zcFvL7(@Oro@d|SaF(!A#*PJSvo(ns zS)z5fKWwq*$`&VcSqS$W))JR@jEZS-CK!z06h+& z*&>rf?D4CRW$vy+C`3twNI4?+oy2DiYZoKGGAegNOvVEA5}lTd0~k5wET7qDs5e4ypH9fCLKpV!k)rQ+ zK5__#d>+PsD(HM*?r{^3IJqaD(QlTp#cGw}T13nmk)Pl#(4LU1 zPR^Fm(3&mzyi4sJEG91(4&+t6`*jf1dn`B2u_!Ko9tpI(frWWb7);x_naHlT5~^KVB5g1-<{k*^4ZBOmfDDJy;w1JS<96_TA9vw z_TGrbL;ZewFB4+6n1GSuo;t^Dtivqv&7;xCCP`jMSPFia|gM8*aEswW} zCQl5-vxDg-#)PMrb3q4Py(4oUMx#(7us3DXErrGPm}lG?W|Ayz+NHQ5C?QdS|9MQ_ zkjM0n0GT@w)AN7k4r%KTgPmqdj;f1u&42Szkq&f9-q-!$I{K@DyFCg~d^*zs3283& z$D#Q<3nN2Ko{`4zu{sO2bd)dDfj)a68Vk0hyB1I9lYI#Y{F0kY3lgCbh7=+#!`^0Ac3%wk^WuY&2lLB9u15uwX zil6Kya3IXx!0-}DC?#0z7)=|ZAw2*>^7n~~Z_zPm)htSwP_-+;@F~25EH}|cCy5|9DlQw>2_hjx-sBi~y!S!RkFk^j^rnOi>*r3)lZ91?t`Bo!P&@m7!cA}4D4Y-m*UEMAFM`I;*AQ;0l} zS@<*bUI-x(7%tw?>tXrjtp1|)Vh`kT;dZh9z;A2P<#503QC&Z|e6pzPk?n=^^2>z- zq|Q}5T{f)nG3OewVZOOE?fL+4o8!TrYpD>d<%?=QG$wQO_%f-6GuhUBb#BVK-pO@7 z$g0^{&E(%mGP~2FH&rr=`_PW_M&BH6a8{H%HzJSQu-1S>5+vEe&A+HUuVA7Ek^Oy$->UxU(7u?$qxWl zD}CY9EB@*Zl8f5sMTS&S{!?Ab>Y6-g8RuMn_!;Fo=O2)jal2t^+s6JfPip(Pw^=&s*W83i&KL*urv- zzqj8$#7)sqeBgqAJURXG{4Df#B;a-M#NqjpBt9X**17I>;JL*z=<|Z_Wd_n4&Q-&^ zo4nX~DrIn*TXR16SxnGoE$v&>hFEq3x@uyZ#B)0y;SPR#sn)s4rTci_ec)z1P1U(z-|{%ekV+GR^bNAT`I{v^2h zSd-(SdxZj7qoe)C%Fh9NL%GVfZ>4L!#KAZp*)?;9UV5rn4(Snc?3T+R-HK&lA4YMr zP2o6MMCPwc;74?cUkkMfFY-S4*>+g34XQfNB^58NWox;)iLdE-y%m?cyuJnCy=z~$ zb6<)PxSHg0vx}OmwOH8c3FyBjy2bdff6q~A9KJ>r=1bzYZS_iiNPf@|o#*SD2vGk6 zUv_&Hx;5y0XFtDW`4KowWE-ZIw43~FEFx&8q4Eb#?zgH8MK0P@dUw_BAp8t5_q6Jw z8e)VaXs=H6*`h{7*Ho~^!h)&I$w=~|>79Zu?PWEh@Xf4XC{BpwstI<B*Zv)Z z41ItC_@q#(AMM`>SWA5?`&92uXwW{aVx}(zSiDEBjtt53#T4cuUdWCrtgQ;chm#ib&}M{=e)q@EVrZqWpf{nDfaGvE>1j3_e^>@I+Ksa+rP zbQV1PB-)Dh)t?%*weK>ChS(pp6#wiUM%JYy=Tml4JPaRyd>njuX~14G)%r&h?%5w- z)q9%|SWU(CJBvUp1$n!^`&FxRh54oUq~-72tb$9Xxz_~AZKYpM-mXK?y(G7}i77&4 z&}8dZoCv$!W4r0DyviBHgys&4!v|7&KvRX%r+3bCyH&G?vJ(m<$pPhO5i1uR+BGw` z>SDz#s}_dGuOn~%WGQxhn~7lNi&9k9tm2z%XnejKx0jR`mlDCcD$bsB6>+{13RLyt zUWeZDz(e12v9DAcxWOT4W8VPsb=s`N12oYy5(VpZJbpFyn63#S@4CfQ4>^8u>;rY-*71INeD462qN?{i{1>y{aF z^)6u9^R&FZCmrM%Th5;ipjz3X-?|LC-qkq340pQU<5WoR3o(TR z$`=Ku9rKF8?}8nREj-Fjw-n#@miibbH*vy0OL6C7l_5vi=9IN~fM?)Ta;e?tp=s0! zCBH04DgT3w)ulA_^$%t5bmcl!xrMX^!gP+%{%i5o@ZIkiQ#Zym#(EX7^94*Eh-j4{ zs3?hi^GTA>*I7Z;OA>ipuR9t$m@3bMa{t*$ZQX-_c%rJg6fgJZSU@gVSG4-xFea?J zshi`^&ob1;WFHd#Sk@YBN@~dAeYi0ySlyJXmJrF0>Qa(rVwgzwu-@`;JO?F9lEd)h z23VmY8X@Q+S0)hN3F-#s;F&#-ui+jncnb(N&N4h)hkRAoIP_4tYd8Ga77?)daC900 zM4lvFV@mLlQ2N+^r(fzULa7&9@Gw1VAxyq4$C?>(T6qJGyen`B!k&-|=%v2~+rhcO@@%}Mz@e1#43v*8q8m|KXQV`Of!V6ebTXFB* zGQ=!Q#&(`IN+a{Z5e(^E&T)aqSg7J1rzSlF=#nl6YcBdWoN{w<2T7UtpO+t%FASK7&NynC%#aNwPh=^QXMqoMx@U>~ zD*4|sT)_t$acco%973k!GaA36at?X}f$W_>y_p0~n5?N85a8EZo?o`v^QPy6O1nIluX}!T$ZL!XAQjq@4pwVN`9|Caknl+JkMY7ap-nosvnSp8+Vq-`Cj7 z;bYwiHg{W+*`mk;31(cUCTlj)2?T{8>MVU#(n-t|oW3pU7tgpCTfZJm*5{zm{;T#S zt+W5t#&rfY!L(bD5|G|S1ym5E<`scZ15!i?h>*}b2tlfVq4$nbq)P8KG?6APAVQRY z5NZUZN=Z?%K700=o!zyeA#I>zIVw{h6@bu2p{kh+ zV15YBPcl?8+lCh++wvEV?}S@Qk%iaaGNq3&OZmjd7f8ICe3fuC_d@^a*Ny2@)xfH zQ=h)}XW+iS3E)Dyk=e?+o;gGewMDv;XJV0^z!}Nk6*z&H$g5{SaKwVlFK?&1uv)ly zILE3$O&=ZtlilXD&UdpPyH`FPv2`h`9cAnGIY0MIQVS~27;@#vqby?A?uV|W5FoP3cXuBe zB(j8Li|`vYhC?U~K0-I7*aLK>CklYC4fd-w^9kc+tD*s}L}#6EV0VFb1EZ3_zVXLc zC|>k;KkPIK(6KoXp(>ltrhZw;vJk&><0y-f$Qg81CALW2;N(oN$QRhX@_QoG=~;oP zRdeWnNd9eCC-%p{ct+mR*P*D4;=aLLi~Y`0Wc5m|eQ?4)r!tK}vq0!HoDVPh-&|{! z^|k)**tA7b!0!K%xzFkLpnNy9r21be#Oa!!qC?U$x(jRO*TsK z(!SdILFilF2FhQ&Gpb?*4tiKR)ui4qJg1(mvtlpN04cI|yg;^cjSG54 z@?D23#e}J;COsfav#5~=`bMdc$nSmCIR|$jBaW~OO~JI9*8A(w+CMZ`yXMjx^VMNW zS>WpsuY^hvPi5-RB*GdZkXngDNQU0Yw&8<#HTQhR&1_jW6Z6cF;H}A*4qHxIwLwko zaP!5`)xyl~iS)i)A8j6mUMDFiwd&Rx>~qXv($gv~ib|DP%B&vNpxhD&;~o4i7DsJ; zv(y6d+h=CtVx-69KsHj{a&g^8)^{M!En6YHgZTXwn7dW1*21W9^r7~#;rm=6`cA)O zsIE?~hVvWVXSBgP7ill4!VDIn8meUi6RCJ@VtIfXJvr@~+6v_wrw^xa;zg#B(I$Gl z`uOq9pR!ute<6?m;5fZo3?AXTu8@AysoZ&^PR_J>)n{@zSGK#1?!xh4XK3Ook}tmg zqsN^(WwWddc6xGuXc&V@n6fW*-u@kh>a@8QbMkrC_Gse`eNPk;_Ov%;i<(Q5uywiQ zR7Gn3cx-j?XyZ4pkS6HPpHR;1QPM}FA21;ufBt36vI**s9M#+okv$Bfx=9BZh}5~7fKFi7 z9gXEMz>C?IOmjrtV`G^fZ6Ri1zK<@*Yz~aZCX0&9Mz5$<55c;T8SRczqgG}l-%Tf4 zW(gI>vik@=8xp6cqh`L){d$Ji?7CCS_bm^Mb2R|3_H?Ad48qt>xTIF=U zm>fJG(OZ*szB8(slgOIJZ&l99BE;{qOaEJ~JhGzpZBujeKxHaKrb_DJ!Jigowq!Qh z^g-5S9qxP=y)}9i$MdlyIJhfLAVI*a!}7(gp92Th$0m)+=4Hg)OT8m{?@I@%5&`U& zoH@=s=S}~t0M;&X&|qck?R*6;EJQwVfYKiz6xzytRl}8jTi5?%65!YHPRQ2k*sQ0h zem6!QRigTC>cz{@jtCAcIT8Dp`(MY5r6G>dogZBx*~K*8D_Ks(PF=;TumwG&>=jfe zG^Mf<5tsh*X(RqwsZCo3`ew_mLCk*IAi&A0l}|u)NKvY7i;x=#Y}a)@obH=$;qk~H z#2@LEdv_k`ISvxSc6*NrVb?*1@VC!pYM{m&DE^uUGmRoORERU`$&kx1PD~G=+E(NH zJ@C$;LTm4q`D5<%4UQQmuB;AWO^1DYc7JtF#4gLz2lO@zfEo zZM&9t=HDdTx#bx0W4|77mB04jS3sS5G~>-)N+@#ky-v~kT;@3Ovu{a>#~}FQ^8bb5 zFC>wk+A!~QYvl)1w&1v_P$S!hKq9Dw872L{VQW>bK!rLWhBy^A?nm6U1~#wT#QJm$ zL3K#+RV@kpvUU!tB0VQT^lj z1VDV&eHPKv23c-j&+)#|=MA;mwL65!i2QJu_-n3kV}$Uc5JdzSZsS+yS4*opq$HfzZjwyCd1GwkGY~W`gBZomfPQp?gSR(Ct=MG0a+~lO|5D0bBU4jYx*gv zMQAq*f=cvux)CUICW|86Rn(ym0_iSgn_^+95PodBJR)()X11G5|KS`^zV*z+;LwY_@ z7(0|e(RYpM4Pd<#i7ifKV3uHcFQr>7+g)a23OLOxAteVt#L>ECl?+P@NU6Cr zF*kw(_9Oc@MjY1NVTQpLYr5#fFS2!gsL6ME9xEHJu~nNi>w+xS1@MHih0qo&EW=f9 z2aYHVn~w3Bx%I>GElbBV;1O;>H$gdiQIB-kpC@;sbPV6EUMtqG@&`<{?(-f-49nL| z8||C7<3~Z|T5uF^*}Xwo;i=ov&y&}IY`7OdI&k#3X5@MsTQ#EdO%bbp!jy2b!r~X3 zwR@ZSqaY#)JgNl+;>v$7=8@*aAi79LR1eclkF`;ju|f*I9u%b`;|-d zUyp@kAgXh*mt9{f9xk(emU!NJus_19kt^7^8r+-<7>${=L(vz1gpYz2g%g>7`4;H$ zYZh9eNmcjy|2{d|dw;x?xL2syd-}1J_Ja4qyj@{KuX*$%d@g=9SY(SA<*!R>$;^^A zMT?mGJ1o{t#l1a~&Z**(vX2;Fd2pO`6tOf*RUr%WbyF7D9C%}ZRdQeG)TKcb8F_wt zx(b^w(=eBZRIOR&3_A-)TR!&wb2kkakKj&!A=b-k@EFr9{_s@QQK#Vu9S&6*{!%P- z&-RNvqC!Dal&mg1@k?|BLyIShxR@A23ood@Vsb5j;#^q9ypzU^`kl(G_)zH^m&5)D zPp^M1kvv<^Jf|s_rvL20I1nAYnF7`*E*-~SPTNT^ySyC5jR>ZGT05$Dl=Y=VR0ef- z;^LsE1E@LwoBl6hzm~7IKL>FA{|9!qPh~f4nO3IO{vQZ8$rmcMOrs*daQnc|(B4vo z&oY;;{ZB7Cf(}$xfW#=HE(pkMsTMi;cWBaoc7AhGvY9AXCpzl1 z(9rzS@zMzT3v|5*^M01@-M6znrRJ+9^_yW{nZcEZ3e)*V&BW}+N)W>1)XcrR-E9Fz z>TL87NcA8$UY7HMj3>7fzx~Pk)?Q&Ork?{c-#J4W_$hZ(#5vEEJ#w`K|0S3OA#k7| z$?ULtH^R6hfy)}QqgJ>79cBT>UDY5|S}$N`>&CoLjm@IQ!37lD!#YKfaQ zLy(c8o{}4m&k0*X#j7MUi}~esE~^Eu!$y}v=<_+b6UJdvQ3zKz8<&ZHsOJH1y0&3^ z){)7=NOybNz|$aeLlXH41qB6}96%Y8+2=K-#`$?Ui<>0waZi$oFs{k<`0^)znh8n} zDziM%gExPYGKD^|RE&``@|B&MgWwHDi3HV%h-+_hx+u;WSj!->)Ow6rUHyZnMQ*qh z3J7p3c<(Umc&R&62W!DH;ktMJBPxOUJvFlQMz-Tuh;3b*DV)m?Fv50ya~Wg4p_buD z=_+n(LWPSTQ`XvEKl+6By|HkI;T=t91(j*=?wtWe*|)v{6r&HELu_nzPE&U1AehhD z2shDa{|R})RJRc)&g8$GMf#=U?svBx6x3R!zO=b*l`5bQ1b{mq+NWoHOIs-BZ>m-6 z9M!%!;7PeWJ>t4mYh*eYRtAU)l3R>_AxY+NH;5AZte^h1Lj$yGi6OOz=hIV+DRaP9 z+S(k+==L2mqa$A6eVuc?(C%}#BSQfO6#A|F^ZQzXCIJ`S)&H!8j$iBapOSC`%BpUi zxP5&VK_?ZHGV|1;Q;`uSqoh5z$e9O56sd)(wHX+Uh~W?UvYB*x&!- z3(T`F&?(L(VVT21flXXj81pB8O)!9|f_IReX4`oYQ^ys9K}YbFvqTSrbU$!$_%}3- z^rST3D)z@r`Ia_S@Bwqca_ohxQz`}C>e+H4AjYz)q-T%yT=c|5_$(MjRwU!rpz3^# z!mG5Rc5VUHZ7mpa&ybtUM~O>9U#{n4rE+c{x4R7$Lg^#I9KKT=&wR-nG4m$aC+BgR z`6_b?L%<)yq}ky*l=18oIO*NnEIzLY2040nyG3c)e>$bG;>_!`XfpM~AwcImcbV|C k8mOYZ29T7!HQY-^F>~NaL3N0{Lp!O+yzyhIf8+JP0L`XsHUIzs diff --git a/cpld/db/GR8RAM.db_info b/cpld/db/GR8RAM.db_info index 0197121..794f74b 100755 --- a/cpld/db/GR8RAM.db_info +++ b/cpld/db/GR8RAM.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Mon Apr 19 05:50:25 2021 +Creation_Time = Wed Apr 21 17:47:44 2021 diff --git a/cpld/db/GR8RAM.eco.cdb b/cpld/db/GR8RAM.eco.cdb index bb730746ec5f759f9a2c8ea6406b5a898113a53a..02ae7ea9696b73f97e3c18359b267049dd05a029 100755 GIT binary patch delta 622 zcmV-!0+Icp1?B~iQ-4GT00000006cE00000001rk00000008C!00000004LaOqAJb z+fWdO3titP+Rk>VNH!L!>{tpl#e`Bw3%*fGn$kDwYr3=O%#6W!{Ao02mVeImdcD4} zpMUV@EqwPk?R)r{+H>=W#{IDWR<6VesaE2J)GMilH1FW`Wq;evIgDFfg1GpVL?K}% zNl3)3w%y%_oJ@rlJomrKvmomE+@DM8IT+elSFk&XA-lV*2IIwY=+8mD zNDmN;H3J4u9Sl|o7}`Wfjm2Udi3A>c4aI{yjyp+#2Di*wsEb@^D~0-!NM}feq^sPR z<9L$I)ZIzLJe{>noyMWL&7cEK*VEkQ+tzf-Cya`Is(+V2GeSSZsD0p7+zCPW$k I1Cwn6G$TGL4FCWD delta 553 zcmV+^0@nTJ1)&9yQ-59s000000040U00000001rk00000005x^00000004LaOqAPh z)Ibb|k)XiaG^aUTrpc}vm2}$-q8CwBApsIMDJn%g29Lb>5ZhC!s{6(9*#7^?`FuXV zDS!UKKX2jp_Oic#KTE%Mj~Ludw@>v>oRVfIUP-%?R!R2`UVqarBj~TL9+lBq^C?eC9G*T;`J}`n8NWThC<#n{zI=cu+j7Xye_rKb zTVaI9)Ot+Y32TfwVXZMQtTPsbIb#voHl6a}6Gph}Hc>OcJ}*}SyNcj=_yU>O^xgGD z{oxy3t!WMJYJYn;C}=SmCsWelg7f&RUKP>K^SIWua|mp)uHtksgQPogLy^UH7}sD~ zhkzXdfi2O|VsRKpCV_|EO0jVF^R>1>!fn|Gb&&_{bx>au84A)M85%cB z9Z$Adh8ta&r?a+YusWn$1|L|vo^;DseX%K@uqx)6Uw;B=gn0#{^+8_J0RuVu_g8SV ziV|8WSFtMn8tnxZu{=KT-tgASbb)RcoMfvEEOlQ96(T8Iwiu;Fn>dofP|M64S>Yb^ zloKQEbG)C`&5`eXX{pGU9_^;@@vSc%5vi>O-J)5Ez71nBVj_D&mbI1Go~413e64Jr rB;OfpA|8>b=)pu+5Tm-H1AhE1giih=3jO`{_yE-6qLTX$ld=Ld1rz<% diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index 5e214cc..19c79e5 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,39 +1,38 @@ -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618906787984 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618906788015 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618906788219 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618906788219 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618906788531 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618906788562 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618906788906 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618906789062 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618906789062 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618906789078 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618906789078 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618906789078 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618906789094 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618906789094 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618906789094 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906789125 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618906789140 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618906789140 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906789140 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 379 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618906789140 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906789140 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618906789140 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618906789140 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618906789203 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618906789265 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618906789265 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618906789281 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618906789281 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906789328 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618906789531 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:01 " "Fitter placement preparation operations ending: elapsed time is 00:00:01" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906790203 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618906790234 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618906791859 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906791859 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618906791922 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "36 " "Router estimated average interconnect usage is 36% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "36 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618906792469 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618906792469 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906793250 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.56 " "Total time spent on timing analysis during the Fitter is 0.56 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618906793281 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906793281 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618906793344 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618906793750 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906794016 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:19:53 2021 " "Processing ended: Tue Apr 20 04:19:53 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906794016 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906794016 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906794016 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618906794016 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1619049711207 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1619049711222 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619049711410 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619049711410 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1619049711800 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1619049711847 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1619049712238 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1619049712238 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1619049712238 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1619049712238 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1619049712238 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1619049712238 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1619049712425 ""} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1619049712488 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1619049712488 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1619049712488 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1619049712488 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1619049712488 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1619049712488 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619049712504 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619049712504 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1619049712519 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1619049712535 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1619049712535 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1619049712535 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1619049712535 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 418 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1619049712550 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 94 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1619049712550 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1619049712550 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1619049712550 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1619049712550 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1619049712597 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1619049712691 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1619049712691 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1619049712691 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1619049712691 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619049712738 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1619049712972 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619049713347 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1619049713379 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1619049714550 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619049714566 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1619049714613 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "34 " "Router estimated average interconnect usage is 34% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "34 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1619049714972 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1619049714972 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619049715566 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.36 " "Total time spent on timing analysis during the Fitter is 0.36 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1619049715597 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619049715597 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1619049715629 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1619049716238 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "383 " "Peak virtual memory: 383 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1619049716426 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 21 20:01:56 2021 " "Processing ended: Wed Apr 21 20:01:56 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1619049716426 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1619049716426 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1619049716426 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1619049716426 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 053429c..554e4da 100755 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -22,14 +22,6 @@ C25M => nCAS~reg0.CLK C25M => nRAS~reg0.CLK C25M => nRCS~reg0.CLK C25M => RCKE~reg0.CLK -C25M => RDD[0].CLK -C25M => RDD[1].CLK -C25M => RDD[2].CLK -C25M => RDD[3].CLK -C25M => RDD[4].CLK -C25M => RDD[5].CLK -C25M => RDD[6].CLK -C25M => RDD[7].CLK C25M => WRD[0].CLK C25M => WRD[1].CLK C25M => WRD[2].CLK @@ -71,8 +63,9 @@ C25M => Addr[20].CLK C25M => Addr[21].CLK C25M => Addr[22].CLK C25M => Addr[23].CLK -C25M => REGEN.CLK C25M => IOROMEN.CLK +C25M => nIOSTRBr.CLK +C25M => REGEN.CLK C25M => nRESout~reg0.CLK C25M => LS[0].CLK C25M => LS[1].CLK @@ -103,13 +96,31 @@ C25M => nRESf[3].CLK C25M => PHI0r2.CLK C25M => PHI0r1.CLK C25M => IS~7.DATAIN +C25M => RDD[0].CLK +C25M => RDD[1].CLK +C25M => RDD[2].CLK +C25M => RDD[3].CLK +C25M => RDD[4].CLK +C25M => RDD[5].CLK +C25M => RDD[6].CLK +C25M => RDD[7].CLK PHI0 => comb.IN1 PHI0 => nWEr.CLK -PHI0 => RAMSpecSELr.CLK -PHI0 => ROMSpecRDr.CLK +PHI0 => RAr[0].CLK +PHI0 => RAr[1].CLK +PHI0 => RAr[2].CLK +PHI0 => RAr[3].CLK +PHI0 => RAr[4].CLK +PHI0 => RAr[5].CLK +PHI0 => RAr[6].CLK +PHI0 => RAr[7].CLK +PHI0 => RAr[8].CLK +PHI0 => RAr[9].CLK +PHI0 => RAr[10].CLK +PHI0 => RAr[11].CLK +PHI0 => CXXXr.CLK PHI0 => PHI0r1.DATAIN nRES => nRESf[0].DATAIN -nRES => IOROMRES.IN1 nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE SetFW[0] => SetFWr[0].DATAIN SetFW[1] => SetFWr[1].DATAIN @@ -123,63 +134,34 @@ nRDYout <= nINHout <= RWout <= nDMAout <= -RA[0] => DQML.DATAA -RA[0] => Equal10.IN3 -RA[0] => Equal11.IN3 -RA[0] => Equal12.IN2 -RA[0] => Equal13.IN3 -RA[0] => Equal14.IN3 -RA[0] => Equal15.IN10 -RA[0] => DQMH.DATAA -RA[1] => SA.DATAA -RA[1] => Equal10.IN2 -RA[1] => Equal11.IN2 -RA[1] => Equal12.IN3 -RA[1] => Equal13.IN2 -RA[1] => Equal14.IN2 -RA[1] => Equal15.IN9 -RA[2] => SA.DATAA -RA[2] => Equal10.IN1 -RA[2] => Equal11.IN1 -RA[2] => Equal12.IN1 -RA[2] => Equal13.IN1 -RA[2] => Equal14.IN1 -RA[2] => Equal15.IN8 -RA[3] => SA.DATAA -RA[3] => Equal10.IN0 -RA[3] => Equal11.IN0 -RA[3] => Equal12.IN0 -RA[3] => Equal13.IN0 -RA[3] => Equal14.IN0 -RA[3] => Equal15.IN7 -RA[4] => SA.DATAA -RA[4] => Equal15.IN6 -RA[5] => SA.DATAA -RA[5] => Equal15.IN5 -RA[6] => SA.DATAA -RA[6] => Equal15.IN4 -RA[7] => comb.IN1 -RA[7] => SA.DATAA -RA[7] => Equal15.IN3 -RA[8] => SA.DATAA -RA[8] => Equal9.IN3 -RA[8] => Equal15.IN2 -RA[9] => SA.DATAA -RA[9] => Equal9.IN2 -RA[9] => Equal15.IN1 -RA[10] => SA.DATAA -RA[10] => Equal9.IN1 -RA[10] => Equal15.IN0 -RA[11] => comb.IN1 -RA[11] => SA.DATAA -RA[11] => comb.IN1 -RA[11] => Equal9.IN0 +RA[0] => RAr[0].DATAIN +RA[0] => Equal16.IN10 +RA[1] => RAr[1].DATAIN +RA[1] => Equal16.IN9 +RA[2] => RAr[2].DATAIN +RA[2] => Equal16.IN8 +RA[3] => RAr[3].DATAIN +RA[3] => Equal16.IN7 +RA[4] => RAr[4].DATAIN +RA[4] => Equal16.IN6 +RA[5] => RAr[5].DATAIN +RA[5] => Equal16.IN5 +RA[6] => RAr[6].DATAIN +RA[6] => Equal16.IN4 +RA[7] => RAr[7].DATAIN +RA[7] => Equal16.IN3 +RA[8] => RAr[8].DATAIN +RA[8] => Equal16.IN2 +RA[9] => RAr[9].DATAIN +RA[9] => Equal16.IN1 +RA[10] => RAr[10].DATAIN +RA[10] => Equal16.IN0 +RA[11] => RAr[11].DATAIN RA[12] => Equal8.IN1 RA[13] => Equal8.IN0 RA[14] => Equal8.IN3 RA[15] => Equal8.IN2 nWE => comb.IN1 -nWE => comb.IN1 nWE => nWEr.DATAIN RD[0] <> RD[0] RD[1] <> RD[1] @@ -197,6 +179,7 @@ nDEVSEL => comb.IN1 nDEVSEL => RAMSEL.IN1 nDEVSEL => comb.IN1 nDEVSEL => RAMRegSEL.IN1 +nIOSTRB => nIOSTRBr.DATAIN nIOSTRB => comb.IN1 nIOSTRB => comb.IN1 SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 40796d9ac57b9c151a93585f003a08a145a1cb32..fe227aea46726af0a78fa181137ad60fa9b6b96b 100755 GIT binary patch literal 438 zcmV;n0ZIO>4*>uG0001ZoNZCTZlf?1yj$9Tu++=$0cs#Y!X7QhJQ8aO zbPb7Awf*-skZmg^0%VwZkC`{`*NLnC)Zr+?j&1B@j|xzoY#VGjCNVAiaFTV2ZO6vM zy|?Upn^+FcK%J!k{KUl{Y~k!D{tY`El(N^IXg))p>)ME}R-aEY-ui7-u;GZ|47H8O zv&f#4F|vaMy()1Smi3C> gM(oIiYITl(PtXWJ;|9wi-uC!hLl5ZNKLx+ipp}c+Bme*a literal 436 zcmV;l0Zaa@4*>uG0001ZoNZCjZksR^eYdoKu+*3B0cxNi`p7aumcXc$Z*cRoO93pHF7nKJ&t4S*hVD>RD$Yd*J8^tiD}{QqikwyJ2ocX zt!3ZZ#By*B>Ldl=2QGeN3+F%aBUCu7<)Ax}dxw0_vk_gdKb~~H_1n5+(~-al8XJ-G zRc85?>56i*oCFyL&IfG{C$dspy=bxF&||}xn6Ry{;!8)l`H`Gy37=aoi5m>;sXitho{)!TxZU&%L9v`<%%8{BkzDOmSB4US(?uq!Cj6)X!^G)m(lUIzcG zO9k(N_0aY@r4vj_-KYexC)ohnD_`-Wc|x9N5ziquui(ycUG9o|;&Z`mZh3+`fPCF4 z+W}O|n-5_<7>g&pAYtnurMrS9@is2z$QM^(PIuWVWcen@;xziiT>4#bdV$ALO0$ra zVHPJedRJW0G%vEtWR{-<2D|(x; eBNwXEIsO@;6@caq)>FLQ@wtHk(3gMCUDA5_1l9fk diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index e81467b5b4d4734bf4947c48ae91f49d1b5b216d..c10742ac42f5c3415bafc49b32abb49e2628b079 100755 GIT binary patch literal 22535 zcmeFW~cNt)i;10opySux)yTjlRWU#>pdHn8u z?{9ct?mBBtf2cX#)m627SMP%Z2M1Sy2LJZ!{Pu{yjlWEtoGtC`C^)!S*;zR##9b|I zOei?HI4Rh9*f==ZIN3S4C{#?H+$@bvDSj9lTT^gQsK`;Mn;KC_nOM5Ky=fPU@HPz` zoSWMJ3~;-M|2raU{O{-if0}{ZPAio-xEWa-TAZERTRQ%a>TUdd9R7uZh}{pR^{>Ww z>!6y6ZZfZtMt6E$H)C;gxgib*C($n{Rd(bAv3_Pt_%P@#%zX)X*M3%dmfCW+K^$DV zc~aS_rk|y9Sf)}`+Sl>sik;oa`1ts7tiHTr5BJxSbGuw(3@G;%gwa%cfZU z%5{gE6)rB`hAA$t(sZR?U-h#CIysMdOx0@u1+bHgp)u(!LXwRiS&MEGu_+i>5~vbi z|I)fz5h83z1_^-ehmhB;i;z#ft1=XN`qUnO*?J?H?$Z)d$_0h=N?ZmUMIl5;1woG- z^^A1F98KF@hqS|_bQR^wKiA~;habg6;6@Zq0mEEr7ZWv>NZn}(T=^OPv*0onim=Kt zrwSkbXHhrObze`^nBUL;&mvd;_oexBjm2Yn@_&{v*9}K?qo2v~|5-lQ7(MRh_U9fs z>-}e;U34AN{l5kOFQorhH2;6V;<7cx3Yqk2bA5f?)ppHN$oj76@W2dztkkg)m~J3jLbfX$e+P`u+tZ`J=jHH->#UoJzK?1quq)y~hRq{hPfsUlljD)+z*ocND%V2elh(AON?m$%B@xWLxU`7|1Z&<5A6hw?ql5-K zmWuN)5=CYwECrTORO<$TumFZSo!OJHahC394pd0 zYQz$nIxH{iwY+`^3sq!R)z}d4fKyYc$x`*K1 z7roODtQLG_pJ&_0qmtA}P8xf^t@_F=cBCNCaw>d<+K*`wwa|KA}cfjLGk{A>odH97o&o^`>101Z%ivng;r;3Q8 zHMPoVJ!9*fWPvH zrBG1%Bi5G7EK;=|z?1RwyYNNiHz{9fO>1m<3rrB!MOHN}Q&MNTkyp zH92ziFBk@kmNb8##Gd`ZmX1#7QFTe5E@rulfxJ#1gF@Z&&P2eTCi<3v>Klqy{;x?T zVg!kI7VNpv7x8}?rcixtMF8Qo>X+(IOfgxdx}C# zGF44?)_Njm@QX*2KCa%otx#$+>bwlgNqCA z&~Q#-QJmcYRJSc`N*GZOE46y0Q5>LJmqsLu^(AAluy*v}=Rub42O^F`qy9hR?-Sz; zYIuJCZE1zA2BixrqUf-vAwL6Y_Miyl<0E|NBxvWQ4T#MH*K(h-V*#4;ZrL%W@e zdDH24P(CC!FY?uEev1@rEYWgkT5Zq9rmT%bq^7+{9KRCWp+0-^k6_Kt;XTnb#+BF& z-p=?TxMOwA)IzWj^70TwZ&)iC2t#Wad<&IgYG}dsm=ShO>SoT*Rz2LBpZDL`Bz5}S z!xJl$CC6=M{$k*8`Bnm(GjVIOsCW8LxNyw*sm@wh!!FKg&=KXc<1v%8PerJ9rs%If z!aH4>MVWJBl-wam!re$ZM6;BtX6hIP)JfqtQ{)ZmUxTdD-Y=Nl9ngKXI|JG_J2um>i=mz{I}TmUTQ@TI|-b*L-_M~#gX{IOP60sf}Xq+2xRasvywVQ zE)tSN#L$TvGh!TXJFj5F$E$X0jPv#X@ig0e-2gZk@aZFkQBjp;(R@PWZ6=DG5HYpt zGNDbj_K+V%h12{^DS{In)HD5v&Bl z68|yYWzi#FcAIX1U+Q^znW5q29|3K@DFDGzs>MEnq@enwb2Nb9YUiOu1(9|M%a-I5 zC8ywA3E@vQ{mp@hlpS z|E{@j;WpjkgtPxER8c} zw5w5rj(2&GC3oJVZQxf4W6K|#c4y{f{(rT`xi+ zn|=SlQQ>$m`(aRMAMoIPl1ftiRr~g`w|#RM^Bs8F&3zNPDEhXQ0#}|BoSD#AXHG*&E0M zB>~dA{~g?mAp>)l4}HIp03_6_gDeE72k-wi&EE#!n)mHvgxQA__h}+zR@bz8z2AIQ z?KK$mex^KCrYUZ{YToi{INY1Wi%{w}d;=85w{jJ#@EF$!=N!{9@&MSPCe}p0h#%*2?Ba69dwLbXW*PhbrI86s{Sw;}5!)F=|plL#@ zO=0z2x@8`n@VdPBUN%*;)KCMoec*;+k*>E=2C?@$AK6Vy_BA4VmPr zoPGl?_~T+f!uQ@>EM!k2EcT$1t>r;~WG{a&sf(nv!#D#n!>=J%QuK!Ff3fYf=ankz zUy4=MiW})|9BA4pnR`(p*-VS@sCxjD97JP~W#e{qLH~Y%A1^}1Gv3`Z6Z6R2-`f`P z_`7~-%<4#klw(bgg%$fU)~x;3IVaWA%zDV()7>bAc8?g%|RZ3Q8I`kHtQ*96b<2MZW=pFY>+ZHK;m0aL`x( z$?m)h-&?^4+7Ta)1+M~Z_J<#M>j|Je1&zGTrR5U+kJ|?HQ5yMD?4&yYuI z$_znVQ>Ljm|Jd*U9N)I8jltYx$LBKga@{fPf|?ZaW-t6*FHMT^T5eLN@m-;o!AMO zyovYo1?`t<`T)JXM|h|m70_T==JZ9g(l2?|Ok8dyaQsFj(#@RzV>Udl?)p9r!1OjS zeh8&E#}AWiG`EhSY^%Q zcdzPL=4H6c9ldA)T4^vxVr04Q=1NJza;+M6j-*(5h9!oyzLC3W7?0j~66^kob^6V% zEA~jqZl^=^AR?{ylDaL|W54}wui4Rmx%Q=;xRYn6fRtj*aeMFsVsdLU9L`s-ic03A zl|xW&3f0o{hpS@){0gi0L$@vu{9<+o?S{Ba!|U4iK8*F-2K)+5p8DVT8SGp4cz=EJ z4nYZjc0tA4H0&!Fq7|ny1Hby*DjcV=cI3P)PeR6oaL9P=-EDvVt+f&zqh9TMSByaw z8Y@t>P>sUL=;CuDvcijH>9$%$Os6nnvDw*No9cEB5c_4{Lf^X?%%%OQ%tOP%ygt+d z3nM#yoG0x4{3?>1wVhpNlb;s<+a9P_$s8-_lDk?&8T71Ts*wye1b!|K5*MzA;C(cO zr^Q{&dOr_>68=yx*#@*R#JeI?b~F(YbUZdGM98TWyG1hwPJ)~z;ExG}N3IwxkR z7{w2o;=*eG@8V|mclF19nMGl%rv7uJp8Xj91zbKm{@wn`<#LdLIZj z(65r}_2U&(1hW%fA_@A>g|zoL7|4z#^YYi^oNtQwUk$=`zlnQhQ5m`fYjJn+6xK_< zlPX(o>1Uup|Fw3K@_UNtFI7$y0v3;8E6I}{j|ci4z?9!|MmAQZeBlN$DkSg~lX(j@ z1_Jc69`wpv17r93O+}!&Pw8{%M5z)IQuyaOE~2;81@g|KRHyT3bg<>6Cs5^5C#!>bJCDLZ|g#VjxERx@=oY1x8T3zK%C<-2E-{8g>yDA#%km~=|<4<9fZYZ|P zhPL_1P=h&+U3u;ED|T|LY#jTnv92{Oj=hGdE9D^`E=@JTmWC-lz!ZYl=bz(k7muVH zKMlnu{QvN?kDA9dL}N&zX@X3|>C&==)25F@LGIgjJE zH0Qvi6;K>zHbx)Vre#hIM?DyYHmtE0!I+Vnp$uk!tGXE>=Qe?EUNV6<13CK49Fvx^b7pJRQL;7{2jLf6`hfx7dqD5}nEm=qT*6M>pE zhg5cFnh>vClT%_kMIk~fNg~`7nmt@a?C11hjm8M!>TV?j6z`;u!kq_c&kGt?8iv_tLA?T>n&hs@g&?>mPx17+j4JkIaiOZsSyz$ zj8T>_3M|wXUz!h!TduYWtc*d|1K|Ry;?kn2CscY;U8nsDfG6SzOX&>`?7!*cIFI`Bj`>jMe|IhUrQx*ZpUGhe3zgq} z%Dc}+L^!*ZRB>G|I=WysYM#J5N^)8%yCb8%X08Fp^@CqjqGxSoZvVNr8I7?BrIvi1 z$sG!Ilrhk-9gQcam1({X=0^UBJMJ*XKh)O6Ry0{OAKRUm)<09CIcEx*9D3g+D(&5k z5*!%KM7kKpnZl_t_^{Po1wq?w=pEM`1$>K~%MCF>M{NLP#HgP%IY|7r#HNZwN4Ng3 z!RynxY#Kzk2zD}jgFYl^!R#%qnTyObnXKw)SLUt)n37mZMy=_$L5ZoPvKlUbT6;^i zB!B2AB^xHejWT?bv}yhFGf6@NF!UgYFVI#!sw=0>ehrVy4`N{BIZeU`SP8`t;533c zV`6{0+E5hz$9?PxRU%DW!f6~Tfo$>K-!WbT$_scoayQ0WOw-wfmma;6t#?qB)vBnT z(exAI4y46wXY#n(DbXY7i*I~+ds2)Zt_sCW3$d|ctL@A`w6)0<)xR_GD(nuLbeAx~ z^$Nx1;^?Qe1WgaCvz1Pf9bVyB?cocC&Ps#}# zWp86%by7uomVpBh7X(I@udfau3BIGU2}pO#Esx?Fhmft3a4;`I`cjqlrtrws(tN2S zZg|fz{!%uT4Npk$oiXitwKH16yQfEWMYma!Y*3aDa|G=R`S>;gm0mCyW*Rp4rFV(rp9MxGj<#kb)RMJt$bq%pr~8 ziy-5gawfL6*zXLO95p^}(&=KgfhY6O$0zLSlx`=7U*}_|PxH(@)poej=VLKwL#Qt* z8GV*S9B0h_k?Tjw)9(id(UCsN10A>d7rSt0QdxObW*BKg@b^A07_PN7PoM2`Un2GU zWMtri9nLd(x5}G(KEWqxrwsitW0(Sf6VEZo%jLd<^R z@4_f4^vq7M43j?*lvWC|4i}F_^B0yFT98abcbY{+zJ*uWlNasup-4w`8WtWkOCffv zor*u^8}&uA3TyBO%E&E9NMdl4kaw@9!r`(|o+KDmlYg1R1b8?bwK&9!iA^@Nn|h1W1!EQ%76;h@HNx z3*|vy1v!$qV*jp3O)XY)W4U1 zk+bZVBhpsnxs}-|Hkm$*i16$CfX_!}-g`_;O zs^9uA-15%2Mu!;s*k=5!PSRJ!l-aGX5wvG4Z(_^M)fV*e$(8gH9=91{CLuyvuo%*P544rw6Y=dM>!DgwiE{rw3;&s+oQ{eDTIH z?NGu53p)!YQ26g9Pbh>zP{YgS-hDhVl+ zPhR*%(zg3~T0@2E^eF|Ogd5CWXQcaw0_|W94s{D%PX?R#cH;In?s@4Tm@S}|Ujn@)B25eG@p@7-aW=+3{HtQ#4HTDRYNeKi0@ zhKOP@A6|F4y>A(UcfAY3TX&<&Voo%7!rFh7{3l06;FCy)Tg>#$_RMTpmTJ33wccc- zB1zAUqf^$neoEOS8IZ9seiXK6mv~1#vCneOwZ8P06o@MvD_D_-X3ym&^*0InzV!(z zw1;MfLN%3PbrM@D0HR zhi?1DEj}8xoI)fG}G-H1bp|s_RMr!n`C=|SZcWroCq`(UKm1_BwEEMN8|7iRD$MzxY zB+Q$rq>H+%6Fm-I^>#(73LOV9sG`u7V%vtSGx=f?8Z~9Ba^j3uV4{xIV0# z#$IrUpT=*bt+Kgg7Srp|_d6`$#d_Sl}$x5UC zC)Xlsvki+K|41p|Lwh)k)-`i=%=&;H74Mar_d6S?C53u|e`6rPz89evv^N{P7UEeV zWM1I4^Z){wJe0On@y)S9C~^m@CSpZ5Q}f%KyI)-zzliqxTKRRY54^wG^wtqY+^c`< zwn>Oa=^sFHP&^Y@!XXCRa2BgC>yb3SeKqgyWuUOV#Sqpx#$8vgC1>A`_EVE1tv%up zq6`2DvTi&?z0;%!_2Ye$iRL?63~{#G4Bmhhgz{iONrm1&Kw-2`57_PxwIcsgUPg2& zPy{rvfXld^*6Wxlj5@!!^WfqU-Jx zsA&CJD3heG5JogVLHW@Ao|yY3kjqK~l;heBx@g%Znn|B2XE|+VQ^MiUs-Dhem%^_@Bbm|5r8^DTl--eOI`Q_cmpwJT@93C$XJ4`zuxcpKqfQkG9CA)?w zhm$}y0FANlKfgjR(ok+PPPEw-rKuOR4(!J@h=HRHG247n{oXRg6<4Xqp>UrfiwTt; z`A!lG2~>Mk$qvJYLDa!e*y0C09~cqUY}I@JMNjtw^5vknOx=C46;uKITMp-tLJZu- z5R=dFpU_ov`W6UL{4LX-NeNfkT5-oFWoo~?Ka$~z3AR5O*l{e=De_HGMjGF&bh z_Inzc&zTgHXsAJf)u+O#9LtDOe^zfYS=f^fL&ZR~d0ga6`Yf)|<&?rixq zr0W8tzH0I8ci)dBezp}f+|&&b>S_0D=XdtV;uTm<4{1loMHdcR$%*}MUTc|m(Q>tv zGv1%TSXjv3Yl}U@V?>xp=6o8n*cJAv_nc)=)8M@5tA>ZEck%T8+0B>;yJwW$4q2B> zt=$x2u}TnYWc_4k!BbS@KiNEN&9(<#8=qwUYhL#EeSXXE^#OYo2R=T&&LCwP*L1%L z&$GE$A-AC4|HZ??Tj+Z_J@d$QAVxHz4^4=q!QKj7$(yjb=6~ra(OWLqZ*;qQpdI>y z1micanosqWj^PE-N>;coR_@@M)Em7z3iSYC9CY~W-9S=rimjlIpE z8crsEh8o>Aq<>?YJVa%U!jgDg#&XQu+uiJLE9K1@LFp~1ZFB)zIq6kuuDZ(=c8nIo z8THO;f3!iOoceesx!Pf;BrdEniLZMm!VOGN{y#t$^#fnCF~MRc=*FLioyu|JI@2px zW%GB9zP~u{PDTakv=4f8Q_STLZgo?n&Bwg8)DIT^lvD}N3vE6AlUU)*0w)6LN1k-m z50L9jh4Yx8l{>0BkhdZ2i%`*trBQ6)GY@~uTyNz7~1IC4EgNj zmeunlzPUcjDx|L!uT2JyTi30%)H6Y)CP3+}_>~#1f)_0Ejqx&je#@2idDJnsgCjb) z(F}IVrpSAfZm%b`rAKkV0GpIJVYzy)u9(W>TQBj0sJb+rFiGHEpc7@_ew0q&~fyIl)FFUhTI%Xw%0ep!A5KZ!f1kTS`|}^>?B9jOX1z)0Yerz1hT( zSx>{#r=b@|m;K|Gm5L;nCl!A;$WR->M%`l*iz}0Z8*Ock_e-Spfmzpl;z)sHg@KRy z1o=_+=B$*M6ypXJ5na6!F`G;!R(ys}1y(M6{pLm$pX03ARH{DB{%*yWPb-XdWN2eodolLy z>SDALNFGE}*%!GTyOwbuFKC1jdCn$|ldoz6al%(F$SG`f__lOZT>3EA_ds34BkD;Zm*u&%2Vq}bF{iTWlucs+UOZ?l?#@Tf zskwzS-CpL8Nq8n~x6Q%8$_9JlMfu9x1zia541N|ie)04d{{u{oVlqf7jhT9o_3{sS zw?-sf`Vd5Ar930fCRB5Nnl8kg7`4x}Hk8%31pw}1^!(58iT;8Lt5eom*&h#7B-?uR z3p{zWhkviuS+|9Y)jZ&Z@t~T}%+kd^a8h%a*rYtHRxu5qe2_<@g8cDxq49Jsaqpqy z)ESD4laVj?f_%%sxns76=}gQr;fv^RNQL8O8CV3xHXRR6k-P04mK$xf=qzE5~ExT-+G9=}aEe<7UpnodAhuIA6Ey*4{z#r{A0SG?Dj5E^dOG$)Z!l3h z(sBv7_jYOjSS(=zZj4j^xm&M4cKGw%NTX%*&~Gi;+R)oEmqU{_zjDV3)^rovVVHkx z%&2%K&0bwy>^c6`g642vptp~47k9Ye?4-MZFsXcnxw%Gyi1kU=rb#6+-b*Q#tI$KS zU00W4-aQ%o(dxrh5Z%mV;#KP$f_zTWX?Eqf(EQ}wyV(&NAug!{Me$$(>2Fk=Z-t8b zm|#Z^fq%|JJdXPVG+4?lKp~@zr60>R4|3foHaoblywyhm0+Xlg-SZ~g1HBDIzovw^ z#_8i+QR_mQ+|7?--F$uw=e?V~T=678b*i$#dT5AMcVBCX&DVli4JdKvYb~>$urf)t zfia2|Pj7!p9)MRMCeiVjJXutn=L{3-?&?Rp+RJIU%9l@}B>u^~InPcvQ>JJ^Ml+02 zI|vA~9S_ym8gS$?+j~|=3(XUQ(J7Fw``A9WVvdVwh{;lPtK~h5gc(RJY0h9anix{C-R;+g{U7L zl#7m^9U&FwkHf}zSwzfEAQ!{8gT3DrT)K8H^5=WUt@;cBJrszhDGoCgCtJKx;`dmi zBDc&4NnhQEUf2jjVM63#AbX1VZDKAwrUD7ixd(#XdR` zx_Ck7J?z1)YiYiTQdw9XD^(r1R~Kjh_?pU|1DkL6bs=}!)N7{J1rtRqc}Qn|w_=n`w|?Go4%mpSwDg-o8P(=AX9J z;d5(8OZ%H=E~~l%Q@Wq=CLTfKD;;ee4|A0ek+3zNyGlrt+bYcVHLM(Hk^C@6XjPPP z90?TOV0?zxg=9<7q$!~(R0-`-t@!M+W@)=Uw0Fef{-T_|d>9H6pLqgMp3m(=+t66V z7ilM{=%Jmu5ieWychHs=NRxr?p3Mn+v-YWrhu{LyNQbW=t$f5hV& zstWGZfl7Wxt4;9>c5F_nP2C$nPBok$2|2mglQqkecx5c1_jsHyE9Q7~zMt#dHGT(5XI0d}P575`t$FNF*io2zX+ZLv9BGX- ztH{N6NouTJsxpRbrr|kcrExAE>zMO3tnwDRyGp*fP`Ukeb5fSW7r6nNUrB$$lF=Aq zLuh|EYbS8d*rx85@_Jqg;dLkAKOkR91j;X+KQ}U6tFO7Gh%}Zx_clwt&M)`~P>PWL z@_SybnptiKZpFuuC_7l))#w$RIGdVM>KC_1hfAIbxUhKYFXg^QXkVWOiHA}8;LqF7 zu+Daxs>9l98$`OwGU#*Y=AtH=Tup@q&L|%}gOxN~@>eaGtmvJSgEG;ta3+&=o}LDK z72lQY@0Qyw{?bd1CVY1y{8erk@ZO}DPQWEcYS=sb>~upuoodcfq}F=owIsv*7(G_; zU_>{<<3uC-(~-#;>7=+H?rICvJI2pOu3{8a8dv2o-qspKWxXdB#hobONF+8oD5uXp zE;>88uPJl3bcAFTJnkJ~w=!%!Hb3Sf+(XZFz0sPtn}G(?HCJo5Rg6X?Jkg_2)Db@* z)v>>gQJ4mLJH02ZY|3cVATQa6LR_YUCdy&Yu^wI0v8lIaVdht|Xl+U8+hl)=cw@%JoeqIxe(1l4JDVL`vNd069o?$@ zTnL29t!HG#S;uByY$&C?_9tc>dvh-e%aNsH>s4Y$`&>xG$Qbvu>te%j2;*Ap8|jg) zIae9DvpM4qO`~>_i!+q4h7FKbWH)?Xvty^m|BP&?vzTzMK7ehK8sj!3zcozIzp$0c zvtN7Kng{ZoKRKILvu2C=d|TE37>gFvti<>I#;0P@#ZdRbiIfdble&d*NueKouW0=P zI|>!_^{)EoPe?XF@q=y3aV|e4^UUNSf0lbA>@}U9Zy5G9U)G{@z33CFmZ^>Kf7{)V zS|iKJ$VnZ4&Eo_iYF7EQf%2>B9ucn`+}}e?9(~>MO^(;)LTrQ|UXcr&Oz2{B>n0BI z;$D1bnVkgOF{gfzD6F;ZWTo0=zDp8OplM)L9?2C}1l&lLkHo2XYsUYZOiK97u^Hh`c^>vkVRFzlz zU!&_)*k%VFKj&_U#5g-qb3)_J)d77skspbz5B;qU33MZO4*z4>%EeMQ(O$^$o})qdP+^z$Rs) z=|x3+_Y=M1;p;iSA%AT}ouL>sZLJ4kb*6r*wpAUc=HOaxPdTtu$`#L8g1cj(;4@la z`K|6BhVz4zs~0vE@C4_+ZYqQQ+;?EI>1dl}ta)_8@#a`SyKUe4-z!3XU{JYp>WU*B z?UaK6`tTeLzgLn8#zWTiyyrO=1(yDcVzA%=(WytbpI{}0=6j5WsbhE2*dgwj65^{< z@LVRsRrBUp_~>=fW8c{ih!Dj&zO1zB!;Z$Hlhl&ga9aulpyzs`zqEABg?yi-H5>GGN&-t&$o>|zduF#8qF4G|yPiL3JFIHz~ z|MrQ=P*z1NT}3+_PnBcw#XMnXD2GI(KH! z?{ySVgZvheCrD$HUL5<~Q52|iQbW7CT2lK`ePc5kExTipHB#rg)li%58j#jFxoZRB zt+*8uZxzxkxh3wJJFs_eI1fHvQ!^wfSVdj}M^nC_r}cvP@8f;)Ysl<5NWQw;9w{^^ z?Q)la^y9!4%j-}imnP+gFT-<$9*oDz5Cr$Q9kg@gfQSKIeDzndJ%7rAorojbAC4WR zWj>T3HszP-Z1uy5>IUV7$MU~8F@S%d%*G3hp|56p15qg!>sy||Fc^94_tos-TFGsx zRl@w^#GDrvN~KGqC4V=!auHSDR}!Dk!mrA<0`i@Ar`f!;Q`ef{p-mVK)KZLWJ!*{n z?)Hpyui)NGqPXd{;65IN|2O3BzOMAd3%u2dJxD7&uqdZ?;yhc`Ne$?h`v#h%@n})y zgkZGev7MtmmS;$`w_ErTb~-|}9F08bdm@^Qwc5R1Fo3{AIJS0bpIDD=fp-o_`i4AN0p;!GGLPy6_tmSC6`xj~TycF8xmbOdYmL_(M_NbL;&%4! zSUMQeFU^4AU47b~^aGoD5&|kO?rvkzjt?;Z)BEy9eT?z4JIf=||jzt-7!JO)_SklF*`RY02T$*l7Fh{`2J7d6_Q$VbSWL$x;aD zc6N}&YbM2*tyUxLx&h0pDYo4mbF2C;E68gN&Q9TUUvDC|8z;ekx<|-nmcyq z6c{VS)7rdrGm}QM$QlNN2ht5)b-qkon)`%63IksaxBM3hrmTzhD6JqMQ)Kr_>U@*70{Jk)1dAbp*l8NZ-ohg-swim_N8x z6{dAayNiE&jDNn8Y;h9baJ_@-%TLB23Y?wMYz&%cbYJT%(?cUYcr|I2L+^sU<_MlB-@Zf0c**>+wpww zpI>^P$Ncmhq*3mm{xIaNGe%z^Fh-EQDz03jVZ~MM_K|3>?Epm;E zM#WpzU?ItKce$YTCG+FFSE31sO@hs*wh!@!b8#}^djx>Nt7Oydx2HZM@WQf-b>`4_ z>mn>x8rFC0OTPNIw$Fj}d^peUm(%35*`2@2i}boSkC&@X}$SU&3`HDW6lPv@?kd7+=xk zajs9hHa#_QX6$hQhks)|hk{Qb?|e&cQ`)gG>Zf+XkD`y%bZ@>L-9TN?rD36jIs#yU ze+mOkX;qj+%{~%zwkqD`(7$?WQ_%DX2|5PasuEI&%83fG0L=R)q+_$(b>J;&3lOjT z>N3yM?q3IEFzYtw?t=R^m116@-N7z%S#Zm&b=S-)ul<8QSm`o^CBzb33j;HxPq2ZO zqJ}-|R&U?gnz@G)YU{7@DPD>{?XI5cBUNrj6C7x`>sytem|GWo=;*&9?Q8!2FcuNC zO{iT@XgI8LMHdDA%CEQr!)vftfAHUsmU~F7NZTYom0j^?yLd(Z z?n{mt>4RHN6|)^1M9v)7E`TH=GoVual(dY40I9p{Xd=2`ikJf3@lKC;y_c4+$~;_j z%YOo&0p56IpG^T`LEh%0V%repQK#|2vxF!Ci$$jXoW#++V0HKgFERYt#u?48)EIO; zHfXz9A>MV>A-Q+k5aXsLsL*h(F9CZY*(+GR`}@P!r@Jp~F&Cl=QoT_ROG8E^c_#1@ zIn4jz`*XM{fu`|Ssa96r`38y#h`xU6;&_ubJP7R(LAZGc?m*UGI!XjKp)8Qtp4l|{ z^GSfEUg>brcq9N_dIf}h2F*)*%`w~+daD4=g;Pzh%A`-p<8;$q>eqdloklx*Jl zMDJ{(d9ETW?lySFoK6dM$z|oa`Q?E`@a?+DfXicCW#pE99BCawTZd(Sx3?`Alox%H!DgY&JuST0cGz-~q6%w159nOF`KRVe z>fWOnK9UC#3@{+s5FVBvD9aNUno^BTxq_J^uN!+kDio;?UohM}|A>H&Q*I>(Ph>@q zuE*S%e!AnbsZjMdZGYJ84N3b|+Q|k~xALK`R7R!?Ui)^liGNG(Xk zC$E}3uA++c{-tUI%0F|7t9||wv-hm<7Z!9|u-HZ)@@Ps)?yhHm8OSSN0^Ane4n^j{ zD%`mPH0B~pcX9Yu3wM}z7c2tqFm9AkfTf`OZh`u3 zUWfQz7ImTBt7Lg$Uk&p8SD zHQ;-;?M%GAs1tRiIOfy(gsZZ?z}-8z$mE0$dn|~NZR9xKGdNv`4c(TkLQ%$l~=Zi2mGs0H4zmZFT+r_l85!Dl~tnn zqSoUtw!Sm%1I|9x3?xw6m)Vd!P;J#?tHTi1c(TsnvzX`e>$IdhliJnBaa6c3$kSEP z^h82H#0(kYRe9~Bvi5_-H>P^{Q!1N6);@>G58WG1Tm3&^FXR@6uHJJ60^oNtxB7GW zf~OD6OONDaf2YFKuyCflLT(4{`!IL(qRH9yIoXz7~jUqNl2$IqaKL8KC1zf zQ>EGVw_e09gI;r%Q*Cvph*3NCdCRXAk$%?AIg5pM>c6N19$^mib!3KK3*Aq2ie(q%%+_%X9bJiFXv57L zFExBmGaNR)ve=BLwYJ`ufUY@;f%6Y|D*;`M8xkjlynNa<)cfjhwd2+dJgDw7b;p6VdoJ=qCVVQwB7K!I*_^e~By$nWXV@%*bg^9BJ1$cN~ zJKuJydaR6mRo`?LlBE$PG*6Xh$EqK`MX^IqdRC0hW}s^QDM6G2JVQmtyFTSE>LJ&) zf!?*R^fx5tip`srTwQXyLu|>+ncS<-y$Pf`zE5(1CBlp3-VODeDnd+jhF}%V8zaMJ zcLOMJ^i>%D8l&R%lWiV|S+TUyvzBKty<+J?<&9*(CpOuvbXWq-Sx_|FTOC1eVPR@! zr{IH_37V7m)fa+`dX`2zbI>E)cKN3AZm6rk$>ByoyW>z?1y)T^!YAphKZ@5{uZ4p+ zBrj@w2S|GZPf`Pk9>vWB9{X^1^a~;ym**!!j<^{*W7sM&s8`Cn0WY56jabMe&pnHB zH|HE=H0g;$r=sVaw#g_>z83m&Y`0eeM=xDNRCS4r4hhdZYPv&|4)v6K+)E_d zxpwvqkdW2jOf(SdF!9x)Hlm`9i~JgCI6E-g-MDj6t2czV3EWW%p}B8orX&1d~zoui%sRFiSys?1JYYGB8))6 z81iG@9W?f0BY&*^~orKT`q3<9{$GHTHy^?dSq1V zRrM>dFAT4+Z`He_6ud#&xt?cB&r02Moqw}9v7Dwr!0v(ru^qH2xpLp3o4H|6-cR}_ z`U3*Ex4!!-K8!HNPFUxNsCUbcTA#doBe(u1In{-eWD;My%|oRJE|86|Xy)wL>h%GF zPu1MvIeE(XUqP2zv)81-q0RTtCHLlc5QR6A5M~A%*!V;oskyq=*m!hS>!Wz~SVlIg zNIk(?9maHEM$k3cQV}Ii2&lvH>CJ5{w$)Sq({IPPwh+}J?nT33`IWW?lNYV#&O5Sc zuX^?>bZhg3g330{x?O17KN1JfNm&+}^(EUv*CvJ&9scWl@o~|t^={~W@1oqoVc?}{ z$^9M0w$q~eCYIaZ*Mg^OxrYxY0f%PebK_h--u+K8_e0RLD&*(g`>gxoXvh_Al<)P@ zZs4=T^cvyo8O1tl4>%#qi4FF#cIlBNs88K_hkVJN|D>!=92W8jnX+25rE#wF_c7MX z^F7DjD?DJ?K{_eRK(Jf)ffbuHJn^sEmpganO)M6b`0PGf{y!a@dpwi>`^W2}l5>hA z$4*4Dd_?51q*BeHNXlW(=|h-`8MY-!C5MnX>x7U)7>jL=b4bqFn3y?>Z8m0@+3)`T z{=Faf2%N4etW_xv&1dD|G}T!lkbZe_%DDC(oTVPu-;2@wNQ%{zX zAiwQ`zpS&7=i<>?;P=R3VhZB&|Mr|2hNX)rG@0$&&~{NvjF^gVN6&6HT$ZU`#wR8(^6s{EVpzJv_F}ud$5*G!l*po3E?Nqqb6$aL?Pb8zL_LRM1bpE5~Oo5jHY{Uik< zfBjZh1L;|Q-sy2x=bA(L*>6aoGJM<=5tg_V>|tdMHMp9Yyf4#?>QiuaMK& z-j@n$aqY9Q*z%Cec~i6YScWlGGJEQ(0GgW}t#6!OL)bg^~5qoi-b(fdhBi>#wBP#s)IrKnS zw)%xo+Va6+HO)K*F^`--K%-1wj1Fk&6Gi9|E@B63)e9!TNSqJBcC4qx*xBlTDJP-b zvn+6FJ_EWez@3XK8YIi=fs>biGApw3(uBu`&dul%w99GO4?Bvx^IJxvxEHXpQQ}s) zc3L}Om>l%b161@;34zK&mnnh;mc6ezNjaDtU|VGz~(9 zDBJHWs7Rkd`aa{DgfxG(>Gb(t8JUec{E4zH&`p-azO|oV?KL;;%>RGs5zL?Lh=)xb zuobvTU;_@9?oVqJfRS_ElGEBCp9fIZ)m-7o?H7r=(aRpq zwa2+UKYEZXOba?u9x>G~`j2h_CS=`|Zfz$SH&G<^ITFL=Wi=J%6@5F*MqV|kE^w6gv{ZW*cV+8`hv`yMT44b z>wx(L+H+_80-%Co)>SJ7=&s-RCX>@EoSGHs+t#44s2jv>@682?nEdBM%4A#aYO}jI z-;zQcxtKn$Pdmu8u$VAfG6?r%RAFjWVkUkgZ|CJs^9=2ZmWMNnH&g}MexQ-NCFSYg zWFAKP_ba7Z@zVpQQMI}GoKo8U6S^hYYn`cY{WEK0hJj+QVL7FA2rib%oOxOVI7Y?b zZ>**QqNo_NtVIy;5>FHJ3;VL{tJ2&ci(IYAa?lHQ)A>U;i)bcTj-81eD-w;9+SpB* zuagFL>O|32g_x-3mm_HH@-cX+dZ~<+^8C>f5OlzN%7`|9nUCW&QT+oQb zi_b|8oIB8HerhUausf=aul^_B3i=m*M%U4-Z4GhBKRQFY&Yg8#|Ht28~cUV8Sv3~!8a(YSzjsmoJGio`C99a{al$>-@I zYLWu>G6xpS?~N1XKy5MIvE4uNqD_3_@T#rK4R_8un(^r0bcW5N(gJn?>XZ?qP%iQ- zF~fARq#_B>L)IM&iS&nj;})1&8&jlcOA6ZG;FM%qTwKYE7cp9^n)rbJPf4qQvR!OJ zV%&0Lbi+38s>CFWN(4E1;s6MB4aobQx=du9!oVRHa_+#q;@!OOHrZ9 zFd%63QsHX^zLlLRfGwChW?#CI(_1$GG4(|?_u5b4kzl+&HZsZ7phz{?J>67=qD3}e z+i|SINlzMsn?~v#Nbkq%{;@pjr+Nj{cYVQ~8Gj5!HkWrYRYT>wTu3Oz@Pv7VOT)(q zQTYpIhkkcEa}*UL7KLz|TcEy^`KJh$l$f<>yYGC+{^Mtm8+X*-c!e zBwpt6m#Am+rSswds!((NwiPEP@lvjJfT$qm(5QKYia9!;EfI;Q@?JcP?@G=3t50QC ztRo{m#@Eyrea{Xk$UId0_qCXzdm$ALq2IfpSYCl_V;}pY+oE2%Uf-jw{mRh#>yCW_EfuKy8M!~dzLoZ%gNzfekeIanK7cE?Pp=2h3|T<{_taVese)QFF~YY@jBA|F;RUyTWTcodVgHm zmo&WNV0YwODeVM)<+)(YuM0o|0px5vUZ5MMig%B0ej;blhJ-0-x#8rC1MrN8&M0zs z?uFL5Lywc;uOE%xHx4Zh`H}m#?lIfAfI@aG)QmN8XYhl$qy1Y+p<;cW_fir1Ta{%c{Qk0dL$MsMQI)sYR)>t=#c>VG7FCXH)L6#N@*ez~e- zaj%~f z!#7h~pV^GE-<(r}5eDOiHbVhza}x@nLI41`=7(jykVJ2KYk=b3BoStv z$iy^)Kir@yED9R_z~BaSr(_-Z=s8~bI8^n0bNqOnL6H4605`SJbm;O)#HJIQ(--8H za@5N1Jv2ZG@iP(g26JM3>r`wtqQ|EYb~hODMxlJK83j3gy+3>nQBoZL zBEJm~perXC;a&BYvfX69`a-;xl*uGb2|tE4nq_R^u;El+reP{@Y zX4gh*72O!~7NIB2FGmRrJTKRF{g&UE-cA*=B)wkJ?mNF!Fpc>5e-Wk?YcAXHo z9H_n>Vz;&+xpPm(?BCSJig@s<-<0zsWRokg$cR|p(HPt%ofnuv030G{Dv{-NMAra) zKuAn!rj-x=fUn&4vGv-yRLAY8nUmq?9-cl$QVZ0VM5v*SaZ0ZnmHZn5R~FMuLGjbS z>)ir6ws^>8!qQktY=mY_uC{z9*NP=v%-v87e4_fb_V?0|yO`gs`W}~OmgIGRp^dUG zDZlHxDR*K}^W>5&m8cYcW880CbX+&6ZKE}D{w8^yYAZ&u*D|>`Pdq=pBTr$OHb#+} z1j;k)ytU~_U|c=L271#`hhW8go}GL;YXE=}?$QT)jWm+jpQgmef4jV)H?5R6Sx_Z0 z{xsxiu{whs;ZjO6M^(t)Y0VMmf@+5NdcmP{KmXTIanAJV`QtGRF2^*|YA zNXGQ|s|M>zr0JOk?`!;5j?NB_fQ^`9UH5#YV(?W|6umMO_c<@JskA0gp|Ti}Z?y9y z(2^6Drkr@DILSa@KdRVZ8RZpHmXn< zzWc=;NWh`na^^!C-^qoG-R4*S5x|7ShjloL6CEG{eFh6g4?UnD;5X7 zJm0T-^^e?(`U9?Gju`tr3ZJHAZpj{de(THGyeC=K2am3_crtTVk+hr+g8tstFP42UQ$Qagw>R@&opGw16V#Y={{cHNM}!Ay>}n zc6N1Yf!nyu+gMS33F@Rz>9)-p;!i)SJdh9Y4b3#ob^O8yzdyZvWY=QHyJPl>mL?gH zF+cv~!RPh7TGVkFjinbYpDNzh#7e)gAH>!?T~%#gryR2ltuBdN$aM}|Igu;=z;O7e z_ik1Xn>O=Tr@xqdt7#Guddh!w=H`dn`?x_6*%wVgAC%Q}1Q9pOuYu>jtk{4}oPuus zJoZsy3#Nv5xoD~TcPg5Cy6J?;KhwA|H(}*9LVyolf+6nPeMvTvqV6}K^hiNs!#w)h zr$UZ$(6_r5U8E-;XHiMuPp-l%+{8pf+BW0pwg3x;Xp1tPvz)L#=5a_#P?_(M#T--V<#!qN547S;`~mS$h*HDP?& z6HmE&OU@TIZH9-tDSPe4p5I**!t=p$w)CMRh94xOoxFB^PPX*e4rD0aRs!1d2R8gx zRrV8=m?!(gnY4&2O-4t#(hVPst3%AyvOg=ClCN#b&9%K1GkPfp%Ay{R2*_F0)9~CS ta2MX$vCru})iri%Hlx|#F+0oa{i-{3;9iTyOTmz${(*Kda44@(_7H!ro;R}UY1tFP1=7FLeb+|*i1)Ouensb#J0yTOV~t54}e zN7sVM^NH5M)A4-S@p0EJJKO7%ot@n-zPpsDY$`+bsxlM`K%YG^#vPvy9KHGFBINI-+lL| zf}Gsd11+H$zd4u~bW`-dSPq^(%0|i7A9M_~;hiW|p4_zKMYrYrr;&{!sD~0U z5KiX7{?oA5Rj)yn*p59k|I;w&PIQ^2=zj{BQvOdf(B{(3T30%*_@5?4pZhWRi{-yl zAd2+U}*nU}UdM5pIOGHGtPcUAt*p%yi z!Qc@6mjL@G&eP`gcdhDtQ9>0w`LxSb`+;6Ae&%F`pAi@zDtVg&>jEht&pLZfA3XLg zA@%MmhWafP;y4wzS+mhzy7qbXsZID^JzWesa$(h!B6GV!jr@3&+Z;u@6uP<%4-OO; zyaIX-d|launQHBX;bgjc`8dG7>(Q0_^F3wFlSw%a;eI%a7EvMSl$fKj`Yf;h6DH7Z zO#E~}R{q+?E4VlWKn2fKIQK*_=Pz$p9`Yes2%;ToKET@me6LBZ&{MBE^uQR1_>^sE zar%(=vCiMgxp~gXsrb56tiFuENC4AWi9g#=Ku47gra$=KKREhHhGi?Wr%s)9^GZ%_ zb<00<1qh9l+oyC0`JP534c2flZ2=(TH{m#q$|=)~{La-`rUEd*C(VUpgUr|}h)iw(Rh_}A#^peltm(C z>a@Bp+qrVR)U}YXuvDEb^mEb2=y6}QB7VEreo6D>I4&}5yYKwUhD#Z&D$lNX1#Q*$9+#V)YYMhOcBz;!pm*Xt(iyR(!R3(X9|!oA+O%(RZg;Wa3s$ z^_%I77)-;h7kW;WAQkYeT(&AsM9$LxhmMLvE7WcOK*;{qaq8=K>N|7XEK==N&U505ktfAs3$^X zErD0}R|xSGzJ-nSz+WM|+N4DKNNPa50?7vTumlY)1k3!Nha<1*Ba+M^#c-J8E{adt zEt+DPQHH1Z7g@4lz!=flFlgOawQqWso){6U?S6v6a+$35MeFZG5l(_zuA2b*_fme^ z4imd{twpth%F8_vS)53<5E5=XG>!31N}@$;`bx9JDVA5X*kz{$#gUMzYlhLkQXF(o z)>-oBo$&!@P{ckkR+U)=;@4Dee9QSfM;Ej_+d?dQ4C4^QgID3un zTQxeR1!(35S%hD`Hn1^Z;FIORWR3GYgPieHLXkC!z6-CC`Zq`j_Zzn66E-u}s7Ml* zA~q7-0W_=JKyS4_9d*GHaxqI$&yBNmqUigGkdF7bQJjud^7@Y1w#)8jdB>!Mr4#ys zy`oa-97AYPN@{fr8dfb_$V+!`1quw)gbH}@DwVGids;u>7M?KDOG$%vq?D;}gS1Xh zBsNR?&s(3EA2djrmrolb)Rkgm`1yT0R-?F($~xlFL?d9~A;98Qy1Q9wbXx3c`;Ri# z_!c0pjq2_EaLjctM64=1W#y(i zAdr?6Su3So!MlYkSpvcJRHwq_zFjG%w~T5ZT4#=nd}L?9h2Mj}$s^=8$s5Cn=|mC{pHK1YUo`TKA+@3{d}d~*xQyj zv>gJGzl!7=+WXBr|~KF+H)FPCmxe4)@9KVuhs^|5uX zAV8k{9hD*RA)%xguJ)Nc!iYT+tMVk78mO-I4QOxA>rI>W7nL`SjtQ*wGW#cq6?md3n{LTXv#t2mZ1K^viUoO zXF!zVP&j{_RmaiJ%tV5l5|xD#Z8|Ze?BHr#5B>yj?DNY;f1j6LCezKYq+PQRbyV1goNBx-il8f_pUJ zM9oi47jnOq%7UJq!j+cD!rPGEk&@FrsS?QjQLqCiAnDdMsd+_o**Dz2IeC{x*E99_ zSMJi!?P|`xzOc?NI_8U|fz{(913=6^4k5-){$-t~I(-AS6)z-5MFW8a23q)lxI9EY z3EK`RuaObTM}@UMWDzNko|JqbuY3Nh{t0snYdr7WTX($5MlvthipxDQN)Z*y9O(Qh z&{a@cYRMh+twJl2u!zOOP@rTYAY_uHC0J}O11WvAt~YmVRrT$NyX&1@m%w`J;=2xu z2JDl}LVIZgkwLfc)&sF@v5xI6y%XutDFYBA&BAfG>j5*KoZzW1c|$vLPikO`wVNY= zLa(Vp3TdlwqiIJDvpeo>48JqIc03^lJm~Au-gyNC6;C zW;-UacQHu`I-e&y`RE?oUSQ~2SbU1tJO;&sYW15m%9zkDVy(JUhxaaN))ibxi}Nw$ z1*{)(@w;CtyGkBOI<)|&QiQ_6{*6H!xjrkhs5E;ZZ4z;T>1eEc*{TFhI@w4pBgIE7 zW2YWs6O)y_vgMf}5_p1imY8LKtPiP)O@hY{o!rk^sEO*NL~`(HQ1w0HCD9MFa>o|7 z#ZAxgmOPF(!8!++gve|33feq-gJG_}bQO@?w?VTY6hdA@y(QRNkFizeaNY@JarW;@ z$`T4;w-|QvX z<9>g_0cK1yUSp-(Sc^0}K64(4mXA#9Aa3m8bFwFEP({TdT466D1Hm{_~7@{2N0!a9#}@TC&OJK2&TVqJbb zIGCnQjf}4hz%QlR9}Wv(=5X5C=_^upV?wS<4}R0g_F7=j7QgIvSdikElJVq*i-^*? z31;j8`aK9Kq)3Sw@=C^_TOFU-8$D>X2 zXSnj-vX5+Z=uOVHSYK{8il6j^J}*t$0ieI?vUB_EWwlOY41bti)8h_?}$7)1Ct4W_x$+)XrKRADhGN#9o=j4MK*E|e>ij+lpDo7P!T$3P?7nO9dK~)s|dTcoGPJf z)AF+=b7`*8Qjx_VK+&B89|dLm&}>y58E{?o?GL1;80b$3g{z$kD|0Ocxl*WzLO=JN z(WcLL1y?a`ALjEjO80p}%{<>T^ok-h0KV_(?sLb^@AGm>=A}#6`Ze$7RV@}G+K(!N z-|)B`K8JEls+DnYTarhgy-szb72>?N^TUToFK^~u|7z4<{wXe0iuu!2p%A}Pu+$u# z9M*>nT8@GB4PW$M+?aa+lO59n`_|tCaxA*ibPV?dNk2;>Yw_RXTp^7iRVCdc{_#RMtPA4UIe^vaJHGEu)92LbhDTsT5D zvfF>orf|XfS#4VefIqv?Q_NTh-G7-fVO)Z`Wr(qPwd)(hZ z*T&&+MTK8R&VJrj2!#X1Ckx~M;!=n5dA?&j zQp_)9yY5+++>go3AzzJgu%EDk^xCSqV03#}bVL6R;Up$f{#pm{S$J@@G8d*)XySJZ zh>QW5Z3b(NohX9q$LKm*i`h*F1@Gt%jzn0{>A6~e%|d8N>54nGp&x1gUZrd01jeu@ zo3N>>MRD0SPYj-9>p)~ARyyOD2bv?e#k6dv-=)%{WOngbT#~kgb8j&G(RwhvEsrQN z61DC1W)H74 z?RFH1y)pL^`LZ}fV)GihojjV6s0#Y4iI#;k4WE=)C7WC;gXu=%P0}-l6za+abP1`y zETJlzO$p^|O7EjdGrgo`^(h(3Xc%wmzeUjCu&5WWc~*+>{;iU5YCum^)g2sU|6JFw z+49&Pv;5vBkuxO~$Gr|Q{u|obYubqAor9h)+r+!nHQ+eLrT_e+GfuX;vE#NKOdoyS ze28Vt(p)hzDZLD31^aiP1fC(k%iMqH644M(xs>}UZ|fJ*$;bww+W+qiMIEoR4UYGd za@Xy5Y`NFJ?tWS_{jQ2y!p-CdeClg*2UG4EL#2pDzBl@K)r8f@`V;}pKse4qp={k)C6R!f-#Jf4;HEqCrD;fRLmge z!S0hb*{Nq~r>maqfdiIJElG0bJ^19xnJq}+qA1YSuef1z1rVYW^@XQe>A!?JY$j-^ z$HMK}Bbbz1A6R9UV1mn5 zgHOi{*FCIBxVt8fUyBFuE5JjNCVX{Ej<72Hvz_H0*KcAmzooE01N-a;rWzZt%|h;IDrIlb;~Xky}4f14F&aE&IVHfwdlJi77f+ z0+Lyi=q~fG+tU5?X)%wBlO;7qf1GBly&Ld@lh=t&-8%}GkV#OKf*!GYm#!!z6(}Sa z=L-}XW&udO{}P~fHqBi41NZ&>=+tG~0PCDIBVU!*0>z(2{~srK!jY2odkoDukaahN8b}tJ()c2Cu(s!X+O%OZBoQeZv73h>XKc!nz71J^aWt-f^>zg9(TO) z$iuzw(Y5W}Vlq-fUDrFfe{fu6#B6@&eZ%HjefBbOg6BE~#e0nY&iR(`I4wsiT_4x! zTO`31YH^c6{SjV-{$u&Wca94V_nU#9wND)uI&fG|x!*S?k49f~zI7Ejbl3Uvq`Fl_ zuDuw{j>6o)%K$K zi{JJab=NlxIc4$L)-ee7{7ZTT!&n3bb4Am~RG$->OfNDvu)o`u>mf!#UTE88Uc9!k zzI9#Gp~2B(~M*l z(|_*k4gAQ*P^eDVs}$H=`?pJU553T_cBJyR7l9(z=h@CPl=pLLT@!BR9|e%=sK5%4 z6D+GCBhXNB98AHjlO_T=M7>RtCE3c8Wa6BM2I1FaMAqZx!=AswJ4g{^b1+x%KO z3Qm2=d*nd!gMHJX`F3)tknv08quk;K!kbbqSt&Bx<;_cvP`o@h0eF7Me`zJgJL2EU zb|+t6C~V3x=3A)9de=U6bg0fn_7G|rY-Py$_n+-s|8y~5Ce5dhUW z`X`z?v7q`u9||39nn~6xam7TPj$Az+jYGD~CaH({-aS(a&idz5Shb?j_pDffi7I_1 zyh^}7n{UX}D8rFHtOx!`9`DfclMtd>nQKJ!=V-kN#0tb{lmJp>@;c^vmF6`^*g)GWh|03 zAPSv!yH@YBJg=VM9pt{S-;e-5t=n0q?-~`PpeT6uhYrsNM?&-8YZYS!3vC?3ulC7v zOGZ@xMu-vP_yPUneuyaxSCcz=h{Q z5yo5hZNXc~KhSX+IT?{#53N^n<{bPk4J8u6K@1IP;U~UCM2mO>Gi6<%BGT?n(*(^p zN~FHtSl8E|h#q0(uR;6Hx#*RFQ*^TPMVuNMlhcd63GYOF41kv~{MNn1o$d7qTSDZefUcnS6HRAD_48$lH;Nlh|`FN;_W^{l)&3 ze*=;I;nv*6`~F$(2PFBRsKcdB4X$v3FEnkmp-`~lKO#edt3CLJ_Nz0w-x!XSd=vtp zmjAyv&zWSK%}V!2?Lds|<+hw%*3iw_dh(oE_3Il_r??44$(1le$X}u`b{)Au4gsTU z27o@CoM1bXFU>Et+j~vLe|b;TvN((y_o!*=Ry3TI^8M`my}?8I3{{}-)}=V!DmP7J zsnrNp6viJHm%Ib46T?TPQv_V5G1@NC@HhKd?|ZnT{`&lU1#|I9*Tt-ohLdSnxB%issKEIg2h7QW>` zN&O$C>@wLHjkbyWN_(=)j9kCNEugE$rd7KOq{|`xA{IuP#v*r@mHx}IQb^|MA73xR zE9Xf;w@6OAh!NlZx-p z)@v@K5ZZk3zU$bKTw(f!M$A1p#>@MSzr*EvKTCRwYp0+x5{qGjC)De4*Vt=|_fd+J z`bWN@-!q=*oTLa{uZI@k<20QG!447uY0lkDux=tL_p0FT{4&8Q-?Z?fDH-x>!csF( zGh|g9;a<18d`(*xc14mcz0O4mw%WS(WB9~hM6pGe*Taa<_Yu>y(vmL~xL)LTBvlYB z-D2$80k3T}wh*7s+kj$`N*el5y%0xd9?}01yQ#oZ$0{?_RZA^z(*G!Nq3osamC0JJ zDCj8pDCn&FMUKWkVcA;vGO~Ka{&y$vAGUCrQ?%dy* z^ga&BM6&a#+ksn9oc#LC;<4481rHf|(WvF+)C|E$-~)BLi5~pCHm!cCwc5tuNH+S? zQ4I77g&*Gd(HO6sD9$kQA0R)DoZ(Q+zb$dJa)Bk^sIG|=*w=6{`JTY;%Zy4svI{z- z>HQ>+0+*m%0~EWnH zu7w~YG09|Ve||-7s3OuU?Pgr^0HUcEsq}uGDOe`pQRfa9qH~1j*ulisZM}=Ww7Da< zO0j=epvcfB{akrEM2!Cil@DE%lb)~Pzc#qIk%O^FVObh+Zr@$tQ+F;J!x=~_Tdw5o zuH;qt*vp@%ZyITIFB&E=;qBJ12tLe*83Yz^>5R zmo^l!1leF%E%1A_gd&;u_|$!DjZsGT^ku73gr@+waP*h%#{);5AdbLLvuVl1$CLit zr1j&Fq%xqFf!XJ0CF>H61O4)+#N_+G)e}JsFe{Tx=ww=}ncjW?J*=mC;?{aR5TLhD z#Gn-qaU9QqK&R4LfqMH#Nr0E?3A*~PtFGy&ZQdr{W!9i3R+z~O56?4+=;7T(mTRx-MpgYC-e_K`|wmfHj9lOFc&EBfs(|i|&b% z5eeXI>dJySf%P$&3=GGl*(#tUiZt|SwdP=SiEX0$H`z&saK<-Av$tZK#djo$quSP@ zI`CSvX-|63L1Buu%vC>{@9wO}>42ms7@bL`N~(%vvfk?l`!ELBVf94Rs-5fT(-QOe zx-H+R@yhjml+&iBc=yy_wRUxS*ux3YK9uKc=7GY#XWO$Kt(XKy{$|h^kHj-jX8)l0 zfY2oWVDWzocDr^d@GL6udTg#7blD^6cs#8H zjt;fcV?HCcH3!fIx$>6ZKDHvwc^_gm`Bo`rVZo}|OL~Htc!2(|T{IxMb<+5fF{&Hb zQKCz}k+Uzc;cP0~*=n|N!7o%2yIR(O1mV58*mteM`m3Vtd`6ywl=}wy?q#T%ob?1G ze`tBTZ+;j%O_y;^^JFQD@fgwR;qY|(?v7gnri;twr!+0{R0u!75;P~6uGSTKkDdEQ z2rwDfronQztMTZbWWF~Q8>`){nNr%nemJ~gcM>xdYpfTeUMCB$x6ap;-`Po!3^Mi& zJor>k$mtpHmMRS@E^GZgG8!nS$LR6a62?3mjX$D*tV|92Do49KzyovS9FbN3b{&;T z6>UC#KAbY4(4aU^8D)-c-8J$0-N;0Z7+$Y_O@P}WG+JhQ5(2(?rQFlhG=ZB1(J7kZ z1>o!t&f>*69z@PvPFkmU`Sw^fkJX;lZzzHF2LzWxXT4p8O;8KfgDi4;EJ< z3~DB`-~1Qb&`qS=eMD>In5thd#nZhZi7ppoz0l83N<%)sUm*lHRTQ;#x36_}xaW^AhS>^a>8#&%wuw{H zaOLY(8Hl_!EOYbhUO{wsWkccdoFo6CcwbiChw%BA<3Ch#k7enurR#*R8>XBwZ4M+5Z;VKr_evg3vBrksZC zO#u>@sg{S|TpaV38Y2&Ql5}XM>l*BrXge$GBTsJ`1XBh1^M|5pjI>_Ji&mS~vTl!? z_BV|oYSVMWVVd>9?|d#sbED0H$E)zp7DzL66)vh5&<$}-sMLopqzFN>VEsbRc(RC!(2p0a~G{1#-(xPo9L~|&AvHeE{P6hQyzpu znMOYB!qW?&=>ty+*wmdnpW~VY$G+L>b+J~vfAHw2m;Z5HlKL{-F5+|vzoFU&#{B!B zZuVm08)nI$)p76Lal+F`|%WP+h{6FTKuG%tEqq)W5?&bZp5*YdTN1tPja+^^#9EVR=wI4&WO&tDElV`O z?myI`;UD3`M&t->K9pMM&}qH_|8?A~Hxj|jR5_p-_W9byCQb=iNG$_;8s*|%dGYv? zPzlrpk=eGBHFgVu6sTPbA#tDoIF`7ZZ^*eO<~5&8J>gI_qh)H^B?9!?O&xC0>DOu+ zzfhLbKiVw)4v3zPKrTwY&u}Anlag?7psZS!>mkplDS(vPHL3mO%mL`x?4x@lPNeVA zNHtp(WOj`mJzCx6Zf?>}cw3e^S%Q|-TzFkte#r%qPawS^%=A4(OY$N5F1nm%*wvCyYiy= zksnhBY`agZ!2WT-TF#+cix%|saHDntBJ^oNXkZkZrN6S-Atq}hfB zzmtwui-x-X#$0vJ%UXa|OZL}tpc1tNamUnWx)J%28@hHL0^Pvh`%gO9HMXTm6E9$Y ziXXK8VznYZcQ2=u2@lQ5Fg>qp%pFxd>r3qWingXTiDzt$1o_g~yhVz}XNg(t#uEYL z{TK49hPD){vm2x&*HVi4#*r7+{cobCq&c&GQGEQ14Z8LJJn5XwIjSFU!Xe?Pu@B+_fCWH2zoUXah_AyHL5MpC1kM z6bL?ERT8ed-+igc8B5HRbVx98)2psiU>x*#iEwWz18?b zfP)VNz80tEB4ZHfGTJ2TStuodptd zPpTo`yNq@`{*q-XU3{@0oiiNbafWDLTpjvN8uSIoczQMH+E#$qT@4#AgEDo$$@?@m z?v@3D&L%Z4ujoVxi1d|4+l~Ho@bc^(p#uM2)gK5;KRBl{Ln~FD?{vRn16g_ZoD-R$ zrz(JKRKSpOc@U6j_gQd)PZPq*RFiOB`$uoOc$efX1rb16d;s9#-vySJRNtl}UD`#r z+m2YV4aD)H?ACeoRC*{#hybU)iRxZu1dxgk=2zk5Nl?f00c=X8FP#W3ej@@zH_a(U z=s9ETJkzm8=G2=fdDp@mHOD~b801(A`O1-VT@fg)q)S%agN8voTYbro^qdYQ?^Mt5E>kGN z=cXeT?ufBXYr#l{&mfkO=!=r$a=kE^e5j7cD*s{^3#GDNw9use&|RS^c(7;IDy}M( z@cEmgAW^;a;DYTN;4PYO0&~vAWgMbukC@V5KY!^?W>ZtLt2fe07nZOMl+>n>+qB#C ziQ91h;$dg zwxjxG=#yz~1*zCM_TGN#m(JVSi*chgDAI0sHya0>(x`8L$lizka*jtN=LtLpLj^|z zOcMmK;BhG(lRr8>03iqE%y2vW)0W^KQ&{)4wt(M-zUyhbcI&ghz+iL`bamB=w8NNX z<1|X5JIekx#4wAlHn8az#PgjBL&vzgh1f2Q$vZj;*Js41Cpj-zzx!c^< zo^ujW9={qpc4kLP_p(t|E8Mb0JMcbmYvn=fU9Aszu2#|jR9V1wH>;f-K*ovDJM^bkzv+V%tU1s=H zQl~_E)lKgAloxI_knwH$5;8zI&&sj5+?yQYdO3Q`k<_1~3N2q+DtfxgaRcq%9N7|I zrgSt{sDXg~%aWapi%vH?)n?0ui%s#7n!UMY8k1`QXgFzJm17 zOHn6zQb6GF=hWP^51`DPliMu%75XrHGQ1jo+G%+0QrS3l(w9BN9B@HUkt|wFb>ore z!${Sax&8s0M7;Rar265iZEMG0O9>=39+%SDz-iUCkef6th;}njYns#d4=#cdmzddS z5R`Vi@))o%?zh2&0~A+TtL{xp>4f+`X+3nRTwgd{bAL8{ciZI#I#t+y^;hPAnz?lx zBPt0EXtY(vv-mgWiM?!absiZbE$$PILrJs&4Aj=i%x-blmC0R5{0B}2)RcFHTu zKQ5aH@AAj8?PgAzogTN8Sa2a4Go6AGoT@fP3?e>bs&aCMgN;x}RF7<1oCDmJ9a^f8 z&f3EEyP0U#ie=X~-nf*4L~M7O4toL5DxiemaI#tGZ^S&k@=i=79B#m&?ezGmp10=1 zciFh&4AI;Q5BG`ZgzMG3mT0y`U*GwT3rhg*{E+~zBu zHjv#u-UKoZ!YV>?Z-PIUZ&ZqpYfYD2e4lm*@Jz_wk$uq4$D0D8exNWaJL|qG1fx75 z0G|9m+`bu!T)_yBg>hm%ixQY&>*SjGLSO1``u6evx|BmAR`tPK#w?WQ@LjRSXTdGT zJp&z`3H(j*o^itMr!b^zo;;8VY*hLU#}JkG&U9ru=3t1`kDQ2zTQ;rBt%;Hpi8arH zdg<(1BI>HT7`;D#HX~uKpIif7a)N3>KBDysnf3R#= z=0G8z4@A>)cRi~6$vJKb?RKJFUpPLv>7e~uGg+Zx^Un5wJ${fcx1xHXNhgxTJbm11 zYlca1MQqvqaR$1$@MzPf=g9PL{VAeH#tB=S63Nu^PHK0<{O`Bpm9mziYQ|10NklEUncW zfLolXXaXP9Gncj>o8UPP%>v;M#PTyj3of9KH(KssjZv$Z759DW;e#j+syG@zg(t6l1@(zdTyo(49gzl29a*)nIk@}vR zcT%4PnzcJQu^+^&B_-~^RuQ!&T3*gTz01>s?ZNV6&`rEu6DGDx&ES_G-vXr6bLRI5 zcmmrTEL_xXTOY`kQ+KpvN4wt!q&wzMGM#4N_!|gWIXD;>cZ*p`c6&0ox-Q(GY5-#- zbiQ!kz*UxQueN65-MYlS2Ww zg#WF0+KVk;dJ^B`oS=*IZc%*R6G~!8CDa--n}K|C=FclRZS&mO@OFA2U0T;2bkuHGWSc6>u0 zREGaUE4BHd8fhrWIWswUi>dK?dq(|6XOrlR6$!j068c%7t6Md%+}CV$PNM6qN-uq> zJ2R48`4#krJsB5|!x!yOh2~}i?XC?dVMw_Z*fTfm3+=fcwPh{go1P#|6-uA2!f+b( zdjfsS#Yfbk&pUTo12Fs_>%_qFKa{ARPv6|nt(}|Ba(_BfC8&U?s7O(LQ`myRW5M0{ za7*{t$HHth$Kb4=jxiyiI7RSkv^EpOToFQO(k!SU_#gyBuPyVtE^x~eQlUD-EdT|) zHU61yGB6$yw!yxo+3_9cO?jo|)Kx{y1*B9X|A->F#B*V(al=Ry zXPbDlv>Rs_uutbCj~(N>)9~&^q?j;sHooF7Q!5 zL7?iwnQFBEwi(Og>&t7Ax&SjVOS@#vJ|N}a(_2=Vs680qHl;7LWShm{gQ@=$=g|_} zxgSllOp^v5snZ3YErZ9NTm!a!-nFFU;|WB9!?Wkrqmn^QDfw^(!NrI(iYw)NW^&Vy z!w+5%r zyeH#c{>&e6Y3JcBnzMRpToYyXERJc{AOHM~IbazP`SrcoyP-7Q^3rUc^d(_`@`F6K z4Y=OgK4SiH_Y27s&b=5^Lt~g9ku#|9;y%$Kf)mZC)qgs=QUX8!=-_pyP#la0#VX7XUF=#8?Q4W$Etg(mk}qWqtY*>B7T zAI=6pyOeK4a+0Cn?tIJs)OGHH|6rsvNVE!p^>sP@+UR1OAe(m)L3!4EjuiYRLB_G@ z(lCq>df!F1sR{lE>Z_*P2;b#?u>#p&Ff0NGWxYH21v7O6S9C6e!KbPhm$DY6OPVSY zek(dHybT-thnbh%&`_A(+g9fFT(3OudQOzUF?ABuTf!+nwR>im#Aa9W1M_ryEdFIm z{#e(smqiVR&(&ah_Pgd4$-q{HjC_`^jLsc#VbfVg5QrEX)6wS8m3eR49P2e`OLmUU z8XR_2RZmVcUPxU!sJr#PME;P&(O)pN_4PP=|(^^*e=4oT=C0t4_wKCE}}U z3(4A0ojx;4C$)R3rYF;k$sc*icT|6U(p@BGZKkF7Hpd$pwZyvb3;K&s_d1CdMa@XB zqPI(LFZ!MepP)j$>RPwmFCS0Q|1Ldw&E794CPlc<{RFP-J!v*&1dlUhzc$62_>Gs^ zPjk`z1~AqDiPb00y^`ic(S1}-9LRT}uONyK)ZQ%5GZncV{*! z7v{PeP@x2(Vsu^S@MRAR=imh*Ge7<(})-6^aE(ywn={oM)C-g0-O)thBxb90oCryaL&a zRvVyNGuR)0At2LBgkw4PQfoy|j0`&@m|5bvmFpIxNfY=^KD#zEj+^`-iu3qo;SXZ= zWp{nwy+~M_7PyZ{Km^W2SU{nd2>*QUjx5BqQ#ttI=L}Fw(9E_}KzOq!A1AqE7^Qp3AO0V= z1jK^$KD;~744!VMo)F@|xYK^J>P$WW;9$07h`tt$oKSDYZBtHziP0($vD(*bF`Vg+ z2oQKXlm10{v1_x?JtAP%r@UKlAP@pW@@e2y>1-a&IJaAPY~4c5x|IR?zPv4mZieo` z30If{1PD>68ZI>9OBYBcrUrpBgv@(-Zu&@l@|C8!LE9kP zAQiVSZc*+Bpv`az>am#qL8g2yNA!A1@Q8>b0%|ybZ5sR{KB9abFWq-vC6!m6el2rl zDE_U#hB!HIIYe55Ya%vLQ7P7F9<>YQh;EN_o%I{ZF_q6IN?OX_+nrz83%PxKnsWKf z!0p^LBD?y>s+8{cHTvn@j zX;NmnA=p?tj44^Ulnc{XS#A`rDPrbUnp@_skhxW+mYL|7l9l_un;VJcu8g~a3K^~_ z2&gECzV!YB_jAwvoag+W=lk6Amgs$qu9P6%URZ)LX0V44-1Z|V69U6pb@MSCsG%Y{ zwg@p?2g(NA@ujiGJ`0 z+;8dn%k8~^4&QBo=c-sR;}Q? z@P>-;-@g=wD*AI|$U%~P^9$wCxOYVX*#69UqW-zsnQf#q6*j$jCy4_Mw_Vi#x!w-& z^F1|>Ao~o8KIV$>lb@5sX5P-rZK}9y!n}41e-N8=a`VIfDQb+fK_B0t554a4vvqLx z;WBUE*A|BcEVFQ&F0|K85Qm0m_?{nEk}SHMvdTs~KPQ!gzd9ChUu7gOwdGKAh*Q?P zRl-K|7N`)THig*O^MV}nd#OCgdsm;@hbx#~F`%b58^wMysCakAakW zYs|!&p}XCLgS^%+HRWwObr+!v5`*KxA3>8)Jz89CRO@b(en)A!2TcS_WJx2TUfoyF znWav-TNH%dd|(hfy(&7SSGercQwTpxn*ZVdvWl?Li=UNX zTFJ4QdIikzSpU;*fCjh;p;MCfJRg3{rxOgSx-?@WILsT3Vn634WOp>kBTWeXF%)CU zu_XTo3Hw3(Nb8^}lgw_@@0PQxL1LUUpU8JJOGA|}x^?!)R8OQ3b0EA|6puxol=B-G zs5R_@$Re~y{kSZ;GwhCqtQAsiCzWc{cs(LfDGzL?+9%&bb0oce#Wys@uRkiS*}`&5 z^i|61j6^;_UE3GuG~(3} z;zYoc&;~yL`Ik5lK-nF5tWnZ*enE>T$`fT^#&`VOKd(?2#!G#FB8F0#j~2~TG!#YE zO~g#Sbu8+i&xfKHjd0@QI z1%VrQay!|H8Ejbg@@# z1s8-nrDT9YwanDT*wY8e5z28!gk;ZlkGdlqx8j*v)mBEyc4m$I-|A zG(#Jk?}PQqS1a~|0x?%9Z^Ql8I{AH?#K{QdIqZ7Q0 z4(=1>Lx1%@Bfim>thCHQ{K~xgjOtGaLe6&FlFqu`GR@B8gZUVcp`;l;m@NCGq0ZRT zY5eT?yU=o1+gb&lmD?aM<3sP7ykO@QEO~p$^DWJ6;Do#B1HRYJNn5mckz4R@`Nx`qnv6f;*hr%-r53$m{UykG*cu0 zD{22FU!Ja;{WkCQ(v)-6-tC-q@}cI4%fFCk^Mt-yN5UBx2bXZs8~OigK+wVJMl;nO zf!{Rx(u3n*(q+D_af*5X!#-vzrde;`B9W4`R!d56rFY$naNqT4NF{7BCXzRl>4VAX zPX5&2HQZnf8!)cQ#iQF9?8QMgAbQaBjef)+Fng&Tdx)RQhi%NQGn>oIfH zP_@hS2hB~qTdxU_@1@4<)gE;x^&(f<=FXwOIl0rVpZW3q;uTS;LoA8WFa8y^K%Tm@ zo$stcx*;l;d+#W28M2ckwHnk3Mq42^T)g+7D~^Db+J;v?pHo{(CPk!M-b%i6f_-(= z+r`!dyvc-R1Ro0eypo$70Q20);{$e|!DTh9VJ!P&7nxwP(RhF5b_|Fv{HJc^@R+8;{-C299e#_Q5j=VCc^*_~r?4Q`b-N{8oU85~$#v{5xa^MJ z`2fb=Aixi`2Z$Bxc2Xfz_M8#bQwxB~4V864 zR2}cK5Xlx1G;bxQPZ3CXf8&p2k7MuaQ;BQ`b=G+GsKGx9`F<*$99fY7Gq6&6UpvS~ zfmVBG9oT}wX6P;!x@$b~^Fl}{&d;_W@eAR@Osb;(r=QKa(q&Ri4Gv-NDCS>c2B_Dv z83b#|URcRW#SNcfZAqXTU{}zV_mD6vc*>I^5&XF+ ziS|lQYtoM(ycc8Z$#~7l5U_BoL0S@d|2V2u{6{tw8N>RP^jXtJozvmhzlXd~hqA zZcl`;3R~gP1neAK!#+>cjP#J*4*16Rw#vzZY`^pHC_3JdBRY*8gA%}knQA-9E_IlIyWqV7fu zJ~~yoi-|RWH@0|=$v@V!{_FPe5>GSQz#jO1xQ-Szl$(vu{sY8%;V*gZ5vE6g*AK5_ zSnf=Q_PQ{#MtXT#KI$1IiOHiA;8N%x$za(YW9i+)~LxZdfe^8UH9bHxJnAO*oq@ zsDi|X&!yrYL)y97IUBdeJkV-Wl!If8MHz?`^SgoWx0Vxw zs>ecsQ=_`?;Kx|Pl z2EJK6yPYAXn;pLMcSBZ~-(qmeI#(!a@-P*xb%x=CtZGRy?GAC><@x4Z5v?EzzoI}5 zqTzXE=#7QDS@t(8UtoGujDBqRTZY#NL^NNIlN%POYF(yFfX&5wMkZi>lrnhbGL1Jb z8fS*m zMopY$#pAODivJc;y*@)&U6M=nS?BfDG_8?*p;@|m%~5u+T^^=6pdn+q)o2Z8CD4#z zMszn1KXqcG$=yV6y{GN<8h%$gR(|L1^Y(j;>9XW|t0{p03eArX%VqsPR=ozzL{@~_ z70oEd=7|1!24l@SoMG*JeOI`{@H*`X^jF{QfG&nle=7_)BPYQ-VuO zQ*qsCf-yWhYW>H5zD~}v#qnD%sPhhjEx~g?irF0k&QxbcPIf5S&MlzZP&lIuYIWn> zU(E~H*sF`j8-f;CD$_QeO~zmIIwEJc%4-ZR(L~2Cf`=UqAAx5p@Qr)$ciX@1RVXO_ ziWSr56vSDUp_p)omlBwy?fU;#<8N=?N#^}Ik)N9D8-LBS=x!`cfI)YYPBMer4lXAy ziI|d*+&arlMm9vBWgRXRgj5*jP_xNtUe%n`7T6QnipsDzokCV2d4(ryxrtPboEZE4 zKF7*DS5UEBEVhY+vC>xL=ASR(!dUol*918la^pg>fw4j;B#DQBjgQG71`!u787Tfh z>PP?B1_Y)c`FE(Ne^HQ`&KhcvS+@~98wR4&bJtxQVH3l})cKUoaC@zr5U6nXdV_%| zvVk_HuWfJ!3c5{~c(%?vk$;s&}a;C8uxxY8AMy)%#I+46W_8XdNg9F51N>aP}!?53+CH z-d@Q57^{A-6L*f`&EML2y9)UhKr?i+v zYm9XQ!(^-MA>-N7&8DJ-+=2&7(%vRfhse(Em1#CI-NPBz8~0pZv?c9-9STxZN;_T? zZBIs1#Bs+)YU?*naPKu|-!9p*zvZy__n&7SO-<`*&X2H)_X{)C8Z)yC-2hHQh4%*X z?0=fkS`2nGQCWwtjLJ*N>X+Xv(l#!WmWLJuJG@jn5VZecM8z6I?u)!I{T)$9IQ6LZ z4f5!TKmJQtpb3d`kMGC;M{eu~Pac>3KFs8IYArh&#lmXTQq{$->!O7vA5|wbPO?KK z5^tK%U7oTleO8sg`=?h3#{ScIK>ylA@)q6AM9$NE>8S-#=UN1zC9*zFa8Iq#bDKPH zn4%qL_kD<*Xz;3i@=BLf*?@^S>*3_!@06MgIdvsg`vt?p!~KjIF&)j?wD-k#Muma$ z8hN!$*@Fi|2Fk}wQ#D>)OfG>r(}3q zPfOuD)vlrR-x%z*0RKP53v!{liTU}(y}sE$0hEKOr`JqC_hdPT%$kc^K8zeZ@ARkV zj$-QSJj$2``VGym2OmElb)%(SkaB)XIQC!Tn&Pd3^mefIm1L+XsYhe3z# tdd?mLKSz+x55Kh@boa8mUm~hmC4FuOH-0It;)V0xlQD@HHt^Se{2v36ne_kw diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index cbc94723780489fb956a7679e9dda77c01c5a395..29410e8cebf323047529bd55036b26d037e0c25b 100755 GIT binary patch literal 19894 zcmZ6y1yCGK^e>79m*8$8xVyW%2AAOOt{dDnNN~3h+?@cy-C5k-b%A9c-~HcrpVZX! zuV<#t>0{m1J$)Jz3JR(k1^T0O|F|SRP}|bY-PYNOf}N9vjfI^;!qe8lf`WsSgMy8R zm7Rl?gN>b&LetXC%hueILe13No`Ri1Q-MOq(u_jd!q(%1GEfTUgAEiEn(Y4qD3s6t zgDuMcgFevbfk-)I(wXlWF2!`^Fd?YC6@4W9!gZ0E@*GK-VVUwUGH8@YA!2PIUnD{* z=xWX`YFev0JF5P~(B)AS6rr3l?AE-PI7@$4yV<9K993SnzFwUEt~upTfieM?_5Sud z^G);?Yn!PpFV(Umij zMK2U!>f$rQ>0^Icl@M%5O;S<$lR^B8^`dB>dSDohRi8&`2HRkbQ}P8RHI6s`@n}Ng zbR~#5#CFjiE(@^4P>DMlM_HsTJF- zSf%8n@n$l5lyuKRc|Ese)`yPu@Mcdr^0WQ8R%H)m85O~YLdPsrkRzcZhEf`W$NFi* z@qE)wIz3|quS|J*>9~GR*%=4BMuyNJE#Ii5PxU}J+UV>X(KyG?k<^BLo{bvp;gW++ zHlE3v*f<)uut@%&u{!t9x2c?9oS@`V-!PhXr=M;Nmb>|1RNa#49)2161hg|tx@56% z9hNAv5a<}$q}YoT$~%o?c1)Er>FTH`2{sGQJc_NsI${WU3Dp^#Ipuhw`&#V5KSq0_ z85p$cdk1@2d+~g!%%$0ay}wHLt7|nQHV^hZWJjp4!5Mh<8Je*^hxptnp9t2wGI-jN zGI82MxMm&iP6ftOq{3t1LisoqH#Bj!((ag=SMN~6#8-||rgr7lvq5%S5KEkLd|w3D@!) zhw~Q>#YQY~*Q#HiMw?GOACiKyb*}%9adNqYtu$KPlTGI@I;tg~JwA*htRP(XfKh%U zBKH5-sp7)wA5Zs#mS2nLvA|Dd{_oU;k})b+lr^l+e(ncX-fpqr!EENOKqSd37+TF zuRv)|2Td@ThKu5J-mB@6U1Tl2I9ka^(CBL1!liP={*3#jmI4(cf<-NwOkJ%n`YJJX zJ3V0`teOXdS57S-aa?E7kk#dvaU!UB<*0{2C;9M&AJg#+a(zv1z?_cG+-&J4Ze2OC z>=dd94DwwVM3H4wL^5xEvYp@jvPX+HqHLhAYTi?$JWW;PJjf~!vJe@5E6`+^KkO`x zxT+xP$;QcN)_nTwqzEt=a~wCB96Pw|ybOI*YYsZT2e@o7PtytXcuxl*`YJi!XPcACE59gm-q7v_|r#u8-v&)+9 zr7FwXxp@v`7HGP2_llde_OA+v$|ZV57!!4RNXh@LJ2uaBD?sj_EkteUyZ_vq5H)md z1oLwP~d=Thp)^5xsLGuQ{-9o5Cd{P>5XoV_N|2oqDR`Bq|Ni0j+gP^4%@ zCFq14v^v4H^?Ohi^pjsifj8Em1keoOk%gosGVF~%FFm?0&fHDwOUD7q-2&)e@i+mR z%uUK`wC=UP!VjL;vDO?RXJB@4?HURIztqeBGI;2Se6w@3y#!{j1<@@++2FCWF%OdcnUOn$sTdO(;0Twd}Up< z7Eum(xjeza9Qbg>znrI^+Glb1U3wERErca{v{eCCK?G2WrK@HZAyHDk=$U2J3_nxP zc%1?|*S#C}T2}yEoq+1AWWvRh$P*J+WoH4Z$ueg4Afz>Vr4h}1!jNp%e;sRBi6Va2 zSPm6N?_7>+O)NLf$%~8W;E*>#edhEAA_cj*$WBWV4L4v53P;?mQ#GEV&~56A!=8)~ zrrXoii#E#3x??4dx54fW%52y6-DuZlac$I+-T5t{dX_GOT}27_Zbd@g_PmV4^V}_N zZpAWMHgBQ_^q|K*X<{P4O0fCXv3Rfhi`aO+$@kx#EerSFR9JEPdf$p=<+}Dc?gtAC z`XnY??iO4!>&lAy>L@1foDCQ)5)#xce0D0O?t zl2NEPar6>VnRFfhW3PA=mz@Z4Q+RP+(;L<)cUH8^j(J}k&57$N=a{hOcxqcBoEA&2 zI_jL%txqoxEA_AlAJWgmdYjJ{dks7Ur-W3|i7PbFim%Hk5DxUq~t zUsDUscMPH1)uH!9Ooy4WzQi!{)RC1SMn(l}hG;e9YH9kG+!x=cZJflw)Dh4V***(+ z|EN(aAF?t znp#Lx{@I;4_uB#lOawL*bh^9N6iMX5rCz|EliPW|Ck8Eg0jD1&UE1EJy)Juuwam$f z%V7x|k^V;v`PO;S<s=62b(dgA}O9@ zK0v~7Bf*BR1Y1gvf!aHCT^gw~>2sd<&?x8If1A}#p(u~;KW z=*9VhmjaNxz{BWgfX;~Mnz<-=7yyXGmrB+h|4{O^mo-7)HHcsQ)hqJNUHDO5v{t6( zwrRe2;p$El^fat)4N;}F5#7=@IjT>5A%y7o0c}MemRA96Y@Ux0f;W8FVk)$>Fwe20 z7vG}U>5mY-6tW?oCROE8{x9^RQwLlZP9T`i0L8i(4=pSW0`;zxjq&5!A$MqL#F!@hu{ZyFHaV^Fjt>%>^yClh$>dAtPr1$3w}hSKJYTCwxipaN z{#VQb`2cfx-u~ju3R%4cc+~R=@2*#)AkX#_a(iC|-7m6#zom+)xG1$5$)wub9K7X$ z62TC}GdUxFS1*qfqSiSZyvame`GA6W-Llg5zcbn zDedwd{hxY(=BtglfXFw9rq}=OX21{8W9(HSmS9+dPF$SB6~J7PXfb%BtlN&9{!=qu za88mX2e2LT#~{Cr=N&j`!U?X0CSUoCf1s5T67+|KH=78g;cQ^jM1v|f*@(sf9>!q) z{+v?3C?L+8?PjLQQ5G&eA;`6=WC(TmK)M_i854mQkIrMZn?rPB6bNjOZ>z8?xoQiR zQG!dn=QU$rdf;tNV36@ArZ4K1O|%^{U*I~!0JpT%dN(CIM%Wr}@%@q+Dz+^tq%mHs zB_$*fK6~?=?BwqFqlY?+AGpC8Nn}q%L}Ik?%l0PZ@6>->xnjRWJRfIy-lcPESmbPq z)_HgotakKMdtGc7l{v_et_;Z}*jkh!84b!%(MG3;C;S&}A*ECb*^I!y$EC>v&)K|5-?`tFD8b4KI`@aX#+OgkPOf^S8>@Ck z5usaY%8UP^2v4YalwD{ZE9APmlVb=}$utx-W&OrON0ed74)zHCVU`P)M22$Asp{EE zJQ3_vFZFJ3W7}LJ{+5;d!`{0^ouT7s_Okg~2`IwXA1a_v7N0w^&;cFE^AkMhX{54d z*74(x;z9x2P?ElSshO{=5gW-~Pobn>&w1e>SO-=fqUQF{SJvZ&cMw}LP?sx~J>*3D znVCL0gkUIqnyK;NSmE_ipUYSwA&mTkjN*&UI|nI`i!2e(l~n8{PN@PI(OCPF;4twO@y0ZhG zQh?7N;e&zGD3U>VY=H>{TnS6>yjj>mbF(}hoAV#{A`kG&-dM$!EBz(QW;djC_S_OY zvtk2TX$$Dr+an_xYDgEJBS&^1CcSbVdwey35J6RkD88{jXj$?&|8fO1bqP*|e-fFB z{s_oU zeiUnmJA-}!QupY)%8h{ut@);Q0|OfZbh#z>rH0Rw4;fN?$_;m2FG?bu|K=P=6$ftNGbGf- zyuZ!6sIcvRwOfHM+baGW7i`0}$4)fSj)`_C6c6t{=@JTRQ-|LSLt;5P4YV!>i&upz zSiD*%p+Lqz=|0ziyx=_K%geCEV%4g6PxvVQrWO^$DQ>TaPQM5>sEZ? znIq-a*3P{VIYEL{S39v`qiLyd3Ub$0(2MN#{kz=8r$oHGU~mfQwqL8R)pOu(l3{O= zZpxVs4$6O(?HAmCFw3=ccx^$_m~2PvGHi84E5~i@IIFIq9|Ec4c&xJ_14R>jR%@H| zU6=CDI*-c*Eo_TzdemK)0gX`hK4LyL8U^4cImL?vaj1w=X zk$p(8n%S3zWe;h8qUxwzY%~kw41hOn5*73Oj+#KWy7-NFipVj5msf_zq=Mjtlt}L` zWwvqoPk$%#5(Zjfd)jrVyw>$8wi17&@iQ75d;arh^a$il#lMC}_}vQh^oweR%Q|^C zw`sL}&MI-_NaTiG4K_C4`QV+V5@GN5`ciXQzBWrDr^<&PTnDbuuOnO!fwzI*B++hA z%+krJ$p^ATaHg3o)8+KwD~}yhLHA$FxXLwr=q;eGtA~I6+J{eB0ltQF8Kd&fhI?O` z<;d;W2RqVrwFX~rM)cokm^U5t@idY+Bgvp3v?RN9O~>{jBM1blIB4!f-P-J+5FwEZ zKwxtI4k$W{P* zB~f75CW7v+v{sm%iebAVFzH9VCL%`p4|^SVe>Q90dj8W?YAY$$MpT`v0e1L8OLtxw zb|H5T&W`N3@7_N#&l8BmRTz78V(~4e<4Fg5uvPe=w_V8FcR2ma>7I}}IL82l9gWxx z1J+_J)T^DF>=Ad&|4_-g8!~0X1IYb$^cZz&^+<~&0@?7j)rAj>`gKOkzhWzn*6Vul zz>imul8^~HI-;(i&R*;8!{-&fM*8hZ!;;VgF)Lxap-@I()_@xHeZXaro{~7v@HnolhpE;*L+H@?1PBf3=dbmv)G z_3Pja@0Dd;pyTkg2m7YTlFo(^vEppVz)lM%TU@mar$XRM-Sw&MtpN z1d^+0AMcE3FQs?5A3?+8Cq86XXdDI|z8S)sS3h`f1hF*PCVu*0gD+#|6|O|EKTnBhX3DJ^^j7B|z4sF_d8TsJllHOp ze|I`2pYm6?j5xLRskq~)&cQUcNx+9YyLfx+DO_twci+(-RD(Mx@-YrGh zcu)KK33x4YdG*+7vL!qjH|#XDr3W^^3%@aj*x&fFX+ra@!+uxY^dP*y{K_wr5_3}o z@BDoCq!=dMF|#-UF?!!9xnwUL2-4l#0G|GIJp?#lfG;rzGLO)yBfW;1@S@ zaH+$=oA#DELLQ)j_>9_=n4Kc|5dfyGsaHUaR!zcYXNOi2CKBSPZ$%%gV% z1ZU1p*sQBoWF6DqCqr7bL&C0chqZeRE3yCF^l80Qzy+U`{*0vt|Lrf8?xC;u-`R^? zqsL|j7>)VFB(@(tXLqwvTV}pw0u? zU~O7Ne|TvUA!DeA@=DE<>!^YTB^$GRZPK?S{ScCU5x1Up2EIJK6r8gP!6lG6OO=cE zSg&0fso$f`_De2o8@XR;yGI1dU7fWXEffm=;Lur^HC|^Ak8{{H(!SsaxQlU)@lBLq zJsGY@?%V}=?4{U6c(bgRHsKI;Rqbi!5Y?sS1<$$@mriemtFOFIiaodMJ--eXMV;0A zexf?1kxzJh6x-)G>MOlcXh%>n$0eJ--o0+;-W-`H8U!Z`ZW!_j)do69(de^{^9!7Q zVUjhE>Tf_9DDE=uD_-yaMQHT1dqS-D3|xF-)vxMk)f2~YUoY2Ij4GZko2iY}K3|&n zOzi1eSg;gDM{66ZB&LOr_^3~NQ&r!Kd^Mw+3W~G7IreKtBsHVduO4hg%)AKv7Rg+| zMsHVv;-jT>Bod#)j-1H8GRebJkHjx>J7=;}Jfyo*yk300xv2d_Ih?H-kw*c4aCM~w zX*U?(`jj?z*FKW@a}Bq>bmWHHd(ZCT^j`EI(zSFo09{lnzBiPW0i7g3{Vx2q=03R3 z5S?v9UqQYyBE~AJL5Y)#hyHXTbyxypc%i%Q%tdAp&Fe~?+aJQL+oGp$8c z<(p6Sa*lkzy;-7ty?P zuKD6me%84ypg><*q9rNK$wzC2Aoe1g=r)kr$T({_PktaI3vjq|bKJW#ZUqkVHO=~G zO>lqhwgrZBht<%RhH(e}Ok3I^5_CHlnN@e^lohmwVxFa!6&y~IQxz?qGROKFP=RNe zU^T*wHSO9mMV=X2UNRRdjPjZit2VXEYvf z@Z7zuGwXGoeT;bZ*l&EN1=yi--%LSF)P}JRxg!4fKQeS&^b~wR7I!L5@6zK=oAv$S zbUo3Z4o**us0NOLGq%9f8vU>L*xdKy=#s9p(NCi^-)%&Fe9J~P-1w2Pxtk^-&$^bt zy>&8cCj4kt^qOWoCOwP1MD9qzStjZ?yC14U7W0ADS?1rdFGe(OJ#c6Yp3+&a1mf^( zzF_&61GoZ;jT=1j5S^h|Yp(&}iJsS_9Cu$SKpNTw=Gb7ebXWFDrRX4)R3R#A0sRQv zgqPc8%#@#=)L@;u%G8GA`}{sD=BI-4B8HuLTzQ(WcgU)nDJi0gNWMj5o@t@Yj*#+S zc5oy_2-(%rjOhaIz&dTWpKcN#AA|fe`w7XAWHkSZm0+qa&^YT!Kgen2b>-*lDg1JF zbFbjyrJW1m{e^B}M37i(G98W*{el%Fr%=)t@blZfpl`Mky+0j&GR$38Oc0icn}j8Z#!FCM|(&fpB!hwqA>r3p|n}2f2B_wsjQ#VsP!0O_JDK9pizx| zZfZv8<*(~4(?)~-oeL{vd^FB4T2;;F5(|||6zas^_7-Oz6_;79DJhQBwl7V&BsI+2 zmOUl=$n=!Fyl~P{tHYxNgu=cky~)X~*M(l2{Z}dn%=ey~Dau10JaY)XfjvS(=kpi#vD6DU%+pJ&J}#Qh}h|% zB(RRaPJtru}`CuBJL2V=DNr>1zSpIM0HNdqeEw6nQEV5^s~4 z(XCO`IHSh*yi|sA#dvqxKsvzJY5CC%3Qz!!(?C6OhorR7dsJrMCsSwXYy_bG!dA?i z$d8s#%C*8E^3zVLUKCK!2q5?^a|G}sfaW$knUgg3c9AZTvKI!lMPV*GvV!;Us$Txy znS7P_W<8Q96@=neCX!k!?u!WeHj+UJ!PsX^20(!-M<9ft%#p2#H5*C3#}Zz9vpScR?Hy#;eY5&K9r z(^NeO#^ri*48Tn&Y`4{Adk?i9sKjR@U46{?ky8=kj zr_#cqTm&gr5Y&_S7eYvG6%CAPEZbb}W=1_fFCpZ1fCWa5ayBtR;F0!62efmZ8Sv** z14;lKh-=@20Btt_dp)$m9sEWNJmGyg8yL}{fFRzgH+fTtGM)|dFCY-J{QJw_4h5PE4hL5*W^GTjlB81D=5f?*DpEj?_l0~!P9f%sMj#`};Mfd)7P&3?Yjrzm!6Xc0r5*PY2ey*(Qx| z97dhW2CwFCWXEu4_i;vmizk%>lR&iJWF^_oOPyS2*^X}j(vY31&6G8LpK%&UjQSjxTsPW(T1b156a|hEkgW_XM^^ zK$Q!G3+rmdSK0Adf(_Qo)vqV7xe`_5%>jpIb3{L$RK>)>+qcLA6KR;rvD z$w0!>^1`>o0`|A_(2uK3FMZ~K#7`Hry=LbH6$QtaLGcW#y zcZ1UG@ue>dC$#CAcMHX55*azQ0@q#=q%w6v;WM=p(GVWD+dCdK=j9YHUI8|=PhNJ6 z`xsPP@SxBU&?W3v+zK?PdnEryPOG?=#5?}JD70vd`tVsn;?M5U8$;^XTsjDXM-Mu3 z^Hg?Y(4TR)jir(R?tO3ZA$C5sKg#YiFC>T%-18ljv@O`_VVCQ4gw`JmueXT&KQiyp z0z^8YMa-)#oWpk;zt6ih)rsDAAZNJyKFUF0Q=XFVG@QB9i{4$Ewi0r{J_zWycgiG7 zkgS7%t!U592Fod5xm8{YS)LsauCO5723m&WgQPp|5m3ZH4M2?8@u;{bW48@)xJtbj zX&9p+=w>d8U^89Dm8$i8J))OdLMsR%vE7dK548P-?Q5u z`9Un;BQ=4I5+f(LePCEUM^-2=0^=}1$^x!f!`pb9$YA;1a1b)?a_u_)lXEqdby%UP zn`}6bqDycdWMXqvY%~S7W@I{IT@C?@b>c_*?uX~tzax{7%Mc$-NhSb%=ZN~*e{h&= zZegN1>=ieHlOZJcipldqbG{@fz}1nXz3q;APPZCbgf9^K+hvL);;$j(@E3*){;sHn zU5vLEv(E;{d;|x}dlc!3JOFf}tqP|F zC*3(_EbsWRkI8-!ML}Q>p#GV7{A^=ta>&Q$B~;$91sHI}et9E)*7tMwl6#8S?fCgK zy9^h<(=Tkry(p0^C=v?QNPvi_QA%9Ppj#M1d8dY&Ioeowvb4SL(J3a>O-Y&EXETUaW!$e&o(||o%5L%OFOps6cR~p5C z9fCFO`(JrJPt5=BO7;=6Co;AwR3l3fQ2W(swY1LvvGkw%LJ?AuU4j_d5 zWs+*cwiU}uL=ox0JuYC6OC5Z!o@=7f>BHOZp!3&T&XQ^6u+jUAqo-FH`%_?ft}QXQ z&TG=+m7F#C>3u2qwMDZmu~tZ%R>vcKt?F^8DblR8MwpAoGw42^*Rf`v&<aiD>kXvsV@SD$wI);Q2O8 zTwVy`G;ZHl9L^vbtH&#DWZ^jl&aG@?{}aglmW}MctmU(sUK7a6eI4yqo+a>HwgDZ#b4^)a)gh`LeN*{_x>9>a6RvCefv`G>E0d3=KFC(~SB8~)iYh8Ql< z*T?R)l$A>Fb2@3YP9$?}UgJt2;4l0u*%gS{mSX6>tWL9HWG;Px_(OwG=2uy?c+ZN(y)mPXzoHJAPe`-^B`xt^ZW{c z1lYNC{N<3W*9X$pTds56`B5~fYC)c#X^Bhs%kmdZ76B4O=wm zjk{U9Kaq+006cD~IR}|}i)+naT3Wp_&PN8lEw%}~w|;st0q2o2BmXn0fM7eazVM1b z!p>RuJHdjBZ`f(%4ZRyI%Q}}gXdqfHk)O*w3;X~SkPhFG^X%oNNrmtNB)Y}f37qKl zD*2vm^C|_#-eo=COEgcLR*hS^?-?^q^QV$F@Z4Ypo?c3S16)M~G%Y`5ix##(a$$P3 z4k7$tiQZ=YNbN(R3#B#P-!GgOTNn(E_h#&PvRaqs^}<}~7mv}oiUeo2U4UVJ5ov!< z2Y!)%#=pz4@DCn8_jZDBM0&yj8}FGm{v*Br-U0yBA~u*Hkm4F0Eu00tsX+%#$rDB5 zP;b7k`%5j2K{=yZf5Qopo0~M&1nG@Fo_nnk6$9K5t!7P22wQJ(5hkwU!B+Ya$MfEl z5`SU;7tz>EDuzHLVr}tj?*eM-k2Rk?rXZ?o4&pl&pC*gPbd&Mt!EpFA?kpzjOjN;= zSM1q-2r}h=E9P|#_lL~puX;`mB$VBgNOSEub)nbA|3+4ZX|#09!YBeq(F9q5=rBJ~ z9UBZIbQSE(vKkU6!zwD!ux(sY^c)+IQ7WTt$3z&SqCc0dNlY@fQt*5pgHTib$2}C^eJEm#8r;rrr*NdM?^uM#3J4aMAN@reD&B>_;zt#m$mO0-59gT|ES@hNb%d zF?%tkFA)3X%@Y3WfaY$zA}1M?&-BX;FEcH|=A&^Eb@p>@Vs*lElkQs2HH@=RtDZLYbel8G&44Vbf)Mbxr*mtB&1$*0xR^t*!h; zMV62PwSf@8R{Hq!2`n+MF?)Af*Y-|4@yss^EPe7%j_uN~h&GK(z{!HiI-4zcN1fP5 zgc+4O8wfNo8t-CDTyU|d8>MN333w%LU^gp*4g{~la2{U&6bfoN1}I z!3b%omiC8gx?lo=3miWp67~XmJl)ZBJQUB7c!sRO#vt^uPI?WwNtOxdF~g(ZWLvPq zJFO_v2MLfb)8h(IrOR}hC4+WMllB+2nf_=W1-QoCZ7nhU#H3Ga)2iE4EcV(XEr``* zzzoDJ0QVIMqclP4ZKmNa0L(y6F$2fdH<6Wq6zNy|nfJBb3-~L1CLqj=i1lp3r;A2B zM@pNm78_2n&e5Vt_={{jM;04i{idTIkKD13LNiWvHq!_f0Sr(X!MZ62M8J1?7Gjabk=`|=IHQoi* z%w@BU;192S={2$@6-M-)NIt%QMcoKZX?Pc*1s7(s?}$L;*hk8lCEcc{kVmZ8N2eKM zi`jSFCVc%S0PKZa>|@|ep5<&16fl+<7%6UGH7f!SyurKBEbugFg1}!`#6CjLxFn2B zlfUtPSK|MhV`_)gX_=H$+R)1;m_)76*gpFM(xz*?biGwE7M8yXC!{deOu$)NhZ)FY zMoxS~OB@VA)zOXxY-rQ0n>TLftEOQJNuSJXF)BTGO>&IWXbD6@s=qCTY<$mDJ5l^s zKHa2OXG-@}%E9v7>R`!?N_66QFn3C7^&Mv%ng{w1%>jp3BNA>{yECHJl!mwz)_ssQ zDFm$dPdu$4blz7F#`>5_tn*DK0^i){bG*wNc&ty&eTeI$d2!OWA0v2SaxcK0p0kRPf^E z&btQ$8w$q-b!HookbrX!ZNE&t$0BS86CI`gnWxnSgkBVMJ4hT*`W&ogf|g}~3DPa7 zd+hOT+>NPyUnR4du5$kOC`7_8slI_&ti6H*bTcJRe&sr^zitb&Y{I13C-MQNmqcBbNqT(%6A*@$MRcpb6U^5-E)+<*58_*eN}eslTkbN_6v7`?x-C`4SVdTKzwT3t*&W5NEa)OCtmd!#j2!pz20xx!E6`!}uyf%z&VsZ8O|7>d7>Gtve@&lL$wrly(CQVBw(y1Br!P?t z4W3iAYUL>TrF;zjvPwf%nnU3!*i<5~Bh6uf457+XU(I>-U*7Z1 zxVkQo)^2nq3~NKW2?Fog5(`u<+(l`H(wK%2=-yNxElP9C87bEHzcs@Tg{sPohoS3S8VAp_4}9)jq3;Asxwaej{;MFHve=2JPzk5#u4R<+)@UZ`k=&X4Pv@r=VL9i#*MB?@+FV4gS$yRPSxkFB(AIg$FfckXaq=t$ zwEw?e=tK|+rc+WhnHH0LXU`o#3gPZ6!5Km+bNI;KCt!dn4553%h;oC7eL&d%hFi5@ zHPkfrhhGW^;`I&(HqjVyH{fq!i^Tp-G8eNje^29u^~G?ycbsqHYxH=XGdW5Na348N zmmVJy6z*@1085V;Or)f`bay<|ng67$YId@gbqS+1$hkiTU9_ zHpI$HlK1}77NObt*v#nLEG6LZ5M59O7E{wxfoT~o=qNUsFM3;FLGnvGZ zzZXZ4BwLpRB*dCwzZL)qaMTjxq8QuYw9eF^r5{+vKAO8T)2{y3kT4M~xk!{h9@Fr# zm+|0UOf$U>494p`TuSgrh-s)|9K#lFHl-r6mQ`L}b!{km<7RXUE9w%U9jkJ;JF>rw zZljC4lUhKWOt>99=Q}GFVrOLNv62M^SuNO1N8Jui&}~h}#VlsJF3E!I|9cllRM@T_ zm_xictoH$!+!7nj4f_+AmfGG6-=CyD?Zj0;pC+U%k{-P1sS{aCKhz7_*-b|w=j2tG z<`9<863@IL2^eR_k%~IkpYzrXdWxUD>|S(k1n+o;0JV{|ZiS(CO6AB{=O{p?Hl=aW zcZW!$Q`WHkyifiK=lo{2!~Lb^=7%atXy#xpF<*6eDY8MHQ_djMN3Y-T3Zw#yV#IQe zP`L%rs-ZV7M{^0YJ)a~)&CN;8sY36LFycH=(OHc-XoT&*Ckp#;ql55@->XmrPN>{6iZg!J!2PUDJfGg@Zkbk{;mDvLekuc6+BY{f;I={5cQZFC%Ug1CI{c_9mz9*D_RysAVkk zL9;0(Lf_Jlc7zB@gm6lPNXkekjjh6rqDZK3DlWq~!lE0n>g7OAp(F{pvkA8SE;b8N ziU-mT3Z^iKNwe`tsVQX!LiqI)pJB}>y}3|2IEv2i{d{rCQc_jTjGv(?BVqeN?9QY8 zw}(>krWvwvb6jh%>KZH$VyUS*u)D{}Shu!`Nk!Au+5JgZZ4Y#c?`!dDc0Fe@bOz4Q zA@&YEr1+NxjhyRG&?{(zJJM8=-6(=DcxcRIhAVM#3ZL@<|-FepskCZ=@{(|;Aid`U`5YA7(nF2KgI zVqol_iaQK7>HPBa7$5#OFkb3TU9;&pSf=QRTVmSTY$Qac2vj82)tKlQCQ^gxM>!Kb zLI4v+Jo9(wZ#}LLhS{u5eoUB#D<6}iIspe(L|g==`H%B|pGhxtn=DW>3Q56Kp^E%B zhlx!39Ot>3iv2|yFdDt7Ot3|>0!;_w(S1=^(0!xBC z+n$fH*^Pf}?3Uz}3AK56Pxs3Nw1W#lzB^hjpRCRl2a@KYD>1e>L!vkb?3UoebMW!{ zz42g#MMNu(EXBfFI9aFJ0=ubTOSzT5u|P$Lrj1lBQJy1qpKUWjl`I;``tfq(!)S;i z*1~-;BmaHgNvCPxes{M!B(WSB?u01G0{ykipo@#?m>i0I4VEx;GaN-#ON0*B8DqSY z3Ke8T;ATzWrbFQ7O%MX<$IZe3d@2!X;E4!VllZUXb6X~L-+n*F4H0Zb6B#P)_M)kEOxD968 zmoy!b9Z2d8rvxCt0k}g0oOMS6e_XS`)||l0M1b*MpJ0cjyQ&(^ZGzv3l^4cOpbK1# zZGFkpL=d6g?Hqy-CxZ+8_~`aADSY&^BDGrTDjeY=glQuxZR!>3XyAF7v3N=ODx4-C z?baDI=9c<)YHta|R(qApCOVueKd(iEI~?JDX;o}R_|nwANeR5eS-C)Gw$@26;SgDW+!6;7CtP>RBYZSnJ6#Vs#$ht|5f3jFc?Dgxhgg4mFc zV(ucqr0=`WhCrZ;+A`&buo0BgaAA0fxotjm)4k3@Uu>q535(W(HW;qn)WxM}KSTFU zAS3%K>W&z2%9TU%HanqL@yqTih4WJH<55x3P!k_m3^8v|LBggen`gll)+5V46!Mod zOU*wP5cYM}5lav0gnl35{#Cm|A`J}uCL!qam zmcG!dLjxQ-4ur(a=^)D=iCqOzps}3lt_!w&Z-T{87rY+vbg>y#8(!IcPu1VmPILcD z8dXlZ)0^8DVoiK_!*uBQo>H7*5mW0X`f=oB{XMhaiLoxV7vowCnX;M}s-N=2sO`_w zp!%X3!@D3;`70jkl!=<1TH5P(cG8)}jpB+@b)VvudCk1Gv3*)`&Axa}ihum+3XX{w zupM7(Ndj)v*x21v;8)l=v65%)swHL@=@+jS$)Zx+7$}7NYudNZ8>fs~&A1=%UEiA_W@aYe0M-iRO-ID zm+9jV8@^9Kr*JPz4DBFJnBAnjn;V=-32(FXGs}l)d4KwtBdg6pIHR7WKHrQ|>}ra^ z1$YG#+&-}F%l=sKejYZZ>_wCeB8+%L|C}{<&>hgh8P&$9Id2poij%7GB4`J_yh$9f zP97ojIYJ0kuKS7n1|@lo!q66mbeA`H!%u32OV1CSkd2PG+%t9^6<00sqneXCp*-x1 zIf5wMZ)M;ptbBu)#ajcfs{Aymi2VgoGb@xlvl{};zn>=>T`o;7vv0VFS+~rCaPfKq z1iCd|ium`ehO^w>P9V6_FcEUG$SQ2iu*OVcbtwIAF*uof01cVLj8US_O_1J+VT+=ivD*k{~8&_0sq{;$lVy>|ghwRW<6}5GAP_Yzmn!teY66!8i%$ zb`MjU{tnFQ)ULUBH8U1Bs#HS!PE3VyKVAu2U-Qp5iQ!a8B$0b{W0OF>Jp>Scx(!4>F%EU2IW_)1X6^)ghY zC?h08Ht}?3k}T?Urd8t^<%~%8z0riUQKgQRbyY!p%X7BtrBl^pow{k4Lvk1=_1$# zNj>SG>Bx=gNcligl~7U%+oAgpK7kUM?K?8c@VF)X{v_6j|0}EvQ}ZET280hxmJRlg zEm%?B2`FXPge6@INGO7@i@;nTR&_&!n6Cok@UiOC*Mf+z2N5>{5eU3*gdyJyL;foa zxhV{}ISlz0AoYIR6V3IAy@H6ngNXBh2zd6=ppaLGg}gotd3zZ0;V|SAfOzgXsi6F1 z6zWq^s4JsTpN>LZ6@~gt6za2nR8qL&YClRXl!qZk0%5Wq?T}UTj?ji6;*ucZ^+52E z;?N+zM#-<_u2?kefBpY0nLPjF<@W?NzBh>YbcXQXF~2H9_}`oPA`n;-tSh`?m|9=Q zqR)F0!vpxb>45*+Yrg`nei(ioMEoX*xD5zcT=y=*Z^Mw=gL>`=B7PS{+!;jN6-3+} zMEpL8_(Kr!#~|XKAmUF!#GiwRzW@;!-MK*A@(9t#X zSsmS-#V@V_QcCISDbR%8Eei{&3JfH)P9R1SFZA=R-HR;M|`^ z(8=b-6g+A_W^l~=nEfm8Fu(L>u!AqaV|3e9stfM{cxM6QjhDV9BO`bXNi;J`6R98% zz+q)6O)i|q=oFC7uT<+4fH$^d2^w>23&19qlyU22qWxJuWGTQyNYmWvCcYn z5LboRaa%>$p;|?_3@JM`jId*{s>L4ZD#DJmDk5x0Sc@&gao^}FD~22pTaN=0p(p~f z$rR1glN@FCh{}s+NcN92j<3&lOjq^TG40S}2ektd;aeA^++`QSnxpn_DMp%7)&pOc zl0!%YB*NOs2`85=TL`J|P!-`)6P95`lgkhbVoy{@ zckG$!fVdQut+gkrLx??79T0n_Iv_4ntUgZ;#Gb0-v&9a6hm;6NM2}nn)!Z+WV@rg& zHe@|kG`_h%V@BtknKNg1&FblyHGAffU9-C8cFvePyR)aWr>po?YyR%&&#bvzS`r`fnU?qE}xhb>$6xGJz;)pM5dUzRp-ht2ZvLmM|dAuHp~d~#4$racFv zd^wJbfB`m{%!Bgf5V=x!<$`IFhvZ0mNT$X~S#lq5sNKisqf?%43)M9?raV!55hkr0 zaeR1sF|HzWs`HOMvXQ^Ll*iNKseJasMc>~v1->6v@~?#ak*xm72D%dPd=+@fedE)s zbO{DGlg+r|Er%%^-)Cidv1KUfzrEweG#yqL7je}Kg3SShd{p?^cQ9_@mp63 z=fHq1WU(tY0eU~e_SZ`V{K%F_xV_dh) zxhp2;xs15mvwTmBpXX4Q9Gt@%>EXH5CFh}xes7ioW8}u>IH#BJ-_GRVoLr*E%Cm8Q zZeSPp5O{em8Nk7@{}Om*?AdlO>aWP!aObboHV5^WWo@6c+6GZyleOu1qRjKqmSk<$ zSbS@0+FpdV`5E6AExxl+$CqYIAFj39_C?*-hn||Y^&Xkr5buq)rO+q7BJH`Ljaak` zK-*u@FjlSoL1QlC_JCEN2Rz#){vg}b@6T|*%JO|cr(W#$lKpXrE%Y(_<@5g{w7nSk zLvZM^p{z5|c94=q+DV`hEAmNui34{CXuAKq07oBY{bV2VgSP8>U77n~>_wyTb+U9D z{emQyZyAK`-Km1V{F8^Imhukb1^)`XgbjD5rh2ec+4% zUe7Z=La}AHKYjDYS8ntF2LJ&7|9AnUS8Hq(RTRDh6kE!xyq~ooRtiN5B7O3hot@pC z(s?j5i=ey^g_qpqd2gLv(#KvE@d_j|_qLE1^g0yZ0x|KD9RFj%+ z&`c-reAUl-yja=ZwrTB}wpA@X2DXnI{8Sl^CcRUW_k zTk`YltgGok%4q5X(>Zxe7x%_gvrWggbj$Bu+_`z2>u$+e^*keOnSJ84by2NYWO@XYUoF>X$pUipf`3| zs!2F2Wd~+DJOSkS!lC#6#+UcwYYYuw5gZhFj&3D&OEYv2M1HE7_i1xM7N5gu0B?9& zMmN+WTJu&^~Z_a{L9FfAhqST8j zT0J_m&7}2M;hqO64ea^Uf7urSgf2KBlE2AFxT@P6II5A0tp)6*$5w288#{(ZJG3TS zTM}q?&YOi|FVWWT+VCk&v1Pi{DJ+Wu8b#u9dcWE+_m{4kgfb8zOqzva9{pOde&h|T zNJA%e)R7=-8NMJ#TS^0(MZSs4 zJ=_^2>|`_1!)Fv5ethk*)7U31qB<;cm`R@QqO&m`MPMw4%hy}2O<0m5vk z?{^Mdz6P9BGv5>{t5>w}1!gZjmxtkA4G#Q_;po2p@%GOUJQHX@&$Mf2Ly@`4hE+}( zEh>pV``I&9Z;^6RHy9)5kO-RK2oifYvK){bY-2eh)F76&wEVlLx*F?dMkWT~Gf=!n zKT0}Eo`>mVBk8_HnJLPMT(tXIHBD?coS*BdBueD$Q^ZFTg@n4)zHT7U(g@wqLB>)2 z3;_HT@Cj=!efU0Pm-R2Vhe2RIi*HL$6u;MqrI9p3Q79>8KMvarYF7mpm>=3}Cph#a z?M9VD<%%5^ZlZ*>?*T?HQnt;33^L<=gBo6lRA$5oguCR2aOe1nQ1{2E&MtiTVizH% z#Rw#%j6G^MhLx6QO^-2|MLaI(^Xf?1WWW>@fyq= zjg=K&!h=#3zAPpaV8G81v4Q5*rJXwqX_h`zT~}@9izS0#LxU}IvUUBxSi%Y{19nOy zb01o~VIxR`B9g0G>98(hbqQm3S5(*FD@kLiPf?%+UK5qi2JniDWTnG4PlvZ#oh~Y> zuQy;ZbVfQzsGh#CEcZ90i3?xFj?JsVJtMg%8tF<-N!L>QqHdDot2T8nz$(Hysv61pm{Y_vn^jWtSFU1UfR1Kx;3Ud_k-IvKiu^>lQ@T8 z`efJPZ%H%Q$n?^IuP^t5xJiV~6`G40vb)D-JvZlITmrDA8(JylLNTW0PqrwM8Ym;b NFj6-Y{{sL3|Nnbxsr~=} literal 18526 zcmZ^}1yCGa&^C%|@L<6qxJz(YGz18N-~>x>cXvr}ch>;H-4_e)7FgVUfyI{v7JlCU ztMAsWx^-)6&U2<_y8G#_V^iG(2nYz(*od#K>+2=+irVHbuGWqYw7mRWJY2lAGVa!P zX0&|#e6&1*+`N3;d_27Tv>N6v9@eJjv}!+0ZE1OFHI!&|%uQ(J&8*#CCE>_OuWAqw znx+3QAYkMF4;p>?AM`>zHN?!JkxxCB)BcLvPW+ZqO3DD!)y$=hS|T&^J#waEM&^5& zfdp(sStL4IYWxAy1uc|5?ArYEni_O)J(zRkIEwjCWgWO{jE*H@O{QT}XTA2(-BU~F zmXatvsoq`PIeMU zg0%)ebIww?{<(A+$E$wson1UZ$9e4Q?*;rcWy^umvf#-TvkG5jf)SmfDb0peqdlTn zm=Oz9RHf-N&qhi-VtaFRWoU(%l0@&Kc%~=UGEeYhm&wo(OVrxyb0?m zWfCgXI9KE>J_md7_!RX7d3siGY&T62ogqGWRiWDxEO*X%(BnuLxMQXURyhTJ0Shmu z+|HZN81KcV#(|90&%JJ!_DX2#-%zDDsf}V6ek-N4%nJ5N(!FjRd9Wjx41tzJns#C{ z8tr5$8Qir0+4>nJS=#(&{%`WV?w>{Ohu@T?B@U*uXUT~!Od)e${Er%#WdfBI#*y{M zk>}z|#1-Si)pc@#l^mR9yWi3ez{0#qBqzy4IchXU>2$h@#B5J$9Q$N)Uv^~1pIowp z!Fox1I;l5jH*uNC*#a{(oTf}i8i_V)K=aF`@w1GOsmMgA3aTO8?CO> zR5&8V%n3Zk&JiH-#}yG(+8JJWGd-pfRZfPH)O7Tkc<`~*$zpwyrl||4JliOzadUBZJ3KhMJWXB_LCru3&m(9CbHB;HIWFnzd zMY3k^4b$dfO$vw66JF(uqisj**WfvNhNc)s<7+$NzE4IXhH2boksy1fOFrWES7m8e zs5COH^H`jCpR>?C+U&5Ph~EnTi_^FN4cPy;L;XVCc9)nqIg6_*E3Z>4eznK1o!fNq zkNAKpIHTw74sPF>4YQ;EHM%_oxUVC{{DbNV+by@L|8WCXU$oIE=$PqJty8Y-HB$Cv z1HYoGqHS{Gu#K+YOB%6tC=ORvelbeljkcALv}5o2|6{VGE$$@SMDmF6^cmd)W3gJ; zeKKXvQvRN#uM*_wMI5m#Fai)Ra-AqeqHWzy%hkvJoXv@*hBk?8rtz0EKeB^(S#_P0 zm=*c6DBMb80tFC#Rj&~BU6*{!`h*WUQm47A+Mz<=hwSw5S&WRcF# zMkNaQVDFgjo7hi6j;%;Du2EOG!@u6bu|M=ExQi_oBR?B?kdtd-BRZFodBkB=bM!@$ zSaUWX#sYIw69KStvgTjfO91LYIkApJFYoVMsnrVi9$7k6V{Nx4guh&j>O>1G|4~cS z@s4rug!v4)E*m?%P-wjbKQ?%O-D`eOGJy-W#MzNb$x26X`mWTIm_S>F+*29T@!Se6HJ!8CvNdikOrNF)SZZ_58V z?e)MU^Crg4nHSC%V)5^104#!RNq?=4m*qh4kdAd4&%^$8B&NUm*}a-MzfH4hx@xXa z)@7!ZW!_YJeP;aJ&Vz&ggpMT7GYD+#Z~XCP?|I7fo8;j^y>`GsFecP^beyOL(C#>X z#tk(lw`Dc?J6>ia(XG1){&$-S-gF5tQ9jS?@C(Rj=GxQ_AcAwkY##0HUl{i@8;|o} zww5$TeBYRQV`1`h4-r5wg^{kl_KJtf0UFLSGcJE1#1Masp2cJeF+5DwZ!xf&S9Gth z|5blN{cn|eWPi=SlPx{wR*w0tGSBTeL`20L%Ukg;mrgoqrD%kVnud|pdNXC07d2Yb zc>W>W&@FE=-`bXbdIML}Hdz@edB+FwA`ZkP0Z_?cczz@>wH~WW>i)^HN4amm9FqIJ z6Hpsdq-@|F>C?HUd%eTElmxx9$)AQslZ|V98t`Tto%c`AQ#!A#kldA+1t(*b-rWAO z4NIInFOPzQJOP96-a}1ezG{%XtN8%mx5(PYPJ3%S7|^ThUUkA-v#(2Y87LDCHtsIX z_!nMZ#x`qqrpzTPlP|~xV9J^hDdkl3uLzis&^YVdmn?KAJj-H^c{w?-E&lV(D3`NZ zT-1KYZ%9ySh5LB7R52;J9c{qk`6j*W5c7^SCRW1Um2Y?`*qRPAHRVSZFR3{+k3$H1 zDDS;|fV=pC?VOEK?yE;h&Ocfimx)>q7e-?j(yBRy$Zsp>MF?({-7;LoACIigt_k)} zAL$w$%-FDbO%=hI@#P!?Uz>`VU!<@7gww}NX9xjAW!L8iWIs5(2_rCMOY)iR0yk2< z60W^_?}6d@yejIMZWFrxxk+FWxmMu9$hg+T5z9!=KwXqOz4DE#prSg{UyX_RyM*aO z`4^KDNX;fZ?`f~%JT2VIr&gvm1OJ-i*_-29??pLQQR{KJMm*_(x!SIvgUiRwk{+tjeJ8ewZ(A>KPyDg!`hTvMMJ= zj25Cmv7x4ae}Dd2mcg8+3Mb|Lk!UHIf5;)Yf0&2BKJ?@Ll4SmmzjXP^`@BJ>N;8r! zssaz0V=@yNpY9)w6=KER#nZ?5?3oC#6~^lJqvZh}N0ySSfxUm;HYpB>YY4sItaN6O z$-+{g%fojfqd#cOmn9+S3t0)TrU(5RNB02-*G{pH2ldYip&bvTEoiO4`Mtvr(tJ$g zIsjwI@xwJAB^5Z#v3O(rZwm8&gvBA12Dg?lyB=9-!z)$kDVz`c*T&{+dkJ{uG&;z( zR1ekwP&`QFsyzSJ5xIF8!fw0=I83mFb?ypRl|BLJ9K~-B*E*&O^Y$y3P8yaeRK?aLi=8^%izsS(n>JA|fIbA5682F?RS6ZK`Q2Lr;Qx=-3T79Xq`3*2rvl8>uVYzTnLv zkl!*JFn6`VBXaYSDC`ppU%2}bDMSxZQcL%rP~1>-TZLK|_hbQx8%9vpMg68zp_G@-tS<%#3ywezd-r*Q-8`$U>B$4R!zS*@8zBTyZw$=wFFik zaMu-2mR2zDhwtL@szPk&$CYqE5~XjztHu9TU*6>S2j?@D7e-LvD=&T~!XQm>`TD1R6raiyaVNL!=oADId3*Fz~ z7c};ka0Bq_?~SibYe(st-y|b+Q>tFxryhdR@G`jb@0|Td1oOOJzKqrwkHQs}pZ6YY z9%`LAt4C!%gGUb@gfchZd_ove<(MkDB5OdpO1@&fRi~T;=PxN#Cf!2hRu3yT(>QNf zAe&zhCVit{=gB<%Mt)Z&W-=c^o=ILtzJBg-Z=CbzPm)opP|MSY_Gf*>m==^OG6n5` zt1q2~d7wo+f-0L3xhfF@RCdmkv4LZBUtHOlDriIdjcf?UDMn^D(x8n*-r#lP%Wogu8 z=Z(kv0z*4(>&g}JmUL&Hcb{gJJJnbo-o{mAdQgjvXp&Ge(9@+wG_hCm@RjM3C`S|p z4sNGgvN8zb0kOz6Yi#p|omp$o)`2N;Rm;Mjtnx`gHA!S1Vsi&!^j};*HMD8QWCwqg zbv|NZ`yzj!C+xyYMM^9XcwAROxt8cgF~*ms^J!R?t<|9|aBo0nU-w;rr{2uB#T$wV zB}JS!OI5-jAJW_@Y2|SKze_uD^8Ii*(%^w%CGqp18y`{dZxI3V6z7MTx1VX0 zc{mqa?U)2s`vgi*@@7%i)=|W}Fxx6I+vYJ3u9`HZAC}K?I=(zj2tVk>LfR_u1*p0T zYP2x$>;9CMx!WQpwa{gk#MF4YlN&<7d>tFh=1r@sf}J9`r{^t&{S@e~ek!{u?nGzj z%YNl6UNp=tZ&%jsF(6EXjBDguN@jYN8Q89V8APu)g)4A1;4JISCa>6^OqZvsu=@v* zKReU7o~FxZcofv_@E^$UhlT7mSwf-V%?@aIwrg*w7hA~1 ztE~CGbjK|&>cS?sew=0X^=Ewu?bJ_&it{OX&2If9IUth`hsn?Ua3FBY&_Ox#z+%(> z!>HY7L1*3*WF?Sq%6Q}|ROM~%d-Nfk-l1$2%L>rlg0ND!YK=ndK|0eb&(ga~Z;0F|bEbEYm)8`2Uxl-fYq*I-a(jL}Q52wU`ij#<0T%z^ zTv5QsSJWFQ>h7%ffi{_b1$4clxcS%31zrE885J=L%Pyad=%eyrjW*x!&SOMwqDp_m zylp-!bn&Kr-Dw%zQJN+L5qWeJmEPVx>SJdF;Gs3*p@c5eg!WNV^nH(;|ITyxMl3^! zp<~PY|Z4w9hIM~m#(MUA~#(MEYT_gRI0_O5M0}j(w(aW zkk4-|*yDV}hv!m-xS|K0`I^7p7Qv9uC`4sv;br;lCidarcN`Cj-iKW_@N#wv>o6)9 z!=$Eu&YYc|LS-zKp}WT?D(m4KV(`Uhx(S+(*EjeAAFk=_Fw#`zE`&u;l$t}mXemQV z*b6-1nG~`!kp$9r>b$YKOEg8owu$HX0aKBDJMs7cQYXataXypL;-gwYAxV7HqOex}@kd0+H2#z-dY`&X) zQ$R=CpJ&vt;vkT|ekWds-#ql@s}5$OsYbQ#;|X!Ch^fUVoQVkC6&eAklRj#*O69xv zGPW_da9O&=3|o&EppR2?sg>c&XK4kOaIf#{6@6j%Fgn+qx%lo~2wD|86B4ctAY)Qs z%~zlV120e(rTR#}AesiDh{7FU6tU(Q#Nbm6Y)n?c$txV~W*!`h$vDT;&vGj3O zGX=dG8HLi#kko0${Znsa))J)vq%2D;S5-;)r`bJ5TO?RCyUEKknFR92#<|fhQEN6V zAu+95D#VZe=#IARB5JymyixN8Pq|w!?Qf_hagFSRV1LLhyLPP})tsp=y6fs&P4uaQ zuH1F$aTJqskpV2%R330!owEp!fDhk>(@m%f5l$=`*Yxt}Bbx7DEo90+D^1Tc-;ZKL zg7Mza%*#DGZPu%7ATwpA^D1R z;(5iX$iW~VTdO0k-j-JkNKTAeT9n;pHV(aLioF&!Zn$yE_7%bhr*4x`;}k$s#3`t8 z=*LqZG_RuLf9D=~0^_66)27a~7afc?aiC&bDo?mxL_NqG1CSu0%l~=I-GqHWl@M(c zJMieFRcx&Pc@FMoC5(J?cG?xfapd7)6nkK(+@bZ>qJzXKPRkI<_dVpLH2ipa8>hKH z_0IbvMZXwBBV=*oUl#4=;gDGFbZQ66&?I)WUnBitpEoPO& z)kWIwYKO1*0ACXHM$NlMM7xz|j?JLpwx2^OIJagO^rVCuprdk$O8e4t^9Lgd|RC!%zx}kmg z=V+%`G6;8 z#P==7SAI=1A)%F2*~#N&Z`>|2L9?(p^F?g!`Oq-(=f6VT<2Eq6?%afIiW4s4_)X{o z+B(`St#Hb@a}9+(=i2tU)I+`Y20~SkYs}>#NZsB#$b$4_%EJ@+LR(d41wmJ=qcilo zVk26RT-mjHMW9~tDkNvy4UrXSD)^Y4m-B{YQEkYz?OJ_ItN3SAhwfvCZ~W`$Co)Cz zB1U6SDuew=4^Iq3DTf}{uqDIo>u1@}9OR(%AKJx;YrNy@fq%ZroT#l)wfQ!7 zON>-Ib7?^F*9*M;zsjUH_AutVsOJ3dIn~%|0!_&pOi!g z@a_;l`}I`j3F;(vr&L;n@V|Cr_QCGQl$4m6vv_new^=Rzh5C_qYe8Zoc*U2Hm zG}&bbf7}-oLkP19bFW_qIe(MusqXBbikg(Zfp?>A!u(E*S(!UP3%-X!LLvJXucXJvm!P>tX^6b|mx;vSjy)7lkW! zIK3kpJgE9&GlLG(q%eDsYvyldy^V1>?zGxly8{|cbJBfJx6R^F{@j`0L}=ZK3#G25 zqFz&-oUpP1mMso=8CaNo!2$Yf-xqvTyD!MAzL7Z0pVt#v#3?aP zS27KQNiW|RHx_Hz>U8=RPcno@hip2M08pBHL|uofqvv3Eci;ll5Xw^2tVtfjQ|D(`&0JD2 z$THd>p-kk=60$xw9#B?~e6h3PfYu7%`IE~*ssPLt$!EOJRZGCA_$WR%uK*O^I1_K|?PXW0 zL#yyQPj{o$!u4~e9dD#Qt&iYna)6teOywpT&5@gn8BQ+~x^sljX8n~PgwL(On<@ea z+rLry!pD&L;|{(18=Xpsg#l6-X{5DM;#6GD(OK5T`{8B}et%)xFKUo@K!f=_d*1IC zQ;XXbsR=s145yNV8-a~_WMbZrr%dF{Mbu38E3$;WPM-Eab9+wj3EujEsa|udm!iI@ z3y%byD``I40k*sb-&OGe0^XXYQD9m}w5MgWY}UF>5TzGQ>;dXC)lH}5_xTL( z0>~N+o=WKs=BhcwHf~Om)(vJ&aA@Qq3fm{!iVXb#483OqK^!8NS+Q9Y76+Q5qpn?^ z8{~xjR3@CuRebVV1^p``u`K;(I~Y+v-^Rv6pJEB7GE=59&*AGV3d>mC)~|G^VV`{b zPIUH3)(?SRf4tPbBu8V$s4aRcSF45YQ=q|{%|D)NRiuBL6Mij~Zf?81Gn0ymyN?o( zk*^QH8EVTg2)nQQ$%NTe{&rf2l_W8fg!$!#pCE?j*Fq74HvOL@r9r3-nNTEShEWFN zc{-UDFr4dVT2x^$TB!SS-~cG2&r@GP16q-+u_3MWax(2w#Uw|aOaCn6W6F+R{9Xp* zVrtaw9n$ut?%Jbh%+_bb=1&ofG95VYZ}byC92|LWM-#mV)6u12So6|N@rXPOuH7%2 zmGrHF%`qMRDn_U#kXb$P{z-Q8OB%@F*J8dQ3f`%z+BAy4ov*w%seVBIrvHQKkntOz z>A8_2%NOupM$VNxh3YL-EK)GY!Zej&@Z^DnczjuTJ4)mv!PCxcSbb=>9XD_XMbu%(EjB41KYV`e_uZo-=u!nM!R1t)km3q@%H zT<-%CR?J?72)AC)(GqCy|I0kC$~^$iA(DGCmb!$ zStyT+mFo8UbGENll;KBx#56AH*tmPW74#k*rU6%X+?PQ+?LTrcCo(&!NjnxAS622b zdJ#kUKM!d_mQpwvEVCXVtcfF(Q{OP zv?Kch^pIz)lpkn2AO`Bt0PGvjgms#RK-BfjRHXbDh?CAtPtZB~1G-um13?es<#NF$ z+8HXG>4^4-R9ZnAfXsGB6@N3FD2eXA91-eD8x{FX(IFW;!j}?mcMTC~C--Fcdchpx zmco;JU>(^c555eJQLJ`Na%M*Ir~3I#!H1YX__j3)0=e479G ztkE>yODX>VF1VJeMfN8+!jtZlazv7#yb*f!a|8F`^MCH3J>Vb370@6WqCV)&3>Fw8 zN?_gar8wiBNcR+s&DL+dpgsAk=hIrBngl+)B}_8Hlzbdz{H~^bUnZRvRT^}jvm-CW z>lv(SoB*{L0F{zG92kD8)wKS?z^!o{_|ZqLgdmm+xmM@0JL+aaU{YneGV%FQKt+7K zivWnM1T+qAW%s%X-l9gr3)J8EX-bT1v?2H#HgJk}fpx!S8`f~Ead{0B80NR$$I_nV&E_$3mT)ipMiFYqt=9bXY{6n`ewbr zt}|Ln6}=1J4VmTfh`fL_$N|Nl`RMxV6ti<3u&Xe|$1(WJinqiSDFW1%ay;>wZw{#I zQvLG}xvx&pmCu*W?dkjI-ALHy%}9*h&0goKzZf1>O~_&0IDfll*v%6Iu+1egULy$z z>1#igoavH!LFqt0GAy7}rPS#R8Ou>vn@ z0PCM~dfV@}UO>q8Xf=U7KmDHj#m0<~b2a}8<4vii%e+{P?e@!!KGJ+AhxGT^&clR_ z;)Ta8vM)jOZ=Oio2I_I1ITsj2BX*zWk;lN8!w@ijx}W-;-kcCaAWv)$*$vUB&;enx ziD$U4Q1(kPDKbqmC`FwhWERy|kat5fe2X=@A7u(qYt*x?WzF|sZ_@cblw~6!`iL71 z{z%xBvS^Fa{nixw;ZF9&LU}hi5S;f(IrDS9Z^V~4F-%H+LeSgp5v0R6n5Wo;ARhta zEAXEdmDPgrg{!Pua1qRBSn*{ClgU!h7I*+|5HMaXhl$p>+elEV61q|SQmBBJ-de#= zLkZmg1k~1_^sV44f@iAsWi8UIqIB>Hmr`KpD5&8^KGRp<;qhWI3JTl6&4cVg0N6 z08wznhEmzdNy!Th_o}Vll?C^Ugn?*XWOvA&anNVM!`7L#O@#-R-|c?hL|&)V`=PQI zw0q9!FY1&L+DI?t{0&vxaMpAP(;Hf&#oGCwCvGC=<2{(>P7lew_ZVI`=)1pj0^Gmd ztFUtK->|aY`lB~dq&OROv~hH!6Sq;g3H^p3t`pxF&E!hMF!rqr4D!w~9(azDrD+h? z5mO$KC=FC_pC7H#rgy1?y~ce=2>&vq>TkHJg&hdRzEHSzp@<&rNc|(XpbPKTi$yvQ zl32q4(s5>wSGW=cGO(N>UWQc$e{VPXjuT|MKR@G4ZFhp)g)v;#myI-5uF;}Q2z!<; zwv%MyVh>vM(nCFbS#ET4;2w1&&niLucJzpl5=1|x?|+aVa_=hT*xy&(2ny-OA7+Ug zS6?oc5@{jb*>caTg~D;dS<(5>>7Q*c+Ex0hajv}I1bbo~A;d`!cp`UE+4ukboZ!$W z##}8-Kh83^n<_6k#J(c+H6Uw@ zHVcJk8S;$GYNT%*W6J6^aCL9?&G>E?N*jcsT6bF?eW za6m%&NVkFffJq5_uBU33@D@AbmkPvI5*dSp-cS|zhHhYXkRIhrK~Yd6+i+gq4Me8z zmPfe8B=!e6azWxw zgByT>ldk5Wc{(qrp1qfl;6f6324i~U&aT{WIJYnq#lmU#C#kUa=5~SASaMmGz9n1Q zOxQ>aIn^0|$BLLvl>vWOWv8z^v|{BfYP$A{=Bra{%lwWz+G_#TE$VlT2R#DX#{Id)Dp<8w5m7s5A0VWq0e)xv$ z*Iz*Y`W%$idyMP7P4eanRv_Q+PIdXn%1Y(VL1x3^CDNl*nu*z1_>anSme29@ysFj_ z(J*wcy|Y_IH=%L%H2u`vh^H6nF7NlYGd5kzA8S0`Il`*G<{A@r2J~4(cq@h4jLWjy zOXqU=4kznaTFxB?e7^qA(t~O9*@)ta(B!x9j;o{x|NOy$S8K46Z$|ai+S+3r2akJa zus#xrWZv+fe|WpE z8<>`!;;kg#lA)?1@9ko6wv(KD>bIhOI9`9i7!VM>Kq{2&edA6Hm?P2IET#GTcm&WJ zS2=M>YhJ5gZ2U5%l|Fjqoy)2EPki&9Tvh-5**IZQMw!3>o%!NzYzOc+)np}J{acK0 zY>hS=k}VVKa?)wuqiddB?so6J<%q$V`#??%gBW4gTu)LXJNuTAvdIzK?r zTSpI^zC@@G6{n^UJA<3+H!!w)2(&hSap1c;@e^FUI&o{cYJp4gc6&e?rsyKSTzh=| zEcLEs7R*$mIpy8WHNDZ|{#^A+`(dT#Kcc|;gN4*q&R3EFrm3rGC(mAW=2d5P&HE>Q zhC-#_s}>Kcr~OP)o?W*ZL05W9hMpY%DIefCZ1oP0K%D=_^9|%!z%jhzF!t5mp|Op{ zuAd#wDSK3=w|YsIfsRA9^XKVj$_@oX`3Ic#3IMUSlj+}(6_DU_z`ElB+UuZPbiWgM zKRc?^Q@LAMLzN^lP{W_-E6dPRH`K&fe;60#m@&pw5;4X^h2UGEY}1OlJeH}?A{Wp)3S83@1Ezv+1BF;_omlH8!7|woa?IKi^WrJbh1${Vmf;U=R7V&#yed zLV_>%r_;BqaIxj9-33TvPbxQ5=kD$nHVl#^O79sE4RD3$*T-#&ZyEuNyZ@63tQz0k zID^6*fw0}%cvZ>P_)T*Tqs=ALWdGDdt-Fs`z!PBp;li1`R-l-Q$cpVFyp9`UnM|90 z^kiIVeALP!TE%x@ZJ9KzyO6I45BN4(OnTiST+|Rh=x40oay9J9`NLTC;SsOuEcsAp zHSH|9wxoj%UX%ezZaoCdt_neG*8#heP?!)+K26OH-ZwcIOO`%)=Y;aXPcyu^r~KX< zefp;{3S^;4(~qAQvUn%rys9IXZ9x%Oq3~q7#^Mm3p0a-tQ6*1@tZy=L17zaqOf}{9 zWQEC#)Jc1O5D$D+ELWUmGinaayd)!d`bZ;dAqqenN#Gs$6=vNqrxAu97=uo?KocK_ zoZ?;|b?DCPnQt9OMb})d$z^(>?DmFycUe)?G6KLi@SEp1mmaGE`s$Af)!8sJ(SbR8 z)UUh~(-|1^@RBxyxn%yI-uool=5L%Evg;?Blw6{;fEA&cw-HLL0g0pXnx)@iSUQ7q zF+a3#t5^*zZNQ#|v`j@@I8nI~i=^`uZ#%0a+q$OWd$J5NDEZZS;of`nW(z_P118{1 zw^2L&b#}#@Om*_q|DpYd>@JzFXl{v^0G41_nzGq!K0@|nZ1{#;)+U=5_cMaX&IMnw z`Y9RNPJET_?2i~_Wr5#_e*-_HsTp&-K@e>@;LXfvTBwUZXkcrG6hBLeO- zZVdN|bq_pRUTo;?25y2z)=J|4^cyK^owwOJuip+af@{PRUG&4zF2r%2`` zi%L6$p+wyxcJ@ZGzNtjO_RrWe=%;(QqUV*af-HYOn^01+`l&rn6rF9lA~|>JP|ccd zJd?$qhaF)3hggf7ddEMH)A*?4)Hr=>vklF7dACo46A%ycE!>=g*(C@J5YkQ7lm-Om6zrK0I~MhCg5VyXQT{^e zBUwsH`rVSz{OukumkQn?no{9BuTX+m$a@FG;Y<=+Zu+(3rt@ zx2_~}g;``Z$$X<_PJRGG{_vRLy08-mnqg#y@P|#TkoP~S(!9;*?f%3lp!7yRI(^ED zR@QFnm{m5SWN+BIlx~$$#zq-zVrt?u#zD-SOjDK_W)=~tYp2wy=4$t^tgPy7k7`Lh zD|y*Yn7|CL)@ZC&_@?>`?G5-pf5)kNsN$IiQY#t{tK;8UNE|h|om$3Nstw#DB))vf zuWGX5lWlpr7`R1V?RIbSn>j(Qmi*sl)ssd|=wr3jI5H<*llaV))F?6_tHaEu2^rd; zT`TS~NM36o#5k9&-oSo)puo!9gZ04bkn(MK$zKZKf*h82es)T2mV@r;@tUXnVuWr( zW=G1inBV?Y`0ZHvT=Xa4OXiUMFYf6xYe&bLnDb z(8=a=sb(!Ma{Jhxl4(9v#b@dfS^uzyvqRkB+y*@gP|Q|;Oj%X`SkC4@uB3IWuU(3HpH#BXNPZtF0G5Zb3CMVQ3=hG8v^^^5qj*K;O|L~tLW|}xSxGZV)&cdy{ick`J>T|Ic`Z?o}oA?wu2IWTQAxtO3Br~_& z^0KpW@7lLh8pn9_Q#M@h$i7K3_#S7=9QFu=TmN%|NhDvo$3@b|o?ythPrrpVWPSrx zo#ShUKgG2!)*Ls=y1BQ67l>M*6oypgZ+tC_ri$YHH%o1yTSzC*Tkc`2L&i4pR70!d zhWf!%M-7xo6;{ESm@KjW4UB=fAeazj^H>nn@mt<5*GQ@vmsm~hEQE4_K!D5Q-#5nV zkt!a|>dVX;TCgRm9ze|MVxq?0s@~SaUfJ>wZKr66_^j5d{qKQH>3(;Mj2ha&NetUU z+S)0stCOmg%PepDD$+1-`ai!c(7a77GH#{wG6??lSfIhW#r`yxp?epJjX>9>{hP%m z9%uvI(VIOy)vzaVD|Sx{M5iz+c5ezuPO26db>e6nMMK|pvi~9af@f1OMQfNVU6W6s zxf4?$>VbxHb}UU*#{P%AvtP;0!zut8CPZF5JYo1A96NpOpU!^Wx_yTf2F0||V^u5k zMgA-HyQ=3?*vuhax+uw1E_)SUE+)q2Z%Edn`#@3)s`5vLNq;@^ApyzmFo>G`EP);~ zS8;dVYtdk;>w5_lRO;w2$Umo!^~Bi#WyHrZdFMLUOi~x5?e^Y{#-q}83#R9N0VA&P z1lgwqS;X?%8P-2sQmF@d$uLfzROBMjjc@4hbqI4$!gIF6?NEMq%UC|9UKmp@u#0Tg z?%5kLJ~g*ZHh)*Xr=X`EIVY;1+N4RV(n{_F2 zQBw{ih=tP;Wk8*wWDgsc(L1*o3{Rk08vmO1G!v&b_%sry*0O43=9ZrIacgJA`HWY5N`Yt_vBxRix8L9GjJ>lXesz;P|tPUNazD*B{?$>&rOX)q` zV_5$fvgVMAbm)E zLZHzrzu$7)X7|I7moB*0=d@dRXO7BD8u9Jg`W5v)|F$xzHJn{In&dXHShyYz+U zDt%<=ME5{L%>H>u;c$FOfeR~gR5N3b*~FG#(F&xT)b?NsYTduVLXKYBJB8gL+idkq zweRq%B{W9WY2Qr}aoe&v*=YptW?^yIvR$}foThsDeUHGUp`sQxF>A7H+B`nh5g^su z_*X@gg;izc@NcZtyedTM8vIu=;mXa|&wLTRZ`eU%oixApmi8jp+?e-1tw{m1TN|tq zg17fTdv8=zM(H=Y)|un&9(8<1ey-CfO&3+0s_>LR3i*a!PwzGrT8o%)_K$b6{ZF5c zw}kfY;{hF5&3qd?Z({4fF=btKW6(3F5-{Q&bgvVA_(%*VqP5tkv!7}F-d8_{{_ChZ zz`qqn*ZN2ocq4m$A$xu!`@9#vhW!aH;~8y?`N7K~D;Hr~MaFkk)B!~oxx0jV=Ct#7 z)`>@G-nkG4jTv+q*h$k+Msvj>C5-n53gsXpE6+sFI|DWM(6|KATu}mkPvVKp;E9aj zi7erH*U-2`(761eamk>$5(n%#NnPkPP6~r+QS!&2w^NKklu<5qW}MswD`~hfQ;zy8 zo33uF^rx6q^}?$fT=AW^Y9T%EOoe<1EPV(d2!Htj23uNJT--Y{fxM(JI>?>u#%{RZ z03PgBJ0@UH60jHWYG8f@?>6kAzEI~)&eknSL(M#BQ7 zj=;9I91*r^hYl1H&W<(XeNyev{@UeExdZT*k>1$iMqmzR{drr3*{6azpn{4kufU%h zZ=S4)d5Prla}nP?qt5yfm1V1-^kwG%HCbe=AmLR z(;rrY8WF#{uYqO`5iZ4PD|{6sWbLEFKa$)+aVMybAhs0b89MyVEwES#p60=`mHsCs zT&QdwBpfLCfiVydm73)zs4U_M(T9#A(iIrd3d4bg(YUnJxcs8I;sop|0ruFWP85b0 z0vJK}bf5=15R7gU?f1sY%N2V&HU0*IIfdVT0PQj4!5uz=*N%zb9qc=_vM{bH>RQ6W zJ)e!Z`?+&xA{GpG@_bZYBh?Y;E}x$jseVjw5lPzMM!De#I)TIOXmvXaf7!dDliWn# z@vYPFkQoW7((G`8)q0ZppAve|f0D#gcUl#Ix*Wv++DJ=Y~ht^ zaHQk%70cQaa_oXdoG;KLmfxXqcc8f-3rBx@CkcTL4O~nW!*-Z z@PO<6i>fe6gfPCsTY+ckx}hZ)an|lg`ARdUvhGmv-vX>tZM}TzHNk%IQvejAn^R_l zuzE49lbN<>Fi1DDFZL*+0(FGK1G>qbTg+`y&>0| zd%_%_3x4{(pGSI_b>?ORMGR5ebT-+xnq4|#v`XWOK^G_O2dDFkj!SKRAI2v`=iK?) zT6SEVnsA*@M6DNGo;Z%1_R6x@0MU7I844gU!uGXT_Zp95-(L|gnECHOx3}@1bK%ES zL5-M)H!(M%y8UH+>qNt!rVG5SO`I-~>@ulW!QKD-(X5nZSA9}ypVy=BDe=5_5)*GF7SX(u zumUS04`-M+&5(VnMoUs|HKk)^#HKb;68W~QWdae}6U4vsMXm_jH=y-%hq?_-m9od~j%i!v z9;!kcaZsGvZ6-QOY_;#0;CB$=p-o%^gNsyCZ}b*3x_BOyiFYk3A|Eiq8!*B{ zD>)pCV0!E{mi9GJTKOrVWW&YiK%NpTPkAV0riZ0hx72>)FG5SX>~&o4=*(?|enLa7 ziOVV%53Th5Mbof`C(?(fhll|ss6#j*KXLB?Hu2%Nvf;Oi;SIKdKT_$?xY}0R3h=*zlpKu8T9^IwoG8-AuJ)k*ON9~lDri5c2>)q$RNSe zi-4l5Bqx*Jf`ogiK7~Nua+#ols}?N;^i))+G`L(I^@M);u}l3jOLOoBxMp(NTpl&| zv59TZ-Q%bFwU3xgrgI!sp_0gJL`s1D(OzYw&aag~T(o}4&+bMUyswoNgGHX*9sUO46J zp3;X0D?%nb9P%T_SN^4m?KfEX9z@{3fCtN>xx$gU?=?G?+B|yNA50BmJIxMINk`rR z_ibYJ8XR+;|;raC5=lx&6P7?k57uy_e+JwvoHRMtMs2~^uliZpARPZ zCx8&Om*bCv?d;uqAIl@JypI_299+1r3M&t&3RR2-yp0C*gSQw4`w*XEk^rNI-A*I$ zhnHXFlF;3KhEryY?hT!iaLbZ|lPWHhVSKS|5)|6mz# zEUyfJ5C2l|?gd%bDVrARxFL>buqh=W2Y!b|V zuDyp%U*^+S#bx~Hqz1;rB93k%0e_#zs`K+qD*lcRi;2^`Mc!i5#nU@-e#;-OMWz;a zT9uA}$Ba`sN}Aob1$RVHQP4v11_`?!j%q`*3OjH@o1M>) z;wxb!lws8OyH<57l)*8k9_s_~l%H~nRd$**b~?+2jco`d15c;#hiP5~%LD?Rl8f%~ z2R6fYwJ#EBRQLKs$LM?*?TbUKW&C~KZa%mTr;6ffYQlmNdvrfzW+s2~fNKS2)*sHXGi zr=7}3#-XCpxf3dwyx0v<9v}SGp@!xmq4F;O^XR=Xx`*W44Kke00}IY}uMqrVTt0P; zf4Ibg9y1z2ral3oT($UuSC|8d?EFwN%`tktVlp4v-t|=kIJ9)L^&&y>n)^f@I>ISw zTO_{NRK4B$rnMD*!oGWM{hgH5(#wFDCIT6|AmbQM_IdyR1Y8HB_$vJ`NFSG`QC9S& zRR1*am=-^YKXCmu{4b|C$v-OP|3}Kl+h7JaC#7Fc`BESJ`&r{>NzYAjqL22n{D)H9 z?^62gl#lg+$rt}hd2K0Q+L2?7^~U-(tLN@W|1r@=9b-PY#=&jdnB`q%r)wgsUcN5u zl56oNzAYxpr@Y+{(T%w`!|@Hv5E){$9G`Ic4U=T?CkE8od+|sNQrS& z3KTR2f{l=%gb*Yc6G0({_y{IgnxKDFltc+8D3A~XK7MHUL81m9sKmq{1~7WQGjnIV zEzlAsxpQ~!`R=*reeQbVLD63bvFX>XU(zJ1X=IX#Agx=0Zsp7%l~vOXn&||dulhNU z7pvOaHm_UTwz{Q!UE4E_*v8MC0!|BPttTg}B=zNnrq|Vh_3ijo7x1gUB|p#3xtboN zjBFp6&MjcNq&KFTZ92B4TYm52&MV+tcT3Ky=NW0s>=Vz23V7Dsl&7kt0@Y3Dz%lTf z9sPDTo!XBK(WFr6$>!{=eKkbVT4r}F1WdSjQRnuN1bc3`H% z6F^=d9D46>dUZd(#?k;5!9juN=vGpX=XwTQj+*vf71V8^g%hnBUqrGaMWy;&so z5^ck-ji1pJTc%5$!m=1ZqgXsn@7Fu#{nAyFPzEA|Nz*9i)2~GvM%}=QG;~r&9SL%l z;VUD>45Cc==dF|HX_n#UH6t>kgo6K+;bJ<`?KREyPtz=e!LnVm8?clax~Z!tHW6?F z4cNxi&4liDgN|V6-yH)EL516-G)u5ploP7oiG6TxJ``+Ab9Eo-+*RCR9E!uwwH^Uj zW?Vt+g20t!8e_kBbMg-4pY#pqJ!%Bw@G22Y=>6g4x)z9AMgy8fzKP2{+!-Y7WHZsj zXEYmrLhZ5B*e5NbIxKRSNuKVavoRh*jN@?mdYiQwOH!o5L_&%#5u@q-?t#nKfRkz# znnGpuiW^^I_R@0&81B{Jz|UBY?(3gy{~RuvNCSGNT{{^J{Mf5q(pQ+wQ z%1PZ|jJ!i4Xo4e1?A^q2KyI*&<%m#&S=zFS@1E*vteY8`7=+J2@do`U?I?W_rjw1N z`xa%EC?|69?rSwPvE6WfzNeBXk+V+`A59by>Qejqfj~D!IV?&|W*yp)YASsvIg;?67bX zC9Hi9FnY1_++4^YGu}6-;e|+LW{l`Z2+0rO&hZtY?vGKOUHI_DE<#L?5eh`{oGNKy@9MO-@R*rxFF@REz=)MzI zaVRiIte|&|_;C)t(4XPo2&tra<|?Hwu_VU-N)+fw@E7GR|R`GVo;s;gy!rFy=24PlPPs><{5pj3q~ zi^&8S@H13wqJ4sW+QT~t(GZ@^;cjC7Dt zJ-x9!|2HJdg|BkQmNnpxyk_o+Cc4rFno9S&yFI}ykRRFKL;fz#MM1iAEvy19EO|zE zE$;f9dqp|%uARBJ2$3PXw@H1?PUXuwyF)Vw5k}}F-nHl1*5clGd5X-D@tXMm-X(4ZjI^A{owY^4|jdeWX|DNKHYWrENKQC znO-{Z^%Z^)H;J&hLUVDRhR0?-H}_y%05j{3L!GHNm(0#+rPQdX`K^$}IgevJS^Ch<{_xkhjcdY(`i~Z4Ocu&6*2;4%&TtK7`c*!I^ z)K295b%786M2{Tkx-p_WakO=?P3esr=}tCI_h}*>H;fLnL5DFXv`T)`-jKJPbn%+w zE0&gMrc#m9T7TLRNnMJZ3a|1YZ7E@DNg5qz$m+s9WrkDO>evG*oTbThHlvyur@$C> zBx@z3R)VR9w0E_4R0zo}6-HM$!EQk?K!rBE)S+9FEunJhmw{gLQy@XL(WPNk6}B{d z5tWy1fKl1@#zw-d)wy7fFKMZBUvcFkC**{Jl`1YnD1ZD}ZMe0vA?p`E^W0J5bn6X( ztZeIeuGLaRG&)Z^m}k)^dH@F%5KiWPVRIwuz)X|Ua2|}0R=7`*TBL^4VF&LCqNU>lulghrG+748Jkf~^uU))8W0j+#~Saa|(tHZZH9u=c2sSQJSg z+L*eCxqqdKDPOSMc>^pL%_@$NbZBX}k`9Z2E$V=Icw)q_0efMc5ex6MvAaYw$r%h9 zUZ6rcjFVo7>(E+93vb$*TXM&Qw7bc0@Hx8SSUWUFbdt*!-OZ(n1{$<)OysJC+MIod z3wH3?TEnR@&O_5bc>V}H;f;E9IHiTu`7cpEsDJH!Z+?#ez3)t3hQQqCIclr$l6L4v zATp^9WhrIjo8$E6)oUQKG%EfQ)At_j<1O90NBh~%LOA+?8;eScEMrXR{_c1;rQ2Qr z{A@p?@waWMb=N&tebS4!`}4} oGQNu#F{S@aTlin-*n*mWWv+dK$Fv7zwrEUW)Arv0v%>=t0YbyE9RL6T delta 862 zcmV-k1EKuY3DpUZcYn=q95ocrQbg4O^#G*@)Wf?F5^0m{Y@({^i6u&+qHeX#23k&$ zch)m8_1GhSBn%h$lla%#Th*5E^LTc5fQBO%7|HUp{XT#1J$tUMuC9K^SZlvtZ!G~? zvg*w6N*lL0=+36qxYwO~zhdsuE%~U9IT7OI1C#egOQSMb1q%9>(%}Jx<3|XDKOJ+EQt(HBI!dV)PCljieaSDu4 zN3vAXZzPziNqbj$N4b#PQf_pK6YS;$1C(pS3mv*8*$^s|ejeyKzW@?c8C@7wmSIc7 z7g2iI1Q?ZVu52XCTAc~z_?+fC^Ceda7E&unHy6_{yM7|w(7{sQ+gQj64ZI%?rvNp_gw zWyG6Rl@uA9Nlus>3v8uxiBO-COX1D|E!avCW9=gb=BQpIAJ-)UZvwL#3M-EaiA9lg zp^d4Fn15R;nesWyoHxL7RxjcRNr#qZD`~L^*rE=YhbKn-9IzMG88P!t8@o+3k(|Mx z;W;X##W?ANxD2gvH20>dxjA=CNV}c%*6yGiPPIdGL?^jy&|O`sFGIV8HzsmbLaolu zaKWy9x;(oO#(8M^6VGpfC&+(yG^Ux<*^4OO!+%!iyZ$`_^u9H@4uPr9GSpV?C2i5E z=QOGe4F@#XdzkJ&+}i^^Orzp2Fg^8XA8+Z=BibEq&4i=xxv{9E$TGl`?rsmZQ~JgW zfIr+F(%}A1I^1bme>$O*rr**tKBL1}uTd~OLnmmWpo*Tbvb|MqV*B{y!O3VG+3sRG z)l$`#o32|G*x^k60;W`~HUYc=R0JM|Gb!>aNSyhd3SXwZVV9WL>4>2KF9= oknw$n5mWm2w1xkLmMy6HOXiv=t0b78p_W%F@ diff --git a/cpld/db/GR8RAM.pplq.rdb b/cpld/db/GR8RAM.pplq.rdb index 723fcabc84c5d28d091f3cfd28fe2c17e6cd2021..6619eeedfb506a9cea5d51de27dcc01276701b45 100755 GIT binary patch delta 91 zcmV-h0Hpu+0rdfpYXS9NDBcmNRgYrkBe(iyuXu|t206^Gs6y`{G^*ld4Lq$K!_qn00000|NqkACD#A| delta 92 zcmV-i0Hgo)0rmlqYXSC=Y&uX7U|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDd4MqkA yV;{F@AjKdA#2`g({yr|QLGk`hUarmvwag40Kt+>o9_0ZlgBu7@#0UTY0RR6H84*rs_aPCks1U05dBC69Y3q#Kpqe1i-?|0$}1`WM*MxVPa+l zr~n;ZEsTKxMMGmN05d>E8lV9*0*ISfIDcy{VS#;{0Rb`O`9A_ujr2cyBJ@A%4tnkb zn?)erom*LRc_FeJxygtM?yY0o~k* zySC4NPd?TDZ~eu2f1Y&}b#r&&U`WEkDqlXoPRlP(PcO?)d0Dk2m+f9%AIiSCU8c%Z z78e&MtT)?T&d^rC3i)d%ZKf=jLZ8Gg9TX>QlNI-lWPYxdZ(eJN4;Ncn7X!Vb^(+c+0v- z6!5(3D5mz=CngB(Wc+A0DGH`2z5Fak1YR?}fln6;>^OYVcA3^hQPErKKUbThJKvz5 zwmH3x&P;Pahr;cj0Ihh9f*R|EFTc!g8C|NH$D!5WRhh3%VnB1g%2hq`ZiNm4#=(=J zX^>P2SJe3JCzGs-Avy#J4r_FM-%k-}r*vH&S?V~D%P|5w?A0`Fl99@>SDKk?D`F&* zH~*(r%BT4M+p!izdgpm|K|Y@{yQ>wcbzNPpD8`OO+@%iOes?*W?w6;-B}2kECHH=h zIgzKMw6_QtF$xb5Bg-C^fFv!y;SDL}EeuBYf}>jXqmT8JgZ(5skYwwl z37Hm>x5S^zsYfyjA~%_o{s=oHD1f^Z9FRk#E6Asx3op$LcAa zrt+nrF^%Qk@$`PMD^_&9RPDZAjKRsGTd!L-STew1TkJLRL~A9&hX$bLF{jh0DNka3 z^k6V1u!p1ab~(q)&Mk0I+H*I&n&^ywbB<&aU6)h;vewUlg_YCovDWIXQco0#%*eH+ z4!rK&e^zPbcttrnoZQvT-a?$k;q9SARZ7N)B~P69Rr4Xajq(7#b#uIlUU;Z9i#dHz zy#hUo1rix~rmm1UccME<(iu@0hY#*PX8PSaL#|AtYh*jcu8t4RZryCMm~579m?ruG zE6OHs4@NeC^6CA^m^+u3ogjt5W#b06pC$t?cY)gvqe7ciHE6)un&1#V41GU>bkF3a-N--iBJQU!xAB93H; zBA+xw^#}C`h?9b~19 z+{KKWs;5{gD3XIDZ~pFm%Pg=)wH_n&+X7>Z5N(UhM+;P%4VHVSI+4SPCsgHzu_#UpVty*>f~PK$y@kD zntnK+H%mSA&rLichU*C7Un`%@k2?}L&c3e_KAyyDS1G%>$B(n8rp?Z8mvHYH(dmys z@Ab81Z1E63%61=@4=$ln9y`>!(Cw= z1&?3-AI6Ql!4sS{)BQ{^h$$34s)M0CsDrX!s>WR=>GmH~8bk)iu_pX9ud>-%geM8^ zQ|eP^5;`9GAHaQ$_tnSsrO(Jyv>p-xn=_wqTd8+{if54t?Y!vNNRQbU8d}yg<<4%H zbRD=Cv5=%xQYX~$`zHB@9RD=^{|&f2a+;O)hH<1u~j{Q&7EecAfWf&LgHKA_LW z=qqADH+Fe>Oq<|kPt}p2WLK>M$8wV7k!-50Fod%qmJ_I*>y^$A?#W)nvsiksGC1f@RRoeP`p>gi}25tV^R2BX$>^CG}G3 zL~~Q}!c2FY10}=}h08^JtKHGlVV4$} zZ1NW6#WQ%{+S&^g)@j zn@@{NYHZUArhCz9)H;hxUqt-D$+u>iWSSvZGpiL5zHKUJmR`>(Ih&~lALq(REcOxn z_jqTR!h9*kIVS@-5x-BC*zXyXJQ+KB`}FqLd=YgsgPVV(fYUGh!hHongMmd5>zokv5&<-g6XrcFca>yr<$va~h2UHVsB zG!P2{syIzY+wp6elH#4^uN@?9f2pw(JKK@CFAS(hp=`xW=5JtG(LPbZ`clzE z?u5}%YQ>m^EO>=YX9h1{Tsnc%y~wH_RcG<`NFmLXco#5l#9rMXm5CAMJ00J#ET|D0 z;CO{=T|1`T{%-hB6x8gy7eupyp3-?sQr$`18c;LCjs*Gk;Bm7QR*P%qS_ehIV(cmR0tV)Dsvn~m0bYT(J zyKs(cmZzvv!-K{uD#lP+LBzG0XpUjPzE|HqXpd8Lg^FkLZ0sOx&*H1PsA+OeF3ZRf z`SACcN?Jl(z-m4{VDKgFeq@+lMtp3^H!(KRU!7}b-el%l}q-e3|WA$!3l0K#CJLCcx# z^B5v*&l3B9?s_aSI=^-DP->1oYnbob+i60xOunuaYb0Kz;We1mrtVriSx&LN7IQhP zQcA?c*huR`5SKlh=_r~Q$6Cisj6ZWJnEPy+0^Ww^Q8Kg4| zMY2fpY(-{nb<8DvAm^_RbRE^~*fi7V6{^1US;tTPJaLk>)sFI_@=5J>lmd;mt;hsS zj-GbChgzi!@FWcKv=KxM2%`Ssr(AdKX(#Cr(S+P25?y zMTG5z#X`N;x}4Z?Z`oc*frkiGT~TUbdxYjPHj@2#+5GY2o8|GlUaNpYKPv8yow<>< z$X#I;i8_AaOpylBFm-BGoT4;Mk8P&RnCj8yWpE!HZjsMX^zta;KioW(R>G?Ai+2&x z>Wt&j&2IT(Pmsw`W zOcnC7&%YvLszV^tRN$Ai;Ft8^m(<{w3=n6u&~td7zJ&EJ>4txB#hbmK%3@N?wsH9Q zZLaT|`{tLSrlUCAP21hEZO5Ub)Zjrhm+9erx@xEz`9vr*_UT6@*7_Q>ZP8E8VfXUhrPoygN^Ll z8}fCmmfeVc0R!K$$DwF(qL(b<$me^jf7qAH?aUiB4#uqp>%;av#nC&y^mqdwxE!Hx zO;+$vR!i9W8|7J~RlZtM@*LfH=V$xOkyemv2!BIX#-o;e^$3s7jo6ADHGA!}E< zJM(j$Odx$PXlbw8BQQ@XF`tJ@Dtpfo)=PV9{KnuqtEZ#k-iM*J0+xq5l>)Y&DZb@y zIccu(a)FRjKrmluhQ(-yHa+|jPfpBi!sFe%2~jKwMZ$J2{M(r!o6O$~wxxW|b<1}% zLnX(2&Nt^G5>6DoL&y_D$_$;Y>@UGhmR>Oc&EK?8XZ7SOH3#3=`NrlqR==_MjoEKZ zeq(HPXoYI%h^0#neXRxoW32`SqrDa5h1iZit=9dr1co21HkS}pw=z_Keirj1Qf;;b z5ueS$4736CtqiNyU3p3z&jD?aC45x0hH``rLpmmmiJW}v6} z2zqKwmcV{H^*_hfYB0X*INuHuT(SP-dVGBlXUM->u$P?mjx+OVVQlxkECyP^VTH@C zf^7m5>f<31~8e?oJvIQK@Z%rwC}`Lf5%pE@IK+FCPWPs6N)mSSlXO zw#WX0BA5j>4O0$1fZ{7Rnvt;dG0#I!>O>;gp@m#sD~P@~nl~1^xp+Xb9WlB8D{z@A z$Cv~)xDiQT6)B~DdS6*HhembKbvr@rJ*`Mp~ajN&Yl3OVu_vmr-E$kulfbj51-CnUj&p@jw1J@m>8qj!3 zqRx%l?kw{f=J*Vl$um-p6H5SAw07qr*U49ri zQ5E1TdS481r7A4UX_38%* zi(^*lw^=SQ9-_9U(!g%W;JBtQm9x9gd1vSHSidg$Z)p+F4pm?sx4W;Fv$|_l;4aD# zKt7%(uSxC}wWD4xMq{j05Yg6ZNT~m+$*JrGw$~eMB_(uBv{rY>M!Kb1+}PG&9t{a< zIF0|45C4~9aOFf%tn;cM@M6Nq#7HnS7rAU+I+Lx>5IYMraZ5?qTsvf zj;CAL#)9qadl&LYN|VF!5gCLg(4y)t-bIKFl_%orK-iLMF$6^kU-@~aY=xDm=nxC5 zX~oG|yGia`n^Oeewv?C@&@{yYU+I!y_^ZkZKIA~gRwfy3p~D$|plwo1^GvlCJdPNh z+MC^XKU2W432Pcp)i*4sqxAin>}KHV*GS4pv+cin9pu}0wWKg^5^DG-VP6RxG=`AN z1%+r!X}PrKm(^2{y;Wb{xG9D$LwLk!KYK>HRe0Xm1?!9swAKCkHkz&GBkW-LXUqF+ zvaAGrB9>!6l2tGV{g9K!V?9~ z0PD_K#9q2rD$-$&sDTARq_}5HKm&+Xd{`5>Y4PI9bGRNG(x%&|>K(gB4exAXCyE{j zA6L6YV`fW-Y_~r~yDpG>gOH_(xy%XF`!aYaP2?PI%Eq4`6c>P%W zp@EXmJuisWy(;4Yb>Lxk-4ckE7&F;3gmeiT#@HTD{tgEd!MP8Ou?ya=S)}NP&C91A zU#E~7WzMyfDydUV#0QU-6x9fl6Q%}d3{SP=!!r%*$-gx((+GeawBS#14Vx+`-S~Zh z|E}3GqS71BGtJ0O5eX&n(r0@5hdt#nYTX55qcR=W(j#+gYTiCj0y2e5NHnZq_u#ts+cqn(X)LQddCxU2;GCZb!956z5Zw?0HZG&d|pU!P+)4%dep%y?AuJukKw?1L_&&TJk> zpZu#fv{!Sl)9yf|>R3nAV=lMin&8@QyCHKQBzOw_bP9c8j^K;pzK>9{ONq$5G(aYO znTq3(SGwyjNK*v8D@r9_tQdaa;HkN+IP9+IO(SU$7dRHFsbtd{>-(ouLxp%>yik=M zrl$t4qeX^^0r1z2P)^!wzQVIHn!`ixkI=;FVIb0(?IniMU+rcy9f1vb`o0miCwv~B9vN+XXlgs!AYjCuzE|aO-mD)R zt$85v8o0N7QTpyjTywgY!#wl>q#{aq!F?neVs<6wD84p%hyRI07~K22(5B|&bG_~J z0_di8^}LJ7JV9pklCAW#YRg=?Rv&S2(^Pi5SKVxe&zc5=i}vYtT75ZN8gbfQFSya| zFW37oa;-v(b)G~xvs}routgnRnpFLN!RX$^5%A6wICuF?e`s&tM18@qDzd}0XGx&s z;*}MRXQLVQvf-Sn%Ra**p`@Cuqk?|*JGRM{^2ei?2FjdUe`&!DpDyV|LrSMzruNMB|2p|AXy$n2kwZimo&f;Q6rQ7gHgMz_q%kDi+ z_Jk>;&f+F(MT^-goUc5IH1xgQC%_U#=BKW0LIP){t^;=G-Sg6((4MIbTk2I#m9B56 zi9y|a;0ab%*J158EJNlCIg0QXDV=W`e*SQAa$S9P%eh8>cWQk>Fq;^~WOpVZG`%Y1 z-}&89M&8hi9(0cVGt|{5t8$`S35vksJz}085tGQ@>Q(e5 zz_mb@$a?~Xbh&A&Ltn9n@BiDvA>-x?$?)^c9IK$J?$K@?O}TH&Y&|AtGA)a@ve_q& zSbn~3wCdIeN#<59qa&-HHr|b6>LnE$6khe?8yBAp9B$tVVjU9QI*AqlV7(J3L398z<%%{j3{O7wQO`*FM3Y zTUk1GCKDcQ56xC3(KVwfW4CQ`sd`O+7yX9kF_qrxLjn7-vcv9uxN`^1TyDwY7o%Q> z7U>ItKqco;ab z+px+s`@y>zs^!-)K4v&iU7v^*qxB```mbejt!K0KC7sL7#AmO5b19~oB~#GGTO4RT zklPPs`~2$>9sjpA^7n)H;7FN2KmxAO>M`UTASBzLBfR*zUEif=HTvG)*@!$b3YdCx zh~7_^Mrotpr~#d0WRs`G=+v0=!NA^VD9Y1*aRtA}mqmorP+-I5M?uFcObsnQYYj8b z@^NjMU8IQLb=|TQc-VV%yFOGt(->s>03{+|bp-2^SFLMrnsn3~9}{(dAC72sJe+uQ zVb~sqx}x6&jy`%7=h+heUS+XVOTK{jWqvbVxZFC}12gp1T4+{FzxF>yil3(GVKTi> zi+y+06!P@d+HWYQ!_j%rEPq#i3E?k{2)E2C9S*dlTfsCI{_MP*t7>IMKTH+(aT=lG zeSv*U^wt~nB8LPX*wk*8tP21+XU?O1;u&`LLLh-J&2~h1uJC&Icl6mbiD_r+??!u> zQT1apLlg}(v_!dyvzLj)td{G9p`KKGdixd*s=4lXfAZ+I7!&hLbPiSXIsHuLs%LHf zGyd?~Jetm+xazNsLANYkZdEry*-ti-bEtIWD2J@akgr+Tz)=ulRd4@2Y+Sac+I`X9^O*kLgOE-w#rRRGn)0#pLXoK zB~!j0Oc2?5?DmYs=N><4NxVhLMtmi{QjT=n9QBON`gMLftQsU&+|c)YyniHqlB8bpSPh>bz6&q z9}gwX93OWhC1RgE&|m8Wk4HT^2N8m)AA9r)=T_5#3?FDOFQ3`gpEu)fC*M~*t9XBO zc^O$0K-1`EYWbqL6^vi^OT6`d(>-8#8`0_RI@?-(aC@=qJlK1PjTD@XC3=$1-NLS$ zZ6BXaZ;CIZLZkNjDDvYO1 zyt39`jij@9GFwFMv7BkrxitaR1iGDIjrk*~^=jzoJ?;4z>#Yd;w@g3t{iig4pTZ6ZPhuVM~A)Mq9?%Po1B60)11$U2TwZIvk< ziW8K>)cnIiX$<5e<#$B%%tH_dBEsn6x0F9+g9*pqZx#|>uSVN4A}SP$d>KTvGMO1D z!fKrSIVrBUH2)Kh9V4gG+0~YhTDYDOHK(05!kSPlzoH6@GP3O#E4{2P!p zTIwocO#S%UM<+tVad`|A2N+~cji7om(x4-C`ln+ zRa-jkI3m&^hjU8QGYkW?k>y%2QQE?cMM<|@2T=K$1lK<*mIGYLkuMu8@G?v_SsJQT z3fsRu5Xo<1CQ+Hlm(LG`WJZ~I-qbg6XZ_mKgHg9t^2BG12gkiFeOMp3tR=nt+|P(z zL9zP~{V?L)EsM14n2LFRX6*5C!o2CD4yRAO|Jsl3>Am^Ee4w#5ADHX^ND}mDJVWTs z7wt8?0Pyb3yMSF=gtCEXG=}#O4CypRShLBSAR5Ml0miBJ71>{$)F(9`r~U`bEBCLa`U9&T+X*%Nzx+Wd;NR*dt zJM#~EEgkBDKd);%uM4k{9qJ-GuZrE=4*CK=$Q9DuCzN0-gg_7K;w_J!)m;$g9~#2i zk+2nZBV!=Pa-I)PV-eKFKwejWo)3JZ3jCU1fNQ<*TTdQ6n>i7*&EGs9)W%}rH#YM- zgtg>61iZ%SKy^-Y1IVhaJU#HWZm0{!JpRc%eu%XOgte}`3*(tjxV3*!7XmYnEap!^ zk90wgoHLJX=1BgJh(WF#=2vXyRzhzT!t2nD=|8PEqE?PM%)b!U)S#}Y+<6f;ko+?Z zXS%`HzSV4Iit!qW0$j6%J1`o1upcfXJ+C;--vV4a``$#a32kP4q1FtcE|&8)?MBys z+9-RhHJ4nkuzsz6fe?Y%3b#~sFYBBvhK0%@Fe>C z;ovQkEOd^FS+k+ESS-S&q}OT+vO?u@0?+MGP1ERF(nP44$QR^V*GYn@sS$Lee+|# zHrX|2zQky(sssi;H;mQLP5;y?L;@T((}Yb#I(W1S6>@mT$96$&l2G>~7L#u5iJ@Y% zk2(8W4nO5tnyeLhLUZ{*% z&gl=kQe}_w4PLU+eq(H|rXSva7mP`8%M*5vR})c{6)0-Vz?N-v5357g$F5d9f()>s zO9su5bk~X%dTnDbY%;F8>Cb&#CFod>Bf5Gk#i@!(MVv1EA57zo_BNVj2}PFgl^MhU zMHuraf}AKgvB3UYx%j&98E`p~!%*KtfxKr z@HEDh*@%SA?8sm^OHH?y2{FJ1VP=>%4GxK{^x(?WUY%hTbmKbS#u9_;(jUS)l3G3fje;a? z08RB!)d=1wok}SSs5kP+$j%{8wxeRyDDtBU81?TLcoI=XW5qhfgIMhR(CxImpBHI> zPd%>kSXd1em}KRMs=hrn)i`SOp6@}PN=^z1n7?vi4+Erc`VkUwOSz=Voqn%~8KD=I z7B*IFi6xB~;{JlRy!%*YY3&%Apm#1knpS?(MEiY@9cb-9+2G1`jy0` z-yseVKGKgLY1g{-FhqbmV-K^UH3?FagWuyw_=>r*4njKRRky+z;QuA_C=LnzS2-VN z?tLLZ^FclsPSs#3_c;j2o&+!G@UgP29aBW`Er^e^P=cf-|QzpTqWYW-Fc&Dl- zh1|}gARhiJf346NNVSP0tq?kwnSVcouH`|ZiHh2m5EqslELKit5S7t&9R7@@GFg_c9049E8K9r7j+;hfIO5MTn1hRDh0b3-aG=1 zACnm1Jfv;?tB5BP4Wb}GN-9Yst?_Yi&`1*n21jg705JC$5Z8>?= z^cX%02RA}Kh7|&ZuQp<+LE)!ufmXGGlZ)8tC6M6RP0h@3+?L+RjzTzAQ^M)TS14s3 zsLx5}!nioL*x-|Erhf`byH>ZPr7RHXmMmid59;NYrd^O>0(@0A^yReF_#n#+<+K%J ztCfbwsC#8xxQdm0mW$5AOIgo~=!m5vv+vf><3J3&X@R2_y2iq%f~d>PEo?0wQs2=m zQ5pFK=+-C~?H(^I>S*Sn(r>63fgpOK0-uTBxOW}C3C3|f4TT=zg9pcq8fnltP_9nV3E;Ovq^bxluj^7?L{*s^|7I|G52E8!&C?F zJ0icnHpe_JQ^UZhaIZP=bCGMlqGRel@!+5a$CrNpU{On7KO1;aQ%Cv!XJ#5rpPp@g z0*&>f@#%IDzUDdOaEkLX&U0@PkP@d2#v>1z1AVYF z6+%$;=`j#pR~J)fYJ9oj*-*gD;@6i}Ul}Z5x~GMIt4A6^H-ie725K5#zD1K?hI#BT zj^St*kTS!L#Ir;1=oXVn*@^00toq0AoyH=Ub=nJZV1VO<+#?13SViQ4uQb<8ZmLE| zn8(Ojj6In<=B^+(hWQy^$epK@9$SToPH}Gcqs^AN1{}gKgMqo_Z|6VyeWv46#V32t zeHhMtNlp`7fNPLGP)G!%(~WT_==pfQNy7q%`_Qcjj`u55Zc+#s1Un70&X z3k8B>I^M0e&^e~w0cPMdZ-;81gw@?CESk*?|1qB!7|eCZ4PWqKGZ9$d6sp1q##8J* z4kA%7;v=MkNh7x|-sv$BLCzL-c{FPb0=zY>eXABN=_=hCPbYuU^FNw5O@n6Gy)M6wY;1oj z|M938({b)fI_^_GZUdsHYe=&ZpqHy@e(HQ^ zlNHuK=aMp5JbU;2m~$4@wjIR=K(mPH5ET3vw#Qjxp0TDg8OdD7*JDy%DZy+NXM`9O zNTLJ#v%uxxs1-T{#F3|-s4b)e{{)x0&Klj@ZO^R$8EG1}G=2~<+so}p0``4{Q6H_% zkF(Ix)-2rCyKMLLH9F|`ew8`65WY{~VL^Q5kDzov=Mo@7e9r%_$Y_!$#@i5i{u^lQ zy|!O@#0SuwProBC?pdlV8D_iK2K)6~CZ^wnX#7)?3sD~i&~%xh&sEk2gZzf=$c%h& zm|v5!sEsMeNKJpQ+%hw1JBlf^(J(Zz5%>>2ERC>~qZ9#werb&=xod#_N}h9{fpdS_ zc68Hrl;0NS6Fja$@SOnJ%f!azM?Qo^j~5i+TrHcxstq|VGY2-RWA!O1!MZ}&s|{mq z9bt6@VRae7gAjlZo$;`ySOCO<&bdl)sl{^)!@C9JEF3`KUO?dfi@?2sz}=6)J%^Bf z7>a)oihmJ`4-WH5HxU(NOYF267ve7~%AZ!BfmRwL9$n`_5P8~!#(QWiciakjqsP{; zRTYH%u9dWTEN1OU@((Q7*xS8AWweJIMWeOCBNOk|m2+RucGRz(n8;Q1a{|F*2H^!7 z@Z7+5D{4#p1rb~U-&$P3DG|Z=NCXuVQE9#>A!7ZflOUx=-7yXtt}6)qHMIE7mZ{aL1{~4U&@oFrMYLDli&)C=$F0@ zlJWUPLQAXPvN1rvPaPt%;CP}EU@upldl=x+4t?W z;A+!zfa%Bz=md$tdj89$II`t1@!E}d%PRCd)fdW6o0`*R(S~Y!>4%6du?EcKsBYM~ zbXs-?OqqKLscJmkcau(fF5CzU z09w@LU(-l-!l$WEs{o5Sp0>6#5_i-E{J*26?GH%gi^IodIDoeJGKdkCf8*VttXm%Wa2-gqZOUE6eLK^SfB<>dOA8wKuYUcy{$Ko>xe!CU}!4Vrx z;e}30S3iU-qg?;iL{D0|Y*Sc5+qQ9pV!^vbO%jEbQrlkq;%_Cmxp#iHQ>fkk7uw1n z>5C`0Un+&Vy3BQTN<>3@&VX1suo1@z+D}o2KwnO8o335D_XR$A((Mu5c85N>@r8yv z530AxZyL|z8#z}}QoAScgPnV0NoN2pG5$jv=w?`p7YXh1?OJy;yhah^A>ML{%ezngig2xJi#~^|SK61xXE*0jV6k)D8F8JNd z-j@d|VwHs%o%7Z*fBW+Zw%CNa%pbKKm1IW@`3X0TMlj`=7SmvoR1Mg#XoL(XJ?K#ieNJCQH{bx(qkY}3O4&az(r*`OsC~@^Vssg;C{ta zh>}wwZ~0FOllkXC;lyBOgTwsp>7Lq!I!iTbkF2SHzHM4`E;}M+i-f*I$%twbQq)@4 zYYtTzpU4g)rZmEa`E4e#5vRmy(v9bsfHwy*c+iT;^k|Rkq|rEop!GdMn@}3np*ck8 z#FL}4Bz0~T3}uXVgCRd!^WAgR<`FSME@lo;{3OUd#e9X`4)W3BTPM7H}|bL^^ek-i*sN3u`~G!=BORmkfPKhXgOscyKIx z#D#JsEq3dJtg`-qI-BT$s!Pntg@TveWStO}o{rXBe!xe$>kV+9VpP2cqb7NQ2t%!Y z#F9qoiuiTOm_QPN^@q`wME^oecUMgJRIHPn2!#UkyiU&6?y2`(z1-NML{Ku!L+Veu4>W*Vu8h4u8&ZUga}zRb;=HGI zXyc6du%>taxPsbA5ZMT&Nnl1(k&F4YLqZRU_iQ({^LCh6E}P#zw2t;&6@V{t*g;I$ zMy&CDb`TqY4BF0MZHjd7hkCCfywCtT4eLvpnK6VA0lC3Wev)n@edx^U}V&D?pCA0)~O69fZ| zwwWe*A46yx(3;McAJmf{%*_t#$PV+$3hUuQdE!Cwb0N8OBDr)U`8*Wk0|_p0`MN~E z%|p9EqTD5JA^CEK^B4?wUkG>q8}5z|^LtvpS39fA>K1MPd|!`$o|jkqZoWmhF>|$3 zbGxESkDu}K!qdUdOk%{oYE`hNpyEoLU^9CRYZ*j}yn9NI%0SdsB{Zrs6Wa?XO~Q4Fu5WJBWg;VvLqUL%z&rD#ZJ1B;2Wm6#ID$S#o9fBU`f z6MHCGo?F3zL>~$wn~qSnuj-LIx~_lye7S$X`$X;+Udc8``;gidxVs(XFdE~>j|@4! zP>2Z0s5z$b2m@$*?c%w?{<5eX{J9tDrX2k1g!atodalCEtq;fE*m(?zf1r#DU9R=+ zF=OV7BCX!%WByyl-jfsg@NeHb_Wzt`62bMApAyE?=Gt202*CyHxJV=IC+tc8Do!sM z{dB{O*NAjjVh-Y>9gJG1>xW;YBT+&)tsN7)6vnQ=Ahr&dU96ecWh1Rc}r?HWI>;6|Q5#1AX`LF^h-AJ%EP z!4hRYt}^JKM!-IT-%xTWbW0;`W#zhnPi(3%8IZ=$4xLaEVR$zv^tXB~hr#svNb<(t zT{8(w@!*4nx!t|gN`zr3Y?$)N%Zu0S;bHPTV|Jd^*mUc@8b_~z*UN1B{QTtnM7rB@ z@+#(2`w^&5u;cf{_If4*Z8Z>`KQtoT+}e@iBMz!4a6D?3AaNAS9_k?6?Qpl~pa&`HaCiG%|5B6yd!h(+$SCK_DJz=>Dk2*$R zpEhl``#`>=^w+DT%@nd2Qg$nPE>&gh{f~}l%;j{|e@#7!zD4-cWY;;G{jM0^@BZ+&gJx8|M&AxlZ2o)N!Z~g^Sg3Y#@okWj+3p##7i+jZ%-F#}} zcop{F9D?5+6J|aoc~t(jIUE(@xjVn7QK(SMiDaRf?)&{R;(z2qbeGEC>~hCy@|mz1 zcny8hyK1ykEZ8+0~!4+Oc2im?}$9a%*WYct*pxOZ!J9NB@v# zsZQYK=9G4ieNe!Jul$2_bSoJ9b#S4uOHD7a>&s8U`aq+9muo%wmX=7tdPI38`0ZB= z1yO8VaM0~DE&1swH{`m%+Fm+vo=J9WXWUuG$OcVFkN9}s29@|zvf1vZx(MTQ4w6=|i?#JzjlV_{|3u8- zO-Mcb6QnY*!QMNE?k!MhsrQCPt9S$rXe*>eHPLN)T~(iy9Z}zwa5Vw7#mzNL>(U=; z6;&VPplc!;TXa4Lmfi`^2Lt?}lzWR|!3bGpF~b0Ip%@+742K9A?X_r&ukx@byzNoB zi&Q^!Gl2TU+vX>5T#0ped97$IjrE%5it*xy4y=Lj#pUR-p|V04kC`!vcf~ayqOfWJAZP=7yB%(C07J+0g?OE_+bH`>@O!)G z*OxnJp@vr&h8niuUWMNB@~i9Og{5hAbz4T8g2r^V*X4%R6`!kVwFh~|xSU3invzr> zDhe8@q)hm6KtNR>#(ixtYLGN!`1y?lF`4ShfOOzzSX1fMo9Wcwsp@uG#4K*NsVCm8 zmw#JrRn~-fgV^iO6V21VjM$kR(;I7G=dn!tt{Xh6*~>yNItZWO80gjQPF5OSW+mSwA0|?#yvzoPbyqnbutJp({PnvH+Xgv$zqYEFiMU;pWeDHoQ=?@Tw=$JF2VGfA+XD$X-`=Q7BZK`dper?jL)J>uih`KRpH!zm8&* zm*|*oX)7527Aki+$|hi{b6~Q6^YIiYaHQPB+t|;rj~j;S#U4PUiqiY=?2Bcpqh>;$ zI$_#+^xh2SV(rUpH#`c!<%`rLc)#Y9E0~_W9@eAa1TizE-6mKCNm1+Wp>Bs$|7FlvgA)q7~N*%mn4{R}v5OHU~8&tTq#&LW08HQHtq*zdKfhYn6}2$k<306SRf z>M!h~b7A+MmA37p%M1t>5Mr1WYHP@M&$Hdb5151-f@Da+Ff<^u4$S`0*~mM%inHa< zU*&I*Do;tX)|iULpC9AYm31s68zfK)*mu}7SM^vO{s+tzPFVa17ffzR0(zrhh4DTATW~R zkJe%VniL_K4fu?bGqSj_N$>NpZzmHfu86@HCnC~vvc~;86;bpdPaUbaYfQ;BhIyv> zKaA&tmOmPksloW&hZA-v7l{PFGfX4xGsO@K9YTU8e_xM!gNZMuu20Ifl8Hyq{MccN zOsTEAetQT?ZMT;yPiGraR<3D$u`pufPwiFYB`pL#!>y&P^&i4PFI zhBsvFi@lw?EtzpoWwd+eWF`@;kcCp@SF4OK_9eOj@#z$dOCugp zyH`jU4hk5W@-U$@#OA`jRs#vVG$}JqMOR*(ab~Y?63`FP`MGv<=|{ITs0Gb-uyVT}r49 zYHoEr+-^%EFNw4>L2QUHbJ#{T+iDf*4mpG+-%qqKAwN0R?IV0KCz-O$b`T~UBT1iJ zBBwLZpq^(0<-iM>v0s$r0a3Uz_DXGfFQU;c&pb@6zR*tRWk3go^h3c7M8jTU@?}Cy zw=)z)!bffK=vcT6Zu6)gH9|ME0pVI-&%;>*_V3*94F~u5OW&}3->P_^d{fPrMs*%A zE<1trl60KIT5L`cThg($4AKQf!=?Ycth{QV20lt1>5tIECSTGt#Rf9Qm}RDQH6)fI zC>G~sIE5~JR{o2{WyGQCyFz{loWbH$RYkm)yrV)(Z`Hg8w{Dm1Log8bh55~EY-_Sj zGtO2&(p%(*O3tiGq>cdPkaBN_?_)P=6#Qxz!m*V)2gBJuq8hXqa(<%4^1qQanYVuj zG*D_g4LyXqA#Xshwk4bhDV#PJze(p{3d?fKbi(CHrsR0*hl}5Y(IYC}GgJL^*O{rA zsjlhiuBt%+0RgFm2mLM`zc-<8R0TLVn%mkCGBVRK&@mDUIh$J<6EZO~5i+pRGcwUL zF)%U{Dghi^%#8qq@&-nhgp7nrl7wmiLqbtwbEoe!Q&;~Z4g@5e^?w1R3hBT2i|4=S z272KQn~5jdYD-Rd1)mX+i%7Wc`O{?7SYehgjq@*V8fhl;9~cy2Hlm+o$Uh-bz$mDe ze_>q7dGl54rW^;r=?*4|3V=QzZj~>43kt|9peRe+eST@Sm6xZK@tKmP#Z_%Tl%7?8 zZma2eKQ7KKj$f?Q8V$SPg7)!optBvbT9U|#Sm2ZZkR&-Vhjlsdp}r2o2omP{snAuc zF64pWo?3^{q#rvuJzwC%(wNuRVeW)j6Fn%g+DHNE1%%vQ+BYwLUR)pzkFy-{|L{r^ zZHO7jG+_=xM3_SNGvJ6$4+hj*lWynmC3TrR_D)vn6auo5<9cq?3LQ#c=p~uQKGjqa zcBgYULj_T_q99Z~_U2Z_BVqr}}s8RjzeMyN)~o#pcj_pxF+R-N@z;nQ4oHS^&` z=bVd-=T^xEMVCA|_p;U{w@bRVp-F*+}@cRGNUJ8s&H zyL-g3E&dja?qb{R+NAoZRI4Y%08fE8Z)fQ=1hV0Uw1S*0OFa5SRkmFP;W%Lpn zd5zpU0f7G)SP~=^yfi<&Hs}5rR_|79prNH2LHFkdt7!$dWzq@`%p+p&X3JSc%otP> z(uk+xd^M69w#xr6;J*^}9cb*7?39o%CWkj_gc)kjDx8@shVO3B1aBguGp0m-vmxw3 zKTY8B?G4#sG@-UM_BhrK3J?eU0T~F#+}aRR16M z1xv9TZc=f6V(Ty%f>_i-`;pf~?;b1~BB>=Nql_9TuLsTJk~!h*`&;3I(9vOxQGaB< zU;JsBp>Ra{?~E2`T^`$M`DCsoYl+^SJYQG9PgFO#c zAjJwgF|&h6wu`_N4~IVl*HlwUpK3OjeFnY7nz~+S50%~m0nd%$70z$3a?vuJd(G8K z#m;3fg^>B%iXXkEQPIDR$bOMT9=}X3gW{k1%vM?Bug6{<2Nj5RQE z*{XzO0svRNgp6Y*-lARNm%4#%u@zSXH80!JsQnTnWgYf_s zZO2lygVko!`~RK>l3L=!3r13Yo9A@Hc83WVVBXQPVsYpWo9_KSs6I%vM$3_j_0olx z`iiq%v(GXKQvQhMA#-i_a$F{q^IiAtHhtlLOEUmh@SXR4Icv(IpKip!Y;Di`__?O` zdhPPbzj*mvVhq|oz~}PSIeF6UfF70jR2afZllG z?Sznz=es@-@WM^oy(g!h>-0w2!uZMibA7tRh{EfBXyxm)Tl8Z*{V>S;f%=PStGvb+ z6TqBit_P0l;5Q4{B0kzWaK;|**wZ|tmtuFqxNG%U`nZM^vTOU2^oeGY4D})F7Eyi= zi4OisY|Jq*=(W}DPJMRqTPMAbEX{f9T!D|?;Fa%Q!S6%-yT!MsNXu5+^0_9LrFOpn#1xT9xECFn0x%EJ^y7Ms}=PKU5#|%RVroGn(yUfRY<{K{?2qq{ps{}Y4tXG zH&A^ueJuKhS{qBae7EVOt6qaaI!-8;9-HweAv>O(#Mc+Tk8bJ^74j%?*+h5NN7L-Y z@Hu6i;NTuk5rbQ#ADc7m`hp|X z5G*DnQG0cRj_z&dyuJGqhwT(-KG&VNwr!Ps(7H$mac%a#2h$dkWXz+6C#WRazqpRw z=uFR4N!?;a#dukdO{)ET%M+bq)UsURvMMg{+SKj?_a-Enn|K|H>FEFYnpTB!PMv$hxHs$Mz#fX?1)5E>=!p9(KGuv!J;Tl2 z3!~_@yL-ZmnJZ~wxYILpSBF*W=(wmKKBsfJZjG z>>^D2Z+)WBO^l?DqV)qJuBm^-EG)^L0J1PJa%Mo5hiEgTY8Co>|B9hE_Oob5go_bi zbJU_C$;@Kn+4iIDSPY|)L@%jRBA9o_*Q)+wncowQzEYHiV7DY2k1F>2z3ni=+nA*e zGs{neYQnFN%3?{~CKnxUAE6%-$M2ys&eDmZ&5LhU#4@?GPe&VB+05J2^|WHrJiK&m zvR^!EE(!(sNLVor|!HSr5U|c3j^}Uq)Z{VqXR?Rn=|x z`McU(2tLqlkeNt{$T#VadR~}1z`%L9VkDd4eRlyWQ-psk@v(p5)|zLVOUaabQp4|;Els`8?m!xM>r zG&yEBCZkX((w8g(j&|;z*h}kXmnNNyss@#SLppp8ZW#_YA9L+x5IWU#%jQhyC7_@k z)AS^=OPZ-fu}k;?p<%wzeUN$6?9_V8?Nv;-f=jMPW1}VeU_V~ho&)kPB;DA+gHq0$ zfhpDGe4!FlO~$9CT~#64uE}Wnu^l(Y7zYbbynsKU^5heH=;V<`mi>8lR((W~_bBrf zDAeFll+V3ay{%}ovKJ~B`uXaJB7!$uxLzEYG3k8ThUWf9`pFyPas7MQRfa{6_Aqlc zM$HMT2`SnHkfxfGR@ivPWbg>_Geirpn^hHHsuc?|Pz^9rFab!rcK7v(s>zU3B2dBS zn&d__!tkA16-2FMqw*IvM870MBu`4tH-^bjQ>k?=ESLieA$##)OPhWpp4IJv(Lg!ZE+K;X zppc>nc^8!nPowTc)@;|1TSWdpX%Y`Go3&^cthfn)nq_O-6%I=N%U=iNgH#V?D|MM{_mBo7lG@`#8gu_6 z>YFAo_wOIsWl(oLk33nGqH@%J=J0UJ|#;hu!%p3WUJ)vcn>LApN+;cnVOn&5752$Vr}F*Z~|efBe`dd|-_sCsO@R3SO&58Z1^+8H;|-bjHq z7SD!$_d0aonb&++J6>udAjj;MP#oRiOg+G(DUf5iNvxfBKmhDfTTFBUe>NcRV@-bi z$xj9iPi(SahU*MbODe@4{u$bAoGI zp-V-3Wf)7);Rn-<4*rXS{7=R1mcrOSQk)20n+MLE;jPQ7Xlt0CWvv>4b*&oZn|^&0 z7Asdb-gUhg$CJkf9~+){D$PR3d_R%sePg6dXV=LqrkTo;ER!~jWoIa3ZH2Bwo=FCDLt~Z}b!2x7BnO*mC zHA?WoDxaC;5jYN?`WDn6yd{2*TR9cZdV|KP3l?9>Xm;8*9uDH@9jgMIVuC?|U~czZguf z&9OxjkE*Dz2rA?g#$zn39Yt2=+T>VoH|&L=Oj{|aBaXMl!% z^}`MBP%nv|>Eb(63a|@&?K7+?A@kWw_lVAGQ^7&C6!UV*1MC2F`z1DtbRCoP#`1rb zxgoIEQf*zvYI-0rhpdqv=k`%5G?NcXO<&%o*AKLrkGt!tbD=NE_>|+2u9UN*jyIEe zrz=E7^q|}H&ttdsE4+xz+xGt19q@oJZiQa1Ty1gLB%?=_1Xu(I#;IykDc!4y!3@8l zc9|Tsqi?Ym-o(=$yFVJ|!QdM|t3s^QMYug06*j01E2~!nMGQKJD1#sICG-#ava2?Q zM<219Rvn3!eI@q{9eGTT=nuq@$ctkMjhKU^lRGry-r=)sYb46ZOM%XWQ=u> zVWYp(6c{=$ZfOet+B+{W^TtQ6=t$e!*QMBoVQt_&ZS-ypwR=0oeVdY7^q4#B7uTFE zy{+k=aylzU?c=v&?&76sNZ$-KGze(g<6k}#kknbaUoH}m((?z^s=Rv8X!&gp1JNt# z^^hM!#-HUockd2mZCkvsmZ4?qS%Cfs1T`fk-8wDJQ7P=+zu{ReTHekOj*3ORdaNXY z3AIR?OY$AImk24<#BV*T)-QWzDCo#2Vb%?FR2PPDRJsz7O*I)>D}AlQedw~h=uLJ< zwKc&~(C}I<4mCBw@X*nRo&wa)!Y3(zPeR}`?rQ=I4WNngwuIr;h(6*v2JuvDTNd?J z4Uir~_5N*bySoi9Wp@U|?R)7G9*uA?AAqczZ~KQK^SYE@HEQxGueIgyfgbhzp(t0< z@dh#u1ub=hM_cr9XVP!dZSx($@!&~Iy~}zOfY{Hf>+#HSw|jOJw|jzs+u)+fNx(@R zt-p0Vn~xc8xy}Z>ku3cq9G2MDG}or@2m-9s*#=rQCBW@+N|hR+d|XRgDJzb9-G{| zcr5s1iTu^gl0YLZO(pEs z5Oo+1R5xoG;V?p}^<$p+Ydxbm4ug;^Fwv5{)E@Ze-tjqXCTZ8Y|RP^S*k#wpWx z806Lz(BAw0jo^^shUa66Oy#HuWtx?7?8YLF);@#$3l_f22_l2G1^@LM@&M@t7U3s@ zb0Ikk91>%3|28&Nkg&-V(!|(l8;mF_dGR^()#=#VPQ7l7F$Y0{>0Qt0Ek_CRytv?1 zWth9n5cgpR>PWfKXF1UjE+@yTc7PF8e=xmVB<-!?{LFIusjpdA@)%YQ@kE%M z;Z?3+Qoi#RJOp=!yD?uvZkQ^t?O+Pwb6@N!&X^OAxNMc8qSN@CPl5EN*s1AA_eS_e z*AA#*Y~1T%AjF=GBqETm4=5A1YLY0&zpEM4_27)_Ugv#c8<1(46xFX1h2#X;HXMis zxkV4q-<0jyCEIH3pmRFn$zHysD z6K#dPIEQJlsg*zY#*kZ?KB>)_`M$D${X%wqY(+n|4%6G2`K&`SdlMQV8%T5}G925{ zw@=Hz&DfPNfogiRjg!;GPmC;f37{4<-39=g33-E3fq@()$Auia!7Ss3p0lo)HhqGb zO_m7eOUH6GACMBvas&?uMWx4KlJ%SXQH~-+h)}hMj)fiP_+SJHs%%As5Jd2=R)DsO zAJOFWhouln> zR`21wD{o;2MjouuQ$=AqzDb+JSzO_Y+r{hCEf@YN<8wU5mYXvp`}LRI*X5fvwd&t` z#Q*#Q?Bh4(^4u)*HPLo=A(|tpxx^2-Sys>M40b;wX?&r4<{ni)#pO^27qJ@r*xwWFLh;OY|3ZVP1txm{W^>k3PdE{D*Ucm%Wz(i+o9J z-Cw@&+m`7o4e|5hwZZ7wxYfM%Z~Pa32|JQ|aApl_mVX%E3yyJ*Mc zlGBzbueA0oh$O z7yQMMFUEbRaRLg2Qzzu!9GJd(l|4!C2bpE^&|_8-e7gg4Tyy@u&fa~II`JE<)9@t8 z`oS5-B)Ko-kCk5#KVwtnl)MI>!5eCa4abeqCFuk~mbD5`l&biyV0{Qy1=d?{+GJ}B zC#O&@_pW-0GJW6cnf$==H;=@0Igg@x^G=%2DWj5ShkXctx|5k<_$D(JN6S6a+a-sm zoqwe5E3O%&|AI%On@Uc-=O%oNZk6wrn-3h%)2(?*z3%+C!po!4E(iT1 zT_FYj1b1@4n)DHX|9L+-%{pZ>vRXl3?)JhnCI>IWT<)}e)e@&!Zy7u&^R8|fnewk~ zcM&jRP4MZ|DlOqULD9t^x-@d(I6Kigb(#s#IxVFGP7dr&E|W|6%9H+7|I8)fzij>k zTm@bHcI<-0lsX05rp9zQ={OX1y5FKs$}-rDl}aQ=n?{u4^o z?(T4rJi9*2`FvGcC;q4(E>`F3{!|?JViW(U&zW}u=9GVaU;fc@nvd?o2)O!`W$`I{ z*#1y`_xPyd^44~-Z;>-Pu9v>*YXl^izUa31arw{&R=v8WcbAWEZ$7%NR?ol7UheP3 zKJKe^C)}g*s$P}j{$0Zfzn|Op$y95=Nb-5k@LFI$|1ed`B#BcGS=(z{5JUp2nkw$NGo((==eE0(R{89G+N{5=O0$gq5M$H} z!`J0Ad#kI~yZ&#gDjK2g07^qLN=$b}84ABZb`ZaW`4xBK{<;TPXLsKj( z!QT4`QA?sbLj1HQBN8F-WgHeELp1P~OGaEs+1);dJnB})6AMd;{y~%zMyi6*Y)Cl} zsaz|BL6Wuf4|RZslv6P)X9o}lvlbLUQMVu$H1l)@+X zo!uWURMHZqP+bB+H2L)p5f{-!L+}|Ab24&U-wYkgT|EK5oJmo*<}*TF zaz2bu^-&pT5xwmEtQ|JX>`YIxVA#092WL>0J=v?AKTrcEeo(QDfCQ9Lh{v%soC;l` zD{3LCBj-rmo@l;JjS25gJk%?XUp@{d-r_=8=OOfkI9XRK#O)S$04C282L-WS<6#+s zM5Jtm4Q36WGLME=nJTOVqK`MDDbGBDv~i6~v@~Omh4^5JO{hSUbAnR2riYvutJ~-9 zc&q0+Ayog6sGp4{9TdTR>2-0}6`kY?I1qw_MzZz3{t7u5HcazXeIAKI@HSf6T!?Z! z8Fucl+vB@+iH{uYId;(XV|ne-iT2C6W!g!B)M&XQs=1)+F$WHgXAi zt(jJn-i%$n0l5K(b`4(etxVJt)QnxD0ac)VHJ_KDL5pVXUf?ZV)RWFkui5NguzfLq z65Ki%Fptz*^rq3kAJEjd_krxT4VaaMzT`!>#xuKaG_w@&%n{XsTzjKklbre7%+G>b z3#D0uo6)s8eHT3OsuO+l&DAl#?fTJ?qTW!MYu#v;;^&el>d9($U1NqL(B7AC4RJ|} zeu+D?Wjs3td5M5-4R4mB)u870#1-knXO^PT059O#DB6zP08g_9$j!2y)rHpV&)0!p zgQs1K&ZYfU1+;aZ=o^@sF#2U=?jr44iU3Z7nI4pNPrf%~L$!JX=uB0;8N2}Z%3UD$ zE;N0u83BlOtLPhy8Gr2o9<2K~&4#!?_hSABw;46<+MA%3;Vd8GT8ro#gqd8unQNen zpJ>0hZhs;ArBQCQMnk!vrFw&Egm4X^1tRZJY(eTlO0fZn) zslMQ7zZO-|)Q)qR~fv6;U!k2g<=7(!7jbMty zO}{GTy*imtP|2E$<_bw;O7mNTRC{M$CPoomL~+4Ndk{0`rjg{UR9UT32E=|7etRtU z#ZbCzxYXs)lSFB@!v-3$sD$-oOZ5BG<}y(9P(RSjWM-G*+TpiDr^}@Q> zs+-y}9hcxmYQ61Ws>|>!$#`&(3vw(~YMp}Wzw3sx4~yS1Cb)vQdfnI?hMjH?z<+Ru zh_yw@JYGSq)&ou&0eptXZgpE_Bg?}4n}1_df5>b;*;$?~86Cf*c8P5*qC2klN84#l z@QSy32Cf_0&^uYSg(3VWln^Bqm0XPFl^0J((tmk=<&yRzXx;Fyf+VSM%O6;Rb#EFr z!v{YX&%pMk@vOp=w-Jb@@=(vjIUEsSVauZ%ZJG*Llz}fbp<9$)Y`8o{&02)7L@iHcrh`~S6d}v8DEZ?E zR9d4pLMQ8BhqQDHl4BAwquSDS(r&coK_??uVxuC1z!{0*%Il@?=WrEjjvAd==)AB$ zzm*W$(C6^ncr7tlsIOM^<|pQfrs;!{c$eSQC^h61QgvBz{El8#|8FLQGM_w9;1E0s z>0*m7mp)`A=5PEGQ(4P@Qz~L1;5XR5XIX)rttpKzkGJJhyxxXl`ZkiMojv1CwRNwR zxdi$Gl`&7&0^APZA<6hBmjnjs(5^1f;uh!R$naq6kU`N}Y?g2uP;%HP&kGGOnMmhe zSC>B!GDj-eXlog{x_rQ>95&eY^uNtIYMLb~mizvAahBD9bLh)!V$eqogOH}}5V16NKJNL^9(qpGHisip7#VPHqV@g`#-3JQ(e=p$D^ zk&&uq%L+&;dMf@T10xESSV9Uj{t){>bb}^3H-~0lDgJYn6-G(j*mYE0vJw zL7q+ODB=IWR`8r5s%EuHOC+!G;C7wkB30wYy($2+pttC z&SN~JAzAbz3VbJs2jFn8Vfqzefgbcs7`v#wfvLku?s1Y4NP3wc7@l6aZThom31*0_ zY#4r#U+unLggLeD=31aJAo|(qlM>Br zoF;?Oe1*3U1^we&hxF|6;)b_w74tTdiaIg0XL{odGPd%H0Jic;AofDB*6;Bw){>cA z>;n~Udf`X6$4Jg#%~N~hY=Sa*phR-Y)BU&f>qYk?47P?POCJL%aSHlQj}DqywG+0s zfmYp3=c*hUde6yQOCtL6sr$OKj=oQ9jlOC451QRYA;(S{#$`EAdZ~%qyXscmrt4>m z%T?Xvljl0`?v(1MH}h*iwNLfZ)w4w&P}e#0T4k8?8!i`xI)m#TCUy%|tJRF2-8pxy zs-eyv6Bf?_ubjH1Q@3SC)oRPxmxmnQx|1u{YKB$9_wDQ*BbfZ=X0^JuUTZBF0&Ac> zH(b5ysum?NNDx74VDa52Kkx_wwZ|}Vu;+er4`IxJ@%`CmFTZXn)ajQR9v*Z~L)Zs; z2mll3?c-6`DZHBg5Dtl5e#ZddKoO&-pn`@?5w$8Ve9D&BdD(*Oe#JlreHfXI+SQeBA#Y1xjH3Jl z5N4l;XrG02V8`uY@1h#fjc*=>cu}`!GE~hp*P}2JzR0rmF|0rP>6?a=kxzbjvvT+n z_d7G1I1@K)voCn%^MnHv|04B@#|7|ec&`PBMZeXQD-EViLQ;@xEnOBfJ zXw4VfEh?)_ymu3JHS5dcxx;t=W%bS*h73;D22ZYyNEkU8V6gEyAzZ#d*o8+g-L9SJ zS8|#ipF4VSEx?CP$Fy0ff(O|$(Nr~RMi)L-sdlcGkPhSPP#JouG46PlH2T}KV-lFq z5P8%@op?~r3K!16k5kl@B%X*-K1539xj#>K6NUP_{GcT9;{mna#I%m89@uQJUGm$7 z4L>N+!oGgsgtKZR>M-AlV?rG1b(&ROUP-telp1P>%7`w!aD&ek zyD;uY!77`noowK)5u!B4wA0>pc;@E`>;;|Mi&nt)>R9EdV%5c|KE{3{J=D0-*m1DP zQcX5caW7O&p*2Fl9GiwW^&8)PddaVacOWAiyo#L0&1xGX|r(=zKJ#t zt)qwTYs~CbOM*1XU8*1-V%b07(SISL*KLf^7ft0x+;g1BH{`8%z+kP0C}=^s`8Y~A zpHV9{yo87U2loQv^d}FaHFz&_waTRf6ZzTVR6;0T_tmz)v`s-PlhX^b?n4av#*7`s^xwM@+!P4UFN+#5X@dxdG?F zxLHWfUiisb_{mim5j1FDpk5cprU7oqUwE@F``cjV5!{n;<_<$}k3w*-LU6x1>j<24 z2%KXGoNEZ2LkOJc$nU?bm=RlpX`FAtmJNIM*1&rYtkKtz53u8h18e4oSppWeFQ_0=*vrqip6QGYq@l%W4^q(My zhC`q32QII}xqDDu3GH5}?+S{Eb8IrM|9F*e6CDt;ALz3moZ5^K`PmVUbv|&RfvA%E zB6_1E4}-*SahFBA&|+EBRw1#3U_bU&sTvvd_N*SNJ~0$Zb(zPyz;iAk0QGD}sFSE} z9Vu!Ah&v>S_Ha(ZtunPwM6|C|52S2H)HdSIzxT~JoQ*gfP|7PjJ!kmVQH0i2gw{a> zAU5IPn$ITf0h@puD4O-ns!#5;t?T$}49*>izzf7xE6!3CkI}dV?M9664@~hj6)iSf zn!e3rx?BATvo`@{x$BBgl1qmN;Jmj$D2TGvwXnbbrPy#=2zI|S?Pg34C?*;`c5$pz zvU8%=$gIuC7gP{-EWO?a=7=6U{;{%Yve3g3-=;$c>MTM~Kb>Qy(AF8cLvVo8@%3pZ ze4J?yU58~XH!1k!tAw{x2U`czHNFE(4cxVhKioI85Inm-o(;}+vuu)m`I#tJy5+bS6C5(j2V zeBxoxgYb5G!?xMqiB-z!*$jLClype7XDcvnDpXL^!-sOhb2!{#C62z0ugBhr%0{uk z60Ct5t&qghF|tM+h;x7<%;a6pa(x<-$xy2TwAwQmS3*zp&p08i*`ulckA>CdIRR{=%Y3H?lJxF$}`XC!s@f1v6FiYO{%@)N5 zgi%SPLTUkRUWC47ZyYmN2nG^gL8$ggsJDN}_ml)UtX#f_iTeK-MFjP`QFEML8&S7| z@D)^0*~5V@-^W&Xi}yr(bKGBh zp7yJUW<<;nGa0rmX?}JWQmnDbwJGp5%~Zel>GG0qSG-^%(09YXyY-NS3lmxP&osd% zN9~k4hM(~d2XTnxniInKEnu6`wwxuaF@q#T@aL$~=AQ0eNQINItfR0hXrDI(ji1Foa3B(W z<?6WGRsY(u8~9TU<-8a}2M)X;3&lne2c zLfDJ7yRbt1Mgg*SDS0pJoi@2)eSIP=93wlc!MURDl}HB|%oMOSBD+{*fPTPomy1s? zJhc+mB1w8HW(xE+Hq1W8F38IVEy!B>KT#Ijuo7ZQ*wJ=sG06SWuHbuR zPXrr=pC?2|ay`Z;mK674Ep`Dlfq*iKw`gT%pNaPe~y=(v0 zUY&(#e8Vm{>Dp*p2Vxr+VjIxDT{k^P;jXKYjkA!AqmYfO5HIjJ!*;x&3&Vk4*@5}2 zFh_qo^(V#RIJNfRjx7ZDcAPs<_5&&bho{V_+N;01C35b0e3!v(Yw*s|7-!77C0`@r z!L^ip8L>=7OGY~+i0rK}z&Mqgs2NrAJwx)nM}eE#~tt~cVivko^7MK&%)-oIbk;qaE_@RvZ;TXFI|IoSbk*@2v_ux<_%U&y}M zwrB3(_sMX#o^ZFB%8~6Xq0dtho&yn{3lS^uK7)D;efp{v%j__^LD{W&_VV`fMh~W&CNwtMN34Qv$IoQSv6bN_YWe0pZ?X3$7AeqT5(51l^y>& z?h4P@E4Y_Oh9>{MT)0X&97xhxM7QqC8rQAeivPAxfT3SZ;egbN+;-CI>F7b=M2XuY zbE8Jq=11cfyEQM)6z4`E2N5KRgjTXE_ZBqbd1iZau3^ygKwTN4Y>C#@FN-S2z5)y7 za2oNOp)ncp6WA3Y{B1sYOm-LOrzZCY0{DxZ{e`YQBjJ>X^6?} zP2TEmUz_R7t}af;H`B+7(=_&OXfmCTbg9Ph=m@tM10Nu@Qs?KUv8(neF;WATE;tEbNCjZ3G+ znC6Il1^uK=cc^I6zR$aOS_IW|!`Hup#EHE*V{-gr-tCx>mJ|pytN5lPSc{}D68_!m zFv(=fo^!-5C*=&Mh!^uPPdnQfde3E@m3y&>;LZ^^NBLf~KBB)MW8?U8Kfjzj;k_UA z$kMxVB?7ao?Kfj{z}EEuBg4AhZjD^Sp`IO=AAC1E@Z@Tjmja$E0532nFJy}MgoJR@f2(Q%<91bV5izT# zaVo)o8bF462nPs^*3uY{<#ST^vFu&TUI-&|9Nm*~CkhM4M(3fw9(6{1g|0g^DyYw?dG|7en2Nb6HRZ!e`4Sq6AS<;&u{^dIVyLi{zHz| z9?D!x98J7Zp|s=b_zXq`&Nd$yr|$I_G-vQ(pui zAYWf@pap7{R=-5ivJY1ka}%HI(M1a63kVfoa~WK(H$0m+yldJqC>diUg9HBfgP{CO zL=J#3B321w_luWMN#nzbK23iS+G+c#ctBQZS&T|?k`jnH{9L__(3WS*+ zz;3czmAjS~*9qq?zD8cjzSJ->*Z~8@*h(GQDP?SK8|)N@UVAYI5zV4>zDz!2Zw&q# z5N6Js%-t3m2xe;7rH7mv3u5!YuM)oq+hj}fi}&HEX>34bCe;Q`WSj zw;pU1;6B&*)!~cd5MC!~9zX2SMg1YN)yHW?u~V(b8^_1nm-K4VOX2<=Y+;qPz!;u6?mT> zMz)jg+RAW&=zt&M<-h4C-cQdRDX8E@1!XM}D$q@0C$AU`_-w^u_ZP88&r81?rb+C0 zer$jcuiR(>mLys>tdkJg?~d0*@IBlXW18&-Z|s7z8y^9R&G*?f25*8*2Gc`wyQo>F zg3&$ZY{+7io)BBrPLp4)GYJCF$Pl31X_Z0UTb&(+sDe~o|LO<>IcCx8AqjOm3>Nrr z!d+zGq@C01f9TN8sUR$Qk%{T+Eklc^C0N`f=#|m7wv%~ zc2ZiF?Sk!rWta&rVnFSq4TvE;(bEA(%0p=$;hM`$Qvoge8kLRm_ZnD9zJeoQu9Dx} zRhA!{ZYB_{k%Xf+YWIoD9fg3S02ZS>-7k|}_n|3>OO%G2OLKT7)fV1-?nnyb>`@uy z0(Mc3bdZYaP+jVN9rQA%F)yOgW<+(+nJcbm1YJa&Mo1X7Hi(s0Wt~EOP zk150SgW&XA2=G0h);Bu#Z~$<)NPG#25|tooKQbhc7I4f-c!d^_=b9Xbn&r^A&c$S* z0(P(lbc6Y++NiQcmrJ!Nbn_SG-xIGchkQhR`akZik(6PP-dJvatHb8f+3N7a%#Oi| znx)ydur;nR9nLl%pP3P?yUG6l-|;ntTRdUrSa z5W65BvQsc*Mp(#e3I0qR@r3OZ@wC7{%Y6;86_A6ATf2anB|wfq>d)Gv7THA2i$TAc z*`yYcjzyO7ldpxw>#doys7^zHYE(!q$dVG+hIcm+!Mwm4vxM5f7V6nV*@3B{`1_OX zKqIV#Y+wTpNfmEgmB(r7`y-CJVFW2_TL^NIsU!lVN!utBolkCQ{k_NU^;&~LEk{QK1OMi z-3?k&Fk+XN)9=7iDV^|PB+DCP4YBok${fya2JS|RDIK)a*%r1FNJD&Z$z*dbcJ1o1 z1_~6+GY#-{SnZRcA^7_fr)Zt#((P3UrgknmyWJZ8!5sGv1Jm))pvaMFA*4!RMFjHT zX$wGVZ?w>-+x;}imKcY47I9Sh*!VFZR@W~{Y?Gf zeW2&irjcm26=gFCE_|YB+KYKEHB{-*;kWdK6$?4rB70!vPNF||!fgW$BNQT^E%TOZ zN_pxUo0l%@JNDsi!Co$Za`?x~63OJCWqQdHG-Od`qs7Nw!H}e2_3VQv8h!dS-Fv#u zxpB**wAX7 X;6OpzLImr!4`ws!#!0o%7Nc5&!@I diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb index 7b34f686133db980a82ec9d749676d460af6b329..74003ceab0801407dd2df852ff8ae7d098b9f198 100755 GIT binary patch delta 1178 zcmV;L1ZDfr3#AK?TYu#Q00000000#M00000005;000000004La?3zn!6hRb)GaJE$ z3q@TB;^+^M?yjoto}G|v1lkwibh%+Hh2)OY_yH&^Pbeh!pFn2NmA0!o+Oy5*> z-E&XfS9fK(TrPjc&&K)BXtZ%ZUT)7%7Sq|m`2F;FfBbg$=zsGto_>$+Md?SpdEL*q zm_M)#Qspuvy6@yL= zu1-gN?L{<~f?kM~Dsp9`D+du<0qKKSs1WO24OhmY8@D*Dsq()t9oG|M2Dr6x~eTxCcy@L5+a-swAWtDuM64G z&{%o_<9~mN6!=CVF$i)f>g1{xC#*_Y3g$y}x|9n-EV%Ne@XQF+dtD`yp%)@xKoMbh zO<#%%_rfCRg;+Vs47k@h$vM~*>cwQ`8G0dNWr%+*Qh@4TiDi)OcA9d1kjxNj6&nc+ zU+WHPiLq-+*~(II{@^8WYMtCT3CUVBFz$tcpntt8#6TNHqmv=lULrJ<7SDizO{peg zzON*Xd@JT-7rYZsSE@&+nE7;Ix8>pZc;ksT zUVqAlK}Fg?l4p$++zS&R+AuH~D}(11<|B?&v|%7ZZDQ?^tTei@vJIoqT|lsx>il;4 zTA@hYJ5QFXVUokTuTOla-E793_8ThVUT%u^b*_{yDCkm+qMB%f(R%4d8hw+1=7cbm z9Q1_fWNK|(TkSR{+UEc>s3Q!u7n{PKP=6<*`GonvjEqDW%Em1prB5n3Htk^|K8aZ1 zgNbe^Xa@}VB>W0`5l4Ulzk>4v2JVGkxLKoB12CW$C8kj700WN?U<1L9Q80-pgIH*S z0*oxCB?i>tfj2+Vr(EIX03P8X<|q1;x|V?l|J=JT>>!Tb z@JfoJ9%JQ`$J7~k0=U*IxP0<>M&)n+xdn0b&qO^Vuun|v(R`kg1`bl6y}!svNUB&0 sCl~oVC8d4Efr9=EnY}5X9Y;d>JP#$M9S)q&Q&MtlH(NRS3zG{4#21!0{Qv*} delta 1220 zcmV;#1Uvhs3(pIXTYnD)00000000#M00000007Sg00000004La?3zt$6hRP%vlqdG z2Sq&y;_45Ondy(2xe3Wd&`U6Q4k5;cxEtbzfERzXS9R^lWJtdcrZUs)pTdESS)^r&)VtFXtee)JZ{d8=9B5(_~Ybocl>_);D77T9)FJ>1nE~8eA&;B z#o~KV^fV0rFq?1h_iDE0ll{rJ?fGOjjkOHV_rk;Ie)#n>Xk7OBuR4Zxhb4C|dJ|B4 z9=?0j)@S=iU#1znBpU)+r#~Hd&%$#L-sbE$BXp42l18exZ z675kBS}5!?%?5<{t%!A@8EAG=%Vo@n)gYf?7M{9PSbw}OilYB(FIG-Or>(wjv@L@Y(G)fE|?xoT2Y8C7I;X0Eq#VulwpoQ^eW zbJa>`pkZ{Zb-H{7$7^S&W&jPVXc%kbCBTddQ|Wr?BQ?Y7dQBt#H9A$<$O2)8t%QWm z_}FI9%zt`W5gA*H_nnH+3-Pu@N+}C8M!*49wvk9dXNaW+JC+X-V@<36g;g*k*}3v5 zGSG}#Vi3!RkwC**C6ben#8~KsST#D8S_S49ZKVh^3UN2|Ld5cKDpFw8O^JZrI-#hm zg=Sv2AYV)1I4j(+UV<*2Q_yOS>b0^X)tbEOQhyZDDoq6GoKktAsBMH-9%dMA1sXMiy%29nPJsvElxurW z0e>1OFKaCWAi`#F1H6J4Ai@mJtN{ymgICatpo3nBoJs=+6h&t!!ZuPVfuR>7#!}QB zsZ{Y&8x-_rF@6zCqk;HE-uF&Yd84nTD2mV-Q_&1BRUgbi;6PEUrCO_1U#%wzC|zJi zsV}^!`BB(l<}?~85z}TAT0t!-P1Pv?$A9aJpx2ZFJJ85t95^5$=#3W>x?2k>)ZXwf zybmwX4D~NK2{K?T=w0bsOraqOGf>qdG=K&~nxP~FCrhPw+6+2H!9gtG6%TJB)SE;D zR;{>*-8;Y0$JMLeDzyXpVAw*U|%1IP~bjpfVU)a5Y^R=CQ;YWH^$- zT^l+>#EDIMN5WVfE1{KU;FMUeDf7)^9Ni}0Jf6Vu*MFNyQK(rh;V-OW?BHl_YeT}q#>mXbLL%&JZe>Km%En5<%)!LM z%EZdd!bYNK?BHT1qH1hFB4%Xn^d;$q1M#H>42*{7e-T(H%KzaU z!T-Z<;Ah@&9fV@QM`=AfF~M)(P`YY;d2qIqdja0JTi&DWwxF%#AXFA3$h=*sx*Avt zzkIe5&<+nHsO97Em0z{TTVGyC%zi=0o)B795LHN2G|B7J*IC)s+1XY3-uv=9Q^D!u zi_3lKC%5xdsp8_|;`n;4;cyI9jdI*WZ&M9RwLIYlPV2A`L!10q?#e^o1MX9G&&RBs zhulSQ@*jc3>z*x@A)#Kbr=M4`dZ3jX&a=gk{;?lc*T?tFk_niYFtXLW`K+0GsbFg# znEU-`v7$>kPQOWDAx@$tCe?qWPkeC2u$Em<#htN9`T66bL z(^AsYmKaJhKCrd-l@_MDcetl(D-Uf(Zm}Bmx{>N*51rYG&Y0O#pSq)ep|3x5Q+-%s z!zb6IHk5c(TwX5B1DTcvQaeoCXF-|&WDD1g7M_hRWh+<2eG{sWyMS$!f#UzH6V3x` zuh++@*PG%Wd&WASkg`^4Gff5U$u`;*T45Rl64wi!^;~b5oEe(uV2lwHS+0y?z_UKb zlst0o1poQZK^DSDq9hWnDDv5zH&_-cKlt!W=(fKM+@~*RG+vx38(5Rb(S36TmDOxW z5+}0MI2!8762?om|M?Q4=9ByXv^W#BbUJtz)^TP}_QUtCl+`awvk?&vD1HOpa@u$x zxfsln_>{;o?SfpTT=lvA{~+*U|3Rc6`}c#N7FQv9+gx;$<~zj-hTyZEiy#@XZ^v0} z0q+TW<&7tecpjVzjT^Ls0?6+H(;F2)4{oUfVVEsf<{_#DJb9UOaiAowPt1ZxhW=A@ z=zsBNY_S4f$F;{vfAX?mFflh-v6$+@lVB~RGB0`1A@$GA`L!{bsKXls)ts)>bYIe? zA~?-BXe)2ffk3~#`V;;EZ#sp?E;gog^qNNeeUo!4s{j;c>T6~mb)Pu7jQ!Bka&Z#}#rR?x z?^Xvv@nUV+@vVzwU>;P{LkUbQ*XgW$51&jd$O_j4UTgZ*%W3BN)@GLDRcMGxD{phssQNOp9kTWLO?459S~!Gm!MXFbhg`lspC2F`k8GH zku5-gx1M%&zVhwxsplo~Dz^C}v$uK?4R*Z?`!)95){@vKQRt}HccB=k?_CG(Bix3# z(#8a#`7*1gdNsvDcc{72J6c}p$u)0XbvYI?sO**!O>@+eYUzE6iTO~N;m0P;uZe2q zPu}0KGLM&Wy1CyZ-YK3$L`SQi)dpncKWUB(n4a!W0Ylk3Rcrh)5S5s3#;rHvXK-S@ z{0GEapN&9E&)sY&jk?T#F-K7I~k$r>L9k!&e#Z2T` z7h0ZA#3RCabSA-#yw#3~%w|@Z zD%odk@HsZ16}!BAt)cCJ$j~&Ua#Oa5*l0q!IhC0*4>OylGpBlu=6H?vyq8}W6_aS* zeDi1AFpRm$%60L0MYhzX`WtX;R-yHDz^yvwoBZ9gTPDNBD8Gx~kGU%ISF$7Q#->{N zDp%3TIol#B&DE_u=DX(6;pw~bCgyP~ucntGg;k>!(*$m` zrcl-aTRYMVS3Vr|rY%oV&1-5&aL3WCfqZJHiSwz0Gv|5RmFpYH;MM}`DA|^8*XdGl zm_v(=qXzb(U3QFyIr8{HNCb}88tu*Xrg4!#{a`#P7T$^LDU)qI=XbS^fq%XCiE);o zC5GUY68-Jbz(@^!eCh$w;>Slu#sLx4h0tG`c2F%E_Jewz+*%SMFSd3q>P!PohB z!oqicDVwG?2}tawDCG3? zG$FfMt6ODO);c#1Ep6g!#->MqRtdQ)ymaS?Q>Y4sN>l}W#A!iolD6`8xOeJ8tZd zUZi~h_f_P-xW%JlZP5t7=)$TLb={6o$!oV2qnq7q&I2A_XwFJHJyu?Y*?gP=W<8$J z_)4qI2H>iU#ilC|n@nyN20t-XN;_aRby^N)q4HngQdl6%R_Fe~YgJ}cji@ktxhIjQ zi@)(3HQ=spkpBr0;XN7KvB<3!?8knAZ_zlW`;YJ&&#yLe%MWG)KcRJ_-1*VkO0ufn zcN&cY$c+)TT>PhcT*k7fp6)WXf{3G|80Gp#&Nesso&36?!tNkU~>U{!n4q zxu?X*tI`n`6ehs^uqq5}aAFnGu5^k^lA)Y*)_h6+UWBE;@&K?NZ$f6my;Io{Ym1X} zf<|NWXlN&D%ixW^S4nRhQ<9eT_vzs^l{EBjES%J+jogm2t_ps4U@xZl@d7U=T`R0!`X zZfZDEVxj824H&}-nG1vFNgDOYot4?eB9f>Vd%CQR4K6(3<2kk1`i#tQ1{~&7GE#C8 z<%^2%GW?Mt@@iKZyKGT(Fz+hJM-?S)F6TvD_*ZBhBHvC#p1!*~aPZP}YAa!Ue50+# z1d*`JNR?+aP_BIh|vNZZ3_Y^4PuzEO?kDeS)Ngg1rH0dLh-=fWzpaUqm*tDj$ zQ5xh#={!{%l^W%xISig?A3f#f1`bu5%ZWH7^JDA!zTK|m(gINoK(DgAmBpONYPmkT zNgIzMSqksW46pCcR z2m7#3 z<c9Uiz`2HL5fSHcbO@@zoe1E@&YxCZJB~VCDcH zK14Oosrq^NVohF0<}pd8J9xa>3|CIgJ@fMr(;3)qhiz`SHe)bR%7|cU%aaJ+oz>J0 ze8SSJ52)<3&n#o6qE0`ihLUtf93x_ifDdsTU(Cz{j2O9VGjU>as5kwE(>j2+*qd}i zcX#;IWAn#93=62_{YNqW`+|i-4btKoI-YkeT+n+4F6ef%Z_&I|#^6AcU3-)5U4Q->^ zp1ehO{g+*LQS^=v1EBXEpCjP4(em4)akwrY})i1^mCE)PZyw`)=Xeh1N?r5FZO3m(pF}fU`{30 zF=Rju7`+cN79;v`9~Pz^8m(Q6M6=+8v3mkfb*~f3^{ew&X#o}zy#^wb;kQuT-t$rBQMoviZ;a1<8grOj&P90kh* zQ!OMGd*1^6ofv}wIyQT-4noaJcWY*vyAGoJ0f^e#G0wdu zr$)#mMpi$5Ynl{3;#9)s**^Uu6rHend|h($xiNMO!VU~*FtWDOy!td6`NUBx`VRt~ zSJBUwZ@%E_3ogFk>4kfXYEd08@FG{3nP!eLyTUjjU<|4 zg`rpY;qmmo2*30EIPfn9{6rUVSH~Q*d+Jy=N8-d&_EIJ&HrGXWf44gs$Q*_71hNAuN!dRlzr7H?3RF>?!?yEW^fl~rbun}MI* z^SP#g;I-lxfJUY^vz<0Upb8Hh$LFt|Y_(o7^C_e5sAh#1*eUfQK-I}-9b67&(a{J6uw=HlWxNw5zAk~e(^V?(vU^(BYz6d z+++7EqpXar#JiKvlo1Vck0z}>)H%;Dtt;`P)iIQ=h*0&Er0X2Ql|B!kBC&)leJFTh z9(k8hQ}VVuh0>wo93m}J^HBuQtej^2qTjZ;Hvh%q2VvQ%3F#i5`l8lvYa_WkfQ_ls z7$Z;y2<Na1AB^#uHf|+c0d$7@C9-BHKGHghjO6;m-n1Y#Zu%|xen{6hC z8c#Xg@8R$cbs#eaJfa2BPuUA3DwcmM8dM%ZW7T|-_? zU5ZuL2kolmB})}x&#-Wnj81A=i;vF}udJV?`ArSl3Lg^{Wv(G^=j7=--J(O_H!luuV6{bE zJV{s2&voj!3+$}d9Fd}zpU^M(X!QCc(tkk$R4cWcmx^;@D7f{U*TNauXbVZ{l&1I96EM)KkfMZX@+C7g%#c6}PSVVj;g59e zi(eeAR*d7W7u?Xf7+O(X`F-KZT8;6&Qx^}jF%J(qQmT6tuPTD@AaoRG!x4$MKyhqk zMsY8qu+B6QyAb&XZKhzEivF`{93hU^8mZpa5lo==o~un1@MDYZZSo`HlD1%~cYVh6 zQI!uHgrsUlMN6rZ%qF81#`6qLmI@IgW|HatqJyZTBRr02XTQErczJz_)cGukQ)NsC zyp@0Mod_z8+pC7MeVS$HCAb>%>4^AH49RPWt5Rx;5t&8k8E1=@>ctv~Ql0(lJB@2R z=EAs+*Dn@_1EY!ynS^h|VK}iXrBvj{NpWK&^@HzH%8|$^kBAjYoP=%GS=QOzR@ifw zmW!nBxZN15e766R8Y;H(U~kfr+5t707cHJFc=BlIz9mWh6t+~C(2&r5= zFQWJLnNG3i9aACkL^OiZ$upl>Fl{EHx_b~DJzN3uqXe@A@sWX$x>mSn*_e>w4|%?% z|CrtOT<@mhpG+^$JKs~I+B>1mEJm!hyQ%0GzieIEJc?O|w~+u^q^xp>6_}3NvM)z= zgKFuOp=JP1O0f;SVs;>$&eNGdN|k%5-&q*=w|J)cM8j4N_blP0LxM@Y{FY+jcSE0} zQz+vmJ~c_ zHEkil3{C2KI7 zqw@6=-FuSF227&X*)Wp^MpI-#W-j0n{e8#vrPupKh)yF=_ZyLW;J>GE;i!A&@V_ud zzX+(yxOQSCNBy5*BNAxj4BRsame>O@bsCT{k_-D`^i3Rnuop<(DLFI(#QzTXsvCZwj&ve<>Zshv<2~rKtX2d!T85XF12R1 zV%A{3nX3O$V~NOWGyZV%P72RWM}eKY=h_JC+7#dOO$|>bh+GydSzj~aT#@TgL+CA= zh8E~({{}gOC*Cob^FXlatS)w|D!k+>_l$UU{jDsys{&*d&L^?-NlS3+jLP?}^E1a* zhtfUbMB**_%Q10}LVAf{xrH>68O}POb-@#Osm*JDVC1htyT^CdMp@%8Is3Pw8&nrr zW4f8~Ij1wWKjAlz@BsDTI0XGlqWf@o6yBoZNX&ut(>GAs8nq71IC0R$G`pQ(J@{`E z?6YRPYWT;@Jh7aNj!j}@^EX;s7{BY06_dA(Fp$q#xO!N$;h~GoNIky+cPgmT{mf;< zFIw$D{3QUiOjz=k9jAJ4Kb^Ao;gEQ&;#S5Z6l?z+>Hp_s1}RC-ig#5yh#r`r(+eP z-mYxVy=Z*rX?b#16}%gSQsgolt>-zLHf*6Da4jyi+h*#`op7_f?I?rgGm4$haw=uM z%i^QVIaB+#tzD&ZSDd)dN#gPWB?r5fccAb)jr;8yJKIj?uQy|DhsaZQW+`nQxFcld(9QS(sFsHzyymMh<*R z@nEiQQOJ2WCWp}}`kL#{MU*G<1e1RGsAEAaX4&7Q+n;|4uEZ&$FGn!9o&1iIXE?h_ z|MU>21{gh~A%9qTYFvBPZ8dC!Q-4C89b#847M+^_4jSj(PK(7jx`RC4|4yQQiB~e1 z7Oe%a-rf<)rb7DD{T$AP}z-sREdpX&ve6f@# z;eheu%t5tcWsGKa$tE{;{(kMrETK|HwN?7T2-v#*o@sUQB@pg{;@wQTShc_wRqspA zyAvw~es1cmpII$)O*u|OGb$^o)867n)t=F|>a}X2etg7f^s;wkK+s8jAb#=}XZ!)KerqP_mi5$nQ3jx!#gK5|n9k^Fky`#4Rm{-$V2lL(x)B}-%2Fa}eQ!eF?)tj7tys2M>qbmgihZP(M9QD{La?$th2+eNdvwi!X zT{&UWFZy_C1Sonxr=<#&E}oIm;VOE^SabiBvHVm49h&ZK#6LujyJ-Gg8?*XoxIO^Z zBKg7tT6XJ~=Isb-gpA<-9{f|2NfOAgs5WYKv*DEMtL5DP9PFJwviAbCDNEK-)t=>meOzL}wmMzq`)?~d1(+Eu~mrSpq zxCm{;e@3_qnZ0(-%W=lCjg=^?Cq1k#+(xc)_PcyC663(ujSBL9O1lS$qBD9$`dyJzSvv zc>wp2V5L3a`JLN%(7I-`c%9u?H-DApRako<6y9;H&U%}jLkdaz?v^2wHX-G7{mlTB z9#uCwGe}uaPe+uUFnf_e%4W6B7~(+!(%v_>SIc$-kQLLfGbQ8}YaTk~a{3z0Rn1!e zr~E$DE}CAmsB*$uw@C_+UD;KtWKyrsI#%@eToziJGC!J9q-b)viQk$o9g%OCO0k0( zyF>`p)0%*uKO{;vxl}j1-P%{x9}DA9cHMhL#oO9*sCKcgrkWtpU7%+vwy!RM%^N$( zSfKneDV6X~JC5$=p6vB6Gnov+?0?hDF7-zo7cEuxVI!qXo``V9H=4%g{F*<5>@ykI z_S-Asbj?_t;qF_h4gg8@Q#D)3M*N=mx4vw~vVT8kr9RYrvh#Tfn}0vA9-KA1dndnD z-Kce2J%box-#NK&4Bz=dX4$#FuYI*X_g9*4-#EEnVwVLNU&kHTyNsK&yM61n*`l87 zzq;{ZO<&75P&Cu>Q%iAj>~eSe&u(8Y-3zWyt8Ip9fsgZHUt(RjuaHz+Mt6t4!kO#6 ztnYhirS#|7HBD0YZ0{#W==$~;`!1meg9kdsF4;t?Ld&K$6 zs-?<7%fgz1@lWileX2DB?RzKXjr?|nEq3deP5QSkxuPe|5O5_$njFSE%|ZvOvgu*t ziNbfhwa0uVyiVNa!HNYQ>~U>t^`zKKtv)pr(7R{qIYx5vdeS+@bYYuy$i9>vgkdKW_&5?PyR4%pVCrL+`1rX_*Y_DEFQ2VZ_^(wsfD-bvOt3)0EJDnCiA}h`%APJsxIUZkU;MxEQ24U%}iQ^AP0 zIKzy5p?9Tdm`}|87_|(NTly7*M;ZCj*3md?*ETUvAG$Kc;I z>rC23X>)uuhstQwQVkQXV0i2SQ3}xVtM`ZW+ocP*T5Iq>`wmfLv!49J>X?rCn3f|9 z0Kb)Z$3b&rQVeZy>a_?o1VnnMe+y?Z_+Kex}}x4WxCK&?~lCHp@Pe@K!DKg=tUcd`~BI z3kq(7a*p>*PA2kNDB_yr48PTk4%C`B;+lDm_d-r5dV?!ogKFS|EwnYC*(HaWss95} zpbMqhCA*oa-vfVO6LNzurZb5b(n+8@$qFrVB!&TBsen0TOAASjaO?$P>506wvT0__HVH9j@UWY3)7d+;oNr z>|7oCd^+dca)#*J`8o8t)y$Uu42RzXRiF!)nPc=y3tsK4`OF7GLpjox{#6s8g&2%; zIL8~N!5#X1J!g``>=S8?KG22E%=gC$AL{*<;S4gwxoF^H=nmgh&O2rUInvsB4xir0 zI?7EO;>M$2|36Y59G#v7C9M7+eCsfZsXst_6uTY? ze!eJxMY4r~Pc@l3D;Bh7^F{ci)*3YdHWhx;F|HMg2^Mu5mS6=v;gWQ_*wMNXih?N5 z&hQi)bcLn@uZYi#j0Mb31+IU6O6~N_>bO6~))tKSeg-A8Cy`4-sEI`w5tyMXz}7;; zD-HY=c0<2=p2FrDCO;ofQNc}D0?yW#}tW&>MozC#6@MZq*t(0VoD+IjeEDVHy zBURCQ=STH6+0{49OEi!VcET{x4&jo`zo9Ac+DTn?^Be)(v0N58G`K+Os#&#u3{Ln3yfmBL0Q-Wc<|YR4*9eYGz0X zonhY`3WhBxFyPrUr6fD3RZ{C>`N4thQSw`2b4GR(2J_QIa@lYtk(8i-+yqw@~puB&Ydtr7+PARO|EU=N*cE7^SQdAZ1+Bm%NVMo3}>;)>bEKA0Iy z4JD793Sk;M&)y|CjuXEd4 zB|uv!Aw(PKMi9S8+r@{^RgkPja_fkIXNB5R{SbvMr1|GhkJ(NWDz~K2E?7eCOC}9W z_>E$eyCN1Ao?=zzlDjV$mMkF8V3S=oL}^O0I#}fw^$%aSob~G&f97fqiJF~cJ+$1r zv69-%1n}8-tKo{xP#JH>!cKpWyaHzxNODyf^X3vx@}Yk30ly!`glQ=J-;x@+AwfLI z|0;9jhI+CHATzdEW?}SgyxhO%ZzL0~Z1OU9EH@XaJ{)Q+tu0bjt$7`;G(>b!7pfG7 z5Etu@7dQ(D57RV$ic7AXtK#r{djw<#oEU=~v4jf@%g{zV-J2B}q03@Cgj{q7W_ zKCYF(JWVmd32V7*I|nG5ZEq>^BC|LTTvz%d5uh)*4d0*Gg%v{MO^2xXqJmp=SII2( zPg6f-u9$}QPA$Z52FtR}A9!LVgBk@*`CUav|L+*K&=`x3P^!MRf6MtBBoLr^EGzgCxh=d<9R%^L z=>=1dFG)-7S)UTkgz)hz0FaYv1C$Z94S2D{y(56)lVwvYTBw8(ef-MQY1!w3trZ62t4D7U!AW=giL>71 z_qjmFywC~m0tIlOlTx#XucVtYY0I)ap-u@=hZiyBQVEsz5^u)~0VSYJ#5-rAO!d)= zSUvNcza)lRhnnfU{ijtOZi!WHjEle^I#TI3$LjXRag>8XpX6k^wvM#+aXP2N*W3#B zy8f9;6>;jgMt{r^{6P4HLJbPK46Kn8IjEbusqwGdy&J?R)3L`)+={3JV~yO+1*ZSJ zKI>$fb~WY#b#5ye2y;8`POff5li975q8&TiPPGKobw1KS%fdQ3nMVhU?n@u|?tM(~ z@4UNNN6kFV8Nbds~G&)I*>@smK$Ei*@&?%v=K1tLflLcSx#4%` z0GqvWnYPJpX};bwH!EL@UJ!B5*M&6}+p1zBCRCBk8e7eM2**~eh)sPulK`b5XaRoA zh2=(+82U50iQN+iX-Qms{TJXq7{M~S>1Af*ue`B3xc9_RJ=cEwFHH3uC`@L^2{K@L z=a}dP!;Cu56f#4OCAL$a=6)6;9aRUqQ;}#M-8-#$Cfm3tcYnXkzwhcv`^Soc_w*&% zrqWYPB0@X{ZW8Q3?wH&Bpcs}X0zo&P5=J~_VtTo`y!TccmQPsNk007(HmUBNnj`Tu zi@6u_HY2k(BP=!}Qi5mDo%kW(i9EgL`nPEWVNP(afQ`8N&A3UM5$vyv+B?{NpIDYS z4y~FaSTdw(`nJg6uF}jNAz=Un)m2V1Pxtk`_KABmo@Cj8gbirf>hk;{x>GLAwww{Ly*3^s6 z+Yfq|^Y-roJb;iw1o$?HDllsvp)lenkc+b(ygM|=joriq>PTf{wZ1b*?zpJ!>7~v2 zS>`q)yXdUU9a4(S@q7@d%U5p@dMzzzZ8W`Agbl6t-0-Mx%6X@#(b`6)ZN?LhB8QL$ zu9uOU!a5Km^|=dwO)4wbtSlF>8SzRC($YQAJ!x>W0Blh|LU1_B0t4@JgRRw0*cp_E znIMx}jM+5^Ps%x7FgG`{_rpE8?P7XLA^Kbgf0a#|c$-&Ns#`9UkJgHpdxOt-&3CW; zJb`}1MxWG_-paEK7JbAKW}?p<$rH|d&hhK6*OiCuY(ez^GZQ!3A@&XXv+*M5?esk> z5ZC6xB0g?p&o`!}VE6W&QKBEoyp1&9k6;Ydx(SI1Z_wCGQ#ZdWkB@%zy^L61ueUc@vvKYz`=4cPT zN`)GJYFJdCb{A|ZVmtaHW!otKC(3-S7pmtnxPhTxgu zh?pQ|dZ-S4c_Y1#j)K}TNAt|N%}AkNi)mzXJ5(neDhr>SwHzU?T2-SwnP;))mbT5v zrp*ZPMx5tY+eCeXAyM^xLLhkpUt1q)L5)kzf{SWjeFXlsDi?Zf z#ai1&;_gA>{xS_B5&{_f#uLF#b3B-=%OvL(fI|@A27u`(t6#?abA;g6{@{SL9U_DX0G73at!;z_O#|UQd>unt$!hJp@17w&V7N+xX_Kb zZioPZKjZ>u*f*SlEn|L`Z5oEup9Y_3J6vI|4b4c53ZLkh!=rbuId(dw`&+c$m|$<} zPCkf(F*zO^H2ZKQZW<@zk`}nc=U^d882y;ZxZGx-!1TlLbYz0Es)@-;dnVc6o{*v4 z3)W!LpT2RxgKEtS5cW-?7O6$+U8!IT<+AK;5AP=AtrW^c7g36-yWYcX&)KCvHyCa< zu16Eqg`n()Bd+Xd7v0E9o?35TyJ?;qonb4)^oX z3=Wt*0qVcT{1dtLzqyAJmCaka)%T6;rw9y?rs95(~L-8()OAG+( zme);oq6oG%e$>TT?)D?xdLn196qI9ku+{f>FA}rO89Pm1@0_B7^w)myz`Z8>7hF?q zwoTzLJJ5lJWhc_+SGb(a=A3dUW78z#nH;Vp1Iap(t@+zM8OJD&0BuNZfpHIS?46Hb z4qQq{+MXLU|Ew3FEk*Jd{ekX}ae*UKW1gG%9ZWEJn;Rb%$fD)PU+VzeU7JI={7`hG z>=3J0?>@1~gO3BEHDNCw%v66^oAE7BDflv;6^G++7r8Vq!W)&i6Si$vme(3rF}|HK z$%*mA8(iXkw<-SQIL`!Nlh?GHWa(`;s<(bDp(`P6X9<(ua~@V)-0%Au0QJZ*aISv0 zeoQJZ{dYv?u%lc+L}ewFSWW!PGQR~$D-f!wXm9;!lpFqpNt^eMJVC&rNo)NC{3wFt z;c1^BbAB@^lvTAE_QtkwXsHD#u&yg82YRa#K|28*4t54bg0JK2@pq!K(5Uf%WB5k( zQFCoWYXo7G2lRq&4_dCh+yG8%_12{Oq2CFOL<@B%Obm1gPL=2kMKUTR=9m*=4Z(R5;|V}*mBrWR=3610H@6>N6>?%u z#1pg?G#Ru~S=_GKER>(!)2aEiZH*315!+{58kpn-Nx1zG5!4@ysyA&4`M) zIRB@2B8DZ=2cTb#DJXZi>wBjgP014O50;asAI&YQt)z6Dar2vT%6@i);21e4a<(7+ zf6DpnEqU!N`Rq@5?M)$u#SrOL4*~W`#WnXm;WB>dTV=Bo@8x{V)z>{cBAPK%PB9qv zRiXpiFf5Im6lmI|RJ~XZ^%%8->fd))k_h!)ER4{pXcbf!ZCNn4-ahl+}UhX+f4IFW2r)fL_;1L{?{PGD|De|9l z+KZoHdl46(K-~5H2V|6q>H?l5mzT<*lw^vuRac0=vxyqy8$fbV$N5damWhvi%?WQJ zgO6`gAzf*#C}5=6@|NEB-&-;AyWJMWv3GGWT>{Bpm@nAzu znOx!BGc`v9+DUC)MflG`ywKw^*r}{5UK4Rn=5lRcIZ7LlL#j?+y4luwWw(1Ud5Xrk)}-2V6%;gF zuZQh+6}fO)a!kgsZ^pQWq1q#&+S8%hilZ;g6x!oh_7 zWML`39NGR;XJd0~i9^l$j?v=u*j--J)bnkST@XFI+VN;?IZiYFsL$F>-2DLWl(S;J z0tiRpVMKNCtE6?5Vz$OQL{n;d_j+`8D<<)WmO_5d*<9X}j;J83HTB z`7L8KM>H}6<9;~_gMlpWop4s~N*lkLTTVK`E~|Uo_6l8p=lc)G z38IC66_Kl7pZbZ6i>9e@0cR6Lcyvpb5+HTtTyNE}L!EBhb&;Rwwe9x_ue3JHi^Gmm zqEse>$A_~JpE`$@I|#;VrjJL#kECJvxObqOnM$H)G9wX2S#}&l*ydoH5jp!1@0}P- zoQl!Z8*xnu*}~?9Ct9S*C*-8b>o9-wEJiaMGHXY!&Y1_{nVSb`qPQ{?MMXL1TSnoI z`K8<&3G&k3_?4Rp) zCv9mw%KlcsbjcJTk`ke!SW{7E)H(ge-_Mmqh>L>SD39(+181?G@=Iw$HQ=O<9w{4Q z=npHR7efqNm1mn^@w9-ZD95@_mEk=CBFAecewqR$HfjmRUZ}Cox20Brmr8_x z%{HV?&z%zf%0Ff32G|){cAwi4ll%SOvYr~uVqZ7zN#(<$m-<(PK8BIFM4m?li}rod z#OXRjBtG$@G4~_@Jd8-K13jC%0_h&UJsNvCRcWiSJJP3+V4C78ORet#)2mPBEuShm z65S2Qjq}QI18O({#MXUequppezBGBd9Q*2*Kc(w>w&H{OFp=L56B_Ipe{|ief#+G! zcYO$XdrY+c2z0NQus#|QzE3R2QJzjf0-aQAX|8k-;28s=G| z643EB?tor_i4t%8{CUGk8p6-dXJf0pY;^g#jq&pdSVKMaQ1G@kY`9*F$+63?mNPm` zP9fCI`{Cf<*4BHg+f(kX^Ll6IOoK>C(??mMAlqVH7Q>;=MA;I~bEOo`^^v9G%2eY9 z{p-p9LymQ!E5dkx-VWw*}1w4VhmHF zaSKx%b$Tf1)@b!8x{fDid6bnei`tQG3_|@uInXw<@a#t-L!y^1>(f*(i%uy9hn8g` zVGM{D&_-{(?qGDodc~Z`Am%-$TF{Bv5F*qL)_{kPWUZVEj{jJ;$yIbR{N8h`Hb`s~ z2@Vy|L%rELGODWWd4h*2q zDUf;S>6Po^Imt6R_ zBG!*nlXn_mmpmN%fKh5y<$0xW>f2$u+5x(^&d;qXz4F1?i?{E3JOaH==DJeK<24M5 zDLUJGj1qP>ZH%TDZEYs_?|bgD0jBTl?hfpyj^cDA;@fm+h6Vjh<68zdIY(O`@Pn0 zoiX+%zS*9HWWrcnZH(jZgm#SDqROz-s5THW+fVU((k2c8RDvU()mup{NjF9<0l%TatK=)Id zqV&EgEC*zJTA+`B1(E1!t_VLhy1l3P+KGjT|I$N#g;IP>oVCK1EBbgpDdlndquMSO z6~Uasq5zDyf}W{d96Y?-VbHeHh>?e=pa_w97#nQ{9Kl(n83W`4?G?5_L5xg;g8cxO8O{`)$Fw<(RZv{i3V*Bg{ z*yi8+g7~UjE6T93a9sX<2jkX3(h zD?CESJxLhAEWXCvR25kH7)5?63-I@_h*5?WErQ2U!Zju+hkEHKo?2-1<5J-K@#`!O zwJnh>?0j9qA!lQR<1e`=(s%Q6Q=eNkSwrpdkscDakecLOabwj3HZvaefj`aEj%x5D z2kq^(Xz`m@Z!OvW)VcMwA$BUzC6VdNNJ0%igyGhvS2xB<{jz$U`qCmVI|J*+%djs= zQCYM9Z~{Z=wru(fS(qW@Nob6j5G8qUN^&7?-w%gq0bK=~ISzJZNkX&VB;{UB&^^L$ z_Pr8?Ogf51>fz$X&S3p_8K3oA6up8=@X$`oQ23on;n7@0VWlMSJmpTD6%$N1vGnEe z!$;MEfBa~Oo6baoPWNv}LtgerW#)s>f?!lAY=CmdCNn|Xy9r;jITK;kwz?2JxCzQH z_&qsw!6cVlauS+Or7%Fq-ke9gcbhx!;h}=kE)c;UH>D!u^~w)XNU*C-UbeS_WMfFD zS7jlPTe^c!|M&zZR8w7KK4kW6BH_H0gq?k z?G@`m^tGcyiiuQ^No+rii8qn_ddW^}r)$YSd^09v)aeh)?kNWs0a5YQG@ z&fRxbRchN)jTrUH8wRoHdMn4TX(ysYVQF30ioHP(wK38{rD4Zn7jjtKN~fWCtqYb3 zuR9sTP#*6o;~?AI>pZ8*y-{j&AJi1|mh#@Yf4QqgFTq(oSQms{#G9`asmXujk_538 zUH=HwHyXLH7Sye;vsb5bE#V;vfX$R@f8d>!-+E+J9aY5Qe?9G0ZqaQ@w#>}MO^nxk zSe6zy%PT5N`e(n1sE9Xevh71{F2#8XQ|{~2a^P4bhb-{8Th*_ur_d0;Ytcoe;^wnJ x5pWohHeb0$S)Y~uX`lpQ_Xkd+v`Cnx>m8+znvy;5&PabC=~dwCZvmg5{{zGpn9=|M literal 15288 zcmZ8|18}85vuLuhla1|-Z5tb#8{4*R+qUgwV{Po@#I|w5m;e2Bt8U%;zUiLsnx2}e z>8_b-pn!mY)WCs$L#OXg_#3O4I67I_*%C3a&@<9A5ed6kSQ`^DvoI4evNJF-GcYqU zu@EVnIJ#OGnGh)$7+Dc95h+U%shb!Qi5Xise@pVO{bxE55I(m5k3hnZ{)^jr{)^o~ zFMMFK@x?mqDC`~jCQ6C&!N#6)gEg6xz{Spuk7OK;Q|+h8h(+Pof+f=hCDBB~^UMFJ z|7jO^1a^DsG>Cn&*N%6ib8Y|Vx;Kg+r<7#R!jieav?+owYLg3I=IsZe=AbptT;SB zk%CX{r*BvFo?lG(j{nlPn~t#mfzE_P7Np6!mvAnmkc4I&H3}sUJyr%LxIqwaB0d;gFEe_>j$R9jZfF?zH*iR`_@u{!T z650>^k{>U663-8$H))V|lDrI_T^a+(wkczkiJyhlbfJ?|n%l>5W_X_CBImuF5R&to zRcGcjR@1Brp2g-EnC)gMqT^fU*!bes@-^LjXJK_#Y{Zfy=5$);;e0XRmUvA)n6hoL zmYnCN@YLJi^TOxz7HgAja$wwKh715(x2rN*w`NRvDycpzw^jfO9)huKLUE3&6f_E& z1Wf!$fuM#{!6>Iy(9}QD+=jf z!jHU_lRVL)j<*HwZUKU!MOc6hCl$$*d;NgfBI$3_38)X5IT2Nrwfp zK)u<~4GKqSSAEXk8i?FUI>?_WGB`wc#378MFF9h1Ia0!bn;_$(F9$~HWOoe%c6`~% z5cgjPfge5t7)`o}{%s=Ref+O9g;0j;*WuZPuSQr|aj z7p<~Lfo8!6?Mi`{=!OL2f5nc*w|IR(?!N+^dd*f@qb|l-d%oFr_mT1~X>96paXQx9 ztG|xVE?nd}jm(Zi9Jwc(Tq^LNZ&&1qSk=F1QuBM1Qyjl zrM9{0E#?12;ATipyvL)hA2T!}kj5hi+Es)E?7MjXk_Yz_nXZr7YsyHNvD%##7~r^U zmtzsG{1hih>eA`$u!=A1yX@O$de8g#S07N_cPilRvN4S`(}IcB(N*yAb6x%Q+VxXl z^YU4K{D*6V&&8)#)tuj;CZm$lKW#Md(eedQY{I1UzVNep$X*vDk%VX@Bx&6h#9guxf%gemhv zFQmA=|D4Gd?eW%u3(jQsJI)zls_hB=q0>k8+xC6&j`J4+kZpnz|25;CxNwidn)ait z`U4(L+V}o-xVsGuK%b!}^U-`gtZRP25BnH(Nqot8cuC5zWQaO>Ugxt2gfjkGF*5*O zB$a-hG)OkcboeG)y$W19G&OwgJO<+xKn>U zb}IacSe1yk0@`xROQIB)-5aMCn;lemuqMe5Y{akC(nENQy;%(GG`&rlDEi6Nq8myF zKQ;je8YsH1ZV3cwA*eYwBr7u(5KZxgv)@ygea>y~Lyp&6+{q!+>ZcZ5+)KLaCy!_n zH4jzUY4(E__81`c*B%@H=B$Vp%Ib(_dCHA)60445H?7mx{iSa#N1SXLb#y|6J}31% zd_0m8YgZkiK|SZHrP%+-Kj6%0!ORzB`gwpp*W&DXMK%AsPJ6KZ1jlpbR7FLdg<;wL z__3@0eR_gsU&Q+`i8z{2z=j_!mBjC`lZf}aI+sVpbYnkQ#r9~$&dnmMVwnYb*DRXq znZ;4&SR$r~X!P%kXZ9r)#A%;!?p5X!-9q0Zzo>hgPTucB6MQhE>^RHtU4dE$4T-LQ z?sY_b0>O@r!1XD(Yd5n=_-hl>WAxjWs>$i2tk_rd@$AUg5axqAPQvHd+)y&@ldrMf zktRy$(t+g#)?6_m#ulFAdylBKm1}ojWX6f3!$VwxHRz=)BFkLm4)UDLqFEVIj;ndq1*LI%m)!64Y%tap~6;j-az zTR1!L$dHz#n?LOKqe|b-qQx{su*-nfy2>!Eo5!Th$3NlX+p$Tp&}jlr=!Zq^n@k)3 zdBRHWY9n@*wW3NBze+F~a8qUFYih6gSjt2zgR?+?o~Y6-Jc!#ry4PpSvuek6HPg0k zJ*~d38)a<}X!m8sr`))I9{VGZA-wm=$IoyUuu9K9!p-ZSo64ME;88#4hE0VTF>fPBTp0AB!JnrukDq0Bg~#wS|&e;R~^! z924d}5&>W9+U;d-!n4KK+=zcoptTn3hE!uc+7+hOy1ywx!`H3-Wd+rmbMafmgip?q zj)A9XeobqT>Web~5Kvm9Zq&4|wS`*$H@B4~(VR|b? zmY4B3W4MGS_8*%Ebn{hlJy3OAABg`D_8t!@@K()CsQJ~2ETC!QH6i2EKXvW!>^ivR zmL?__Rk>G$>j>h9k9KuNYJ_(Y$Pjt(&W%7HrF7-9op)-L224osD^_B)o<$`cGrtrNccF zuewDhr6LnM*kgcIT`EcB(WJ(+BWgRou4nRoe7B!H**cnzo=4W{B;7i?O_ifwmK8I# z&7{afNt3OFZd4rC1ZW(@A5$kP9f72(g?OxRioX;MR0Y4 zo(5L#e#4DNCO;EE;o91Oh8`?uKD??ep#{_O!p_l48MGh5IZ*-843dN>O!03ol`P80 zYOuiGdISaIn6y-rlpUVYv>nM6X<7v3a!7X=kv#HH5DmO;xIb+0wET3CJQc$n(PX>_ zjf?i`l-g8_5Q_Mc-Id0o!sdg zyg&hJ=$v{{)=>#ry70(+Q>~_k<;g5fc7ue6u41}}jyTz0OzPdMi>4UTaDhBOm27_F zEe=LX*W)c7YVkn7h$V|6zsjhGm@gc+}m?#(nE6?iKUMU z{+jyxq&rUy(!}MWfQfbQNUn?y$EyGd(1YY{gKw{cZ|A_Y)*Ys@I@ri4U2rwU>5Y&M z?pE8iYQ~YBBNeL=E}Pdsr06N*eh=QVx_xNrXK6#o{bYBCT;It!-YW>Q#69^%^`wBS zb5Y3Nxhi4f+>o?>;>|EsX(C^{Z&L7)gR@{CpuEu-G2O;J>@t5BJGqDcZ?w?iC zjbm!ma7vHwujpG}@$Y6DeG7ceDvh||gX_+u8ku2;m?89Qd>pr{w(iz@4u5<;Ct~U~ zelu+2wCkQ)?)&atZ%FX35X=jFWO4+)wb+6`TLGZ2R$5U2brz)UdXwVzeW5WszLz-t zADL`{$8FRM(vRhXFu`D%c?PWOGxRu@W*9L~O_AcqxDe>li$5``SBa+Yol&Qd+JaA_ z*y{v(c;bdHyj8+zf#NgN`EQE@Kgz?_DD6xS^!=V0lKK&t;4h_L6 zW4tU_YvQp&Ue_-JcN@fhhY{2EDdqiXpGxfO>i}kEo+t2b5 z#qO+3sWO~Wsr?#^xpx^auPTbm!t0hxrm>7W7rkSuAKAIBYM=&Tqu;6zZ&NJ%@xHE( zzT;9tvlM5wbDUQRQa5Q>1=9Cf0(;XL+6sGvOQ-^>iwRHz_1({+{(F7~p#E#;tp>r# zaOlxc-o>OrVEzj{ng%(RpTgdGHwlC} z>Wqy^6v+KP`*nrMCo9pIX*)6Bta;~O))B8%!ZTp2@<Vw&t(KP^X)qkf!_)u@d z=_rw5dVdQ`m>8-Lms^kFvG(s>n6=qP-ZUvkj&aA|brEh~LBqRCXddT?M~=_svn;!_ zzar^nWp-h+8MwgySYt@XcxM?)(i4Qxjt>{bL;R;>e@kf`5G6qj_lFnOg7MAwMW!dt z&%9X=*RolU^t;h~Hx^50U!EvSTiIUQ(S~fKH(RTK_=D|IL(2Oa$8Qb%C8{2E)3!*Ejk3XzA}0{j}8KMZf(W0AO32Bh_1 zOMmXW5cVrZJ-p?gIwxONxRBxLjnmEr-+Rw`^XBiGAlI_km-VdxKX=<;R&Sfqv>@T4hKHuW-R>&CPk)mMy5*q=PfsEAaxizVp5m>Pj zOmX>7@ zXRI*(Y^zwwHYsmx52n@~l&y|*dn-!S9gHq;9p@^yms-61eOqw+PO-gVPsjp5vPL7(mJInIzLTwK_tlG-g! zQ8P>rHwe0BufgW4L!TmXs8_|$!JcFT+?Hj#A?4nz_r(IUmeBW5pay0gqQ%*ss(Mm? zRcmKX_u`y>9q0hod5_AY!V``X>#CK^H&;k3X!0RXw=P5e_Z6K{=N2>FmUii| zSC3YTEeD|l&f1?nsqEH0OJYJyEoh( z=oR;AP3O^PYP@jfa=rpw*S!+((oEVX>BB!H&Crl~8g6Xl*Kj2TevlK^Tl)gn$O&1+ zChPtH{i(R$+f$IVT76#v%Q<+xk(O`?dI6^hNO~iW0SmOUzWReuv@~aSm{)ZBhq8p@ zN-;QBy`DipDilJ-EN1WVRVe&rg~aA}Abt@eld25^{&IFrx*YNw&I3>0$+m~6*JP||~lg2Fvv zztl)S6x^erqS^9c`kX7iD!-4PIaq> zMM`YmPEhrq@&X&VmzL59jiMR9@F~;09?6S(oVqAHJG-EmR7QlBwBv;dk{e>&=xn@Z zpWXs%M;T&mdtc4}Fs!GUtTx&OS+A=@I3WJaEQ_paK)F(wLO9{*8bG|LdttV>^zO#@ zd7`QuRAR6N%Bbq)WU9WVMQ8PFnB!*&pMFD9ttyyQt+@9<&`;Yfj5+zJm?JBKnwf$i`Sa&%g^*O|I{YdwuT zoptF{zV_X0`lmuiG+0jUKUIb7S)9#IvpE(3@HypSuzT2&7qmI1Qd~1)Uc1zR>S}W$ z@6DqK^;1bH2FNCI!82eCtF0eD1v}QShNAzUPakq&ae&b+Xo({{bW~cPVjxuLtv%;J~9Y}dsOR!EpB&E z%Fd(nyb#^y>S$*b8#19i+X`}67T2X;>OsF@XQwIUXQ}5qD)E+@c&nQN#6|KA#CVQ+ z@I?g4{FR)Mx%=B|Q0dfQU&yA@^^xz?KmIkN-rsjYbbhQ=&-iwhC{WzeI4P*6Br66q z2Uq;F!;2`x{t14aazf-B=wN8o2r{Dc8-K`xJ4B;bDr>hv! zjzz3b*@+Y*qGGDvfaqt<-yS~v#H8F(W{ilW;AU4xZj5+JR)eA7lUR9Q%gpCB@rnUS zC=$O?HVnR_KB*wFXBIMOBZ=X_+hL{{8E)%B#}PwLWC0G3*f~JBm~f7$9u}*C)j!n8 zWs`9@FiE6QQP&L0W6wmCG3wk&J#^!*2z_T0NK?lOEI4=Bbd;m)vUKuj1U#6RRu~qA z)Hv7ddo__jJM=A!vs8O&5e=`%ty*hwA(FW{9*mxI2y0dvw`vc^Fpv4z!ksh%G2H}v zvPjc-EE95VegDS1mWMCP(VJzVN= z1D4}1(?e&S;=+ieg-7gX=RuxTC*8RiZf|`#zj`qTQO~ z#M@$=eJD&D(RZg5f1+m7dP7O&Tai9u;+ya8nF7<^JxH3c%fK z-uPlQQpSCb+_~G`n=uYildHcG`vfvS09A(d`~gB$)#rk5H0Dr5Tfr|a;hOB~RS$l# z6xL=>>htD)uN+?yNN$fE7{@l@dAqZpjhN!hLAoEw(ykWhB36@ta`F;e{pgd~eR z;R8Zx#c{b*)22YMljskqAi!ORVb{A>8;EYa8ha@bI2GKhwNYm^d<+ExBDQ(ae_WEK z+z7rXXSMQGFN&t1Pa)J71QM55)$2oI)=b@wx5)t8P)v6Uus-N4Ln2B+Afp2zYv&(bpwZrG*qv~yi z{v@~0^YUzKi4V+5{kdjkwdMHFyKXWG=CxN)yX};N)XO^C@f6o}bxauK24NfDXs?ze z+NA4e#p0{@PqAdw+O8nRxTiIrdtZ`sHwGGF4HWd~&g(vW)&iFFU+%w_K1Lf6*DjHl zugg^QZX_Uz5W^M-gQxW~6NP*85@=tF0{h)GBJJ1(o2AWhbrXJhBmrmaZ_HN8@Vh7` zWhZkY-w6x0cn7L>oqN{AJuVk5fYpi#I>J4^*_LtX*JCQ$j12PIa(9sr@IF@pERXQ_ z8jka=#leqRNt$7WcLT3Jq{^lQdW|*9#S=0|Wsi^JZa^u;-YT2Oi}aPP#g1|r^n*p?FP*1nY&gV)rCqG8*(S%|h`(OH`Cw=Y55C z0^PW!u|;a6^~LHuU-Lu`Zw)~LGisgrn(;1CV=a?=1^MMx$|1L zWSWFkZq=9bJchwrV{maDFj?~eSIonJXM%$@_|8VtxA9-IoWpjaIx39rQHarYO@MVnREu8I+nbBod#zQ+l+3W#G^@EzX}gUT}6*8S86lNR-DO6nuU~^`ABEj^KrP* zW}gA(>kW%by#r;BN3#!K%Hvq;E{vrfNmIowl}TgVPY{3U1f~ZQt?R98R!X}ps4)J ze+|UL++!+kls3V%2(Y}0cd}d}bQSl5Ug=+JwdG*=Ba)hiT^rN{j5NkLL|-ZhuiNal zkIB{vE9`ciY zJ8hjA%C_?X`danoU8J#^2g^1Ex0Rc>BC8QRjLAe4xR%AOpS`X*NPwO!wU8x(IDhv@>}fU|GmZe#y? zvG33Rei2`v^4rzb`{$=mgwf|(tM;_O=jCW!F!;CoB>dp@kZnQ9PyOp*C2t+$G>?ye z_zqZ-M)KLleU|r;T{`*o=kc0VpjOZ8$>Ef6a;Ej#`QD9syTmW0uCA_eW5t9}{Y^&a zv+>_2?&Oz-=~v8s)A{j)+fv`cyN=H1Rc+s-Z_bgMB_XC?HDTXPN_O5!!*1`yTt!a; zCj;NrsE3%B;;CETxP(vBmY?h4#|rCB2(w?Z1?D;(bga~)#~Jn!Q%b9uM&EVGo%wJ( zU99Y-5!3cks;aM<6O0ev!}A8q2F0{|8{GzluJmKcJ4wd%-psULbDN#@w)LBH=5?bT zKwvxR4#`sVlL$-hQRmwjh1;P#zUq=>M})3u<}2g4QJEuyAF(<{3rE zNigFEMy0?%4dD|h5gvnLqq2Lua+QYUm5Nz8%<{tv@o?;|BtRoWtN>1&BYRO;zlaGSVfg;e@vSZ3+~ z`bx?$Q4S6F0d)IHxZH}40-QsEBCkuKdN~LZlE!y{9$uj zd>vMEhQq!1dv8C6!#O@WeTA6z8fZSxI}L{<{G2CiEQUOq_B#J?zI^cT$K3zo*QsqW zL*NJ1nk>|TS)aqWgv!UanEQlkCKO0aey&~%&@kNPhXDM>xJ=5=F`fHFXwK8PLk#O? zf^%cDU(syGv2W9A_Q>Bfor4s7>l8bOy2Qn>p*L^SZswouwV3l1>e?!VnYFDqpMkoh z!MOY$X+9?ha``Lj>CdcftN9G*WfjJy`)sf29EQJZlvozhy3}MTVX*9TgLxm6P08?^ z&`s|`VGiPY7u~w&?3U5oa^RD0R4Y#Nvu3ku{zsP>4|+3_R&z>z&+I%9Y!+x7_4Fm! zHL&Lc*vt8JO(Htt)1=)P8-Ha=!Z9T^iwN4WC3%;H&_Qq_k*J>V=uVXcb5$KwK2<0|_q-#94g|rSE^<*&XYdp^L z<1SUZJr2aJQtZubR!y_{IiPj1&<$H);9f^4L5|4Eu@1w)u)J1{MISVc_t*Ho+>sxq!$V6lN&zk zC7W9c({Rd|!wX6mI`i1kS4?ytx^=gVFpsy2Y^aBhZ$F)Hyz%ixCUf>>k;ju*vG z8CizC0c_%m^oW;&7)edq!_+TB-0&~zBw}iEmlcwhHLAWzt1~8o$Z!+MsEqJyYFU%t z-?m?_QDea$_{FI5?eLB!#ctF{AyuI*f<aw) zQ4#zQ-S=F=Efyp3;_ZA-{<78lkF1-B*FQA*t(p2F#(5L#N;i(NTso0Dx_cC!(WFN; zv0j4L(_HT%74x&!Fm2^F0-n*)2e!M~*7OYm+u#kuE3S!6TmXiXSAq7zur=~`sNg#T zL@U?y28L8eJal7a^O6}Ix^1|$Fj*?pIq4^V>zju4;tA;G6QrAsI;Zs9a{`RDI?^*` z2}k&yw8Qx=4s#WeGl@R}$rmSJt84)>5}9h?Q;X=3F-N!<+7o^Pv+@#!_yHLY<)IuO z<={(Q_!ec?61G4|t0Be@sqLw}cqa}KMc8TrzQE})B9%!QxwBQNLq@hG;T}b~VRi8` zQ7>}Cu(N?DfoY>YfN|d8yz9}P6p%AZXGG2F!r%q)d?zKY<;do<^;u**TT`#>B}B;; z$FKk+>#e)0R&n4IR&#ZKSP!t#!%sn=CM@^PzYCavq9$DJ@e$O6Z@@1jsWDM;_-{7E zCkn0o`32@Q;?sfdawpqp4gd4QxMn~HRmt-Y2A|-RB|8WoBrr>tW;?L;1YE}EhyMfy z7kJy7cv!VI7$Y=(uF?}(uXlZz@_)hi`Z&4H3m-Hs{$yM9LM*>+foI|vszL%%Pc{}0%wy-ABq`!sHF5FnK{Hx z<0va{H0nu)9MOp}s-PQG#3W(x>!mZyP>(i(AutR!L#NiMS&f`-hC2DvN)WM4mBFj1 zMQCa&;cJ>JnVaV;CuXJo7sHs6`ccD{6C8%FD?q3oCp}rigBz6U_vP>3>CnMwB$@(5 ziH~5!ngYoGgJdCu0V+yMAr-KJhNqToYF3mn^_E6$7#h{PKb&&Ye_4Q#TiZzxzI8hTdwm9 zJ%y>j8{311OlcgWD#*gHUM`J!dhYb{j#r;w7FIF()`gzk3Lp2Z0@AEOR93!y(^SHb zC*SYZ$IPz35^8pBNR?)P;SNha0J$WZ&XlbR@@;vB5uuBL*jc8R_aYt@+s7u6{B=iH z_l`LbzU2cyxmFjdLqccs2O#J3g$Wn)`8P>4ud0PYAeV^h);+K*N2~PU(JV_w6M(+u z!iS`qy6ZE~^S%M<$C?VOvs!f!A$0f!-4AECjiP!58z(=HJzQ2id>gwj*mhQgb=7jN zO_qQmA8d`md6=)7163hM9-4-^c~82jST}cd9=fg9&z6@Rx~V76jXr&8bx&^=*Cuto zb<0=JmIV;HE`N4ZM?vejrynf~wuCi3k8YHzR;n7_y0h(T)xjP;$Il*_Km64rz;s`#y(aVxv+ZFwC9D$YVeMklaAR(xt$fltNg#{q(<)BD%xO zDcCPckjCC09LzKxefgpFm*Vv8=mEd0+RAusD7c%x$$)nogfh>Oo88e6H)MwW_s~mv zPSMOL(cgl(HUC-8mJ-xg7p*D$;p6t-*GYm&8XP0uXcHBE!jss|p14+S1 znunh%nE5JBMXJu6s1dZ`(-Pft2wam0Tq_7`KO`MOrk&t+`>d!j93!;HOyr2YwE+fg zVSxCaIfJN_O^HX(u2EQM^<6B%Re{j7ShB9O$J?o)Ts$T%$UP6+F>>3nDiEq#6n>_o zMnH}2*yY+`c)RfSKk*^|9ql#fLF;YMz#Q_-Y}RMDrJeUYkPEoIRd=Um_IWl={?1}1 zr7ZIUBULK$p|oby2km zhsZn0;CIcEC2f^k%h{AT9oN%|63Tv8B{@m-to+nvPE?ZnTW#C=X8 zS5U>PO|I=B3V}w&9zTdKR5x{!0MKLb^57$z7xGGpk*-0x7iuR|wqskiW4*RxLjLx6 z=F3*3F>3vs_VwD!#I|EBfOxY`VjbJD0^2bI+p%5Su_iz~>G!`GUj&FR+Ke{>>DTLL z->=smBjGT{CXy(`qt(2GP+7Sf8QQBod|Z#vk*=q3zL+t^G5pZ;u+N3}gMz)6iuc;zAqKfo&%YlWbLCtjl_TB=*lA{s zj$dTt-vEWTl7kn?HY&-FL9$Jyuw}QW0OLeVWizD0NtWtXFO zCZTttxfA0!n6w>hu^n@<9ecDLL-0p?j;(7C0i1*a4nhGJq2B26hBkdi7>+bV-5`=F6m{IPfj3VTo4*52`V^L?Y-TFy?bT|6 zUzVg*cUQ!4{;KY2+Cgr|g3(zUdJ_TAIx@)K*zty8-R!sqXij*xs`rul-~M~`B9uw- zGmqPhzqA+asE;Wx4xzr}PKr+aSMeiC@jq|ZnhJ0~UnmzE(<2vK8pz(Adi=j|3YfN9 zirE|5mqN12b=nWtv2?iC*&2)~i+{DpBu(wOzAWq;2z+XT05;;=%;xMU;9(*ThNrt; z!zzDx-Q%A#avbP^7$hx>|q|D?vEAPhZX-QF819ERjdc&A}41@-iN`{}%YfS{Gv z3y6K2p&U0D>4;BUOBMg^v1sF}jtIns$2PJ9S2 z$mvxpaD5@0)mE)F>bS2zwhm&c=D@_6E}Gdmtt+S zj5V0}V_$A4MQ(^9J6!(9ZvEP~^2pkbA${LAH%ObpzE`2|0|*_s$d&U+Ucd)!iai2V z8N2sZG|Gq@kZHV9pIHQ87Ve!J#{r69WKAERUicQ|uH=3>@^;iDy#!oFN!XV6;1p!A zkzS=ob2Q%{e?C=WY&V#e=+Q$Fg=Tp9?_*7gI}sG*BYKQwQ%zMUPb%!PnUL`^1s0D_pNYncmaC>xU+3MzmN%oW0c z?%u3y=WOc-Ny*rKx;(}!r+Kmul;7HagBcQ{a_c#r)==dMxa@EgioiTPdkxor2-t2S zsqMrR9mKMNe?qN5lXmSxffN7;Iwz4-o=L8?2#sCQ>^(K(lE7%%DV^6|WaKAn-UrTR zXXH4rrL3Y*p`YW*n|rzkq1BEea!w*@U;{qjwEmVxK|myin%kk8$Dx}0p_=ER97H@0 zrh1(Wy$BZG5E~FV*B^ifx#nz#yPrt^G6=A|AxGvird>&<6~kX_JVX>T0M*Do6%_q! zcYi1hqneUyV3`=$49=Bw&qTZ7p{Iczk-24}LkvS!yWISG5$RPhuJ4ZIBz~l?KPdLl z<%UA!hQ#ECa2rubYUgm9P?(6^mDvZ<<+tI3t!DdpwId70H~hykPoo33a_NQ?p76PZ z;m6Qzp+DKWiOgA(PvZPxG%sk=LpYCQog#}?}Gk}!oR)y%?wt# zx&5O9>IhRRC(!k^C2%#3OuXe9oUDi{M!cOyBHW;~3)DgN&CQmn?-}_XN}u_GEg49P z?1hAykxu!pZL}JndEnOYq;6~x#Lg4`0 zwePO$B-V2hv2_r!brG?366r;hY}iB;bYmG-8Pa$~p z;X0BNae2v&XgtF(EK>9+bauc~rJ2VHZPy(f{DsLO!N58fU4-U6=ebbtT+;~vbsYE|xflIInUx0td z0e|D;{X*POA$_iXM=#xWTJU{cw&?v(2f+6^lK5VV0zko8={+%aIc&#rrX8;wNv>Q; ze9uJz`=Wqz(f8?ymmbtxD2hFPioH0wp}g#H0kB>3&9@xk&plDz9Z}vBwSzi2Vm~($ z0!I=8R}uoy0fVMv1BTjmtK4wMhcS}+CaSs7^CC|!u|LC{(6D}E-BROWv z2*81YS@ZIRG?DXnFy^^*c3{o0T|0w*?r~@~w4?)SHw1JoVI*PEOS1B`QFb`0e}2MY z5LNq9Z#`3jSJsD?Mmj^!Z|i+DfH(uA>WC_WTL1(iJ~I((6gD%F0w)UeZ>{?mQD5Yn zGpdNcU;=Y2*x+V=^wu(qLslb=p&QsbpiOQw@;jWmj-Q`r&aqF%FOc3J_D}; zJnkE~=2#eBE0@yp59L!zn|XRoHn6JeaB5@N#ntel_6}XVUUw-3J>XL0d7>FI%%ck{ z)DYr0)W`AN@A?T&8MqQc7*_X}7LFoSgQBcr8rq{9N=~6J#sph##y9)d6*kkvw&2n= zn3T$#qt*NEYf?HriXQ9YmM~%kAI2i8Zf=uaSM#ggrjaFnjorh#p-6M(3hnVV1oLU# zM0oP=wfgy_6-a?wG-$z+CWu#ZRh*p z06w3#`+{;ZhN}lXtC$4HR{n_`_9|T%YB&PQR{?yo^++6 zcUbhJAz$DO>uc3%W9o*OmHpH8>Neq$=VdA&NB2sG@?JY`$VI>w$J(!in9%ESkJ=>` z>Dhhbt!JknQ!Y66{^H3_ToWfKB!U(y@8y*3BjM)8TlKj$yk zrJ&cUi8r3JH?pL+;`mV0+yza43FnHB*yshzSmgkk7Ko8P(amVOtLZ z^S7PMpIIC>_s1zQ{HOhULI>-sa z34xNQK?9p>T}{fa1k?XWq@#ezLs?lK=W-6e~K;&fw{4g3uZubGw@6+va=jp3%wL9ED^WVgG2n{MKmvXc_8L ztAU4*43>B2RI8~I?jC&3KLvJ>7J+CJSO8`r-VJA!nzlYi-Lgu2{wE_H%2XsJKvIZ34w+ z%G7R3z5TMaFi;lOAkj=0`2(wub{L<~s9CUJyV;2MHZv=-;Vh`#6}YY#<^A^{+CK!| zrxs3~Si2q4#u5HzSWI#>?|_prn6h^xwe~tA_l*n+(n3Ok=hFR4W>nQ_mn<&Jbj);_`PitJPF7Wl{IUFqw=Ipq zT5U22>i78CrNh_&!#GBdVmDOQKAJG0Hi#uyNRYJ>956y{umd?MUI(NJiLAQ#0Uv@7 zs+_mUDE4=#^sgY1sk2=bSVDuQ0@AMr*O$km-1YnR3Oq=giIZ05m}QZVf*6wn6{LK%5>x6>H+s!q=g$;EpJkJBVog)!|2Vu5)|RvrR4L`?@HGr}5)c zo5SdseOPA8B6_bSI<4l&6PV5Z)wH}pXvQvRw#v%mFtfEXYY{el}<1Cp?1;M|eW~#5<>?GrIK>q?X4Jn>`U7b$8Mr~rQc)-<;m6^@lf~ zJ5oltk=h7gY;ZoPDO%2DdCf{5`A{<&%3>xZfolh&reJv`NXHyHuYcT~GSZ0{#fR6Kwf8pO1a~yqd7< zaYhCCsRqY@F_qmw*rI5o7Qwu)i?vRV{Om=97)5(YXdO9}p(i^ME(Kd-+;Nn`(1hlt zre2@MRSgbiW=7~-;tRGH#U<58-b^`++j+W)KdB&&z+3`rQDY9?zS$YU4`Xlp4~0q(PKa{vDj2%Caqjr<#BKdm1-|Gb7K}M zk4-L-B?GSaNGp z;LmapQ6aOkY3#rN&_V#l+9@&}Pz-8k-^tv>qzi8_1!C<_D0X33TgqsM^ZpMSdyrazgZ;n#W)POQ{A6_&^G zD-pwqgv(}Yd*s{Fo_i)$8&yJPK0M74uF@_K#my)oO%9g9Tv(8Cswyf?JpXVEs)#qP zwGm3;EL^_zpXCu=y<}G;4>I^RzDYu)quCg@XI@9B<`S|+O{#w h+LH5AEUD48&h`$1ukGwz1!V+{UkI8xyc5)V z@9g`pvMKHSKbmvr;(Y%P&ebvef6Vg#{}}k+UJet2Pb-z~dH4RoV%)mNT*t-a8qBs_ zlHm2b-%Gw|ch?l$dQWEfg1G;1_iO9AWL*B;gGUSp&2cPug&uypfBzdpc=OM-FZo+^ z@|)?M5I7vO+}_W8FNuTznTG9(BbEJJb~cw=y*CF^>lkII`kN-aPskM&;WVzC(afSw zi5M@&oWD=evBjm0zB(LAH1X={E+Q?ZelAG1@74213hoYrGU7X_iWs83iLqhy*nosa z@6OwT;df`O35+C%ci9}}?-mm^Sz9{b$pcun&!OW}3O?wOYwp4VSIaHxTT=?l{O_p> zpBzr_qJ>ZJ_g+Unde`!BYO|jIUwph#ygVg%kUSngqZjrJ;OW6beOU_S3IiCl=s+tj z;V?IQqOuVYG;w*^Gte?3!ltDRYZMQ+E$ieF1byX=<-ZvE_07g@1D=Pra(=tnpVo7g zao-+@MU?bQ?3wUpj{qie>}M$856Y?C^{ljK9`*d0Cnc38d=y=`j4F4^@y%`08ra$8 z8@%{M&-Wt#)xGZr!KOpF0s^R<<mPHMoD-;R+l`3@RU)iFgsJjw5eGc*XY}TYRF-xON*IKny=2x?RyYiK zZw?Hn-2oRiotf!_c?@*Hg(Z(CW>%jbKzY_eEwm0get%qJUN}sz*RFA8V-1~_GC2)i z*nq2=B>zn|LhZ~qBVP_cUjhm5MlU`;Mj_)x}7Gn4!CU7p|% zR$P3*rb4B&hOW_tW;;&NEofEJT}tJ<-`Lp+j4(3{XRB}w76rIwHHJ+FJcqj#j_DNJ z$`m|W3-18jTPmg-jek$Gh4j>%7>Y0K+(CSs5k3S`q%4IC;dSfahZG z-Xv9@aZjKo?s4wHzN+QV-9p%UeG2P(8?p#CT4H_%^K^FOl$fDk*WPY3I(+M=DAFb! z*Ko)xap~v7JE8lR2M?z@@kN=f$!xlAuGfx2vXc-@kejG?d;#M!et#09d42yH_6=Qg zy8G;^rA$jEOLT2&y2}|t^A_W0;T1}N(_PPvprkS{j~gGgpVHQMS)bXZeOpjTX=&)W zR<^0<-$2$fV_eKHihy);I}Re8k4F^S_Vu(5)hFH*oF<;RSkvt69OmCSPffj!j_f~E zSXtEB{)vl)0K5*Q|9p0r6rSCA+kW)73g*L!aLhafqUsAwTH*S2BEFkaH`!P}6-$gi z%_wumH}Wkt&IUxpO>wmD3$?prIh~qcSlzLIadnn=U3bLr4H|dDF&fj{ny{(I`HIhv z;#I=$^T+PlEw^~zFTZI&B)w#R(|t&7Hp*)f{BG6px$2+@=`>8oFUwA}?xZaSC!jOq zRZD@)Bf_r(1FO4>TBjK>{rR7!Z#Xn=14jzS-{#)_8{$~iTWg`FeYy11XUpDqq_XBM zw5JuS>~sj-mB^W9@`|~siK%FBQebmBkaZKtnZKy1z+-pl$yb*cgOczGaaGVLF_`gi zL$zw&T2ACw91M!K{~`D0mS=3s`5%Np%|N@T#kW}})`6nBB?k-12T1{~s<{L?mu5L{ zJV09g;f)sI-Uf5A&1-XC!TN}ye@?&|yTVxF!kaMXYK2*$dJy3gdP>l33p-#wZsa%D$H%g5LG zI>m!{e>EB4@*jrmbg2~b6x%9y9^3JC>Q74DvLlVL>_qKM>SU-FYBoEQx~~_r9(?!6 z07d?=x!w?%&Np@EuN;*=RKI9$k-;~2XGxk0b5wqSD^B;jtf(*GRIE}6UAp7WQ&=V{ zjRk8rwrLl%i!46z!GAUR;5kV5^rG24O#BcT`a*)<`fXP?vFXu5DX%K;+Uk9gYa4K6 zbE}|s!n@krd`qX>&42bDlGauwS(s?*xKVeWb^6Oi=+ksMdc6e#5*Rhu%R{-HxMMT7 z&Vx90BJK3=?r3lDLR*#f z7W~sHM09P= zdz>duRwc=b-l9#QJT%Kjr{5fV4gwwGEHVLlB=QIp7GocE>RX%yk{7EN<9ehk(JV*N!@~l6o=ZX7R!lDah5dIpalX6}2tz}K zyEyiNDYj`gi{h&(16SJk%08o}XO;Nk--R!iw7s1Iy|)a2my5m8rVouA68-Ho@oREa zH{6(qS$ui9-f*X-24tV3e)mxW)7J&P=~ zssaI4*RHXdO0r?UBgReiRJ{-Dcz}GacbC_VpZpjnv$q?NpQ>)4V%Mqwa|{J zUs0894bvM21p#M$T^)4Q5@}0Y>Y)x;TNk5Kx5zje@SdR8@1G8oLkIt?`UcFh_#IU3 z3Y&#^=;fj)FLTgM%|M_k=7bS8i?`{A3ez>QyHdv+)QeSx$XI|NW{g$sXqNNghcpje zOtFiY4O}6ZAFT~*h`WUhej_6kyxhPYJXBDRdAD=cgSuO6aiBc7@GO@QJ3a50HX>G# zSP|0sfRweKfBKr^)&w#ewM*Ky^#dgj;dJ1uiq(DskcRh;3*-<#UKsa`rSX3xH!k+m z>IC3*r z{_%CH58>GBr5d~27(I=WMxIEcHh9sJaLi3MMMC~T;O47 zb~gw@c?a_XjqfxCvZcqy)L_kpD75v?xl8Mck$u&ZJGQNm3u^hSqb2vv9>01we7wy7 zV#k5)HE8tP9awTs#!n!>K`Y9Ba9ipCZ!5KwG(OAIt+bl^t%2*+BEafzK7IEpt33z! zHM;LQMx@@g$8-G;<}?4e9QubfD*@uI7Hl*B+d25FK86b>kQvzdS#n);#CS>YNC!Y9 zMuRs~4L4rQH;`}9F^B9bHb^y;gL(_NlkS6NBn=R+F}L)wBBxUiZSSZ>34pNR?YHQK z-|aJZJ=2HL^~|8a#GQ$CoUBbm)r;s3w;|7{*cYhfB-&Tma?;aId-v_m^)s;5?{zd? z7e{$WtOD?lVweA`$d4k@8$0n84MPB=`QRb(WRQ?r|So_o%vl~BxaM6hY%l1L^iT!$%EGNog!djD)*4szRh7_w; zrQiytv!>kd^wk8YU-!bwI$mW>h7`NO3h0ooUr!1$~pJ;Ah>c{p2yCqvEVhP82q%rtu8a6edVf7$t`NoVCY) zOy^%@3|1S0_GT?>vOLKvTcJGocEd|=>2CjcRH|8TS@`KfdxBHoXAAmB%%&w|xMB#} z2n$Co^t4YMzU?~R2t+v2C_U!UV8 zL2V({c)~dLtjMR5)+REAJ#**djf9RuGU((L0%e!*i&*l%UOxfvN?OUQQWRd6K87uz z)WHJ9Sz@eW6}Pt(TUljaj85_aFpt*9dkc3&9mnb7(_#wm#(D>y00axbMriC5ZZ9r@ zpT$>@-LxAcByCyA!)KF_Eg+tJBqSR{^GigH(h*KkDB{asY8DRa-Ow#YD=8Vk8|C%hE?ccw?`r-DY!XHiWJA_?4Hid#U2Gh& z5&Xg0dRqQC-ft$?{!z7iP7&*#t9p>abL7uy?*UNGlIDpGBz{LTCpY#=@Ug}Ii`{Ix zgI52{e3!6JR_i}7;mSC{w*Iol7+PuM0~<#=J@-l924?sNum8ajPZGSYj9yP_2!gaQ z2JQadp`PPg@W}?YO1b;lAXitcc)h8;s3HN7E0@f97%pH3;H^PD%mTGaslA}%MW$Gh zJ7(7LJ)?@DFV_T!`T#;u?-{z3>7>h()Kbk`LO)ykl{yS78PjJaSoQ8awp86>oU!fA zIbrt7G}3b8F9?1&{&hfQr(2eh5)#vg7ZT!_MDIu|yB1VI!iXJ@9snNISHS+yQhZ~s zcaDmOnwqBS(4y3vT!NIkl_|WcBufNUz@yrjYg18MRdU@a?y}nr(IDO%irTFbXKhe- zwUfXXmDDGC4j@OqZhE=EYn@yp2j8`&ud~7cwt`>C4Gv)|tWEB5Kvh7A3RO58 z%)yG`Qy$lP<+2!mT-U?U4mLel*Fo{Ilh`D8?-E`^PKw4+qWlZbRD;#}9;;2=My~ds zWG5dZL?(UWCN-Co)X*You)$+h)J~p9b}p;1n&+Qmaf9Fw7);3a+4Bi}?@Lx(IP34H zIjb$&4%;nY{)d@8lgN{m^mevr&;C7)5@hc`%&qSM#GWTM_;>DD4>c zb6~94v}h4gZ!r<>aX5!Kwom<$o?9MFlKeD|+*nTo2=i0ohN49`N(@BD>EqWa%cBZX zVPm)^s$1GR;+a(&6j|uAB_^#MyeF0AbTwpF)!xti{(Rf(U;#m@fU%8`;VQGTs5-v6 z>Y328dLk~IJ$Qsp?4}Fcrh_?%XX0GJBcnv5<;pDK&IOu*BZZ5DC~%i{Q#0J)@ef8} z`1B8{W{+K$S(g3-r<{a)><5v8_R4W1N&_bq)sLu;ktO8jTAZG`hiymZS0JfxMy88_ zvsVx9uYmtgh#*x*SU;bG;a_h1g==jY*uJCC!dZt4NgdcOzNVh*EJZms;G()-RHmLo z62jx9-d_!UF!F0q>5*D5-LapWFLM^GS$lTEPcpbM8eT*PC&lfQQ1{SC!=JX6Kf!fR z=x;uQEb>Dr#p@yEVmdEJTePD)fa+lCHNF zJ6QuVfiRufxBoHwtsnD>@slvoy1m3Iq=y8&&y&i58(e>}%$jWlZqsY7LjHE4p75p8 z^9!bI0Y*SGaC|{X_KfY^MfU#nXLNCiy$6wAPNX(|3`{*ZSJdh_eMe?<#5!>H@u$ab$x5x zP3|-B)!z0fKfi6_dUYG^&Df~Cc{+dfytmql9d7_m zQ;{ZN((7Rh>Iw?kZ1Q{Y%X$<_oa~DsIE@&1va~99rbRF8GUlDkskmgn1Ic&7wm+fx3u8R5ISZ=iZ?=g+k_4vlJ zqd&s3CwO-C`p(18qMfURA87{rt-v3zvSe8DIVdBS#Zt|$x2GKc6zXd$8k+Q=+;s$51 zEKSx5C>b#=Tde9BU+~#HnH)Ftg|sXk&EAu?K@0ViwC%hYCY*SN4@=P%GMX>Jmmd7Q z9~1M5YEVY|TznIjc{IINWbk)1MB<9kb>SY#LfAvW93c?Iclkphsc~O>E!Jh|cJhXk zh*HTz`eJ3EtZr4sgrR|m5}sfALlQ{FmF8anmcan|5=_`$0))z|r`P^?D)K!Tcp~t_ zG6pMQSZq3}5%X2wYa!{HFSG1113=Vgm-qTw6MRBGoP!eU!*MM$^CKI3YQ`A$4wFRHHZX6zzsS{^6VnqAeFORaRi=+5q z>y^*Cta#Ton4soPY>}8W&jEmE2E9rK24olXumIoh=<+)O z#e-(gzQdJxRG*DZLartFehav4Sdk@Yr^WGAdDUH&B-i0B6ZAx)@?fvx;&70;6K!<)Ji zXg&!igh@Qsd%53x`ROAVQ-eB4h~}W&7sTwRs?pcwo0479s;uDF_tTh5VWM0ao7f*j z|Ea12Rbl0Ewty-Ydv3<1;g|0^A)ft@AmkN9Z+ zXj3IBM3EHge#>{YNd@2N<^1S{`LtcE88gP?jhcJqH~UKDAMo97)GhWmgq!bthBCr# zxMRGB5Eq!-t=(WO`wjxQ5lDpg9$7Mc&Uvl~^@a zlFr4OiDcNcN}<#I)2^naiJRB>R*NM0Pvkf(>9H>@ag(a+*5r-Ub^5fGPu12|G*52? zen@43-r7QWDXVsRZT?-pG$CK#eR_r5niqObto8pr)J&o2(ZdrzG@sa8$^m4Yt`c79C z*-w_bg@veZTZGKpMnR=u=a)^v4H0mDYeqFaPIa$5&R=6;rB#!&J+GmqZ^O=RJ8k<^ zyYY99@l3J2mpPt$|6#OD<0n>9Btl~BWE|h&XEap60X{4pV3B<@5azm*8|%kb$qZ2k z%_lBc+X>w(el4KM24HiEqJJF;`2arj;B#bS@O)X-{o7I5g#nL_SNQEa&2e79v;taW zh#6-;k4y|Ox_n5U3>LKkY(C!OTR0rw;LQ#B)CDQ5t~Kx6&UZiF`=ZIByt|^A@Iz(g z&9mpnIYE~?wMXuvt@GC7J&UX1uhPUmn}tSs`nGF5j`q7_aEt5@4SGDXTtlPSWBx$ykZ1ePa!zEgM>6VJqEK>`zM zLV?lS37GRoudmYG=E2A~I-KDw6cWmsb5+ahsT}nw6GKOV z=*(r#F;}>n_V$V7i;7jijQ`icH&QRoxcMSj^JCKl>XqbaW_RtTlkO0|v#AQ&7|b-W zKCNkaIgHKuCu0cAoQ^YEOCDp>aIkNv6;qP>c$0dh7Mr3cS;<5P-D=l_hCk*cVj z*A69kH4+}oIU4l6_MgkAtd@+Zut!d8 zlu-e6TnU$QzSAM#)!LcJwed;$f(%c%H&$xqE8b695L)AQ<`ZUB85(inNM~a^tksPV zx`_M*3+ z^h|wed!4srGN|L2gYXS(Aa3WZX}LE$X2CS$>wCWui<^y3x66^@i4h=3m7@+>4kuXd1YU;ZRT*g#3-{vd5hQAN>8;A3OxyxY3z zaQ>aOm;{DRKkfW#b&YgG)N}H~tJfPVSQlq&~%PGH>zl0$pd!^LFIM$-J}(^AM?d6BS2&-F2+MW(ye1@H4O zEiM;hQf2MDvShm%IqcH@G$|1DjXpo64`H43RA^IihA|GAT@;p_@WJ30~{rOA?%xf8g-QZ z(OHj8%2bbcjIb6_8+wXR_L{==<;fWMTqtn;@wkOSMwWUI-?&RN{mMG&g6V#6(fOp@ zwvQ?MuW9|Y^>&7~iV2QC2mPi_e|%?Om}=DgnqnpI_9)BlZLqI_^V0Y4B&_D)(kpYl zJQU94SVAU=gKtKOy34f#$+y90ySD*$4RJ{ClQ;pSWv^{Ra4$sSmQ?(VBNXFo=v1Fx zST_!jiMn$IZ?O}{%2ND@0=6)r;Uht2JaV`J*gd*|^s5;;9J5}0y05<`Rk#t4<1-Eqq+dLsmTW5)~ucJu! z#cd4(-ao^0DP$odyYZ$cWA_g13~4be)i>5Iy+<3POI2?nY_2ekgcgRd&Af(&>|c1; zs>VMbo-#b_I#4v95sRqZ|ptgB* zUrcmAo8=33%ck+w9?_bS_v@1pGdoSzq31Cd;tkj>(Pdys_0N8ivSFEHB>f7f9G+fv z{SQ=in>P;Kq94fdd@DOcxiX{Fi_jX6{L@v`4i z)gC%>zOiyFZH~TNAt)Yy{OM}BMAPVxu{4xs#L^2PZb?76|R%GcYhIBrM1nrvY1BZUzY~w z>tqDKbAgyPQ`2t*+@Yv3iWv`EeWS%F>YILzwyIPAdcWfHYM0P(4+KM~?!j8{y^=>K zh3uO*=rhHlSaM=fnC{Kwi`(t&mZaJg^SSNSzX!_hk;9^qY$=Vxdy84^k?j;YyZ7Wj z54$xKc7Mk2eLkm!dVpv$O`9lP{`>0gnHA}0U~dFJDdYX&>ugE5=ixFlw)uUVjC`I1 z0O&S5kG<;SbpM#IDwq_J7HB(13frL^=+?*q#l0F&y&N$1q+Qys?MKH+tq&=E0t2=z zlab%xq8I+lYfE~Ua$Rujfz*z!P3 z3)PiVRRx?s&!82#%^ZJ3)I3X$++IXzw%p$N)*c{C_=VkGuIA64S0Jd>M+>)qWbj(E zhTgy2gy{5dSPUte$KFwUdG-YPs2wKS>cA+Fq^OY^{n}GUfXBBr1oJ1(38!?anJH1D|t)L64 z?)SlU-c&K~K(2bMfJpt&~w*3BhYh}wBgc+_T3ndqFfZ!1>lqF(xG&4!cf zwQ)9`jHPIGj(HG$H4Um$0-BDg({&rGPoU#M4kc?7omL1bi+zn`10Sb zIq_LD1kOfk?dYwh^IolyIifMT%r*M~7v~a7Xim+>=!0QHsdO3mGlHDhTio3G1)Pt) zY-s0({sQ0(J)ZjTC2?i|%JuZkdF}+OL63eGmO5PLCWY)L-Oyv;6%SIU+2j0{IF?&= zU|ITGd^Xfuua=gYOQQy;9HyFLcfE{rw%3e>`PxGAn+q$dDYHni@5d`iPMh(wgV<%Vb7V2l^2)oZcj* z{k^Gw!rxy9Ok{D|rkF5>v86ZV=sEyeb{s%8~<9!ro1s{eee$XfgP zvfuY%sEYERcI(Tv)DT|;>e;a81q;H#S%rG1NuyxMLPVovy4%;wVMF4^w_njM%vG26 z_NKc=_<+&?qu|}Q2kmE%9%exA`y3B10i|v4rus=EUyHgq9EI3kPPih1TD|hDTIq|_ z`hMvFaJ+ZUt3T98{{x13q9dsYTRvCUTxBg1HKAN2z5?Bj$Ya=?&|pGpvLh`%~kIRCLbh z<;#%n1);0Se20`y(Ea(61Ro5`G8MBTm#=FcjMyiR%QxP7o*CC~$Qx}XJA9g+O?fGC zZ-g;i%&*4Vyw-GMR9A~@Y=xPLxYW6$S)-EDalTWu&i~)R=fivN_}s-*M?ObS=+J`H zo2AU$qtwdJ{r^Vy%dIA5JCfw1q6{4Obt*jEyD3z2&le7Lr)^AC<3eR9?V;ZhrT@mV z*e!hwAH8GQJdd8!Oz0L|easw(OcB25OT1|C@bI)_Fu_XucGYL5OYQBTmUcMmVSZRMINk>M^Iw2K$}H!P?x`FOfvleLR! zID5CjGXgL>T(F)7Di_$0U)aKO1bauBm$e3(UeoRet;Zhq-X0iswc4SiDnl!*71fHH z%-UbKNFKlGa3vj@Bh9v1=9)4oAI;v6=7=D=-_;nVWIZq6l`Uv*=8t4#67pFfIhNL zPTJjUpmXxJ4^c!f!j_Evkhz91sup+1)5T|1PC6Z2${yvR)gu6yf7_cg;}r1gGochr zzFRQkrs(}y&i3FhM-Bs`goW9A^UMp=N6)FkTYSs&Puvkj;U0R!8)I|Lr(gWP4bcp- zmry4xUXA0%jQT{}ido8=QbgXa9HPsukc$lyOcaUk^_^l~fL@4~k_LYo5_(%BPH)&f zD1%#>^bI=!KPv``M4#rgkDXqxhyI~xCeL0qGGkF~oNlkas-LcFhx8x2K4^DEm#3(s zKnoq=RrNtX-NAZ{0{OT>i@-c-zCZK@<8|Apq7r>M?&``^qyvK(JNYR|UHDchj9rw* zorsr|&JpX%?JO{;jY=TrcmvOwg)&^68MaU3$?+`v4cPek(@P$7dDYTX`k_md&Dv^j z(}wV7Djz(+@{GZ`kfpv;yilPZu|@t~E7N57sV?SA9dpE*MP}AZj}P$+s4~a;8N~sS zY@MH`*up>w%RL zla>1TT$z`U)c5*JBSV!mv%504S1P&I*W<-5=hLr(MTtKL`vw5tSXggmN@Px)D{*>) zG;nEc=srSdsobiK^9r?@7nGuWWvyuewDY4as) z>Zo|&=O-nK2gvcZ=oXL2A1z4-TkKiBoL}Vf{pBLY3~g_4$q!%eYLdz{JYK1%{Ip4G zsN-Z}upIaQ$uaZ2Zu{PzoT2TVso+8Rp_e}~%J)o#vYbmNUe+#cW~z_W9?M zNn=*m<@wnYWd(5_grQe3a|FKG%`z_<1ckwjq_oCqF+8=SzR2MsoRj{2Q_tn!%pJ0$f)8N;|c4! zRG_vMs=X%k-Zu~U34L88=j1t z1f)?yv;s>W4_!{hC53=;q84vj_k${a!>DaV16MYX3%*4;Z{GYlisQ${iWbZowQcj* zaGY5bxax8o`FpuW`ctW-J0#?O^nw^s^zXZOGPICATg%}2VhXEO?!%i4^m6P2PG7q> z&i3e_P8(8BCR#S}TWjI%_{oo2o#w}WXDt;KGz-MHu2jIR>*d^6P``1h2Xn${iIB!M zr2+C1CcweAk`g0^dbKT9)ju5u6j+uY7A2l~DIa9L+bH&XuU@ZR1!2TL^9h|XnMEfm z#6`n=<5VclqY3*emPyqwg62*f?CA<6vL)TFpHAV>n&i)QVy3`n;~t{NcBGRG4m_4 z__M7E_CKqRO`CEEb`iqtjB}Vy26)-HPq;u0OSzr8k{2Jh-GpMOkFO@a-n}h~p|-ey zDvBNfSgHN^+8q$Ob46{3WilDm@Qd+KN6UsxV~Y3EHbE4eMA;|9f9+- z;%{qNi|H1SZN$@MFW|hv9IBVKtnaL5zX_+5Bk_OczzUAH1sa4@(Nh837oa5nRrGv6 zf#>EytH=HU03eF)^q6-I5HMD-9fkBQGRN0KCIQWIupG_^HRMH z1}2Y36K&HDktU-at{(G6?%7rz4!vn7T=glPf!KT@FuACYcBC#B{R36&qf%A*ee<^3 zwL*>T<0h4wBRJ5 zQ%^gvXZy6I52ndB(33(|bdzPR#dcWa99$L+($?8t?Bmwtik@oulkf4FKG2+NI}x!ELMLaUyl$2WCFF zv@+O-8*+>z82LDhbostSLLHeFZZG{5bbt##O737^7 z&@pvck$+iG4U(e2jJD-d3pG3^(*O@9PA&ia!oM)p1Pq<<%{^WzFlu#M?($6kM!&86 zu4#te1v)X~^X(mK;qzTqr9EThqY-Xydt!giUe1gx53+<-aU83A4WbJP<5mp?q#n!7 z_1l>cusTC)1&Ip|Mt(J@bgega!YpN~ldpv37%rnrD~XCPHEtL?M2cgz2sGRgSQlGo z5hBq`rH$dq zxh#$M4y_PIJ8U!8b`yhBH6I|?4)=%8+cc^GG6D7~{+IjEFfh*9rB23& z=+tgI@|_OO+`86Pf$(;)wKjk(Z*MXJkYRs%!l6wuc)T(2tlf3#V%y%#--;!O<>Pnd zm27xB-UYIymq$FMyoy+}B*8ta$aqzgR-Bte%dGg{FS%7OrB-229Rg?ET0^bR2nC_m zIU-2#OE14vmJq3Q(61nl4F!}2YOV)8G;AhJf4MvAp$43Vd<+JBM46&FMor+SZI9^| z{j92rIMAX7`p{conT#S&E^a0#LniaQ#s?2tyA`eA*vbOdSL%sO095H%G@UQTR^SPy zNT4lw8@w1nIy0f&BcUGUfz%HNv%9gFW85fGzZ42$1jwwO;HiRR&(-;fm*v7{X6Z%( zhhXI{Pjaa>VAP^RGmF}uZm`ztq+HoK))0*GV$ z->T23QPzrZyD6WVHlpQQC0iBVRPV)N?k#~w zFps!ct*%+U@uIKAD`&V;;Qf6+4JLVuVKZ+QX9;?~^M#=8E!5Z&fbO4XOH^QQZ+i{+ zKH(}r#Usx35IB@hhiwGfGdA#Lbe zF@RY*{tJzf^BCNR3!#QazvrUy@FM%@g1AY@-mg$P$!qnb;%^x=thFb67HPNU1j3W3 zq1z|2Tg3RozksHj$N21XORULpvvi3*?1|_4A(6_Djj0+Wt5K(3?9-=zfLT(&uf9t` z_m0bK#W0H*0izWOqU$hMZeOHrSYsU;hkVuuC|mbY!*}_zShr}y3z}D1fwaZv5+s5|WWUTazfbv#;DL%@hL9N@uAOnIIO*6Wu zj|nbOiI66Uj6dyDf&jA?pdwD>I6UyN?ugFeHsnf!cL)Mh3(pe>%6GyLS z{F6v^8anH@1<$skXlpM{0J9fF7myJD+jY@EfWlHIRqaKg(U5)Er!N!0TkC(p$l;;Y zN~@M$sx^0s(?j)UGY!hC1N0(4_#aclZTib$*-;aK%qU_pK19Cl2xQJq&R)+^6QGCM z_H{6gF6@EHlL=kdfm$#{y$ds@gGjP#rEC+R4`GG{EPeZ6$gsESr6H)3w!JSl2pzaF(}L~ z`{?Xl?(iYa@iv$VT?6!caMO{Wu6Kplw$zUsRUN@rU(favtN5Rf5Gdi{aFjqvR?LV} z*tdj!svCDST*$%k5Vh1MdiZ*^)hKKQiyFA%Ud~x0ApJ;A8*L+vHLRB83-YB&T;m#$ zmG}I(6ip+lBINiTWQqr_SS-gLV7c1*&wBd0R$X{P5*osmm8w3W$PPz=xj_IjffoTa zV|9|qIUx_Nn|+*7Pz5cE*;t{k37{(!U+JymX7KdWNF{&?%?XKPnl|7^H}TWBxDID8 zn`H3Phx#77#@ZcudB`30d(HfRKl{MmcGa3paa2x zYh9$Mdx%1yKOjE2bv(s>UfH>&=G&d4Qj4{@?JxQ^Knn4iONo#0G+VAd=UH@Bb3$pG z5Y+Z(^Owe7%V+IHL^W?=0IXz||7+w!m8Qv)<+B|9Ymio7Sxh7DYj})iVdo;QIlGo+p_0?S0 zTF(5hQ8ej?L5!zBE{u5=j;^-XI%m5{uEtNaWA0!Gz>~KhBL`B$ywuc!?b`%q$ajL@ zwh3%}4z{aC7+VK5Y=Z#5@g9@^5?fvPsGm-O5ZgflaPt4pPGIN?i=15_NEIW$3qPQS z0vVhnCRU)lPEEP;zp!gzcj~s*BSt^hVwDa07NSrnso+*Tc&W7$p}`pPgRu(LWKJL< zjzp^wx%@f5mRT`WHno~%)}trRD5KHl)POWGLOz+S<`dIlm*ekV07kJ<0=8Af+oGfnp`9E?Nyernj>?Axhz`DvU%!`PAKEIG1Pyo;|v(8 zAnR4M6*lF_&sGtMR6jxGU}q=6_GCHV!Kp;yDJO}Tbk(z#1k}) z%*?@a&VIVPFz^t`m8LwuJSV<3%>Dd;P%&e(eJV139~9|LoxdnB8cTmg#dq9fCH6H1 zApL6Fy!hM*QdP`l!M1sAdh}O|7^n`JM(t82hS`SA6rAi|Ywg}m$GoL4w5Q`R67^*JomJXE0HK&`o$Ujjx??-H8|oqL2?nJH^COZ9XD?+{Q~Hg| z_x>I&GEh+);b>+0c9Zd&_GfaGv&Uz6Lo+QYiVb=z{hEm<3eSq1kVE}Shk>V78}3@p$j&^`-r zL%}liw}g&<3C7-x6RyfH4v3n$bT69c%f%iNU zliKmDRxP?o!1Hj?O6G?Py4TDrEoBt6HsG;lA5EVIF+8rmB$Ia5!L&nKi5)*Ppxx&Ll*Ly!DJo%vT$K_%Gj+B79PBwCcGI8acARGM zL?WI}E#p$Y{kIj;liZhy6!gEI&lP-+k>q!>PG6_HRsmBvaIjL{OXxcaI!!ZISx~(D z#m45%D++ENoS?HB*0OQ>GN&@WbpK~A3P8b+wf8HL)U9V|{xtf;U7e7}!>_|N%p$yk ze>nvIdfi%k6*M|N9ZAi{eStM0QZIvlh9O|~VTAaz90&`pK%6kK{&e!Nhq0u3C7m-_YhA8^8Cf&3qfn;eoTBi+-pj^!uSu?(AKLbthaWu zpD;sYJ8m3be_M-Trsn%GD}6+T7W>DC6@UKPdP|HpsczA6FTIPm#ALhd&quMYgzln5`@qe1G`01S z!$hwvp-L3}E>`|k~c(|q0@6Qtb&l(D#o zr1V<0`wP2A#07!q@jb?t|FGpWKOj!ld)I;qKI;R2LXBu@x2zR2Dl)%U-F2mkYJys7 z&&*^9OUL1#uh+i5n4!e_@v(Fdi;?5<2SJS9l1K6U7sP`Ql+({Gt#Y$M2S!>6^0YPq~kTh28EK zf72$q^Df-DcKv@;vNqF$do%4Yr-nWEE{ncDtCpQkYg5uGSC`HM{AK?;;}pC;Gw^8c zd2~drrTa0f)8|baALD4+y*yg$wP?xKm#>5wXia<~{Wc=B$`W_!V#=qR}Z z3zN6HIUVwb=qCA@P>>MDq+;_BJ~bvaGRJkChh+PRTKbrO?)63Cb*{o!)bu#JlI8XT zs(gJ@a}J1?ePf_P^p2B|Y`Qo$X+=eMn|IbIdr^1JPS(v!sjBs1jbBac!{^QJ6Hh}b z?~j-|PjJY{&Get=mS1q+F1g!$I$^IHkeD+}dgv+F_61xZKqq%9@LY<*C&UoVx_w-3 z{D zWsz`N*;gcfBO>v-uIi1gK!ylErKn3Xk%B#i9Y%kOy|47VtV?)h>ZPnC>RdD4cpa1+ zKVD*NrybKME&nN@uxDdFO7^KfL}*!N;k#5^oK2 z>P2=fm9v(9m-{d}!+x84srnY-iqLJJ>yY7z1Xe4^g1hBH;pD{G<#ZIsqO#ScX87yl zw8@jczYaOh95G_U55!C>oebwIsiznf%4$b5O`0$tV;8@ECZNR8D?P@8sGd80%gD)Xak_S@LzpP3*j5P#DHaNO(O7BuODdOqnrn|P`7=SbyS8~%DU&7x z(^h+=<{aPfDktex0oXL~pL%yG^VH!4-Kn!qk8+#`aaQ*kTQ#V=>vM=$oN{|^w_*-& z9pl*B0`?D4wq^qQli>`kDte;PoIbfE9qzG?8ywf`fBJ@%XbLz88AttBJ^A2D|8x^S zo!R2hpA>e_rM(QVRi!butKtjn4;S3h!oL^Li%F(4r1H3zrO%vkG&Q;-ExTH7Ty^*i zQZlh_*85=x{l?44dxrr9{`SaXacH@BzNy=b86B7zHaW!8JC3AN(tM6Pob{y*wEV^F%5YKK-V<56X1Z3Ow>xm}kL1qk zZosFcox~`<1A{zSwEXe;&)6gD+#5N|rf}J}GgJBGeQ?$m`V`kA@{d!js{ZC(^wDE@ znZQKR-GvjRQWU9iPKz)u&^K+70!1aZ#_G#Oe_GwHqAt>Uxb_|Lz380s_p{OlYPI6t zdr(pGxzUdfi?i;I>X$0Za{O zAMWxs*x#W}1!i6#_?5-z&N#9Hxznf>ak#NGfEI^{mRj!`nkwfB>QBM|L1p91}$V4$-4|DgLB7RVr2b`OGhC7taq+7ZjT zkTe;+?GDf9ZI^HqJ5h zeSix>Dc8c&wy5KDkXlW||53Jg^D}7QkiucX{(RsZ(z`sYvY}HGR@pBFoip)oQ|qwW zK7eH+#%q*?*1-PNMv8@_i{Z~F{DQ|==X!*P)Uy0<1mFhO-%^*&c+Xm`p1BNy@9oA~ zuL~E*gAD*{iN5%z%q9pM90e@sD^SA?BEiQ4Pwk+C&R1PI`Bo)+ogL z69S(4A@5M{L`25LVTXgJkU>m>cy2}mp z-($G- zF29qB6C?M@Vs*4u2i0RO4&_HxS#i$VDiO27(3ON776b_det-*Q57S`&#Vn{z@T3v% zZtw0DdmV?*wl0n~FB_$iWRH0!Jo)bU@gQk!wB2snNl324+zA=Z8;7t%A_qV4oF1nl27@#+`fNe9!w14mvc>e0hUr z)cG)8@4M+j?^J!dN$c7|FT-pCUP%=K13WR2~$s`TYXJH^yXE^`j4_UjEdIE~Rv_rc1!8`~L9s#np&s zp~ha>H8ZA7{%iR_L3L_4m5KZ|TP{{ZN<0S7(USTGiVcmQJ%M zM(sL*8r* z`)5d1Hqm%wtabZal<)1m;aTde2jAA+Dmf113=~>^(qH{jlL#O zI#17K|0QO1*H_(@nVUh2DzadW#`nwiEi<_;;#H38m*P)(CIdaY2h@=IsZYOCd+C@B zX}+$0<{8l$&>F>J(&v}?>pQH(C8048_k&Rey14%pu=>ghx@FEt&N4*6PLKc7#zX#j z7pq$&ppGwq+j&l)dS}I(VwEq;1^A!cE6ahq!~u5Ye7llyVg$q?vHAGTIOM0NOrWYi zkL$~+mXcV-Ny8xszqSqFl(G(V(t zCl@sx-Un1lli+$=cDJE-zcyDe-p*RSo2^cO(79&oSnTx#W6g8Rly6G_J9h(IF>8bH znmpdKfN3q-fI2P!lk~6v*!fiQ^@;rOs^@N5!WH1)Qwi-yX<&v8aAV$Hm3GHuY=)jg z{N(oZs!r|l39=i8FgpVB8NBPav!(?E#{a>7^qc?CEAqs@#4~_@7mYQdL#C!&cm~1h=h@sS%b>cRn8P1HQa=zVAThmaR#a?k zj?{(HG-&H!B#U8Q+>%0o%EPsJ(_q8o;cGOB_f4%^U)d%R5E5$ZF8iBE4s36kjk-D` z!CojUNC=ir0>*o5+ODeu0<6%(UjGtm;q|-Sz7eZJ6xnKJ~XH32>T>{`qHNq z;OxV-lrsS8NUB*J5?iANav-Y!!?4}~>JuNkV(hul2wok$f8G}P7fJ(jqo8=4^}uX= zM2yTeAn_JOUVrPRE@Jpv+w(;%aJ5_~beAg6JV}qzuC@k1yEi~70yEs{#yki4_b95l z&?14&N&U%~YL!wXCr3D=?NyPH=qkC~+~cFhGJwTV5$gdkdeb5QJS#0G|2l^0Z5=uu zBW~|*cOKnWJ%)p(S;3RQovgOc<1ph1WMkI{5V1;KSO*XmtZ(*x%B`AJBU8?(7TOr> zvj6O=whuy&mj(u*=tuwvU4^@Avqu*oA1h<(j*Fxm4PxDypS)oIwAj9)1W(o6VLxuhwmUqe zq*GY$PQfxajQWxW#anHNjCx1Hgvmz7$VYSvS|yq$S4l_5W_zb=GJSI8LZ@3ViMSXDfcI&%c06HwxTXxcofXvtU1B>@ESt2J0{QD%xkX z?(Tx+TcJwS?FKq%7Ta(iiI}xRaOScxLq5;CU5zL%Scya@JOx|%NHofN1`M+90b?JD z_{?X3?1lMwDd+eUa1_2}Z&QJsiWWH8?|h_lj8XJd;SUlf1W>mcOK3~)s%xlrDiLgs zVg@F=P~G)bU*Bm5tygwTB5ehI^?^}_L^w)sgPc3{;Mjh`k+cxbNC;x*2p!ACHjw;( z8z!MT?Yi;VW$eH)(l#j4^2NxNzgNpeGwi99U*oeEg-G9?5Z?A0<@?Xm{8 zq9`gfb!{D)1JHYCeocgs$Ab$t|H`1g0)hliira5E_F`jJPG(!G5iBq|qpzuE$Ruc0 z4ZCpDsPX;cp-KJOh=j5(%udO=(uk3Y6x1_Za=GT{XfVPHbXwCd6h6IY4_+aYp82jr zpCjK9vi6TN2xMp|9<={QR+kx_=X*YwLK$HN5sbVB{Pc&B$g+l$#Q5#zHr%xF(p}Tk ze=wK^IrX1?Q`AXWb4Nv!n3BkJF$tB+1PBXn0?jmMA$N<@PrSS#+W~?AZqTgvNEvcq zL^|0Gg&Yi!f>nwJf{%&z4+|-YPrm{4ta}K54Knbckg_j4g?P+JhEhVNcr_0Q!LB1< zH4t=cC9!eK4`m;;_kLiYCl@qp->S{cf(xk$3~Rz-atT(F)p4QIqRGFl3ERbb$FO2m zaM{AEQ$u+GQ$v9iY<@F{wFzEXBak7&zHywY_FHh4Up}5VT#^?S@s&!duXG;SB$#Z z>==T418|$B8o*62nB@Ya{XW4v-m$qNEr6||tOAEnC-FSa!lonUY#g5=+ubSfwzH!x z9q%(?RSVi9Bz*#cO7C_EDdWTvAr}~|wv-RId99M~5xQEp&qk^V9iKF|Z2Y41VHVs* z4XuIY(42rF8sye;Rc4B?(KkR?b;bh&&l8>kGC}(pv%oy){1OHRE%i^K*e+=THpXw5 zz!=W~8!r9(Vb@NfbR=l!Kb20>>oOo`59QD3`pg=3^3|`loanAUBFt!#5IaGpN5GWl zPAuFgXBP4x<*jD(&<;w;A=FeCFnSSs@}>g{pFI6s-a0B8tqtyy3y(OxVmgE<=E$$) z1*-3Z^p0#yS@9MFN&r{Kmq|o>Vg<3HcVicea?v2mUmTDPG~t+u+ZlT>?x-exhhVQ- zNcqdU2Rr;ooHQbuNBNjCP=qh0ow2Ti9W=<^7p}b#x`RI&%#%gfDr`~0+&U+u+&@c@ zJTL-lNZ6F!#*M#Y`sd9qLaOzBcp7=|aSK8;e`Q0TsUjc`9^~&DouF2}R}T)$R*Kbv zk)w~nVs?`Y6shSaAPQ!E3mICD=uF;P>>ZD#frUe&*8SjfQR_!9!VB*0{D%y(4MnT{ zV$!WNy(1v14R4si84*=>(5k0Bqs$%aKy~>5?l0gDofEH4Zpv2*s8iS_VP!bM!;BC_ zm4=BM%-8OrOr}JqADg%QfNGYlkx)a7ZWi^EdlDPHw4^=F2)xJ6UJT;Kre_g*V>r{Zi*CJ@ti7)t~%a2^t^o%+@|c6I7w$ z_nKG{C@{VY*mlxGS9_UzJZAh#DaD8BAua`h+z58FMuPG_Pb z1wz~*)jTCS*T{rd7a;t>dsQNo7`_#6m-xc&t> zQ2p~tTa!@Sc8+XDCWR%RoC3v?^ycu!41+`=v)TDq2;3v@NXU z7{!_NE5XHdyM*vX_m#&rCH9GRtNAztk_nps!i1ta`z+y3MR+@-DN^IXI ze+Cgf!^ldzh&RK~o_q{8B;9lA@KZCvzH@K`^QGJMhV%qSxBYNOtPDbdWtU-y;k62Vem`{(R6jzHY*yWui5~NU!M=JmWg6ho~UW?8OazKVw5d(JGj9 z;#S_V_21vm=ZuZr-wTj7(N3hnD2a9nlD41<7S?l8lRdfq7-w2)UrYrV8!! z4mLHR_gKVjffFZjYH#%cvx{ml@2uS<*hWEZdtIWjxdc_qfwc+93sr%?C9-P%DT_;e zd|bcH!KW>4n@)@*0Ll9`f@9s@vnD$km8E?a>}i$V>m1O}@9RkR0l|l?0f|%I`^5#&c!NWND#wH|jU0(MXQT=(KqRqE0@O!JM zvMcM62R)avU2dKpeouHEBLnTA$aW!IVwuowm8jh$)dYvu?#}~ixYsy9d60K0A7y=E z4nwLicPp-Z>@gP0M^nxs|EWq#T*$|^icar9z%L~C8$=&eI*8$(16Ox3^(ZOZVRHPX zJimB@VeeF|#|pa78&BN;e-p-MczNm{A{=xHfSJf`^<_5UrLEDm+p;atO`BnUYzJA8 z;G&H(egBN$!n=|IGh}%QL{WmYc&H{*b3zQeX@Ej6(q02AAj6t>p1Rw{p+ZT|ic3)^ z`9#&`W|OkGY~-q+61xQQ_xfmH35%n6BT}nj_SSiqR?Rooo68a^m{MaJ^3tS=3N8by zBDM=e`NO9*0fhF6I+y}}8Bh5rgY`q|Adf1Fzseku_*h}BuMamPch0~f6k&q`;AkBc zaG6r`34jZ)ZilR&vSK}I6goz(kibl^)+lk}wrzR;I(i^Q+P!gxx42va#sb?0R(&<}CeTE@@}_E(JqUmk9e%;A#;iHAtJ z>KkW;!))k|NVBr?vc}KHoqA+>o!LV5sncNNXvqMSsJoU?>k8dR z1OAX-xAG4c8QvTg4jpriKr^jT`!*w9tMRd8@P5tPBee%nr%u|`PU1{FU@5}vs1F1j1ykUGvM5n9lXW^*(k1>uDsr^mD z(I-V>YHk)8psnKjxx*#4$9(L^tML0!tT0OUEz|%amwrPlC+A*SxU(u|zGTouhAapB zXZ0>rQ1!RXy5d7{eou#34fyQt4$!a{4?jDto9KD5dPY_uDGng>bGMfWQ%C0~A7uMx z=)zZ=h%W1S890ypE1G?UncrcLQt)z}f}~Xgq`>8=&5c-vHLHNzd+@cgl_MTXE(*I% zYJv6uCi9oUC%25o!DRYF1V4X4Jm2pi!*iBbd(MSd)LZdKO5Igc=@b+Y}d`8Q1QS#!~`rtbx10+@jcpkDn1BQ@ZnRkj3+*Y;)G9w!o>v z-fMz-+=xix<>~IRX_iI1u@ox*)xQ79=!?X~(88ZNvBvF*?yV{s>&TAZC}mLeY7WmB zUF3u4e3j2$W&ie~>*HlY6zvuR`_9N*K{tM5aZ~HWKk|W%);%zPK4ba;yGpIo`-_H7 z;2C?PEdx`>2kPr87)INcmur&;oWkXc61Oc|j7@@FX;qrI(|iv+v;4uv&MT3(yE#DN zq#pu7+~l0v9mY=&; zCgO4EpeG$XY%jNq;viCWSMH6VRPD5rd)Gv_DYjxNYCqE_Q$6Kg!I< z+^bbTX4goI@Lu!?Qx(2ClwzAc>eq8LmN4EYUhXmVq~Jea8Qz8Hiv{?<+Wr{`QdzOa zHG3`Jb?n-spLg!N*U@v7Ye|Q-v)#kxMYHyb>R_gftPLuhs`KF~XkH6_Nc42d3be7f z*+yd5^I}MG*KJGUokm51{OU@n13qibZKcxG_4hELgQzbknco0uiJ6y$PWs6i(@#oc zUl1=z<4V-+=c4&{Q)i2Podd>FOS@MxPYL5ixCg5rtQhBe{*&``RkEPwsm7)b9pV=z z=|!e{uXrD_x}T=)vu1ba^XbC>|7Y(rN#s`rhHH7QuL(@JyOfAORfe07uH515Bdi77YIe+7?BbGbQ($#G{5*LK&M)puTSko zyhENcQ62wnLl5yXOl0)H$oltXe7kk9Pb|u@|c0b6W~`JydO*Y7$!i+#_s8CLS_HHFKyve|@B@U(B?r_>Ymnrn+M; z_vK_!)T2L%Vhy>iQ@-e5TRY;0+=WS$lP|MQYQj3-zlIyERSMPU1~qQVPCq%DoQ|#S zvM>C=f-akl0byVcl;KSnx`K*Q-IU?9)`w4_@3eV5KjvL|kH_IPi`7DkRCMx#81anf zx^nTx%g^Zro*Ff4YGfqzZ~pq#@`5_Taj-g6+>|3VJoopgez!lU`%lJ!Qo9%Oc_QbE zv+T#9fbL(oa;GfkD|2+GRx0h;S!_)ogK9pLHCC#POz&B(*n~C3{>;0RA*Yts>-Lgq zmiysr6EXIx&eL_rz{ZVU-eB2si^aOpZdKV+mWn?Lb=k!OFEj#ll@}fF7xwEUwtVCk zP~Bp2k3Y`T|0X^0e-CRk8d^X$eJ}ONXa`S^$Ct)m<9l{2UK@l{S{os*RBrWdmf=&~ zPq>BXyt55@hVV{kix0Jnl5V%nTWuz}oPT%NQb$ZJk4t1SD4XuR@$d>Wyp@tnE$09A zimz(Jo&ZCeoojrC08KkevP0JIR*uB7Bj_;W<>??DqC}I+ZfmBt<@L4|3v^pyt#w*A zqM4N7)BQS4Uu&@|5f+wWH*&9D4l*qxje2yq$<5;X6SQ>_lKfD(|Zm`ABGeu&<;v#IkRf^g1&IU_(L4h0#hz+mPrh2#!H( z#3-vG#{rn}*yM3QIl(qGz9iY^V>Cc@wB65v;^4W08eMYg zy4579sl7%7R_#Xe$f3xhjpQ0R;0yXw8>(mO%X3ek6u=~XNe0zxH*+jlNPrmh;wKQ! zO|tTjny-MPM-}_;2BWLxhTk-`v4lQnA=UTF?E6Y6N7;XjMKFbbD0 zLg!^O&YHbzf4(toyyU1)51dKM<+5uLC}XLns$%-t5Ww)_ZE$tbm}3|Fmmg;w630XP zDQc$4$HaT2E{}yS(=WR9{8V8BYXJ(a9`; z*s3VZ>+db30wkKk0i8_#*J^K`kw3WT<{X(xt-w|pyh213cn6z(M_zQZ?1c^jr(fAv zu#4ZCZYfb3{Qk7b+Od}NQ!kgCid+A%m|byc0eljE`t5$@;()8x&Kfcaemqah!a*1F)Q%tH-mdWD!~IywbER2F41R!#W~ zczx-&z0!E9PXFq!{`v(Tkmp-;L6Ge+`0lQ&ppz?O%V}*PBI$1~53XJ6t2oQUIrFI0 zVC_%nThiCL+qVskz@-(x5Fec;d}gw)0D z2x&>5`XjoAI~N^_8lYTUop$n;kLR)3Jr`qYvx_k(iTS@{$5}Bdh-0;}+0QGgCShyi zw`!ap-Ka~qIV~*ly$bJhPB}b#RDXN<=>2Q;)@>>SNrU#go2q=S5VymryKeYLslwrE zx?e#fcG>a|Qv8wBb9UTSx(lkmD0TknZ_Wo_>cJ835>u{+E2hd0YRmknE8iaClypSY`E7?52_`tU>k=~Int za#nfeSlS_9xTN|Xx0`1XQ1%pB$iUDIVQW`;N=QyZ zkCH0_sty4T!Hs9`=e z_L9HkG^xR=@76Di0OU*4Ya3nbVGZH*sb#d05&vwVm(}+l} zhK|i(@}JD_)DJXFZrY{LhAB+A7WvL-?zwprxvNC;QX5l7gt7Wx*YX9uOEs|tL z!H`x~l2M)s*pr)9%N2SbDcOY5INsRsk zgr{M{>5=bavQq+@U6?I+{Y0Bn%72RyCuLh}pIr#8D;(rDy?r~1li;=SaE-hINpZc? zCqo8+%{=u_=4NaI)b48wkF>rR^9xPZCJSb+e!j8Z{PtVd^|D`s#?Pj1_}`J}d}REL znXN(R-=Fx)pO>kQHeIN2g{qB`5%^=5#fwsmxR_qg#))7O-n=f7IBu$#9CGAzgtVdLD`COp-%7@MoC(~_5dOwSlg-Zr> zH?~{JCD7wIVjEF1k;)EwxF5a!^Pc=82v_T+cB=GLzIVPZmbSmB@u0N>Pu^8>Dr?VV>dVf0@+a}y>Ge@7>L$$AE35FaXjVPPuyF2i_v z>u>Z5axVMH{N){?Z?Kp^fx+H`b;uqZ6gIF__Z-C~bN}SbdWYRVDER{L8N2fh4g3e; zSr!;OS$&|gvCjDN1~Dc#^%<-zC3|~t;!tLcuMiqrO;zfM5+;+D3Aa}dtGKC*KJc!} z1aCZAJo=3<$ki0v9(y6BL*#x-f?bt=|6oD!+UbAiLL}3(o8Ov1VU~rAiJ5T-lu+ z1jDRalL>sSqrLFu{rurp`3NE}Ch^gCnAoc7?~`pVPoMC=ZqxlIq9h;Y7U86E??b;Y z6d6Dm=D7nh9Zn7%N2JmZZ1MQ#3V8gwL1;g-I(w=;CCy;O_t>U5@m+MX$bhQDnpyw( zUFkQ7+?xKMZ}V{DzU8&zLyZ?z(*1_dOC7UPl3qgYsLTJT#}_N_2i%Wj=<=)HW1QvL zr`!so$&d2BQzURr5k7}g+H=C_3s*?#t+ZssavavyD17u(S9VwpS z%dDR-_*dvq)i+DQQ0bYI9FO-KM0T!U(LSDm@8sSHdoL>|2OS)LCSSb5?W)A%A`c?{ zv!<5edZU@;{|>xa8~B1hL*kwHW%JQ^wQr%1Ddw`NO{&+(pyBu1+;;*F?F7)WJ>I`g zxo0QzU&bH%>^#_c{LPb;(5V*PVWDGxHBMK|+a}>%X;X!;{mt-7$>S(#>OSgXa^J8*KS%~rmgThO?ckgG*9!;cSh^b z+40h<+y%|bYcih0v!^{;IZeLbLuSZZ3FyX#uXvM|JyX!$!k8AcVfsGYtj>EMTQU0O zSXn^QP#5OpI&xw5hzVC>=03NpSfLj}Bk@*=he`|We91BoxRe=M7BtMV(^V^%i)&KqjfZ$91CaSJ%>TJ*=X=#Y={S3GUmE9eV>~;QFtriq7?jt-s?pPG%NTs9Fm6;`c~ST*9c-V*s`_IvT=oV@yf^6GjXgMtj& zRaB;(|A2Hrien?`E@`Nw^5mnJx~Wn_}lAlb)c@siGCD%s9 z@El4-9hS{p9&CY4AUy9c@-bUxNg5n+UKnB?qE4gDDb#vxUkgwECw^>ssnCWiYWm3y z6M6>VyhiQbLL<$WriNYH5$fB4ubuC2$h}y7xVYVW-S#7TZJuYqdQ9^wV&d!5H)_^) zIUCtOC)<5X#+~j(-Evw}hmh|s_1!p;Vq46EVe+P_%GS2Ev!j2>h1Ese{}sGHAdH~3 z9e#hM@S#I@0B&9X`1&V$X+jYb64>vH)uw|QD+nG>0HsK`Y literal 29029 zcmYg$cQhN`8+ThpeHB%$+Nmm9Th$&ZwfEkmsJ*EjqNq`;HEK&+d)MA#D@tm{CW0V{ zm_a0OfA9Oxd+#~-x#v9RKIb`~=Xvfp_d|Q@)~#yF+y6$te_i39Gubv8t2@1awd?h3-^3=e=H^9Z-;i;~zz1vgarv~azO&si=D!q64 z@NZ@Hhdckw+`47z^?${!29p0L9en^g-oLg|ISn>UnPvM0?(pj&79-6X4BLdCty+<{Ok(>u z^O`?c82u(bG8SN71wMNs&BphYE;U-qbVdDcLdY>@lxWgWE?cue$}uj#%b$lY!g819!nx*Qm0>`gVz`_`(vXeOqulLu z&I4Fx_T06Re3&wK=dF#{-*r2BJ+aQ82>QAzm}VkrqMt6d=| za;i^zcJ(EmW95ec8Bs7H_Gi{nFQAuXj-=r{J)I{CFmg4R6QiT?!^-3)CBCH0rNWd- zsgcqtwy`(EKOWF^f!uwHDTyZfr>Oc3ZCDKwgD`SY$BY= zywtsDq5^st<2FyZid3Fwd)KH-GShp+kEwz{x zrCJ+`uDJp{=HL756^{Pwg-ybENWFQ_G`nqztbLU@;$z9iyoM`whzFwUEqM@L>2K+) zqKOR*Cd$p$EcQg6R{qUjvpXLtTRyjCn}4Jv56ZG8HmPa(yd4k+R|h)CrZ-}L+;4DO zUmLDPc;L&iUTA|2n|>sN%Zaz(8O#fft*@vHm7S)udDjo!VCk)pmsmG~nyj4M7LQuP zUDCY8x&c*<*Hiv50gjvHEJ&THOhk9?5sF2xgl?kbhQMJ@xZD3^=|U;Q6wgUOzxc^x z;Yq^Ugw0bYPfK7t-3jd5SK4r6(H#tGYAuf5vLE^jL*$e5%ccKL*Am}ZRr*(X$VRwO zYO`xq_L&zF+H}(YH2jSd8*91FICN;3{#2OIyj>@u7~>B4)kJ<38tQiyVdF>RmiYB5 z2y~J%a)hT+mSzI42}FwfGCIkI*I4yj;0MJ9jN-xj2J)v6Rxm%J(hv}|d)zunF8lF^ zK|Iuosb_3|Z&;1Lcm3!)pvX5CYeR>f4iLTx>-aqs;-1!3$qe?mKxyvi{3!;-S@|Xc zrCGOw?eq@jPLS#%uoTNr%%c2W?t{M$5iPIwPD&kGs%^;wNu9I57|)UpOG3nhNaTna zWOWMn1}X8@H&32IrcI)qb!ioeNX*j}$VW#_V+2d4om8pUDcBQDKd?DZi^f zAo|9=_h#Ct9x%^=%NNn{xoK?$N^_M{(9o_Hj0PH7*W-7##9!Te(Z)1Wng|E#-PxQL!?T<7Bq%xPL0cC81pJ?OQr}Wt2xx3yuB|PKjIA z%@e4pw`8ea-oMIWSmGI$l(sHuzsR}TSh}J}!>n!6OT5Ii0k(Jv>h3|JutEDos7?&t;IEot6b(@u1%y^fU67IrM<-N~cI zj~{ZuPH)uXjLvsl>7gcnemjxrOQ4f@k zo(rs0@0#j7xNF6)=M-Py$EEyMRwM+IYMS&uEu{1HdLuw2a8kIiFLIcF(S9p$6*X%v z<@#{**~^$Y6v%Dm#paCDZ#jXl3DhIb(LGBJd5)Wb>dUK4Ne>(Pgn+SoKn3k^j^WGFx|hO{%r@Y zP;E$EMs?W|J!V?BBoI2af{K_AO?bs)alAKe(Sw~lR}}8`(b!Jt9rpiXP(Xc1QEpS5 z_X1q3AX+7AyqovX|4}I-#sS6@1?2#l3NAX0^o0c&6=#I={U##HB%V>5F-pW3`lI4S*AJV_~ zl2ttKEqw3hRXpE9Gy0&A#KXE}o~+_>(<=O3jCtRc0E*ru9;fy{Pi$iXH?13u>8ig5 zy=fHSP53G5-5mFII{H6bR9a>y@r9j~MZD<~@_x?3NFD2AqzbKDX7h_+sNR6;@rZ`? ztIwv>)_TVqdIOE^|5Gc|)^d;1eFIR*g8CW^0^$0)Oo-jjbP{Jr#oPkL6R%!8-F*gD zc#DW0ni|z+p-dQ}W!ZV=^z3nOog+bAOvg0lt;QcK(it3il&fj^LZDr?_iC*#I0InR z)-rlx)W?v&RM9J1ngg2D5|xQa_&qaD&ujB+UWCpuAi2IO=TVJ>M$QACEhoiZmSWdI zpH3}B(cHhE=inne314pYUf|QF#}Raz(|<_!aUAMuH}~pPqz*kaD;VYwU6~2LRdnLRpFJVf)0KZ2-R| z`G!G=@s9atA}(Ezx8N~&VL()hn~z{rEkQ5QQ+~ZUOb!4RV;csbXxwE*JM6oSSOzZh zR9tR;Oes6d*ldW_8Kh54ca|Z}w>YLlM-D1rQh3C{(k$>Cm+DCw9lEXT#Cg!m0S<8I z^sZ;MkbK-K;LHl}(RDqk>EQPsy2rc*hrUXII)E(os_w|i0A4U323wjlS0qEb`iqwykTa0C0s>kzKjBu4`0Kanw`i@Oy9xm7nJ(v!nW zoWZvCQ31_C&P%h-Mxsrd4>DY5Kh=d1*~ZEQLSm{D2e>&9qG2Lo_dQgAu`?fT zTF09@5P>c>gTw)n^p<-EMoS&%%_gVG?k=uT8MAjWErsWaS%UU^!zoE3n0u&e+~NbO zP;D3KDYCibAuR_6Zk65xpW{Z>10OHk-$M&UtJ>tfBAobZ*EwNN-)ljk`&ZdcRdy9s z3YWuLOv)rjLJD(n6rE&yVD47g)no#0p^Pq+1SGxyG8&u` z>aKRPJ?}>ZrF63|1HrRT<;gyMLGec%)F+MUJ|wSTn`lcwSSHgPjqqOKYm>Suj&{KL z)vk$GL>zWam*yzDJlwX{Y#rj&dVYKmw>ES}gG<`3=z&~6B14Y?@QV=9;wGfTmF4rc z=JU~!PYpP8*Nyi)zT>W^GD{2S%iO2xDPG}Zhgg2k(n^>VA*h*+oG*L`3mlLH1r;BN zCW5H}t3~O+Urf-iy0;rX*yoA+H*frKiz@Z;Jc`lSAP07Lxy0b|gsJ4V_4cQ%PofV| zcb6R7(0mQ?uTbLa0r~%mzK)Z*U>!Iw$V6ilqmjL_)K-HpP4-GJCx|YeidQw+02`kOjR)#+!GCcuh!r zZ_v2~U~SL7N;>fFgNMFODp0M=l-;&u9XV>JMId)9_KjtRv&!R)&+)h7PAJ`i(=7VY z#EXYDz3B6bOU0}NnA8WN?t0<14;3-=b$!1<4;5H2v)dWFjlecVj`o+Kvzuao!vS58 zWP33Tr5t_uD1fo35jgkvR}5nUFw}Y5DQMpRTM{>p5M@sHXR-0yJ0$Z_%3#wSr-;fj zJ7YcW(?;w8bngTaJs3r8X%TY?om2tney-EEfk%WIAg#4d&(^1S zpP!Q&mjCu&Ci70odL{QHIi6T3j0H>FU275aNJ+K^EUuuv4zxq-AjD8CeUhC0Q@8Ya zX<<;ZN4`6jf(YTh`pb$xBZ%Ypy%^)K4I7JM~BN5az4L;fTvIRy?0XYb?L z?ui1=CC_LH?yasqB%15|>QCU32Q;vkrVN|NIGs&KjrKy0SWF<$yHNQBEkH``*(Osl z%-=0b?hn$}?Qz3rsTIqEtzpLLPg5|YK|BCCDDlo7aVAiMm$7S|&Y%P{gYgnHYeyT^ z>eJ-;5lgKD4RK`lpe>e{2T;-1ouBm>2}!ALM*=wFVT4W|1JTU?&t2M`a;G=kVwuTj z5{{`+6Ste&`kgGBEc@1`5FB)%(T4`2$ z8wN!4>XK^fdOr!11%}q7d6gvD`Z+Tou_Wd^fBOpEJSP;Uy)R!m*z{rM0EM zBbRejs!>iH;55V~gFB|Dw8YMmRD11>GBwyHcI^{%_zCPQK6rH%KmBi>2~jy-^U@@+ z+4#t%66uCEF<3Kr(lPP2{qY5^YFZf!PW9e%-hm+p?s&UB*&f#eQTyVcCiw*Cuqj++ zRh*OcZ6)RZP+j^o&^NIoih}`GAN{Ig7p1OM^%mZ!3zt8vM-kTx|MbaWqjYdAL_U(4dsDm#Uj@iAMpl<5gbMV7mG;(R-wCyUlX(n*To3# zELg-xOJ;C*i4-y=+^PH+J-;*dZB3C4PeD~@8-5rGKFq=mXvRY?N|S&CorugU(Qtcw zuQ3KzyT>3QHRo+s^d1?%TfnTd&w}G@v!P$Rjw22mMmoi#+&sF^ua2nce74|s{(`h{ zJ=m6EaCGuVNam3fYe7FaXaET8B^uub$Hoz}?-Hd+@z)fzFqX#S+CRS`JfvywXz1Ur{qW(DOAAXLx{oCC0QBoXo*qHI9>HYqTIfip zC5nydu^r#mjVx%S%ipaQP^leiW|2eSc^f)e&=$Z*dU0hM$_~e+xC$aMmpe=^clz4& zKn2_^i(5IW_P99#@yE;mj;u(+oPblg=fR!j#jS_4u}vBk&?fkcR`~E@aRLyb0~RI} zz$6ZiH5Z!18pk>)4-|-c4_K#@QehmD+&C?)h>D|-<|j8@*{fO zq8>0$e3vqF_345{*y+YD9S|Lhw=i1)}DTv$7E0X=;%n{OwspRb_jF(H8KKvqGVv4l(^qxb3K#Z}4C z#n>I5A_)yg}(8?6_Pw4s@_pJRF5YRAGQR7LH=jd6UPn>qx? zpUH0fVl5WIA%;UIptz$(uB@5Bf}FMG#S(n3Mcd&`-V4cIn$^9q*{O%=C!+>h@3s%ITAH-ehY13)O}vq=e`p|62>*yg}(QFIJ{a;Nv-uaTz$vqG^Le!bz(2F0Au#Rgnl z;Mb1NQBLN@6SUt6&&9N+Ee4_OboPIdL;C}9O3~p^H6pFd7wc#D%oku#%_b_o=h|QV zp)8YR8pR)*dgE+JLMbZRqC+n9m^)vG*y*j%BSK#-R|c0HFFm{O^)>brH7a`gH5t2a z)4Tin|9z!h++9MDxKvE!#@z;$jcTY!cyXw`MtO+HL}ZS9k^Z|`>B{f_6~3e}vE&zR z(E0Wrz(XST@;Uyl*0}2tkzPtOYUjuKYVX7gQ>NDbYzVUJ=WcXnNd@+mTn zEMv+UcdIVA-O`KhOfGS)s5Noh{w#yeT|kD?iRtyf&0xY4yw#-^hGm zlkKzG?Yo-r{)51A0j~;cUL4A*#i5T|J<#A=RE6ZIgaAtBpey?%fZ?zc>G(T1R2emH zaQRK~dM3D?*JUENW!n49I^Sm%;XCy5l?ANcbu=aM-2$+t* zE;STPnGPtz-*WhwoL6y?+kK+!9QfzHq}S3;=XJSelTH)ToIg4EM7i+*Eiw@ro99!X zI3ej(oL35|uI@ZxQ!t^In^;l`=5=5TekrMAF*xU;a!P4Tkkj)2v-C^~Q^RBMKR+@V zm{Ca#MvY!8IYL2e1kzV>s7?yWJ>UG336c^(`+pNlW}Fkq;F#2t#!SXDOlj3AV-cS2l zrXxeyfN#}KiKbL<_NEOx1FZ}%V0Ft+^@NR5>V*gHlRv+1)|7a zGO1x6ems{WMDszh-oX0(2X5ju@%VCyp~b{w@hMy`N8f0`i?}OV^mF$y$?e5R`+0eH z_a(J~*AX^lg@v@CU12Ms7Q*u#rME0a4m*bX+J<2U#n^9q>@M_E)R`5Pt~yh9fhjzF z3w}it3SVy$Z&GAHmvVL#Rr=@g8m`XZJzNlf?5sgBI^M))wOM{uWRoX*YQE1wGZ{t0 zxptu#VBb7UPYnRX$zyWeu-1)T^)Y|N44;H4dc-?QD4U^&yz?QtXw~-S2On`(bI6Uza;+ z+;UBM89mk)MvrN5hIf(Pwtu?oQd+_(Y5TK#S21j9b{r@)Rd0KTNs&L12h+6K(`HWA zXu$bkfPOE;p(C)1!H;*?$y4d2Vjnk3%e4ITeCo}U7j!ipY=Ki#g{XtSZQj zu9Np=6M!2h1vxFi@9uYKzu)|M$9YFg?~rodr8R<7f!h8rk5M|`Jvr{m?ES9uyB|GM zqgQ~Wx1lXn?D9aXg+PW)8OUe9R!AgUx8T;E0>w>&lY;XC=~yOfDDD`EIu~2NAKxqj z^Tyk#eo)g%jdtwd5qqoy1}cxbJ-BBhw4Kbnkn=@4b1r&&&_@Hq&{@N67GVl ztCAprI`u0inlY9Fo~@4e5ChE~hx5Ot9+n{A0t(orhqNUc(1uKA8cwM^f!Qf)*tX42Oh;M|3R8e?C#obnEOre z{Y6YhuHUCv4;ymhiAQhH}7Ug zfzmG%>Hf=9^M3h%3gQn2smXyL1hGsfXCm#DOe18!u-2?QC?ti~e8Ge;X zG*6f?YEemn0y3(VeMHCa5uoct6h+6-NeW%~cAYEXUMqg9bvjg4J_Ya;g=}`Xh zDYhnkONN>Xj=H9eWb^*v3(i^c!NqlCfAQ-DYyV(x{&Ks@f8%$te4BRVUSDLyI(YAe z6N{;HTyih8;rFg4{awwkUkZNG4uaBnyPym%F1k{i6B;H(xsM~yLtJO(!pv~tZ|ald z#k%zl?g~U*v+LDfJ^5NYN$bRy9ouc_Ez~mWbCgPoc(MnZW5{8OKN3_v&imM-&#}gC z>L*&5`Fznt9_{xdKG3yU+inDjP zVD8^=Er$0xiF(oBFBIj?Q(Ck| zVe!FB=|!a8sG^xEI*B2eAkew|`=od01tz$C){gP94D{W{IT_%b9)qfX%s{Gh`W)b) zlZ9*0p>z1BS5db*==y7^G29~x?x~mg2qv&PT>S;z`x9yJW2#Yco?QCE*8=%EO)VCy zy_h8`02cFNBX4a@_vl*wRS_o8(Koh5pJJGt?W(0Hvx{5Z zcN3fs^IM%1_jZP)`2@xOTLWQ)f1gye$u4%VmtmTu=d}~gnu#u&zRlfgDg}j9E443m zD;;i@e@lt@XHZ;Fsov;2v{bBdw14iXR&9E%9u-TyL;SY83tcW6@=f<{*8%FTipe6z zN;vU}yA(E3{0Jv)9US}gLqg3ig^p7Wb}>(K%nkTiYFB8{m{C>PdapX_cBy!4eV@im zymxbG{OSk85O`MM)YxF;q{rZfRi?~VkB33*vmfHpdb4x)FaQJkuVnlQSdx~Jv34=l zeWHjN=0iPbMcGEV*y^>B{D^Z$<}1Qv$ot$XJ)Oz-pOpZ-pd9B!%}%Btj38X6y-wZALP z@H51m6+DZ%>$G^g>tS2a(K5x%%1^s%L2K{zWW~LnvWN&|#0YMoOlzo@2p*bV{EKQl*3TADc0=4Ao)~Ce1+Ibx2L9A74my^={Y_z z^dMrBumYXVtIF(XUx=IX6CHn3pm}g98Xf-B?wu=&g4SNF3e*){Y9KSLc~b9HmHRGn zlMlD~pt;uW&c?+_^@Ph!bfP}=laSFzlOMvm%>R{-^&FY^tfznI{{Fh}L+Nu19wE+y zFZrp~36C=Q+zZlN@UwX5&oq}~5%)Zdz{HQgb=XuNvd6v>P32)8xPhNr^uQ)-%rObFG>Epc z)z3+I=XO@P<+D_9jnSltO=N4O&!4>sX3Z(0^UAb>pdEkaSj}UN=@eh*3!khY)TH3n zKdk7-)jIkcq*}LDwP(WyVjokj*j~E_N*FnXy((pa%cm}U3HqpRu_=XasLe5>lpZBj z0})S{cx@|DVvkJOoFlb=3yHI*OMFSvb#~zkV=ARcc+f{;*&8B7w0xze68L4ae*=V# z_%>o>`|(rtuh!T`pPx(@tfD1VwQ)2FG<_REu)llzsaNlnStU!p!&Sd9`mcB9*W33r zS%2B%LJM)bl~-E$A@U__$}KgcFZpaOlH;R9{%HL!%ev>5_EAiJ|FdFq_GvVA>giKY zy08#KndOQ9C~N)}nzQRMy<}UHPp1Kz~o$5nQkI6*Pni6W!icwyr4DAd8=U2wA=!H0jmEMq)Vi%M3yo!@A{@-(`NS2#6i8BQEBYCGKm+Pik zIYkB{HM%ymGdWUfd-~dO#-=tOM)4l`ciQ9Gjb$O!SjNn$1U;)I^}4BvN{VO&vTV7Z z_LjG%JL+jBUyT@(!Tiw1Z4cSy=mpWUx3x3;81(y<;o0ipo-&~%6r2*u^IVkwyR^*l~`9CPm9O8P)%@<(c=+J^m z?ei$;7@?C|drsFFPA)G;n4kqXqrdzX?5p)Cnt7ad24TK#Sb=!=xlvI9&b_Eyk>*NL@zfA_f3zOJ86g<^>eLT|OCNMW3m^XCU&WD+6ltWn^U0P+mAU1WB@A+F zZ(hFiieJ{<`#!A7V7)luuj~0*jMWfY5Z(}|yH+Hw6c)Sqa`5);*xi+;zw;md2EGty z{g8`bJ-zN5_y2n!-Pn%#9(C<->1nX{oyNbuIl%wKW6=XdK2AegOxn2p_8WD&i5WLSO=foH9AFZq}u^)6LSoa5=~sy4d(qU|zC9G%dM2N@j`Oor39x&UOqZ|m6q zsr_%22qISt^j}3GvWBj01?~7SkSATW;&r?;b{Tjp%uNe@Cyni%4>&Ib+|6JivwiVo zVN{TGL{e{@TyaeAgwk3oQs~L^2O&*UAr;&5+T)xg!lCr-Ww zEn#t6Du0Z+GN@^bigtJPrjzn?#LsiOJHEe+E)O6`%$}C&|D5|kcz6wR(lFR4EZXHQ zETQ?3Q?Yun8%mj@up_F0E-%^dC)EmM{P|MnS^8^PQP&V~>28d*!7RBlAK2Doz&t-z zGU6}0sC>6Bd0Y2ATh|<*_Bg&&c$PBkz)26SllYC#l93KT63Dx1sMX3egvrz|gbjy+9BV$1fwr^SkNr!_ z&}@VE*39}MYwj7^eFjeKx|-bC8P%jEJG)Hywm+)h1;763`!|Dxa}W2|w207XKhNl< zSiqAbUB2np-Y!ThBfXG(=Qrq;j}G&+Xx#mcQ>^t$%u6amPM@(7_8MZ{J1^C;%mLQd zR6g5(zWqA)5b@hamOf1mtpD~0=v|5pYrB{!mIZ-aI&`cSlO0?>1VR3!&CV1b=zcT) zFD<`BK&wSxBP^n=hr7Wew_Ia737+~|szitDpw}R?WT}zUMUzG@yHTrDz~i^`G0-}F zkISQ9xOnne9h8bulc6l^mCFT_0TchjVk$ZL@=Z?Y z<#GdkEw<5$=C9u*R(pQB#*W3n)lS!n`dAGQ+52>M?P*IZWu`JxX0hD!qY;J zNZHIsRmcox&`e`#K7f*rw(nu$d0_fx##-Hf#GOrQZ?x{?oX;2^rkyN4%fHT=2-dj_ zF>BHn4{|Z7#b%eCY$ajJmsN|;Q@OjJ`tNR}7z8Jej&ES2j0%1tW6PHfwz_$SO+2!T ziiR9Q%T_C@apq?Wq_qcCevn+f+TDOLMef;E{(+OC0yf#)tU}*{PHb>6(uil=Ef1okOjTg)Xx`+A+cgDN`1nsIrFCfBgq1|0b!cH; zkLf!`Wc|vztO7o321&9;quW*;C-6o515E{X@tah5+dnfIJS`?|v7_7-ENgDes-Mf1 z%nY@lW_noLJo;CN817w_7a zj}{jIo2Eejv&9q6b4Z8)My#b=g?V4NjyoZ~pe-Ah;%&-s2hOGASAfJCg?gLJ)?}J? zD})7>Z_mCuBj}(!YyHI4sXQZ`1zmG0tfq{b!it#I!U>oiQG=HzKMR6k6PaBlV@1EL zEdnv)WWDn`K`P`((%Vr97zhls12xhZli7EO9JZKq(0ivgtxPb3SoqP z2~G#byjcgONgToBI34Om`U{IwJpaVRbjTMAsPW3({xP=r_q*L(IvGlY78m=F|5yDa z;Enfx=~31jWJ{uV6DGVrsg;i-Ki)t44*%Znv?1yS?WMJ`LP{qo2WA;L z`kd29kvNeww{^=q^~yXlgDzhsmFMIhhl=o=Hl+;G04_B4?MnVgLG|oYc;pzIA<4 zO0GledmaWgYeBlg-P8s>WlU+eqLrtjb|0IczWv5^UEKJa%A6|9n_@|*9ZlP3&=S|b z9zj3j&;8taAX<@5UqFu#6rI}~#rE_8qg{8QrZal~P4bGEYWja#yDbe@1!l6g<1u7% z$M(D{c`5qlM|;1zHcEcbcQ}$}*QIW6SKqa~!!F>$LiP0ZBY1>|6V^z9?ms}@H^&L2 zs^5ITAX`JoP(|1%~VzJw!>a#kVm-!)y<1H1Y5I>Drhcv~5U0`eu@dKpLa zOt!5iO{t`1l|S!f=5=4-o@IX$v9{EmDWh%}gXXDZVpok2}f0(Z2WCgvSFu2 z&k=TnR0v_d8-r%(wMM-+%+t+o8hoo7aPk%^y>e2sQ*p5`&HF%0z5FMz@eHsaWlCL) zY0VuwJPJ$t-;;UEsGDCAu+X={M#ewzNUpR1Q!~z&bU_SN@@nf53Ke$Q^dv`T!76mBj_%o)QvDJyMzw0&Fh<-zSA4-vn|d!Z&@H2B`yj6H19 zUp%~RO02Y8G4a|uQae!^oNZ=xe=tl3(g^hdeDs6Yaw2~$)CsWtp}T4JcJ)U(^ z1-<3m3tNM-Mxqv-HB-xQ#uDnSOv~)dm_iiR_Qh-_2Z(OPM&$eGXFg-ZWQ5N;b*5{R z-|5PFyUQNlNhMrQ`%_~1j@gEv%0lOAMi}uLiwN+sRz)JNx`+FN9{QtKqJEbfI6PJd zLnar|Rn0_JwSttlW&bjQe4W|SjQ2BvyfrAR&Bx2}^z=G>mB9q?%T4PO(y27Jmb&K# z?{8dW`{yRJgC?kH5&dHDH#-6}0@Hd*eH0i8e-NZbRGwCZW!Lix2Fk((tAHWI>13$i z&0tIBu=qi*xWupO{pfX>vp?(}!7i^8>V6-&wKWwS?WR%B%=NkjemL#l!A+`|Ds=Ga zc5E&_@#$s_ri&Hr?$)S6N18LP9fpr@B3=Sk*`)Pf1@8w+fr5}zjG zIhW<*C7h+b{)b~m(f-{Fg4#|$`*}z!w1!xC3epDE4A}q)wd-@-j-ITu&cfeQR>Le_X`MqOB!b{~1MF3adFXu%FMO$Tm2d%o3FO7V?8x!;rBq;Xy)0@(!W|8M-GZgZIVQvYB$;p$6VWgk$nx2v ztZs0fN)2*PhsO`y|A@#sNh5QCz7bOyUJutVzWB!t$sCh@pO8K)C^Zt+apahA!J6K& zGt%L*PVC|U&lRkri*vN`2x)4fc*+Q*>0AQ8Ccy<>O>xxRXwh%SrTK445Pq!ma&yUm z=PG+t<=9S(2aoQ5Fd-&{{Uz$e69jpVQ2g?zy^c`N0a(vpCy!ltIIDk50~`(2Z)U47 z8D;x^f~+k(QdTwMvlkXYrotg!D_Qqzp}jAL;oyG>j_xtof(5=f=_);z5{aheF~N@& z@sN;wsEoP3@D%XJ{&H9|dweqPGJ0wR#2n4>dQHs23^EsQ=H>*DSL`X!?xmEjqQ3(B zU9yUoPoywQgRtvx)-&*7epWUbqxJpy%?mG+DE=<1{}tCNjn{|Qa&n@|Mt= z5};b5GARMgH7mn# zRYAI&giN$3kJH&e%~WTJjfW-GK_t)rThW-A!t0fpQW-cDgscoi4jUDoxlXRNuIVGS z&W$WvCiIx+vzR?<7;?)?y+gaXKV=?e2l|3!x^190e|9&JciFzpHA-N82qk^Ekx-8( z&Uh3)r;5l+d6kQK`MmcFff17_uI(mYXaB!b#URl&V@l+ z$>2!-N|^HDwKr@8V>6;LZ+K9MDsCgbJ7>(3t<8?;e^NknWQ&f?Ll(= zmt({IKk5(EM%}Jjd;N~*R;|HNddUEo>w=V#vD&D%H@NE(Is4P8(C8=Z51Pm_7^!v= zUIMJAwxJuQ!Vq`QruD(EQ%=JS-a4)lQv?TKcP_&{Ok<%%-@!pJ`kNVp8hkbPo!_N3I6rl4+PL0$!oz60wdEJ+5h!epMDvg}67 zA+Ou&-Zi12V&~J#*VL9Oud8_>e&BoaKSCT&hc*H~s)t*Xd&%gURBX;u!+YIys!sZ) zfHQ2SsMxxjb$FMP%d!|*xLjD`&}j#nJ1yYvrD(96Y}0q>f}&(MVf>GAuw2b5#o-NJ z^>TWr3qqy5pag@ASo80qe)7-_Rh2tu{)Wh$#vaXw2Ik_ z0xd9SvfGaCKbHL!HCNq1_O?t;eWOC&jNAqV;bJf}dqRWWkY{5EgtiCd5KOc@e!aSN z0YhJTNnBp0-(;7%t(-Y0q1}!_du!wuSD`2WN@g3*e|`fv%}FkRCZcO#)Xisau4|HS zYTC35;KbfY%**`0P9UIob))&|#kJCT0p-Koxr_;Jo(9k0sdj&jX@(m8e;1&dDER6> z2>ip#WPeT29KUf{nM!QU2>ezV7 zba6m(J{LYm9JTSfTB|-fsNYU9IlH2|=_=4Vy%+A?yIM%L*z$vIy+Lddje*anad{9= z;azNN7Z(R)`01bzR2Moc$p&>7IZa2QF9U8OySiC#!gn*=imh$}1_ZWk>TLklQ2NEK zvlh(HcjY#Kxo>bHyb(K$#$3-!-Go(s3K6|Gk^-UT?)93u-{Yl5AYPkGK!b?a7aem$ zIGa-Njy=gu<*xroh_C-XP1Ol;91SL}jQQWdmBOv6$=F4J;7d$o4L_mfta-a;gygld z<&QPm?%Lephz5bHrdQq;v2H0&sn-)UM(5$A6LRnv+HN12OOxI}xF`vD&5x#Y%<4m^>soAd${J3zH){dyG+Mgc{I;6jiV$DqZ3HgO4 zliX2?M8Lo!kjc4QCSC1bGEUy_a2_HDqzXs`&h6wrsCnIj%X^U&$8k4Mrp&mf+GDwm zFE5A$AfrQvqF>+SvaQAHoRLoy)Wr6K3wLV!BWvpQDdaGZ2%RzDga3{y?;)4xuz`*7 zC}OF75O`_fe%{*HTQSf<=*rQhMS6mbEaZAOEAU%S%U!_G$-ygc#N9TU$(DJUZq<5U z4{PO`gUmh%#tNu#Y1m>y$+80BT`hg?y@i|u2KJ1Ec9y2Srm z?hZ{6=*&Dd*h=_tI21=i99CM5jjc~6U$-;)>L$l>9)gQSx!3B2M|W70Ph_3Vnsx1I zQLqpJ>^Oglyz{Qg^*}?$EEU2!(a0B*-NX58eiMp`v)pA`Z=eI=zF(7(;$-i(Tr~WG zAKK*9PQE9P(QiE3g16K`8U&dN{cf2lL)KYTEw6<#`)O_~b>= z#lBoV)CgM`c}-aFPq0U)fLfA6+kS5bGI4lflxG)o$O!xy!1x^NN~y5GsNcgIU;gp^ zlc3liH0+rVvoI+`L|5!<&|3G)<;6e-ybbn7+Rf&Dzcf4<_;uM*`i{=h*SdZ%_i76V zl;dM!R%A6iUZsXLIF|iWVPS8zRV!*F=Zy#-MjBu`=0$g&*mu#QQZ6r^8TT{I`Fi4@Z+I$i%bfi zNtoltP1%<1aEl{SbjHm`6k0W1x;51Te^VQ4-u;4^4sWt?q-*E$7TTd2+WNl_0y)!N z6+y14i7PvW(OKI;4*gQ!T4!~Yapg_6gT%I)TvGGQoV&6wLCk|#z#CAYOoJo5!WICmM_s~ zRF@~}z%Lr*=F~8XA`{k+!YdD=MQj8vboHRGLga)l$T?42(s;19cUGXV&0U{p)lrT@cGA&7At*=Qx$&AW_QH8o>|ao`$2F}P=OwHPeQ?o zy^M#}`RfLoYKX9Bx?xxOGi4Rjtub!#*T&lS9_>cy(|5{NE8FdUZxf8W_z36m$^QP| z+w==RP3tf6UuKaSd0IP_<$S(HsDivNm6Z_Tni;=kMq=$inJBKj)$Dm(d#$)-&w#G3 z$J2wDI8*Lv&ec%|YKgOdP6o6q`4VRo!i;ApbRayoBXhqstD+-6vs8JlJMFBzDS-;a zNV=*aBCij*~u`_naRmU6eW$V;suU!J$7&9?JAwiw4B#6>AS zo(By>@6dj$lc;N6ck4#L-@>JDL-M2rTR0WZD*uAd(7ZJx(+4t_@3JB`s!{1O!qj6z z#?uE&TQ}oFxT_>tOcZ3@1r8kTxcF%2K5R20$Zbe)eQ!wFts)}nS%np8CD%xPl!Tpb z{3A{Ld--b!Rp(;(JwU5iUWVv72+3w<`6ixtXEtgEI}xa;DEXo)e>A z5HreC!B5k`&dwWi@yCh%Y_jS&4b|b66^?v}N59ov?vLCr=)v3v)wcn9W^$?`n5l>- zdC+La4@QY4Eyn*^pOc9EChvx`wJYr&E?SLDJ{NIov)R~w-qy#*K6#R%w$aO%rjW0u zP^st{c2~DxCu_$7O!>Df{4hUg>V;Ue2)#_iRMPi6&&6rowxaeNcejQbC*B$VEj;^vTZHqUj`;QAyF8W5KhuFn@2dU{ba`!X zK{Ym7Of^VX#@s)_y^0^Lj`!)Xt*6=;-3>(a-jPFjG}$ zk+B-Srv&oMZkjlo^-u-@&jFJUz4wQd2FyMe^Z1^bdA6;(aG$cer{8-Exzp_{^0hpv z{8`im*%+rdT9T?+SO3w{fLDC|?SC}uj_NM^BLCbcC;o;*pX^gJdW)5Cc+Vx?0|f?c zNNnp7>4hPC6pXg3d9MgAL#^;W4SMNqok>aKMV5sH*- zrCjh0?pF`)U6v&~6Z?8l_%T&O<(75^yFyUCDJ4?Bg2!~cWu$H9%7szYa&Bf8lUoB5 z`Eh~r%r?47arpQ}ZJhW#Wr{{vwqCgBGjQaE0qICu?=MKCai+X{*$q=60S-1}^V=Z{ z23EXo_FL(e!bPAFk>^=?e*KG%LW>3uei(de^gK0tDbF{YS6?1&*=*aU*%LR~`?MIO zvlSE}WlBL^lymsqN^~GoRidQheOr*@HqcX?JNfQ^7o8h?KM1lII#JY4jY?$df0F(z z?#H%ww$bOl(j6VylrB(sqA=ADa?O6sl$1qt zxP5fseP+%a;&;WD#F$VuBh-|yvI;2mJ@NUp-1zz74FuO73Uiu`A%PeTJ<3735Gb2v z+HcY{3!ngRPj2&yX&=szwm-BgDG%8ugG^?bI%zd`tUK`G>vsO6UsgT7p(}7_6+#Nl zCsuwHk%)y`x)qD^EmiOv_UYu3j7@bnDkPh2>WLcvY6}tw;%0pAp-L!6wvb#Lr3$g(waX+6R{Vx zY}Co%R!sS#MsprI6ue~>H#m`PSFWIr=I!&z(m3DtDM??&&@tEamBPVVH^Aj+F%@O# z`8QF+?h`~~Xso{bvjs7y1YSr$zX;h-X!@^e$X^Mc{t~7-spTJ_8is3q@322@_%bCf zjMofMXa;Yjov|r}@pIL}aEt-J#D|`OXVGR)F5BggTVzlOjyiJFLK^V02^+d6u$zLq z%`I0p7^CaVdM-`z({q2cs}6x`4C?_Ji5nJURw(&kmw?3;4y(lkj}a@BjCH}VkwT+P zP2JVOz+)BiqF%bWO}kriF1)-kGDqR#NzXk0v$>>JvNdWiB|UevgtRzUuaf>DUHEu|ERt#Ug(dclMiP~6tzT;=wTVmnx+5N53xlp6`g|jswhLm z$B&MVk5@;>qLh2dC3;I`#-us#%X7RyK1*JS>2E9|%5N4t_Zim@x6=1eoOUv@{Ha;i zB0AQodLb|+6Y#aui8Gr#(eb~IE_U?Bb5gkj#;Pze-z9AQ3-Kfo&se^+dXUzb=?z?Q z(I7~?;k1tG3-gokKOUVP+t@op0bz75P#eOQR%)EDQ)?&xgI_zKalHokCnlKYEriyZ zNq>T!Ys_ovgO#+rEm0D`()K$l&tGWLQY7T^uM0ex=8#!>On0^W&>!gidY%choPIg3 zsCfIdNtd3cCCX?ekdk*lFm5?%_ci=YhnMp71DaY0mP2#Yq0V4_xjazMRql_w#Gjk} z`{!S$VFgu~_NJ3bX03Pa+vG33+W%8DTof z(UCfJ7p(3@-I@0C+zL6kQ_bFVR5u^D%~j_wyLA;&LOtVZ_gJkf;05n$Lm9;rgd|Jr z#U{IV-mxW(xA~g%?J$+(n*|w-wmR94qUc9%%vnzqYCf2M^fYe`_sB%O1^gaGszvHa zMQgPkbY>=_11o%^DdxvW!N1d8wBMm_Hw>YbAfsGMW08PElhHN~-x^Mr^w*qfq(^sZ z{xe|95!P7D->x0TsH8668W5}u{nLi{>sy*Dz9jBupxm*fUu_R^lwZOAXoz-~X*#G@ zqsFo-?##n4!dNvUJz@`ls8GiBpPQY=d0UBae#T{Q7?aOprqX>I4PDBA8j z#PD6|(kQ_{=v$*u?abWfQ!FFtpkk6_W;tDhuC>=~E1!%-j_pEdaYWN|E>U_JD_5Vp zkw3T|X--5;|9jmNto$z|xMBJI*TSlXN3}{LsEG}fYsCht-9IO?UUjT1aQ9v`QuO<= z!@IC9q>#{IfxmW_e4%Ep4n{x8koYs`!WFY9bF9RVv3Lb1JJB@5KxK9XWyDq{xR}lY zYq9Qc*NrcCK>G`pJbPAYrvEqY zd#rU)6A+BojRK!h;!QM(yMJd&x?#?@h^&PJkx}D@N=GRNGqXRxZ1-gqz%>P2z#Rp{BUY4bO-JHhoXAvzLPtY6#|nG$17zi&~u`+y|N$9C6m`h7;-Xhp%y3 zZFd=e#hy31Vm*72RsmoJVAKvQjC1HI8|T_7nh#n#PX!NxT0?H{Fs#ypa{4hpiUWG5 z>;sEnw!v%5lX2H{o|C0mnBfM@YZ@Y2Z84e4ILWo+{o7IIHTmmF$R_#D@c?*?lCT~x ze41jC@UMga)a2?`FfTLCLrxXAn%+JA_X3K4}9$Un``VcoP74 ze!!}IWi!MV&a<)0vFCZ#mApJMnn187fxp?vQM&~La4KwrpZ6k#cEKt1m+-(}@K=x~ zC!#sKz$+qBz}b;-;m(f-f=dBT#cklv0OX95_?NF`4td0edkll=wl2x;*5decJ|#_5 zMo|EYDHGPR6C42S-4hBeV)5C^d@6{rS@+bPtZZW()^`@ySHB#a?*7wsngY+dSU_iY za379Dg^skI?^90r_1uzGJi2?3v~Gjibh6^Y`Ghu@a}P6b{mGu0Iz*Kv}b)PnRyfqW7}f553nXQ?7AN1_#ds z@qH4xOE$LKBhP?;Dq~!cmN2;I6A7hr2!13G3VnwLPnQY^9Si^Q7>3Bo1?&3|U8VF$ z=Gq>G2)QRB!z84@O$6)}A+*Dh9UFrD8iOIxAYxo$0i~S!hhc3{@GJb)*VQqu zc2NpW<7!j2l*n3_pJUj$tAop{BDJ%?5Z_zawgxzmnG?2;2Syg1b>hx7dk3dcyqe%K zmKDW3q5xPtF0m852r@fwJjMNTsRg}+^g_f!bHirxHhA0k4Hm#B*cz*LsE=GmrGI(N z4Awb20@vCA{Da51_`)=ksXoC&Va8YA*JERXXPVUrOtt1cms?=P#Bo-Q8{0>SU_n{2 zCNB(7^eLodTNxCl(p@dYzczslsoawg>vwzhR9q$ayRG4$cOUs?nz5`k_Qdtw$JenZ z>)5RXyETZEpvqOm`gTtq@eMX8NbtcLKz~4-+)bIS?SlCPLt~dNqmNP+KbfWk5>0&n zXA}B2fMO{e=%Wr1vtmDUq1pED3_(tDt<7{y3^bx35U@Ujmtw^9@_W2=*6!9vG)XZI zz7z1ptMWVNP>{%r_Jg_P@k}CxI)1*}0jwYML0gP~T&h9^id5&i7;n7JXGw2HWS&s928%j}O3p!t4y_ zK^Mt;KHp}JlX{BHEGuzi=yac!9zU#n?Ws-WGAK)gg@T;%?^euVyhVQK^O0D-M+zV4 z0EUaW*0u!Pg3SqgI;`VY37Qbb%J*+LkooKw2kN6B%l|#_CI#E+p4u#)y-Sl*^Mrj% zJ;+GF%p~#z7RgDcjh9FaTICHZwOuTOEnmcy=Ge-Xj&DT)`9VpJS9W5dr>^$lU4SV9 zT8tUG6#*+fN$JuS!1DU7`%sOeP(UL$-KSQg&)|zYgkj1q@J=>fpDi6Q?B4)iX5;1{f)YgUAa4dHox;!D@`ou%QJ{)0bDf?`%B>`%ZrckmEU-$HCln)(2Nto8OVL zN%*JG4^xkquqWyWO_UF3s8w~N|{lZ50cA2U`2jHq_8Ry+AQeqtK|+@ z8vH3)b`ES3f-aCS%;ehF;elIr8v^ro4N1_Hk6oM-4TE|1`xrln)r+_EZ$l3CZNgzG) zl1`+ys8)_x`Ez)=hto21RQd8 z2GndN=Hqj8TQw=Eh}I^+ro1{y@!1RoH*iF0GH!kgp9UQK0b}b`nD%ZHz3@%{D45c2 zI9jK8Y)yIlt!r_2W9Kz^`#!v|JWJUd=>#4oHMR9({!-0d=!97(=Yj@f>;_rmZ;S@WivX1)lURVS2c)yGeb7Hkq zvwqh@fLNPgfC21(BTffJ_)(T?anmblDhRDmv;%AKh4o?(K)!ptgcfo8 zXuWy^5Yh_4gUhi+tRwrae{8r!Jz@p$fng(fewk`h(iwdE?&Bel<+_ap@t-U<7*MY_ zi|*h}ZSXK2aMMScCpvh|GSdV%*6rb^R2xg+SfOo0j_M@dqtvw`3Bw+az6yN??BMxwp zFaLno=dBAfU&ZonVyP3CGKr1(Qp8%`E+Birdi-n!2i_>;ZPq}tgfyb{>Q4s54T-zgo$@D*psC~WP?yt{nYG%WVzu9d8t-9{ zxn`(}c*t@QMV5t5|&eIsS^n^idJ*%`hAdcO``kw%h{!`GApDzX= ztJ{p*>R}o`Vxu3Ywhe*mUt+NlR#j#ge8&J-Yp1BCd6F;igX%>zH~OIUxM;Fe8(g8`RYxozDvkmB*|4d7I?h}rZogKbr{52{4dd*YwF;NN{lE2t5>-OY*di~lVKdcU!IEX zSd_ow`8I9AxLIUO#s9`ee~z6%B`oBl@J zRR35?h%k9chh67A*pwmODw&>yks~e)+f~RtGT!i=2Ab!<$`^$aF0+YmzR*Uwg>r#w zVRPY%Ut#BKnhR~k>%1_Nw`Un{2yt)X=~0T-NT!P7ss3B%-M5fTk_1Mn3R5-8AI^O8 zorpXYjOE}DtPF7q5l2%EYS zPt}IUDF2<5e89OY1tY15gkoH+sjJyl3qwJObA3@2|9A`y z@rxUt1xV2%R_XtJ`MxNt%ziT)#NPL=9f-iOq4Z?vr;pECR+MgX79G{><&6)YLxP1Ms+h(AWy`_v;fR7QFt%;@m4^`p-Q<2l`@ zRB={>^g<#(a@o1117OaNGP#+afM7M33vObqIi%1Dmsor$7Al@{eym(a%MFgGKhI~M zn+C~*gZ!@V60M(wTeC_{AC+k2N!W|ZB%0Yp{UjiE@e8xJ!!3orq#q(via-TyJn_K6 zw^wUjl3u|#Y!$@ve}Js`i8<={f#z$iHz}2!qsi(zlNd1z)11#J|92n=!UM|2SAtr7pcX*Z9ykvf;4)n1qL_IlzcK z;XbH?- zH|e5!83sk$Qdyz=_tl(NYUBNEdhF1c8*sYs)S|mYF3$+pN2|UsWMg5_KPFx%%#O*; zr`U6TySYl2$78e)P%9pvy#2baiPo9nkWq+WIsxkh50zQwF|?J8O-9W!v3s zqb-D9hjKdV+7bu)aDmC-$g11H{L$ymrx@%$?LTyC&J;z*`dNy@)H=KB`Z~NAd0V{K z-a`6*zvy*#USS^oTcdt@v`wt9-n;qo^f~IJ@4G|zA~w!d0+9mYft=gobua`h4KxX| z%gP91AudIMJcVDUJ~e&<;^+^(N+!2-V=uQeu8Hzxzm*RB+ zP&^MoUVp{%8uZb2Awn=LOh!Ir?Za6?55?YQ>Ib#C))VeC`(^VKX{6Ka5$p@C9z;+| z;S3pWr8m!Wh3RkVe(w3OnwH`7`N2%iMjUWAPV7FD4WHlrlD&KHT0T2JT7&7tU}dNH zRD>Ad1MZcfQ8bt14_IcXIIGJ;rcTPfWd6J?E+F++3fNrL;(>+C$0?KOGJIZtJhvSt zZG6H}%59%Gb^f^Dsf~TT;ULj#!Mx;As1UJ8mjy4@VDb?TIl_kwf?VM z1NMHYL_Iou78Y==;`VRmuBud&4y{~X^~ee}%s(qhgZ3xi=6;JMq0n%y;S1IIej8ks zf9LG<-~O}X^SQZ*$I0o9O6&~*#0a0WC=;FcR~zM{oUch!rcYYmBou!3QSH!`b*^nm z?>J~EYsz6cJD)0Cu`!!g)JwQry>`p>3HLSC`r6O9{}>zvbJTxJauRTPx=Z)QzIW6A z`1}~;M@iR}bBi(4QRbl6q)TmJew#X7BR_5hMngF-zJ8x>c}MzxZQY-#FJ4?mwaO%5 z3qZ!-osDI^i;=4eO*;*O@Hk%?q}`!&INl5(gZxz=M?6nkx5cQ zT`{yg+k>pEg{oeOS&>A}@)!4#h;}Gx|5>IQ+-nKuF##9&ELDb+&ca% zo5k;Ec2=LZl^=h%&3(PWAdI<6yuUwgu70!{i8*61G|)m1Wgjh?luFi%$xNFD^(YCg z-RCgQcI!})6!p5vBhPG1;-F>RQd45cycEaM2v9XLQ(s`ZjvI7-g=nw*ITW9K_4av} z$?$XkH=!83MnV?vswLiAN-HIo!MXlb>1PIb*;|U;>N&8$*4NQn^%!H4!fJ2*VNvnX}hMHzVuAbPPA z_V5=Cwl5Q3CnD8TBNtd~?%lTH!?{o~PS3tq#NSVod`O=Ftv!Fb#4K@#_d&_S) z>QzfE*>*eL+H$NDpLAx+m9Dlg5}tC_<4R#MFC6>+4PI0neZQK8-N$h*h{k}-|6TY! zQY2Q~@Ac2>3*mp;WmZqVyuwp-m`3YmOftS7VCm?em5)#@xF^fCZLM`VF*c!-s?u=w zWLqs*KT@RuckQ&P!RFbB>lw4#z{L54#B2V)H^VH72qBAqy+Fk}TNdrZ`E34$c7 zPk7XaNcpLIpNRBce^isOnj7<_3{o`CYq)hr*))HzUXoCem-gJ@8)PZw4JMIDBe(QN zYswt9V$+K9u5oBr7B3TnDw$9b;pF{iHSz;)HnC1ZJNZkNvAWSq!k|0yj2CG*iq-_2 z@awjusB5E}cx`CEUYpUJ|HX>_7poM0tIvc;Az(qvg11M>JXPs}xe?tHA*+t|h5#6E-?!5HzHB2%va6PEhOs^xD!h0K#EaVw8zD(#WDAhnTDAD~ z9|}->`n|$P=@Z$Ef>-c&4r~?`a-LC&oI2sx^h(+Iz2C{ETWOu3;T=P@VW5lEO@PK* zihK7IY0+GC%8L9C1x~GRdeFHJ2J3{lOXa8t5I^yRp6<@S2szUKq@M)sg}9J0;Q8JA zyvjSS`4GC|%M0jtZR;`95P2ktU5DOH(AzcGHed|oagUc`^Jfumt=sV+aq#TQ&zU|5 z^ZD)usHS5Nt>(iDR67yhEn~(jrq`xY#{bgovP2G&oMwryKd#hgz_wzZ{J0iL=i)81 zXT&ZTy7q&OVJ*E2X}5@++~Ayxz4`+H<)Uo8LlgMnrgh4x>}AS*5^I&JD6O$#)P?M{ zQqDVm*d(30vmk!CI;|v<0zg0^_l+i_EPif<%Eg$xA0r)YbMBJi1f9*`mh9?n&yRx6SevaoT7&8tQf99M` zAKc}NeKs;hb%VLWrq}9tN}cPF0UhQjma{_RZ$1&0A1#m|;8EAgfF zWIRug0zCQ*>$h0TmphpAYq~vlI+&GlY=<18g+geq3*$*4^4`#U^zz$|4T9We9J!U+ z!DjE{8`L0_?0o*)jC}l#F)H-ZpFjP-n^vR?x!wFc430QgeeAaoZQxHY!u-Qzf+(e+ zup%0r@TN!1pe?u(j+1XbF)K?@jpI(+wSLhlR_)Dr*z|Ww=5*d z_SKV^p3r%*3+ToL-S!X6+fU|Cz4%^qD96BDrkJcwwtKxU71S&mUw-kyh0ZFK@mzh1 zt*+2mz`NopH?fZ`a1L$1sa7 z?uNAk4&q#F%p@yPGBj5uUg}lh3GLC;_;UK1`LP-e5mfPq1HG%XXf5%QnWS-=By`Os zHaObyvj6j|8qXg@eP*#pjzM)d#ley6vcwWQlcFc*0Xt#65rBQPNu2^nW~f8%J+YUF=2)i5GN z86!W1MDJE@Obdxt9}4Oj1%7_jG05==%fswseNUyG;+6R?y9@h_eFd1MKx?SF-z(`_ z8G?S551y7AP{#=m^}hMe%j^{q>9J*fZ~l5r!dC1LF@7FTU1P?jmdiqExYMlbY-b0} z*%vC6fBTp~yFlJejW;qX|CFSKG0UYHV5X1Ud~?fhn^rX1m8=?iyX5L>N_9ln_SJ@1 zPUZr9`g1Mmm#l&Uc#Hk2MFeG1;m)!Dk68GM5E7 z%?gnImQ|U7e(o2x;Fcl9UF?hqozcHLya#CWkCt^h6TB)_X;AOvA$WN&^3=(-`t6G4 zrrUJbDdS-n)H(=w?zu*izwm~Z7q_e~;9)db>IfwH;k7?r#(p{$n&Sh1lfDF0l~jH( zSn?E)G&jD0bBe(3&&I7jv6J{EOL-)hF)QJ{Dcl`cb)4MY_5x>9@wlK}7i1 zLq3LJUvK)0dKB5!GI~KS!|hH;UGk_kVydxeK3`kfgL?<_6iuIOy%;}T(ku)tmiCWt zs5PUnO{~RENUuX?;_RfoJncr>OA!T!9fa-H{4u^ohXjhSIbzPFKNqYZ5~EJH!NB@q z_rsB52|Z-X*+ZW9akw`;ae3<6kiZ!wgwOi$jGv%jNeGQZg_kxz53Q#)%Yv z6iTk|Tg3ZVQ?fJ=wy}G9R4TG%JF}LNEdWzz&l^c8_gK|mBykw)gL2jU4Sl&)K6R}t zx#Na8TlOUqhDJO_MEx_D>5OqkAN%u;`qBcoZqMNEE{%U(t?Nh@hE<1@?H;|wQD$%z z9mz6LEG8hISHRRI4@4;x_Cs@ipADyhAF*t6_ICGVjH*}{s>Q{0(Xk}s7Ty~N$@#7A ziBDb?O(H!*oUq$5dB=+n-0WUnK4ImWRLnIrKeH;U%H9`S3Wo8|q}Zhikd~{{@=Q~+ zjQUU8B45e`>{1MxurY5HD6cUx^d^d3)NfF8{h8S zQxPZ8mVUO_*}`5nb|cH|Ke8*!5V15#;8vp<}0L zud1PiIu%iUc{!(pyLnOGVe9pC)9PDx#nE}DKkHxLcYH=2%;~1SAsJineqG5E5{NJ+xE)$TqW=OMjpW)oHl^U7bNa!HF zN$4#==pnR(1d@mE@7aIm?A>$j?w!3eulLOEKs7}}EyXuOU{;Ot%Kwfp#{XxoC{h1^ z+hF?ttuMtcgeLc{+zD=hru#y}g4@dljX)<1q2Kki^L{W$b?uy0>}Xjj{;mN$>iBWTjb(W% zu6r#suMqtCSLAZCtP!8=?U}vhJC&Z)|9F)akoG5BSbM&Xx2cs5+}u4ExsLebQ0X(e z;mkLPIdnFGnxcI+fXu0DL zQ8&FHXW97b>NZb32no&9apG4~UDRGZYCrW9^?PWT{UM!m73+wS1+zL0x9p6ztM>|X zb0Uc+R|2hUm#toDm?HmOhc{7D|QDj978)Y_jr5`VK7*ZO{Jrv2JBjpQ_A&JedvWsV`@`X6zn2QvD}E`n8ch zDMY++IVGF52bxYn;mk&Q{t?(>Fh=_FE9nif;XUGRJrL}ZbCWY-FrHvA8TOTP{aIYh zAtN`~RPZ6IF-y90sdQfvdE(Gkw!rWCyPW-nSF=@yd6_&x)V!%x?fI6PG zfE$~3sKG3ch)-ZOrH-9>3W1oc`u=s_sv&9qM3piQucpg+F_Ev?wJiu9&N~(=jFG%O z0tVgEJv;NI(m!VT8kc`0SA60^$yt=o{QmGSPOG-e^TpJE2KuiQ>+t`szxww;fIb#9 zIVo*N_qsBmHbeP)`B5~W*ZcM*!`>CK_%)BG7l!LfVM>pB-~QTBlF_O#QStnYy&3T{4?z_o&&CYmDpDbiaq7NU1IwM2la|VTP2ryOPe*BE+%M8)h zD5fe;W^A(9={$75^PdCwo&8fezjPM%CbydE(b2Ovih0;o$`6{TFF*ZSUFD)7v?_PJ z3xn({BKk!6i>fbv_Kbj09uZOP|Cy&wegn{*&toHm?1MDje8Un zCj6jt^GEjx^S`Brfxm!s^pL5UDkiFGQOzUi%sBMwGp}y%7yV1dRqsS?HiRX-5tu3V+O=R0ij%7kV8=(U&fZPfs&pv?au^BY+i)sYiv(BmmsEu^S z!I0@m;l(ibJ;?~fW9p08#@f}vX5N6Ut&0-{#F%ueZ3@0M|-v=GmO3e zIB0bB22*xM`ez3X>w+@0y;RzQusMqV`B0Y)oB7iQC`%aAzgJEorGKgjYWP4OWlOL@ zUIJL|cFob_Y^wzaZL&f>E1QN;&VYA6<^3dXxroJs$oiDnF9Hf$%9lgNi!aQ&y?2we zb+vJQbS&hAWjEl8WNd+>WPG&KO{#Ts^skg&PR_L&%#UsJlQl4@N{(JiY)9t{SRgfA z9z+G1h@E?7Qb;qQ$RqDf(sg}##9b9^zdc2mm3vvPT|;HLBAX=;HhE_7y(?U+D_il;McBJe93=)~{Wb4lLv$ZrEBe+u7Dd}?>pRZs@~ z+J6%}KZI+{F0FDX{$&1DMFQe8stfEG%xb_UMStrqG>>Ja_L@E4xp`wxEG6gocl7qn zzqMv8H5}PMhSC@`U%ByUw8W${sQ2mI3S{fbqdf0U?cco`9yaN3Z6t9Qclb`5HaLp+ zyBYGm32%vP_CtbSJD!kmHFqk$r-Uslvl$&dwbB8d+-S4O)2oXpkkfkcVQGew)?)?w zJi6OGJ*tSM+g*gfADH)^b=_rDvZL+eO*dM-hk>lwKva5*K(i4K^o4bwLj#0{2VTy; z9UN{qIe9Sgg9lnn$pGH23NJ=Ze$!EwUnhK~v;S#c?r`4sUh1Un*34|MDC1cz??%t# z_i=1{A6hhrt8SMJH?-R^R0r2H)iZp0R36CxwPRsJMyBi2*PRtVo9u+hl6aj)ix!Uh zt-YR%dEjdAj?9hsFduNIm*c1F5uW#oSG@S`BTHUQMW~G)h|9@!mFh=@BYkp(!lC+d zs_dLUl`WKu8}uufkXqMYAIdXuLuprcJ!{^w=Ds!5)pdSYQ@#=_VNzE0Vytr${ntS8 zc==OLw#L-*?@x=xK&9RdKVSA6J6vLx-b*&BmG=HF;1`9AeN z3P#o1zehfbelG4FW8-R@>)6FTZGY88Kk9x-sZjQsfy1*kt>6;aHDfvJ<5@$V+au@9 z>_a?ZNZg#mlMwkIPZycnr7!$s5+i6n?!A-J9h-CLG7HF@bzu9f+0N=vWZ1?KMsj7d zXkWSlG;4+2%QF@g7m-~|Ulg%1;WJfUXPFplk?)SOXe-@*HI5fhe)uPw+PKbz>qGIQ zD*Pia>2mjoPi)F^ZAZa+`y#X7;o{YL#j1>xAy3yMwR-)#JY_Q*Dh$;=DrfY1;Q!0< zPEkHem5>YOWvOmjkrk)Wzpp&hpFo|>^D&=875Gp4zViO4M2G+jWtMmJWn_AM-BGSJ z(iO$_<(!YnSAYGxncCzR&j#;uSYe$HbKr!53{Ew^I6~JAM7wnCZrGE zdH_(lWXZKFBd4?W@PV4eP5SYDVuf_M9~I8A;xBc*zg}s0E*gd`i^!=h# zK~ujn{g!#Vpy6l%GlLh>*AmwM>p3hPWdPE3aL?M&Lp;#8(e+tHA(v@XU0nW7qMPrB zg<<6p&yHkA1^?`bCr`9Ds&cG3#u=O=d3h36t5*iux9PXd6VKf3h8U=XYARS-e?VmD z=;BUp@d#ge_4D61hST=3?ONAW2kIu8cg2QfLiLf?{TbP{-7V(#zY&?u&QHEM7P$h` zEzgvsVz0W?glkB7547SZ2497gGiar8>1^M1wfPxFWpFr5Un|>V`CgM>syt0U)F*0| zyJlt9&G3)PkGile2GbBzhM5n|i~Itcc3%di1>}FGiYyHRM;% zOvuNdO89Z!*LL9H(?s2Zy^VhPYT6KAc-LigvR2NqN@0T6Hxi=4y1E8~% znfIX9bIrX8&NTLHla_fk>JFmS*`;T(+p^WIawWGjpDm^5d4JvIk5}S${GON@qrkv= z&os92AWN6WQ{;4K#M=(!c3PO*@bqYaqjDvZx{A9?^|h3r_=M_BFRh3QgfH*PCRal2 znG=LL?sUsd@oR*31f<%S7vlbPA7CYo8)_d#FcD<{mAuOQG*!ie`p%-A#KjX!UKSoZmq&P6DIMYx zm~+C07RN=!e44KEFMf;L#2zQIkoEk&aF*!!&eOkJsC4)OIVe23M*jI`9q8XB{A07& zqtceYGxqJHs6!9d3i+Ay%a0HD*fO|9odiEp;@|CsHJT+}_^_ubea?X#=-mjFDL^oHo|a4*!`7-N;!96S}pGX?Xyle^pxm@AXjvDY%> z%%93QL|E;+Pcrt-dnCNuvd#bWoLBT?O*6IUgk{~>DJr&9Z^8PD-2VO8JHp`!-=2Oq zr~ti`o$|FR6`gr)E37u7t`zz8s@mh?S%L4YRBW#`ZF=HjS$(t@)Qwtzxd78_$Dgh_ z?-B@a(u+~*dksR1wtZBV@Zx)+O_@qmlN7hbhQ9iBq>IZQMP(H=vi@GwHohxQ;(ku-V!euuWVl2i>_85?hcPGIu}kJKTjtrwoMXDv zttTXum`CuhN|)W#-Hn+29CP-C{V0wvG&U#dTIITdQ>6Fy>+wLS_6^O9ve4{!fcBSx zVia$UEDkl+Jal^RMZ^oekDXh)N^%}Icgkz@oeOH3S*i4%^vVHrsgq&Pd0z}$ajpF7 zSg%-+ep5-~(E^{hBrnEak=B4DmHo^5HWip<`%72!<$u~sQ_+*@1+dYm0-2tJ(Gu^^ z%D;N!;F*eqgAJ-y?_{|+$w*7k#i?#C-srNKEW1lNGw z&491Hk(nnE1>hO}Dk)4;0l?}wK$w6J*|xiWD3!34(uDy^lXvMi`A95NfXVQWxhm(v z88zV>Rl#eoIjEIBDmt*cstYP?4hD$Sxs9;AJ^87+gxdcBhwa3{u^dOXdWXMHj~hBO z<>>RP#~3b|lp&X8;Gy)mMtklpuDj{i$(C=`FK%@57w(e57*Ol(T=AF2v{D)HMs9_Q zg8!46tOB5yAbH#W#bP>KsxHrUzd4y^B_ZRt?c$)c)o4 z1@+*$0Y_nv=GikGIIrb-W20Gycs6{0SLxOAR2!U&!T)LU4;5nX1{!Fw+E1lr^5NNE zXHG{uySnE#aB4#hMQ^X?EP6ZrRLd*V8}huHm@5wO@-O}Hd235+krFWVX`R3f)FSI{ z9$3!xlTG+h2;R=p8O=S0S+)a=hKa1|>K=gtrRUHG_5BeWzR2aG8tw9%hBEA>C?fh!kIsc5Oo>uJE4GP}T&KtDOhJ3j<U&#Y9s}P}5={F5~TLc+Z+Y9Zx-qf+E$R zSeU8ds#Ac{is{=ynU?OaMh__bbkrIhY;72m9lHn5Eo18#x_fZTwHY5=vg%j4pas^t zyx@u(AnnI?%Xx+}ob>b4_VNp~>$VJ(tQ@od0O^W}3EnX9!fw$e9iu{kU`$FAp`TiDRiA7r z4_NdEAj6cZMCWn`3m*OzQCO`|(&~)yR^@pZw;FC%M)LQ_wOoYR+x(}?UdX>W`-I>T zM2Z#wZ==jnBXsA*b8TQYe0*In;_?kSQUX-VOJme<3X+8LawXmQ&TQKf7v8uw7;*PN z%7qe8S%ytqC0j2A?=H<1eM&Q0^dSMaE~d+Y;&5_H_|6r#<7?*i2`8rG zDYvJnYSnBSW-{e+{3#ya@-cqi zuTZ=ZNOma1uH)G64LHlKM%6JD#ZreH{nRyu9w$)Tj_>yjsmxQYRG!OxVGX?btfIh* z)mB-O<#>ffYyAWBP%Xa(+BE4eWd~=bEhW58QvJZ`1%8p)Kz{r*Nvp8wv{&M78th4a zN=|>YkFXYa>h067&}PD^3KTJSq>2giy2x9={=?v1Yn7m`uB?LI^(7S-xL0@&%l#vt z!QksRRfyZ)`19A&6>U1tf%EqY{O%zlGk*JKShhGVb(T@>=i+nx5c1YEZ6^F(&hZ1_h~ z6G3mQSJ=Aphm_#)nRQ`X;Oi6DW&(7&2&8|HJ|90SMSxEf!C|`|kR>O>rF4S%QtI3! zZURzKNx&wXVm|>D>PTFPJkf`AX~*PG-g`crz)?tny8go#!!2HLZ+fe9qjVry@v?9@ z?1%31NWnt}d`8nDPUZ6amlbR-11I%tEwM#gW48F?MW8o8UPYqU7lmb&r&A*lHBC#$ zJA+h&!wH|RCEZ^znh=APr+3MB8;s$dAn-vmGc9nqNqSE?BJ6U6XPNo@pOm#h&VU;t zoK_%gDDsQ+)=9paWhSqA=2H!Wh{bmre}S|D=XX>OY@}opwFyaRZq?>CNI^I5)NW$@ z$$2^(!~`v+Mg0x0`f6?LA%qWG97gVZp!(lLRVm;aQn^P36Mnec{pMhVhK=3Hp@sqytXr-|R_FU=#}WyM4}xd@0$WUqCb}|~ zEequk5t_hVuUh4ol7}!ljWn@%2|Vbd0)y3A>L-$B0DKEZe)|ivx3g7HVj1u}CNc3N z}RCy0l90E7QUpz1(kpnD8iwPBF$K91x84jkK0q`tw1ucYWkKC zxaMuiJO+D1_!wtx_#T3JLx3|t#?m6Pfs_->Av+;IK=e78G&Gi^icqq{DUi=ip5r~;mEdfsMo;h`B@ydGPfb@5Db3x)J! z_^SF};vyLLX{tzi*_3Sq?GAvS6UafT;Dh5Z*TKGts>5a8TcH*rIjKb#N#_m5v;=q6 zd<`QR9oUE+=keh(XG4Za|45^dy3=EU{ylH#` z)Nh!p>bTN2@bE3^KS;5`p(a+P#z1DP)4l!cOqNjT}}7EXr@G?`Mae-!#_Y^*f(ogR*-{2aW{dwFG+N~(h8=eMzxAU*E0OXezS&X z>7@xnX~^wFW9nN1-)Rq9iVk3ul8eBDCz7}PX=g!Irvm4!*0j~Xqp*8oYD!nxW0i8DxhLlo+H=0ICSvfs0CLnvT0ab5u&_ujI<7Rh z@Om11^7L%<>Q;PN6{)c}AH=@lntFy~8HLMk;l{k%2nPAr7mO7g}U2cHAZLI|kf9%lnEv$Rynehqs?4WuN1Z?0`a;tY!^ zfCvu!L!e>?jJ^tF${$Ekkv5!{$v5yje}Vz=17k-@vg9&QLIuB^f?`=}<|qGUr_ciu_>G9@n_=CG1e{l7U{7;3{cgr_DTGxF+@N?CgM_`QLG}9q@ggEzz>Y|qI0=#T; zfs&}up1TL+@ME{B;=#;a6aS6@PHK|Wg>Tm)@ip-}|7=9>u*6y_@!{&ny@m2UY}sn3 zangAgIl33O%26Gf4Ec>~TQ*b-&;5_AYBYv>>D+VjMPJ?Ym?q2$X}adex20Je0MyAus@3n&7|jq~>n@mT*>n>j-uEQ1 zqByUwz~(k-R?m3iCinF>oZV(p+)>(iaXaw#GVCQQ^xOw)>-Gd)8D>7ddlWA>xhu zNyT6ere^%EFx7^vox-jkZQnNm;G|Xm3^c4Z&AwI{M+QI1 zoNIv_ohEq~#;ZS6M6;YWR+*1CZRLd#pPokP1Vmy!QbJxE_H;``c^pT1LIN( zD3bmUT2*cp_!sgO{)hd{ELQ5!w{4~mI+B;HhOA_%gg@*!4b<%}13FR#u}2=|cOTl! zFZkhg-hZqn$rZlXudo zVp1aZ=NW*Fwp;IKwI}Qv{{`MeXgf@uVvF@u!R=V!cVOviqbis(AUa~c$Ym=aW*lcB z+AKurx-mGL;&>Wy8m!Or$oG$KS0yXR=hdNo{$%|>Ul70Q9q6I)P`!L>`n6YOvsGR}Q)iU$WQcUWSdf zD+vX(YgsBezMi5}&qk(MrZ`77HCtw{V^4j3UOLlv^%IY@+2BuC&WnFQ9{4O4$Kp?| z6m03w-gGPM8YEoGExuLHyE$Y&>t{?(^w2#|W-Zi+QO`$p7U0h6oZbt8p@i+audeSh zANJN+0u}W^H1RKpBg!48pf_7OWHvw5xfnnt>`l}uqKpQ+mm&6&r6X2h2r=&D5sh1_Q17> zHwaKed6PvntSiPdP@NWDlU*!@lI;OcQHl|rzC!;U**oZ;D-&D_&X4>zRfd-R*`{1tCI4FNxXNOSulo|mNhn`z2~o|`!0?zDulCkH!w7|=CCa+Ui>O6w&NppwhwDCM9!tk=5V!b

&$*yfB!?w>f=jErqP&vQMXJptnvrT2BmRtL}2du zy?7;IFACQ1<7iuf#GQWpZ`wms3$_CyADmV;S?sQEz9WJ|cA^C-8SvDG8sPFRsG_KO05 z{emHw{5uu#6cZ#)d_y30;rG$OGzq1r)emSk>oe}fh4ccs7J zA;e@1d~?Lq_24wYFJS(&5YxdQ&01h-gkxBTcH?7AW$lH(Z8kdIA8O$R^e=TB|1Vum zZhyTPF~AjPs1N+g(QdhFMvz-ICDlD=0Onl2oV1#35etu~G~cVu#i#`TmvyNPXt`D#LLXmVn%AI9LGu62#(03D$)yd0}dZ0Xxq#hjUk^UA(GXYA|T!_ z!s>&SYb9TqJnVSV-{rWJgR@I}qKih{v+du>lD6khZ^$aw%; z%UXc|xmpEEm|F$P5upy3{5pTo!->2a?`RdsUqC4P1g|%n3KgjX6pk<8hl0CG#TYYn zuX8lwlj^4#Pd`Oe0>-hAAb|`JGMvEn5v6jmzeh9&fF2Y{*lFZ_lA5dWX^EBo@+fj(B6M!?qR&C0BYww&{`$~=XgW9(wt&}ftp$h5-yorqt@pekXEMsA#m%0dQCWL z6{ye9CtX49kegKD9D#Q(mq&a0@YPyaOtkMSLxL5^3G=4D|zel79SOy3v z#$Zp__Hk%utH4&2la>8g>DihPa5;ZUKcM%TICM#a=AF|X-hOo}X^Vr4d*T4a7)mGH zzw;s>MCw4XHzVg|7fve^OZfL+jtsok12VaU6S=59LrbTBRPqa2Ox{5$_vaK(av@+x zPowrU-ArfDu!H)7_qz2Xm`4R$=kuWEI)CFCw6U<0U<-_YgUOd;6mW;G4_Tq+f{yac z5tkH~@jr9WFRx-w{a@E2(Fib-dw1iaLwOYwg}qH=P@Q{RQ_KdhD7-K`!E8jTAzTW8 z9XvTIJ@@GVrCZY~*|C(Q_IhTbW~d=xBO1@gPUUAh6F~w#@t&U5e2p+70WU->HF8`A zVU2BC$t4)n3qasj5fE$;zAKCN!m819msF$F!rTgLhcF@E#bPrtsaj--MYQdSWa;o zGxNk;e~$T8m}>$y0*|MT)3^+x@sUbFBkZs0;L2OT#fkKyU3XUKtwpAkyAtF*MkI6P zCs2>>B4Z)hW3czFr#i9?ex)cRR>1pn8K%Eq5U(y(`bY$JeB{&jKx|2Bl_38*7`_NP zyD^HGBNmIA=K{swVYmU58Z`UD)X@^yU0BS~%UT6HUslgQQB0I_=NgLRq)o$6kN;5| zPTFfy={HLRwV^2KrbK{1$Jwv=FI+=8;Ka6`u zu0Igm?UY};=gmxV(+dRQWXsDABW#j^Pdr_&UKh9HH4xzZ;iEXEN#9~}>X0kb5L=CV zv~E%{FH-7PCD}V{9W`S+A67L|B2EMpW?`(Bay$fLeFHVa)pq8nRhjri5=p}EAmd5a ze;TqEa!KSjW1Px`7ozM;R!XZLtMxl0ykdSI{oSEO^^{v+8owe>$E1AM_NQgU@GkPR z1(-jCVpvpd(MxsEihbl6nOzPRCH;W2s>Sw8%MNOK1{`rMe$Q`k6%oPS2?maig1F$f zX0OLIj#^q!1H!YgNm?=s2*G9=LjFg4R$RF(4i%hm;8gcW-O_*8_P)R>z(MIp`Oz0l zdBX04YVWjDH%Zat9FDI8r#{i*-UIgFNniLSv6!&bcSu(D>^ZZ|! zcL&YqcXv)AJ`W11zx@nAUITCK7cqYf7`a8iT##wWCSmY*AzW-KEy+V%gKR-d;f_Z} z4X!*qAx7p8Uw?@6IlXgP2LR5&kHlz<6xE?g0tck73bhZM%7rnO-cyi>oS;!6eeQ`iGv3nBakwV?l?1MpPk0bC*+s!B(L zNez7HOS5>6BzhkF*LEN=*-o+6HSu|uMOJWin%#@10_}o%gD2Cp4;@_yE!AEVpOcyh zArT`NmZkoOszpq)p)ggZ)aIQIum{a?($a{bqOI4Y4Vs((;31-CI{Uyy9{yZZP&BmX zbj}Cp4h%>>&zc7M5n^yw2qoSTRG2+-WOW|}Yd!k$;eN)PC2*fPsN*&N1weND!s zuf7!cF(;JN-aHzL*E?Na%7vYx+1=uZ0gehR0(Ux_y@0~Xm9B;UIm1ptN^|&#iaEqj zDtbv>rt_ZK{Bn10XAeRJ?1<*tD5 zg5l^2q7R0Hpl4tRh6-*QnNK_e6fbljTEhvWxM>aocq%Nw;>HThg(zhZ{vh!(J12pfD5h(N zX{_yxsMd3-x1;x(1-c0pX-MN~BZ3UV5g5doqDb$xw;u2Ta6x}Jy+L$l=N>pJKv~^& zvv+(wjbZL<<4=(p%dh%&!StxPyLTJl47Syhi=8XOeK@!#*IO13I}= z+|N{N5eli`1nQ$8-GwK!BRjSJuu~*AyT3D2t3ZU{$I54dXL&n)$*=lIV#Qa8( z!V7@&2NOF!>=)gbM%@{}$4z)*$J1-6n7E^oHu69q4pZjkr4XkGAAaS(MWmZ*jZhcf z&>p7uUX){Onw|QY86+rebz2hC>)i#BMBcwYdLbPU0`+FvxZ``^&!2ah9 zXG!)tv`P@EA6c(|EuH;n3AyoP@<{25rFxjxFR22(pf1Ee;n5j#erMF7)(#=&EwsUUJsjtgUom{xjIT1p9#O6JZ2U}9#s)?K< z;W7KXAGpEWPayHX5AhWAf$)I`#_B!ql+K3!B?nbnTaO*y#r&lrX_x8WiA}A!vM0?) zeCL$k3jA5xX{eVatY1CmM8>1=Z4l^=5QqLScI6s|u9!GKH-G61=dMHUL!|AI6{c5b zdOG0@T{jBD#Z^EyU^IBr|N19;e|I))KHaAUTOc{2R&Q?8Z>hdsMW^QJ!D0Ij4`j?; z2Zyt>z8v}23!!BaROe{`#^dCjJXc4?SAeg-S_Coh3&~8A`$8*t#4ayl<(rr3A-nm8 z*ZGRUA8deumtldv(-Zfg>jJ+&wbxo?6i+#7Y^AZhK0fbr^OX z^k!q(RI10cecXSgUZ2_oS?RykDr8#eM=+NnTV(A${Ds`Tj4~LIbhhFIsYq=p05ldn zfuVV{{}!aow355Ug2qc|c*54Vcm4tH@3Z#xg{TP4_{N=wKhw2tkwp*6Z5ho&Pdt85 zzKXz&?bXVBrg8$W*YiMGKD)N_t-Lf>kvrF21_Y4U0JotE|F~1`lleqPiGZtQ`(Sl(CtrV>k=kC`lHgHOWgBa$%K^LIyaIt9cKdFZ!6oN+&*UkhFxDmDI zu8&Em7i)-XcoOemy4+X_4iw#9sUEWi68OBYb?c((Fj}=U0fzgbkkSVL+-u@M2SZb2$E15YYfFNM`aqca%(cDkxTUhT%%h?vl#k75(ZZkexiNAT$lIrj(Rn1%P0w6} zLEFqKm?dlEb4t5E+fL~k`NK0)TiNUB;z0`vGOi)I*BHcn-`?NVu3fr72ZF&gQitww zVFCo@1`em+a=T0VNDUJjO;8%lzxAA0^O3^?U`ElUZ)BsDS&uhjpzsCl<8O;9bM}3k-BP)+46^8@;L>l2E7hFhmQ2*4(4R~&&%9a zX*ij9WK3%#{~SJx9*C3nu9HOrsc91c?PmNT7OJ?7P>@h}ix zivP_lYVZ#BmC!@)tMsuUOJ~2&8dA1$|HyA%N@ya!9B4_;GUv3qFsK`Ob$Iv;{;_d=Ls z6Hg%Eapj`j)pel$Jr(qU)87-j;VrcolM+xOsV=w1?FK&_=E1V5%f$Cmw9@sbG%jSA zqXFn@J`a}KI`X@LPSiI!qj3A%F9Y&~%ydM!ALvu=Luo|g0nX2M6oRdBxFWRAeP8=# zY-^rykl+BoHw&YNtY=!^9@+oq;W#?F!vXw6sYSD_+$pvQjO#h`&_#=lDp7eJEAwsj zDKLBqAKCNT14eO(NTylAJ8}H1TVA5a%H00l$G{bV^Mx6*7%>r3`FXgJ9rbfHJAg@R zd6I4^PL`Ou*?n@V`QmsL68y)w@nVDQyD6t;?{N7*hhtMsi2W;B0hu3L!^J%>g<@_p501sk(H?OjVDfmqC<;N=Q%Kx4WNMiLq}o%i2(yo3(+3NRmb6i1wv= zz{lsM3V*}d&Ca!FlaI38L_(WQ&(~#y<8{&sG+QcHr#Wb#!dxeDjLh^)G)fZFqMc{~ z>mHFQ$Mf_t>OJ?UW9(djEkhq5h1u}CMx0+`Ke(gzPs|+i_7zfYa&E~%Sis?--N!R~ zai0RAr8W<_v{afIwJw_#wT9M1h^_4VJy$wEXj#F?A4KcwiaV2VAdCOd3&mA82>)6- zwQ@Hq68C-{s8~s&TDm1ucn|0Mm`r5%$NEjAj6R>x$jp_Rb8Hn8wHyGn{TY1WcBpiY z9Z0pu&mW^AQ_)9%>tEpCZg~|IOD#FE5?aFPWRiKIWSi)l1Z9Cwts6)F*ye&J#6jk& ztqguekIN^6#I@{^!@c8mbMg%?ZnbNgJr8Sr4WA`W$R^Vmu!!r7@hkbK>5698YmqfQ*y`*E9%Id!+S zdnh;9)sc6_aSIhFY90XacZ=`i5_>Wj=d3@Af-GB*Pbg)ik#QJx*+I=*a%2cAs7a6R zIZ*=s@~HZ^WPsG`2MLLcIN@KG#8*aFNPKZBWAQvM&b8g_)1)$uG|+SKk=mvGUk7>7 zcZe-HqFMFvfbr44f5|x^G4pVr(qZR>!}62^hiGd-t`*cK(?d`d z$K(C~)?>HIO)I4rU6nu>?be)<&B{iic_sS4PDor7vRz-JlFIGiLX@T! zaL!Uw6L*#pEaoxND#zPM-+_y><4*0{tqm5+Me!%A z^RfO5yj$H~b6SLVQYN4#wQ2O{|KU!^+)3Y4-m8THd#8=2Lm4pz)5XqgS&*AioD>;jT6OM&+GB0Q`da|Xm@p- z4cZxrgUn{FR}?7VZ!-_v-VQspu1XHVibKu|G<0^U885ueVJD;R*$qdg*!oa1jn zxHo!6cfJN4-PQ)JKxlD?`&S^XvFRo%M;9(|$I`uAShKwf{Ufr}iZ89_AO41=a75k( zO}XeY_s{?Jd=oo~9YERUQo3cR@EBqMXF%Te61d3H!*Qb71EtaeP@cdRG<}|+V~tY7 zK0Xl7Auc(llrrl_3O`x{l0OeC;9IA(-tc`eQ}4AS(y0mRi|-3 zL0l92K&hBAGGYG>-iMQ1+(F{2T|d)oY+bCLVb@Z zD;}E;w%d{5UP89aw?MZWcpf+jC4!ik>_n0d!}!d1PSR;xH_?H>h+BKy6vI^y&DI=R zi25CNCBI{@x6Jvf9Za=K_))7kb%-^`W-a&m*Cm-YzSrMPs1N6Z)0%|cm!lpjDF;R8 z4aMflG1)uEzdPUm7Q|~yHQGw=Ram*S)JXaJ*XM7R|J3(zVd4jR2Mt|c3Pv0~dD_BT!)n(atIn`pOT}A+>x5lM7j1Q=E^~(0ItiTEh%$?s1Sk9jI!#4@%<#QZ=q<#m=oZS$GCOKvKDFAzS zzxv(WtL$;pxFd=*Y!Hnnp0P_b!`^1=jO|B!3%E(~I>qi05ujb05F`AoC%n#akl;&u z)&*njg&kIXHS$JqE^#LM=v{w8OSFJmqaB7Bzj(Ap1}HQ}XwD}dH-e&;D$~YiWjeuE zyrdop;F>GaRbxgBX?U&iF`dhaI)x)XITf8%euWr5S};J98Ne`1P2xD9QQMyi37oG# z)t(Hlcp#qv2rcWq8in)qIv>D9)~N3#klORRMw&a0ta;yWq}?cEOczm<->>U1%5j z5x_20=4zGGafWE~xY14HWy=lh?;D!q#)l`pDEWMHV~g7d_cfYDrl)5T@iCzN*`L2D zDi6)}1sAz{1%gwYIoJLgQ1FkFY!<1XRJnzp+mJvBiVyCe=MC8R!5s#h2X z$n&G;sw7^!EG3&lJ-wG+?-_yj6o+_&LGw4F+xI z?KdT53y~?|FO$!`R`;561ImgkQF|pX1ooKbd!Zq{oPG(JuFJW@tiM1FJeR*M4bCdA zD%MGFzX`Y(e$gLsPx&W3fJ%_1N?+GMI}#m}x+<#P%icR}+FyAc4Mogsvcj`nSvR~? zk)$K>0-{Cm=aY`R zv80TOMCw*$9&@H;dI*vIexiuDt28-hH-?c<0++KYE6!bo7|SUFCCKB7cB(=U2~ckr z{qjp+{xjg3@@>$Rn{)oThgbs~09`vM&;agxj_xR#1H_xN*v2!b$INIGxA9*H1~qxM z<5g(5hY%+Q(DFhYsPOdqW|t9u=mnbH^gN5B-P*x+2VAWRi*s!Wca00hJl9ck@5HOf zEQ4R|O-u1bf9b`>x`As`^DQr#USVCaEAA9%#^@}Yb$k!xCa=jGKX8BE4y$&B!EWB{ z2GZnZ1ioiNs6qfq%vVGAOFafVlZ8LSON!O_h(`c4{Z#bQhh|p_?(6z51YrQTmv$kw zK1X_T*b}bdSJu!Pq@BMXt<0{Lru?D(SlIMVvEJ`bKA( ziuzaAEYX8z?!rnGC5jj4&SD{|ey+P!x!0rAaWhwF>Gy&B!#`u)L9RCh2>wEt&`61N zR=~$>`GSft97wze-HYnWp$}vNALUz!11RmTK+z`$-x7CmoxmFIHjPZI;pp+8`hg zLucL#SV-Xm8Wc1H_{mhMXKNhf6T;ru3-B)_Qy%igsN<(&eb9C{k!p+bawHdNvsspz zfGe;-y!LN5zRR6n=@RRIp|)Mcj?apg_SWZKscB{YcdR5O(YaJ~m8`&yp99`5!wiEF z@2S+$(Ki3DWNVLS`uqQGxg_M1Ym6iTat7&^&ue^VG6nCy6sJiRc@t=giTjT znOn+rBDcusVse{nn}(UOi`{-c-`{`daUSRL$9bLCInUSg`CLIY%1GXA7Dj9GvS=@1 z%7GPirM{%lLrY&i4RD@;u6`sNKfa2ZyvSqgoZe2Tt%qCGNx%-`a&;($7_$E}4SIY9 zWH=Rzz7y7S5Z!mxT%4jVX0#IC${{8ge?G?43Q@3oVFd@#OQkOzgx1-efEEv6KAI#m zSf$y^5)~n<6>J_sPIrJ#J#85#M2Y-=WweqsyDCb^c7%mB`mq6~oB`RlF5MyubcXDU zQ5(+2gDYZVo>}gcV%xEi>q^XsiVV@3@a&Mm30Janhzz2Q=a1?fYNnll5bHOPDpR&v zznwp3gncn&V$A%|!J7uas{8*opx@|%jAmM#J>+SzkK-pT_>34c@{`SWp2_Bq+7nhD z>|TT?1%aLhzpPZ{=lL1N9+}S6Pw*DlLs*2iDv)sT@Eq<-vDzx}%V*k@wBDSykUwIr zu=^htH?;*J1>)Mfxw&~15S~%_eK@M9rrQ9(41_G)9;#my0!Ufj&wF_fR+E@6NxWvm zXU41iCB~mn21Q0c z^wbkf>}MJWLa62D*cz%8WKUy|$QG-tGh>T`?b3`#+|Y%R%01;vVIO5nVfjq;p3_C;(a9C|X@Kx%q=A-uy}6b|N%@AV6$X zu1t6+w}coaly)mIXL6OS677&>>|Tn3K-I zJ(oh4lwzM2nf}AomZ#hXx`Jg2~Xu6Z}S zcZ-y@fpy`*YPD!e*XOlEAW6L%kliwkM+Bjg44s-UNkT;30@aFrUquRYMiJAo@Sc2k zj-_P{<*b%iXU%x=IFDv*%akfY9R__z7*uvee-R}Do5XpVJfe*MaRQ~-a=i%_NVP~y z{@QyiDG}zGA!**cJ|;Mcay1ejM#wKXW^oVhRlO(P1d+LLp>oE?UIj3*a!*5S0_P6c z?OXPLt>K?;u^Q1)dn^{zNT)cbbjpkk5_?7bZ(Bn;1hz9h4IF)#lZ*9^|-bQ91JDV0YTk zm4OlVxb}fg$M4@+>;tWPB=uU?2j3TgrGermvv;)^mje9|p8fQ)>0O7Ou@#l`LFp&u zOG$%J<;jXy}cds0u(R!yHZBa85MWq3~!ZdQ2+rQkeM}g?c5E5U0 z^9g)D$hV353sa4Ej68H9ciMqy@pH{432_jYhz5Pj66Z@J5iOuW3kIT2v~xMMk>tXL ze@Ez6FDJ}2yIl~=rb!&f7`Z)+@Fn?{$$1uiHT;lj^{Q3l{0(W5L$GuQoJfv!Ta6qJ z&|QrLU=?JTn#k6*G`rRx^?F?{Zbozr_IK?jSMMuv?Wt@sYx@8(nN<%F+@0}b9JXJb z){oY7059f?^C0akH`q&m$|yS7?03-2v%(qWH-{bC`=-fe7~%C0f-!OieVv@3pl4%= zf>O!!TX~PbMd`sS$rNJ{+;TfV+D0Ay3D~~C0=fmS4ADD4i%DD5=4r6qvGk7ABD}A zCYH4D=u*2EWd0o7NwVWK3=YQPCTil|PFCmcyNtCaT3mc-IBSFIketiau|EVP+VU`t z)4eGza{;~f#*I#vu@F=eArJk2%qluGNI{HW&~F9Qc@3no6w~ZZ-Qh-}Ad~wj>@wUn zPAw9UB!}hD$zvZMjG4Ds!r-c*RH9MdDSFKVHU>G?md5_wXTccc**~- zGf`8N<>ud?r78eU)9K=;-vz9xa{vqTS8oja;tzZBx*$vgz3XNT$ue=bTGz&;*#IDT zxyk?ilrEa%l|dLR1z9FGu3DiuUdO>Rz5i|azqRSJb3OFgDP0gJe_&8_c!WzSc%%LI zvHa|;ZSMxd--Phjqz3yaR2^BqU&xPk*zBzacv4I^IPvgYUMr(>;EJ2u_t_L1*fFs@ z?@Gk6ys4+(ezc0Th0=-7pQy|INu42`jYn()EEX7{FStbiEhEnN?ys(i4=o|)8V7Ds zeiPz%(UbiX_b;4!NDyF>w8jl}{tCS5DcRMsESgL)F^wn?7rdF9u;HU79uNJwFpF_T7j}?Wr?n_=@ujgOE zThE_>ok#dLYIU*PcacN8+nHVxt;M&q(*FU8>c~{<#`fb40DlXCl;X1905GMQ9S&4G zQOe^y1lNLVL{Raua2h(JPa^|fX;3c5Rz2eVVt$S6nZB&ZW^Bf!5@-bJJwVln!ysq; zGb9N={R*h%p`tWKYyOVcA+_OUtAgd1gP8Iy1=eEK z$4Q1aIoWtjrA%n-c=(}kcFFdHpXj}lmiy09!*%MJLO8RFSVty3qj*+!jD!~+JWwM$ zl+Ef+P+aP8T2FSk9yk}fCEa39qG&lW%i5%BW~Dtll|Ui8f|kFiKfCf+stYLWgU}Bv zqh?Rl4vIPwd#S*)5{Cv7#NaBjjj^gtyMg!GKhZA-0Uo5Z9Ox`^80eTL8}QkMlYEQS zsl9g%e1=6}zy&nbK%$dxQSV$DMPi1LVfx@-tH`B)Ng&IKOzRQoJ}Jy5RgAOKC{Bz5 zuRgcFv0!z4{sq^RB~WkD`mDpV7^k;nvi1f6J?mCL{inpk1`f7v+0Su%+i7rOqVF|< z!zLlFWE<-Pns=!nR-^XS1H~&P!Danx>5S&w#H3mnTcrDJ7wU6YQz~XXvr8MY+?RKc zc95PT|M&kRz_RcNQFH2}a9nE~kp&a_!LXOO+9JLd`J)?vR^{brav#QJiD zUx&&=D+SJrrxT5Uwhb^>UeNc|ARnCEbViMLqjJ8^WM*zhcXiD*S34lV8E@(g+<$tu zp7mUCdKyMl9)Tj902Lp^o1DlIXnvZ7ZL!?M2q9lq$o&rsZ7~F1U)RYid$v(>3R?#1l5fxTH2xJow9tzxVsZ$dbu+Ov=4!dd`@RfnP)xu&c z;;dB5*!8+J7P;0Aa*#!7@e+fEX+FLd*XpU;gda$+=UHM%IG`y^a^&5wBgYTS>*~)c zG#u^5Z|n3xLTi+QN?{LLILIaE%45r}yz}Dn)C3T3zhMvCuNC6Tw+i*_k%fpygK_}* z36sipXvqsfCW{saM{x6tf#k$u@jrqGg-aIw$f1l}VM7}M*vezgbiX`09{=iNlJenF%1}68&Enw&7hvdL^-;0jj4?en`%!W zxW-)|Zu3_EQo{skgL$iY!EGVt$r-A2E=fIZV11=|VB2Lvk~?v2ZX&6|=^iwE|E(=# z6X_g@wQj}7%G!w8kC$kC&CvUpcTB4{#!Wdb3d#H)XGU*=KJ$1HLM9r3Zrc{E5>I1p zt*2sR1E+~1RZU9Z3D=oeOrR47o&jjl4bvLz?}Z7YZ4LXD$x;T)Xdocz_U|GB`{}Ct zWQ1AkzTg<;Bf)FqeKLUZ-|Cc_Jk@T#z`w;ZRUpEwb;=HrvgF# z5~CDXU-^G*1J}X~WzaTt(DQKWof79HI>`qy;Wd$kUA=<#l0~;Mcr_TZ2SZ@Q3ourW z3(mV9O${E=U2mZF#*W`4Wu#QxNwVWz6AqR*^RA~d09qTsjT-TUQMD0?gX`&WjonVC z9#B&npIa$F79llSrt%L9QslpjrO0FK`%$6?z8!Y ze|iDYI^x0s1ah&ndSDx54xs{FvoE*V<@#JW#%yDbUOd9-T6lt0`MrJmN2IO){u&H} zUSfGEpH#MRl82|4=3mB@nIT+p%W$lCOCr95ml3<6L~qqcltrWJ4FwS{nS_4Q(R|!`srmu*_J-W?~K)x zg>n5K$3-=DSvr+{S6R|>}YtHfHLobVu6=ZezH$~Z86I6Z1p9BbLH?&jrz4R3pJI! zdEJImEg6z+hbmGXE`<%TPflzL$FX>>KNpMW8>go9AGn#ni>K5xbET?l5f@wXK_}cOYBnRzAS1%f9d#Qxf5+jAb_4i@S^SPHwhlB*7{7d@w`0AX70^G| zcSblLo|1`$rG5$`0!6-lA@dNFhj+8Ja-2S3Ie)vQr8&s@`Wf9s$odypHv_2kqju9_ zIJ^W*eat-m#vOU!{Gm8Z%ZpVe~=S7#gpV3bU64 zUEQQ%SY%;Oex$S6&hdoeQrN!-Zow>i-*`(yzrNNv<8iFA^E<*Px#QJi-)R1;mR(ya z`v)MZ3gkOAymNcyfE5J0^vt(oMz{gX>gab9ePi4|Ln)D++$D&coQ;!d<=GDdX9u2J zD{0D^3|IU7e5<9tE8|nvn@hF#wBEPvkdd{y|I0Sv&$rm3I|fDdTs*rtwk4&%VALu8 zLHyBf4S=Ge{9DcPonBh1R!vzuHimHdemhP$!GY|YqZ~n1<^XYS7ZX>pw~UR{bE-e3 zKJpoJQ?mP77E!k0WqhD(day0@`~j=$CCa8US0A2RJ#7Pa{}-~kMy&lRM|fUQX=3G9 z;KSqhQ2TAK7WPP`-UUb^d5k-**4g2za{rdS`d@8p;y#<1%h#=bJbm7;VectcmPs%C zJiYC^9@ED{_6zaW6U)uT;IT<43ADMnfabA>4AV zGU$}o-fC855AbM*fx6Yfw;p-QC3=2LO!}_a#p5^knat|bnI^<7odNHqSVHeJzfZb@ z-c0Dc#cG;HTFd&LHGOoi$9{T6=>RR{cIeadyBCWo7gDrZm)uS_ymNnh@4Q?8&49lQ z+}2L=H)*9q*Y>5%nG?`i6AE7*>p*Nysoc3aH8&>srMX8R;B?ODV&$LET^lApzkO_V zLoi!KX+Z+r7W&M6;ThzLoT$*PS!Ms8zV$?v>D#99^x5ASIjcn}<%E|#R0?d; z@lnJsnI%njSgg+1_fE$$+iyXX+67&;r;ihcN`akQC3#P_Z4Z9if7PQW(y-6)fKO7g zdhW39v0$*zEprdt-Vb@=&#!a#7vVFubemi|`l|`2ll9UW>X!M<3Y!=+TB)0x%olBv Il9EXN53jf&&j0`b delta 21895 zcmX7PXIxXy^ECpBbSZ*#gfAjRQIOt5KvYyjq$v;qY0`TQS45grMMP-<=|w{CBp|)_ zUP2E&ga8St55MQXZ{~jPn>)L6XXc!Mvp;Er`e^%f8 z|7^geeK1|NnEWYrb8PQ5Ep2-~woacrLios(^V)TZanpY4*A^whYWS+##zEgCua0xl zN?-jPEo}Eq#714GF4^=0%8E~;W-gu`Qv?@NJw85tQrljVLtw+9Z}F2 z{T>@PIPm^QGN}OVV?OiL+($HPR(EDc)-wS1V1pyTm~Yys+a%zT9Pra<-bpFYNohds zYHBGH;Ys%kbE|Fx3{EM<7t!f8R)-D!fi0Eg4_jiks9SA#|59nd^X0F$KG5*o<9Yfa z73Bqw!BNDVqA_Wv%)NB}O}p;h;#7;3?~E45W}3<}dvwLg7LL#Ex_$_`YT;EZ?k=G( zxPFx3+hmn`8B*12xCIdG?wt(;#`^y~||A?qGrCR3f)+KicJSpJ6G zT%T&m$+CYtIC*a`;j<@K^q|F*Kx&i5P{rQcl;O16N5yU(Jl=oj9j``adh!o57siws zPbDIC0^-v}oQ>rdO%;h(#Ve(Z<>S!2vChwKo62X%|EsP~;WYuKlIkJ6(7J(d@9(O! z83$@-i8U6*FFT8FUZOD4o^Hr8dl-+eRXVX=76q^ZO@Zl=-L_E}8sZiDrQ z45X-O$!?ErN>H5=h_>isz?04uj#aOb$Inc83a@#O-sNU5;q4BHhfeM%=!>*;LqB?j zXssKTHJO(3*d(BVuDoYGH&7g_$`2x68h6K_RTPJbX#)Mm{LM20zUmHne#*~yq%6fg z_9WAlecYNz@~#=!OG74k@6Rd~-^3U1-JJ<8%_?4s-)y?uQ~SxHWT9exbnJf5Z!fR4 z552nJ`z$8tR`(R-qJUzV)W^6PCAuFcQ$N`~BFA=xZws4smJL^Nr_9Q}`p( z;t$IbiMHX>Mw{E;w(b*iUl*!9rsMT{DwR=r?@mJfb%)(Me&c8F&)bEiUfPsS=;q(V zG~6$-w9#GsW%_8TLE_T)^ZNx$qLY)(I`m<`vNNi|8ID){z#&vFj%QLjr&1{27s#5C z&vc!PMb}=PQ27Jetk>JUPk3{4WhZT-vYUG6lUSTy4%FAqzEnF`6*n=<9}Fq#8ZHpx zR;kO35eS}p6)RA7@Wt&xWYla#@yyXRRkPp&sJJ-K@lBpG@Tz=x%zs8MPY#nZK5Vc1 zd3s+g_lYu_QM({(!|H++xPR8K*x{eL<(af5MaMt{P!R8%$u&jqAX?R z0!;@0XC?2}RwjxCE6cv>+CBw;_9|3M+tEr>@$Jv`@Ggoz`)2~|jNg!6^paH9U=~D` ze6#WeI#iQ1oda!X9ytH%AaUN)ENTD7%7Tv&^_uEbPx?W){yKHxwE{yk{k#)rh=TlQ z`rm6&amE7AlYuA!ngcVLeJ;mB!y60!E4y}^C&1e!kVE@*W-pc)jO0?ZV!vEPyxZ^k zvC*7D9@A`v!zi~plGy8`$+z-M7N)x4tSJRUI;S<6p+LK6^ZPrKKD?I`x%%k7R(~1D zAND@{(Idx}nSGU^==H9Dx!&`_8MU>A-j}ZO`KP^>m)`@OFHfT(;9YV+F&!ADNK@(noQ{l-|y<%YB0b~ zKhTe6*11*xZ@k=Tt!UXrU0=yJUoXOpolii5YpU_allNZbnE7=*UNL8J=K;)B+?Ume z=!NtyO_ychcXFpHYnm=Q+NsZbpY&7;p`p*KURxx!il%4y3(?-N#S(t$`TAQw+2}v! z`*V9?XOn_%D3s-j*a<9D6EVCVwRWe?W3!U~F#Lq3UnP+N$*w>8&>f?poBxtYOT}4| zH-66(lQZJHR9n%0*Sp3){Q57o#QO!PWEEpk#TS}Dp^-dZ)p)(a6sPfgw13&<*$3;F z`U5s}15|diXjjgT1UKo;UsqSd#6SpU@!OMP?DOB6vbw&TS&B6JIa~9V7|Dq<5NLZd zqh1d2UQts};f1bUp<<}zBh!NaG*bK(i~7n75KS)O!xPE@5J@kbr&$B&AG@exhTefYqZ zs@_VG;^BsNK8(4AdXm%=-fL2zs6QU{=lm@qAHN!`8+WUSesi8t*bMUgZ`yJ`Ufv`9 zd57tGE#}Y}2TkLRv|LbT=Kh~6L6)o!hz>89HI3$@82z)Y-SCq%%&F~ll`2*$u?>Jh zIV(JDAo{qmKol`@BQxJ^qMYGXwI16>hTK4tjVq*{xAZ>`=)H|TdZm+a+Vp z@44Ot@yg4SM~{pVN!^A`7@@X1&WcPGPDus0Y_w!UCRYM#yno4MDdY3rJ0$vT%&hEC z4akJnF4ssapKl&2l-IW;W^Teir{X(LT7U4Zh`(|hS84TJuR3N=WlFRlH;^BQm-*;q zQ0yHx|A^y;fa{jq7CjOF)RFMGVb3$$p4l|jL?=xEUC(#-#{st_OE$A;y6;CkUv2lF zFC+eX|4kOS+kX;$kVz1EG{hU(cWt5UPw`5Nx%S=nv>MI^Gt1IngtRJlqHwDEv4!0_ zllmW39-52nvZi|7__0Rwp72mq4d7Mx@PB`^QJh97Mt1Uso&EQJE{~{sWpoB+rtmMS z&AHV#>8I+@st=}-LuLF&PwX~5witZ7ZOZ%pHCAtt^w()mdKc1F9+!VRR5Y0!Pl={m zb3E;4Mn4E|S9V*FwKIdwluBbFuhBWXWWi^+VhG=bZq=K9c=| z>+OG?X0K%eOW8ON(fL{qk-QOxCNr-JuS#tVtWVe;3?dcvK=Wrv;m1>%BXp59_gZgK zy(*fLck%G1(^ob9_)-+7EH$Jq81+}=;l`Wz?ziup@wZ1lIaJCi(zktHzJs09oeT`M z*K3l)D(5j{>Fc>ZG+3k`isBW4!)~3TRMQeen<4|uLe!H?o{x13OtlTS^;wZ)!%E3@ z@$#?6*UP4A??1@%mYEbui{X1V+|Azi;CXc2S9{if6`!3k!(}0_5+0+6xQi2XImhy? zj!kUbZ%2Rkzjd~)kg@)sbrSO9_R1L>2Zq891?Hi8!N6#GOKV9#H5M-wnk%0 zY`KOOD>$}WPD5vF&n9M>Yy^u_TT+}D(i2tWn6b-~nH*kAs(Im2T1siTJ*&~!`u2y? zKGWia`Y~|_$Iw_`-UPCO8qhK3^DXD?i(sztZr+J?r;*$x&jd!1%=9H5^@7uQ=-CkO zu3v=Zts&k}?H~WuC%-i_Yw(f!FOsh7QA71=ec}V``76-IA!j;0Vz@K3hPlVg%hk zWf%N(C?j5FZ{K@8AUqNRC)F2d!uLX?7qE~@~}DD+>V+n{aj~Q zr!kp}*;`G6p1E*eDG@8~kNLyb^nEEnzHW_kdpRS-iv!FVf+`? z06*sI`uJ4r8f zmvZ%0{ltT;`>WJF6AKh+rqRDG^5O=~2X?&fJB&DrItb8v(b~`lSaDU%JsBD7y`49( zA{rFgPdAIg&I%p!K7g(*CIQHwHBAZ4NItP>A_ebPT?7Ki$g25%3!-4iJXIJwr z#r8)koPNTu*az%xsgzFY=-G~xvjntW1InWNiAiZ|5JO3FrO`v;QY}uiNP?`KzqS`D zI#mK&|5YP_1eRChgF)s~Dj}R=Gx!FH_f0e{c?#)Y_=3R)&Rn%h5?2=%Wd05O3_6EC zLmgR8XDo+TpI_PTar^QkvqtQX*CI62O4bWf6&GcI-I*R zsD~qJE8dbS{HnKg;xC>KZaTkv%PJ*CqVY#yxphL?JNdQ$#5G>IBbeu8f96*gG89_> z%!5ci*lRgb`k|ehyW0L3xn>|E1m72pU%N}yuVG@vD#godFcg7>i!M}(t-_#Np8zb$p48qX25;!YrM9F&56jmUT8%v71c{#7^uKR*#$ zm<67u1)5$SoG%wiso`%GcRYg#by8;Y>wUM1D^*Xy;lrm2xk)3ch~%< z>!Gz{^^M$#8cVj|)NATusOJdo`B5yr>sl20eveyO`v(Y>ga$({cG_}=2{k@`zScEv zZzXkBt<1Ogrt~HsI#zS(o*vPb=k2d|p1_}>F_-vESv1<<$(7Q>1L;xQ^MQQbq*~Hs z*1k-D2E6l~_*r(0rEztc>S0_5?u%BwNhCv4)lhMO@K}5nKhK*!^|5N37u^WIpkud% ze##sNA+!1B%H1@_H)P4-1-5%?#=@C|Kk+3)R}2rmKWjz{9xFQEpe;z{m;J?(7!K%4 zx%YW)Wd^8rNIuwVeks5#71|c1{q%LIb=TAPZvVxftKAl+zeKnymXSTG(4~>~%E)4c zM8xL5`~3jRx#RP!?6sWCRS;)Eq%xbwa#_xwM4{Q~s@#HNh{ zaJd94*UH*twcpVX5j2YL+kKH`Z?Y8tzzhfD+;i*PZ!3ffpkipf*ITal9R2Aec(gZJ zhQCKfvS)@}AUunE9=5rQwY36ef)3&FEHSJynAuyVF@8FQR-z?wV8n1CIP7 zOjhCVi?l2s*6)q`>_t8S|DBA*)7lMZoisf){+-q@r*=lZ&2Q{<7!4GvdC3(=&$)Y8 zVX>C1Q^#sNY$aZHeqdaeaa|0d$y|Fn;s>vNxpwJRoE(ekzxNU#35_Cf0`qzCpHHz~ ze&c_v8}3F--{y}B*Wn^MW>XYV0L#hxn5B9c64E$dw)R1?OYGJ5HHz{HYS~B?4~*S0ag;G& zi`JyZaW~v(hmLPiCq7syZ2lb$@9<&vHe@6!rVwWzzu)rLn3SR}+aAt??+? zgfrqq=STe`E)+9q9UgX|^qB4i5VSI~5UD2fD9d=h4bFKei7$%dh;2Bv} znY7H@Z=a56|9~&WR-Qia5e^jq6T*V?QVaVjwcrODfj{zdx@Xq0j@O}Umc1f;gB)vp zOn1+26iW@rpdZdtglB~c3z!VvS7~U6gx%+c@pf13cPF52)sz7gCZY+~RxPm_tgSQm zYQa12xIWr96-*-%gthWv_YUua~6Itwun zHpTGAK=+8H0ujp7up93MW&GjVvT~Z`5)H>OSsKG}<@qr8lrqf^+kqiVCaJ1jdd(SX zX$5yta|N(MJL=7C0|_ve%68Yt6meNiBW-dQ;qYV;5EH$;W%LYmAFu=?os19^`)6ro zN+Bl#V+Anx56@Wi!457)2>-}Nm{EPPJI*QZw&3T%is+G5Zf581Qm6kOG8uspZkV74 z6ZdAYrwXT;;9q+L#DzuL7NDxFLZgj;X@1C&m%5}rXfS(4UQKf1)%qTxS>09&e_-S;KlPRbK?1L()>9b0L>6}02; zC%_do5RFJ;zfa9Eh5dZfO1%bnyo#MlqT9Zy!KxQRBn~C@1F|Y#V@P(4=^H}d75cZP7b4A2|NfjN!y$BVUv#Y#-|hDelbHe|MZ0r^gp?jfVZOBYbPDNYG~o}kPwdn* ziz8q}o6iQ183qe~P-2yQPnX{AG|QZ*w?@EH>BFt(;ptLf>=ziaZWN2`us}ei!2S`i z!XPl)g8urs?{)m=LCzQeDZ=8_;W;JgntifFhtHaNgh0@TN0Wbyn~sRT+v&&CrNElC zL7xI<39(gsr_ZkAy)^ELmCs;<&gbB;#Bk!I=*#1;Ql3IO2pia}LB{jHA&g-h5R5^H z^zg?0V+UR#EHw#dzC4fHxb%?=a;DRmv|;PpY1wxWFU#5s-Gf82Nf7TJjm z^A^0r;hA`+-^j5L%NHkP6PQqRGjaC!@w$0oSeu$;@^WGg;|6GW9oxtip^*%JmPer? zTI(jtgxEgLVj+#K)W$v(Lgqi!9O+_xKbFeO#sef7%U7SAxA@*qgb;Wb*`wJv+ZBzN zmIZ|qpZ)8u7X@I5bbGB(4U38q>*bLckQZh44cQ;v!ngk=ADJ<-v3@BJF38fdXuk;^ zH_a-%2AI@ykBs-kn*L}NhQko+dRu!e-^ zt7TOu#NdvehP#{bWp$Y3$H+KTea1ClS&#KC?znECK_#p1$7_;1GnL|2ehkNs;8uQN zqpTKT64acz*S}WhKH1npvLn%<^x0FR z@;1|61{t<9}ZQ=1`SWOxD>`P$;O;8anoL(7I4vR;1Y=HM-<&~ zV9oQ+J29pae)vEgQ2I$~Nsi1Gqk>`93+Fgcpw)9??LCO*A_BhB^57}_8bE!-dW_5O zrTR#$4%4h-CdPh(YxChusel149G;X4q5^;kE*vtbs|dAc1}x7(;pZgGWufcMY!tOJ zx&$;XG}QRsgyBVC5^X49hiU&2FcB7x^~K){g{t8D)17?An0)kCvU-3J?GW=*T&Too zkE;BIH$xe&adh{kwwqypD8c)zA@fk+E|hm?O$m5}7rl>IUfSD3$W9g2NmB#tl;=1M zLY-8ym)Q+sI;rJYWg@_W$Efvy;45kpWrvJE zgoK>;;C*|sm7uhZ>Z4AI%#q9q-sF5C{#jP4RQTv7|le0hPW`zO{8r$#50H6eoHy_~Sn$had}W20IMP zzFclS>j8b%Wx(Wboa8$K(LFnW=bcQo84JV}t>F|F8o6v?unLYG_kSrbs4k%U@@)hE z^{p4PfX2+@wWoqlGubG2X6hqXO0Y4G4$~YI*D3fHdHqgQ$0dG2;0^~G`3i3$-^MT8 zo9lVoK?+!DwlNI^R?@py%(rE9C;0cf%WuYEkg|XojZTQ{>-R4Mn^swgCxSa;8vcLa zFFl>Pm}jTMEpL+biQ3onKeU*38Su<>&OF#={kwlIIsbfbod%Vvm0PS{iT$J&@W2D| z=HrN>`Of!m)ZS^;0N*~}rt{p=$6$7S1w4<-VH_jX0M1^qYyHow4^3VqYZm88Aug9YLK06oz zzuv~nbpCk_B<)@9<>9|+nB|7+XcX4|Q)3_iT)PxascJR>_`*^)b2-752IzP3GRL^q z>Ti|?j&=rWv4zrVlIv3?!fVMFj`mM52g6F%raF#BF8L2Ru2_ASSU()@0PTK-evCky z`Ko`v(n+D)2PzYz;*fUqU|ziG%uI}Xp%yuOp~1@HZ3lVJp6lM*EVnr!lyvH@zSU8E zT(kXQ{}$jf>*LC8#Ou3u&O1EAly2IE!ScJ3<;^RD$&nfi_?K_cqf&2+Wh9^X;Ul^3 zo8Ah|#3`Hf<VNGt6xhy9GN%Rqf6CSCDE)2mg+7%C7d8V)ZO;^-sj3 zLnV8Y1P><4NtD@e+Zw&QhdN z?!JfE!qA#T?#)A`# zyk#G9>F>U~@W7O79Mznpn`D=vrsUs-{`n>|+#&rfK$b!|d}8XT z{zar20xk)Lc!BMT{yL<4`ro<=7=8<0w%pVl|AYe@Ql43wzYHml3Yo4gwis#YR=h>t z`q=VjNptr+a!ViUv^g?ykt1%RS!%n7FPP8YbS1lMdqCnie;H-DS-@E?13cro;9>8P zf;df6p4fAp5W!E!MbCpJ@Vqf=^;Jlr_d@VU1at~F%xBl|KJjMf16rk*LIwCv=a}pulPpG?TlSz8(Li0v2lV#L<=&Og=@wQhVPCnrUyaG2D>{-Tvl|6v8BHIRI-O@Ah9oKc@LhVmptg4xj& zX2N@c=}{KIcVAQ0!xR1iy@%`Y-UhXcC)&rH2_53FrASqek=K+jSqg@T%l8m&Jrq5q z1wQs7Ti}>VGVy0j1LOfQrUYKXRFm=*xt9YcW_|A`^{Gk{xv!H{&?Uo|)Y#VS{nExs zl5YxeK_ZY`xKaFX=ER{?3$*!zY)o``2+orbS^xSl?6*Qjktd% zlrM9(&!Yydno)HJlKL*dum*&cAyiOGbpq!iS6b~v(#qLLCWY zm$9n`)Fjtw|6*qwNg^>@x8%cRBn=k4gZ8{v2O^%}Oq-Z)enJKIUT^+ReJ=l`X+7fEx<4ie9;L+po zKI{(az4Q!`YO!AvqP7qh+{u5vmgp^{3rePH*u=AAZ&@6o4EFYA-ad*?EjhJn3BT3% zBusg8CsG|?pc7V~k7@*7{v}2^)5o5lGeJ?=hDQdvBO%%NSkGD)I9frw45f6G|9p}= z7`H?CUvKOR5g{i|;yqvrs_$NpjbxX@-~Kfaj6|kXkvsM*rGoG7eo)-#KwH_5RBF47 zw5Graw+R7tJpK&D>=$M6(}gUs1mdN4d6&@Ryy_0P*{a-g7Lx9#SrV<9;A)cjQSP(!dSt9?l37j(q=-JX_AgUKD6pL5rp5eCGuRJSGGYEB$K3y`2hi0ab0QH49RaLefQ9B6VJ*%xVve84I?NyoG z11v4A<{O>Mtyu-70i=(c?s4u17DA_Zs-4uXdTqI`xSw>}mND)D5aCY6mX>K&!Jmm2 z-2pq8daQh~6RVJ`^mpG|ig*CV-R7J84IwyyxZwR7Q4Z{H)yD1rs4YcP-rXj&OmC5Z zmyqgjmDI+>Z=}^4@$#6?%`sUvKwt`F%nW0at4P3MZCZLU{ev#&KMf>8EVT*sy9n-U z!3CJTJHGh(1d31!{l^-$YlTuI!ksC2>Fwa4i*S$dJF_U{{KNejy8Tj$FiI(O@6bqX zyf|?cbtV(b+GEeowXqXP0gUF5M1U7fh*t5f|o zjCU-;P6+(2+E))yANwin6k+~=<+d9==vQgiIywT{)3O5F{x%L^QmI+@C8}ahQuQc@ zVHuxFPD4no#no@ribdfRlZ<==K|6ZqXIkW*>m*qV=3Jt|dt%mKqS1^#U_L<6*M(r{ z+Q-hHh>hI9jfA=lkG0iD{$g-F^z-0@a(OpI3d1O|Wuvam73?v`+L58>Fb0%N62r+U zCW3JVve==eW6cF29rPhG3-OQc))yq|V;$C)zY zYiGA6dW&!Jg55`JHg*Hph5xk@hcYd=WeABBwsg-Q7n3%UDv<-{E<6bLZU1@ZGNU0h zUBL^IK0He$8?Dk z5}0w(D6IJw9eCHWEz%y498xH6#<*4T)DsDH$r57xmtqj*n(%^+nBIs9#uQk{87xUC z(Q5c}{0a8Qv$gah?rr_BW9N`C)6LEqLUYLx?Mq@`32@me<${!7n#5ep8U?wY{eEZ;eMXGyhL(T$%lGH1NWwxC#&m-VK;#5+W$LAnrt;&uAYJhKMaj zdGCRvw$nwt5mQfS{%f49A;2iG^(eftt+5bjX0nO^LN6nB7F!L4#ZDalX3Nanbb1(a zm?{}wUCyAP$9IxG#)fylv%59ed40|&Yh{?XkHx5)Mb5y>GyDwHm#C{AN#CQG;9VK^ zo!x5M!R}tWKkpXhOi+mbTd%)5Kb*M?j;r$dU&3lz6OgE5LrVu)> zgUh)^2MK(waJPcXX|L|H%UhX{m!_uL?Q|juP^4Ux(p1<|JS^gI(DwM>t?EO9KS(+Hc{c@7L}oh_vJ8l+M)Cy z;LK_}AB9sQM#5`}^eY3tT#&(kUmcPJabP7{dJplU&y_45PBvKdNZ5TmaO)7YTz;bv z#h4O3OdZxjSU!=?#wcu`Tt5CSy%Bq^BzMb}g4d*ue02qZ+e0>A=E#Oj`)g#_E)_vu z=mc}$}h7SqR~ zjQ`rYbdCj@x)&?<-k-Drbbie@&KB9cSWG}?GB!XtQ16z5l9E5&^zX-KOYN|lfWCR( zK3RfaXkBE#OmgN`aA72Doh|)JDT?*pUB~*IQEW5=I49RIR7!W(tw9`n!@{}ix1q$I z510Er{F=zjh}pe27rIsf?BpGu4^cghzgxC1cLR*2bvpP{6(IT8`);4-_O zhvc80eSX;#qX<@T7w6-3Q&1wc2@6~%I{g0U%Hx7m%H?mb=YDZsq_0<0Jz{YY*FhYA&-ehBNV_M z*%RNb*M= zVOIl$RO%kdkQKH)rk$Y-tvEjDq;sbAJV?)Y+ZVWfl1AJ5Q^+K!(X*$))8{4BWA%aN zQIO}$dm-JX1vB@r2MJKO9>HKdRzZ!S)&Lq?lkxN1S1@#9<>REgTp3IP*uLU1P8Ul8n>+JcdF!;)BFnbUiM%3Yo zDu5DMtaW>U&wKC7ZLydty(<~rtg)Y*6Y>4LbxrEk8Z`CDDZ$zuvNuJvz(UnZL3CJd z>O$C&nD>8pa&HUhM$0=p5^{ixg;nbhWSW|J{_djHD}(5!_?6J_9^eWuPh@9lnx=h8eYEqm-w$8k-g&IA}??_p?>XnQDAQM zYQ#laC|nV6f}$9?E|Uk$O076f!yB#CBF-%6xCAv4hviyD)Rg0oE@u>$o{p>4T8ZXx zV+)wiLiJA8h~iI-Mym-yeHhW>$)Gz_%4Uidr{C3OE3Gih-mS!7rDs zGiCs4tVAOlt*W9jnw(ngdA5Rfz-n)yJgrthclDR{?!bAMI}{eWfhXN$W}u0Kl`f0F zf>Ucqn)-aCBMo6_mO8PQob2hE!QdfsrMwMt1y|=u3#UEO%oz$A!~EzNjU18eUQw~A zC96Tw#NQ{{QzqI#S8>LBNFb>7+lai5;F8P)4UC4R{FJEx^u_N-Ix(=~6)me-?Mf49 zbbN&XoHfImKvvqa7B<5`Dfzlc8LDN5}FeA7Dk2Ce1nH10lPaM(x z;h7yt&1k^;&IbIiPAF_5NcPC!>juJ^p^tKFgJKgy#2qA&r6e~r1#yLX$0&t}4S??$ z^-6@ooJ5Jbe(ddvUVK8%EJz{}Es@ust?dpaQMlmldW7pFWCc68o-PB=QcFpUvIruQ zxsNrYoh{Wu0o0{svFpb|Z$v2dHz^WH6rGw<)zI_MTgVr_Jt(d07s|CnzE9n*vwEG# zsrg~W)r^Mcya{#P)S^lUNVV>r8$Q#pw-$ zLc>i1lp7CApy8lMX8SFeL|fE=?<+)9zdOGLBVrr~LJRsZ;-+Lgp&Ip3y$u|+J-48u|%dDa<7k7$}ory)8?bS;9tnrTT z-f-yXVznbM1tCUR=N9Tk?#g2ODiZELrt)23yW2WYwz5;c1r2=ElbvvC$k$A+dQTt1 zz7G%{_o=M@YqM;ogVoJ)tlEx9zdoxGXwDofnE!kHXVD;cq|&+uFnPyK z4aN=0bX&*nqfsX_B4Ot(gICIA83VISOMRalcd4F7UImVo2cB%1@t4&*%n5Y_j={wI zI^=H?t%4&DZN??Vd;*pP%&CziGP~GO3$R2@7fiDHZ^hxPrDI`FR5hx1zYn2hz4!YE z<<*GPd=2u6`v`@0xm@Uch_Xq2N1S!`ccA({t_VQ3D+8sjA(L=GH=U7MI=A{$q4w|M zD1#j66&i;HKr73^Lm-3OTY_h1#kv=(+XlF<{#JA8kPoIC+0TfI{WV-D8$!o@q5pq| zq3AMQGdBnDitXAU(A9M2Dy4v|Q0Io^#0;QU*x2-Zi+kja2l=x$zac&V0ug z>baULr>ihit;cRVM;Q;$S*b6iDgEn~R|AhiZ9W0azG`zz^X;F{d-kKrL43AvVVC!u z2P3wj@~fsT7n5icXZ3Z9{qh%JRH^?l+IZP#+Qy>4KK6u}b4cbP@^0K6(y-)?5WNaY ztI##6ht%7cm!7KJ9F~@Xc{Uovr59%aQlpB>5)VP_DPO7j*z8LEwz=KDl1TV`$dqIR zv)+=w*8&7E-`9q!z7|w>$2QcVU{_Rth$R~pO*#RMsITRLtLgNQv~j@URl$kep~dWc z(rT#{R9&PMm*EI(U!N$1JZJqKpl+zf1P95y!H>%Yeie{Vvfi3d;xU^T#i`K0S!J^I5`w{MR1FAMUw%~=Ds}e>#F_f zKSi^lMKMw+lVb}K1t=Of&${RJXHet4{|wDOfpWB1P3Q)Zt{=HaRmQTden0h2VD;Z6 zLXdHCJd3t8!{FA{INqCV?w9!&)*ITURaJ^)S;JV?OLX7h7GwKoH`ZQ6%8a-QggV+G zW&~LmRuzrZ?!0qAD!mJ5-6>YEHv&T6z}%To-Z6!~wt+&Uz%2{d?;hFj0*U3n2vUdv z$3ZI9Qr_I1UC;k8{4mx(r1-s`E}F4vtSghkCut+A(LJZg>>2w}2|>NdSVEM1Miu}5 z>38?8Z`|L{t(WhzFepK|Dcqq&JJ&#PRPpI|rCQ^>nHWdyKT>)@0+(=@B#G%*OdqmKa%Y+-tIyTw3z)#4bhH_ueDl3f)Y=5X%H#*69n5EZ22Jj7T+<0 zW>CjWrO%D z+S*B($<%<(G$z^3-@a=&Y-vq*_*XDOHW2s0jYb22$vI%H_V#Wekr3VV#+0(W4pf3C zYge~|0yC`&GMoiv2VI5;h2&(Gnx+IU<+WG!l6VdThMJpNQI85KNuwe>{( zhG%MfI~E*(fYf%ha2~OG;!xakl527r5b7mKres#!(fQ6|bQP}kd89vig zWPeWAcHpXSGUk3;_cAiJX0G$i0dj7GBNDFUvg%qSSIxff!GZq?mnrB;ef-*PWqX52 zdo4Goguh)5Fj8u|u^Lr!^$<61Ajk^XimX(<|M|r#&d%Em=DJbi4D<-5(8V+17$jcZmj`S=F1yIxlWdMcIR$!O}SyY zP|?KoMVpnxL+()c%C+7Oe~T!6_%==$3z~ef*F~z;w1GcFot?7l=YZa0>mLqiRfRI$ zs9V9a=_W@-Ri}CAK}gN~0mrbmznXR@<~=A7Aa+c5h+ftB1O6)n*msb`+aCs{`SkWvDXGE8cXDYNgRu-UOubjG z=~9Ltt-qfKP`QW)|DwISmvvW=uB%KMpmH^3?SGPI5b7@qmv4gKT1Jh@Gz-rhdytSW zQlb(cPP(91Q*`igGVpFsB_A%O8Ex0i^vg1(=ZCg|9K6>W9gW8`x$=Tpn(E`k39#hZuC6q>ht;Xms}yg#{8%{%LznX?68&9ig;d zdk!7^3_##=guoL}Vl1X5p!p&t#0#GM!j%Y z_&nSX{6X5o^bsF3XcXf+;%tHa&H@o4^7k-&)tKj5=Jtlf<*p7sB>IPyBG5-_8_jLx zNxM!stIv#Xx@XwWztzq}rM67E2W|<(4ex9@jsR}~cG;)0ktbS-Gitb}pY+2T&yvU5-m#Hz z(HIVg?G~4#}!@)EC-^(mDN z=DXH+-iB@QT8|wOgI-Qd{)3yNBW4N@IBwsx8F#aY(qw6XRC_+2^g_K^x{TwMB!7Yk za6|NlNsRv>J~xm&&Ct5_H-ky7dq7?4636c`2R01DKDEWgs@ zx$ivfPAj)@c0R|-$qcgX5#1q~^>uldM{zj3z1&lLF6y`#hvR@IOVh%Lu7gG*A+3dv z39Q&Y%-lh_QVmIwPN1C8GvTtbN*!e@I~ZOFJpjIcXZ4Je9OpSW-Lsf9%!<3S#jAvr zD{1epOxGe@l1Z$iS)T=zv!Hbybrm!aX2jPfNmZ&tPAW?cf3qvDb&vVf6K=SlXI(xW zU%%QCcl1{-0mh;-ZH5!|8h3-!X=*t0gjcnN*>ryrM|ieYFrWMD@UIZq-iBHsfbAXU za~`_8M@K5h?#ve702WX-v8Dc60}PQtU*(?I&~(`g3_oW-u>mYB=}$bMk{4Y1{0Dw` zq%GO4;T6{B#6$YP&PKl<5c~k5s!)O%hOX)KEz(%*@XzRf^fg?0F}I)p3_9FdRE_eYoljK%rp?rg{HFw@WKZM_<3@MYO+Fdf;(`D z_>3Q|=E_k6`LrHeB5*Kqs1`pnuIEAI#5<|pgSm#Ur)L)*cnBYzU1>Q4BW^m5IE+|O zrqN*-+UL&9J9{`Pt1aG1HY;(BeR>OrS|WH<2B4y_l>3Vwggm{sNFuBkHFx9brMJGf zf>xGAhK2q|GhfXoWBoJM-4nGJF2U8E5TINuV=`64y$V9wnRdoT@WXkQ3#^Se#VTaUCxJul>{*BL67aAC-c<@V!tOmV6wX!j|AqUZ606yMUy~L;ORzaTLS}@HwzCz zyM(4fC?Rz{9`RS~!pC$7LZx6b0t=o9QnBK)Kgy3(=JP9neErp_NsC+T(1-ngJL^x& z3nhe(omul|@ihpojGifTBb~FEe}}mhgLmWf2ImHKj)cMLf)J{>n&1Chsn%W}C7Zyz zI>CpE1c`a$J{l$R!Uv#^>TmOCBpi}Uh$Wxt#&KV)lrE5)Ne`U>WrPP?HKKb-?hc=Z z(ItWb?U0`Am-B4H!T8)`*x;@Qp!aSYsfP(_A|Bd;9`}~>Eq;~%6#QP4WO=LJfOq}& zze2?4Pnn8NA!pouf*h-G1|lkTWgzqsjIm@hkPS!pxq=}9+~dgRR9*_t3d;O5JgG-h z?jJ?`$p5m2lhZjj7Wc&&ZxMAf?kN!U)f2_SpAp{lmM}BQ-N>59(I5!Q&?8aT+-vWR zhdMtbxNPgV8Ivs>LOfyh(h2ns4H9LnCUk_k(qs{W2YX#C z6X%$&)>e9PSZ^4j3WaSL98_0Ih}1-^gFio9J3c@0Rr(^h`ef@Szw}Y)u+5+6A%~j8 zTiZv$Vdk@LH(+p&TPw|aC#H0+!-jvrBtH$JBGCCAZ0sUJat{i;_4qjX;-Iks!I{ZU zRAVQRHr_0>dqFR(8Kgr2uOL2!9~jFvQz^)AP)gSH@v5K2kq-xQ%FymKf+%Mj9Ce#i zcr`*!>2~u6l5#lXEXl;_ia+_&+h(`)cDWF|H%|t6ktKs{5vf`A`DKdL3Gx@qL7)r^ z&}}pSl)!rMyIqcOO(xvIPZ+_JH5ay*Z0FG(C9AZq&69B^9X^qD(B?EE8!}(blr^*jRaKSq;P>23_YtP7dWS0`n4uNOo8TrA^0$EF2;a2@1+BQNuTJO>0@YU_TCI^2 zSs|t_-ngcV2hAQ&3)(^;RH(;5REY$5^YI@qM;6*4e!EV9puWHO|W{RhzR$Ab9 zJH*xLgpxjD9NO;Ekt6Hwt~b-Z$7qLYI8S^W}X6^>Y22;q$(O>zvHJELKk9{|Cov3XXdF z-aYZ&P;km3@8!K3$$10n$XC-L{ziaJ!V&l5Efx6dRp7r2co}_OcgpDV{)m0QJnE?j z6XoIkR2lxJ74)z3_>MyOYu9-fL~MIMn!jD4_yugh$XlID(e$+muc9O4O9=aayd_`8 zCey=jS2EUvb2Kei7`*d%WpX+=IN<-zfKN%FeW{?9ZGl((5YJ z(LXgE;%^4n0yyGv^s5T|uU6o5PEi}i+pR2%U{3X8X6Bf;v0pnW0QYh=V#CZ4* z99+LwZEXDF9%1eY)^O^~-(ZV><01WlanjKlkS1np@Enagw;qYsNTl&&9E^LUrLSvz zPC@yfLOpSrh|jfwnE`i@@Yni3>jT6Uh8!L}ClL0QubilSiosWg&1jz|Y&=8>iRa?4 zZe27;8sv;@+7_H`xgI+Qjt{N>Wd0!h{;Jm7!QF7r+S4IsXL7QRvhsd^SNjR)6_k2S z<)7sH0pOb<{vYh?iGyTLvVP`tw|@a7{^x+lzWkrX&*UuYU*fL4e(CeJGq5q?w?=J+NkAvQ}_?~3^ zeE)E)C43$9>pb6I3i^(J1`W*zWs^U5@)zL&E5dIMrybt&{W_oBua)7mj%O~<`YR8w z!UvXbD|5!Lg;F@}$#_Lnw|_0;yOM_=Y4y(d(l*5BvqKrD zq;t22pXJ*T2g%S+YzcqrjNebgPbQfTz^;gj|cad1!Z zGQM8uu;2d%IUPKchy9+xGrsKi3@+k0y)t-4hrBa*hR=S_;2HfY{Ce<=FZ;dw^fUR{ z?-~6J-maW~_3(k^lI?fltNZ;}->;iR{r)4sk4C>Q=j(+I`#pPJklBs>p20J|?Dq^V z;<$d#;29n2mBBMQ?Dq^_MWpIc(i}Wd-%LX2a7y-)VKuxN;Rnf&yVjCLj`{etTjAupVs)maLL98!JlT5qr>yvV8^du>=7+s z1AZcZj@59VbsYQ$Yc7&Cf86wv`5tY) z3Ho)X5KA(k|4nmWVgBRsAF*^Y`lLl1m%ILD z`3xMKkNNhJnbDBvvWT~*VNORIenUi%k%!-5-vDKS6MsE_&gWDDM{dx#us%urBS80Q zyr0mgR)V|+gIA^uB>4)Hl&=sz+3Z#@3C>OPwI|A*rkYfB}6 z4Pc)E{*pMx+Hnd@B;s=&wGVbX8My_zKlVMxTY)e3@O6f5-UOU;4vkMZ?=44JIs;N= zpK(12n0wBX@ug1G_ZTZrhCdT$uhY-sm!i&q0rI{#sRb3fm=kZ%q7@eE6nnGrt4 zdp>?A1bE_bMi7_-j{i2<_njBOxOf3=^!`%vcpLHO4Z!vOQm*@q@Nucm{?f}JpXZf( zALLoUNsGVZk%{T9%ZEeuEpUyLc9dm0;B%j|!5Ao<8}jfwjpw6Xl{|pszfJbt z9bS957ex0LpGWV&K17}FFUVlu?0GgwuT=_&W0g z&^Zr%I0M>feC{)R7c?}S_BjlHhr*MhVV#_)+4#X@7VQ_SzJ`-|;Q* zmwo}Bp)7(gMkahw9-g*_Kj!W826WT*e+O_rbNdYFY5R-(%$wN1)DK~Q%bIOZ1pPa3 zK-eHJ<-EwBf5ysc(b>p87rY!YI(#7yeIes_ybjtvlz)4)BfhrJqVOqy@oCT%LRyAK zo$)dO^dI)}>+!O)mmj+1#>=bduiJrt8rIabJWD~IX<_5jQCB4o;P`KoeFypu*x?z_ z(ftL4@cTi!zrZW_T$BFt7x3(OnG1cj8_Lp-@ZF%#FCZt^ z95tNdWnI)0uKSDcgHYCg&*RYcSpoK$GxOWh{?hiLjmd9n%%!w_J^=iB9Hg)9L%ZGS z;dSh@67WSIZJ+Hx<1SA}>XDo4J^oCQKmQB*{tot`JeeE1=TQF#I;5fP^EP0I12?wM zs$KHu13{m$fb_LL%XgJ2kMs*|#&v#xBw9zB?91MgGir>4WIU-&%U27M=?N&Tm4ojkXW( zBls?%{QR`fDR4zwR&IXMKfZufm`E zB7fch^86OKtAMwcotdFu-3Qqeth`QA*U)Np4Xa9Z{w08on z%slQ|^kJT-<;ymFCw8l7oq_OwVV<*qg^N4jJ04!oRRw)99|Laq<^Uw_h`J; z#<=?lXi%_!g~3Pn<9mSL3R!IJs=Nv`@}MR`#k(<=%Dqz z3i|T*SU-z;tuKG0;4QBMWD`QhGW34{$A6p5*&cTd(9`k4z;jdYKdw!N&u<+~g1+%{dD{P49FGRxwx#`^$;)A#%~8W&!+CQ!^99O(6X^dG z{k52ipTu7a`2775q7FRi^dkN>kej^Y{@n>O4tQCBCx)=?NrMS+{I@B5k7nzrf9WGX z>O%j2?gbe=uU!Aid%=uznV4=}o!?gH?FE+-y~UF)rAKb3Uyf%H~|yVLZmyQFx{$b;RAJ zgbwk42K+;SKOXqn&d&nQ_I%%3+gZlY4%Y8L*(D*wVT`-`^C z+sWE_$2mv*u#oM$s}m(MXURHS8-}vo;FJUfoJNj)n zj_ZRV_a(5!tk6T-;S`+nJG#72)o{*#hqxD5h zp7}$BC#Mm9yYz)j&IbaJdhz!Q2>5SaKf^l0C1)AQjPv*o?&N1#@W#L%4%OjvoNtFd z;CjBmiOcUG$+;dc?z-D(9B9W;MxXt~80qfuK*1$T=SnZD#B)>`;-7`S<+pEt1etsn z!11!BEl``AjdSvZy3*fGBOQ*rfRz=(dqx?^maIHnQ`6&{bQsV1n?jeMtlF6GmB>SU zep78}w7Dq4XWdEAYn=7NK*{#Y_PCB;3||jW;1Yjo$nz2UM&N{TKEOG&j!USw_)h@7 z0m_0ea9;D5D1kFx@x3L%Cw-28H_|!6`UxN|S^9@Vmapgg#`@cJ(CKjHSEO?q?7;UN zpN#b7x0AmJ`i^YoKNEnI$I2=j|H4E6x{yJY2KZ_gS3#@ZSDp@X+&8UQd4k z`kO+oCi7BJz=#0M^?KLhpuY!bor7FSYQHBy87ZqC@ATQjU>mMm2u-mYWtzw0OAX#n zsOWdzF9)owVETM}iBG$dpGWn_@c@@?s0F*+sT}N4?FNXQCvGC4JOjr z8F>6wy#-`{qTl#+a-!NC;OX!i?t)G_JZ=H)^^pr4xrILlzDq~raY9}`+k`as1N~2- zuWk%|W2@{u?IPHIX{9sq7tiw(*5UUxN9OD8^@a1{<7_{I0ut%);J3S6oEZpo2!9iL z#qsAy74m-%@;?QC{AHlC5J7I~-vAqItl*^0?=&8xZ0XyWvq6XB`aauVz;wyd;ac6A z7M{s@BIsO%HiF2U^z}Gbbv8bzb19lWw2>2ipY@XWPuA&q@4k?O{rg??*KnEl>iPVM zN?)zlIOw$o{BRr84@bRDsnCo2Lcfi^;@*YfsMo1VFZil|B)eyyC+A}<>F-l*UV(ol z?7(|e#$t`XMFsv975H0L;Qy=wf2#`o%Pa7|U4hU2DrNF-U4j483Viyv7*X5vQjdSl zJNfUMZRhb1N4(Maa!q>-zNPI697}&qj3tdv+3y7IJ@CVqF}cTHmx2G{coD~{5D3>i zn~Q8J$KwP^Nk9szT^RA_;~&F6Sy}_dM;6Y`b0u8X8=W2`Bqj&}Jx4u%Le26JoK0m@ z3??dOwvAD%jap;Pl~!AQjg^G~);1c51-uqCh_h!?Dg=Mh{LP;-H4}v#Ld(dXF?us| z-+Q;-do#Xw$8jRK2}*%&s)cTX7PUzzAt=F?)uL9lh#)D%O%PGJXb~-1g+T-cZX&C5 z?#!F#G!s2IbMHOpJLi1&oHMtb>>_Q1kZJy=3l_2uWJEUy2ep_(T^^GA1dARz81%PC z5h=fV5`ceov}4l7V-O?~4U34u;sRD`b0;O}7^jY{nnF`8W~Rg_qe;Q6xQQ;L2&6$q z(a&F-L`~r+%>G}LTJlpNBy>GcgHakl^10-sZ6f^uD*pBQEBHYj(hl*YBRDgua4F}^ z)&;Y*7}(O^PDyq7k|@P9mMlL>p$kiIylb5d5PN^z@*P!$0~ESzHh?1gRaCil995Md z$RJZ~M%^s1m>|ci6W?y4%BzRLsG~X&BjvfIvJOS09QN+3iEdP374zLV!kbcIMN9+!c7rQ*b#$9g&m915N2_8m4h=J_Xm4> zdeVOrRtD(Z^14U*YDxK%l(V7V-&p?hf@vwi%J3xFQR$@%4BxgZ4w%+v&N#@$k1XoJP zY0%$FUL1X9c7Qa<)in;Z9x1L1LsDmCI%RuF1%fR359>d z-uOIY&;SelNGCj4RdS|o92$R0#)+c3-bqzK0G{!N9+uuvJ)>Y!m3M^8cF{^V|yn~PkjdD5w)Od{zD8#(k>sT VrKZ^-Jb7){j7Pov{r~^~|Nkm9Yt8@w diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index 58f1cf6a305c356289c293cd1de162c835a2d199..34c2115efb25b527b4784a89c225842e740439bb 100755 GIT binary patch literal 18291 zcmZU)b97{1&@LQfV%rnjb~2evY$p@jw(W^+Yhq(!n;mzIj?FK>_xF!=xoyuW%tK9k)T5?VN;45oYs~V?zX6 za_&3uCT#8~zlignsFBc}*X$Mkxj5s`IDw+dPU( zkGy`SVk?gfy@i9^^Ebm$9?9~yA-1QaRu2AP1T~M5_ZFU+O0qxl{aAUIV5lNg6xyyD z8jp$gl&uCC10RNs56Uicg`SM$vd65K!-+4)Jm}U-#f~uQg-!2L#RHKPNHt_tUd4mHtu#mh0}UOlKspFDs7g3hv|}!aEVCXj zGf-cd$mC12x!p#`MIjPS9hx;{qBdC@hDPWTwE&Dw2A$omW0lde=VS*F65YrDu`VZ9 zl+2G3`Cqz+OSlcaP6UN-SMAExsIt}V9s`Jb^%??_ir%0@#=2p72K-`_!Bftgia7w0 zru@!4MEnpsr=(Qikd^0mG#p$uPu%Y3s|;?% zOWS$l@!768&Bv$i_-={jD1GV!h=0nd_Puo&w8~#Amo-kS=D}r{dkSVrovn1$ujo-X;dkEkWCg{;S$0Ndy3=Ca%dY-1ir}lblyP;LO^;LK_Cct*P40%84a6&}i`s31 zAPGC#!&4p)_vJ?S>r0_q#8C*eGdWpNB|WjsgU`BpOGGipVfF#&_e1!LBfDG0$M5B# z5y$DYr3{b*`xX`BAJ0^eiiNHC9<_dVGx%*r{5;F(i(oCBUIVMvgGXTI$VKNxFfooJ z01Ya2o`R5P{aDA}L!kXIvkso}@{qC_praHZ-MLME3j9Q;stvSn%VmvsHRCvPS+Vk2 zTZxgxf7Om%xis^wj=qj)?e5lJi|mf@%@n?_n)UL$L?E9$}jzD1I-TlO|)Ln6l={SVYBd}S?k2uOR@TkaXAp#$=- zfvVO=z{O6wwD#2>@FP05?=25?Z9>iXha#SvPxH(X{yl#X-O?w6Rruq5;3{2PvtmDE z;0t5vo*{cz2oJYZFEDepCu!1WL!p&qXCM zZFdPp7ixo`PUeN9Hx#9U($Dg&s?!*f>_`qy_Yn3HYk7wZiY%5#T8b0ttB&rCwYuf} zBi^EfxBa~N{OX0S4ff6FGW9cA8A_yhP<@nYTIfrU&I10K9qk$E8*auZXLNph9>0?l z-9!Rvm8}Xl_7<-gueEF9Q}XBaP|?fAeMV}QSu<2%s`9Ukwk{;&k&Lv2EJ_l!yfW#& zVK#5q3Ku|!w&E<-JE>ZznR4TZ}ttB?+w9SnYrR8+E)O^btenLUx7jNt{C>lb2N7}b+?bvrWtN#Fx zgC7SO?ZkC;3(cfA)H*wS@lNUJ|Ky<(1+Bi_5mU zZfh^U((0L#PjJO|R1DX#$DuG<#Cj^_2Y*0Ces)aZSPNJy*{+N!54jq3X-Ea()|Zu? z*;u*-o;OifE+J>tJ~U_R_*plG9$`1P)N8d>#|;Kv^`>r&&^&H0X4DFY=v~51H5jC` zO`IZQra1buJwLIdkNY>)#?;AfZ>e%)eWZ+KBW@ex0sZefhMM(e#1d;B{pQpKezioD zw3%WtW<|ex33_kJW}5)F((hxX`0cjeRoEd&`A0~H40*neQZL^Pe+vjqgWpmcqpT*~=d*7xNzqUxJB_rcaCoo6jgFP_J1g$Y8DHog~NX zwV&@Jv= zyVo&#t5@w?xo`0|tC@dgoF4KN5z;Xf;(QY^PiqHS3B%=BzRBwK@lV1ydt`Zz{ryYQ zZYVXU-#f$AUH@!~SMiNq6sYBxJkD0iH+Wm5NVy4H#L{o*CaiA=LWlQ9!(=I7H3tV&wIT7y_fGv{sa+`lA=!KTRaHmQdi6i*AS3hseG z;6J9{InA#N`0s=%zQ-u?2dp{7@izeshs+KAbP&V!{V+nT>PW$Xe$vm{P<=rWccpqy z6Ahmsnx^Q-KkF??W6??q&ysoRdLQv^D>b_;3m3;G5KJgI3>|zYq(nKgzYVF0ii?uq zU0mly`S>!LxNUTr$k}Tm7Tgl&T5Qgqf<*11g|sQZhfI?y|A=>6QAtZGi~Q%M!<|uj zqEk%rcQRvsP|?`46V2_K;MUd6Q>fnJFC;})k&Kwa0wE+-rZLeoOw09h|CJ_}&QVw< ztr0KZJmLWc($+VdtMrN_7z?DD^U3}nur-@jP5jEs`d>VKjSC^cN9KTR=qr5K+xvCq zo+sC4fTzH6Yq^xLSjP2qt<+Fa1akBpWGbbKX4<)lU{q9)hWB80J2BrvX{B^qi?PQY z<2;Hc_A;~q?7sP3>Bi`HO)4&`?;{k8CZ#y?*u#t-nmDor1J%C)!FyxGr$85(8m*DJ z<(UOm$|&~}e>T@9H$M1@{0b~bS~hsu>y7h4sDm~>!KKUHMvLswz$3&wLtW@&4JV$m z!k3qOoq3zS_&gQyW2Zj%}<* z=;;rKQ?q&IcTMIIS0Sbyh&;C;0x@ygW{62O?P_DnN4%8On_dW5kXA~yi zU*1Y=KeZA0p_=eq_=7B(B`fn0F=XJn;3my9&ewGUdx8kjKF7W;fHLT?Zgv4?H)7$i zyL>LEP}S9k&)~~#+=09|p?F8-NOd=GN68#r@(GE9V>ai3V9_UkG>yxbJ~&E?KPl8y zFIYmMJYdcI36^c6Q)rS`rQT48I4*~hyM137x^(DJUw9QXqH+2Baw02zo+ORBOYc(1XiGtenVRnwECiPA%m(Ycyn?b=6Loa*b zyosu#?k_CMnX8L6&G#*d*O9DapvT!Zw_FwKA7Ia@hFr>;?%kfQi(0P%oJyAgs)~;z z?tOmQlhJF?bN2x)RwRI z2f?RiR6-w|RSVwVRF93g4XuXlbRBnwHI*(-E%cFwkBM}+x*A#|Brj_@!Wo7Pn`n;( zdsV?fvlQ_<6_nllWg_`9So;ECG9nRBe43&n5&3;+ggCt03t)()c zqpCnJ1cz~D8)5z=F&X2iaQ0}{+lhsLKV{XphMl$RQk*I_&H8 zYS<`d;$jA%<2x!%kB4ew#0aHa{u9t}mYi5a%M|E2REDmCls#lwZIC!7aYcY>l6Oka zJ^W{Qs72GqH3p6kwoX(s{=N-{l7!m}kytps1j0kNrz?@E}jqD@=73%*uedLC>CNJ+x4=` zytuJ*h>wBAjRn!{qhU<)uml}#wzkK>u!e%@B0F)Myuz=Owa~aj*??#=N*oz=4@c`8 zCCjA;!ot7E8i>oLGJg#wzA*5G&M!26q3R1oUGQe$+zQq+v8R~1c@0Rdf;0R^3l zBmIp|)}2W|usx3~2CmP~?WaRM-b<5&&K)zCWFDWx>|hS`B+R%%cK~IQ6B8gJid`RlcgxsY7>te@={V!u%Hg{kt{ zAy7nJ=R}Od`Mpk*SpQDNZbIR+#B{2kfy>@J$eIg_2|c3$x}#2(i?{9CGRZZISzjki zP2IVtzG-u-#Y|?GNIgo`;h9t>eNG=d@sA9VsyfReIU%GF6V>sWkOiRLVQR3-x8A3J z6?S4sv8(?KvIO2oc^+2gh!h*;?@OWN&f!zOaZ-0z5gvzU^)5xaw3JKv(i4%CFIYGx zC?i7GY4TR|cG+lQT@sD|O}Q}-Yw7{d*H<5YidWX!rr38Z%(aHh;JQB@TF8Q_t_eSy zmKde{)!}bw$eU1I?ex8wTV@=Ghh&68N%JX14N@KAEZJLa^k0})5T}JB-I%wU3`EQ? z@iR^@TC5z;UCw=4tbsuN2<*oR>ckz#+h5m9e%E*$}BLan)*G23a|y&t#ilDodK zN>7FgdkY~~_$jnKykT&_6Ewig%TI|4V zylM&{;M$eGo$@>Ud$KO>XCAtUU8kSF4m_xu-Z`kTQe}3=T&>cuqKHg8`PHVut^T{t zuewj4NGq`0s{tb1#k9AFmhv$?q*l0i=v7?1>ucx6^Ll0}dv=}n+WJz*XL=BEV#o6+ z=Lq_+q)5~28XpO(V}*Vno=?KfKH+>zpLvZ?xs40d9yV<41OJ$d`PXnru4s44df8s{ zazQaBUK8puiYi>Ohv|$WL-W_e(7YB2gNlrgTp@}kNAHuH1PO;^G;`4%xQfdgQL>Q5 z+{^VNkH^4XUG!Dh$o9V-r1)O;0odukO;3WFLce#*{dL<+VwVs|#`+Qcngh7g?O$F4 z){?K?1HV>1%Fs&TmubNQ?6loL+Qh`)fQZ;tGjAzNoFqlZc=*+MJG%H%nLDtkkK3^=r(&1bJVAxGzC)rff-)6c+<`(imUl24((<@ET_~=Fe(1J33aT$VQTm zdW|sJznqPeghH6#SP<4bY^Pd~s#fea4$}sCnW~r;q6yPDW8Lv=!so=8in)KdBgVxt z5bAK*on^yl#Ijc;PsNAZ*4}!AuA9&yhWc^e;LV1#V(b@9gWIu&@fGD6#~7wgOf(DV zL^$8DUOOD250f5o)S@17w4fgCLq6|LQ^tr+Tk4WcTiTFKkEP-D=g8m&XD_sgWiPCX zWhXHQh481b!0?tihVqovhw@Mx6vDeR86rB#?|pNWH%4%j?+L)X(Cx?F&mP7CW)EWn zvwb}2H`+s3M|orE2YF)|2YH`?I&IGI#tF{&8WGO;It9)GpOvwu*WWnw=SCJy*4ok< z2sH|7Uz^WjL!y~w!_Jg-LpzccHk?l}g-gP6rIsNGMHFj@{Vr30ZA}n*EDabf8Qc0nRyoh*bkZ=0 zyihaymdapQk1&@sI9)PE+=;4Yd9K^RP(NV9#NqablEID4>(6RC$C@!tAzf^aOd6$I zIz!m7d_%|(lY{~~e;Sqd`PYc`&i|1)&&{q%r~Y028ph~o-XvZxqLRanNiTKPu#y0u ze+(VF6ID?9TsQN)9ziK(c)DZ)y%T!3KI#7*{tkL3q^h^*3};9USG2P>Va?I1VE@}9 z7Tbi3Yx`l6yX8uNG(~vGtO?y+6uUxmg_gzW+r*bQjrEs?4cdcuN4+icl2$?{>#`}6GC$f1+bDdM$StUb=c&-x)04qg$xn+gK1 zI1WsFllyo4Bt*I9w|vxEPhBwZ2c%(se({>H$W+;e$W}I@$W}g~$fw)RQ*H^Dbm6j; z=?t`4dDhuVCj$C@8M2WoH zyYT4Y2L16W#B1HRQn1V9LZmuPXwFXBc-Pr~TVvoq;nx+ye63Vf%=(*id=91U<`QYn z8M!*o`)~!8t2%J^9C%lipOtsTir`Z;Zt9inC%hP0f;8)CSoH{>C$oewxgGf9%Ex`l2x z@~RJce@1Y>()+bhx+4q0`=+KzJ4HWzJ2p8OR6rPx#K=z)R-a~`m(b=sM)R_8|^k2fOQ3PKb{>+0G{(izkU@8G;k!xq1O@~Lr?#R(%u z(a*Kq$JUD!YYkI>dmW)sX?9+k#$_rj6lj~m{kuqd%Minx9XZYj{HB{2GggX|V;L7J z*CcK?7fB=Aa?!1~1+rk)m6SM?{iGQTYppsk6GYpB*Vo_ug!sJb`1@2Z1uU8gB*n8% z{GbVib5t(c)``fi8^uhhz*~wdXU6JQ7xsW-qQTPwSD1(1C>xkwwzgZcZt5zJWcMV0 zk;su!YLDmReX6|(Dhp6?$tAIrrNkCkLE%!ssi}84-1wl_!Jb4G~Dj!6L zwSY^UUQlU_JFTA^Jhp!}utR(FPAuS{X5}zm&qIJMM#vd_nIOcdSF#%Pw~AH`e2qg+ z)+F0OP?j2*A1!bBiPd&_yffWBk!|Epe8;Zpe?^0@STS+DQH=65f(ztt3+6VOJqRw~8Ht+?GNy0xeRA3o7D&Irkju~nhrD=JHZv}LooQ`tgQPL&#RT)3#h zWh|?Cz(qS)IifCPhtF~(GhUx@$z8zYen{jJu9nQ`VJRPAnn$70K0(JpOCXN_d(I|} z&ldxDlB-%gVZ6hsL-{e>zdHVcE6>eGkPWdBE zr}*23!i}iecqt>p5;oIG063~snq?&-Q~aTFdOXCb(qKAFPFFT3B2q)NfeQrilx>Q3evE_U(aO zjXyqoVS?!^cW)qu3*OQzjwYhK)_^>i9^x>@)B}a0F4a`wpqpP>AKNqrq!a$(H}s~@ zbxOGj2UUt&OcNS~Z!lUt5C_~7HwQGmU#fvlI;nL(o(~${6lm-d4jzlTq*J$JZ`8H? z_{Zzg4qEfysI>ej#{H=#>O`NJO+4AhFH;ZXXnHkLxeMQfw0ckvOdA9Oo(SVtS?taZ za*cT^ty=?z1z{yF@Vtj#d~ecO&2|39U8@(-SXSmtvaP4lKM$f0%)mb>4oi`xwQ}1J z<@)GQzEkiVswfPW&k%@q66GO?4lp&oL&j|t8Ahu3vh_FZ&Kt7 z%BA|p-=Hqq_QF9yKOrQ=dGuFLVe@ewJ$2@PUOGxG92pXT3`B1N)$5ctrX6 zl7#ef`Tbon*sryi#B$a?>2444MS;>cRn(j*SXHHcicNSs)rHe8-J1y-`PaEHE}H?B z=jTv93G|C-z94j0TJWP29)&(ey)O^%zD=Tvd>k|3YsLiO%2N^f8=gSz_GWbaxa&h1 z@#sbj-?`PKN}RFA&OlEy)aweqTQ$_oC#S_;zVB*&Y@l1LsCCc-UN9FFpcw{4neY2A zzZ0-rEj#kGZ4CE3%L&7!R5d2|l~TI6*Y#Y_Nt452=QC?=d+FK*KjQe! z!rB*&|B{aaQkB5J_7VXrZ&rKFfpi+L|2H}L`%CKBobf~$d$i-1s@M55e=s|humYmu zrAAFM{;~RiPc5}FZln37UDk2P`^L$XK}JpLLi;@tdI;-s>@_^bq_)9v&r0d$!D-M{ z?G_-~2lPk#N~T@M&0KZ_KnJy#m)Su~RZj6(l%oFnXLTlO_%Ey-;qY-vpWXW+6oE;X z+k7+B*?WaSv$4Sc_s4l4=h;)R?6uq7&U9}$cD?RU2@|d7*^6r943~zh6#+{!R-|^5fT2 zP!Z4QN0ZEB=+}80g*NYz$bOx|_2+*(s<#9#O%^}l{BAMoh>qUP2(X$}T3=3-v~-O+ z{U*&Kz9_YIax<+F#u*w~vpU%L_p)EPrlS873mvYcI>9s4XD@2-tut#|6T4UmcQchf!(#CQt`P@5u)24{NCL_@~Qoo*T ztpUJ0S~I{P{*sWtDEQV-YaDnq-X|v?P?wbJ>2|5;DXfnhty$_39<^#2U*{PSb_?K0 z0hE*1V}kwTdNwP$9}YOxyK`Q&hBfvlREN4IQ{S7Xm;5^{quYEd3b4OuW3Gen8}3a# zFDj$g6K60@Oy|EX58VrlFPAxSYn}n?FR`t+BhJqKl9yc5oPC7IFN1Zc^2$bUv0{7g zDywT{w@n`HTk!9(zOJCm*2cbSRY9NUa1Z0G%Rau@zs&Pi-0|Y?EB6{`H_BXzKe4Vn z&h`oNsZXJ%#SA8nB4H8R^z61hyT+e%MSsof`pvsI#MplHvpYC%hQSn6WWSBm;{T%b z?rj($b7molW}r5*Gg=dsF`dZ9lLgK0F5bfydXXNax^ zR;O1i^#ryN((q=22cG$w8-Z0uwsBD-}Y)%>5@0 z)T)u5qUW!IKGM-6seIaMO9#d+k3*qIl-zSIj-CFo@dy%?5Z*OR4+WJNXyrii!?B01 zIOmgMeCJ4#Zs9>!Qy(=wtj4=+l>?b2lFARod)S8{D~9xr`aYq$^?$7;6yAC^6I)8| zTYH2Q=Pg(DfWi(3lJSdoIfczw^-m+6!VO<;F9AU}fN?kXT46jhV&%r5ZtvtYTTexK z);FDT6Lr_!c78075Ek2B3(@aNDYk9N4C0ZO!F5z<*M~OQ&>XuA_R!6*B?rRqu4dW@ zZ}>p1OI$NeU21=CoM70aUuflCN_l3%a`jHSRDjYcEsQM{Gt;V`cyZC%R9#)mk-hHM zEQS$1Z+2wyK|GmG?Ka5kw6(AL7cCuazUI3sZ>;waV_fFyXuvz~C7fSPGUR%sZH&FL zmbc_S(R4K5Gql1GS>{2}L^>niILtn+_qs8hvx0<-2K{nw{F5PRJ16ys-a4G84#Z~M z!wo$YO1zM|n%n7IZz$+G>@_p42WkG?n|w<>@fndKoFcqmK67NN-qW4?-co+~XIm`~ zV4k*Z>x=(ck(dW~bd-9Hk(Yi`c~{xn&W+w)T-~8AKte0YabqY@9tTDx;T6Lc=iq5duw#g6Zm{;CFu?B`tP$)c>Z=^s{-t;@_$)Z zu%0+?jaR66n?n9@=YRXk93G4dr+scNmwmWmlcc>@_;~x2W%lYkGrd-Mx%!&g8)*3G zg4jLpCu-igQ~aBoKTGf3K6USzK09mj?A~8H-fN#+b^e>+TZQkNQ{DMl=q z*PrEsk@u!r8%DZMU%CCF?v_girzKzeZ%;-Uz#|cg^wO4y78biFP?&C>!kOmy2>Zij zXFJ~yR@>+W=QGV}_hwVI?alU(cH*Pgn&k)Svs>GV2k9ea$o8($<<2M2{j2wD>2>o+ z*}9j8&%y_-UoWT8pHaCO`27)khy53(n%I$O52Qc6P_YI*0BQaElq*csL{i-Wp#W0# zK^d(iGSQ^KJb6?da*mP0o@I8?eIG}HRPip=$^)kP z@e1ehI6GAHpJS0HZ;s4%@S>rLsFEpfX{1yG$OnGh+E4tT{|UDTl^ySCt;ySQI-v@> zQFmpM4)AR=3vdt#B?N&N52@=kUMyy)cV z3GI!FN7c=uTsoq`hBo@3NmEa+b0nZUwuI;Otw2giXEk|-9SxWvBbn@7Pwyt|{zJVt zD*JD_zO-m!0@WvFgsyC_F}YK0jBm{6SS&-NvYBCdU@_t?2qbhB!3@J zZxIj3><9c1u=;!}UY|g7_qSLOPNCR(t{Of#hzQp3yL@pPg!R8m(YcA}%}RW^8UFX# zX1fL6e7sE}S5pT2p6@%5e;@cD6zqm(;cq&t4-H@ocH^`#;I?QK?Oe)73V1LMc4M=c zKmx#uzE+B6Apm@WAFyVz@te*>2skWOg1Ag(g;2By^4|$8q7eZ{B04s+LI?ne;D;|V zi`gD1fEEnMY*yc7)(!$R1OtlB&#|5D0RzY&0%G$eVL&jmr!XL|*&h2@c}Nfuj4gph z>kgZeDgUkMR$%k7G|UCrPOi-?F&L-<285DNWHfsL3sMYz;Gae2w&;Zh!394M%=-PF z&4mTHBLNUZ_-FG4zX7_#>}LY(A90(^gWS?Y`M)(~gmsOO*|!E}fo~YYfWT&nSuOk_ z0OBwp>3qR2V?+Q6B0xp7b33010^kb+Vwt^Qv5*RWunu-(u*hSxV1@>X!`KowDd0Cb zi@b)262O4=U~Hk9tPue;79{Kz#`(UB`HaxEwP>$(g>mt zb7(F0U_j#ez9acL$N&^1fV1dpq9{LflRaJ&yXb4F=ovJKF4zs;;*!HcN946dlpnIG z4zGzff751m8UiE;V~b(2&2BLRi?k`oi=#h09nVM>(P&B=*jqQnXgD^NGH7#(E!U{Y z@$YiVJdE&z$2HZVTe@#%iAp;}{_Vj&)if*eNocv}F0dvnZ+m+DshD<8i^?!=%&Cet z8J|siBcxL{m6D3=XhTPCP&O&ymJ&zhjn8d)K3vY;8PqUqVmMD@@0=F-#_Mhe5B%Ec zrVLQCfd|4RC5T6GD(0i7680zM&$zdc@eoVHjzwx49%splF`cUX5p~b9>iyla5iKiG zdw=wmH86I*DjvCWQDxGngqk4@cK!S<`iY@a-ui<0iV?4_l|IqWs|^!*HcUvK23Q&+ z_s(6{k;i_tE=tA~t}K-eLtynd=Rfk5H(P24cMgaP6JnucJ1@hqQq+#y-6?OJ#QDV; zq8B!;OeQh;CtY4zz^S#pRAM3-4s`dOIMulQ9!RU?9lj=5THL#j&)@1NWCviSWYmD4 zdN`+*uAo*;D=!t=jVBj^Im6#6bAh|D@Jdl=9C+@u)TkHZAl z7s(M9&@{ta^eJJ)u__4n`q9h(KM)RghT2hv*CpwKYV>M!9a2*-2tyqr}Ofo*j_w1lJj0-2& zjZ1$eJh(u*h-hz|wWYma_^y+jtO&>Bt($-S^>CC3<6qeXvEjkF4^oNCP>L5zi^PHW z(Fo?eM9RAVfk3~?uca}7-Mn+XEU?% z$r*RhIlTz6!%H%(@CNL)>_vvOiOM`Q-R~Oy5hY3W6($piW*~>5c?<7qR0i##HAIPH z!6KI{6bs}tFO@}!{-5H{|EU5!pW*F$CgL6@WpdR}XRGwZ3iM@!FoyN#^9vvGu_|DnyMib-Y@{8a7?Lc|2Nlua zcqNvFNgF-_6Yfz$s{Kh#-XY1>RY{BGC}s81ol!9d)LT-c0$KcM;#s;_b*gMzu;IeE z&8)!_y#_9^Z0uKCEJDqG{gMHhF3TY=_%Pl6racvA96~9zFEn_9;t<0SzDZ*6U16P zH+^i!2?#hISdj3R6qnuw~X&qd#YlfsBX1#4lUI1;_a*G>)frVzdUn6pEM+4ig17bf|qLflQP{~uo}{j zhWQq@ON@1AX#-NfqOlJ}tum1)%#aZ&1R{ki0V3rv9|EZyyPmQeA_Yb@u&e9#n`)j$ zZ@P|E3cl3gYr(Hr1rtv#;q8eRX#E^>QA=_9PVD3sm!O-5su_B8$P&vUj_KRe+S@l1 z&mrqt0|ZY=*B%YkqqK*W@92J7$2jnH*qKLIk)D3b-jYVO8h%r^QSXFx%ef$|NM8N= zyuFkv=eO#|sFnX@drM*nT|T-y2Yb5AB<9}DivAT0u7_7_Rohkduw8!~S~MWODco-7$;E&QN~z6$kb^qN$sxP>a^PNco5Si0nAOH;m8 zK1f0I%Orj`Pz?nLqckV8wpb*RXS{j_T#da4vct$5Y_WIw_~=$cWv~$2LnY&ImQhRF z6bk7xOlHfch*XGg|H=nfhRoN)cOZj zo*br!A6*2 zWAuU^7$82OZoF6B<=+91A*OF%^&nPWyOlY)97GM%D_rw=jHm=ND_d zCvXcnylM^jZ7yB97xl`2gZO9*76<0&Qq8GMa)C!kpameTjptqfS8$Mss#EVoA#8zJ zYT7aYlMY=Z1}herY+5gs!eARf;uRGO8&S82$pA(Mu)L3l9hpN848`)u;<%H3qNIAsDJ)$_|D&|iid~TkKdm8?HD%tPuNGMvz;SHf)uF_7{tFf@^sE}waiREmS4esXL={hkiaZR4`1t2%iTaXF zddD&wH;D@#f-!q&;q1ei8;om>VwI6V8`n`1+fK&FT?`6~fpkJtY1(9Ou^~(=5EQRD zbaLw&s;M_E3iY+h(7AIG84v%1B?1+TaTLl{jcDqjMKe&T7?u{io8dS(g#!)_6vNOabR>ZjiZryIBRLdU(o6p&nYNkt7bYR!Z%OQ^9U z^D+Ckd+k=1y8^bGIo+jh1ansN!%TJonF%t?AZ65Y4$dqjbZ_Sjta!=S98=y(ALXYf zg)VZ)h=6M2C6co381RT7IP}5+Ve=&H9AZ#Vr>mdw7!(k35@;3ta&`5K5heo&vh#Fr zBXjQ{b8jbe?<9L{BkOe(_xmC;1Gym-KuPs_(~+L5bqiwX!u_>VfE?aD5C17`nnn=b zfy6h7#JhpS+mFPHj2Z`7^TW4ojR_An&V7RK9}@2zl4lFS{9f3kleoN-c2#FSg|j> zf-?0~E-wxHOqpw!T>P;^bz{66YZnq-kZ>bUSMp^Ey$Be0(>mx3EAOh(n~PjKz*Ytl^J&oa3H1Yy5l1Td*}x`A2$Yi5Tiaf7rj`_G|WBB z5C3*nYGhqpuw!DvuQM5=rO&CRQB&t`-Dyo)jYR}SC$)*lt?iL*gjz>5R|GW*UC`pee0fqso-61 zY!d1z-VDwv7i>EK5NdJFv-h~rl$y0Q2Q%)t?JuaH_KNofc@qF17+!2|5{js#F}L@- zs)S|e&Y9(_lQt5BVMFAUN%WNr*0^dEM?PUwze0@6=ro$lc7IfCb}-*}NV60^zwie0 z{J(obM45z!*+{g@Evi<3Noe{5YFos3J+2iEefF!NSLfNuDT~x~!V9NpYpko811yx8 zAVSP%kka%TJSSR~&MLS!IkX5wn=54gHNz*9)9pAtijBc88q6OJiDt`$#Y@Nh_bB3YD0&1G>5ki+h*p&In&>aK#JBP# zvVplm1n}J}?y}ale=sHKAHcIyvd-pAMkIc*TaQGdf-y}*s946%iRC7lNM%;$W(s8y z+to;1@P4$lIf#hEYfW$ocGC(1r&@w}h(WA2E|!B6jtljs4J57IMdw_M`uRwYjP(RH zbhhlC?ILJ>Oao*%Z!TglLT#>yv>^N%G>oFS8TV-KP=W>kQhOhg79`vX8Vh)3JTkU$ zSJN^^>oi8|7DnqojK^O)Z4rS7<3`j>H<&GGJah043p$)%OD6^PaETb#XD+pdU9zG_ z<{Zw}rA?K5{t{NYeQzE+5_eP(zBWN8C&E^qah=jg|01NOscSgWgArBW2nXLQ12fSN zIs(1+p)QHsj6SH{zZ+AjE6TGS;~It<80#jHtlc;e_Y=I?od@l6A=0A^;~Gb#4d&gQ zx8#iywS_$=wfyp{_(kky3xZ5r_M;N4@Z>pPWoF5a>{AI3H(y1u=8(V7oq^ZS`HOx~nU_D44!{d$Mv~ zWz~_c_u7>%GjJ8}f%)mV5%dZy;y9|*v#=Czc5 zMq^&=^(qiL4Sh++ImKsQ9O+ifqxm6eNh72cGY>O<4@xs11xgm&16+*peV$=F(Sn^ zx&Hmlu9?{;4rj}(7a8qP#vWqb2hXl3t6*OD39K)imL=B%Pj}gBm-Tx|h8BJWRA>fu|?-IL$r9ubGHHV(@DoJ4gXGP%gPMK3@cuZHe6b~Al{XC zjQy<>?toB{Ju;6y-|>Go-ubMhE=)`&BLNEd|3)b`*f!wUmu|Hu&!;JDv|}3MG6p@# z%(f2E%qkDjxWpSoT`49BC#_j^_~KdE8RH$+cbARE|5g*|8Sf`R8=cmR2w-}OJfs&& zdQnSd5G#3lp^Uvb4Nv=UIrG(xOj<@0vsW;p#;*cMwbE?iisCc3OO7pnsiPR>tTBiQ zkP9Gn-7t$duWeiE?PnKF;Y%|4OGhrVLNGDnX#;vI^ zqGlCVH=9W{!?Ai-LK{7(A`F^E?cVN-Ox6N27|m{UiakUG1R5w+J#?u?uu+`lMv-GI ze4P9r&bOYO4=2yE_*q!ox3bw^4j&kgbGm=)Uy59c7`)UhzirLsjrL4dQM|b+IRCBp zQt~b4?YeOp#dcr0siOfKhb4NJy;+^VK7?Z`S7*kzuECxeONjr-s$kH3;?#T!N?#sd z3YIyYno}J)Zg{h1Srl!&!VnL>F9>5sDB}QKrwkzd8mSbsRqD>s?b=ne8UGlz@ZDvR zK*?*wH&9x|CBpP0V60`YXt4H&-TTFxo7LxS1ix-@g(rM1u273=dc|}lggVlM;HCm( z?@hBaXf$1as3G8X^X*eQivRjQwg9?!>fC0L@m@F0?GsH+oS^cq$8DggOMFiFD4Y7B zo0AD?j;WiMTIovVq$Hl&;m!b?vJrLNtESL>;wFC{-GoOPE1y=X$3}J_t8DQ(zit6R(_Fm^RqoH&zNa5f9Ka6+IM*+mk z61dB0r*cxXWA6hSq4UfJFxMF_PjJKmXqWa{gyc7EYU9L`1~;!_GE=1d0qKOICsun& z>|BNTai)xX_yez_P5|R^8{CcT!Dp1*YG9o|Q(b%I_@FhCoUJBptK=Y4Hcpj!3!~9}9sX$qM z7~<%TgV{18NkFuSHD1$%NLI<*ta`InzGEFA*0|EI3(kYM>MhvD@hxHSUF;$rHph$g zGR|zb$i^_{L__IqMDg+4j>3?XJ;692q$7z_t5^>Vzy6nyWnI&bJha^7r^61e_zAV| zPoX9~VJ7<94yYBVttU1uFQUDW){h#eXRo%nn(+r75Xf^*WJ>+9#uCkYtX=cfmFv4*t`rW$4s1&Ypi z)&Cc229^1|%cCxcNTEnUq)!TWXYbBV*?BlKi!3pYN`-<+fglkQ6bV6sF%cAEjE`V~ zg#`77ijpY7LsFh!&1oS8e**#a$Ll6z<8p6{M}-si5(?qLHN zV;g?m@+G`j9gJKi?Q6pHg;_BCY@TZ^s$u)C$Gw6}i?y3NH?CRT`B-~uP3IG>xQ(8< z2~G=Ptv4rJ$%tjTDw>**^^N$|CHOU8m!E4D998&PJ>L(e^Ab##^u<)Q4BIk=>Gdt{ z`~>Hw>vHC^u3}jZe-I1{a}bba)s*Ymf5$~DRuy) zT6@;n1}`=i25x^q&u3KbWPF30ToVq`*ljRIvwaFe;|jF#k5> zJY|)XBF4I&<9q2?!&){J@RzT?(*-X!9tPPZ;*IzW_c&773K+MMs7K~BW1z7SFmQuq zqu2apLd8|q07h2WL6}dioh0DJCc!`;W^`|>;~v&Ln6WC>0l(hdReJ_EmBXM-Io(#K zkQHYB{LN`3RfMDQaMn8SX-)IDVsn+cRDf4_0!9K5Bg-6BRs7|a-{ z$_O?c=+>%Y^Cfso7n_!2lmIJ!bl6J1UizSe{~HyfGXS|ok)U3 zECr|m$M>Rh<4~|n)e#=1QcrP*Q>7SjrsEKTWwg6F%khHD%cPM&Sv{4oU%Wnf`v6#x zzM-7M{3IMs6>JI2A6#r|M{%oRpk|?OqEd_Q^wUD#9n$XfuTN0 zOmP}V(S7yfZJ$2_UlU=Vo|_vur0mq8q<8yX{aNC;g*5ybXv zAURNOWE;s5qK1&P)ib_(yr;3QWn^FwJcHS5@T0P;@;Nk}EaIhGl-Vo=k0AkVs7-L#&VPR?p&! zw0EFrh*bpX+g|zTX2eMUQ*(C(chsD}RK&$=C~?$R)_jE?l&a80Ei9qPWf)rz^Q!8@ zJIdfKeaIb$7wJ-m7;3~>vdoE&b^pp0v<$LSG~zzIV*Lgr4S|6qhnreZ7jZR5m|ZpX z4fvITalZ#qp!#ke%4Z91h>B#bO*Yqpo27so8TUjBE(Xrf{4{q(YbyQ=pi|+i*}i!d za?eTb={C4d`YMMz)vcb;mXIH_znA*Nkq2SgB|lW5oOCp*gf-sLKJs=}u)?O%!eWqY~S8Hzy}xp{BhG=wH< zs%n`Y*Ud1Mk!<$uhmyv0^M0`U=Z9N9XENpRi=XT~SOq3U8yT+Vdt$lgM@=GVuBbWP os%=aSpp|MW6yw_eAd6yBBT7#eMr^tN0{{U3|F^bHM*si- literal 16977 zcmYIv1AHej({OpUZC&m5YW$0Rap}>McYVV zGqGd$VcbyyffDYHyaC8eyRiD!J@^#J#K>R)Ie75wz1Y!q8ei|KYA$MOZZ0a57nbB! zZ>#CmpJyLaZ{=-mZCx-}j#Ihq&5S}lHOUhGKI#qX0r*c_LtOh_yb9K_!{t~Xv>`38>Ij}aFD8|>to4e)i(H>WZyUX?ev;RLi zGZ8&`1OYXRU6GWKFX9xc&=kwItDNJW!RT@*3k=(BmxdPy`GQaOWf}$91C<|O<1pHq zJ9XNIJ=qx&;J^6`;})>D>%-f0Bu47+h+jv_yp7X-^MiRE3VV1oSgBqfOaAfA+(stJ z_6Ikq%LUXS?FWg-*QcZp1{2<}f$9`Az!BanXe>kl3z`mkC}aoJ$`*x4bS1Gl`pIt3 zj7+zMdCi11;y(x^3B&3>MSV<_D&8EcPa{W-shKmME{ zkr-LiaQ&;fT}&49(rv)a7;ePKIP?8(tuVR9RF~?^?3R_*I4i}gLkwNM~9fX zcoLujx4BuY3ocG}N{=6H6NpALax$ZEGLkbyWfXZ2&L)#VTjVXfv${<`DQ(h*1n)9l z`*TpmtqAVEYY#$lKWE8x&uwJzm|1i`kCW_a{o|Q^A83;Eb;MY^?Q4xwr2W)^f}_tW)o}{FXkR@u^!@{Lp-3 zSQ`5I6So(?cz_z82l>b0IRPlqWgZ`fTit?-(V2SE@6J~y8s-axp-(2aaSmyBPw}ai z8sUeJ%XMcjb|zoTViCSPeh=z}>ct!UV{Aj%)L%|Rz@TL)S06(u%+_+x&lforpY%`c zSAtK&cY>1_^0$NLi5!6JGUY#f!K&o;L2iYLOPQe}!>lA-vd%);EHSBbQ0i z<#nxltGqoK+Rr-Fy*}}qYOeXzND(~{op6`Z*@fZM7O8AkcylVBWh>4irYbMA8mThN zZYXA%DG{>H=F{hk@RS&33dq+cKPRU=s^mDWEt$pMA8`q^C3=sZPcBBn9$r;dTxXz*b>XMxig`(sc1uOO&~ zfg&0R`?{^f?mc!Lc$A^1%R?&;o#B&Iy)cMS5%({Py#wV*SoL>{Iu@k6a zV@s4`)W)CilZ(OloJ+$_tZG6}p+Y6=3)JaIWZi}Qup)dvD?2Wq%W zv&~fVA%0P_x{6hcmMWX5{6({hN0EhsxzR@A$|Pl3<+jmsJm4q;@vGceHpDLi{*}I3 zU2*+g8GTjgOYDh_uqZ>H+JaAJU!e^q1@X|6-;NoNGC?z@sKbtT&FB4-XoWD4j>UNE zy+2P@JB>FlVNd|j33iQw=X+R?K$7@Y!1wq(?k3GrUHRL0iwN_D@8+A=TgP=k(e-!A zMXCBQlszZmZEGc-2|!$wGOh*2*8~_+KwTv_G?Upxoh)}wZx<{faTPm}`I-LIU7%O9 z%S?Mf@sc$j4xCL|BD%W$V&wX^RRRpXPaeFA#{3s%Hk&A-=5i+XX4|js#!)}J3}6h- z(^nJwrQPz^z3Ivq<3#OOkj-074oSRW0%NaEwb((dx%gg7r{rgz?C&MY*OT#EN~qUfPL<|mjkS5TUxKt`QWoz+phjh@ABRJ1^(In zgFDeT^|zeg@jsArb3EseI?9}m?fC0?RFZ_j8~91`?traNl&t}V(-Rn_A99kwkCfi{ zw_*t&xDCl`$Le7UZ7sK}aKnO-pyOCNn-B}m$Ox&VD5$BZJp(G-0yO$yFzu>f!@^no zsDE*{csN$<`ROV2HqK(qD7?BH8m7BLBdBAV9_^MC~6TYSZU_X~pVk;b_@eS^HGgP1?j%ZBGyR(T-6$D&59Lhwnwge~0L> zZy2@*8y>nk7ipCZ2qo9P*V@yZL0uLCs5u_mHr<8FtWSJqDVuDQ(o&Ek^6!HmBZx4M zIvCFwrzdYr0wCwG*_J71%eo++L$HxTqtVEP88^Q?)qV=gW zWVNcSnsJo0UiRS#W?c7C4I|T&@qH{EIVjAGO5dW8UO))_1BQL$j9Slk0#r>vk2*pW z&J64%k{8YX?}m2LHQngr(0!Hiu)%1jZ@P9=pr`+7zA4;D^+BY9W$JYr`?xFx<8Qvh zc7B-lH_VNUr4ePjQ{y>&>$0E*XO@6!Rc>)9O0*NpqW>oS5e;*s|KhpP>859>{OM2eKbj zp;>QV8nQ%4WZ6_$*~)UY7hqN*fi#U2Q=!&#DDBigMXyy|x~My0W1O;2M= zROrf7k^-(!^~CM{B8)4&us2MZf`Uy%m-w!^Aip`km~HaGg=6AZoAF9To~r!?J(Zt+ z_={r1ng)aFMCK&WR;|q+ht@`ly^w=#!O|}*HYZ2(uL(*EHU@fi=hQVbLe+#VSJ**j z;XtjPWEqoUZZ1b2+!`|%WpS|gvW)k>9kQ+{VlQJOjLrO<)7pw1hFz%q4y z!>}_BEC1SXFgjsbDJmrG6-JEye56chTtnrn!^84kqUZ z$9w;cUGEzNo?)L*lugOw>15@zw{eTvIJ+dQnY*Q=c&zSAcd$6SEAD%1#xFEk=WpH~ zO-~a`91bz~f9|md+mW5d-LxgucP(}rkWAbd2!02Hi5uJZ$(h2J>=41pq+P7vdz<@m zt$bHQG{a#ou@j%QhzYx7>saGm)wfL>pCeC$q^{xKQ+&q0p=@@rNLHKZgi9^dyp=Xe z?&|Z!H?=7;y9Njuef?`}Az^G*ux`yXHH?p?{bRO@=vy#P6uJ1;Z|%}n?5-M$XeCU~Q;EX1wiyX@fMQLr{fTP|&5E?c#Dl(K6Y62flH#~o zoK}M5ieHJfA1%)Z1WS>P@i3rIZ33V6$Jw$;TrJ1prxU{2BKUbP))uiW$I?<<-5_T7 zodqx|zr6#hxmXy&s_8c$pxV2r)3I(aQ^#xb!D=K_h>^hk2iZAO7y(m@6~b)sM4!`t z`Z(Bqi384@)Uq#})QWxw)$d@sVD84Vr{>^DhVR991)dQ>CQRYH^I$JN>+NT#Wk=&`rG6VViI#dzLqtDzRMn9!P3U%9$b=bCZfg)?Z$DPk1BFm;ma zPc@p1Xi1B0iSwrm8H?Iuc1>cV#U-qo*uxh3Z^G+=2y{xb;BB!2bdurgn=Eunp1(S; z8CsxhH1xmJK#PGD`S6_vkvHKPY3?z^25yW$ehBEZ!S}*59n;&;u+Yai`$lAwQtZ`4 zMDQqCe>;g`JDDIRqmFL#$bbEi8w#;!IvPbrUGQjS7?GV%2+ft9DDfORC)>x+ z#dk11bQiaEYWn+2GtE8*sZn=747}6C8h4V5k57<*L@Fn@H*x6K5EH6_p&|x;&w=Qz z6^CBeQ{<%}cs_4Q75SMmpBttf&x`|$ddSZn5gitkzd%FiU=vTd$jkz%;6WS z*3z^AsD^N4L3D7qqW{#oTppk* z3gsdlg20_aWj(`LRND&<6R5p9@8U_-D%j8N#c&Xc1oJ{3kvki~C26gEerrY}q!jf1 zxYb$$jO1Kq$uOo;@R_*e40daLI6Mp%_c&@C-Vy931*d&e-otjb34BvkCnoE(X=|9X zxMF(2uD|etTW7AYEMh@HKcI~&kyv!#kZ=`#ahi+I?&LCyd{Z$H{+t3j1PFML-<(V@B|KY&Hdk-fItZIz9KJcp|Keh}6U!C)i6%9gr_PNRXu^>IC`1QK znPl_>P(#Sf-W`_<^`Ilz>pP7t$!$HrqcH4_!WH%29MDhdyWgqWKxZ1@95b2(`xhPV zI_$2oZ1us%(@mD{Us*Awb;F>7nJQ7(!G-0bAst4|e{*H+A#=7PY=czwfst|;;!SQQ z>qrY8W)$_Px;nvWd0f@iCYKO?BS+te5)_;kms4$RG8$COp_hQw7_MQB16qcDwPej| zm(%9K5P=oL>aSLcQW5Lo+~T_Qz_k%mSWCJL>e9t;!cqMQ8ok2cVjqqc{H>>N3QmxypW z3s`bLRve-_hOfpxhHt_?UMT3lohph}n8ML!p2D$ao+=xz-p`W4Dad4PG0bFLG0aqo ztsLe_WfA2vvmfL%s~zMlA$*Q?V=#$!oZ5+Wn9`4OknN;Fxz--TKFsXJ*w5_6+|Tr! z{JGVd#5~3oL_5S4L_fs!7%AJ~L~WGh^g}J$=|{#qSGM2d5X*Jjy~*AtIA;J9HLX3D z%g0pO-x6(a4O-pQBgeBjeuwGDzXu){_A;2UN^*CxS*SMJ`|(KoVHKnGoQ*&4678lu zOlWtdiSRiyM$00k);!18s6=G6cXfw(L=y-17nJ5i)5IZ$WgFuS9W0qUCHe^p zhD{wUY3vHHRW$Gh-HY}K3P$%F-jwG=nSnRmju{@$n^eOTYj@J?bel7n zL5#Z$PW)ko!%!=%PSemW9_PsE=x_NUjmJe1%$_(;JH`80Y?jA-&lojaHr!Qy7`rJE z7P#EQ6cbv-cBDZVF&meYb0!}e;lYxVXF!5WO~N&6VRs3!xo&`zQudgxsGtq9!-~? z-ETTj{p_ZrAd-(#FY%3>#Bt^4E*`;jmZjN+!~NM1#Hn+G6{~5_bQtY4qaWosv*W~V z{yD_3F4(CMMVqmcY5dRF+MwD&)Z`B0)r7T3)`IfIzdzv?(mewnvXu{E`-~%RP&0{0 z5>?gt>EFKVo_*R;zueBV3ex5F{a-Sxz-jF^c0<{58b~5n>*i~LV1d}p0u@`_(QZ^vbRNg~!zF zK9*Rsx?i=(yJ8sqJIjcv_aX&@2#KmI)HaTAJbQj3lu`!NMa_%bddTf9?1P5v>;lEh z+oJN|C-*RC9XR)^o#p}sC*~@*&XX>pF27_Ad#{O7M)T(WvX<*TEZn>haG8J#5FcV3 z#o|rr&b6$(o|O4uOT~;X*Schx+F)?vdUGdT!0x~Ydnww`4`}RcMVpr8ouwblOM$Zc z_k)gaMA~p-S6bO7Q0)t9jAJ?dNO9#i&jLkuNMFFeQ~lX{(*~?+Qg@SNhEZlhEo8hc z8YQjMh?}Y%$n|WZ{c}{1xnw7z|M?PArwHKJ)MM~R;boB8uW8@8&~MlDh9k^` zx_Ffi|82{}56Tecag)9LNZ5F*~h?3Ahz&ZnqSV8`k?=4B=iz*2oUhRB`l4nWc>?*gFBGL(uMr z6e6!!4o&qF;Ll$Gcf3+fU4O5hX^ps`vM3&lc+OQ`g3kpeK{|G;E(7iopZL%tMBVDx zmgtO)hHNLC$UVw9-V7rA%orpD!&m2#57MU&_G&YZ47J^UzjJAD zJAZ?Za&Nq`zsz&9|EzdiirW^dQsvKBCrB^(*oafz0 z(+zvrS>Azns8(^8Or=*b)-F#de;5)z&6=CzpcU3hsP=_6?7zTi>Nq_=LA~--#BJKK ziU_pV$ne^Gs4YKt_PR}z+5*N6O|#y<5+Cdivs!*tAKWeB9d3jh(Y;@H6XZN{4ly+Q zz>BF-)7=g@T)){HtW>^37}}$nW9{9CmuDd0#Da#mgvo#XRyhiJVlMZ_8lx<~BcRHu z90LfqN+tV+-&vFcb&|fsleR(TJVi-f>5Lw~<7wYj*%@T%?O7O?M5y z61*Q{-7p^xrffrV5vj1qs(1mLd;SuN2ue2RRG|8Jk?_~G{rV)^`G6Y9=Y0Y_+o#_n zB1X1K+Q=#IJmNy(Ou4Q-kyCwWr+?c=|KN}E4bH!=Kheg@2a??sxj6deR1s=JDva=p&zPFh8VcuA!#qd)hJHm#Mei2m6?VkI|3F zhpp$*81cz`WBK0cYKGmPPoZ8;fTn&1ejA~DmnKAc@Yzh&BCp05+lH$S%opxqB3?M= z*A3=Yg6~#Qt|aDuX0xh+PlQqIh->fkxN@O6wdN(%?Sd)%lf&7EanlGphuD+YK8S~d zk#@*lLwC5|oD3|47vLAM8~jm7hfdOmU4M{_rfx4JlVmn8?09|Y&%~6|eNL|(qO*F! zGCI)0o#qHr0Oh#&H9+z*O>mK36-=(T2S&fIW4HF9 zIOiTQI8M`9=qhQmq)=ZZ54)s=1$%$==sbOXex=iHyT8%(`Puk!j^)az-tKF2y_W59 zzWijfu=1GNbykxrqWc>kd@t0+jCS{Y`SNeQ)%cN;y~ADjZiFe_=D6!;SHs^5sME#} zI#wD90;w?D&9B7Ik4RZ)?tJ$CXS5awa%aeKsEq6FME;~^;l1r=i>{s2HF%=zesAQq zKod#H7hi#Y|Dkj#!Fkt%8p{6kxJCO1?OP^uaGrCx%lKT9oH>3@Kb95wcHL*^n^19| zzimyX#?x4lL#Q%HUU%+g{p0&<6poFDXC6J(yonu;i;61+*E7*Cxf>}PQGU$zKS=}K zTt)oKr&7Romc?($li^YddRN1F7SZk4;1+U#DnTsWRPt(abG>8L^lc+R_T<}yZ>v7ET{{PglV?;h`30eK-t zcB9?H{nI5dcTcm;UkOd!_SY~c4{NS=>);Tw420*ULFD1+S z!WZdoNPYPOgXr|oL4u%)+xhI`Gw$V6W(y4c&?UkziyH@&jFQ`ddO9NK9>-Nd*NtiL zVlcMFDZ6>KWGbxOB{;q8-MP#!fMJ6}HW5No!x|#g-x``F6`!{9D}nc&WlADq49m(CBT_LkfZl7`qxtcD^X0T< z_(am+e0MS0HloV2lvb70+Zard6^zOURT)qBFH;GfS0{jP`k@0?!wW9PZIWC%&)vnu zoI6FU7Ju{Y@nal5-nZcy>8kOE{$zPQ@vH+VHwv?aCXGwK=we`Cc}i=cdR@^Cgg!2$ zpM321vDs&T-9!4_0g;mL%-^UJ{wQ>giJP*vCKB7u| zL`%p&(?3sduX_gVJTL4x`1!wh9zDHj?YeJXk^uFYx2fOJVsk||+o$XOI0rxB)#K&R zv-~mA^tBc5aSmVD!7F9Q$4dn{+jr-S00Ix!EN-`#0sWUHjVe#6v2y9&pWLz-Us`;h zseqExaX`-3<&xQFBPLPKM>_ECMV7;_{m$pf?d84+%kw`x(+!`(o4$I$wW|N<_&LG8<6L-^%*61h_%Z>53nrg?u9Cf4>U`?xXBeQZ|C?5?%@ zwB_zTXp1fr7qQk;E)yq<-e5iAYo2dYmx-<}GX|S^`7f8=_c9)*-7xNgFyp&bwX{## zE2V~ljS&*Q3V(DXw1o*hAYnVsYR3`l^z#Q2s}0a<9B8&jP$8$~Y$!HD2741N{6?oc zw0n1yGRaKCHwy-f`XtFoD%y$Kt_9oEE>c}HOp0=C2udsXSyFs&R^D0!K_DcNq;f_p za^l_)G;`HV7W5?~X(xo$B1fOBmQyZT+PP5#eQ6^(GgSofT1=Ft*vFcbnz*Rsq?N!bSG?K~>dw=r&YxKcF`WSn zr2czYV>yUACNBPu`kaHNv)vSFq_CD+#3%0P!HVtj6eBtieSYjVy>nnv22CyjEY12B zlJ=e%q_CQ@x3I}{BN56&u{pqaj+^FrNcC5VCZDul;56eplXvb-Z zu$n5_AKk~Qj0%+N*DEYLT2h9b33v6EaJ~?f&$~haKn{!aDPM!S_%R9=L`Y zt%iC*uPl*vu!b2+Xmx8UWxn^&(z8=2~=X>jD`K=5~-a6(U}6 z=Berp96_#SBJWBwO&Sdx0Zp6vohS_jl`DYom2KnQAK~@pNP+C;v-~h?hEY!rGbx%4 zu>r2%3qok~e#}eZ+!)OUq`;<$d>@d8VNG9N5Wt^W^LK#?tqzW$XAjKFjNFfSk#|gU zGxY|$peNF(CyN{_Vb0_+>RKfE`^DjirW|4PXb0@kr zKY!OYk$1b9&zXE5qz13rU%6tOe^BHGrgJt7W@RBR-7qgDazB>xKS0ghv>GVCcQ8dg zq0e0XGavSU`krXfnPX|Nf7z{cjOKrEnKx@TVEI3B(sEo0+u~cy68^Z5{?VHiC2b6hY}KwF?aXq>i=S=-ddyy>qZY7m38OlA;U9-ijoeG!;E%|U{bbFIOCXF zzL?U60u*cf-<2zkaJdSb(B)xuP-!DBl3%-XK0g}Ql0|BIxF_YZ8R?m4py;L$Zf@6{ zL+3o6@$Wfzd|i(*KZUAqAK(U2;4P;*%d0!tpFXPL0p%LoxK8cRY^^pFD2l{K#gKKx z59e4sW{i}#{oUpTyWxOQW}g_|d6fI%{@5F_3BNyg&p-SIfEp*w&eV9nzdfKWvDox_ zmNzg3_+mqUVL}B;|@-CJ}#i zqb`&LBPl}1%!S(cGHI+8#0TnmN};SNszU3~A~i$@LFRQP4<&1s2VICt4;m{qM`=X? z4BP6~SKhzK&Df*ajr_dQUQh4J+j1~+rP1Zf9l+)Q5S%H8b*G%IvD!f49TMhC*+! zzxS;+lC`EZ8f>mwHFtYEs!nPr%~=K1Y>JsZY6)%+_^-V-5P}cI!!boa2-tl=j|1&Z z-0TaxEKt29^xOSwU5*iDNXB~^8yUo0U0roJ|6yDsh;(0C#yGX4zj7T^pc6`*W$N};K z2Or?1*F?}BUjifVP(oV(aXeud= zvz=Kj1)fn8zC6Vc)?owN&@@MacqA@?1@=#ieRoqMN8Y$*4{v*w0F#kGcs3hxCzG{l zP7qm&FuJQ1$59FV=SrIz2cT@y>CwNTlfNFP!rR7eLoN193Qmvj(kx4%rGF#NV1yp0 zmw`>`#g&jBB&CEOgmy(bPFSHMXP1bAj}vf0kQ#vI5=l(7Ncx3&0#o&Bl1BZj$=auo zyugWTI9L%qd8cQ{qNzS6R~TvVz_x?(xNHsrst8em5A2AWuk5^8{k>OTB`UNd&sT{7ri8BPti>}7 zgM*}l&9}U4_S@*R_=oX!5s|s2E1DkR5^~^v@(Wx zj}9T&c#T#Mw^R`BbZrpGKT5j1g}P-cTIWBCe3@%NA^g~y>1!V0xGcv8$?>Y3cCO)T+7q(B>}q0EDBZM!nJPE z2HQn>?LEa2Il^;WH`Wc8>)Ji5gYH`LpdmlS4vvT2>pZ4hm>S0FO?X2M#5u-*=hOFo zF8V8bITz@S*!Yg9jXi!Z4g46zjQ!Wt#!*81^`HW?$oaS|uIx&CUjZPThy$~AxAAZG z%RMV2|Ay>+@(mDJgzgtDyFtY?_OLbmj{S{=ET2IY2~5{?iieR|#*sXV1L0$fni zEvVSJ#vsva@M{xYTXM=7GJ^Cgv4)!L8%cfpV##7=$#v9UYWWt286i@&&9h2l5toLc z*fzIv(89e??6U{T!239GQWi5Nha&T;k7nEpvWdLlFQfdAyhb|z4zg$?kJoaifIi<@4O61nMYHUn?Z?#rMSF}OF{}OhnQbCdslgayqK;>`(56ms z-iz#~+XAhf-QX8O`avsT;1)=DrpF0;DH2i*3;qO#-ShZ+2+^Gs-~bVDAPYE9^BwtmA$bN-%+h%O z5Tfd3o#O>Xek#AJmSBKLvVF-q^*8lPNKkIXeK778l)DxF^L^b5%l-)7?|G5;X_>9W zhRWVeeUt_qX!wrQf5b5W4$uJy%76nd-w~oWl9E@Fl6R8nN8za(;VB3RKaBC{s1Ko( z7ZO?!E&@*`lSWE;9a=mzsdiPw#p!d+P`14KloG2k*Y0&#l9vi5*D$QQ`KTVi;!OL@J$X4Z#c*M;?B0=3tg|@{EgtvG`Dx$*LfH)hUo6ucjWpb&I`0xPlRmy7|Rhr ztZmZ6wmI`s`fm6Iy5oLzb$W%XQT|1X^yeP$lRR8|$YG1q9U26vvI1l(=cCN>(Itrm z>7Ac5wDy3jFZk%0aWZT%VN-=!3f?h=+%pA-T5NokjS+*8zC*e z1{8crVqIWo$BV?kcQdpB3?TO}An>1%5o%bUAK_R*eqwurJKKrw%r9=_y6~Sq67q<< zdVe8y|G*6p5QX!AaspLMP(R0`N{;WUd}HIjtBvxNMDF>!q9M?m;am<=!}bHjEDZfjlvTS(wQ7ehzzJ7x4WPo!*rwcsn#1w|E~R4k{ab=M2>XM*06+DDw-_uy#XY`0Z=l%I9pVDI%s(Bs~u+wL^H zM6+QB#FgvAxugm|JI$K+`&%VO1mJ^kW)WDt_5FeTVC!6IICfhHcme-x|D`GKVns<#rovXDhUW zFUpt4Kzsy4@36DNFH&*kT((l_BW@YIkD?5zrn1KJNSX=p6Eua@TMADq1@}Pv)>nN3 z|kpV2&Y&xc$VYSU>_%FgjhD~UtOm0fq__sSIiTXVM(dtHXj(oJ@uc(h^ z2ReCdB`xUg2M_;7t+Bf`3ZbtBqKx!TN*Qa8El8$JOX(JVU$wIrQt9ySd}ZuN7=>sG zlF_L1qW`<{vu>^wZeQG|obJGTN4Vb;=@wEjrD^jEN%I@Y_K(7~-RqYS;0)4h{P)-* zU@jSZKeb4pJCG$QWB1gAPStv;i|--il|yvrPjr_{^baZS>;$lfnV%}i`91O9^Kv-! zY>3nFJaK`tWhcgehn*}i!QTbPFH1_=AYWi1JPs9T+{ixghgOLt(DON~5HU8K zqW{kuv=*y32mj``>jEe2MPXGBF5>4TP80 zFhfl*ml{JEID?;7X9qgEkY8i`aX~QRBv2sl%V-dLg!MGQrJCM;44uI_A zIO!gwJ+u)>$~uky?c>#oO!m|72-$GpfB-Q(@0d@Q@A?tWqZ`~%{hb7Afa$OwF?@GM z3>X(nBR)9plml7#RtXddBka#(eo$z;v`TAu zSvsBlOc?Ju##0IbZyawYkR#0Qayh8*S9m6JW_GX_4aPlH#yuwichu{9-w`Ze9OD~F z^DBwoo$x0@+*u1B!s23hVn=w17s`6T&F?4NnmD!h5bfizj!7hsW}-WO0emZ2QN>Ru z1h@sm4>S7-RS{|^0N z{14rN1KL)rA<@m)uWpS|r)}L&shS}-PZSQCV6Q=75bUn6?U7zUPuxydUpqz+|ME}K zu$#8ixcAFOB5<`$c)%^G@0kb?9$H=dk*;t0BW}IZ(|1<{_-z{fQ7!XPFUeT90NsI_ z&hYk*@NIV#H!qa#M^ay?;1ZYXTiDl5EQcB_hn(%W_f8?g2U5a2Qo<+FC(zx9RW9|Y zimSiJc~W(W&kvKQSC$Op+dr6XP45i@YMP!N`21|A?~h>w)f6p;BBQ9{@EK%{=cP zBm$0jddIF~9C17fM}0PqVjh#@>^561o25gOi5DlwRE`H@a+Wbp!#MQid&JG1 z(t3L*(=uGGiN1Vx~JC7_xbP z#MracoP+g(FvvT>``6cdog7IjV*v#Vkz*x6yr$Pa{|vdg=(2&*$ei$VFd z_;=7~-|7IC_Z2yIkC7`rgmGnmasF1t>PN~FUP1bw*~3AoixGkPo5XhT$KE`7Ob--4;Ij+Xn;5Ut?{JJQ% zG+;rc7d!1nwABsuXh;KiQ1ENe_R(%yPD|^Q`&0cq`pcoM@|i(buQO^|q=dJA%FWtP zchv}~=Z+`Rk1V~-)K}l9Ujv^$q285? zQFx2YzAcoTTYiv)z`lqXFSU)&wV5L3v@~(PmxvsFpT8W=Uq6bllqNF~D`&^|T|%$? zez<}SM}zMhoWD@c+y)8kp-EC?$C&Dsbz%;;)tvCX!C5cIdJUy}WeW>G z;ZKLR>s;oT-rj5LQa~NgQSmW&-RNx>0pAx0+X^>k_>M5SfT_v&69|qE@*eD0%_y$7 z{}4E;2B}~4JsI7B3^#h__+RvY80Cj&RNiY708qaO&pA)s^}EPUi4-_>{HpW6qVkRQ zC>){|KKCQ5KMcAHynQ;#c=5TI7*?RI`Xi7eTK++5lHi zdDn*eZEO$^8E=AwY3XU{>6y-daU~dvtz{AdnFty9TU=fU8Wlbw7ukq6@CO#^Lu_OM zRWAf2YW~hGcs~*NMi(F@oZs+^r$^}2WKXcP=D!pD$ z)MEcgVL}&$v|bulznod?Qa*0ewdcloYlC`onbZE?#Vol7$|Yz1#mM!ObhP~jkR?yY zzT_nJ;)?t-7>(^nJ)da3dzsf()hUILoTYjneQu5+X9+uLmGN47r8M2^y{RY%+cs*m ztF|^%T%SD;zi6|51P@2HODC~$60z;^^*vSgtZk^!6Ed~ZY}@ost1Nve!SY6|Oq(0S z0)w5Wum@|&L)kHomQl7{;+V%E=7dW%?Do&g4x-&bie3TEl78>?dl$652?)Eh&@4#H z8{QtwzOZfw*bjVA)+?4)P)=5x*HFw%{{zU0P!GR#srTDuZ0zvC9si#CkaX1IayYDr zhhNCo^F#UgpZJL2w`~=s!M#SAP_)MqfysAIry=&zoz`K8M{ zBxi-?)e7sMv072Wy4OW8A&28^&YuYwS9%~D?Q1}JceYks;oH)L31+agRY_COPQ09E z)QgwHO9;x+##9oj#!j96((lX_MS7-@F@AjTYsEt5MEpSrf>P<_0jQuPxH|C3IEUp%N~!A<&}w%2D7lFQYABQ0ry~R_Oc92>E>?R0u&hu= zK!S<&wN|`eG#hLW04=2bWaLa?ADLxdW6m{41E3T_v6Bc8!^)KDu9(WvRgSq4$<#&LGwSdeM>>>IVUiY=pxs@OMqAz>X|@va#3`Fk$q!{9zAcX#77->-iYjnr3*jf!Sd29p zmyj}~gcj?^UgF?TTYP+g;0?TCO|O`X+T*=Bx5j`}GgZAh*vMgfTOCUL<_%B?0Incp zmIKD0nGAY%SKKHs2P;Y?_>Pm|g#$6e8Yipn^V9aJ$L zhvW@h=&s+s|7Ie^@xb)Zdye;LXSlYKl5IsHgIGIJ2JTI{lm5mCaap%WBMDkVO-gr%#iUr5_;6~G4X zAJVJvR>%)|P9pBAl9I>T_X72gQ|rSQHzE@GF_bN~e40Naz1Cb42p3^oFK{M(0?_f1 zlC&kRzzCB}mEkhm0q6)cs?IS)fE$9}=$STp2;o4~O`Nz4iAd%D1ZV-5{*UceFW`!_ zcc7>rU=>07wpTyC88OoT)ZAUc9W~=G6@}t8ggELeYtN$xr7Cn$3ri?+8OqkfysGBN zj=}Ior77|qhv(^12Ma+XPLpL$cC7nXt{@QEDH?GfR=Iuyk}6;z$>F9J)J0s)5N20x z1Ky}u3dVyTM1ktNSty?^xFIT%bvD^t3vQMIZe-jOEx3pjuDu+AOou1GZlOMCchx|p(#e#JES}cueVahW~wYcMR?qUhx-8ge^ z8AgWe-UjqFD_tn-RV|0c| diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 38a0971..b2e5e88 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,23 +1,20 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618906802095 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618906802110 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 04:20:00 2021 " "Processing started: Tue Apr 20 04:20:00 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618906802110 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618906802110 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618906802110 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618906802314 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618906803173 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618906803329 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618906803329 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618906803517 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618906804204 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618906804392 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618906804407 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804407 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804407 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804407 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618906804423 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618906804595 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.005 " "Worst-case setup slack is -9.005" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804611 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804611 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.005 -699.357 C25M " " -9.005 -699.357 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804611 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.425 -0.425 PHI0 " " -0.425 -0.425 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804611 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906804611 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.248 " "Worst-case hold slack is -0.248" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804642 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804642 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.248 -0.248 PHI0 " " -0.248 -0.248 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804642 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.400 0.000 C25M " " 1.400 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804642 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906804642 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.412 " "Worst-case recovery slack is -4.412" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.412 -127.948 C25M " " -4.412 -127.948 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804657 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906804657 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.858 " "Worst-case removal slack is 4.858" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.858 0.000 C25M " " 4.858 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618906804876 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618906804986 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618906804986 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "278 " "Peak virtual memory: 278 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906805220 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:20:05 2021 " "Processing ended: Tue Apr 20 04:20:05 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906805220 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906805220 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906805220 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618906805220 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1619049723504 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1619049723520 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 21 20:02:02 2021 " "Processing started: Wed Apr 21 20:02:02 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1619049723520 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1619049723520 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1619049723520 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1619049723692 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1619049724707 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1619049724910 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1619049724910 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1619049725098 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1619049726082 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1619049726254 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1619049726348 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 12.419 " "Worst-case setup slack is 12.419" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726551 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726551 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 12.419 0.000 C25M " " 12.419 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726551 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1619049726551 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.393 " "Worst-case hold slack is 1.393" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726567 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726567 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.393 0.000 C25M " " 1.393 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726567 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1619049726567 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.300 " "Worst-case recovery slack is 33.300" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726567 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726567 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.300 0.000 C25M " " 33.300 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726567 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1619049726567 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.146 " "Worst-case removal slack is 6.146" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726582 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726582 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.146 0.000 C25M " " 6.146 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726582 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1619049726582 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726582 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726582 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726582 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049726582 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1619049726582 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1619049726739 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1619049726989 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1619049726989 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "278 " "Peak virtual memory: 278 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1619049727395 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 21 20:02:07 2021 " "Processing ended: Wed Apr 21 20:02:07 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1619049727395 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1619049727395 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1619049727395 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1619049727395 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 3578a354cfadb6161c10677aefa7465a512a78a9..70a5c98b173710c708e88f371f218773f4251903 100755 GIT binary patch literal 15879 zcmZv@1yEd3vn`AS2~GmR-QC@TySux)ySoO01qrUfT>}j6E`z(f`#hrcTb5_I89!EcA@@OoZQEEp1E)nOT?# z8QB?_m>HNEnOF#wO`Y5jaY_SG9PuU|z4k2{E?KdTEoDX_XcC!my!c-bD@ht7}=O*>l4w z99nF1O>NTJx^vp`S$Gxwdx(uc5HU%NgJ5yNQIYhz0qjwP58xt#0hh=Kn*=W#Fwn;0 z0gxjp2|LN*&dk>)dqb`FYHF%?C%m=9UgQ%Uo_~|}cTy<`ILj$(9Bb z#z?y6c%CtKpflk)l-6blLR__Uil+XHdEWuMt`?F8PoX#WdKlwCdqc<_nhfh+AinclzG(X`cFBb``)A?~knl99$I%NG zL6M)|KD|WtD->U5EYv>Iv}Kb(+RyRmq6+uFoUPy4|a{`Q#= z1b4-gUHbjdodQgcegc^SFt%3P??S@8f2$z^qJs>U)?rGmR~_9MC155c|)(2%L%>O1!~zg0TKVkM|2m z*WH$wtoQ~MDs-n}@?Viy!;2{t0pue*R1mY6O~ zSGl&CZAquEPYEibIZK$|xx-K=*-w#8d7)zk%Uuvq9AYUc!w!NS-;-S;HpN94{$c(Vcf#HGS<=nAtDduH${oJj}1AbRrn zCa#+vcFtm@+Xk+(Z7%p5G@k)+8zEy=MnFa`OqyH#^uU*kOUWkW@BR_cC1AXAxiXWh zxH6>pA$|((b>7y*UjCZelgUB~{n4fiMcj8nP4|i9F!PvkuD$1Zi|h0qy@+K_k-UEGe8Z~_I8{K@Ns2V?`uXCO~d zIViTYcud+G%>n5UtwL7xF@d!)C84tCk|zi(t=aK(^y^G|!%^nJ6}qkNLZ96NvGTIa ze>!+Oe*U4c<)kKs;c}YTsr>WCD0&%SDwfN!E09V_39%oH)&EXwO%!6F#C25nkfLIKQdo2cOE z=wPN1)o1-eU{ep3rMr`_wKX#7Yn_Um zcgN>XNP%|HcO7`)%I6}$93a`o=4Fl*WbNY~-R%=P5P`DqpHSWY0($D$m#_=ut# z_WsWKLbcE>^BIP*==mg`;@QY#Ann&zq0S4ase#0}<)J+nQt|U4^Ef5G1b;+nhvz;M zXG-yiWGAkrpDg^Cn1_+L^ud|l@Gsj)1Uj1LPt-Eh7ZYOvPNQhLD4HlZ-(#ShYN8>m zW76|RB38vtxVATl;(i-(#%wC|2QHHI;uHN9516yk!OnkXI(v73D_*UakYO?6{Nt}5 z@{{GbNOY5oVzt>x274=0`_{1Lv41aP-DlfMK?gCaT*#4)vJ$#)j#>m zMmZ*Hs{=^Y6S8DHZfozGr&*KjBD_VhzrT8?R!p|PR!KccL?#zbZ(ZI2at0+_8{NIUBhTZTY)#gReX41{o#Qm5;?MJf z5Zx3G$G`4DZL}A=K=T?cSgm5cxF1Svg=K4Yl zddVPDtJ@>B>p$S5SPJN#5Lon?yPo&c7^g)UQVXU*`6njdjke>f#;|8QI~-u{SJ5n6_@4N?tP z?;eV}+-yV|E-IukLJYEwL8d-NmpodzZJ&DBDh}kO?I~gW9$(b(*0$IsQr3YE$yXyz z-|Zf%5aL@v`05Epe(&Oa|D06JXC`uyyR6%lB;wyb*(bh)Wohm$0+EoN4|@@qp~h%_ z8+nY#Uh9a&t1ofg$Ori6{^Ar8-$clHJ!BNRlo&=pe?$w+~WTEpjKqoYO z_wa;A&=I2urjYxmatE%=!RqfsvWM5~|8v9MVS6Mzapm^J!Mh}XxF*{?>uAoya09zc?wYI|?|E32T5<>7Bh5aK$u2Xb>p zr&p>)REgH22WA<#K5FLiXp3K01$6Ub(#gX??h~fEOMUk0@~CM;bx{5~629LZD;~Lb zYE$AV=wQfm5x$B$WHU{GPd0NiXPJn9Q=HF)6ji*M@k{~+9N2yi5BSOJWO%$(d_RJL z|AU}l1-Ez=vI6G$3vaam*8v$p-wOfYUgErnJOk{+`!Oo{v7@MG{wE1fxPA@2_ zpMwV-Y}j&y?f2m`N~Ix=Uq>Ry)-ZD4krnKSB7>-IMkd!U+bWLkZn^N03s z<}H6kKqkWzKtBFEb6iQN;Pbd7kW>A6KeI}PT>W9NzD{2 zo)^GdnGRpxa`1Bad4~LSfll&SwNwJZ(Z^{;V3wlns#nsTS$1GrNp-MQ@K=o4HvWwR z9Lz8=90~GyyqypIsVqf7VW|{%#v1T^^+>1?&N~C*@CLKh%rl1sDFz9d;!wqsM*jix z$_T#9@)x<&Vl~IXot{+oCl)dB?Xw{ghfa#|bNP$WH>NDfof1Kp{%D0(J<8#{D=3f1 z2M4!W|9pW=(gx=9+pH_H__8Cvlg0u@B;qd~=3g!dC_{f!yLLix;!(xh2a%W+KUg77 zwYv2^28I}m>k-R@x7GRo6+F7`$1x_&yBRxs)YHAW;JHC6^Tq1Qtqed^dH*A z!^(F7^hp8m)R@LZ<>}S8mZ~OtfwI0^S>$smy%!U?Wxtm(0ubh}pDryNy13F+r#JZj zA{E}*38zfdMO*X6;r+g9#y_ptaYfEK^vWhE=ChdF)?Rt`EJV_S6sR^rM8jB~{RFM0 z`*ka+bVv%l2GhLVj&E?frj!)XAd48LD)d~x<=Yj!?Gc#p@1s78*K2IQMkY^ifo0eZ z_|AJ(sF9?Z3v^nEipgXMIuy9RmTwx$JwG@9Rt`&VAfggv`wx)PBM- z#AhWz11)_(NEIB8i(yIdSv)amNAY6XHs&j%osVX{llWvIt1OV7#sQ_(cSgFHAf8$m@0!{Cx%yWv}PPh1H835 zdI~1pLbT^OJUDl8yKwl^diWXBxu@;Z6CB1HhtWZ!*Tp%+dM|pad3c#*9UtA{V8h9UL{!U#tM58lk=_jJD)EwFG4{W`#xRXh3 zdzWWY(uF0e0IWm>H7N?hNhJtq#Lzq``VV5{$odZk2&$>{rc>$-T)8zsd-_AtK-q4#yXtF^Ve= z^2C)TAai8p6Yw1TJcoR2@f59DqeU;#r|_zzSF(o`^@BUEv~NtaLyu6sbSsV9@taDD z=I+qvS#zGEPB1#XiV>~j8my6}HLT0Mm^P7wZT84HqANy%si5EeTjdy zu7c4|@?D-Rzjo;^js?2_PmvP=O9naL0KHGnS6X30R=)UdxFyN95_Ii{)r^pCOA(4WMZDUxAuQ7p#%)yX7^ulPo5sP5#0jZCRDBHdM;8N4hl}hjA@Lr?c@u zjfjvv8cp)EFPiQlddfdwTuYXd=HQ#L>Ax{qW8k-)mUwN$1@S;KHD+kDPA+X$GHQi! zG|9b{3{%HlEIS&NrQpWM86+)!YJ#57mkm}pWNJZ$!L#VsX~sQuVL1?_oPePf*>CJJ zJ|cE*&M_gF7|_&dH~DJMsCL<(MQQ4v7Qw(N_&6D9!W32s`BDoYP{i64Bt|;WRvp!D z_+yQcDu$8&Y~{w(TE*QL5YyS0V~v3U>CTzU(dh8i>*}RCH7>UpTh2aE{o*xc2i@?B zf8{jq+FwSO($_F+@NSxbp+`$+^iNyGh`kh0HB^DfnEI~j@YKXZJ)U6~W$00{`4j=3 z*Xv#8(Kn!M3(7j=fL}bxGTNhReC?Y-WxX4uPgLrHPKR5%@vOws>ds5fxBM`ziF%S( zVRW|a?TUoFuoX7*&WhcW6hU{A`34b3Zz{5dpHWkXx25=S+9|UMWcBHpq`!kSe*ZqF zJH0P99HpsGuY@U!2B&d{lWnmUgFei0BQ`S)aaqLxPxZcQ4nEny0Z2*N3}48o8$n*;-?|>eEDclDHa{QLwSikYOkla=khi83y z`s*JR*AnL~!m@I9sa=!(p^4}d9E-4I@CNnJ7qdU^(OY3B<%N3hQuz4ajv7A6O4#8f zRq}Z@WSV{fu3I*=$W9KIwXkoZ-@3t6H7|?hq7K=z>depFN#3hc8D1~TZZ7S0dYH{* z4wAgG%pDxELQN`_#~Ril|JG0cqpJf>8*#w-1mI!mcsZDKY+HS8vd z!W741f3KfCf*>eJupkKE>r={tdGQyp&FqkH;ldDka(z66X%Y|XXu_nUPt_dC*cGJw z1qjF2H#yp%dnGSry|@8-_hMZxw4{NRMt@!L z6!|S4hu(N`c=~iCvAr=1j|!!RH8UVkb$3NSA$)TkpaA?;=(dXeD5zttuA1>`S#esL z06YQ5vM4WwzP{F4o&j%|L+e)QXvmOyp0KnP2X9DD-v8N%G)K~TCPMwP&fVwPO@^Gp zDouCfAHoj1H|vKW9S5*1XH((((fG?~jG}?!-C59m2$2mu&6${p2B|MySv#6D(l_S?YIfd@ zb18T6%1)^Rbb7yK<^*;kE3oR;skz>q_g>y`Ig9j_Jgg;~MD<2o&h|EqJDZ z5O?4$z_^A+hO9ygRnlj~3Srq^As%}}ohu9bTcu*-s!gGtqZ0gsg@(oj*QjmhA$jeQ zZ1)9eI;};4D!$TLn3{^Ah6c&yZLMViM00I#xs0s?7ADFZ(9YwA$Q1&T{Jf4i)oX>6s7>4C$i2CK# zuK{)qE7M1QoH^XSza=ylXxYlB-BxFh-fRS2lUvc-yIPS}?Ou?zBBK~`vN@RP4IMGK z>a?t;I+P4`%T;n!MYc!Xt$A7?=pRe1C%;gZT9@Qb{hplP%#XMlKzA5Y))htM|Jll2 zq4cZOTa{)Hu6d`p(uhG>O?$6sy~={D%rqcS2RxN`ZpmFkP&YrX!+nJ_Xj%99k6&YI995F*kHzRMDqrvqYp`=pO{dY18 zPp`_%*_(-k>+E<4_o9ZxQD<(&_3sPXC~9;KhI%vJxyE$#G_H4TNUede@$Xqyu;gZM zIJOkU{HZ?J-wS6gfQaA~$|T``D{Pq&vuQRGtGKNX0_Ig!0S|PxGi?q33CpTXlcr3i zWGTb#J~ZKo(&7hqE7V(Sp~U?J$y#A9^p8Gn`X3O@a#=0edJoe3UY zv5zK=CsB?ootAv}V_HKzeri@91^8JgUz-NIHOK4wRQ6;XWkE8_+$f5nin8Ks=d5Zm z)TRCu_1n++;qqi@tc`hE^`K*4CMgU$=COL{3K!oti&Tn3rTpS_wcL9Fn8`k04MpR0 zhU`dPD^g)MD%~6@+u1p2=<4X>vNDS>w(~bmoaPWw*TId5Exr|5zKCvGRrfak21xIDy`&H21d~nfQKUDm^w2r7l|6SP@x;m95=DX~FcIqOhFv zGi_$2oT#jEA^qz{Or(rJNwQ;=yMMr0m5Vn_qE4M*s?PQ7_* z1j%MH9W5L61{HEHR}v?1ai_kxlm^l-6}r53)NK%*da1S^+)a6p_t0xay13}J;Iyi^->HxHgx3oM zo+#ah${(}}j6>6J?#h9=h(svPq9)OcCcl@SGHd5>e)!USW$yL-#pBb@24@mCPR5{H z&myrT+IrWZD@G;0KTX|g0c*}~4Lv)j1V1=j8HNp+rYI(%JHLW^6de|A7X4jN*VcN) z`jFY!hGlq@t(UCo$;p*!?m?vOC3Lp$INE}XSQW>Nyq|6}@waZfd~U3`K!L065!$~E z2W}I2Y7{q}6z(Q`?K18ji z#{$J%<;-23tF879JLORAHmg|J_35oQ5Cv+}g+3APCMyJ>kbct-gjY8Eo0c8GdZq_7=q{)(4B9klo_xP zZdh{pGp5z1Z#OBXc?iH>{mG6!D)B?Rhm4>NoAr`H*UG}Hn{tNg-x3?&e6jfcx0rc@ zFSuFKdaWg272STMivbvpVS7LYUKm|vKWl;Xj3X#edQM=`@XyA=i1>6GnSsEr?=esi{JI3|5i~}OSk<(^Nz0%6Rt?k zcODW~&lp%E4}JYT)w+fuhp{U7!>z%B zau|ry1(is#$O5^17{lRg%~i$F7jg8qqy0ufKh6ecqyHp zJZ|tw)Lc5ARtxD|HPgF`O0Knl{i$KvJGTSH=(?#sUx~Jbv3BN6-`Ryv zslTrE=gF_Iw-V)jRV|}Sr$vo3;w^P^_e2!*?-rp?h;_;DvVT;1yE4$w6E88?`AMo< zCx{bOOKqkrJjcQ}Hj-4xvXLZk1vZBi(s_I_3T%8Y`HXJD@(N*VcD)>L-2plyR+ul3 z0F#99E42(VJR6S&&Vp=>Z8P4zxzx-fTc~W=;jVveO?%z4Pc^2KImS&C-q!o7E~G4R z4qdj?XE#n*F25ztkNm@>^LI(^LK%@s0$v#PWA(QFr}P#$7PbOT81?Ce>AykeNx6Dk zA^a766bgGQTmM`7MfO?XXq{ktsH0`a-Cfzd89TM34}C@Pn2i-imqG^zvQm z`S*@2(1JI53uS^b@T@m`DE$r&w)0)stGy_w(=lH9NAt5Fin(x$t|Wpfwn5Fo!duQq z`v%b?KbV7^2OTZ><-ZF+emCewL$Q?d{$@~xLj|3TOfX!#F*`hZmbAlL^yocOSP`SW4>4?cWA%YX3Z15*8i7a#C& z{KNL-pY1<*@Xz)i-1%qw4{rRk9s96d`DgnNF49(v{90(o>%UI*n!)m!y9|BnRzbvA z|19plnbG>nqO{F($?Q4pn9v%w&yNq8-D1c!6VyDu8VxguMrw*I|_lQC^BX%HH>jNU7N~8k>rU zW%zS_nSjmE-BjrcRJt5s#osx88&~q*gBZG$zSZp*n8T3zY#+-Kj`|_Hx zMG8B(Ob!(kMTHlN!!wr>_E`uxuDPxePo^I@ugFV9asg0qhEQr>`Jn`bY9 zd_0pKz>~5N2yTyNc;O3f<9jARMlHo&g3V`ova(^D_aEK^ju!p~#hnGlrkJVQ7VN%? zj$kT?{mVD1NT_%EifRYF#A5k!ZM}6X=uXM1 zZQdN{w*a?8NUNO4737jYv;8wjs|`r~;Hj<`zr(i!awEik>`}j?gKVrJk*KwEG~fJi ztCaU02>>^t`ByKe8lb_mbeEzJZzYF+M4?k^ID_q_AHuj9Th?sywpB|w%LnwO$IMZP_pQ*>wi&x1^^yF&SJpkuzj&e3Ztgj2b!Jx?_e&eI zLY>=Y9DFhZht=lER{KY5bxP3opWMQ(NE^4wcKsH4dqcKb^m<(?&M4<^~SeTdSu z-}@j=^xj6p^+$tkQ_3nDc_TgPYGA)L4U-0FkN1T}H3$?KM)Ef&>#S&%%IX{RUuoqb z+ckix`;#ebSxvz-sRfMiN2s!_4_tLuU~J4Eqbu7tB!2UCz=3MUd(L-N(EH-+kkQio ztwwD_lLiALV$LvDHd=JI51Hk(aOM;PPD`vqCD-1^FcNfCtYAgr+-U59Uz4Jz`Kon5 zXGFVWnwpYX%#85VDj%!!;hBUW0yFlS&!^`WcJ;=vM#q z5$h-#baiw-f3gTz_X)&72`sxJh0r!aWz5Do(_2cy7|#z9KHad36fMyR=*VMS38-+N zZ)&7PHIx+u~h4Y=)exj`jIu*Oq?J(xuHmuUD`j^D+2lXlgv;Lf_N( zr!7?jfgPa5t4ZH**k%+LQN70&K$%Xnd$9Ax`rF>0Pi5+}b`;__-3=L`(aW_0s%p^O zCF4Ud3wGb=?b16%sYl9133S>to10zRuu`S9{uy?Qp4+EL$68^1xo|cmFljTyG_mw5 zw{peUFa!m88fNIA`Z?onLXEauj;Q(x{t%j$+6J|(7NmI--|m8xW*@uy9ksLDwX%Zg(^)l*w~%?0 zAT^n<)^c)34m^%w)}0Hr1)aALMng~S>yX_;!KX;kuI5EHpG`PuD0jQ~(UPCTtelR$ z#FO5ZcMR=140FR)l>5WiZfQ*H*&`Y6@R`&xyr=zkL!!+G!z<`u;5t+dS&fyu#0Kr` z{)mF;ElO(n@*im|w>tj(Zsl%6p>CK1dK6&NR?0J&tW=ywKL0(5?3r+xZdItVCtTXr zL>gp_^Tf;S+oR@LEK`eIyE=}y!`5PW--N`hAwR=H%`(Jq+W4#ugEcIpqrg_OOS~g$ z4FLiFcfTAuu2LtY!$DOrkj=v3Y&d%Ziq5PrkwF6sWj93AR9v=Fr=?^ijlEbn<^zmQVzdL3%zh;b?1oij9Uu|aqk#=#cMc9m ztVan0M0;p~waSU5U`2r`!A=k(;_><)j(U@S(57g@g67;}38sZmM)+$%^`DZwe>S#` z-Mdl9PKIfAQ`~jm=WCIjgwiSjJB#?0+D@pom=kCfSb_sa$Ru>Ah6|c3C9~+MH3+5} ziHdp1m8%k?Arj4K3D|cU{fWK_r(#A4rl>fs)|be8JETt=K-u0tI`=e+`h#F=4wSg) zuVB`y{U1fNXXr2l7{96b{y`xx0<%{$gsORkn8t@oPLMpzm9(LihHl{0aI zbmGn{5F&4U7B$-8wt(NeK~PmU*3O{07Q@A@4e&ihTGq-f?f^<>_T*PRd0~L$4FtWF z`&eTiJ7a(d!^TUPI=w4!{-~ME3*5Yk*U~#!K%}gXcq7Oaq7S_DBs4nKic|UcE>XEd7DlL#DhoDqL+JCVs z;Uri`u+6MguW^2#It4U9c{QZLZa~~b1SL)%@fNGJ+_?$8Hu+JWH}>C4s-HjT0e!Kt zkb=iW`%%?TfYjY`b`IJWSgZ-eCVJDeE~S%-MR%FpRSQp|vpY4^O1(@KuljZr_okfo zN%CKf1hk$^c7~obY-`GvpdAHJR2m%bOE6vQ$gA1`3%Vu?c8D!_IacbL*WhF(F8j&Uc+?p_nwof9O{5P0j&zU1i4Ku^a@$~I|5 z2`|vlH=&LPJ@At=MWdj>M=YyR)cJZIjvopU2UV0(fAM{g^qJ7yofb}#p}qNI7Z!}@ z+9`LMQG$uYe93PO^&>Rh8wv>5D&TcdF8+jK2w6$fThS9g?ej&G1pKjVqrm_-UTa2~ zSwuaRvUwJ_M-%b4-V}XUO7wjJ?e)T+?w|vEy7m(0<4~;M%ozW^Bm!a3^*`n*O*$Br zLt^%~zQ^E}A-ivXN0=0n`|SM9=%S#3e=A~;oS%pl(#gd1P0a~r5Y^YAjtBKq?Yu7T z6by&=C~e?PYc$EzhS`A3XS7s9QbeBWA)$&0=>dzW0RYt0Rjy`OcdDKaUiNs=d^F1% z^gwo&>|-}aclWC91B@SWhwb$8{H3ic?(z23c;TCZ8DJhUPtU%8=2R)Ev1h9-d1s;^ zla^A?cdr!@HV<;KXp9oNzkoDnJcyXLNNih;=+{7rD1{~so8@tBAQKgbJUcNIK1Wmc ze_$x?|AkOE{}&>TuB<>06s+(rMX(Y&JLBLcIbEBOObg2F+M(4athJI7KDr_k@=((d&Z_GYW~E&?$GA;IpohJKjFe^tC`oRFg%-p( z$wyEI$N>^^4!0SJ=^*dRR^glA!v~DKV0i!s-zLy8S!viR>)$l@M<5I$she~_b8`n7 zIMl#R?s#ev)liguOuZ@w3l?;r=k(#E*aJqL{SOvuTQR2$J-|dj4pD|GDn^)XBUDX|^L}J*I_p_G@^1-<(@@R<2Vknjhw~qsKgE-O;8OH*g)JPQyMYLC!2xr&2d;=8iVlSSdupvldl1OxX^E^&;p28HjysAQ%Dr zkLsaOn=p>UKt<3@Sq!&4J1E(#4|)mm02g2pNB~H}4_ZEGAXeq`?5JeVNPl7k?W>zE z4}yJV>;ZGTF!Eq}Vmew1DrV&ezqz%TdTdoPjGW|Te;Th$NSMR4|3fcx7>tk!0&>v* z*f4&P4vQko-Jwcr;-s>HTU0JU`Gv({kfQV4aQ?6avW_Ve?i!uAy*djmE0tk*G6l{L zrj=n^2bp2y|Lpkxsw|od{6SF~fLiuRs~JL*QwBCWmFj|)H94`l2b5YB#tlpLl;*x- z#LdQUlq|>Q+cnDnkba&xEark)`BCy$!vBlR|FFAO#PRJHDCIJ&;UwJ!B*23B4nlZ# zw4QL~Ss)hA1f=+VajQ|9h ze}xB{@FGJFMd*dPqtm91cFXc-Q55%M%~YHeWy?yH2LSA?zu|HJqF80WnmU(@lR6Gvh*8?WD-|e()FFji1la61^2%UkJF6Ze) zh}Rz;-~vkkF}>*xD-nK1M2Oc2ni!IcH~rzd+Rn&P*jR$W=CzVUL&~ zNkpoYbrnHw>Ayk}eLSa>D0^YFksCyrO(~NlhU`l_vdJV z2v!BJrbo=NnqIJx62C{xKKKRZ0OSUfA553=3R1v;2cpMK1&T5}x#+EsXYEm{qn9u5 zxOww{oV^=UAu|;%0m77Pc5@4-9eo&vHK#0hSBh1!BB*zMxq^ul8xD;1q2OK&07O@G zXrDYi%rl>m;*ah?T4e)e_Md_^qPZ~F*hhz$I_C&e#NRtp2ZV{nx%bYGvX;dfOwwhp@A4Gx7>z;e}QGMPD?1 zc#3dFD2@g6dy|VI6!Q+p?=*kJfOhiq>hl*GLNdG?{+t_wd`Ze|oy}P0b*6^O(dbOn3sF1EYNRxCKXf zo?pl22&=7ZNuqDq&L~7O5`TU;33AB7&XhxteE0K^2R?Kn>MC&8de}@U@26TeE+A_v zYAxC(03=8Mx^{cdC}TU0r$V;bGs6&Twc-VOs6Yf=)AI_{n*RnqOjw(s9_3?9v z3FO)Z7&wi8kTN4H<*+uK)S&tElCR55t^XG6|F@ay9T%&63q(fiu1qdNW2Y30RM`!l4{L3|#AF+kk+KzFui@&Ws(oq>0=rN`cgLu&33=SVcd=yTac z`L~PV?8np#G@-nO>-FKvqh?Y4Z`UlDj8s}VesB059_hn_AQ0JJv_Y=rsLBtcwNF3jnOwH zKhb4)s=vf$M7!$s9iXX{|C*PS**U8|z-fH2)x4F}5O6cQ!PygzCGJ<3l6^9>MkW0_ ze9G~#1ZOZVfXlJY_^29T$wBPr<|@;M8roBjqe%Hb!I*JnKUo`UMRW`F?jwwihmcy~ z3-PEbhgnmr6fB2dYMPHRuKA3=Z zcRc)92hSwTOdLcm<0&Ny-2V$0!&`_`PbjPD%`zF$eRn-}hm62?)%$64UWUI;LJU{w zjDy}{GzA|EnV9PPKPMf%Jb19lrFGF=Xxk}SbL1(!)#FU@f);bB{^S@O)6&j%H@|BI z5X*R%pux?YaeOxfzKT(PBr*8AT)_{uXw`mnIeRtcijj{(CaoeHa)&H40z+YG=Z6UqBXq#Wj8zr^6Ep1BAQ`FrwA z91JKgkB_|m0mg8wb2jvNrDi~)N7ZK%2{+it`(Irwq9l5NLQYquD%0=cqwy5A13zB+ zng7D2LZA8t|JL>8HoM0Gx$z@G1BDmTQ~w<6IeNmhp2w|aiklvuUNd~6J=&g2CF^Vf zxz2o}6Jm*+yf>0A`zV_Bk0-Hf$%uRg+gynP;!V%Rt zke?*7Lia`YvfsVSe?k20$Li{imb(`(lV{_8+=<=9uA#UG!$e_K;KQCf;nSo2TFdp5 zI^(V7L(+iX9TFa(TqgEwf5v+7Fgj7V5;rZOjUeT;-p!e}Tg%nkt>VJSm|sCIs?~w8(;$*j)$P za%ctGB??Y$AMPIj$5`0FQUfDSa5T0%$7nO~>FNX5>w8B>#Gukm^F{EV X87|k9gG(0{p#@*XKK_&a{{H^~G(^HK literal 14355 zcmZvD1yCJJ)F$p4-2EcK-GaLXhl@jScXti$?!kh)I|O$P9^BoXy?O8byH&eew`%%3 z)8Cx_>$mGj(gw!N9;O5y3%6C(us>)T)~}I$7A+lCrQdGBdJ}inv%<8|fQAyqW|W<|m}pMglCW3A1Ax@3)O61m*cFq#gARS_%~_E*%edB18BzM*D`UmJ$$k5n?0`S_CJ^d^{^)}L=!(BaO`qu& z47GX4IQ4ao@Wp+7b`9(TZ4ZxG;!qTDq_iuZ4KY?B+QKcs7jw#Cz(B-{in!J zozC1bfW-Go1J?F;@A+&Pvl5CF0<>L&KGqE)WH&DzeDV^JaSe~0z|V2LWKxf(_ovT= zeCI?)+nd|(+!1k4&6e%Ds;yjm0fK3 zu|i!8$euFOxtw;iX3LK3^(K8pZ-o#mLf`?bL&Z63;Khj>yrWIu_;_J+*~}LsMB-XJ z-J<_%u#-*c=$(>Z5YonSFE)>CyW0pJ%nYx$qZ_)aDs-pjPwexw6l~a+s($)_JCc-^ zrL0}yo%Ftr5BL_Hlh`&Ux!Wihp(qMw&be$xFWd^^(Egv@Y)G(5d9&Tvvn*E9B6_#!g1XbqAdu+56j=8Ie~Te3~Aw*HH{eE!8FVleWMd))AxoJ_v{9D#=t>|I#Q zt$|xc(WwL{h;gohgcpHW*>QJJ7=n7)gc9o;dZ=0?ekaWM4-)N5uedZd_{hDBF2Z-F z<|H!!!qB-OX?qNMZ_3ktYa|#P0v`L!`_MHl2ubtl8C=p$q7)_ey!M@qX!Gxb0n6AG zE`#{m{E#q-t*fg+5~wM0f`NJx_|*o2fy6<}miPGlqN;~+JqqVWT_z~il5?5pH3;I% zKTnR3h2=16T5ycXOrH9rr+2c)TDW>Qp!8RQ?!$i1oD1BF4BO5kzmP`P2nMd2%i+yJ zA7Zq@Dl#$`YPPH|V~V+zBkp57m-xG(DRwY}u>|?#gucu?ff@6|c?sQyYJwRO525eE z^9HTM4?*@Lo!W*r11jwH8=;Ai)8xF<-S~g3o`tRB6gnD28~Szfu0T#6X3BXcDig8G z*@g0X<=GnVMgcsuHGc73t{`M|vHk3HO^oWKt-zy%oe;QLzjWivm_)Hz2z~h++b3w^ zF1$@Ans~%`uThS1UuDZ`OJGkQH14|T=Ls`qyy1^iV?Iy3m9VnCv*b2#iy14F5rssu zSuWx0QnOv}C1`Ac=o|(NOry6mHp5ek?X)#sg;6Vu`nXV{S!cOC4415j|nh_`2_ z{lrd+GqIm82>G;hirni%2&G}>b19W`ekKwWJ93?TN{@ z2f;5FX3DayEz~v{PCacTgxaZ_tvX2CVg_E|1wT^c{KsTv*qps1n)sYS6YCAhlN|`mJNO8J75k}bb*KULdvMDhqVxNf&v^QmnjHRQ;Ad>8DU=L; zBT2sxHnW$KEp#R~dm9@Y0-C2eO@;P#_%vq2QN64?A+1nccDPAL41*k8;pR3(-!J#w ztEo+kOg1-t0VgQBGSg?#?e!a&yuML#HFLpT5>UbhFZr2a-w`hin0j<%Rbd&1v{x_0 z1+Gi>-1$8WHxs@EZ}Rw}_Watz9hZb6@|!%(@nGu_;7EES!n9CuB8U?+U#{?iYuzVq zr`^IuO0nCYGsCYt6`+)iz_QLig}rlpAZw9{QRnRSgDmNtwz#@RD0`2!X>4Y+O##f+ z+-@7xedyXF(&5{y4ZTqG^8GH0o^YGBdVJWq{Q4b*oY>h#RdSW!t7{snxw&N^)l+z` zK_|;q3r2;4+?Y{lT(<%dpRgOeDuf-1PwXb2_yrC#-{wRr(VU0=kP1rTl~O4=?%LJbx6IF#Rq28iA@~0feav zbPW6>!EOKW$zF}DnhEKc2$g~L1v*>XpXHYk-v~c_o-Pa>tWX9SZ9DLm9PW*KLg68pwl(Bb7uwKMV;swVqLM%IL%JUx zCpXy7sYyk_N1*P=*zOaF9Z%;cGuqmVyET3)rV@y~U1Od^b+b5^xyr+@w4V`FvfNM{ z7=|Y0n^O91ES<)ck+&h$=d-$yR5Iy`p4|1G1pS7sg49FNLuo@f{Jo(-T%H|_Mq|1Y5*+e? z<~Si=sH>PyV@iVK%aLOIg!EsJ^}9X{Qr5<;L8r z_Ghr~JZlxuLEwT4o9z-=tp5`B(^*eM56=66vl?CxqXVFb>adMOacjIChFE6*m9!}+ z<$`gi`SEgbT${~qLZO0#&tZST?9f>pavpenH-ri?!c`wcl$5)hX@Rrgqz=AnHFY#g zte@K@@U&F@J9w@L-n9GDmDEBY@q(nI8LsU-WHThM0j#mt4ZND1EbR?4M+K^6fiU#t zl{xJ0CkcL7;qEJU$P0-_qbF8ra<#SoaTC**;bhk3RhoF3fh zRcAAAg$HC9waNE@4OvlFYy+j8PE-oE509B4@eQNr+D|hk9m+n`Y2;V^(qzx7hITI< zk~>4JBSM|ZXLB#`V~8&!*H=uhcHqiO9d{eQ_`H2L+U>l?ti1G^s^hHX3m)EtW@&P` zeEV=7+MW=Oig7w{UX_Cw4PPG zMhQ;H!+-LX3ChroHz=qhUbbiYO7%s%_m1W;*0!&nZ=cJm*yVUm90#5a&(*e}l({_;X`YUJh zkOGlTHtbpc3}?tCMauAJ)9f9skR?Nr1-%|=T)KyM#Uivr+vbh zYh6CJXCt5a_MF_#I|yQKx?FIfPbK!&D1=BPb~emY|CHA8arG0RJJSdGcWVd^k#J6~ z_ujU55_GPcA3QVoHV^3G38BvNo&HH^UOjbfXeT+l^{NRc4l7gThG`e%IXoMIReLu; zQz)L%%;K741DZ)C&RDM{il*^oQxIZDZXbyWYd=I8m1+hfYc(l^*k zcyxsj*yO(Ky2?uPJ@|{)arZ3X>#iX?Qj?|`lOFP-m!V$+2|uUj(OVoC2Lrr>3bzMR zCqMPZs26)S(K{TDK+<)z|9FM`FKx(OoLC5g0`Q0ytxZqdcw>T&0!D5MeugHBFZopu zz(ysxY!ous<&1dElDv@me%vc4$#Mh!n(3eEMkgxe!-YuY&%dU4e=xAPjnai@F0lzc zM@qkeO~SH=5U0*XNw_$t>j&xZHIvEjUoESB`VgjaI3nFkXoH+~W*LbsU~D+PVo-UO zZe{F%@#Qx|E;Q3#fWp_xe;L;kwoWc5uX7lTTnt(Z*KwhP2QqeG3naB;C$Lo>gURO} z4w%FOB&nMH$4>#J{|!l2gDh3F|Uv_!6u!Z)x;ne?DgTFoZ) z_U~g7;8lMg;~v2ut-IYIs{nIo-thLEL2&OxF+H-`uk6e$wXFo`P~~1b=pON#PXQM^ zpR!3>yk1M~?^?VxcPyg3TslfT_)y{T?EL`lO9=x{VcXt0EnZW?O+ekLG1F8p-pI&e zSbn1@{tGT?{yq+v*c8O?bEIUlV#*TqMDXE%plR2I`M^=EYI*ee&=f%LPg|scSAagzbQ^=cA9Okd&`2K;9Z2Gb<`3!`NqKyX+#m-g~v=28ExVN`Jb&Z?YJn|f$u;Cd1j5z;$ z4IKnhtO_}MOl)+oT`trno>8ch9)8vI{7FG7qd|P%x-RbYw_e4}moXOE7`ih@oG?m(A2NCpDKW$Z~eQB&buA{v253F!L>ov?ig=yZoCD=Gz zW7rjW7#~+QG|z6xtag?)&(;w{pJnVLUwyGI`oONDF27gr z8?FosU;S!{ZB4)SVbTm^SO8KOrEkSI!C|h&Ee7^zad_(ITdl)b;R7>|@ax3rhk`3B zi}ASItl$J9ta_%Mqdc~6Ebw>suT><;p);BsBq}6Q4+XQ^?XzndP=Xs*bodvK=2?~d zB(9yMzGNe+nGn}0E3uw6(lOWV1RpCWl3%c&w4NiPJhOtZnfAHNZ}362jhp(Hw8A|S zn%9qrG{Gp6xYj@8UF=qg!kZx*2Apt90jsx1grUAm5a+125j8*kRgNgJM|w-_g6KI+>t z``9uFVrJ?Jh{ zwa8p!B*CxuI4L+T2v<>sC)R7#NG~ovE>?mq*{fwZ{kl4Ec-?y83sW;l4TL=Q#U<66 zlF)T?{sCyNW+A$kc1r0GEDu=I=$RWqYQ5dMY2sh9pk+~0SC7XkmFZ{zmi{Y-Av;6+e)OTSO z@nBgyTI$iz`gTOKD5w*n+D~t8!*U$>tdYFnp1X`NVp&rSGuS+yXvm(!T3=2OJy3UQ z6WWY-NQ{pX`@lXQp;gip4N^ki`awGxdASdAX2KeGvICfabVai?&CuZvZtse_1w z5?q6P^c;`yfi%4thh=WzuYC#=Q3%X!y(X=-9MTuM5UuM=OY0T&y2D?_dmZ3OKg@Bq`Je3UCX(`H=xN(a#0!J#Dd)|aW~++ zYBH7elTgVv55L>8r}rq!kZy*Bco=cE5N}y(J#LjbDfGkrO1fYJ6TuH&586=N?0}h7 zWw{zffH}$BlC{nvyawX~oYlR2*2-XeMR~2RQsl=m#By#Sfo5CE3#Wc!d{m)L9?R-u z53Zaw1}lL1!Xd1=R3?g@0{KI6a;pnP=`v|IoC1-l4Ev(Bmp8a}L#tEvuJiaUDDfopIkvfg|NOD2@bVBs1{%8L9`2OU;QRR?9^6vs#U{Pd3tD z08Sz4VqefA{CWH{{LA1tNj~6Yy91>+VW_r@3v{|rGt-LAW%jOheNIlK-D!eW!Li9& z3L>2EuEzGfI;1p%H&L*?OhRZkNWH^VmY|x*mr6>8?n##8P!m+&!)H`!@T>c^N>`L! zaNT0(1KCtvLb1m2vHbigSJnL!HIGsaem&4JPM}nMsr7+z&^)gVbSGDxSwK0AVjk2C zD4$R$QMGDtNAQN1ouWe*P4&v2l;>s7LTOmZwUArCE33*bxJqJ_Nes=`-6t)u7I(PzC zwyUv+E30@^%X=!x@h@fTtnE@XB4z97p=1*(*m+uLSkb!m43>Y+p|7nF&FsC#>|Vip zG}avY3z9A~>R8+r(++t`F3A^>=dwl=uYI4F`Npfr01Pc$9$+LNu?v7Qb9(uS`R5V0 z#YfK3>k8E9IP&qs*a}j#M#luKQd2f>vDm)=M{Mko)&yubxum1GeN;jH3Cp6X_Uc496&s3w=LlZ$GnaMpU3|=Pmj}lO7&!Mu=eol+Hl3~tZ zkyWQkl|o{Hn1ZGH6SAji0Z*dy2)|HlfAOA5KV7o7u)T&~?4?n}T}v%{yCd$%rmHb> zHkP3MfZOsGxa;}`YJTiu6GJvLN!d;jff^ZGzM-D8RLW*l5|AZrJH;4J5S{auvU^X0 zu&Z9LIdINIY)5YzpA@|C2mUOcMoMjkqXRGc2%^G4&fUXi`MeDTO1D z<7}lP7r(lLbbmLFUmKUIm05fLLBE>4=TP1d9OJFx_@WoIO3pi5JYz~WjDTF+smuEE z`+D@WRDQJRkL`?kiZQ_Qs{BvB!(ur!D+ZnL_^1e(WWsx*`?Ci>)lbN=5VYf84U5d55d!nP^BJ(cX_+jR< z9IIDkhsa}yWu=L?g=H^k=X5;NFvOcINRWKx4EyIwc@j8ZRaUPb+@|xrN_-y? z#+>vqz=8QV&gh*p8Eir7glU=^*T*I~lhQ|Tfo4NHEI+{Jtn7_G$m!a|F|qN9#xA_6V1+?!0rulBVAx7Ygi03HE!=qJD%|4sfbw?VL!D` zZs2-f>P|V03Rx9rq+$V^_%YPlOg~w})XhR!A=^y!p+}qQ=sl>JsaVZBw}W*{el$8= zAOU(@>xZ#^;@;Eyb{ZZAu|H5n&YjtZZgQDEQko~Z0+6M=h24-LQo%Hu@I_N+r0fS} zLOR-sovf)HLtOfI>u{j1rVsyP&A%!0D1ujlqE`gbWxr9KuaEruPk25bsS?x$_i8k7WtHeZQsSH!je(3 zsRtu0zGwbAwUe^l7kU&ik~6v&AF(!jqLRgj(U%!XkmybAJ54RZorqNc<*6?fgajxUZ;Q`ovOU7QRbsfoZ)J+ws?pc`X~jyWMDj9+hAHp;ReLC$6w2 z#F7$h|fulTe>{r}liIwH%~*o)7de_m68&z>ZF#G;MrPNrqftM~=u^ES$G4#w5}F~ZT5a&f)O?0D zvb3eKnJg?7iudVw%p;>2Wz%I@erW<0@|{@lBNORsRb{Rd(Eu=ds@+QuOWht;4q|#7 zGvS|@gz1o#32_dn2)}Jp{ov$ykZ4R9@CaX$xGY29cz7RSv}2dxlh# zzqVAuYqD^}WYQ>SaNfBKNi9bxM@3#YpCbgji!P^G2h+o3yK;1~6bbc{OgV9a9;wkP zJM45QnlBAEDnpri-7M3p270BbjVWqUUVlPHzz}6A?S7F5G6E~0Z7{1K**#|5Nf{A$ zySe5DwmXKAclXMY25?9GbVXV%Z=ZB8e@x|mSmSkA8mX5I$c`vR*c;+4esUMC{bg`< z?LkC?eLXtwm?%=KDPtSIpsd_F--b70X~C-6^>Xb&`?*bofcmSuXHXqT}b({Z)fjD39(5ICG5k!Zr8sDT*{) z4|wG?gP?+NTV>l0sImdsXm%P`K$|o}pX}Y3>@HQr6LRT|_%-WGQrTw6r#ipldAU*f zPykbgO=Nk`SuW@@g^pVv^yvk35|j6^B*Ork)}jHiVc7!+L0Q&(B+Dq+@h z@u5UrGl)=`r*j#x+P_Jx_`gMQ5%i|PCQtQaH^;iSmXf=I-j!k{nFb=f( zzyobDlU7l!%mP#S#=t+arwPj|I1h||kbB^ux0Rc^r)*U(Uz(2DWsCf-ZH$$o5P|BErBP1*Y+}MSPE{H?Tq7DZ z@=JD!rpRYtxgP}?fT+igatWjrt^bh0hef+FFyJzHC+{;shH3~;-)+3MQkEa5W2J>^ zwj3rmtai*e8%JCO9P9%}z)3FuUAb}x5;1Na5{oKI$Vh<0xM}xRUXJ|R54{vwR$XQT z7(Gh%-pE1qqq=~?6zvBL|dqOM>r3g?{rQr|>+jd)h}tV|T-%!u}$S}8pI$>`m?*c5Wn z$W+oIxX5|YYv!7+p3}MDre^6GAN7>!228-75!dECq8`*XRfpo;)u4|<{(>Qp(t1S! z(Hx2iU+&4Rj{SJ9==-^Rf2NB|-Ov#6L9h0sA2Z=4d?KQaGlXC=@k*UK zVUi+aln$l##BXY|_(Ux!;rT^(!TQ$(qS1tOz8!|5>`t0=AL}P70$I~z$e{nEX#6vgA`ZA2#W>g8#7-ao{I%g*fVMGf zHGgl+e+1tD2*>{s3jZY>7{558I{)W*@*l?LqC{yzl!{|H_G5-86?z1;s(FcbZ+0w}Kk6s-QI!2CZ#{QnR*|0Dbc5iG7|xAFP$ z?ua*EJ#yX#IkJ*_;CI&^ z{D_^;xpA4+!|J39EYY>Gc5GzJHtJp6l*xJP68LQ0wcNnPno*?AOUzmoiC z`9Ks8mblSj@_&f8sWaXbEwt4qcOM_4%@g7)7lY0t#2qtCVFOP_21fZlGj%t6=P z3-)?ujpC~ymVE_AkA%ca5`=L-b#0@<&pG@8Pf66TAt-4yC{f4yYSZfr$y=vlaX!!h zN0Z6*pxI0Phc0J@)7293{-tC=gTIku9}%YbG6%o?fn(q5G#Du@qs%?_s|^QNmrA{S zH{7xMv-|2y`f(Ystuxnb7c(5 zIT-2H2F>{{-6&$qM95XKrbbS{Dq3uc$RuwSil~NB?I@eBZKJXNr{C*cnkO#&;uBM> z`Yg3X`v>Xm5;yhZ8gkajPafDRaylZl#0vdjzmY%EWCTp3*YiBAz4mN<3 zrhbc$w}HJcVCdI>1QsINQemg=p~d7B6QSCyK(}T@=>&)d(D9EU0Sk2FtSp8(cvqxI zWkEf}kx@oAeXfB<0SV%5o1C8<2#VAt%fCcB_u2rqn`E$7x^QmF;~85jHFff#_nMZ( z=8JXXR!4>#m7`tvO@{H$0@tKPCl~Ig@p_sx!tK226YZ0zZFVf@EYee^Y0pq&)Cz32 zp&JUb^HlIjbKEJA>ikn0Fq|zBtrOKur;`&v9XpwM!zMv7UurC<4X8qm* z@}Id#ul1aty-D#2gxBaTi<*2N10~uvi#Z}CB$t>N^`-w}#8&3a9hq5Zu2!H>?~xe8 zuLaP!yQbh+;$sUuRg`n~SN^wW=51HbGGXA<6w~Pigun!gVcbh-Lf%#fRoRIM(3?OZ zg|p+!aH^GaVCYHeMz_xqf=(~$jiJQ1Gi7?5gAbSbSw*$p=-l^D#v>eOq{eSz&5+j?{fogbONFI(MPP zXzs-kq`LMlHp~uNQUoie;LGNPh*h=>@@t-@5(n+%RiOBe7iR}6H5x4;%_5|5bk=3k zTHGfSL;o%l&qCvEPMNa^bT&}H8nKCTI`Xyjjk5j8`BAnWat8KJw@yp!v+&%&xgNnH zjFJ`!DUcz*pk;Da6T^$N0r%JXZTWnJnAb1H3x1+oPy21@Ok5BVNRjP-*R>24x-S&= z!yZqT6&W{7DD10#;Kx=Nyu9Mldr`pvBxtHU69zeEI;s|BCYrdL`->08@;y1do6?!< zq`94G(&Wvy=y<-#3?yy|t$j7)Uv|c4Tx?$u1@;^Q(*xt2@tIA@J)9Fw&@;G+noZ^y zhuh9-u92cM6mBqw6I_KCqlX9`qhp-V|1m1VLOSxYTy6}>xJU!%C4|&DR;Z@h-qJ)< z3O?lUk?%Nqpm{dh&7>xjgHY%iEpUuG51Ou#w9FRr3U%Y|y1Y<;ISe$;qO**{o)BVq*~88?6eH>8sr)7ENxaT<UiYs;N;wf+o&&U-Kuj>0HbvEdz{PmOwXnH0_MV zCf-gyd2V(Frwc?LRW?lY1t9i2t8v#FdahFuDxHDv$Jy-jII)SsA!Cvj$WGSFnM zr%aMHA0=&s@imE;@2SrjL3B+b~-E2! z8WUYde~5r|dXkeM!6#gDs5{w2?v!2TZc>2P*IO>ex5q1fGp%|k5Dn@*S#P1TO4AX; zAPQENU4hrS7-Bf2^VXi#{vlB!ToRR5N4R~y(I#W%jDPx>#kB3cYhoy0+n;1#E}AM& zKFnU7q-1gUN%<$&6vcxHa}sV7YcHkIr#3G$W`Mw1w9@@L^6n>42)>@%_YLWdbb4wd~(w zvbJw;;ak~51*(YxVp~FIZT40SpmO2HGXA6bix z)PO{B={n2q;0^aw#Jxa1X8`nq)Oka!7-fcNl+BJYxLS1W+t|b~~;#DO)WGa{VT@EDg=U;alIKFsSd+&G3()vKF^_jUB~f z!kr-$Y#lFI@=Vx~rHjD}j+TAtCkg{lrnww*45f>=9MK`|GvpJcJp2>Ik&?yLg;lBI zEqDeC!-KjXYamr#8jghi-fnEXRthzSZRP9F{@!EgVHkqc?{)1Hu`1Op*$23(w=F>d z)Y-u1rEtP(QRv#wS$l;Hva@vOO^LN_0#;pr(i~HwX@EKsZTPTtGKq^pb1~9H5dkyoLH2SI1zV4a45*OqCwqR+|z?XQu3=DsB41wrG#z>%|~tO>&loxmm+K z)J<8ug@-s~_)e8$06U;bTze5&l%PuojxQc&yso|S>w2BiUJ?hRmNe)IMR}}ShKU8xkl?I!Wy%NggXHLM4lWC;Z>1S2KBWE{yT-sCKNehM2pAg_}vcH z&#iTn0xnw`t69;de-&qc+11dOD*6|K%}9mELH>)yYJ4}LlF%eEE{Xy$_#c!A24Frj z9kGW9txFSegI~}}cI?3_2vuED@Dw(3@hFq8)XK_|&IZLT&;0esf>v zRi@AUeF8YZ_ct#L{%4v;COJ%}QM3H%_N|5;#Q>FI?L#mMLZ9Xb6&Ki9SmQmt zzO?6DXd?0YvgYN;$zj)pZEI`O>v#F>H^H%|XnQx=d4Wiko!%+32P?a^&?q=_RkaHO z;sSR5@u*DT?jCj3k-w0Zzav>@pppimBET@n@j1fPyK3Mj&E!#iE#HF@K}vVoB7u2i zu6FJ-rHe_4bYCI&hC+4Rd~!AbKJl4|uTw->!!?6aE`s{>7D4(SGHpuD{~-s?&ViNu z4~OhO&L`$QIoK3pjrZ^iwtp^)TP(pID)){A2z@4Xp{k>uqZHD@XG#PadvF%C-so{D zT{z$trCuP=1Ol{Pk^6OJGD;e%Uy=a%gABS%V6*CXT53_Bes+|8P8owa8FxmoTD_<8fE;eZ!f~a!iy{|r41+0;^;5v0|AQ;oy-zT3Egns z1dD{@b!CrqJ+jizl5*MypBY%n)j&9O*}oKlJeq}pN8!&F93=jX8qIOUmW9RkC6ey% zSvpLYy+E@=4{-O;7#x4ILpKnx{R=oYXS{vY5RW5{gJyp%ROin{?xa5>5y;J|nk9dB z8MrPfx|#`JvHM)b^KuCFx}1zvBy=26ngGa!vU|oW@US|sJ=YsHMJs^G~nc887P{0nIJ{?Mww(f$A8RocyO5YuJxnVJ1{6lplv*nl9e%d?sO zTp>;jLM;3Y6AoW_#XSlu{B3$m5LmQj_jt|<_ylD2U$t_ptJsCme-si{1e)P}clm7V zA(jriB8g{gr_fumJ4mUmL6w7SQ!&jENd(p(Ib}p zRaa_0Fk9=FPA$bQtHTS;?r*vUi|30O(j6>cvSD|hgE$^U;_7om;X$hx5$ITr`w&OG z>JyMz?#U=+&Cs8u#&2(lZX>;0oScSerPZZ19e~PLq%K+!EhDPRG~j}Ld?XU*IY$ep zY{v^Nd@Nr=D%E*}302CK9Y}gt%m%xRezO--Eao`}L}TqPrj}m?3eDA%I+=T78>7fi z1&-$*0pobszaX-P6`Kj~Pv6oH6K3Q<9f^`#UFpirX>1{0#2+^dC};R6GYxR~+&Pnh z+~a`Uzx{Quk5;&Gix@bc=_?G+2Q!V#6qWw{ufcjgyOL=c*P7ElV`-3?D3qe71yqk@a*4zrx^;h~n0@tZp1UCfU>o#QvLe`Ae$ zxTr&@;>w05t5vx1L6dhBkq$FYSx28|MO40^+#e;X{{PL@{|^6CA!^L0FBiBJ2KU4E zo}nKGP$>x?tZzW(pKa(gQYoMVoH7>#XpKl1pv?L)J1&xuU@TM6@vjWyApxwO;{^wp zVTXpq@q+kvevkr>v;R>FT5BLVq&{+mZl9#j=|h13 z&-(e_ny`%nSkQfUmT_ZYz+Zm$FQCM3baK{RSld8r^dI$#6)mT=*Eh1H4z>jO);q$> zANLDwJiv&3%yOM??CFeubl33Q{QiM=)+cR%#TT4ruknZR(WJ>F!v^UwXEA3CZ?`DCbggcP@Z`-;)IzGB@q%mn^ zsE(OnitGEZtG4Wr>y!aMhUTVbeq$8}#p6^cPZ;a9K`18UW{je>@G8`_EfJyW+d%VT z?lYu)4&;AZ+md<0b@v~f&!VS=RsK#29VRfJA60>5=I>}|ozg{GjR?WQy%cZsJwAO4 zOfhY0#(}l&6N!eKB#Gv9O;9r7+x<8?<~1o(ki0`QTtKOT5jQv{$Gt6Y0Ncv7bG4bAZKrFlpphQ#0R^FBWQ7mJB&e*gdg diff --git a/cpld/db/GR8RAM.sta_cmp.5_slow.tdb b/cpld/db/GR8RAM.sta_cmp.5_slow.tdb index 905feea70fed3d4eca42ca789e1b17c0ee19f32f..f8378e8ef30d598849bcf7a2dd2961f437fd93ab 100755 GIT binary patch literal 58237 zcmeFXi#JsN|1Vx|Ng*ngCNY&tD#`sm^X}?h$h#z!%OtntGRd8}6yaTADwQNgDc;Bo zxs2;fOiU$)q`@#_Od1SkhGE8B&-VGA?>T3!^IPXH_^nxM?=|bS_iMlQ-mk~&ae3}d zt5&V5)L0E{qk-RXV1Fs-c62B_a+jIKk)ubV1A=z_?H>@f%WRj&*IJ-i+i^lsvj?Cyo-p)d9mjq}Odx4{;q*Uh}p{nw+Xo6nhU z8zsg(<_w=g|E?!Cq4wavpmutNi?I9=TZK;Y!15w<#;DU|2}~%BhLtL8-D%%XIfOPV zr*2PUbHnDzu>+OL{-wG)ZqrLiMH$iFp$AzoT$WwhRO>^J8<;9*heD&hah1L_PeLIb z<$;WiE1*^qo8rm}8ck?FBO_w17I|?9-yBFK9_Jg|Qa`IKI}(xmeAEZS19FbCqr z+(SyEsF-9TE_7sI>Vm?(i_t?<{=n9bjtp0v!Tv0rs8zoFs;os0A2FdukZvlWcG#Qq z_$JA8=Q4Cc$y`*%Q`%m3dK8S-`bNZtHrM)iJA9w`-7!+@<#V&bUH(2|XttEw7>d;^ zCB!%TE!9QC9PqPE^|L;?xPDX%WWo=M$qK>l*NwID`m3P+3j zX%Jk@>|%6tp|=ALOGo)A-RTvh%3pC3cUdM!1sv}d#-q~e254`A*H@yrS?)edt%OAI zf(SXdRs|L}>NiZZFPy%4uvs5Qdn=L*Py6QLMtjgyUgt6^qX&U8_^=N!Z(p}KMP-tRLQ|HFqPxzy zq29`6bl^e#KwX8=Z%53^yqkuH%PN)1`Z?$#zJ4~WLR!kMsFVZ$NfFR2_Xw%9bXHM@ z%hR<}B71T1i2BtnKam?xA#?crj!qUwTFkkt|QjTP50)3N7mp0iu_`yac z*!qedh7#_mkG{GjxJU^r$Cnil@S(m11=9&`OXU^0tt2|QBFxKMfgM&dBVqI?1)Qsx zYI#AA`%e2EEs1IN^tv=6bBgIEzx+DK8^MKr@GiqP&;CtMIK#mjb{0A)sa-`tdJTH&ja7qNsLla zcD0|+q8;k%rnt4ocRmq$@AN+WRf%;)KbLBUeKhYD*R-J(mQnuC1?S%b;2nb9lknV> zj!_G?hu32L5f5QE>uYQ!Qzguwvlq9jcYWOIo;BtA+)l9O5iw}>)~hd&5qQxJt|ThR zp<~?o2GJVXRRqgg!a=Pj*Pn?hpEAAWG>pG>4P@;&)c!z2X2+N?b~lrA){L;L%(Kz$ z;MLFlz9kyY=eU8F&+^@~q;axlF+NkF(~m!)LLjNj0@(0=^nI_b>ZUmY0b}!TPoqxZ z;;SGFJ)J><$5J>ec8||#Y=(FO%^qM5@sduZ^KrOQMWV6vejFygA<1umPjvU zBWvU?CFu0jhnRQ^u|{Wp^wIJ!=PZOeu23^^qpHk~UGZ~Ir1u;2PhnOnw424wLSN88 z*Pm9_PQf%4Gpo=)#OHQLnvA)Fl9PXO!{Mz9Tmb z%;nrRtS?J@2XmLWMo)J46Xf6C`xBAu+4kk2crmimIenq4kpCLwkW9`96hrvUn-%fw z=}g7Be(+TYajSa9M|p!+q4E{Qu2vUrBWJf!U$2RBUqZj^zW>hrt^7))RqJ)0fV55i z8YI7aBl6s$XIlHJ25HSx$_8|dYg3<)*$AC<*OV|&jAQud--{)rk5q?AytMj+o1zwNZ2Wu<#axL?*HH5tN!BR9cGd zKnyj8DVh0eM}Y5s{eiU9&&_h;Q>vxB`-cPX&)^pwiGE;w?O~)Flups$QobAY^X&Nj z<}J_`g20xcF=iAb)For6lcs6l{63f#)V`SXc$Y!oLc}V4X@c4tUZ{jU*xRGlmi%_9 zLOsoU3Y}&f-8AM$m`YJXOT_vFk|q|Br}fa5wlDDZH%+XkL=WqhXSWm z_8u*Guro z@fNL;!;9V>R;o!DGGlikb9KCxymw8%}X7am&U z;ML1J4^m<~dw!N+6x0;caM{M;=i}PR=|P9sHrJ?$Mwam*Gtdmw!%VqTFEhW-{ZHoM zV)Q0rnVVc|;Fo|D z28eD=UiaPCN~%)SB3UJ$F2T?ze1xSh6|=X9;&t-!658@7g3DG(d8QoGhksIywzbBW zI1Qxr`77o3=D(IO&1OhBtgOtMu;-jFTjsm;OyQ=37oVqtAR8FF$jL0_olGUH7&a_4 zgD~53zYXST!5qn?4Abk55~T{_b*92doMMbWxaG+Bi*L0Dzjp5PH!vWNw=5fb&IZOr>OZ^#jXVe?VBSly)rRtr!%&`}w8rL`+ zSJEO28Z3#}E@`zAR=hyMCAinj;z`j1SJFfOkkcj1f$%A6r`MPJy?a~C#WD`A!lCIVox=M%o8 z1jta6m^*>L-C7wjb_pRG;%k{_j+&?D5l$EL{!4bpydK`CYmtEG6As;K<|?9`z9$kYt%E0%7%yQ`hT zM>QV(a-U*nh$J@!s$K0H_a!`c_25)c(uaxJWV^KDepDHF9WRfSI_7jC8%y{&N?qIx z)wYq-+o(o-4_ZOYVs2uBNt~9I)6fU3$Yb(G3u=r;ZU%*jj_@$>k2DKnXfJae^s~4N zhaU$)cZ$x^TBT8$MW5FgZ-d^|zaepuH|ffg*CAR2wk6WGBPB}POpz9_Vu;xHn;JBT z?&;chc?GPMcdL8khK((Q>=<-?ZoS`Ax6R&hQ2YaeNeP#DP zx%*6*q}5qJz&UVJc8`)JTRYOwa#}^;o+5V+ZAhK+Vl|zPo30zT*l14QA!_J0@IIWK z4u|_h`z5_I3mo1K$7uIZo`e<*UTJxdoH9nLy;>qN*+zO3;nMF=T7ERU-TJ=1K*0ZP zjK2PL>RVKZhs8VX1ysv8%<(1NRU1lXL+A= z$%J}nN%vh^caLwRnjB;6!%VoMZTjyugz5)~A2JS#6_{2}0pg`2Pn5hW!WZpebG(PQ zALQl^0pr3yLg7v6%NS`0hL`PPpL6fSDH#xruz$PDS5P3t;n=)v9vPemS zV%UC?dp$5)e~#6wyeTy@Lm0|th zjWd>iTA0+Owob4-GAZ1p)BG-Y~ zYOoMcm=-OnGGq1xJ8&zzOaZtCe$YlD9qoLWnQkhRlb`K$~wzK3r(<`pD<%s*ryyCI(F z%$uK(*XknvJdJjgjb|!Oiqk;y@=w1En%wtN+z z@NdSdLJg~nrD-P`2#f4gjEhLajW37+;3{oz9JI5lHbj}p64+fA;?NRuvYzsRK6zP{ zpJN_(BnA73mHQFX#b3?$Td!=E%gu$97Y6ZP6+Ww>2dj&tHl&D-8gMw*5=5Db9b$hF zN?-O!le8LjE1kK9_mV~U7)#8B2X;mMtUH#gNz!2q0lGCZp)^xrAoc^HOc5AO5}08| z&S-N86yHjaYJyk8bLS8Cyt{?YK0mSWYN4nwx86$b>0gLBPAV2OAW1BZ6uo@vp7LCMT}`26{Ug{>duwm*B=RL8!ND|Dgc zRhty4E5F*g$Y@;%7|Kp6JsBX|nzbPMg7=r~yW*gH6jA%KTW8Vp_oSDF{P=Dyoj&g1 zLFswuCZtY(S33R5W;D?X&6tlm4_%K8=*I)|6@)m&_FC(JC3_t`S09c(n3mp2-Jn3P zhV?X%U~V<-x%?oDIAV%v4vYCQ_bVSfrU9abG(Z?r{EjTN-tRB2;x{0NnlX(KF@`Sz zLG=8n4McC2T%ig3nD9#brOHCkj7$q_L{{FRb@RIhvnhjd7fTtu7CtkR>$HWVn$R|b z*qPuf2A7mpd?-j9BbBy12sy~VQcJV=ZP7092#|FMC)h@k6TNp_L|sOz@`8_m-$vOo zj@*-jGbs%we<&FV!e}#brY7tUTzucH1yfGlyD?^<*qo0yZ`q9~7dV`Fm7C#ihWhh` zSJqgLMckzn^h;J2E62QLJ>rev87LJ_85jfaFnT{R>+oc-zK+{z`Ugx!E-k@O6HmWp zniaz|SP5^4XQOsO?Bf^_ zNy`(0sm#-q9qE1$tsh|>(JJD~^;oC+%Okp|41tN0qIUKVQnxsIw?geB{LIV^#ogW^KGp5^j^SPPet-_2p{Fy~h#7}j#+-yQ~j_HsQr0KMNxCD76 zndH=~X>K5&S`WU_^5B78TmmfZ#T}QW+y(g-sq<~kmJwkRZfYsnKjhC&BGIC5Yjy8- z%mxaT-3z;(gxt@KD?C9;n_6tdE$H;Z0wrlD5a&{0kERGVEu<&|@blSM>QGvOBXKbe z8;V$y$P*o&582M^8D7rg6Fb?l=b#B-b|10O1pA!DX>2{| z({8mTjgs){nkgG~N>+1Uytx5~<-~8jAZUUBpEPC*a<7#-25A`fQ}${V{P}c6!|xPnVq#Vma&hFEM?Y*wJ+Euh#`*|z^gpYPn9Elq&U`cDQLJbzY=2yg;_ADX3$%b*-%(+d z57<9s;FH#z?*2ACJuGJJJ5;rD>rS6xm_mj?_lOji%;jo7npZgH>mc?I7=&Eft`{t} zOi0yK?jXi|6)dRPHvB5ZP5c%^Lr8w#h4`vCXle14k%SR^NV~4p9R0i?PZefMM&F~^ z_|56c-TA5(5*TX%L|ep57c>wkw$EJdGMt}i5jSlfqPV8lML2b3q$gas=!)lF=Omr4 zKG%6pe`a#b$RVmlqaAHdyjca+mc_GkQsm2Hq~MvutCV(RE*b5bxRts+kXc_mx4}gb zjra+1>Jm0Vm!eD_ph^S@;3iu{lOUnBdasOL3+p6U%EAB(WqHTFYce+#?caj@hpNt} zT1d9Cupso_PZ2>4L|)c?(kJv*F$F|CC@a>4=_~FE5*%SVio&QS6H2AAtRS->b_@=} zP!<-);DJKDkFYL&))+oeyq}+&NzjU-YbuVPCaowJc8b!w9os#bPo}IalizMZP2X?s z(HM5_%u`5j`P~2_F}5VTic0v&uP#G&_N|5NXDwch0;_?a@rME)ommJeuaT`Yj{I}Z`H!z*PS7?8QL~DUQe?h_89al&;{gHY-1bJ zN97A8$~Rb2@_w|Z`o(z;zST?hwPOmR0Z{1 zaHb6#Jyr@L?FQ`FGr>Z;yqIWs>vX4Lh~IA^^AVSUP`hO(*-1tt?0ztV-oOCIqgKn_ zW)?ggGYS;%%gtMgI_|R4e91Ix^sX zD{H~`-3nTpwwVDzx`CgXMPakt__+H-KsU90Y~G7lx=buy1?_Fye((!KtT%ubXaZBTU&6x2-g$2F+qu==Gg*8S$1lCNfHX zG$A7sW!r*G$J`-w@gLucAd!UL9q<)#aLfNTg5D?Oq_gQagmgU&g8LoM<3&pGcsaaJ((@G%vvw zwGnnnz&{i>v(WG)efS8~z3=_g;kL=ZgksJA8}R994Vd|V1w);Q_J}C9S)m2%Verhi z6w_BMXq&DjaW`8emaW6XeRwbJm8uYuAi-ClhhSvNn|ux_+G1bV>w>MG&QevBqY2C6 zzfXmKnsRsLjd#w9A5O(OO)3umqcCC%8k#oB)YyWBucyYE&UMIO*)9*;LbhY1eVh3_ zbIJdb35YA=+|Kwna1q*0th*B0>}8wY6XRDYM-mXI4|`-anFY^S*uVxH3);i?WPyXk z(|m1ngqt`S#Mq)Z_q8G+PE|Bp5g&|@-aHJ?Q%v64=?CQEosYi_%?&m_`SL4{XuNR! zJWtpfoHVp#3%^(3bpib^bB*FcI(#j9H`yiwie*VOCx$fPT3{@T{*e-t;3CfHT+9!h zLx+h6Gl?4znS%M`v06I7`Nhmlkb`7;`(om5H{}OdZ7Sxk#H~!Hlw{drK58EnsQHF% z%g865YS5awgL)xIFqm0Kg4SWQ0rvr@l`&BRGR6Q4sFn*(CT~{0dLamZL*W{^_jLF*R%tx$@kN7S=0NTKWc=4DfZ#DQS%Za7(AKzhY z6j|El6q#hy&n^k$tS+HR%MFw({Jrx`9(EP!K%KjzdkXBDqX9gbEDaHswo|+L{j87> zF^FFS_`L5xbaEQKO{U2fGzr7SQy_UG-@^h3l;hK3tDwdcpOz0cP_8fqnNg57VQIR2 za!{HsF1Ru`)`MI%CyFD4r-> z7_EBL6>aqgA=*%qnwiVdj*HZyESr!O6}+E2ZzQ$qj>ScG^eD=p;$#N|X~kLCk$LGW zEKLPi+FX*%O5ToH;GhyHnW*h8C(^Yu2<~=K34hE9M$Cr5^gKmc6*T)K^Jjux;V1ts z2+9u#!V;0pjzaHLf#>vL(g0RAVwqSVb|UnE>CR?#TS!7!-a9Y?gaI+}wDJ!`jV5|M z@yyrz9O*3#2jW`zUFMIP7S}(Xa!!-aSqb%ki94#tU(BS)=S;-*p`=gC`UxKFC{nUE zF}R#U#gEN}Ahb`StgYqI{tEO1)IN4pvwOPD*;zJqi+H}19fwtizvy#MwfTHYzBK$D z1koY>8|4>j47Lew)9slAsFljcvNQt4c>Z!Gg|kE=bIc6be6^Oy&U43lK$xh1HI!rq zxZmkMIOKPq)D8pz%V?UgRf-zHzLWw9A8a8>V*zh;x&8nm_%z8Dk(6$_UTHnyiSXJw zw{Y|IuY~!!sV=5U2XpOYDacFHQELOs!vc{g-oh3%-Y^sg0*~cT8?>9>$v*=k+RIef z;om<%!`hi$llkq7df>m5w~@_SvPw;uwjy1Splnz%_)&%7|J&Y!WNDhroeD%cd^Nhq z7Ap5N;63MuSV(^J1)c2V9{{jG7*UrA%Y6ri9kBtNU(H+#=}gEZFY)_8@@)Qj5Mvv} zoE)FZP3{}^>%(gC{X1hdg^B<2E-^zKA-RJ(5X%6Pj%+TIXd&y#L_x)~AR<)uQxm!o z$2|W`8CA%&@q&NjNAkHAvXvx~-OxlNcB&}9bZ)bSXXhflXO0@mkIrQ3chZ59PC%)t z?3*T3hhamOwn+oUD^(krqu3aPg2;Ypf>-f^91Xxn?qP2@&cRkHID?H_P~qvYwb1=! zHFC2ahSPRv%o&8C*5U4f^Qxn_%Kp&=fR>QXTm>CWpjYYR)4(?o7YDO}EHY?jWF7PX z8J-$%Mm$!9UeU<#HBv&m2BO=&>7XA@LWhQEKOSK@OK(-nY z3qVM^j(D@Phr;(Xm+6SVf*@NNy$K^sXe$1O+Lt=A~VgsT~ zQ>jHfnNGc9`kcii>wa1B#zA60mwNbhoE%4RIAKU87idN5~X%zFuwwfwV=IuL)bNXcUMQi7H}Cwg7o2onO`& zfOwt(n4Xm&4G^D(z`eI@mU#fXk?j!UfYSAd>*@Hl%A@2(Iz-}YaNNVz=u^ms1{C^a zi@Pmxig1?EJ$OY=5%;yiwxk_d$c6Wd<7k%|mPkZT4MaloaHyqkRNPF5YohJQHff|6 ztnM)#^Z#UOlm_g3ps)~zxc1M9Xt6l60C2VDE&F6&G?^>)*#zYG9gv^me|zD2;uo0( zv#eqZgtPcxO}GX)k2O1HXx`$4NJ!_c2WC|3M#2gVnd*x8drWL~&42sZbe;x@oFH?0 zcaGl-7#Hv-e9tX83Oq#eAxsh!kyO5%MF4%-gRFAi92sWY!@(*G5fdyAidAo|3Fbm~3Rm#qIpP5{`lD7Nr(udvil%eumad`RcAjkpCQ ztzj*i`#|^l7?HWR9BCO@u303XjBmxF+gZ*~PO9={A3Q^e36jB9$*Fk@r;uU{$U%b~ z5+r`Z&jS(H$Q;;xR3|~mjZW;lUNlf*beFGfMrmJ-8hqX%!Uv7PKB74-wCyHEVPL%wc==lrv%{{m?zS~yBKE}=2gUXUA?G$r1n?m|j2 zHe8}|f^_J$(sDL8ZY7ICc#54n100(-Qt9RbAAPx6NiJp|^a_4G+BAR!ctlO6s#H4< zDW6p#)M!ZvuFAKR)zU*n$`PT2u7;z*ktF{lxqOWvsZu;=ENgtrTp83N3$JGsJ~3Oj zTQ+bKQ`Qb7R2B#i@k0=f`4m&zQNMS424;LSMC$&`}jN1mxErf;?tq8R@`NWKC3vBjk4gnFZgvh9Ra+SUIB&kN4S-=1Znvi_Kp|+p7zX3`X-Q)89-EI7opphu= zuG@Xzk3Fi3a&8a7m~3-s<5_1r1flK8JXhg#7{IhZ=_j%s*<$o&LEx9!?d^td!4Qn- zQP+YU4E`y|cQdWzF4`Q9bd|m%>d7Qe+0-*_V0nat$eGzi>=Y)eqMM4ruLjF#50d{7 zf4oNp3Qz{CNndS)zq0K&<4Epja?y6~Q}8Q(kLM3EtkRQ5zOsX$JMMIMxx#)AI&N!K zXq~;O{GSfA{b@gM=rz-S`+~UY%mcX_DS11sY;sW)aGex;wM-Z?zu*!TUY)Q*677n~ zyNwVMLM8j+0$-c@piP|<3B@uXngTJj8AcPHBM-?=g%Z6q$dR`l9&RLOekoMKDAOPI z1#~ajG=lp(Hjy)rPKz9|BHZb;)dB8%!ifp_YG2sNNYLK&k62{B|sH{WQi(p+Yo@K6)IgBY6*)nI;45ONa5zd>>hez&=7 zpLjn=p^hllL~kG-ODC;|(SYU)*-A=qwh~?pqYDKMG!6M3z&r}Wf`mLW?Xx)AKCY){ za2bF<$U%qY22{A)^g_ge2>?IIA5g~*?NCB?q9GYv-klQZB2XyHqHwUVkGU2GSTt%q z%sPPc|72h)Up14$JSJaHEE0&D%SazN)IsAaeGPyNQ752y!FKEl0Xv*ZDA2^G%HMS7 zBtXj5XiWGyLayTH0vrT^%5pVf8x31Lphj` zNiFANHn)z~*vnEifgs2)9U#vf7WX6bD<6n}_WCGRDpss{G*Zm%ELH+3vzu@p9U$%k z5shUXn((zN*!_s@;sf7U0S6QAK%d=OX6}RlK{#4Z+;Gx#B_;aC2SOka1BigggbYj% zA3&#hiwPnyosbGlm?b_7#8?eEOm=AJQTa||qF}KfKiRwm(6*X9O_Bv!1mrm^DH-0) zS7F(Nh>!90ELs3uX+pQ4BGSQHBzrRE4(u7rxgGzFUp(d&C^qAFnaeJV-+>r<45oO` zHnai4gu;=(^)mCMWLMMzFDbVwM5CT&DrzwW&D$X#&mR6M}2 zZ!cGaR{4I{t4a4=x?wbkM%aQ z`2r60ne~CLV~`sxaij;bO`QWhi>a(>?HbikAN&WSIvwgeWdzC!0*+L4LBTXDJ~`8c z&2D-wd%bexO7e3gi;Fj6b~g++Du6h+^IeoY>zB4UE)KVX!IfU~WOrANC4AIA3LFdH zMa)a)3fR z(6|wyyVAI3IqpA=!f2&&%d&n}MFAHO3fF+EVD^(*SB4wFp9OAQ zV)l!^9T);si}@3{HLwe@Zlw`W5i9qS^UHh3N~0>=f8|U=Qr1diKff{yI8*Q|UvH&R zh4~dY6J03#y)p!98{w$p{ZwpA-k-$0C@6R!qJuNWcgyT5q$jR?+@zaQkoyI`bLQWf zGZD{X{5&26Q7ae=^C#>>dg?9}T&OkdaXz*W>8SQ_q2J)$FW#zucj2P1mbHKV+Ew2Z zTKLxE0*rzG+OynH_wOm!FO7^+O|oh9&@HzgULmlgWs?Ymv&RT!E55qCMkip8_gw1F zLifh-`^Jr@kzckE6z_h$qO3Z#JXL)Q$I(b~K0Pj`=Qj29COj23rf}<)TBaTGRv(@e zhzSorO<*o8!w)^t6*ZWI1YJ^<2j7!idO(`ON8s!Q;(Wz}8fu2v`^)^P*uoKaGt4nr zchG-=3`n}-$wh{nW$qaIag)GnWrq2FF1BrEHc^kNxfa! zRD$*mZ#@S@N1Y|EZnHy6$=_#rKfh;FLJB@*11rdP@470FQJKZ6*OBjJF(x4BaH;QbHC!WmBBdu$ui2$}Dksj+nh*q_Cc3W_7Xq4_31UiEp;C zFT8SsM5O4?!VIuxZRZ>>?J|YKl&$%vQ}$vw`+r%be>v=+ibK^MaN0cM!u5%bbpA$l zc2HATCqez3cFx>@@!6g-H*Y89B71;egrPnRy*ekVF1n9MR=@aciJ5&2Z~K|{?DCv; z#8$Ne^rDT`(z>K0YG*kbf3p}xwCZk*MY3vZxYV)HX!1)i`_gEOr;k&8U{|8q&}O;~ z>%+SkwME9IFj0-h@@Hi8$n!~L^Ug<;NCxA{q+Iq-OE~(#jsnw5TlXfCUUprDT)!{- zQyqde^m2gb-*$Z(zH^7=_oSV;cMST?*(h7b$%%*KMGCxD((;?8{41ZIBYPXq$EvM= zGD7SuZ_?9g4gVKc`e`q%8Ht3B|NGIC7uAqrPYbJT{9L|~6t;Vg)7`bX6$QVXv=7LX zTxn*bXNB%Zpt1VVPA)&dXWH;C&*B}1-D4wuq)wcj?^#6J4Q#k>XFO?DdXe^UA5l6^ zGfCpyE&qVjcexnRtCnxbqSn7GxxrODJ`AFw5EHxzH&~0G5Ms8_2ob6om zYOAp6xyQ_rkg`3zxZ28EefNMe^(1|pi>2}JK0jZ4@Ot{(#=VXDLq8Y4pSthI8xqIa zSxwe$s6)E@-$#eJku-{$7B)M)3@CTVIAy$4LG3-~A~Y)Q3wiPVIWuC9DZR;WhVmWT zLVq0LYr0fz-DBkyt4X|b>Pus1MF9T{pwR^E=}pJ*aZL=*rU%x*2z_}EV4dSKofk64 zoQW4jaMvrSrJ&v3Ke?^@mJ`c>+iJj4_9u1cJ#+bLr)=~KqgHe-Tn%{hgEMwd8ui{` z@jQ=G9k#iZswA&$xG8=#+)Jg(6|MD>lBZV6@lkJ9E^k1+?Ena^IwpPA+4t9c&UAahF{QezwCr~* zr-=FD!&3jkl{u}Xp?*~P597%Wc@po^d^JoIqCCk{jO0&FV7?pN_f!1Cq>e8ceTc-AH}_!bL22)P3trg%>*tMD+}>Vc-k@RsM^0ge(bq^3UOahM6PdT78g_U zK5=p5!za-l#};npoN405=k<^ zPFfv#_ZxUEo6*lZr26aoQTFLUT}8~i57+xThZ~4`LEY49V6^WBWZ?yqyyI-C%a4iz zf}7p`vnb+D%MX!vuPHWpfPQ+&}qRF(2d)NK#d--1qoI7K& z;=-j-$l<`QIQ#RL3aIC4+IP!(IR8dhdUA~CTf3K?yj)mC4O6qVs#An{2cXz zbs~*xFuUPv>lKJb(rDOw_bb)jW|P`)W%fZGa|=aq_e7Pi_S@cX6b@58Ig}ebuQ2G^ zM6>@Z%{VZ9m5oA>Oi9?$V9M3bP7>j%5ckKqFfrgs3dNkq^7Fb&zX zw-NiGM&g&ZZ@zj z!Wqf_S-!Ak`Qc;-I`Do=-hHl`v>ba)g4L04D}n9m<4XSa#P64Mro7#tBj4MvJm)Jc zJ#(fT@@letnV?)v?74cVNUR{*b{u2J&XbkYghqJ*|hYKfT-+LFo zeYJpH;h38M#{jRX$E9ugR8`XV)hH>OI*XI47Qh}H{SYMIwo9Kpowu4`2#wXuFPLQh z$>kK^aGq_6_-f`c9qqpc*LM|luv;DVpvzrwN~cxiV9}=xmUN^luDs|;#aFv(ODYd= z9UE46JvoNnHlg~j_o{8m{V}jX>JRy=Pscdx3T(K=ULQQCUX=~XUra)edyxLmfd!w9 zx}1IdmjS`Fz2PD+%6s=D<@$*zZ}p|bUbu$G2=Q*^cHxKOfYR?F$4uuaJ*Hsz6#?hov(&Bt=09_<~8NNVriFhTe1xZHYV>>2?jiR=)LUN5meWQ?NdMD`DW* zsxOx&8m6T2kEum`cXs_5zK2|lNH!K5&n=iNHCv)?5+ee&hRc7I{-z(gkA=QoY&hkR z+FL%WowAn@y*IJ^fkt(>Y78zp(J8s$+q^4(;r7=p53?@UYbDh!L^8MP>rBkuvdGkv zXa`s74Zjm4!`Mr{SGl093$hKc0qUQo4C_fNc<{zpnOe(CijP3R}Oi^5Xu~aOmnoTVXUvB&~ zS)@yg%J=lgoWx7ly-U_+hoZ zWW8IsfG5-Gd*d$hD2Ik_xBf)6OdoG+T-wUyMQX6yW0s=Tr?$FZdiN_Xrm#Qi3`wK% zmrwPN+g{_PJ-PBRX|=)%n8edvZM0|Fv!VkxrKi7q#m2>;PkKf zu5qK9ZXu}kVYdmJj(Ck^XB6nnkXCt&2pzTtb}3v--m_a!wBuhcy|bOPMf<;j>D=Wx zpC_4It(z?v1ZfnP4}u*+&W8-V3)%6247y|SZ?r-^^Sa=$!ogwE`{S03e*aQ6x$TSb zTh=opMKlYY4%WrXGQIU5@V2iW76NnF7kxm>bMjfZXZ8utH!$sEN3?EoB8v6RnoJfG z%~WacRr(uxR_}BN_bh52W7}_t3+?6RJ?k9M-lhzeMIX(o(3W(i_$^uC7W^rDmjLch zKkvK5(f_)GmbTLAgVd#B9i7&m{$Y&ce;Bz3VC0e?oNMZj4{4npO~sab?fis4Bixbu z-TyuV?~Zd3&T)WzhGrCfSU!cp$zy!X zU*9kA^)IJ8^=W5JiP2yQy)4?n?b>{eLl^3Yo$|$p>9sYFOt`Vgq9(ZN862%{{NRn; zz{dQRXkV$@agmiv={3^6KMG7^i9pP8drIzDx6=8M;nGKi)eOkv1)CfpUZPG<;fdcpH!aZSPslSKqXY+lc3Bx?WK+h zQh4THLX=bg5n#FYkUR!>fia%)w*DBVc9^?ypgUAhs!YP|_AdN|z2Iwp@tF{lhVtY| zX>qS%0=&giEF|*J(eBNU!abX;3)2;UP8-q`9}ZvJdh7`|7V-Be zE?aT?ShZ(G(L96%7YtJWrRl75#gbj($0e{rB)<+!~YNb&RGxC!px zXHa==n_GKQzaMIp>-9}G2yv@94^p$~0O>C}@9CB2zj(qWrOuvV8LIRw&O{!Ft@waA z$5@6x6}{oF>QetzKz06Y@U^)bag5P8!!_W>KA!+4X)LA=(v|yb+3V~F=Kc2k!(D6T zvXU#h`(FbTi1@nx#2IN;-C`2-h{@KOu5_%3@cjnU{XYoJ#9M>AZczWnGt@@1#%wKWIR z6eX}DgMBRn@~ZE;ZP`mEquLMg8-<4cO&n>##KMX)oLm0wf5mK4dj6+8`os)kn-J+Q zJLKv%zOX4sFeSkRRwz+T*2pk#_OXFG;|cNtyWEb(qM@9%}v3c^~bN0$k-v zrhE=6T|egiv*KPgHv^nYcy$_}N zheUv?el0CVzMPNMamcz>E;X!hx(eH9kB3vbpNE+X*_os)|agz$>%%A(yI?N zD%Bn@uaO5FejM-2+}`WRR_?83>nLNX4G%Og-RqgpKI?uCUaH$O)5kFw{o7C5a z_T^phe6{9~((Po7(W=<+;hdn0z*?-?G5$A~EOpx0S}z5!eRW9D6#3r8X&?w11mobN z?)y>BypGu1im)+mgf6@|l2x%rCD#x2AiiiUqGO`;d(}}KsfJCfZZ?WTC`P%gQpneo|WK^DIHxGZu$?p9mB`lAJ`TmV748@FFdHHX;tZ^pzH<_Kb+JJ zy|c-G4Z@$I>yL>q$EA0>jQ(L8d$~%v&6S5f;Hxu(cS$su{?x42n!TY$^-O9i%Cg0v z%hi5nlW?|gL%@}%C^gmAY?X2k=-D~WKwx?R$L;y&_|v3AMH=;nw0h*DiTb@-N&9>I z!cQW72f?d4{`w;I3@P`>%+^p;5Pz-Xx}+$_W4|fZ-zR2+S`YMb+g}E!mR@mn}oy)ptRPt*CFY4TW3m3wR@eU`W zktayyG)FIc3*jML^85u=Wn)2b)u{tBdoonetCyT&wfzlmJDu=;+T^R#3O$j0w9nlc zPt0}~x~#HdJnX~j%9v~RPwoDJ-7G_c(#ytUW$~z9*x4)Wf(MFUMbK>Xj_W6;O*NBz zd7&i5ONmaZA1$=>HSA?ld6(d^DM$VC@k?j_su;~~Ewi{Xls!kLsuh}~?j;llEPOd! z%69pc^pi!o`V ztMCGY*Y=kQ*I;a?LSi%dO@UvP%PMwvRpnV`qiuH6@83DmPGL=3#0*L0J#T3?CFTidp@ zJ}JW6$#7=1-e%xi^?CJaA@K|27*iw8JT}Q@kA$fkw>sA5n&EuSHKX(KN3G{HmKH%+ zNNUsi`s6CKmaFJ5Uw`d2t>Hc=N1duhQ~a!B-0F2_+KNc)OTvg@*P7SL+m0%>YNein zg3c{T?*5+OW@MxyhyE`3-qS|3_00{30vV7bqVy!5>pGNZ*(Y7d)8E_*qd9F8&Yz+h zJ*(G9%5sSXc>T$X>9eYeXdKsU!1IbBNA#qxp}b1IH@2s4Y2j2?FFs)|3BDh{1=OH$Iqz8V;@B?ON68FJe6bQaYfM)??amZ0TMdV5ZX+>Vx z@Bf3NYmaC0egBoB!c>xSTBXtnNpcvL4pc&YkxDkDQaSA7Fz0QeS_qYj3R5bl4sIccB{ZF+3^X_ntBMQis|g;l3)spStR(`3YTEKvONe(kqNLWiLxUOar*BnWTst z;^VtEx0V{{O7<_b^N*QRv4kX4Uzz*8Jb!p@g=-DtoWPO#ZeJXZ#oY_M1pPMx?*U%Q zOyV4{=C;2vj%K5xS;wgz`VS)vam%semwU_7`I4>~{^^zz?X^_x^!c7Ktxng)-oUSi z)?s8jiYn?&)EW}9-6Zt=Y%5U zPu`4w8IWWEy#I>X%zA}yk{!$LwWoKm+PlpH$m(w)kICEAS1z#+Y#Zh?L{232xDayo zjkL9LGP{q~dgStL%rUhVhgg`X%j?xw=sIbh|5kMe38L8_v;KlY>!Ey$piXP4)(X?b z*?z9-mXc85qin=<>I-H)(@aF3RLm>zy;qdw(RHP%A${j6mCW3m?H7Rgq#m9k9h@Dj z9PUo|N4cETz$Y`0HrUp1*2zP@W-Fc)OHBg^6>A};n< z*G`qdkFg0Kh(}kzRZvUzH_WWW7d2;YB>2MEEyE#bp##2q2Yec4Upj(>k0wdt(iFN}0^lf)ec z`ewvM$EQ!;IFvJsb{kh74z`$`HdeSLqh^*HO32+L?KxM{exy$!+=MN9Fyl9E+(pt6 zM3V((d~Y^QJx*ekc4j8MK$k&JfLpSsgxmH1#5-lq{0;MtdYM4{TUN1Fg~m!KjX$q^ zeqE`(qcqi&7tzy&pFQyUZyG?&Ur!eu9L>o8#JN)W)GC~wq!4I`4+Wo=*Rz*{Iki7= zsxSL$s(D7eu9bp+gcdD?3{X-U)sdVZM(zT_dyICCYZoViF7HOHkKfN; zw#CoF9z8de;tNVb!A>uvaO@%nRbGE3N?oW`NYtn^F2U>^AZ-)sAbqyrMw4Me0Xq9H z%E`Qfn*39wv0`!;Pkk5lL(x@$aJx#|pLvmVsw=sJ$^>i1eVmH@;FxgzZ<+grcFBdg z(ooCbL$+{@;@IUiH6nF+DgEQ4;Zvk>yZ(;HFNV7VwNv@8Q{)X*cpGS1da;keR@QV1 z+E4IhhPE8apy{0=jG26PmChXGaguR=56l=~?sf(Fw!fZMd~@PP^*aAIc~xWpB;1x4 z&6IwIb9X~aLrq(Y{?m#3VpC<8t1-7K@l+?!vBg)-jgD%yg94Xz4Rc5b?4r7R?v(w zEy4S0BTh$#%P=1w%|b6HJS-je|LXl7-k`gCIIXEPDeqAtuDTGdRaiGi-Fn5?u8Xzm zIsQcKQRKU-(-qPWIwcURMVBzC#(ZsxJZpx=AUutFJn&udta=Zpu`7i*JG+k$=T;Pl zrhH`Vy@#=0;j%?PHeqMj$Uh5e5R0Eq+*WqZs9IE57d#Qh64FYbj_fHCC5YpRxz`0h zdzJOgrv!SO?eXDX(LcvODr5z;z@u^KFWZ())Z{-ju8S(_7QXLhTLsGbjKU;XL_Z_B z?kF4YjJFk83NtlUjQvyR*9-)DXYkhw#>=bTPcAZY?YHEN-3aMj6?@6G0T{>sDK zPaRUMu0Iak`Hh)@o`BOF_NkbI=Om-IL|smXp1BPGD6W)0iQa80$qJwG`CvB1x*@Xk zH{WnJ)J3|IdRV16lL)PA-#eA7yq@`Wt2I8{th!X2Wc<`M!UgF<7HUrX9l11Oh8@)r z1d{h}i{mpKofvA5(^?dOeyOCcnvI*Yx7u9`9Rfe!=JB80kYTlSseL)0)=tKUk#)VzV%^CyrW5o**oFxMRVy=zAOX6$96tFWl47b`lX{{P{a3UCi`p=f8@m#?4|{i-t2PG4WJ zqZx|+IqQUDUjC=RWT~qRJ9te5C-f-re z@_ZLpvjaTV9`vo^^-+Bpo^E?GR_B0r25ttXf=KgiU z4e2}pj&9ekKmgtwu(s@f;gFR%Z!LYWoci8qJk0ex!GwNVDkdv*YVyQ_mu4d`N zB|9LNtp8ts!oY(SYO>fLZI;8I<(unv7C z&-oyP`v}%Hp1DOn#r|~FsypCA!qfDqttx#fC~X{pwMIg!5>3 zXQ+OWA3jiPse1EDED!~#+^OUFc5!0NpjUOKwN|zrk;EFNqc^M+-2k@s&Q%|jrV!^& zO)ddXPJNx!<%d_awGgvjsMb7*F_|;pBA_;nD7ndvws9g%)oG8amv{EWUC5SQ-OY|p zyyr;$n8i&(AA5Q;tos(Rob45H^R?~NB2CsS|M4S5aZj}jb;9Cec*D(tJtIfNh1<^- z%J1IiwFMN+*Cupzh;(`98a+0|NqU~TZv!|U3SYsz^FCzj9NXcDAKBr8OIEUB!$cAF zZPcCXht|n24s7dnNK*6^Fw}(xNXUDHfq=oJzV1EXwsB?efesuHQN8KJ0|iL$m$3kgV7*2ZDnHnw9S-C;I9)d3aiD>OUtunsHdhSAlCYsQ1fQ z=gx<=(Y$nzgV5u5?lsOND8hN3c6t_YE{jg@mB2RSJ8Z4)4 z&jl&H{pxUzN%LvlvoYJGejDT)*a+8}`uwcx4;x*NDg*slrv>@;X~b6kvZ7hJjcwt5 zWouLr4`c8&G|lpcnyMUf1c$IzlMk_XoV<5IZEsxar^tX0foJ!k2nBG^=Lq`WO2CyI z1Z3|ZMV18^?^r~W#03H*35N%}3sT=@grz=s!O>CK%QTX)u3KD$u{ci6;LHaUnnNW`dR-rx%>#0LPzNVIsbYCUBSv+-pw0^iuyh5<%PtW z4&O@cBpA;4ZawjwiSD`vN0)*t*R9{+mP6_zeN2G*1rLq$v`fkNwn~1pzxhR-6DUG` zwNuUkhLqYp(@g#KO7tc85WY&Nt^hle#^X)ou(TT^he1xm)_@t*tq`BsiWEpv?f3fyW4l)X$L$)NgC;awbuVAQ@ayTd@iIm+nF=xVkx;xu!k zfKWiP8KBv+?h4MgsY&hd*E3+UzW^P0(N+LejSBAKShja`!By)I15w0EH7g(qCatDw zE;C~%trm)WRHZ)ev!$jtTvVkF{%^HBepGB+A>2qU`n%bQ1iC$9o-UgG4_!bWf*}v@ zu#hgy$)X_-UouE@rB&nE&9K8tKd@lQukG-sP(U9~kX_1abBXIqIbchJG?flF=OPum zFNVcz9VR>kH1aT;oq(^gZ7zK|LLq2erd1a05oZ5*;>fqr(!W2p5+^PU%IMkBkfHp0 z*xaIhdCBkG(ynF?iCKC@pb&(vEsV0`3mVJ^ zx<$K%P9&3Hk$>RMIkVZvKaAF|c=+d)3>((?c3D4eSD=p69RzAZRkGxd4?l;u``^YK z>^Rk|0No*NtZ}X6atD0&3U`F2OS_Gm(I`Rqr$fO-{z{Xanr633mt=)^4kFnQsA(2_ zzoy3t_w-G{;)FXAwB5GBINi8{*)cSNy(q% zuLEKAa*geI{yzyk7mJH|8NQv#P$LyXR=#H38&uMnM#K)mulplrR5b|V8Y$;su+iMV zE>|5>NSg%diAyu52ktoG8NuCAwVQ(atrI{cMMa!W${PJzR)3pwFUO{!cInOsQS^11o4}(B7Yvwb9A1OpB4m@)6`bmw zEtoCADPyGRvT?@tHgjT@%7Ppz`2L(8d>WkaZpcS3& z>U*xkrRWcYT{`Fs0*%^_a2xjWRqK`?9mn442v+{p_es^~Gd?)ssq4Pt=nv6%x~u^^ ziEz%2H&iRHz2POX_k7K{0u}sfXP_T3Ko#K)!=L_YC zYrLL-k_i;~|K%!G&t%2wYW|IJ50|fAIz9o!4mHS-`DBl*@<4M2{Z*C+sYws;+z#0u zfY<^6)o4TrPX)C4YvH$dD^YraI3HKmw|(biMek{`omp)mR}(2g<8Dc)QIlEX z=VKNCe0~7_P__=GpF;_9vyk2B;@EzqSH)nR@S6p3!jkC2FX>uw4$?@57b|vh3biNv z&BeTdq2|V+H|N0H%MOAtk4)>_NJd8g?%7Bt`oB1?gH$4a0a@k0Hgbv`wu!*0T2Y?#eaQH zlF(fkN=#(A-8wnPiScBSGeEG9Yk4yLDN_4($h%Jf36bp_3IeaF0{q^-?#{J+-BO6~ zZS?t2HSJGAjlzN5Kw`->PHm-Rtcc@HJIF?Q3}#h1qzF?ANL!-z2E5CkBRSk~`5(bX z+ED`t3(=Y%Lbt87CFgnESkdsm0VoO$6_(38n(QjufaK2`Y#~P7xyBxbV$1;ZiaEZ7 z-~KE=){pwdvr=if*lURgFS4HdlN=X)G-get_2b5XJ~&GWAh=dSH(|VJD)CwXpX|Ok zd~1`Ot0!0qQTh0&u2L--MhZ#fv_M}h!kpqC0ladr$R#4ZbX&6b#SdUZ5NtN~F?}FO*<2>zuBf3cHo(3-$G=SnP9koUImIk-x zAM+`&uxDsx0cNxDR`s++M!6Gdhx?cIG)sSgB!t?O@RyF+)!MSL7AI0$RNL)saqc?x z4!s-NC%WD_%@7mJ(|40rH&C7r?}txQWsAEpE; zh^i0^w#$d2D+4+1W*TuQ$07;;X!>MU+f^^68F_$G=g!fU39if<^jNd|iqK^zENm>f6H*CQ~n*HV8)p+JO zV7u)z7e;untQn=+BpBsbRHB`f`V*)pb@Mk+NodE`GKsC8v(MD`hIAOe+HmC;%?U5D zT_cYL%sXc~(Dk)vl6>#}Ls1_81JB2doNtwwxB4K*bycNpN5MzD*{dKH1K<;|;f4Q5TSf|PZ9~FySR;5F;N|Q? zuj|hJdMu4RTWM^DyP^}ie#Iei33X6uXdn=Ep9l**%M0BY=fMAbW#EOfPPHLF_7H{o zqZhm4`8QhoSdG*D)8Q4Ozt6VC?^*HeK13-pS+VRcE1yn#G2DE*1e!i?He^G)mX@I+ zT?_TY*X?q`&wt$;zG>EoJH%K!|Q2PpvnA$y%-<;t{7&4G= zsFVUE@!o|K7>8gHP?HsCDH!$FT8lCfm={3x05ZWyh6U6a0OGsaq!k5+O_HBuTfooP zua%aaNKn}4vQh5bT)=21JB*$1tk$;(ljbPk$5{6#)UOiW?czSkzsWpcH@J(vOs|~w zRo833n(lXwYk0qLv;wf2Q^Ae%Ky6U`P(r;WpgCOr)&~SmkjBeT^EaZ$&r66syTvnA zy^_h90-6C$c1Z&$IGQA4!{O`$A{V?!JC7T>IFoKf`yH2=45a8SE;UkOZN-I*gBM5l zRszjdS3*{G5+?lds`9oYb!MM5lN&dz6`gM+=CiFBFZ(?N8s#4`mh5HU^;^|dB#6-h z8e-So#^s0YuQSU`osThEOEKqB z3>k%yx1a0ug8KFM0o@}gMrjW+j1jiPp+iw$Q1`KFmj#B;PBz{ub0U&Fi^pzGvE{`uWl`W(+A3Ab8yo;PrK{D=dQ)+G9^60jI+m_M7} z#DeF_GN0k?UTw~mr3~UgL@}w47kB6E?eBW%Ap<3r%rV4UH#62Dwqt1!SkFbmR{Om< zKn6_MaAx%Io|%gvlJ%-lNbK{ES)pXAtAaiFoBE6?@puMZ`AmuV#gpkYlT;LGdWvhj z7lX6kE!Svg%(Tpof9I=bJy_g4y8ky}vmwm3LVQRSYD=Uax-47HeU#chn2Bmpy3V>p zg#(2Fi_phn+1TXV8rUKuOZ;?}7stA`2;C@)24ObEv|tdtLI`p4kitV7hcHC@@V|_J`TfRlPozw<)&g}O-ICrKn(-6Hmw@(%oY03~J9O)c>+=to9!TVTj>7FMUllkA= z|HsgRlNp*Y+CRQi!!IfBJ%t1JhK0AKBkWgr1VpOC@>rGW*;8iYkw`aha-W#wIFxxb zo1ZnHM}`Z`hQ(vxeV`s&+14?r3KS})8N#@q)72E0c$PNAaDD_YdojL``Rbh>jk8Gp z`olckFP&o*;nE3D_v`a>BP~ZRF&-4vIfxr3Cp}seFMAC;5SOvaMEUAox3%1LSlm|n z)?Ug>)rcB@g!uSI@ z+yB9nNskrR^2nivH9|W?CR(7@PMi{gqRP{uIO8O{5g7i<&(RAQVv0Eb=M56 zo$~2ka5f2CBlIp3sd!zW6#W`qPwaQehl0dutK|FI_CKb{dmP8GW$9_~=ax}sN-)x#x6D-<*Nku5phSYQuA~7n+0o z)p8;}3u+cK*rQ9|?5@xqyX}FAkwRqazGkzd#fHdea>$bzt#w-@r8B}ncrNR_pp+LCEpEH`a8}4+=8f@8n!IGz&g~T-yvY0ZJ31$6 zYt+H~JJqa1`Ce9)MK%)YUzkudMKa8j84n^RwU&!)iH-BdQtL!Q1DUe|?Gn0<M@;0eu$S2sOMpgS&XcL1NkODhJUtWcI--JneogbV^GwS zLW7M6V=QL-=f(rbRraOo@!K9+Z$oA$iV{%DlT>l&D#iay;1Tchsw=pY9q_#B^Q}`L zyljVy>ZN{U%oJ7ER&gE+Uq$~umid@aLk@EIR}CagGP$~qn%xWU%~PZEk%mkU-n$2+ z{&t$whnxsiqtCjdJ$RTz#v1xE2&zTA(F|8bcw;de>D=COHLf$3#^;kS5p;>K4AJLa zTxHyXua(o?$I?;DQ|0a%GEb5g*$EMA(#9(#jWS7VWRR1uRqFpGbB4{&7rbjKy)u&D z-1@%q-rmY+JKJ<_%_dkxW%k)B!pil;FvD-7V?x1H6##v-kY$PtO(;v+#&gwrt8MCe22o(!`N{vL<2; zU^LB0!)eDDbz5SEK3jG>EGE-Nmc)xxEP-RxZDfVKt;1)Sv5||wk5yfrY>${MyBWd|e3d;ZSRhoh)P^se=E@8ifnC z`){;g5f?h;hNTg17vGQHLO%wWd-;9^zbSs0$#`gDiBGhCMfOnhh^kQ0`rpsMr4fa7 z6L6e}$<9*LH6GViQV8^EQ9&Fv!T5(NU-BIPiSdhnEqD3x?k5vDe^tm;;TmIoWTt<# zZHdzuKlA(B+cDde8>(DrY58X!r!GRBN-A_aA3UYzCZMV>)6%?JBbJs8nBQw<<_74k zlbF@$tuYfeGOb@=HJOOl7#?GYC;geqoZ?->{5G2Lwt%#`G|t6>cjPN~EZwGl{IwlG z%LF%Jf+pga37nPrCGbKF143MK5hj2TTd@R$cDg#1(~v)s9b%m*lJ&8-UJrt=lTqCj zeZ0v9Q(l~nB&gZb5x_(m?cjj0zt5FeE_=V*WFRT@;Vtp-oEWVlAB9JNMFI zsjT5nro_rilXOm-^iu1~eEnO4sbra+j;*mRBt)*lO*7(#4(vuhZHU&c4Om@C@!E&xc$8BuH~!S%M|R_7(buyQU$vhw-S99&as z5-sN5GnX!pbk>8Q2TvB?hd!aa`OEZ4G?A+9RvKbSn)$){nv!Qf+@sPg#wAaJf5M6P z@)G2R8wZv8LLzgLGtB=2r)7PPj`0&^$q(Xzb!KwyUjX2mPQ`!pME;=60o+Kp50(5P zJ5RRR|98GcW#Be!w)_q?4P-9W@o2}DKlZWr&c`!DuKxk}gPC6m(L%xp0HZeYf93L| zYl_^Q%)+YY?{9`xh6(l_wTClczuxP}eu+QTSWi>lPr@0s`!olG&Tw>jYeq2H-M&l zV`X2ah=Zgp#12E4u%rLoSZqV!Zsq#zoChLV$a9jpxT6UH+^{~$ zWzBUJdW(A_Jz^MJ7c>?!$wM?lQtSH0{MfvnA6Z;lbMn@(7&W5!P@+$j_(IEZ?|DKZ zqqm*$X7RIFLzByJSF}f_j`K~3ordV>m{~^N3_ik85fk(9gM80QkSmrJ|78~bs@f1H zs+xP3S853nop`damzu6Yg@TNWZdSx?+%aq?iQ}#q@_d^hgR*J3_0Cf-hFGQc+#=ZzUo@U(Ac&OvwN z6Ff!VZz*A84)FipyT?SxCFyRsP)nV=-b9(8*P&oA=edjGLsBd@I;U*{Kd028KL7|u z>un{7w_=-s;T0x9b@B;(hdG+HF>N(hk`+Lr$SYPcsO?-e7z>RWGh|PK*P?Inbekc6 zm_XbCZbqy^V6eE=w|$dIYx8Ntx>D*IhB}eOv8mwxf?gtXr0atUhEu2dQU_`^AmDqO zoh%fl{#^e8_n%4gR{~iZc(2zT+Y}pb16ejb)=&o3i!$W$UKB+ydq+jYR0%>;#3pvc z1tk8&)aQZfG%_jKfsm+-4sobmy&?$dFANI%zEv8kO7bxUz0JP7hID=2rY!c}PVw;l zwnx<|Q|vM8l9s?jH2*6F+f{TscSRoWb>YIr7#~~WLeHrC zD4N$F9y40xyG_RARfLJTPl_5fg{mYg1DNRCZRJ;4@xeJ7h4;fr!p48cbBqE?P=@@-~vSK|ZKw34vj ze^B0!%?vife}2r7+YLf<>o#|VVY5i8OCmKAh;%zw7Ss$@Rcu5@1H_XXwQ9h7JIU2n z7CNT2F8)-AOb8s0Am%@3&UX_M1;OL{tfaJcm`!5!pqNe^>xR_X3ZOQ}U3i^VGGiX) zQ|K9zHy;YX@2?Fm4exQLK@ScU%TW_aV^ z7abT16FJgtm2!LguWAS}15&pyOpQOQ4l=iuhfAvMh+?(rrNP7F1&AFphAk$*(o7vz zjK%LF^WpnKp|`p=!j_g7a60p4PiB4jL+H-ISgSZIk=vt%nY_H9U2y8=hV%t%1EaGO zwjWqbHxBQbb!q5vMHZ;1Y$AL1v2%XvB2xAOf%%{`G*MpX)jd|&Oaz@b!Km}>OmNwY z%N1l!Lug)B8O|g+21Pg%B$zM3Fql)B{p_HN&=O!Z*$$6!t$ImQJm5?fAM|9@6H&2nv~9dAr1xHU9)d;o-c|bOyG?7J=^6# z?}Y@oeu3w^|+ycJ6OhF_BMeJ%{!?r7J? zrzl74w+6+XnXliADfq*WUTw}K+sb(264n;XUJ40t``G)YR`y{=&CF#7&rXw`n_P1c z2_${%K`%g`#$v$IyPm!APwUa;e`paf>eC;U2lsFK#F*VymZ8r->wUSYHFIPDOLIHKS9c) zb~F{}MnK$aGzm9XQ99VqJag1R(E?Ds!UsMUj+H{!X03mjNYCIZ)uU1>?}N5+TPlm= zux7C+JnFt#Z}nUNIevJsr1l~b-Y=MyE|tEkJ+8%x_3O+s*T&A|Cd?25AHt)TT7Wlz zcp}T)ruy_0c_WH@2CxeEJgM|EIp8<^HyD+9^5(u1HK{FbjKYhfCf{rXyFjJV@7u6x zDajQ~_p=7m|3sBykeZ^q8iBd1$U@yK$46- zUgU$G+*jkk6{IQ|_W2Hm3X{3Hb35<6?{)=2w?s9rRh*GsYho&sO{)`e8gye2Y5UT0 zf;8R=g87NR+ALawzZs_5uUI2Cxi1dm1?6j8Yc3nb_Ybshc4Z{$#)Jj3AitD(zwa?e zS#!A?bMvd?RA2~f+s_(kxI|=Qe^c>4nC7p=YMLx>i{x!~{wd)Xcf8k&lj-60J%<_> zQc7Kja z9W&iX=Rf$SR8>H&WLpK<73R0u|I3&rzX}U(e{0Z*wLbcK!I`2*ac{xAvfo6z7%1F1 zZQi0J*`jOT(%(GCiy4pSka;k$vLg?+_-*>p*K%(%{?%}-w!ta&qwo;soEK)MC_JOu^U6dSWp1kna=c`_^51>p^5$aF z!_od3Z-}K*qsS-WWOox{iWk@TWV9xYCp`>Az-PYq(y`7EJ7OcXnE&O$Pv%x2&Wjif z0jE1dAVf+-BWK6`8(KVTpmCz|9`dy0mq|wT6;R2_W;A{j~Dbu38XLjI2iw}aEx9?p!VOBpnMwAW=#w1c|3p7jAlCN}ybAUx|$%{#CeZOmnm z=B^NKI@kpwJ2sF!Ta!FCKLt=tFOtC;?iH-hT%Y;DcpKtPe4X<;hdeDa<<;PPVVNtX8XTl(B=ou7>$D(W4urB=` z2qEHVJph!Ppz@F34cBrtu()HH!(qG{WK16XP-s0_noX8|51Hq6j(_H!C|TG8xO>vE zke?xez>Rdqqb!k{m1O*b#h^;)Inz+umfWBw%!!c|2&C;tmsK+kgvVEVGWPddCJZ;% zH!+cLUn(ze6(RsjyCyw28}%sqIXN>^_N@e>4g+IpqUnmYBpsrw0j#YA+2pYnp@OA_ zokxP31FD~7Hex^3@texT-~B-7YCW{+_-DtOn=yHXhu86Q-x}l9`meC;d^6t3xtJr+Cp@SS=;KsW#?QypByQX%pj2 zE;lTuC3XYPx|uW2OXW9=`6NZ-$P5*{wOD7&bb;sk!*kU1zw|{R!oGCjIPc&cXr-`T zV(LQ{?G24G5Q*PcMmTMc?w@2Q$Zkv?PS178&7=MBT`x1`Z7ZM%?*9utJ-U%+6cOWx z^~E9Yvj+G zJ!reL8JG6t-K-G+enOQXACkEj8F9v7j{c;rY;@%2WXt3e$43TL@W`lChU7L0^%BD^s~Ys|%J$ZUSj>tM5UC6baqY~DEZZ3m{4iFB+$WAx|(5Hx|# zjcAT1GcoJ%XpA=fD+szKW?6cSS8O(3g77b8;MDNDAzq2!${2xV%;+;2E2F8*z=?vA zc|~v8cICR`n|kQF4qPC(%{Y4M%e*Qt3m8(WhAP(3J8a*DnJSQz*?@?!sU4o4Ap6x$ zgRH!O9u}wMCNvb{C#2jlXqecvDah~ZLGcCy#dV(7H;!bHYM@xxns&kVo%4jPEO?Hp zi3VDQ#;XM_fp zq<$tM5&S3y6d5zf&z`Wxbto{Sh@k&eo}5r7W22PJ^jr*QvuGC)i)nfP>-59 z1Hzi!6P|P@!7%#HYN&?zh9QjgkNNEn!vHPHBQJk+_a;M#E21d_Wf}Ppw z(&G)OQ=`Dc7oX4%tKdKK@yo-ry1WQRRbCdhMPJI%wNI~P%+AeeWxfoB04Xa9#f@p| zJW3yP36DXwjX`@+>lH3oTC~Qtb-+oL7KUgtx~L6$zDPA7yxPN z;+$MXa2Z4GW``GrqTuy%18=g1DelYCAH5;Td07ydV14Y9I&Kq*!^0PzbvvysdQe?7n1CDLIG&%cv6px~KTl_fa!v*dKTS=0GTiB`)bM zyBTg4;2RdN-cW4|p<;`^`&}@|kB$;PBJ4rxZ^7n+NV_EwT1Z9`rk#m!dXlfHXDGW4 z!q>V`Re=Ks?*USIp)7U>-5x~RVLwHE%FB-wW5}K(FkrzlX$+uS$8*!G)rCGS<57mg zslyR$zh8rc;Qa9@&9InXgCz-`BmFx;e27dEJJPsTSxgpnP8>fjZZSZgy0t%)-(1gN znm;J|?w|_DJ2=(+@qTrB14y}zxLh2+#^~K6IPM7IIz?gd$MWDb_u(IXP7>yI?45f6 z&nMKa8ClC)h+NWLMFNRE#!P+5@C^!w={Lhc;&06!t@hPKa^~rTiZ##;L|;Qik-a0h zsj#ln6Qgx@QSvgTG)KM?el#KaJ(I8)@Dnz=$OG`*2?AN=n`^At7EU98gMy< z=QE6jG(GKQ21$GARsE@57-JLo@q^LQruwe->~#H$(VK~G257fQ`1#Sc0Zbzqo}ADb zro9{EiOmKEoMtHj$dOGibO4qxfESG&i^jR3ORp#nKa$M@C&8dYXC^tdot90@kD_a` z@T}v6PZdyNxgi=ni90vC;g>QSXfrDdY2v2JLV&aoxi%m)$D8_bxxdrj@yT zed^N~+!$PJHpf^Sf81aB_pMyFK(K|!37|H*J5GN^>|g!TI5$DlqeHWAP&gW!5OwM? z%luUvE9RB~dlHXp3)G5{=gK;k#0KhIe=Nx+Gc(@`I>7Wf^=3RmhlB<}H-<^y95?;} z?PBVhgqHTi-=kBcDZ`?%W!MnYJ!9n`FWy0^t?iSLI#9P&+P`OZfKl)Zw8N_V6St=6 zkM=4wk)FLP9H70=V|pr+wmWpVc|=R!`zgjM3}Sc{8!)j*K}QV}s0AVQwo)X_nDK9p z!QyVmadp4@0YF;GXSlyrjPNJbyy6&X5p8t&vOBST8u-B7OBc^yxIukg>!3STWf?!` zD@U<0nGCZw>xVYBfzv{oM1j~JV{3A}nZxzY(KNzy>^C!%np-nP7?l+I!qh* z&UlP1$Eukza9UC7##4qQaR=8buW8Gky7A(m_Dj*f_lZ5;jhO@|(FW2dIgl5dmDqhT zojW$eX!^#D72wASOIG8Y_%z_so#z=O25>h!k3B9_U*`m+^@)c6UL4@SAxq&h#-8Pv zQLS{|3M6(j9K*F7=QKwAq%kI*idUosn=uFWRX)L!8rr@3b6ky({P_=KA!fOZPC^{R z{zV~4ZI2@0n?%-lU6JrB=5IR-3{YC9C>{ycAqYmkUsosyj(T0-f~{3 zjlXK~2b%Ib8}p*!d3k3-u_$XukBZQzvd7p@hGby8fpxOJ`f~eN&3r}FYZvGGj*QE3 zN_d-h#N5cXP3&A*{tbJ|YScD6ADHN-qw||8{Q&Qt^8EDj=imKH=W*Ms zgacoVd|+D?qZco$r~kcBr0;H-z7otiMyDZKDY3lcNwD?vDh=yPdjx4g1LaKlp|;9F;ela~rTQoH zj$N(=`X|gEhpu;66}Laqs90w-!}ck3a;ZOsh*I4>AUZMbcEcpo+4eQ5|B?Q)T%CHSSc zurmhRWJ&yn9o>)RDV=)tDYK7!V&BxgdH?K(OH0>K=lN0fIp6xL1GMtbqfA3}?{3or z?@ay$`ob5WeP$m)-ZEg4n!YryYbv6y@OT?}L{svae_9xQgE5p7%=GFdeV7f>`DTSX zrgZ3Rl)XamC$BK|*4_AhCZr7K@(8WgcR)_)I#8feFmV!!y+7Asrqexq%YI)<^ z*Q2?ER>A(&zXs{Sj0th6r^Rq}L%8CtMIiA7p(^$q|8eRxsO8L=sy7BJp&8{J!HHt3 zxIcQfENkg^-e5)BW$)SepzKrnd&V`hRD#`o)8u7$5ngp4S!>X?$Bfqx+pyl?8ew&j z9j9-H{DU0L8-#Cp6K&j6oR04akJmfD3_kzTqXpf%RG%J#==k@aV+*=iI*WaL4mWd4 za$Iwl%(~cqRL_sn{=fL3vI%N=Y+kxYU)jKStKe4C;ff6t_lNWxey+0ZTdV?;0>UL{ ztkxi}n+gXji9Gvvko3T|zoH7j!;-GSda%}sqe6^6E{kCmq^uN{P zUJICvMAGO-j6O`fN}c{v>{vEmT&+`cRez#PE4bcib5y~^w-*k@NaS@CJkA)_Qn8Bf zGkjW%%EfD<66eIBD<}xbu+yr)wYW_m?{0h{FMCLI)QYu&S||FcNA0K%DSTBUaWAtY z(=3)z7U zIj?|J70)xo-fT$M{9t2VjT~*rD0Lr*L!n4!9@6EBGo@|~jg4^H^HMxj9Z6&mLJH#^ zif^n0gctV*)P&}y$_azkxr1H|T@s~?>yq=t2a!F5mpbg1kKJAg87w@y-#&A3_{~G7 ztX*>g8O*p93H7qxA8!0~sPr@v3A+z{F)=KjfBg3Z!m#H)=GG94uv%|?-xLOrlS545 z`X>~8oqJm*0jI*_Jyt4AzzL^_IX&Hi3uNl+xy z!Gp+rA!&T_^QAXR>&qa$Vaq|qminy`D90|J8O!9$Uk3-{vi8nOuSnfrMP*6*8|f56 z`m7g%hMo?Kb8JTuj@JpdxBm}S2CqJ@T-!*vG+Z_d{atqb>)SPUP-y3=y4U~iv{#d- ze0NiYFV~sLHpgSueD?@8;^Q|~ zwfmvXPWR9q&*79YUuWJ4aOS_(fIr@dIdjwzg7{7D+$?tfTT1Vcz1I%j`_Im>l0)Jm z7<$x9Dh(EOY!&t{J1_dGbi|c*bRLVW-bRYmo)w4|&5NcBAuo4A&e1@_c{a#7OXs^I zEbKY_(@Mg;rP-_ebCK2d6`nQ~?;IqT zFtvXBVHJG8B=jYJg~s0bGxh4CIb5d=#p1^pX3{e9 za$`XCf9GpQ7$T~z-N@^HwixUL5?#s4xqjZQSf{0UE3I|Ew|^PL!Kmu zHpR5JZFD>xS4cs#7B|zU-BMQ_!hjo}R~mxah&(l}DTvjW*`WUpAUkKNbB zwD-^3<1-vTDC1+y=>`+`=b{RToXZZ;M>>yun$Qn^)Z+|##A=I?+M18x2-BXZ^MxfN z>H2oX_3l9!z16zB0m}T_6`2Ifn&Po%ZqaKjy{=MMw3QF8=Y++itEe|CDrju^a=cxU zW`(;l(k7KX1HJn1x7_j45%!1Kj_ivrlmmA;pPDR+C^eUH*%gMpODVTS_q)9OD$~32 z5v|f+c)8hH3E7Zp=N6hSkP*JGF?-U@CbO?jX99;9H)|E$fx(m*e#8 zqvn?Z>#_XOzEZ=&plNzko=ZdB@cDXWzx`^*6HIFh1C(6tu#)#IvIm~`9`I_hHHf6x zH`wGm)R*@i%&thDus5#0>?%@M9MISmtecWzWQ=pVv{@7MLC%$uVLVn-I#!6@Xt1|^ zLX;2~5umftFgda~^vN6JlJW5evqWV2N2~?(&+=1vT@;r-Rd_pUjE_$o?#aK|SSZuJS!#FHL-^%&{#~YalDNY_VQ0qJ6Emc0j;q;H zcSemFK{r`xqMMmMHobkk~66X`^=`U^DVJ=?SC)eFq$vBK73n)35y17NK7Uo}+ zwy#aK!R{z#M2M+Q2RyLtKX1$7x) z7wLjYD+xt)j?2x9?Q*jGBwE7QtrUxL$B9fYqMTclm9V!X)vM?xF)B~fsKj{4(p%6X zW!b1q}8N$-mU8wYZ(6Ok9w(bHHz>Uil(uK)b6?#rBIif)`;bbIz#@a zYq%h5Fr(dc(~8+$yK%&lke3`fWwV*lZ*qE2pntJ2HsPOsli^a*Zv%09mB{qX$l*_h z!Gp8ul;oR4+tuWf?1e`sHPp3>iiIXtqh6>WTtIPuUkur}bZt^qqw(-DWGly%5? zyK6fxR^~jDZyBRk_J5l`EZ_evd9U?qy5xHCqHTX|ObFy41=c#;Fso}lrV+MtzpNgN4bj5Kl7EV@v#5L7m>WE%e*7;gX_e#C|QrtJb zMEQ&vx<2fsT;4eKV}&P{;|Z4TbG?Z_l^%A1c~8<|(@Fvnt2f5-`k<#Ymt)3C(9bJX zsFh36_|jF1u28Y6@EwzNOb8si-#84|)(&u5N}Zn09rsM#r!70<T(8bcZ|rIE>MNz@csbU??CrFdkfU-As>GuqSwT-bb^lwVt?iGE{Lvrp)r=ph`Nev^*0EaZOs92^m-gXDf{n(j&h1=L z5v6T>nG|??zL2t1)gVi>5LI=@bq-+#0&RtddIQwJ~MjI)2X9PAG{tYQRRCb zL}7W%NA4AsA!plNpVG@~QwrxaE~f-m`t8?mBMH|IP|nt+EjvzblvF4aybNr#dtBV? z>|xf0C*FN24w~;INsm199ONZ!dOZwAHkUS9Bds0m+sj4RO2H?mYaE3gsmWf=Hrgru zV&iL7Js;g#+8;1WC{M;xl&*wKeVwe#BJ{8h72I?kbfK)i`>}pgBx544>2{G_3fo<< ze3EVJn(^^=k&Q-3twyt#ch?5)D|Ua|{v?adgqb}!&fWULzMCzMiDG3b(pN&xep~Sj z@-p9*ygnM5h;9@ZCiW?f1)3r1Nm`tld%AAX?V8y_w~S3x-@K*XTHCa^yVs?_Ywcq0x6mQrOSMKbJZF)9vye2F4Vq=D# zHS(SowHiNZW)nPW8!}a0N%g^TJ{?$|Ix?iKBgV}B;MU-Q;*}-Dn6q7pN%GUPTFz*Gf zbyqH54z}(&X`+nO^lOTgQ?NaK%OF(Z-_**I0r4U2z~ILlcfW)k9`818Ww)7-_A6Ez zdaWFNn`F;&9lGjJ+X6>>`XL0ZKAbx>FTdBqVjvfS1F1Qag4WTy#&$bhg#DxkCXJeB z&~gcyIxd03#f_Rhn%TN4NqNEWT1~s4Q(b+hkTSgZJTs1Q0dM4NO}Y-V0_FO^xB%Gt z8Lgi!msuY#jU0a91uMI8?9M!MI?42{k6mKZf5DWsEAfdnHm}d6H0>>+b}LX7wS}@h z07%4X+#HBQx+oLohl^zu+ z{XYBd+k(T^;$=##+)4(&%R*A89J$w8w%Z~-x#2siw)YlX7ohNTE zk2I#4U>FPUYqIN7gM4o^_ra<8efduDN)EX<87lu@7tU7~a-LPy**EmvbdRiv zNwcHL`MNY%D#y6&lB;BV`aX6gxv5s$uH`(wT=WLHVkPUi*@@Fe_tq9IZlu)4Ugs2i z*0*TTGsf{5d1jLn{x;3plgn93!&i=)X_Zv47jy=F&uuCpd)~04G?z!J^mN|*tJFk4 z9T>HiKbkx=b{$(kqV}w{@XE-itU+6BjpoAeNV2B3FxD`#VsA-re9i99WtQftffT%t zc}c+GbgxF|!nM(wV@p3q^%X5KNZX;fKLe+Nd<3NJsbrhoTCbXh!=Jgu%P;&Vp=FXj zIb!>*CnzIH8S^nAL%z2QygyWV&2=7qnR3xm86j=inXlxwaK;jh#Ex~B^P_@lwx9si zu_7yLi{^O#V}Xbv6nMCfMME!@exsjV;K5In7-EHp>92;IzP-@fkle(V4WwF8;$$cv ziX1h(yWmKN3Wj-rI_mI!9|x z*o}7&)R-ZZp9R)wRC*Lk5YN9iUDj+Z8F{F2UUNB(U#$uKY zm%$p%`cPq)Wm4T<3(oU}Yf)GFMT!;@9=T`U!n&;OkRra)=?|O8af!1Vl{VIla}A_~ z*B&l#%dCjfe8~_BOwUftQ_BiSzd(C$xv00Cpz=9BkWc2E0*VVw<9!|DTBoBmUs`QK zAadw4!^7aBYv?V?6p?+t>shOfIqF{B?j_8}l@yKK+Yb;I#@2Elo3D;ayu?(8>U}kA zCLb=yvuYU0nHlewcgA2YrVHGbHq7FU1h8GpL*EXx?EQKo^lMOj=xK}RN7RcfY>n^1 zy55vK3N$>A>2(<{Uq4yqV{X9+k5?`bufCOg^Z2O1J%duS#5_%A&ymq&8}M|xpaomW z`1Qw4Scce5>HW&-UbWZoy=Yt`7&+iejSE-57CotJeNckL>Duk-3CXzUj( zGuiEcR(A?h4MD3T*K)DX(?PYEi-4wiv}GjIy6O>k;4fF^srg@Augu&F>YXV0Rg51zAU=WN)qMHCyzwTg@x> zD!Q6|oG1;V-^H>otY%(Yzj;0xS->R6K2<`l)FB^M`_S{uL1s3gl#!Eo}U3 ze?4BUksCb!``h$oALfef06Q^VQ zEpMdo2UjNFyQyWjxB%S-$>p{xvXoWw-QBCt>&3m?XHq8XbNnRr4HruW24FRsY~V0Q zDV|+ zJC3m^Io*8>Yge0uip>H(?_VW$QR0MndQVOt)Xf3Ofe^2yUeq^ySI&!hUJ+XN?;vL_ z6xC#KH6H6kv9g68a6`{r?Uh2-PxPpH$rhoM28^julz(NtN1ECZ#C5d%z7{y}x;io7 znuzAbY?(8DvE@f(L;e5tF{>&$YMk)Oi@zJb+ry!7*O%lzwO0*VJFdNV-tnZ&>Z07? zwjGl;xE-h7I&B~Cu)lfs#+1y-@2jR6`v!ydziR#Ev*Stdj+kAY+y7SbIae;e!5R>` zK^8sq^`b}f+dYou^}A~utYu^j!krAfpb-XDykGhBRO~begf4jEcmemNjY8rD-TwRQ zzvN#}3N9^#eUQ6&|Gj;wij0q;{Gz9%3@ynbJSO3A>0pDfRb^$?&88c<@4vn-Fg{ve z^<)h9{Oa>JF{J7@&VDPkjPSf$0!4dD4{^KGijF@w&Kv&GQ`DhS(PjIr@bdeoHYm4< zl9-_%LKeH~^P`{>st9rGL-az+R6~kprtwnzNaAM|xUkD9?@Zb2T$q4ScYINAF#f46!jg3-b@$N%WO9^n z%~V08$bG9ondE&sGrQOZqO$o2GnDt`3G;RJ~2XsmMGHf1Cc@qOgZk_4Z(3XV}|gal*gK8uy9)B3FypwH<3S zPCWVCI)-4L{rLB1<)XkH3U*=1#_r{91x~|#Gttzt1L>c-hYN(QcYj_l&o_`c8t5g~ z;l?XBQ8hJP@bW1;(QxpAx%TdG%ff-EJ%1aP`%1*Et1aQ&b4yq4lS9xO%7F$|tYH@{ z-?XqG^B}e6-md2~{Jil+}{3WN_ zbs{>7IGk@S5c=s!rk(bIgcmaJVH8XV?T|~x$?=4(sl)JrNsPSBXemZgV#IW$WL6P#i`+GEMyKfk{)>8UZu9b;U zTFO5tJX?IQv$VcX5f>;U&sjFFf~Nmh_at+Es%WSG1@>LyqZXN+RTATsw{TDNLy$9J z<-Yg{_aNEDci;G`k$ESEUH)yUJ9U@9b=duSLjHBlljZ*$b_*vrSmO>mUvbkG8NX{) zgnP)(vrv@xx}0OY^CKrj(*sesqx;^@7Oy8*JAv$#5)()xejD`T=~*WgX;mW=q(O6j zrT)XvfVwJ+U$WNcA4x_;k+4Tqo8DrL3v&bC`MDg0?eJkHe~7xPqJFG=YDVVP!@0<> zmhU}lnrHHz{5Y@0#CntBLKO50`qs)@q znZ{1uURy>jdvkSlEfwV5-jt-Dnygs5uS@cXY+8MLJi8b$etxRTJ3brM_EA_!!U%QQ zZ{Ss_Ri27){mjFul=$w6dvo6H1t*R!ynRm)c`^C<0&I#fdRErro-<#5=9vAS%|w1f zorlZOF2@p;lxh0n&Aa)#uNLo$cX51aQ}{ixXjOL$cVghs7U{wJ9h)QnnicLS3bC=z z`K=ar?XrHGn_zmgZ|!iCot_K+m_#LP5G$Qh_ojN;5Xh==dNw`pDJ#?xCqV1R^eYNq@qs z(Pa3irF4Pn?l=n=W|sjy7r0Pqtp9k=%GH+c1kI~^#zYTh$EzIvJoNfV?6HQi!h_}B z4l?hBdWP0FUb}0H=X@V7Xs6M5&&bf@T7i=flz(`HhpO+2%kuu)5FCH`!^{s=Bhej+ z41-V4LZC`uxodp)6`bFq_~B10g1^eb-cN0w{nt|Z)vlMHR0k1?wzwSRB6p3wJ}$}3RW@Hl`s50>RIbJNJh;5{Z{YqZv-f$v?)$m+ z{Xc7zY3*Lu^Ye3F$msvxZ`AVh@RDx+ssy#R{8ga)p6lWzEeZP zhSFAtPV6j(jead|TU~QI-fk;x)f?I5C7*C8KJZJ{3nTDe)cB;JpCn0qzpBhfQ5jMD z+f~NlQOA<9?jHYYePJsZLZ41*}pf|{BsStWz9~Hcl&i-9(NWu9_G=unq-Vd zomb8mtO~PnR#w#RInBGaQL;DmpLBjV@K(Qxyj}JhX1VxL^}f}t^n2+;Xr!=8&fv#g z7dt6NSNeJaL-&pnMuktB7si*kM7{F*?18+MTGthe_BEY&e6;UozG%(`%iYxYlA)r}GOMt=yI(VFJBpm_UO+|Fe&LW0 zaOVDVMb!2|e#z?{Uh`+4zu0wWhZcg^pju}jnsZyIt8!_quxyA!xo7N@xJkktjc})Q zUoxuwo3uyuD%0Tf>tc)B*1Pey)IQxC!&!-p&OLebzYluH?DI1vVD_p9h;bDnB?zm1 z69vc0LNzWOrC3w#@)yH3Eemt?lVwW3<>};EeLdXPX`l0u<`qjQVLf}W9Pw)Cgd#$_ z$ij2CjTe?&Z1JvOM21{irZQz(F%+rPZ$0KcGWoBQ)lOU7uNSgMl3c!DmCN`{{r>lL zL6n11- zcYb#W{9>l6UoRsRi()oK2WTWNpzSAhI?VU292Z=92Er!~9fAby7T;R9Zh=kVLF8(3bUeQ#ab z98~_q^FEur3Y+-#Pig3GO2E3U3!)mIoSpCsxI_lcIx7z%ewF=}&zO6z2B=X+;rlI$ z1q%KXsFGrW?+12|ogA+E9uxgLIM?!K@f-LKpl?viQ+e<8ptJbY?|msWb0hxhD9Z&r zxJB1B442aKeNU3@oHm?JWN)4srQUB*=Qe&vXppHhtXSx$@u19>=6gU;#*WyAh~^*u zMN;Y1og8pOpS=dbu7^_C9$l6j=&{u(ZmIUFe>1}CdCoCZ@q@~%i4~;EIyi0|F#jBI zm!-n#2!1lUc7>8su`Zt75qjp^9aEoc&mLBtUv4*Q5&vd?XPofr-P!(i^qbso-(s*j z-~aR)`W8zm(dN~1=l(n_T_Q|s(+M6Swkt+2ZZij zwi;C!N5GvNWY1O_F%Vv1{dXRUelN=pe{85{IY&iqfTw*%xhsO%*AlSY5tQ>J;s!UO zsDq?f&PDvm`xR3@E|JlL)v0)6GTq2?lh7duVIw3nf?uK-j~D%1+nlm~BMrhjBydk(|b4-8@edJm3%*Ay&6R^!mSiw7@*kJQ{5Xe$K7suy1 zq4g{`i)Z1Qop|BuKgp7e6N?Kr3ny2!lB}1m{S?a!iO92FHk`@n=ha=JTk2WTS-=CD z$0daKbEKLcIYV&FGq`L+9iY97OG=lL$cVz6|E%+VQ)^f!54Tlr8kQrb6L1_Gac!X1 zUj3>FfbKk}E(6VP0nG2CHhn;8rUd}|g*yfBR482ey;Z-1 z4<6vdhDJG$&vBkydMNNEl4>RRM37@J_Ub3+h4)Hxw(3Src^hOK(ioKxEktEmffcuT z4Ub|`$NVEaypTBWLw?mjM#l9Bk5Vu+kJSYG!u$Tx6k4)?2_B)#RrP=kS#jls(Xv+o z-yfKWA|Ci*&(<5Z?#nYnR;YrF&}&5<8r3x6m1qxRlr0&nJw)n@+mB28hYRljB8oQV zq$ZKyw{qU0;yg;xm|}GCO)#@7(xa4rkU<@d!8CS_K>y^tgU{09gzH0=2C$~w1y8i> z>UIFxdBD|Hv3W?nW-YjmBpmgK7h{?wF*&x$l+@{eX3M*GpIFh(;mC{=1y_B!j3-|L z)6ojHK8!~Y47D2jt&hQPUa65@hX9*(Dj z-8`HJIx!3xXl=OV`s&I$+jBs`V22wFUe;pc$M|!o{HUijA z8rGGOv7`Kvm&>UF-Bpp$GK$9cBZNrR>Ud7noDXE1x2sF3#od^%pC$5efc8;-?=Zem z0x}JNOxonU;NLA*fEGb|7=uY)2VHp>4_g*Zf5|s6X4Kh4`#UcN2`z)*`2dbjW21E! zxBUlC{V>JN1#d76>ylSXP5R9_4&nidtvPOC`YH>g#4V;aOW}FW*`bd}9MAsL&f-L@ zRy>sC3&i1==tw(!SQqC~9&U~-w1miH(vr`0LIK8}n4nMTR>L*dey!gok{pt!x}#Io z(JS4W%6Ym?V74~U@?$GvO?HA`WCc(T{zlEyUeEjKS+YY9%%OI4rb>tWg#3#>Edd6J zp`?!EA!GabjiAv=XbOaykRKKpqz6lA5&rh)mS1Q=x*@D%JI`6>2s|MMH2epTj6`f7 zUs`RI1UoN+5*ZZy*$A%bbt5(+u5J5kzsljZi(4-wAg~xin2Qe5y;(yh$4===A^=HZn!6GXe|ycgF-7+;qRc#^v_9T*dxr1nv)~IJ3k@6GnFwN z^@N+eoJ%OdJJ}%rEI%^=PELRe-l-?rdd|3`vOoHZ40Rsg?PJXY-m(36Ep|o}O=3Pw zD?5Sc%t0#v_{rhzo`oL_(o=ClZLn8Qc4PdzF@(qfJkHlEAs zm?V&b6G(!0+7amb#p4XWS3tzE<#qhKt=KmpX%51Mbu@MpACH!Zhw`xxyFItxl&Yl?>WiKDE zBSmttQUTbjdD5T-8+~{eL--r9t1Y5vzPO{4bzm!v?R0Aqf@J8BfdA*OI`ll5o7-{L z1j5K$6FvL*uitiSw6^xDM|yYxVITaJA!%sJM*pNi1>{I&UTWj?3`S{^DGq!ycVDkr6K_egCH(mEAdStx zxuF8(O*{YRB~4lly?S(Oklu()hjE;@sg?7oxL%2XFd+BforFG~2jtZZZ_+tmSiR2sfmL zGPO4%Xp^&eK9bG%-w2k9tCC6zhXPN^8XHB5dH~l+rFLctK{+`~93zIGcI6XGuLjo9 z^m%cTD8VOVHi5YH{Febi9jt&D+W*@vi2)w_g_hYggea6XmI4Oe56&?KXnKI5${6UV zjk4f_l6>@`^{Ip{eU+0~D^M0+w6Il%sfEttrykv4Jov1wkX55Fh7M4oP50=2=%tIs zK{>)_?c)c@%z5wcu!V3hpX{Yp%>N3R8`^+6!A7f*t4y8&B2eL*8Cd5&V!&dcXpRF} z3=i+-*Yrkf^<(ri!oDJdX6In4*3&TcgdcVgQ#fR)a5sXWIgXfI@jW@`b* zkUl4J9Upp>H6cDZ1{AiU1D`$K#fe#0m16UcutkSHf{jV3waReqPTq;3%1w0W0Mh=e zx)Q4Y4O-z^E);vdN8=7x7|Vc-V(??)@MB_d=sN4mD|CWMjZXBqd_#^=Hfaqa2NLV> z_gjW4fv8X=Vj$KTNXuqJ$+Hz0#Ls9#5oOe@Qk#I!7l74n&dQl_cfmW3h2=iZk$_;+>_M91+cZ%4dkj%?aM=#!5S(-HDC3 zx!&}0*uy_Ow7~#WG3>!}-+BLG*GE#bc=NV(nphnAus<)_!wW_6 z0SYS>{B!}DF_?V=)PF9@DYpLl#@oGuf{}zfB)1&zbZ;RJl`dstY?wGMB82=%dw_OD z0t1atVz}``4t8RXssnl|s$jtyI=g$F>3%9#`%JOmooSF_&YilCkn6k-8?vdkbd-TN zbGFhm;0n=Mj3%TsC2J-A`m(tv3Kb%90_FW@2-c?ZdYaH=$}k!P}j&9SRNJ)OlD6 zS`dRR*v;qoI`VARKK5w{2ZcS<_O0SQZ&|Vf#Hqzhb=1R-b>%!qZNQSfeyp=tNJD4! zU-f7Y8Tbk8Qj1+E_F6JbD+wkgM9PkbpdIY%;;CqMruji74;4e$x*xvozjsaof+;4F zVy=!deFYP|!v-(S$u{|I?FAyR8=%}q8JsNRmHKJ%S z{7-eL*$TSo;FE=BiUl!T=MuQ-79$GrfhL{JMw!%SzQ0Oao5`u8Ttk5oh0M7v_XNCyAXIQRf@K!#*V9Nk-nXFMDZ5Xg zcDC*Cmhe#J8g`W9y?4CWa*pJ%b$V!&r*wQ>G+L2ya!w9%zpFPBdO4;xK8u1~t`0Sw zL{Gmf8b^57wx+$(0E9TwkZl`3O+V@L>s7=u0sZ zC%xA0Ia0P5iuAeGF8D6C;GKS2?eSEsIttSTH5@p=@xWQK9=euhTg(a8=^*d_hF3oL z@;KBnVS2W)s59k#V*S>6jLJ9waov6ak}_PboA*@he;sbu1J+~= z`_J|5sqx$=2Cs=ZZ#l=AZ)bx1Cguk}^9R4xP)3J!JQbOM-a}o2;*fAw! zi046!ckK?3Bnd)4`w=$|>>Zw_lMfqzI#pO!<@Y=Y;H9tw+{X!0e+>1sLXkdu$15tL z0DyXB2`NQszBEpjlANR^dZ0b>_&Xyvr;%aC*@z$(vu%_;hVII?;S(6d zn$OR@Cx9am>i4Yo5ophNnOSq&xWhHAI~%*XJ9BW9*SdF(dbd(R^t=CM2p8Z*m9RC! zm-Aig-YRhjNKzmLk{CNQm+y`XZy(@uk>(8iyX_)IlN!Nm<%1U)+XYf8k9BCWN*5AS zR!BLU#3UFe?g7+`W7>F(OU`;EjYy9kV4@p5Uyfk}M?lGqXGV~|l$*odvxT9mHS!xI zY>{?D&|d?+Yg;FVD#cCO4^FM~s`t za5VC=kvdlcc}9+;6$)EE5R4l2ddYjCeh-4Bxqmje7dD{4USB`9k&lO7^e!N-3YQyT zws60;HlEqvv)x7?i78V&@Mdu$0s#4(hm@_B9sc+fdhM77JleyS%mO*gT)#AY9{rFO zX=#la>|P~MxIw+N;eBp{dU!(?YQZci?D+v5*mF%j9kz(qPY0nqTdinXI}f8@rPa$2 zE)AGlkYR0-aWEV!-0b@ooE^TUog<%zqowxgHJ{bM-%qDULqWoVTAKgb=+P~9Qu-?O zz#zSaT!SKI1wPViZU!BgEffm?c?U40IsW3FacpU&tkJmxl~o<)F_`yVQ#NRlM1AY> z3d?cx@ib3}ttHOh?)=wIPa2|$zknJ49^gsW4;vEfb~Y%N@ujtpRdmG(n0dw4f)Ho% zaTvOXr=-I_0eyvOJXzJop&wfO1JNsG7`bo=nKX-v+UFmgC_=)KwKTO_n?v~<=5REX zLZw4L-WG5RL+S$_lKZ(88nF%`-4k?YBY8b%xP^g6I*EJK>rjck_RaAq^4DAP0 zXFDL)%f|a)8XC0Dz(F6TFt&?=pR3FsJFiG(`I#8NzNGvk*&%i>Jb;L6?bvz%K z>2lSehGuk2GnH&iC`4Z?MvG^7;UzfOM`4?fAuXmJxZ?;S-tu&^c{6dmIH-bZa#3gs z2SwrW?hjyX7PdmQJ4q2iL8#@mIaYkDj&_2#a>Zg=B?@0&OTh3zB!Bbl9AGl;=e#Xr zc=3XnETJY2$A!X<&`)c0Cj-L)55nW1Dw;cIuCNA!|9BY!;a#(|MytRhROTBt6hCvF zjZ2On=7^%_@ZwPFh{nMWj{r9(4OLu0OdSl8S-!_@C*+6j!Z9W!Zg8_BN!Tpxv3|BE zM3?CI-KGxo`Qx8vOK#Wm96(^6&;_+*T2yq|SKB}wlMO2;s7c`mF5$&F=5SOHll>!~ zLH+n2HY7aI3W6ro-~^5A^MkYGFykkZ9SEsF?2&0YIv9(m{rKW%&6ut(ehK%y((!V; zC})*Zv974>-5T3ys-RfqYsL+L?E4Y$PKOa6@eplW5JLo0`e+JIQA)BXy*ld^tJDna zJ|(z5bTd0G791fLiy@KNCj8hK5v$eQijL-K#PG%gvIJLsfPy%M?3UK1Jw#gtS7fUW zl@1YedVqTsX^H(?@T(3-hz==()J8!&R_q~A))7gH z+x*bf5&V@s*9;hp+RX>+X=Re8k$ef&R?Sdku+6yN5J|rQpZeeQoNO|Qlwhm;jE8=| z-5#^G<)|reb-3^cbv>12QgLnNw+Lz`Qr#TV4_jI@VSGmwZm1_T$%&38o=~Nq+4n%m zH=M>=qM9X1Av=j_Tc+eb%l@aSb%EKa0}*B7G(oDk5-b`I$5DepDjnfYJdH5>8GL7k z%#}>~siLX_1q&h=3l^@C20FK>?aW*$b@Ldg0o2K4*OD4nSNCrq-$1BBGrEtc<8X6u z?BG)?=Y_dwk6V^RqJbWG>H$eBoIVKEF!IRoYCtaN2|@V+DA4DWKL~_EQM7>H;NCig zJ6k;v zXImwMXMfX3WVY#)L)Dc6Muz+iRvUtgK}4Pc!tRq;i)?J@c2iE?4Y*7*gsIW%_Yr!K zP63xPEr-pRT>PaMxp|~Ng(}2%{4KhSw_JksC=P;{{jh*92l=fO#9X3P{^3lEl)sh8 z7zRZ`+%KRJ`esnakG@1Ze6FdwJ#6utG>I%79PVVNH9O#jN!G&=KhVY1+HIs>uC3ls&*07v1KB zhtz?CtRyt-fL1hKY6hKYtaRko#seeYIC|eW}uGl*6gbdhA&5Qs@oT9*YTA?5$`?%dkka#0_ z+VljI;FX2X>1I=}W#J7N;39c^&X8zW^_%A}Isn`D33GZTr>{yu5x)5UvOu;YN$w}RZ7d63-p$s9%)%=e zfHiTTK8(+b>}po+2Ftp)6WqFl+=20egxLx<5nM%BDwb+k~>lnqr`O2Kz|pL`dF<#VS0XPUJxkq=>5hvTWu%i;5ul>!$P!aa+;m3i7LnuK#M8v zgfbIka?7&&v3@TBnp6&WHh~O6Iy~9jwhBIOGEaJ&1+M&H6RNX7y}DY00xg!8oq#^R z0c9n2KnI`2(czdrfZHWfvB3d+tFPwliJ_l=y-bj>I@m5Z2{1X zZYyYEF0^PqUIpmC5%%zs4rC#k#9!)>H9x;z^;i!X^y0-zLLxjM9xixC8(pipD&>UQ zdgXuKV#V9~N+Ca|4*p>iYL@UjXu;Qwd5cnz2;6Tz_2_|nEBdL5KN!#Ue7vnGa?DA0 z(hh*|e<5e{h+Co209FJlU~KRoVtWvo-8c6_2Qm-9j;|o!e+0RS;OWPp!7r#3f-uEaNjDVl zpY0*=JBijavz(>Td5H6DR+;c%vs z576wn1$e}OnJHy3 z#{j;)=eL7V*~<&ipquL#P>L0OP@5Ao5nl zrtKJ42v;(bn4F*r5tZOqzVo&Y@Xrmc>7dykzrf;<-2Vk8T8NK7%129XtDzw5242(v zq+vw2sp2)$%4|Cj{bokuFBU%pn8y-AADgQ z77JN)0iHTDNp|6{ADO!ht&KGNt(V7^q(jjR6azq*7r@5reA9yy|KqZjxe<1|!iaL*FYd|M3oy^XYX(V4Kh^g9k!UOp)&&J`~{CWJ? zIS7#GMD0GYq0rP#9XBt4VglxvgA^TIJ(!8r2(m+wE&j=L>n;hZ?>}p{P@lO{iYg(r_$Vmh^amEWGQI#&UOlal;co=KR%7U zj^dxj&wNTM{vRjBqqPh0h~x}(noS#Rc9QkXlFb!a4NU@B@sK4KXd!jYS-ipRy@U=@ z_`EH{(VRQu?giX@@&YluW?QrZX0e^G^S~o;h&&?*3)n6m{;<$?x>13C=W>Nbs^Ewf zxN5wkvVcDc@r@o(z!NqT{MbFjR2x&=)dzXybMqAHZdGdA#2A$TXG;=bKt zkn=+SfJwjL0?(ko!&O0uh70jiXF<*ul<9oPt8Jcm7_?bjgI1e_$AE|bm%_oqeAZ?hkQ7Yk(KHgV4tv&E~&(UbfI)L**uDyGUDVW^QV8KZ3aR)D85RS-dEn zwY-fo02Sa1&6_Jgsg46^Mm$6jzwdv`_Uq1c{R|%apX0_n;Rgu{Vc)O+W3f?ctr5YY zcY*y7iIWD4f3EFZ!tVNC*2RBw%veWD&26hSQ)inM+# z`W=9H1+`?hSQ4u9zmZaOYCgJA5)bIZ^`QX#t@MuYHcqO$7K(M44+hBY!X!mP+c`u5 z&a>&fSgsalzzf=;XvN{doF*Vp$<6!+Pv;esZYM#iC-lY~ydI>EMuDbv&98Y6-t%Ih z4Upz%NVfM3OeBdF4hik+=KTUf2`t?tG&&Kz-Ij2G-_m^oT1pV4L84+GZ&R2)1-&i@ zIz>}{hIEtOFocBnj1BM>kCY0)-#|0(0UbOWcTuAq{$~Nhjy1c_V7nv)h|lI}bqvy3 z2E+vbSlo=VM(dL{e{sl4?h+`4PiUL}F>UhCCzm*&BnPq*TbG310)J@Z}0;G)~|@RNrGzn zbToqvOn-|qWL#pb3LNh8(;cv-Pe@$~8>s~*101*F`Sp_AGH58fC?x;*7ED0kxSJ*% z$s@&rV_Q{k&r(_ zuw#q7jlFcMTO?jsWT5s=@bnx4`kd7}Q6)h*Hyi)?3!Q#}+I(oeLQ>}-lGv!Aj`e#4 zUM2uyxeD;b;Pz`9?CxjZ1`-ETv18>^zyp#mnIj3E(vAe}22$niI**?UMggV|Imb!a zKc%1(=Mc|Qld!ton0Kwbk75cYt#iP6e7;yNji!(jc!RgsDXuR_vXKfGb)zp*~N zOdlMYEfMus>jN+F0{S?ovML<~|06Nm8nX`X*t*21z_P!sm44?p!`NXB@6s!=?cMcm0C$frd|8<<~xAZk@c2IXr5Tg)t|G$vJ&% zK=V@BC?gSq{(|MAzRi+lr8Vdo>628 z5!ua5g^)eUzLiX64;f@8JJ};ULp0Q2Ft%Cm=l1>&zQ2Eey5~L~_jS(e^?W^Fuh%*E zaql_AgnWOcl_=RIa9XO`iu<=#hNOe&qgiu*v!5foY2z3w36#+kigbxT@^HdbjgRj> z!lj>@ITDK0Akmbiu``{}r4&$<5fx%NF?lBmIM=OQk_r^ATNg|hUHxQmZ7mOFDk*8e zUd24C*?5+=s=(D`GVa(v})9ua70=^%}p*0WnqLT(dG?VxC5An zx#YMQERJ*`ojB&bMOLMQaF9*Q$jV(<{bcf8*iam3FC68Pb*CK?E-|eCH!jq-ES>}o zkd9{KtuNr@c7IJq86aecM5Bo;5LfJT3o@%$ z(HB=!`v!oZB?luoa&u{~b+`5HBFzj-U%!(;vfdV_=0x8g2th7@>*l-p23e1onKhWn zR0?hTX0Nrxjw~1tp&3OO5}LB379UI&AXak`t=oN9J?@& zUGnxT_b5TFJcwmf z-WNy@joW|wlD!fB5Oh!bQ^USPgRf*TcqADIDM z1nY1xP4}RJcJ`I1!6R8CA^`h9i{u(U6&s_^lu%{<`-P`T9kQDY*zBIFV-i)5p9S^d ztS+)T3sh(P9a)(h$g@Y?U%CtqwOTxxNb87B02Aq9OTgy&L1MY$7wpTCP?Y@G0e~Rk z1_%oP+XBS<>s5Ks0mMFYkcP}542WliJJK#1p5X~*&_K#SYYII#T&YBvdH)O2ualTJ zsfE6_mWUEs-W*_Re4pz%HKK_dz>^$@==wsEa=X79pEUYA;Ayp3x!r9~7u@3|=ERXr zb~7+`$w{}PLUI`gU4e9D;}b0XVeI6Cfnnj5YanYTFBrg73~*1uK`GwP3c(ZB7s*vv z`mU=B<2o`#+Cb1F7lL1fMA1wwT_Qin;cK^Gpw=A@D0T-F!X8X*J9SU!7tb1y|Ks4o zeLRMj5jUCzCgjsUk#P)$=zb_qqK-lJ7W22$%Bt3Xq>HOTB27>tV14=J$xG1|K|(vK zLGy2!08&HM1jGqY75RSVM8clhi#^#Ae|mpT5me1!H4W5p8N%U@z$o##pD|yP0K%Oi zwtpR%7+oQLxp`?@=g^qq^7EBv2ys9t5gWlX;L6 zdOT0ktKt2ptZ4E>wR-snfxMaN+Y2$Ce>iPYY7J zK?eXlK;+NAj~qZr_(1GjYA~2>=x7xtd`JLW?&S# zsj$ZZCsK=)+wDRfWqLkhJTL+o!@!cHc0>*?&~{v=G*O;l5nh$`2!LZHN!H3gNut-Z zo*XVq(gHOSh{L+9t6w@(AwmA&cGBlif}6(9 zk5cufC+)TvKOaP4L4%NL`wcyPfXNC7*lLG{^0L2w4R(hXm0}H?U--Hf%XFQ{iP2|3 z?Y3rnDux3qta%XBItokkZi{gnNr19z_Y!B8~h?c1N14`;pa=NQ7X&u z&O@RK5)^1@0|E|7Twu-HZG4IP9qHG0Fds!7+{W_*86X7KC~+`*wDKa4_oD%Is@gVQ z=8RhKiO=wAJHM;jal4`F(YQwNclj-zNm~Lulu!h!b(&y(Z76Pb%Jl5+Z-5gh z*fQY#D+&F?)Cj`DI)W`g1KH{DXy7jBUo@adw}t|Wy`VQ3%cG=$J-4&8daylH0Z2Fi zp>-fPei!u|=BY5nlHlzn>dRG3pH zDZ!W(R&#uu!AS`+5a-7dk zuMQc`YB}o%VGm+_lwf_yvEM}{f~RaSr#`(Dj;M&ku$;swilV^*PJWj`p7^X0F_lRANaWQ3j!cQSchZ#vH0%U9); z-qUIn``D8+R6qP(oFhBrB{)Y`9T!S3dDIlhgz#Vwi`7CW1?2KF}6?Mcbrrpx*h2e8~^#Q^I2)ml+xB>7I)!m z3Vn#22OKxbIp*(NU4+r)9^LNU8kk8Dr;WQ}!3Xaiqs99w2%VyC2gF!Azc{~K?jZJBOc@$wtn zv7hgtiOzAJ!y!RJAuT}@A%>qmiGCmQj#$li0Gw=~*$!{wr$oz!63(Xa5xxC%uv`&$ z5f7E(s^>Sla4E6jxZLjX?fug?t8OhEtPmc4^HK0O`Qw;<=Ulb_SHc%;)9g-h?M6^T z*XM28r|_4_m9Hi%<60|L^7bWy8yZ(WWDT9PI98L~QLz%`MD!FcvxhZKckkHVEesaI zG$8aUskOHLAB4k4_$&4&9-~4sKm4$*e!W3_7%k@66Poy=rDNtXFWe#az!#sR@ky-$ zXIkm*=M=;XnUSh+{HAX8=?AOr-O5VsbI6*7)X%mL>r!T>S&EMR)^1c{ZH!C0-N1`? zik0Un;_6Iyhi`tcq9uA}W^)3r**}b!*a$4wpUo{4m!W9DrsqE59`uZimpzED zyyH)Ee)2jsQ+6fXXWa`Hm>gSUc5*h`*vAxB;Aq&y`itUfyrmML*{zbgMly=ZFK?9I z`j9zzYpqZ4kZ-znd^Xzet9&ufC!I^jpWdk~AHPWbEa306TW;g>)Y+fPJ&HTNcY3|R z5|6E#d$F^S33=Npcfani`zBL!o9_zxO@_~9JTtTBd+51ejPt2)=kf#PCiO)MP{lz~ zdn&u???_U14~-}+=&|fT_`T+_F8b*W4+DzChR2Tuiy|kh5CJk!*Sr40!W-k2dDOqx z`8iXxhTZ-((=w@n4)arxO|bLrhf;{*HxPGeadC4mEOG8T(~J!_drBGqU!v99t_u;G5kIq<}7a{!?Ey zC{6lTZYI8z6b1#9XL4JZ?=n;0&{-J`Ybb7AE@zGjc9Ca$1q-xZ$>eq3nU75xxyZ=; zehgG?Gi32>jpCv87R#2;(^QRmYk`^MHq;hf2P@u2@d?mRp}re8=srk4WOC@XcWo&l zg4djkolGY8`TcrE_SO6%NA~qF#}Ch7sxvO1ZAkM(tRPDeb~BC7-Z=wY-+tW**AG0F z4znC^dX_DZUei2izOL2O=yfTjY-iWc@S)7!(GLJO{pgVuz5P-EN)2V7jP@UiFmeQR zeI<#$mtN^+e+5Kj?f6|Z+WVdVK($*{>Vu0b*|c6Yp_-i_S0CvPo2L=4;Lp2%Tngnx zTH@O>aRI-Sc2kS-^=O0UQGI7G-1Ggr^^lZg>w;v%Y8`~}TKP46-0lxH1C~^_tY>v6 ze2pyh(pum(XQr<8n5UnK^ELHdaa~rqlrO6YaL)|V*Z%yx0DV#J4Y!_s*vn+wxZskG>_JPi+rHi{dZyv2L=i8gx*Qi;asnl)5MHq4oA^$0ud9#A&EdjiC3T zk82HHRQGejm(vYm!mPTj7ST0_7hhBs;QsBbf0ZpM(_J;SX^7z4d)1rmv!kf=TI55~ z(&KX{mM;~0R5za&EN^<7NyGqxInis%34?x9U(P@n+oRdkqvJ)zD6!#=bZvustMW6$ ziS?FmD({qTAboG2tNQG{A(7B<+V3D*&v`%yb&&n6Rl_^tH=BA5O+Y0@jJl?l=()1L29uUkdbOA)kg}VDGN%VhTfIw<)sDEGQr$dq=tOH1jeMyc zA&Ar%b<|KLOs{3HE}EUjiJjn8mi6zpFI4%QFs1erzID-a1gW$j@?J9}kT5lS%wyr>VJ@MYvU-|I_oxEepa%is7Mdhlt?QyypVvammf&_EL6vOtf};(dM{q-F0wKqMGqEauCRMle zHPB;|GDXTVH<9RMCqh#8Fj3E+V*-d2$L1ZZP1XJ3FG{ zhLwJkrP)RvjpS;rBmY*@IJ$GbU%e}dM2!b}R03@T4yPn&gp{FR0otf2Os4UvCXs1YVmxH`r*YesY7AWsY~(HEbqNyy)!Uba`=@pUcYi#zuVqU zuO>o{?7i~ddz=?5KiRg>qOzY-0u-2`^kz<(%>0a+jP5Z>r_7~)&Ns_zI`jMCMW#oU z1oruIIgb|XXPvyCnylZTS6=#rEpMKaERtogADSdoSO0H^yegLuzOTv(upHyI#Fudsc$~qbcd*FR?^;0 zx5QHY#^avMx3zUAP2Y?qPMc9LnXb<#xWGM0N>uX_;zMur)f*(ibhNyByr9pLCZMyVM(Pbu1t|D1m@db_~r_F3@73_dr~%XRkqhzy7NlV zgNws3&@qf@F$57_+TzJGqEW)&PDAEr&-c{HQUR>Y#rQp7qV@=Am^g4N2 zs0aQ4XX%5h{M_Iu{85wG=9pMBUvSPxL+6p2_n^0-@-q>E7u?5rWkiL(gl(GW#!KB| zduHS>>d9fnKxzMji5NMOmv_} z{pwJ>p>TsD;|s6Cq}?SSitTld;`dKI%LNKCfdnlDV_oTi@WRh}-k$Zu;ctF#G_I?L zktRrYH#_iVSimey$INmP9_eWx^`tu3w0B%CRd1#>W|y3Cu3lIQ3~k3@8@jaS7GHu+5PN$@n%lby`Dds z$Wio$4R zBrbZb^R?JDV~aT#T*Cc#$1u|b46)u*UPp0fCPUu%?QF!cy?tUq#)XFM7}QcJ$ArhO zn7i-B>e<)A9F*CaRnMcAWb$YW1mgOKz@v=4A-QTi+fH^xU#s%UlAjJB&nJw1`NLy# zi^KkwOAX_><*A{EhIfA3fai2Gk_Zo9=5Huy42oX(a)%jRU8Y3pK4d&Ef&hDOUYl-3 z9XE9rObJoAFNP1}%eA7?ehv0J>aUkxih8>QNBpB0?Z4`vD9Jb8Js9=`Bi0*!p_a@1 z9nIn?y6j_EW|ksv?z^rZ_W5dmit#aFh(Izx6H5S^~%<4JUnyQf+&?Mw=AtWZi%9i696*_QX3 bKGPU2q{O1*xlm|~=~Tys9Mmf6KL-B~d)kCZ literal 55668 zcmeFYi(69L_by($%u0=RH$_w0-OQ};QeIMFmzBHBtgNh5$jqqJNKHjWXs2nVMmMv( zVY$`XnpEapKt(Y%#am(C0Leg60R>syfB5^&%J5HPEjC96ANzIiwCUsJR$I5WJ^uO%RTG@o`q2SMy0i6?1H{fqIs>+nzQ6GPv&)Oc^1;QroUAdVx2iltwUzxC%Lt?->=tD z>xhct@jfieJ8D9M|2O;F>~(U~wAPO@<1@5Bofc)~Ekm7kEE(4zrJh4bCPkJ=()uZK z&^ak&9I{wZTg@vL^jnT->lB}{wa83l7IB6-?pUIz#rCT3@$mHWO7-W_!P{2e9pm%b zbaBR<+J!mcFZcKFRa>gG^KrdgffD}>isw01BWq76JLf{UdB~x-a~*}X_)kPLsD}z%&3YX>AOAS+S{xSd2LzT)qPr`qDG6qIGTVB$R_fb zl_xq1mDZ z!G;}o^IQY!x|r8B)C!?yBJP~}>3q)`)-?W7V)?d%BtTvQn;Y#KIE>!2=;ka4~<31X+kh$JBOdi$38K3Melq1T@qQb^+aHHgE^tiTI z92bk!_Ca%eWMHu(($Rx?|Jw^ zQiZ&8r?zVHeP^))tVFE#p16@(?l2QxD4)QE?dNHyqr$}fXNA^rnvQwZ%%QaPM!NVbeEGj_Ft-Y=G?kI$5t7eL) zy=CNp3UTeMU?*=}aFO}T$BfiLs>I6G&tmf(sL!><8tu?{-@Hm2r4>F(#lO*_)1FCy z@0BE+jA3j2sCJ&5fR72tMDNoI%~LK+Lnm&#$+*Jt99eep8HJJ2MDyu)MdZpt(oJ9G z>;23A4a)z2m;adq4GXeF_|KV0^Ruqw# zi^KmypkqU8N&);{_1z4>v+y4Kq0eB&wfj{DXEa?BuA9YP^yc$m^X$Tj$cw5_=$F~_ z31hjOfIDxZsR$?NpUJxK?KyWU_b|CM^RoPlBz0NYG&CLGQoEyXvB^_q2|2ZqYLj3s zbQ~DlMFit-Y*2))kPx$Q8#Cf^Je%MSqu-TAm3uo<=x%w`{d+p{wG9$SeeHS<8Vujs zOx>t*;}QojN^9+S4>d%QX~M9;2LXGzA$Fpb2%nu{O}5{hUbpx9XM~^LL+!9l7?Mzv z!zpWOt>~yivULHzPhymyIw{Qx3&lTZ! z@?$`X*n{v6<%K@&n!%x^ooD8)=8NacS~XkyTIb9DjAvEO&s@|JaMvE--b|o3UlJ0} ziB{09Zm5wv!|0V&f@j@((w~TnkUKwz)a7e;@!=jWT@s@JLZx{}5ZzkZwEu(aQ%-gR zq)WuO{@0RhZ$$9W(6`^!CVeD}uD(tV$fP)ar=lyAl_^H*(Hy^OkD`qS-=Ck^myq3E zwny{?Ogxt+UtxITllJz;x=;AbiS>1@)ZIfdSFp7-4-HeOMD!S!H9w_TzkCyQg z8G>t2yM$DBU)lhoa8Zlq_AuzG_P4&)X!DhD=zHU6rw(T@ZmX))5N?V)k_<6`@1`Mx zu?`(+3~mqcvciOSM&f0sSj^YIDk(3}c1n2n1gDJRk`MR5Q^NSV1$KhGiV@~ba z!FMLAHnHUIMBKF2xv|@TE#12HcLy-z*DuIW)b?19SFqV~j>i>j*FDG!j&TFX!Gc?p zxvBC~IPRoLmGNu%7fEJPc->-C2wK{N88RX)IgW(p5HiQgk*3~8ygkDS$$F65{9%Tq zE-BpQew>VvHe@ZH5J*2wtMU7W;;&AU|7g}$Ff2EUSmMzUNoq1cqM@qN80NPK+QHTE zKQiNA;Yh2sQ^WVQbg%uonHV(+rWQ|d#pd2Q@9n3}lX1mqxXp?eVD&ADo1G$slWn5C z|7jGxg*}oEO(f0@afZO8w4toi*Eepw5YECKchNYgYhNv^#@pPg++`fM=2spBeGvl`oH2r*zejq8>?VlQ2ZvL>QT z(C$5zj_QQKyx5JzN-(jQGZT55k2+Bo5z+@TRJ-2C4Z!I#~B`0=jZKtl2gph3oMVp3C$ZKw9|-9h++|ZSSh;AwBN#PINrMO;X=s z)PspJZGJHB91Q!Tzc`uMehV!eJsO{Br~dYu9N#x;Z8xo6N=$wg=lG}g%n>bE(PFQF zvQrDgn+Dl)hv+=(*$r*L@f7HDMgQ|-a2lChmoz`=n#GdP4#xYkx5f_5R1KXx$4l!4 z?V8Kd9R03G;!y58jcDVj-fS#9J!oImg!0ytNcB``HO1q;2eZ-nINt9*YPCkGoBCzq zen)b>0seby0eo8l^UnLs9%ezl#nvYQ4|%=`K-zPr$Z+M1IA(>|yHRP}N0E@GPC~lo0@_vZocDrYPmy$TG*MkpIgumAE{&|w* zJDei0_$1s8vDLj91T0SdMD!YoggHQEtC} z$&k%R-jZEIg|8x4;w!U1*nX2he4fm$|AFbKuJR1_O{Tu$cyUN5j6G0dMY75$GvF~F z->UEWBRSKHngW4J1Y9n+{JPVg$!z1}@RnA~@Ulcr&1VG004TR=#b3E^nJ2XLY1FyU zIkNlx;_&+(Jf%+&9@<>KHB9yL_`w~Dys^WBkJBx^pubcGV;6QsQmPJ!4jo}_&{T^t z^@B8sSFDlD|CW%Ed{hO>*37NOdq{##L&m0;LUK8N4MK)!H>cqA30F6^1>^2f*DYS! zg!{$TiaK4B9~*EU$!HLDH!bE4D=T8`hxv^7>yu{tuM%nsChiR+OR?HJ=#ldC*KpxI z&5^$H3(hm-A2l#;pFV8scysKcVXkWTSCDCnZ#J&X2EOrcO(=IRB~IBy+S?V>*zWpa znV9P1M-i}Q?S|0D6D%3fgVb$< zA*rioD4+OS-4}^LokeFOA8KR4NOkA%f&q>w(oXdkZ~=_lt4cFO5^&kiC#r&ah*#qr ztku!3F2z@{%Nq2rVvOpy)AXD4O(3SfdmU*o$q57 znCn@FEYE@sUpX4RKJxjT{eaV;B;My-Jx*WoG@X_nuVt9{?IEs!w*!kM8IohD^6(__ zf!CvvoRn=aQ+R^AYIX;hkCMho6bU%A8CjvX))?DMP(6>`qcMEfSkb10+YMFiK?tmq%Ej0As z!fPs6rQG))!N5Q#@V&G}I|<{(EhT%gnv{RrIX1vou7k2F^8rF8BBuI-9) zNJwPQ?WiY<*Mvl;N*0-An=zFoWBB#FYfE#Fv-U`aOfVi?0^=anEeYq-hc>~|n;acr zfg7+E2Uv{~fgZ7yJ)H*U&MgZw(`S9bxO%tJ{7}GBj5T*Sd0ngHG^Er0%dzo~!||U! z{you{fy0?naFa-yn_Uj=BHzIt@8*<=jO+{Y6ZgaicBbLY29>}03uQi~pKo0gdW!b- z#`Vqh0a^pf&250;`RN=cd|2D);8GdKfR7eW**)o{3^kESgYbb8MrpdVI52KMK^4 zQr?CtIJ!HC_ypj?0`~{e37&-kIY`g$BBU3R(`;i5gBQa@v21wJM(q(%4t3@ul>tES zXomXrwfES4_SoM6UvOECI+7cnvum+-Zvk?RCOjExq_v@C-lGyZ9W+*_XuIegJ;>F% ziPg^kzg!%cvR^g+Jko!#NgdT048u9i#KGp@OoTK67tGXi^e1P!G6TR`#{qyDZ)<9O zth2}4fs8P4W*MoY!0K8)(KcZt+Pl%wMq|Nyq$~yD2Qgc^EX4}*V}(W>Z&Orx_X6Uo zuAttC-!-#g@7Zh9NPqfw`O#V}Vf&F?q;YIb;wSELC`fiag%R`)BsXWrf$}x2VJmbR`kk=NlTR0BX^D z6(@8Xnl3lNwDuD*7Rl(#*kj%B--M5Q;LFgN94^}|7{FizhQvka49)@>#IC`T4e=XB zH`91y)!MHD_pI|58kf-Q6XMq;Mu!j^Yc zxRlcq`+$E}5N%(`zOzfrgr@Yl646z6!NGp4WKTpVTz53B%*o2lM95u@ zwIuZ=jDoZ`r<^PrMRN>HPy=VjR2DE|xP!G+)6|ti*N?|Pg)c#{fCsPP_(aA{SBiz) z9Og&IFLtFC)+-LMf<^N$*m0t*GYroPH#2`C$GTF^Rs7&kgMl0o(hhqQ@CL)6suV*a zL=%ti?5+!(N4R zu;BoTKH|}}!0qab&fGB6&n#5;Fk*g%?dpKM!ijHDpoy9#+;G({eLPg!TK>B#*AV?1 z@kTvVm*(mLLtynWkrkcv5m#{j`g*_&to~QTw8QQLtihCJs%=~`47(dJpuel^We6IG z9l+;1@DPyJ87;=1w$Ns5yU zUcENTE4I1%<(wBAgu-|LCR}mUF-zbY7q&_e!ya7>+sRqj;n_5Zjpn)uFP9?&qfO}Y zbE5dQ#M4GHc!hsVS;5p_y3{eMg;&;}5{=fUjsP3i?NBVnCsgVA=Rg(1tmCjbrQQ}D z+jNGxb%Pvd)Qk4$*x(C}8^_(g-dII96dF-sn$!TWEYHcF!O&# zri(EMp&qpzlZHnF>%kO|DuTP8IgCeELOb}NJAz2w;wb-O(cq)4RJS4LZ>@<7C|>b#9nm1y5Z;KX$2+aZ<%luE-YJkE z*GJFnIUd0hUIWOnOt?SRmDdRB%)EFRb`j&F`Wa zuzFfFt>XLU=EqiBHq z9b;1_99|DAh1{!R@F6Kx`*2Wcon{3lJ56&G&@qs8*hE9Tjs~@dRLlNOOr57Z{R$Ff zQM_J4wilppx^{sWG^T?EFU6P>VoI2!b%?&Dx5_zZG399*BVZ>zW~JI$k8=9o;s9bp z&~!ls zwYBfgG$Y;n(lmAjQ2fCA0q*6+j{4#n4fWJ zj>+Ekusn-zPKhy{HJ-Rp*OL^ zn0nSV5Pq-JGB2GIWRLX$+`$yEs?kum6cUDY!@A|^#I7rn1?=M;Hp@D{7 z)~d4SeP}Xg6ipkL$N3P40~L9tZe7zo!!H!wkIdOsSAj<&KRYZ6=mb*?R7HlY^*Hxr z;WB2(se?eDs?u1sle@cZ4v0xXhG0+g8Ezb36jsqh`YK9bXPP0c0a+r*L33KfGR9q? z7sFKtxvphp&9Tc>sxwGh{K{K!#JMLZ=v(H47{4$chG96RMIpeIVSS8WCkfN?8ScMPfPD9H8@Cto%WOEjE&Klq6-A;mqH zG1l{C4v(&EZ}lKf$3V0>#W2)c*(I4B*>#v_ik<(9`v-n`y>~JaB+TXL7(;M1%#0Mf zv;&@Q-RbNS4BWyH3?Yk!H(m3XRkW%Oew6o6Cng6jOh!NJVJ%_pr+GA1eHAG=e*e@b zhb$jfB8gtR6|XcN7iX@-C3G>Bctgb_I#)Uq2yA}`PXLzJyC>^t0jl17zYM=|)ZyD& zp201GkL-;_ahKSkh$;P^kavh0IO*=DqrYE7#T)?&uop$yW9{l=li?tydfQp`byfXx zq&*FpOv>YsnzDldfv5U{%6uGX5eGa^l=x zS+wdv`cDonFBi3al%Y6rY>HQN9DmG-fNMdm;)*qq%jzj&jP^n@(^IgmIgiUXu25ePVmbrt7-s{f?3hOOCIT7!D5=D!)OFlX!T0XX41JxthG|b(#eF zKui&}P1;|#Ny9QBkm`(+@wxOw+$kUvJi15|n+#p7?Z@PxUL@gjdUV-HcQr1iU5UB? zD8cGkQH*`PE%svj1Y;j(l&G}iafgbSypj6RjBamR3r6c%Hu@ipgrN)AI@vRPA!Msf zMVWRB0UJzNrE=zeg!?rRJNeR)l%w<@d}WgNSH54PRJ}55wpd=i4~tDk>jHL!qQ-t$ zd4Y9U8vZs`Xxj%j?`mDehv)|IAjiyq5MyA`Nra< zem{IPIF5|`$qn?AD85>s%^1TBSdV5>|&Ipwb6TDneBvPE!(nKVTv$oOvovH_0`Psm4hOPi^qWAWy1He@}SGMX1%>_)Dn{>aGfIioH z?>ea$u6Q&}2Wu@kW~L+_ml#249IAnR<>`30Gf2bbytl{xR)03xJ4pxAqavxD>H;R! z5Wkr6B3wORN+c`^Rpyx>f;#_?z2FeAXdq1YLVh6=S1yyn62So5HGY z(ly1|M6JFkI8ETV%q{P_h)!p$Qp9jN%m{Pel%imJl{?T2*8K0_xeEQ5gKRR^$#q0^ zk}|v$tLc2iZ7L!V@q)kLgf_{XBe3gQoHSj=h!M&A;@Y&YG{g=C{`clG?P7I(5z&Iq zVDgQ-`1phHm?Ox8NW0NtA?i=+8zQY5<~uI!y2Es|Aozk2P?~^4YJ4tE3h|`9q&t&_rw#NjcsqV40{`$D>e-**Jv2zN*EESO4pKsq3i3AVv8( zy;~srckhWYfQj~Vd%-cIwVD!`osUGe;L#PZ{oHaL*bLG1&i0bwMLR88W_Z_)C0o2m ztV=PJZP@2xOajXk=S|0(0VCj1BOEGO$BW%)bu^DF7*I6@UjkUGFVJERuyOtyB(V>; zOS!0N3m2LK2$NzufMFxncAD^SbS?+f;OJPU9cmJo>#=$<<7$^#VTwTZ>4(ZkE2yf_ zMPFS`ZHPCa98F%xr#aqDj(aWOAeBjdt{A`xoPZ->qFD4D3|l<9lV;iEcNN$Q#DO)t z>JKNw^^uk|Q`+%}nNg#3e6+-QaZ>O|xAss!K@ zSn~&V!cc2MxzZ$v>fWK;B9EfFR{Cp}Cb;)SUOa<{!7f9p&;a%k7zS7AsvD~{Udd2H?S7i^ zJ^U+9Dh+PmHGMu<6S`cxwSbAfL;P~sFN`2N<@s9sMe+o?M7xPwzEMRsL@&i#(y|+L z8Pr2jl^r%1$OezD)5Il%-UKL7gWn9*B}Ihki{_dLx(AgruS=V>mD^Q^bjCYvVAKh~DP()@2V;eq7o;^14M^!+U}6d6DMz0MwZWMjnLRzY1eY$J zqeWIO7X3|U9Ofy=Y+o>Z^XC!>_Jgjjs=VgQJ6<<`82@S_sBS(5WM?rHwMjK*C_&C{sUo7c zJHB)x2u@rK-_ONwP<=6k>*FxVM1A<)1xz_waQn63&(YhvQhOlRbXC~}bZI--%B#R7 z4AqdjX4H{J`db+2N|&z+cW7^RUYY>uYjqMP#yE`H=0J6crWt;qD^p?qatYflUFk%$ zt@NiXrj&&L%uv#@*>zhLr;QksY@D`0TjFUuG51h$Le^D89OLa%ocr7%b=(xC4&=w| zRo{F)>L+IX@jf%6sR!zV5b&*z^_U5qeXhJ9}9cR{;>P*$AMA5ZT*sLtZ zuloV;mFgEo`0k-pmaepVoCV_xJ*f94r13vz>`h0lbPAMyS-QwUCqPyD<5p8%h1b3x zo72@u4|zKkeiWtKt4?8*h6~J=rc>$7NRmjSk4fBkTj~1g%dR=cLf;=}!JD0bhp08A z%X8sxrxzmf0=K;gRZR5Po%{vB2)M95>kUGlE;YTXTHv_1q*@)p{qKN!l&tWqvlCh- zyJUOZzfkgGraUgHkg4)8;t=5z{r>&%DSPb zxY)XdP`pN~%fB5{9FPAe8B7rsrmwxat&70%)Y-I3Sc%-MvzfU{eaobFvo4y-F%CB5 zO1CbkxJQfJ#&E(>lA$>fDuF&;4e_2Ku@;|ikhm<>3dOIW($~WTQS6#BjeI@KqY0HZ zu2tM&gl$wFQ&AhF_%oN}#emdIT3%eA%t%fGbO#Uczvq>|qBI3RL_m`IuTBwvuoVFs zxY!hts;{m4avPtp?3ZsJ+IWm;pk_SqCa;Q6Z;2(xX>1%7#N!07LddUs+Q-0`KpYSQ zF4HR6*@j3HO_a{veE&@*=*k7+>K?z)oio99)jKH|ry=bV+B?8jTIOB+b52JC{EKLu zt-wP+sJPYrHnN70)X1!fpd9Obyo4Uy~1w0PTZ0__d#HX1XD zdd;=VK7>YO@Uv-_D4){K&?Z_qqCpVfPG)8Hp!KMZG%4ON{i~=D)Q` z77i*H`zV?CgwxvO-Em8e#1vlv7FBB?u!p>nu&svF^%VDH)-o21GfAvt2dpjA)RcCL+xtH?PR~-Vo_#bZ{G{O;TU_@7I#@ zM!X}LL3_$rrQJ>A-PMM=j%vRy(b^X1P*NcVlx7QR5kjtQdKDI(7u}B#*VDk939(|QpkZ^oebEZ!5yKxszwaahQt>f>jqYr z=>O7#l8V)AbrJ5ok=nY5S5Rj!yx{V-1;3Tt)mQx#7bSsa=T+S|P=}hoe8z@?iC-@& zSUsc__@3&$W93noZU!m!xI1}@3J$|8lP(o}Q0IhaL?%R5Ptq#4>a;82(edWU34C_d zreCPtxE9{@MPp4``1Se#!AG~Y>h>C(4v+N0@C46FOH*HGCVCORy?SpMx@CUpg4Km0 zn$GI`9~Nl;aevk_O;Y%Ef9mf;+_aJ$!B7*#aZkEn=%f1O!jSPm!GabEj z_FZR)l{B4_u50Uf4}Qhplo@j+K26tFeO08N?#Wf02qicywB4w=vtUMCL#x?zO$`CR(hF6jbk&^=OB zkTQCq>olhdtm``R!y-`k_|zq;bGoh+{UO@IBgG`KF6)L7HqYBEwAG0^3lr$}!{Ww5 zTLabhg|@~+jtkSG8>Vq#n9h0W!Z3A>BzxU3vP(+Sg{}+rH(^j*r(^u5go+(vf1|Giah+>#aGg8~OmRtA;NeNmTH)_f z*ZWm#A4;5uEV3z84IW)96Wl9vOAx|r@4I=Op{nrEw@>-pp(o5=1#x7^3nSR92#0|; zZ<*n~Kh<4A!q$`5N)(jOGVf>kzT{E3Phwst^&6t4pye4lWH(fN_WWjFmKFH`?&m0| zR3;!3{k5U8_0NLenu#)-*yTa}wcm=bPSgGYeG$eM1@bCr)Yt8=3 z_K~<_ zj>%Er-oaen33rd`W)Ao1QAf}@x|C2(;`Tl{+*YGF(wcDmjqfl5U7W97olBV;%n05) zG*h7U{wp?S8*I{VJgno*&$w?TjFI6de3t^T0Mie@do%V=(1FvE*vxv)1A^i^k0VV) zjISe{R(Uyww``#pq$)#BeAnvrvK4IjR-IkUA{{b?B}B> z{){Fa4SF&qz)o!WYZlWF+K)`$8mE3Ff|HT^ZGa9xb$90Y_#9=MUxfaK zZA?;A<>hbHE?aMP%J-9`>e9I%(Fq3gQ3!#uDT8shL6YOii-Nw0OhgFHtb|8&izHnY zgp7q*)>7%uX0a!3msJq1Z+c{ASkK$G301p*Bh^9~(>S z*5829Uhzrxyq35h%O7yuV<}+ zj9W9F2`c7oBTR?7S`;5iEL$fBK(G<~RRg|wR}(S6Uhr<0hrXIJWi51C*gp?X#V*l1 ziXbUCb}tq`&}`Z}wC)(Ld>jAl30c80an<_fDEzKbVvD*0aqRK!KjcsFR}=h~BAbLUrd^kj^luAR)1&wVZJW~37uaR7Y=dp)g%9^a{fV03MS<b(AU&- z9iS2(`j6L#wtw8=x|3L8_g?1bI7=@5K8lXf_YN0Ug{>jWhTIO22EL(b7b;i9wUpIc z>*CBV(zdU4_a zi=amTfTsi>@9yxHJv(Neh!_@{d3>Cf-gxv}yZps&-6s7iqCy*Qtsy+B zoAIsklw`iX@b+Yvio4)joELn{;au;fxE04+)u&!Kgw`QsCi`cmFAS6d*H-n{i>o)a zNgw*m9T_@Aa&B%t+Fz2B>E*2J^6c)Bix8sVi7>cgUMvso4ki(s-`t;*CdE_tZPR%?)~KPvvHLA64|TzrpZ$r1^UEH8pPxyT4Lv*n zol!gN;eMO?kUMq>F<_iOIG&SQ1afk^fIznj{)GF-?D{=Q;$A5LillvoI>3g6KL z-v*V_+CQj1^gjwJZk{Js5tM2{tatC}7 zZhHCBuV=%BWXsrK*K;d1wY>Ue>Bo1nVuO^37lgKwRy*JB4z$&VLZZ9t807VCJD?z; zu*imdBJ^5A%_9=R5_dP{cGo^A)cR7JyyRMiTh(G-N#mED+9XESpS)T|&r3fO(dGM* z&L(x^BzbvscS#N-o}o{!X2ge{Q)RPX$D*TF{8hOVQFm=L9!&TWm98wFi}d_Df(#)< zUfvyExl&QN0p&B0ln+TEjA6~c@8=ISu0yRI0P^u0i{!C8({%d3XX9QtDFxAX?lAmX z-mB+)>Vaq3sQquw{>WHMOu2L=gVl@*njOa5zkDQ2+!f@W6BpKW^MX8knR?k&32U&y zVx{7NjXBHmQnp@#^}y86ihC`>BQQN>x@&^=iOzg#<6euw0^d((gI!&-_)%^h=_%2` z+o|okphzs8r2(N{c}jr=LY>cQrKGs>o&`?5@R&no=cDy~;J1@T%q6qF@U*|9bl@$4 zRNff@FMJ;c1(mNM%!KuW{NCs+7ebC~iyJZfsR;DKo~aBOn_H7sIvW5?{gtN-d5=3; zyRF%(>*nv=t^*`-esq{Yoil%*MSq#grMji$8S1yP=|D$4wY5#IM^)oMX>&omWkra- zR7j_m-(3~ib&*n6%{o8y1wHnhGMHV zE$KejQoeEgTRz+hux1hGa!kg4XOdk?dfOi0em~H=;(^Axk7z2%@|0*&d&3V9K7UvG zjd$)6dr&7V+IZW>tzg?mS|6X~GgeA>vKXu_?&LAeFk-xdB!QWZ5T?-$@`zAAE_AiL z*O?i2eX-{g0S-DYJc&@udGX_NBO#wQJ_Sh0f6C(jw-+vY8?)NwNj!YY+x&^rHTpug zAnNX~kF-GLGWFDyK($}r!HJJYA$iP2=c)Nj!RlP(lE5pzV?7B^f00w$bi2h?c5T$f zrl;!9$90UJ^P*2Z`l(Y+PezAalI|kT`7@z~us<}^S#c~kxw(}$7l7*VXhld@d10}m zGtLIE{gtB|V&(JrUig-$xokgUT@u(zkzzc|-+ z&OQbxsR>=vUBfDPpOUhHw#_L9P#<31$Jdpy5t>rDe{h4mHuMV$I!i06gsc*!#ABP6sMHq z7pG1JRsB^<>=XRm62_`QDEZO`RKIxtP*+r$VASiKmAq>oN?AFJ^^-YK%xh-CzwEsH zz+dJ*No+g!rDL-C@!6YQ#J9|Zr%2&uYVYN~xv4Kv-eqxwOR&z#$6p6MRwsZY)$xG^-bI=(U%`%oR#jcZsF zYdufgf(F0v{!uyglzuca8gco;-7sEnNW9T`Ne{UuWHXcOd_ha(MmUdugZGs_&XjU{ zoy5K_$}8CDdn0!kcONj)ZN?K48C5@PsNdUtF5apC68}modw}B~kG)Pg#Ojm4w<`jR zh?YHqSNWfCCnPj4xbGWbpaPkQNN{M9L@ssfeFNWJ(utt6&S&s2*L^v4&1#M#z|2`sY_148Nl9vRhIyehbWMA6ygKDGu$0aKg{!Qlb z*t}t+z-)J3jk}826qgNHp4h>3Oq#IwZqIV$7dJ&GCfpOHd)E`Jz2VKNYKN++w6U{zaTZmUgTnKa<>8aDx%6h$u2kJ5dtE;sdQgKpz*=9#TLSedSc-gj}q z^Z3oAFKoimW5Onh|7Do#^Bl*^ehK1)UQp|);u-G^MDq<>$0}&dLB&x766Z%@ZF5 zKRW3LNc#(YSp*}+XfssvNuyPA#j z>-!#l&`;DXmrT82jWK>5Afe(+eZ4M$w#GetUet8{tir?gVf<{^Lti@WTq9E6?o;as zq*~*|dmfCo%D)^Ea_d9h$ii{Hx(g+2;giQ3MrYN~+JAmA(o26IMG)bwoYxLc)O4c} zzY@^%9HrB#q3D(3ll6S>&I#HEO1B7pfXt04Q%hW%J2eEYO_Sbgt3T?#$xXClJ~AQn_%M*&3C%hxa-8{sD^vB&OG7 zf%g~cc0T&gj+^1=kG|ak*ztr|_$yyMwe7XGog=02t$rC@m$FM|yZZZ}zz*WbW}{WG zv4=ijBmWzMklxwuFuNIN zyN$Wy$oK>E^{Nb#YoUE2wOXdNJwW1FJuG&alH@bX{l?Spe7x{3#`pMh*NlswUW61Hh(`Lg6( z6G_~vH*(%elOGuX(}Ps=T0d^vmBYQ&y>_lW@WemoG8D(#q<ZM~1L05aAE>qN$vGX1o>Zam?N+Q9iRmeNBRD z=rT}1yNzX%pAb`t(JtJ%!%d(5dU#4KD^K9*66Zv5-44JS8GB4$5C2CC6nFHE472Jl ziN`BVZ$8>PA**~{AMSvzyxQ(_{9oF^9TOFwX6GB-+vJ_0Ra=A+u4T(LLo^FZ;r^s} zeeFMnl5T?@fw%FZ+( z=;`HEClT#_?A@eu3ik+dp7)cpd3R)9uMy))Ri)=!Uo1S;UcuK)MA&(Is#q!0?xPv18}B6+@yUBHW9(k3tvm|~+7jW!974SdNLE_HcTZ+bp6AF_mte7B7L zZ|@0o=F6vhkF6tp)ynj=E>`)jg3NIS8bCJIl)sL(t!?XqUob9(4?x-DZ*P09d$g8w*bMJ8-gf$mL zo?@Jhdkry@m^DRj5#AV}AAm0|;_ZxY%3H38{s8+Kup(rwB+D%MG1GYdO1D6Le`H*5 z$cDdfPezrQj@E1WQFXH$)^ClChojtuL%6ot+ah1esM)EDa3EC~zlE_iE&!kL-Ahud zdFZ`L5pbzC??mH=*lq#%pHbTR2N=l8IEPf`tXJN46?Z zUwvfR$7X~fZLD7eVUW*P&$xj4gZKMFJ<(6#yZQSzoH@rk$IXv{e0um(GkYqJUDwFF z_;R+A!~jUVHEA{X`dIRqpjU@MS|C&7S(&`41(>wc*_*{>VNkSTg3D zrRh5)l$m;Njl&1Xaoxz&lo|5#7e)%V0p|b5(YePn`M-a>gF|vkk`O8+gp@;$ONyLQ zgd$s#RMI{|W^5~~B&Ud!Fy)lQk|bd8L8f|uhOKA&Fr_=)PhG!duu zVPNo;*k-&fzofeSQk;xFekh;x>`+hv?Aak{Y`Qe+4?zvCd@jc$?tR62x~$aY(0o`C zpU~CLb}*7;{UnQ=ibO)69sjTk7uw31rzA??OAgR4k|uiZ6OhL+!+u?l?za~tq1HnJ z&Mb7V&=P<`*ZuEMMo^Z5T^FWYbs! zXp5AImh5>x0KN~Z^-9hS{AAEuMUS#F!Un?+Zq0dc96uZ0`j47(3I3*8Idh7=b4Q3Z zo%{P(#J~SI5MWE|?7(!nQuolgI1=W$N*^y*6WmB<51$`!Ub>6w~-pu zj`BPPA{2WT5gN_?_E^S2qp=Uw70eVAmyA2gufs_TTiC%$e@B1YYl!{dHy>|12T=!l zAA?kc&;uZ4@f07g#VNvSms1~#s$8+8VSGeZk~2L4)wmHrT37P?y?>mzV^NfDCc6Hd ztN z(B;eO!6ZgGW;cg?76XoU&H^VGnB z{Q5;hpZ7GSYnyTS&V`KnaKDE~zkPb1_Dcr;XID~kb2)pnm0tL?igYCEV%1J7-Wk@IsHp)@>;HwF%Hn zo4k1F(1Q=VQT58g@IzcuvZoWgv{tfMdE5|+g;d}2;lQyc#O1pRE;1(<6TEnbM=zH0 z>8%D7bzIUy6#{t<7=l7$+%q4k^OFc|ZQEvkR~suJ*YU z5scKf}Ky&V27NX)GYk5bn|)NVbDe(y|Qs7sC`*SU3$&q z%Nqha0d`tJ=o_xRkW9>06{dw3$=9dW+=gPoY1j0AOs3whM~dcHU~T)|Coo#zr58nA8MgQR5>4!=?Hu9C(FZ zl5wXB(0%t0{_ytp<<2sFg|Q>~f#G5_n52s|X4?GdcEzrD3E#eqzcj2#B0P$^I;1Zy zchDeBJUhE+_nf`aLA3f|9@D zL7#tBI;}XSN&^nfo5bjdLL2;!K9JOxL6mxK)fk{!<-~=Dj+^J)%Tj_Tt>eax01I3? z>7Nej(Gny*H^VlX8Yd-l!HqLGRpz=Ie!%Yf^$frWL4Fx7y?`e4CL2rO*no&vc6w*A zq?GH~pRGoCuQpA*ax#iZ9{mKSn%R?&G+MrCbDP2P7In@f8mPA-t#vTvs5DXU@no)( zE5-n){@vnZFyUJnN$n0gOA%he@Gc{yMV6P5TP&n?7cLi*8!V-hV{4sr1YJG#RDw(I z0qNNOVDde7>v?^}g_;jN{5n*-)=wB=FK#<-lliUTbWKRaK*AcNwb z2#@u1mo^)93*nDE5PP!ft9{*^f^eTp+qF24Pr|#~Zx2TVEW{?6@o^6*5g}ImclVnw zUk)rPqB~dZ2jcUfBajpvxxuh`@9v7_js7%e5;43~Fvj;^)kV4Hp(k zQ-43>9=ovjT6^*Azir1;R>xztUea@n^|;lPQ2)_SdDsaL%!8u_)L z@-bb_DU!u5PTQ;CVuVT8+tK=fkqlI&*QsAYqwC721~X_YZY;9MCulMB2aX6?ZJdE@ zSPK|ttSWz?l!#i;U`+SE+7xpXQb8#HGgzeg{;L)TKID>%Lz}n-8J)%#e%_cwdXv|| zy`bm38lss)MN1dx+^>w7A2*2*>f}h&wxGL-Mwar3rU{)}yyVH5X_UoNBCf{> zsaik&&0-A9Q~0yHlTMa9Eqpjr`lFaA^#^3rehDO1DmlcJ+I~5jI84~oSZ^ZU!Kp1b z7ImU1CrGz)k*7H4HH8Ae#KYskR5v54EWWhseJG{qxS|~XGI@73$9LGk{FluUW2)-F zs^sSj+C2BJ&5+L(HBzj>IfEUhnVz!zbiJbaiGVz?S#jUmA>-LJ#Sp|9okL z5Boj5K(8!Bx68siEiYdfC8LH4LK@aa^M3P4sXb;{>;#PpTT1q7z z-XTUy>P7^p;Q8@ii7qdCZe`~P_uK}&?tg>Za)if{TA?p?&8)Z#<9+}<7EC(0WBq=a zN6v(jA7N6APyEI20eka!3ySe7-Gfj0>VofI%4a{szb2qlO()O)&nbQyilBZEAs4ER zJ3Fk9*Z(-4;oV3l{K*I%A*3P|*}oxip{ksiOT4EG7qJ-+%Tb#?MGk|~kRBXvczJ5O zy)bl`bT>wqBQ*b5GECaoW=!X{1}2ENMg*qICmB8LYj|^!*(xjDJYojb`%yxaZhzj> zmGk~@DwtZ!wt^=Q+a34gpFB9F?%Z}#I~RcL`6C8&W~F~t+Jj47XfUUWS|*qP|j(A9B7A6h-YKGDH*QR>;2xnlCZny!b&$y8qm4gA!6#TJHEpVo7$xkIp9@8 zNFiT5LeMt@Q&jv`;`gGMG%RVWX=&i4U>Pad1c=oP}$Ou^~J#`GfYC?e(p zWIUDMfNqOb$ks4LoTbXjN4N3kuu|V&Z8GyzSArSbD9PkH<<^(mZm*@K8>l5FCX!aY z>m>^#;ji$#vf$9k&LQR4JNHKJvuEEMq)IkF$9P1^wWGQ%&?7jd;FH&1LB-AjaEN^raI{0Bgh zrG{slFO8yWP*s&_+d1cv{D(uHZ!`s7%oI(k^0VCv0wBdQIk;SB@O@zwNy9WYXgTsB)|+ zBx8uDd%U+gd*50%S(+q`PZAGb3>?kMy@`|J5aWU>Vu_nYVv@B6^Ur zNXH1O%k=?8J=3Bj#uEu9y5%qq9#fY} z=mDGuwK~&fNk7)FJ?rFr`@efMl-hg&ZzIZ9RU$Td6Y)XG%6S*3aH9=`n-;T^BeXa= z%6@QY;x89Dz;_MNt^ye@Xh&ZuNc7?iUan{4UOsml4~&&27agL`>S?9x*}!ARvKRZi?Z2lT-Hi**r>ytnC#tLHsXb)_n-c@1MSC7U== z#2`gr1eU5h%5b@<475@FfKEc!X>+Py2ZyBroO8w%oWA$=LDPkie411bK5tUDL&U{} z&U%Rcp1`i2y8hsVAO8U|1VAH}cf5mFs$>6mm4(4yV#rO_5hQBEgiVKmjnPUF=d7L%5c0H$;+KCdZ$xBk)Scrm50 zwI@Z7(~UPws8!XLLHGgAu*B2JuFG1l^_BQApME4>TNO&&sqFH&93<2ThscY!J#VMN zRBuiL)rAPZ^*^ zkQcu?_jW~a=;o&~5C#Thjh*@hD|ej3XIBkszqI_($|6;6Mt zJQ}QjEp&D*Sg9mg|JvzdGkQY(sq!Bv6}Xk<;MK@brHt3Ut1|lBO7cns>ud^zv??q9 zB=Z(mB3MNL-s_!EaCPPaKnPmm?|8e7pmaFG-MXWgiki${Nh?n6P7!(_q49&^>_(l=S)1Ftu2So9?KpVoBZ+v;!Lz& z_D_;(5p(PacRL?Xv`1p+9> z6IfnEh>?>Y03Py5qCU z5uX)yp(tH+J#`!w{e(`gQXj{_b;~MZj3Bi+-&JBShjALSS6BC*W_pJu7Rlq6#$jjl zeN^C!)_OswW=5j-WTiEa)a#^te{kI? zz_5|s(iIvC^yf;!LI(jcOi*535nLO_K9Zl-j0m4Sk&}?yvmc)27kN{g1Bcw5@^_Xv z?cngXtG?Qysa~*xZ2R7`pbgw7BoW+cz;2hpMpZ7M`zGYQ9w&GM`T|kr^ew9VxD!Rb z_1{fhjw!Z1V3{X_Kc47ks3C=2{G{LlcVSvh>85C(4YKMl4}Hyh{?CVly!c5G?rePa zonq%LRp4SIRv`D?na;J}dB)t%Y8d>*^0Y-fQN@5adm_R1|uyF?goYz${?J9voR9w<+3u64!-?}_5GFGXNUDY-8dz$Trw>urvEw= ziW}&OJqGM96M~iS<;tUr%*DkQzb(?+b$m)u2_+7Pzj!tW)(1pAIND&6*E2cdinXej zoYgN@<20)ggi&`jICm0^k=z`YwB(ztkp+(lkPh**qD$zj;GeOFWN9vX)j)GcN9jYCA;o0*Rjs0(bqlz-CAr6;T9xLCej1@|LT z>LiZFU$k02At0Z^-UP=uAN&GRrTB%99=eHo&WYQ&jFnQ&@GeCaI=>HWq{2mJjG>c zT|}UfrIyao;CcucDajNZ46U`pug#B)JpT_fJg-+ab} zl3#7nGg#Xiy!qD-#Q6SsXYch}TmK>yt8iwQfH`~hG6BiFhf}*b*3H+jGr#QV6T6#& zMbA!P*G&ID2aYCRUI_)<%1uLI?IlMUg!*|+_FC%HPEPH%9G5ZYyR%Jzb*5v1%R}~H z`O&+{BdP#DTVL3oO%9^3)6`l@S8|S{5U-i4W6=?hHTn@9Cr5wBLmxf8h5a3TQ)7n1 zE?Qs$$jZ6)Qk4sRHz!SLdXhlk91@k!G-#+ zDtiwB@kko7R`cO^iKrJ1=8atqyP?BzfY4L-^%$p06bBgR!!`f~3*|#2&^P)@25qAt z#ZHdaqtkQ)>CP(NZTE7NDW5@ERc2>bs_Dp}@Pu;Q*cMYEz5PT|qOqi?nG2TKdO8XJ zeciz+O=+|WiNRnp)e(vMwI52ayJ*ggLlsUrD118oC{7zLcvm=XR`vhg&Zw2bRKjQd zLYx2p7`z=wOhU{@81TAG@^*YZ!3#Khl$HL%9`yz1EPfZwZVXEA1sWSi_g%-fN0&!e z7?T-s&A*TbS6VckZRhXseUxLDR^{%T^71`i+zOf7wj;J(9q3FTWT9=g0{ux;+f=+J z2aY*8d*ONukj5S~zxmFDGz;1(?U&({n<`wSGOlGK_1K`TlJn0njdBMyL=(KCh`ANd z;X!E<$G8KCbt1Am>hLm9G{UW>3M}0cIk zdAZF!9+A~XF2di3Z0CFUqU|#`(cmeO%gv!}3orob4|XCI;aQ;{9$P05NB@3H&0k3# z&gq4SZ8zG64omgdhBi^&ny$~1{_WCHZ)n)IS>4e?S64k$=|qF9hN7aPdRxbrf47w0 zshwT^_dWKj6;-;P%v(@3loNZ5%6n|OR6bbx7erQBByJ7J_Iv; z{+^~lY32|nlrCl|bmZhjs3Wh#lArJt`P4;PI+mv%?{wC)UHE|S`*Opz&RWQmiKBY_ z^eoYIUm>ao&1=jh+}IC6yk@tGQEGR_{v_v~ZdWEG(x@*sI!p%R!GdZQGsuU8c~`D$%nQT5IZP{FU{ z>!olF(Pei@HOt!1T!xusfZ7Qwss=wKchkrx^uGltcIia2c&~E))M!p8*ue0L?~-nu zg&8P=nTj#qlxwgcKY=6OF9 z-yaVLok)`I&-PNlCI;IK`FQOH_J>m51na5-)<*5twc_ggQvcR7?uYa9Qt+x}4WE-e z{rX4RE~^%D#@mtuDm&e?DUo{F8@&tX=!lAfv-In8?{0~zc;oR?30Gk}9l~`?Iinua z89GJ_tgLS{or-2%j$E$7RTTX((Y3rV>an^b(9Q*&nUE#2>F^%PWc{;dbM@Ydr1m%h z&^*WLyTRWorQTw_>>T61SoD%WWCg7*jqaY9=`ADN7wb<}>m zYg65OcDhUEUVx&|iA}j!ZmmeL7pEtOQg`~G{U_D#E6wg z*h?3kCsBMww)>;noJ%?`W%6Q(>t#;?`NC3*)8@cN~&efd+F?n+* zc>|n#gkMAJSG7cgMrZ`IG3cO-WDlCEviF$IP}%T`9G1D?yBW_?ueiaKeIc3j0#2ye zj7bl(Rc+YZzv`~2c|t^{DE(-mL_H7nnFuXjB&bX52WZgaa>G3tEYb!vx#*;jv=w=m zNXT1UJ8P0%8&I=6s&~w@*Gu)kyKj0L-}81E=;!(h731CjYf#P6M3oU4;u)WKHxwHB zZN1xATQGaJM)dQkmrzSk6kkE=ri1!u!RQwp`wp7^7qB2ei1F_n#CWY2upZs U=9 z>^b2pFp*65(h@!Nx#f{w66ryP$NFA369I@@9`Gbw0I!~4c#K^t92kN;p0&HM8{+me zF0&L42}C|U0<3|bj3psUUgCr%Cj_RuD1a@|C45T=Cmp7SO- zHt6^_B}#pytGVHV>MKI#?)p1RJ0pFZ|?+5yJU#+9lc&Bysp|AceH9z}%z-bi5s7j7L`c?sA6 zLaou+!rBju))q8&F#IU)tbcZVyJ=kyia9IDjxV6~uPINvzD2?wOAI90w1PZT>-3cq zHYyuIzxz;%r8CLOxPmNE63wLjWmobSV8-oJ6nH<_OiQ!}_W5<}-Wl$5B13SjJNUXR znk@cwQp>yOFyC^qCb1GymYSCPDxYt*=ZYF@DtDzKmzv|ntruK^O=YGaNWsh^rULUi zIv9oWlzZ~b7RF5so82bWLX&MeIe(uSDTBq)79Oa&W~!USrSFWFFdFY{oK(Gc*4_XG z;-D}%R7dFVJ~Be~uSQ|2-7gyNgg)NDWfSGK9BO~Ub-&L}I89|R>d3SkFgeovCQEp_ zeJ=4q6nD9xpDK%+$B@$JAR8os1`y_omn49-^AMZ72i$lMB1d7!NjJcp4c@Ec1VBts zAZ?ZGgF&@%?aeGJz9#Kk1S=|1azUnaVs#gbO+wJjrH5FfC}kE?ThK~17c*1s3UjgR zQ==$d7*j`7%l8mcH$zp>kS7Bwd!gpAPv9@sN;?d^Yn(UKW!DaPrA({t^TXx%;%6{> z_Sc|mnoD7_=^uXRP2ph>Zdj$xku z{+?b$ho5V*;QRQx0l%@slEgn!P?LC@m3&v9$X~@1QHqkAtaVz4i4yV#s|^jx;!Cfw z%8!ENMIYzE}9e&I(>aYRI5VzW4nGHf&vkUK&GjI!ME^S5YD>GBr7VZ+B9AOtOte=rCs!;;3 zwzWfQqAjS}xIerpVs$doQ~D|&xJ_my|HhO>a<64~Vj|}avap};db`A9@!^6}x>#tW>WBVYeME->(=~tTcfhdD$c~7@-muMsF&L)BZ z-%m+$1*Vy6Cxcr$gYXho5r>L>(v&K{Fi$3lr>3!hCrg}pSw(QX*ojTE-kalBju8wVHZ}w8wrNoL~9{c#*cIizeS>3F707)l*T)0j#{EQe)W+gT^c@;1)9;v zM~JL&Ny>WH&=jj6co(f3u9gxYCyjhk1wD9hpno@D7b5(yAJdSKqcliRNamhqUo;X? z8-gRL=F{ApZG2|IuUDlp)h4z>=^#xjAw(hP-9z~f!X*w>TW`s_UJhx62I=bs+BwNc zlF&?9s0lmBq&r#xMtZ^v@x+{EJu`$NSz%czczKsRTw+HKpa>3vgIT&n%ScvyT2B(iB&gSiWg2|=Ced6X`WJ} z>8wL+$bDlm=3et_qIWw7r6|bJ#?5(4Pxmozv9$Foq@yf7TUeH=85*Un_jBS-f(Ad$ zUfNQDQe{mM{W3)pnWBi11vS6{J6)R7f9$d_ytAp^u+~(n0c#uEGukNI4^C2e3Or$V-9 zJwqAti8P3XU|}CD`5xr+eX15^`iJnc0#$)BvISgoAEiSHpL5=XG$@z4(&Lnp%sSfo z=_n^*Uoe-#ppN&smQ)#Pk+tj>U+U=Ob4GCG@n+{Wd}8ej;2OP;KNe#}@!v=@-N6^X zFv2+_+XMz57jh^ohXGAU*W@5AZ4ZPLZDJd>3s>K~Wbfa7)ei74pO@@M zQPYGtWh6vtB(7p?Tbfu&Vmrj$y?6;(y$jc_SiW$IH}qoMyz_D#G~ZS+?3pG^N(%3s1*u6BnDBfA-Q_4zjt* zXa2?v9fCPmS3~cr+;1)Nx2+%V7CJd+{O=#b^=^M5^wCY) z*FwA;wVb=j&KfIeyKrT|;4@uiJ)3AS^la(efeVPtB3T#Tj|!-kn&A0EEhaG$OBxXJ zuKd_^A}I5T_zg{IrU_5P`fNnJL|V=y6c7ogN`$uJB-STu{>dlFhxuUEIge3P%uK=t zzG6pc`;5&Nk%f`S-~i!Oy?%EL;*sbrTa_0|U~(v9T{CY;16^$;D(4R^n~ z$oQ=Fe|ag0BOc_>Sj)xowKAwD)V~vygR<5)nTlE}(0%b(Ir=%gn*fSdP1F&cqqpqO zKB_6j#;~Zb7VxyhE@_SqPJ$c@pjpos*&?IFd?`1qYW$8UF{@k8y*QD}j;E6+{L6R~f`X zRe0JBGZ70%^wz+;RepR<4EVdN$!*cZif0gN@^d0RA}gZSU_CMb%RXCUVt(a+t29us zl1ijzXWGA^nZPo3iG(zYJVD8+z>~YQt`e6j_3+g1W;nCF3s}NiornXbT3(*n^wXXr z+abyJ;Z!SlwtM^c0TPjMjdM}yw=7LFjaNz>jB2~e29oqInYmI(9}Anm9JWKimZtVe zevF&7IFa$C1v46>wO;Ew(!nNoUV5_bg|0N$pM$eu4vs$_0OAT~AH+wk~O5Nq$wkOo; z`7_Dkrjb?q_*`mx6(J>x)tNVuUu6yJ4+4AjTdd><|G|WArs|X}X-JF%uyd7gV=M0C z98X`qz7kSHREGFYWbL=(PxD<}oK+}LAO$cRO}0|sg)7CL(W@{qYWtYuPay+QHKqT% z%e4?7XKQz@K%DVXz_fSsVm!0g1dpy4Z?#wdK=gqLjtB(7rrs&x>W~D(cG&&To`vaI z#eSEzvmcuCnNbGSn@{(A?5Ry(zamFft|}U5(go#2(1X%rI=vnw6D6=!zt0Umg?8`U zYVur1YyP%{8 zgEy5=tD_5<1@hOuJWT0I((VX!RPccsi7N>(-D`QW#k4rxhqg71_C69Gifk&vAPj%=>9-~3}MQc`o zc%}&dCZMtN&8R5k%gtI|kpw&-Qpky@TW+NN?}?Tk-7o*6G#GkN&*Ah$j(r!t&}$Y_ zY-K?@IL*w`kZ6bpC5^T72n@g8u9eh#R=O&OO{8*{mx(_{x@lbJqt1?wAlz9w=}zIr z%@P|}m@;^Ed?zh!d!A zwNO;G5LwVH2S6gpju;$9b^^FxQy0-%solk9~KgSI8VI@KM$e>==PRgErX zJ)W&J!nMDsI$D*_60SQsl$NAae(|Ha@$bUdY~iO>OD%pLvgeOwm3G!HtJ3O<4Hn5W ziJdBg`ggaD-%L?#R@K^QK<@K)x7{T-;oLGs-DlYLcO7Ri5m};< zqv5eEHx>zi2|$rTV?@~lz_Aaz`vShJ$zg3IbR|>;G5YH>On_G}b{3+m|I}(b1XZ}{ zY*yN9=7@WHsbxXUr~ zIi_O33SL*FAcqxb2iqyRV>Sb}(lpa%EG)jlYPGct?3pFB%b|?e^L}BLhHY=F+l|an zYbV?NMB`6U1{9v_DcqX_wC1e7utKU&kfLE4mgqb~UmSRxe0nqT-pA_}^xNelaa)|a@#?s&tWxLcx)YR8R{atFEtZ}UbPEnprY116 zyk-Mjs4~*j6p@MRnvS^y$b=tTX*sEhZA69^NsVTS<^`ic@|4!O7{#2fw_zW+7FHkf zmt`H?#gVm2D0Qx>k$u|58#@V z!Q|fY!t8rIKu6yUfV3)8Oy#f*zJH?-FjXDgO#ND%Chngc_A}Ov;=nrk?w1i^%G~`~ z9{yNw9~4Dm@dB{^d2t54?8&9^^@{)9n4r!Pcgx+c$xbjG4l__f>cH4t5v*r+d^3PY znsp@i&~my-Y&R6lTU+$%T&pSn9;?&_;7HCg5;d6jE|CuFlOfaywmaxAJRga$Sx6qm z7Ubd0MzGBrK+loRzxt@Ei299o_WYAsqJWX8I2PzGYJ`S4CTaSgb^-!Jn&?{xRE`;m zCLggR-y>*Kj?1BreXsv?*W*s0Uq5g1UiQ$xgXmo&ZrtrK68`j|z6)e``y z5$(%dRo%e;sE$&5f}>EK*@BMMD$U$0cHl# z#!;{>+eIs^Dmxe+Qf~Ec4@_ze%Tm^!bxGv`FyzO3#iYj0+4pa&duWRG(oHzP3?tr` z+F&+{n%yPY^f z-&3P3u@IFJF080^wp;NhXeQ8BA7aGwDE&wECy0uO`25 z_J^jJ+ibFC_|K~byoi_M6M?{ZLB^f=rUD2MrTza78M}E@ej)RGhTOmB(0>r=r0cVK z8rQmd-K8e9u)C)(1O*NmvF=prr8w| zK^b+zTkLm7*TT?bs?G21RMd$>l>r~T+1Ni}7BMAB;_-dgZC!kU<&_FDr?qXkVY_*Fy2I@-hs_>lYUt9`#N=G8F0==_X# zzQ&S`THwR^+okzRkm)}u>)*mN^v`d`etLQ(1Xqe#<+}khu)lXuLpKxdD2rIy{J>}$ zcPiYE6d6r})B`+3PST_eL+Jmm<>9sY*)}Z9LBm$P8{m}N&JJ*bVblO+748?UV&gD;J4PG=Pn3VzjrVsMaB?vjZ^zSM_9@fb%hVd z49r@FZN~DNoNyF%7GIcrRu4iA;PRneR}MsLPu@8+yR=LjJAZUMvsV8eZ|*C=bk(%J zlbDh0v^^0~1}HrP>2bDcrT@rA;oKX4tk3T6wo`JN(7+QnGzyV36J83dQPm%qq?_mo zs-E#nC-A_!{Z`q;?Hu?6$f(EX{N}^G@c7F%^v%P8WvZ@w1HZQJrYb-Ktr{zOm#OoK77J~zB=pje zJ>plJUkN$A^}{V?%wy`=V^tL%*TU`IS~z>%mOrQEiOsTax0)4~%<6avLWynLOD)Rj zdFd887Zt^74i^;KoN?Z?#ilv4vdLqXX_5_1*G*uSE9#bu4T`;*`LJJ0rOMD?y5IuX zGMi*Jz4kEC!6_zzG{6@AmvU7haRbhkwRW%c*?dy$vWFyn&NyXsWe>l%%TG{jTbVC4 zi8>NBKR5RDC{r=eF>q3EV_;jTT#@jhu%FE;y=$Qu=rs3o=!}udOHuq`>j}(K?ViuO z`|okQL=pC&`>O_J9#`id_zE08)fkD&P5ygsU^bDUBuL8xZTqEP#Pa;aj`?uD|4iPH zN1)0}J^iGIt0#}5Hoo<)xe%l-xG$YpNcgaUV1D#;LP>|w*Yw zh_1J_T;+<#%BIo#(*wr>ycXVe&5WL}V0+$r+xx8HXefBz{{#Qa%LBT*ik7Y4Ekz7H zTbSMsHMnbcc6$GrFb7}jrVCkaLC-|^h#tq>2tlBvX|c@z#kt@YRfp5Dt2X>855b9? zg^O(KKL?tNEwz!+M+ zGK=ixD&6Z zeD%Jk_>9=GGQV)h+B^Ebc6i{sC{d(%TM3Sc*_z%7TdDYqCduPO*Py%>c1jq_u%uN5M$<8k-R zA_f)|qONO2kbrM}x>y&X8v5tw>NmBDgb&{j+g2u2&Huc;c=T+BcQ2QHBRs_4J<{Al z*SP3PvURxap4IREjt%_#-Z*yBOkquTR`7kcRdB8FCbNv1G%@gIVIj#$L+f|z)FWFQcwk)yq_&1xccFh&}i)p?AVe{na zKy9(}_ZI&b!7i5Td%Mg1Tm9ROjYEPTnJ)eq_^C!Y1M_|H6J-l|$4>H2x=u1rxj=q4 zz*-8rU}TB?nla>T|0&b?*4y!#=FFPJ`lhz9jPYNax8+qm&ld;zed3tS210IVmK;Tz zJ>udnQTh&@4QpZ#rkt?NC^!_yPA1VCcPvP0DHim$GvT8vdAlbo5SJVZcfT|od)AcX zkj?%hw`zOX;kb=${9JE%fbfYlYWCu~P+u8xIKY0Fw4>3L5pJ$f3e3&Y`Q8#bC zPu`8qK1?c|oV}9xqIz}37ttv$?HGOmxI2)%BUk~dYmrpNg)=ywYFaVuJqy}?tUN)g@n)9xAsWV09)jE~f zUEwk_FHQ@ue70{CO|u@1)jI0F(uPJhgPnIkoJM$Wu!>ZE6eXqac;v3dR5X+aph>(_OxHKkl{vjydPVR8k9{R27mBPBAHLl2+if>5b$~FL6P$Y__?a}Uir}(3u0|=I8`SUJ z>$fl#D>_VQw*TJZqTIYSUK`fAsQzq*L7IJRaa@pACRE=BdYu@Y?Z_SzuI;5ev)1mL z?tQzmh4s3(LvsS7q>k8uIFT~|yd%J&cx3#Y`W3f@TDH2_d9WJxD&<1Ei>nFFMb+>v z$EO5KoY(I={}j=$x|ovZFYc%G zeh>!WTeFQF^YAs4w8OuPu9!kLS6pL9|E&Mw$IYKNOscu~!A=l{+1A8<3hp1OU@BbL z9f0V!?3c`8=9<%vILcjF@r>W|7s9O+s`f8o4y{ghyerKoY~S?2Pa;c+FBKKf?QeUk zcVoe{@Ym+4=0&HUPyg%JH&N*?Eog*$R~En0f7!uQ+vlNhf*M1EG#;{G-Jgblz71{Z0ry}s$&mHrNk58QR zVqfZx>b`Bha)D9trae%gVQ%{CN%C=C%PKB0nt$-ZoZ#U6JaT0B3yw=>n zE1GsDTo23i57jQb5`=qcZT5@oU|FfR&0Edi@8n$FvGqqzqmR#@CH+Pl^qCDED_A-5 z%`7P=wEcqq;A*_zt9?h3I-?Ut)%RZA@i4q+Q{5j%()$BPnqB>u3Zh>a-!|boVc3_$ zwvT2&2G$KK4M{)^m7COBaa$v7^nz7ULtb@=9b*qheeZH+?_aOFJ zzT4ZsC;Q5a_GW|xyT3o@Mj5a>HjtVB&7*no<dHI*6gLU7UPM5MO zDsVXbqkt1Tqu+e7Ef{1xb!qrsbFrcQa?58I&+GM3mE1td!dsc=DdL)iC3a&-U0|^M zji&DjCXfc(pJ%SuIgE|Do~+BjH_%D1n_7IF*8{$qJu@3P2p`VyV*BH7M}%N-lfPFZ zW}}_w&kd5{n-1_4jequ)=~e#f4PsnwdDdJwvl~3}m23o+%`LS$+;qhfX`*s6V`eRH zKqFVfq^A9G(TNmLo+id3kId_hN7fboMJtr<7Feur%&9eWe?cVE99&my0Gr|9P<1!vldj2bCWbI zN*9}b*Zg_rF!4!mdXBC~IXSe2GichbVn+W>OzYF)McPo8a}Ia**bHeXG)hEX>M4C< z*e)X+>sIw{g%dPd)a$VF(yNH=`yZ59dqAC1&F&IW3{1Oio;b&f%}6`zeN4-#eL>Pp zJz=4dJ6AqpqZ?RHkI8$TAv^f%IEh2;vSGHn+!?stqjWBL{`B$FrV3!?uZn``=hfL) zvsH9Y+w)?q&LCA62BID>g-}F254){R;-dQB$A;xw=Q%JGgZ`yk)a^N#N&9NENWQF{ z7kbEc;(hF~yxxOZ4HZ0gxz>?6H%lU?(*DTc=o6B2qY1NJKrt~%mDkQ1E&dNzI8y2T zP+&SA0~wO#+xRCulbr-hm&g${qKEX;6q<4;9TZ~ywd9lbEA`&iJY;(VdrEzIG`BSJ zTzrOk-my;yJ>>dEeI=X9?7p^i+tiu62N%)TN*zYu+whv%gm+q6($bRZsklpL3yd!v zBBT(12E`}ieePRsTJv|M88{s2Iy30o+0-N2$WF0wGD*(pxR1_%I)*zt%?dZa>A>r6 zP`9=%lVf`+P<@>!iV7Igi;ih4oq z?)&uf{55#wo@AO2RV>5Yt!B1Rr1P<5iCoMj$Dv){D^f$=(eTo?nA3HR`6+YpvI>OP zznmPU(YJ;VYIarM!JN~Yj64*0F(Gj**Cftbe1GACs*VdDt@&BGE+ra7TE3x2TW|i2 zi}b*ZTo-jH$VQc8?SN?mMmlG`5|UM(?OSz@ybm7AjJ;TG(+Z8Kx!np%sp-Ip4N+{76tZzi%i#)F!LW{AMF{ z_6#mNEtaip*1q-(6FZUlIQ#@>dR}*p;CVZ=k!{K}poDdq<=qLUkB!DljES{U0}+Fu z*di_FFI(2ERrDQc+pqd(3!E?7KDhan80wVIM%$2)Rzpwu7>ULU4IZsKt>>ZgG%9t~ zB+=BZCjL0%Fz?QOYmY^-RNp)e;_E~XzDuUOI;2pNbk$bQIPYTL?0f(=t#4-vZflTE z$is)fYbL7BjrUTrN*b$mYtYFZ6XbVmHl7b2hJ4~Vcg*pOlRdmJ?L5!X<5}g@DBG@a zU-=#KBS}SerCmpg6Nx6~mm^Qm zwk_GXZp}OVgcUPrxGN+ytNev|DA(cMq~Y95dsE7RUzhb5?i)r>zmD_&J7MN6HOZ3q zrev$RUCOmNr$p(oO3WkHP6f@?1XZ2;+S#2YXV$m4{cDDGTfxk6^~1$|M>FfRZS%ap zUk%ca3`yWUbo=FNpO}oF751Fg)jE4^bHy!|A@)kvGk)Gt=dAn%QPW$P`i{^d?^hES zlaDEK%10mOP8b>aV^xbYsZ(`DhBcn*QIW#Gq>6$&TDt}N4AJ~P72rMl%Lvs^_+qXIR>_n`dOnaNE{rb|nMtVl0U{`{E zv{n`Q)aTcME#wnLadOlkr6q+lE7Uawau`X7^qnnK5E#jO=AJgsE4&?C9QBra$W$wG zzT4`lZ_2clbKC3M0^Ou;HOm|MuZ;^Pdx9PuBi_Gx!FY1%O`b-m*73?qoSdZ<=TZGV zk@eNR+{7Frbs{jzYB_Uyd#_q=alqnf-IvPh*FH4oVm==+3(1aJ=~1=zmE3!JV#Mlf zj>KX?U;3bo4AoGecy0Vm;Thvg4}V&F@hBFVOHU>X<8!7HSB(Dc*rwb*lZ!Zf*K$4}Twi zu;kkupB#Lg;pnay*ltP|vnjH_G>=I-Zf_`@Gc4cBJ(XT}MBaW$jddingk)MXm-^M_ zR*?I!KWj@KaoD_VXI?>8)t<;FlZG_z+n4jZCn~q5gipI1H7+>rzx^mm$o%G6b!VDd zx}Q2r+aO!$B1@&TEhV$CC8hGi#{R#07LjGxQkz>RYMSWZU!QGlC*L}%NHmu2p!2d7 zw2D|Qb1Ck(k0|Ii-cwvs>zptU469{+G)X$nV;>Qc2LR;ugUvcS^75jBN(zJ~Pv%)V z6!|I;K0UX+#{OiNB+u)KSHe37R&DRKDIIJzv(5jQH>~l>H}G1*G)Fqhmz8lmMf>o| z?Y4!4#-u)iN=Yq+MKpr>M`>UGrM9Y-2V*d&)k&{dJ1|f6Q!S( zYs8$KZ}r~!a`8*#fj~Wa+C!Di(13edq5VrW#fq*oj4SSw*R1?gqC1rSm@A8#QjL@( zFMr4jI@S4(_%5MO)oQs1*_HrICNS7y*?e*Ll5Y_;cS?^Q*cw&-?m_61#AUPm7W3UJ z1+GPdtZd5%o6C_H-;~f-YHQ24mo_A1M)hq?nQlBjX+tJkJJi2k6E}rFcxdkl9JZ+E zPV{yyTP~>$O)8mfzDWLD7rCd)>_XwkomC({f7tl&8y-`fW64QUYSi`4m<&|4jElcw zkKN{b@U8Wp9lbR3+^p%f#Db&c7hzkLA#0ZBbzavd>3!}GH>YoTEs4p+&k`!riu}|| zzn|HwYeLWK$#G!aiaqIlW$7ZXB{d@`8@povStEvUSH5n2Nd5JnA+C|Z5=khdQ?3ck6~QoKaefk zTc4C?o%ztC;c0Q0C6U!(6T9T|&twC+-3@Lpv^ZuuT5RF6Rlew&1$kfBW9OfA3yJOJ zqp#jZ*4~+1(m1QWq~!Ll(tgd%(W6nZt-V>M=w}b_G+Taeqi!rhX5=%u56{K68RqUT9|$ z`B?l;(s9Mv(>Kl*2xhKcI^vL&A&oUG-xhf5kcC>V&pC9r?#Ts(Ll*n$l1**%@4`m* z=%wyKo!~CUsv8iul#f@}_hNkN?0JiE8PoFyn1Y986=);&=5g?@V{h`sZNY zX`xKVtP7hTMsrM0`VSkvsBm?A(^F#lInwRkNg4k_^9`;Bi5sq3Dr}hhkXN14CK2uV zWbEL{S1 ziP%>8t#`XjQPw?$-32f1`4L_vWWN zTAx*Ud?sn$I0oJOcw4i+QTdKci3d~38zSb4Pt0dr&9}MP8j`*x@mb*7*&I<*ivZ`A zoH05lLe?mKi>^6g`@CIz$gKFgXww4v&Dk=CGY8)J*w~+%jksj%qyNDw%G`WUo0&)A zhO8H#-DCB9OSALhxspfXGfCEQ&#t0(!#@n2EHWNzT-t0S{Ap%m!r^#x6K3Krks_a~ z@0=(PM8onAckB0*z}RgklkwYfbh{c1R36<){AlMW zbk#M+c7jpOMot+f*!b!n68Hr;tMT-gpu4uebP3x;OTp*P~XMehw1Sy>7yE$$m-y z=R$kaz`yx=YrdtX<=W!(g9nWBHmqa}6^iTLA9!Wz>@*wzp(!s=eO6+i0OKW}vfbTWrr`=bKj#-N~QdT-x}F`|;~z zy~M=K*Wi)Taj}Qq=BvMt*Gu|mW7T<;k0*Nx|H>V7Uy|rM=KRUxqQ!#VyK0wo2NBC$ ztrU6Ryhn)dp3hbpUO96KqPuzv((|qM0N;|}UOXCk)7tys@aMu{gYSOz@1MHV`0goU z|58lZ?DcMArn~6d#a7SO)9>1DqFh34JS4K*?*+D;9{y(!qYN#AvAGTH6U{&Z32!KpK&4->!N&eGdz zt9CH_-ShZ6+s>Ifgyl&H1rg3@jYpo`=?eWDK{Wr}X?vq4_>yf==4_>5l}BEXz`T5e z-c~7G-_E?-wXA#b3TdVXb6-?&g}wz)b|0n3ztl*{v)HNNxpC)Ab%SNnv(m(~2U|AJ z?cbi|-s}F)Na^0>;bUnh3xZfZCj5(xiLElBTZS|L$>{VN-qGLqcy>!BQ#l|(>Yn-S zy!-+&@@0!lse-I;KTK_!Gt6#}fClGlI-l}La4?&FX1*awwxRiC*9-GcnKJSb=ccu? z&lvsZq8=fA8`vQB{+;wKS#zn+v!=UmDSNS3?Dm`JnP#No%F}#ty=Ee*vpKH1&F1zg z3dVWvwPF>$nVW#QJU^T7(DnU%nx$9argt$Wy+NW{ZXNj+Nt5olzxn3nt^aD`tU@#0 zlQsqZkZId&cJ^**!Q1j@*0wDL@AgmT1EuaYmNkXVKI?T}?Aej{!TGmtl;i8$BESnP z*yE9SmxKV;8R9MrEur^^R$B=fov&r}K`0tWm=(f zkkALR_Ih!*{Eq82SLjQ5HyY=qCT${d1wFk zKuFdL>1w&O72P&^@4uUFKUcrtcXLzLKN*-gw5i3{U5U+`ZNCJI4j*`1JlWVc=A3u2 z@L}Tlkv8tGT>QlaV=!=OU9Fo^?d2`(ipDGlEmyxCHLA{P%KL{K`V(s2rIl}anv-jD z`pUuEdrFgK3ZA`F+mt1-#jqLYS7@I5`TNz%YOv9loK{D^lh8`O`R&3R$8H5B*VkrS zUAKxbKRV#(ai{lmdb4JszQX%mD!~_lOVu*nC}jEhfw98QyTqOEgyxIh#OHhUt_@{3 z>&~_K(i0N3*5tcAiaRApyPif3JGquN7Vkhc8hqbiqY@y9%W zP*+K?knVpMzgT1PWJ-Pq$!O`7S$}itr98c4QU3C6X1;&q&^EQ0qv^vwO8%$5Je`gU zAgvs=5RxDF+B{sf`>na2LA=O8<5xtjInT*|+P;|aPB~v!_>>uOEBd5vaq6x;_h%p8 zxT_XswBi?$(HadfjK5LQ1yiZ(UliR75+p+AUA?5}s%Dj6N znd}JNr@8CM#@+)mb)1@yAqz&CLYdZGLearX297MFqZN~O)p~FO|ImMTib7A4j9PDx zqCCmxS}a2qj|P(py*|+cb|8B)yB?nl+J}6)`*ev{mN@hYAENe#2LE^K1r0Skc!b!- z{CUKKy&64^JtF*KiTL?MGsEwT(&HVE56@^>k3Kk3(Al|BAUAGQ?_=CHJH}v%3wM3l z%MZTtUP6Jy*v_z+vENoI5_sbAUMYNfX!yU~*DbK}PlHl?+UD)P8@J%kUSk--Cnm`M zuG#*bZeRWMpKv%${u;{2A$k7W=0M^2O1Wv{e=!>4$W~bJx5fuP%2&Bq=XHQ41X=v@ z4kz7f3RfQfvG(AI-DZpG-J=4zz+Kb4dTPEACnJ+XIf6=)qN@pd#?7$>hQ+J#ML&#O zpLX4J%<6k+CRX0hhMoyob{#qG{d`B-K+~&HeY5zEc`{%&bzRr zagxV%iX>CQ-2C>7Mk?{ciXkEG6aNG1pt2x8WxV6(vpWf-gB|X%)L*M|r|Rn?@H*2L zcJxevI45|S+jqrmt?U*hyKt?CHkbLz`a4bYz@_^o)0C@Psb7EXU4bNc;rkY(QtH)% zOw(zPTd03C|FjU2Pt>Hv61OkmbQZN%NT2T12bGnx?O)m%Gn~j{Gt}g&v6EA-xU~JN zoS?BwAFULvrZ(@DUJ&hv_SF*8kV~1oSWT?eM6hx^`h969bA^?)#mYmJohXu zOW>as8j~3ssJz6Gn;%^`ot;BkRsM=}JPf#P(CErnOMGF_{W>ihAEYdS))A$(${{Bv z)g@wZsedY;N0S6@+og+~t;R?Mw=cJ@M(|7DlD03Y+vK>KS=!=^DXS8V4E3gDm$lhh zFPt`$-ipmfpMLec!%RA6tnvc$+J1yxPm+#VA-!A+uyObdf5?i~A*^b$XVsUj)_Phu zm2F;cH_tdrKqRmFO0u3l);*7&+0<3uQIdKA3RYgC`LB8(=W~SM<(V^OQ9-k6V;t4d z_HW`>q&?|uMDsjk6ob(bAr&`}331TM-nO%a=u1u?*{(gB=TC|3m=rT!%0GIC)=FL|4Ma(wS}x+`F5{{1li2$*WJ52hl}0LarkH{i`DM-8k&^n!IFb z0{>7V>BEwAOy6{k39Polcm+$x%poGY!#RAvM2@R6^6{n5ayQzc{uWMKFn+VC7nKKH zoa4BbX;i&p`W%~sQn>08EJby**#)64?B}8(2!9vy+lZ}t!!8kBs3(|&*j&RF>F}!3kxX|jwC6Rz5*Zgq52`p~i60E90<=hTv z%83$31$7ClNyG>T{Kif@!Xck72bN(MkSJqiY``%M>VCXY1P+LIw=>`VIn!2nHAs05 z8rnyTc*9U^pb|`wYLQxAH?+MAQXlLaH}jz86xoAy(ZBJH$2f=i|54 zQaFpg(F7MMUV{Xg_|9<1~fAX;bls z?()^*n58Hw3Ly?2q9A*x;Sm#{A8}9yno(Qn1+-U$^XK$qAM$|nV83j zDNU63a@ys=pDPD(+GOL$mPld3AQz@Q=~3UvL}FHh%VOP)tWA*6#3z9=Wa`64zf;mN zi;xuYLcy&$!#HWwOT#}AY?!nneAgi`uT*P@zNalNyC`euLEd&ovw;K7#+3`YP=buB#2J`5vN_&AzMb;X~HQtGfvRW|d)!s)ZmU&Il zFD^}&Kc+^7kE9A!@Yr67CEaBQXA}pQ89?|4MgypMi{R~gtL)z?Gg(+n@oTOL!X#8 zp#(#sPLT2viL%E=UEBSzKI%J;w?4ev?r{EIS%l`9SOqjFCW z`lX=YE(x^#>>I=(>w9tiQ{@k+(JW|pI)6i3u<}<7}S_1D!LdC(A`-!#n- z!>dcYBps_p$_{+3JgH%|9|a_hgb#4YWSFVK#!1Jp5#koI4m#QaT}t}+UXZ1e6NXWo z^&i_gfP(dbH>myE=0RU`u^O}r7^K#hDdH+Bu|@Xy$|q3I;2P7AJ2Dsz1t`Tn1rCSc z3gwt#z1xDziiVmmM&nt7KOS@#NPUCZ7X-h76N(z8annMPO(A!eEs8}0mE%#hsDJ}O zs2j5CzS?;0rOJB`i>C2=e)IhJN+(!P*RP`kPSC@)TcZl~kElts;`r!jpK?V^)71#H z{vV1ib^;lBy7-?Ozgq}d|M?n{W4&g31@)J!f!fP-J&9gIHm)KreDnoi$}6xZE*%Pu zhTc8E27kExRJjXWCeEnu7tjw6=INYRi$h4Kis|Wy{S44QL9qJx^rI$Hk~jivm9|ZZ zk@kZ{+FWkz?^=eXKSziep+2 zifN0HoV;GxsVFA$|1mTk#o{j{T(HzP!xQYtF zjHs2%XPWfPG^N4|P2ojxGbwe2e{MM+`8#uH#$M4r#*?*V`~wb}z0M}FmV|}dPzMun zY?^^--F6=1UfBuY%Ih6oczS45x^BnT65Ov}uG!ZBXGp*s%at)KgU z(4nt61bU8W5Cj2F5n)8lK+^8TlQ~LD-3)g~Wyg#@A{K#Cm#~K53;&G}I+J(Zq|;8C~S*EBFt^m5=|# zbbiwGOxXQ*E#3*W!n>%mT6es_>9};vBIrj_#Kv3A&bToMJlsZl{Mp#uz!&6hBYC_V%=jNyd zHY=SQZdlD`oP4S*H@dGA@$QDdGq_m{f#)lj)s+-2U@Z*=heV{|?PFK5KUc492JY3C zL&W}MwHAb}aTqsvBxN}i3ne|`s&+uU`8EI#?3&H=94l9(+L%sp#o}>Xs`Kuu1fPF_ z;i|vjDrO+_nh4W16Zw@0Z4?~70pYwvqA{npbI{?-b{(vF#-)4EG>~KL<2pLq>LbYe%&N3st< z-7(rAz58$%vh52R^#dLznDDpDVH43W0I(Ya3k+tX#@i#xZsICfe5U((=t%pjwPF)- z%UZP;=Mpt%`qVG!7;es}f%PtgzZrOwNb-YWd|fQl$E;~5z}FE?Ik|{nfMZNqMw=k~ zl+f;t!+7Zifg896r3t7(!w4yihpe|E!iV8GNFD}OtIb5|kw!nM%nd{@OUD$GcIS)) zlC?6YWd?(h%R&N<%vAU@R!wmh{=OoZu{&{?zKUhAc`4`8f3Wj|Dp6bka(vB1rA-D zU=9H`%cT)mZ3^B)|8ZNKf5KBe>HNAIYlN_+@U4?W!Psc_^g?K;(jb0s&O(pJ2}5Cj zQ3FEtr0kBdg6R{7{s~WZ1M+VQ2t|#lB)*4C@!HF@%}PG@c$|}GgI^i&NTe#}FU1-3 zeh}jGG0;oKlNIFj`Z08p?cD;;A7ZEl9GjVDjM{?Gr%uy|lxE z?LL5P0GFDNq3JBw6(rVG9$15{i;;8n%bmu|kHCJ`)Pw5yD*Ue!hnc8!Y2Z~T!QG18 z8iz7MpDHim(iAl!=!8%=7wMv*s@CW!lD8P1lP$pCQU?TQ*%{C|d*mUVW%L-?L8VHe zP(n*A!E@XLlu@z;X62a!KJ1q(i6g3|l)9RL2$zDU;)HbV_5FTvzyo zuwgD|^l=crx_msi4E~lBxUg-IK?9QTWUT5eD1Bw%%OGzIp3U>Dt#fAxJUpmY_DoEz zSlJV#N_{+@V`m#QdUg<+Z}q1!pTZe&fxy>uz0O7RVlHSx+kdT}?BH^o5dRB2MVvPM znn2u{QI))+X6QtMv9oQ_jCx8vAx^;g%-K7P7Hbm#5X)9i%S!Z=wnEJ zRaC>{8W?TiNeNvMv3lp4$&r9u#E7y zc_-*OvUkvD+qtcT1$B)|7OTEgJei)=YmGYFvATAA9MExr*5Nkj#+vv#jOVN41%Zlf zc%48161=^mJvw<8JP6399z=l!Fe`LmlA6Q!1S9Q)#3rKJU_*vaAb_$QPJojL^(ut` zR@@^{cz#nf@e~jd2ar9iC)!9dz~gZOj|?PK4WCXbou{9(6(Rl+;7stfYAp3ZFIxsqJT{+EaAZ+VAWuCm(QECoYQW=MT zp_oA`C%sQq=^5&{34BWUKtCF4fP*djX4lUo#go62Jl?~j_skJ&Pp|?nEOY<`yaMD{ z%!4`vg1zfFubk)_61nJ&3^eEXghKm9NbYnxrf3B9y_NrD_wvx^4sU5sPhP}Sa-RdL z7Dv~PdIT+O<)#3B4CoXrqK>ZEGd}*fkXCnJFa?lkUxI(PdLf2-Uup)xIJ?v*e!_HO z`N`(be^y(#3yy96>FY%7LN!*T7Be`=7SQUjw#lraeSO~7zG9bMgE_?9P~(hy*c$(LAgYbA96y*o1m z0z9ZoaS)}+NhZ)!3H>O$4nbAgFn-zf0P<4}3fe@+Z?;~K?a0lt8VfhHa2FQfG3a6+ zCTbb(@>2m`two{`b#5BwURwQ080W4I9J_=5epva7b=cDGJ^obL6W_39SH`I-(U^5m zAwmDO^(lJVVlWQm7D%0|BVlxU{cn)(5!jZ(HSsK(Wg%b>y=c*eY|(}3A_?V3&}H~x zEP`|9Uw+A~ClrN}u2y4vM#*R$PO^{D2z%i9Hao>_6fV4Bf|C)y{FkJIRB9AW+r!yv zP_oMsM^X}cKa#VcUZ=f0uH0cTq*Q13@9G$UYj(->ON1(H*-WNJOjAy;Yk;t?z{LW@ zD+&B1EksxjeQi?R1|7uYfE8i9;UOY2!-!;!twk*NLxJo5D;Ppu34&KPa(kbE{yPi) zqvS&TD0)q|FGBa2@>x%No$V6_yN(bwfd-A&AMHEKU~b>}!9=)2$6LGq41 z)%NaN04XE31SB;PAHF2Lr$d7s6!} z@mwB>&8>_o?w3ZM5yNMYny8dVtAea=5rUb3`;QhArJqSl3%nWVm|<03 zBCMn&XeKO-Kp6rZTQo_Wg*SHNG=J5&{E`J4%H~N=*77W8v6f|ZZw3QaLfWBV9`@O^ z+12HcHUm3ZmTPb&gN-H4A8uj@s<43<>2^SN$V3@!Rt`D1o@z!UzmmRz)xtMV0kA5V zww<$25VY3L8>>mMz&cNLSA(#}tFdKg==fAIlIndvd;Ni~{Ma-iRzqw9fw@2uz^)!c zWbcr>T)#AYN}T^Q;KbP7eajFoUGPa8sfmOuWq_0^wC3PwmP@Tdu_o-^0&Q3W*0U@( zK9;10FFQz<4O}_iglrGgzGsmZwls6`ITm*T#&mLBg!<3`mf>zvyl1GxP=-{H@&~lE zqkwcALdHzk7uK)MFxoX~n2&&nK7g$yuc-kRNa3UsRy8`8qi*&iGwRL&g~z~kEDVz_ zWrxAw0tZ1#jhMx69mWjcpG*N{fC;2~{W`1V;AmGx+RsvkKv>GHo6pAIKH#|7q#Tv>N{rsV$p=tYqf#JGVBi9uACkAGdANPPk13`FFAemqCq>fOE2zXyE4_ef|*aJVTf1{`%H z6tKd00Tccg%o%>6PFK>rp^v=ubygV3oW54fFpM~|PPSje&A(ycEU7@{YK`BcIlPlg;si`~(NYU)o*pkP=OI;pX$dQM zFAx$ate=LHx|A;F-m6SKm&S#u={F5M2SI}Ro)L7q{9*2L5%O`LuX;yZUmqs zL}t!jh{yN|Dh6!KD}nkKNQ@1>Mn__L5Q4x=r!P6Do5ayJ1{szdJvq+t<>h}n$RMMK zw$Fuj@|VxROjlc+Fonm9LvCq8jGAY&!O!E6#|Yh?uX-3>2FdNUJZLMQBL+%5-xf)@ z5=0(s3Mv3eTVoCpn&L7V>=2e~8AOU&yC=+u{CZwu8t@jeM;iF6UgFOc>Wjl2&0 zVd{OPHIaq~S)m0!ON3h+3r&Fn4Lrag#nKrZ&2i!SYMy=1N^MWBVAOC;U5xZA1L%-D zNnLK*KEQxFp26m6EO;o*SzTkhD#J&}tIPWr{47~F!?oFr8v<3cMQ?yhGodnU^a|rB zFAuQ+UCuRDk9`B=(nJzk&m9h+ z)h9_A0wW#Xva9V7T8ix*CP;P!d0d`iIzR6J(i8KHHv-0e#I7G$rJ%wRvCSi?GOvZN z27=yOwn5Rb)VjLDBjQN)ZR`QyxYrQF6^%3j{YGJ_y2LCZ$F$waL)`!h$qtYY!W*rP z022Td=lq=13&FMf>o4oY255nGg2w@_>Wd{={YR3ekR$;Ejzpqo)OT_?(ETGUV4h`V zG>8Ic-vB|LO@4!X549Nd)?#8d)+9SYtGk6jCWMV7__&x%;V21QfZva?lZju@n74u| z@D5V?D65JTED34^187Nj=p}PGXnx)3XA{v8OQGv#2@kwMbQ&PbF$NGO#SW@@M)wBU zicSKBGa|gJn0Bk7F8INsBDT%{2`Eo)FXa#`w+C)qi#iTgMpc(+rEQ~u+U!YRP7^w3 z9E7Z;Y2i9V3B5%9BdD+Wh8qLJ7t-R-^9n()>7_XW{)WBuUmZSxraWRC!zDMYW}Sw` zt;713K{8d)y>F0ny{Q?F#(|_DU6sPK1!b}@*#9tWy?q@cGDGJhWLk{G^1rY=>4Mt=h;0rXPNZ{pPpNNpp3nli(kKNU@C_Cx+2Z8`=j zLN2rnd#O%7B)0rTXJ`-*oY+OhGWJ^6z3Ar#qT@NtFi;90c6@{WH1lIptwVrLY~|(z zj40X*KlDa)#@iq9pQtZj$R+@0V{B@W)mR@-1vZLy@fNTt&RSl&fy!=wghlpRyqxF%*nepD#KL)c(Z66O%qg0$|L9H9v_qB#eI8z()X*m%LAJSky~B z&=tTp2Wby~@tJ4Fn?<5g>s4%2Qb+?3 ziRe-aqgvq4XdWo^3u?-#)NQ3i5?I5gLVKM{`FS_7G|F&FZYb%P!RdWtob%M=>UB(7 zPCn>{$D5MiSkU3sh3jj1d59$NAO}bs3UpljR5;I!n2gz&^fsE(OAtzCJP{F)^KBCG#+&U3+6a1ER&`2{?_p!Vkc+8(d}d=!cMjw}@2Fj!3qtn(JBAA<0jZ&2x8&JBQX@UQw+NBKhVfh;m$kbgP_usgAES?y|Z zC9$s#TE4d2R}@W?{J-F@dV!?a!H178*8q#9GoDD1S;=9KQ3IcgJfY?;uj&$XQ2g_L z5G2bE)0a2SleYh+tYelLUR4-{%<~EbHM14$%%i?=q2D?VSqP}EQBmMlZcP%E0$<7! zfS3lE{f4zEaP@B(+=-V7+c5fyUoe1p{)EW{PbnJx4yL#T{%gVx2j$N3YckB*7pq=I zAwWSY^#KMnTTDX0f`kzQGoM#%i~tAb&|co1JA1?6=ND=7kO znK`r*L1kV!0x(J>P0&E&)qI#`cvkrH2ZKXm7LjNjq&i~tp=QXsR)O82lUG0f?|52y zZ25@5&j(D|0MkE$;LhM;v^s;e2h-1h&7g-BJS_Nv@5N%)^R0qW>w_sL%sDEP0MkOh56TZBVbwpd8|;e`YTqv zmD>pVfv=fGM-dP|fJND{Wb=k;-?7p?APaT?k1f5VJpV7snsoOTUYj|v7cu<7YNWX~ z|F1}7EI7Rn-~0xrGjvU(s1^$re4SREPu;)+@8UT3S%~@ySXO=VK$UpPtYC&k7N;mf zKw2DvI^Zvx7kUVc-(GVv0>N&gT|Bjqsjl%9a&(_h{lMf&HhBYPA?)>E^<+dt4hl46 zC1g{3)N3hM)J~&Jz zSY&`W9kob+RY1BvPCyeu^27;s0C$|=$!2}z3||jQJNgw;D;8$7E)&D7a{ywm>lx%B z6jm$dPO7W$#e)kCK%}F0L#$sh*N!#009{&(!(O;D39aX_R{6oEq|r>{19)1M7fF~p zeQTWi5j>msby1qV(_=LyRAPYk9A@r>@mv3gj}O3g0u_EJuXByZ262hBfv4k$WB?S! z_QG?zk$3-bd8Kv`@VWS4H~h7(y4Sz!)>Y-^ROi3|I^+lZ6Er4P%bQWBTa6KEoVIVn zcPxwYmiaGrY$fqCYvHc^nVaBWM~%uDZDxu%38^x6QJ?-2z%U8d!^iqbC)OzfWWQ1u#q9;v#sSi0u5r_{2f8mkPB0j5 z+`{Eq^@)SQ!iTNp#KB6^>kLG|yN|f_lZ4lAMM9ezJH;@?&LK>IXsY@V5mrFrWMDV{`oNfmE_BW*!~qi@J@>|4&zi-H;Ji#JCFv`h))X zq;%H;;~1dCCwR%%5xp-+js$qsT|S~d&NvWF0@JM+U`BZAD%ps?UT;Cw)H0fK7_B-m z8Z7U23d_AFw?38a6?eO79U5q4D4uuqgS2hS2RHAPYhkO4TI%4kH3>VFftG%^rG3K@9@nCZf}Tbrk$KotxE zf$4p93I|<;1h3W$lNc%^$A!=Px-Ev=d?+zhoQH1Q3q}xQFg~2DvXTdiklI|@&8m6| zA_uTl4|+w=+?RPeuhPLLl~+^$7dWzUiYuaeY$#zQ;+RmTk0F7T#-xD7%g`mN+8AG6Set zhGw9$0+D`8@ek?ODj7V8d0t>Jg`1Ui4h0jL_=btlD_^1Y=?QD4Z!p4Rs?or!C;*2L zkj;MupszV>*ygRZb{fIeDm^E;w{2cEa!PrXa-k(r^H}TMrb{vMJIze8KyTf{>mY&9JTOxcu4fXnO@iIR#tIcuiBdZu*m7r#dx|Gs1xxa4CPZS%CcVMm{mr zIRcu8c1u93;TrEN0&MyWZ~fTz3c6-ZfcZc}&ZWphP~Fh?X5J9$^7i$!V*=wp{BzU% zYNu?zV{3pQAd8QTnrDZwXrToyFtr3q?nuN8^D5(cR%txWUJhACiREp8J-ieg$B0i^ zQuU+hGx>*@|GR%~LPxOX1ny-d7rllKuGTntYCxK`b7K#igJ+J8*wFh_*3L*?@%5zyk?+9=~4J2oCWy5;4wefu{3bN&B6`TsBm zU<0n_OqRN_y#ojFt~k(c>Z~vSCs4~~bRvaRfDK)s28SrdE3NQR_RD8GL z45V}JZH1zcTGgTU(}mv;wSNfFqxGD8lKP)CHYn?p>QUlQS=1GtGxl#}#?tYSUpX|@ z2dOTk5~t5sB;5#TgPsJNj+NZ5ro+%XymQHf_p_!Cjd77aC_|&^zs1-^f>_exiW{Nk z4nMC**QEp>aRE;G`}|HoqgW{BK_Qd(>UdGbF`6;aGg_)~o5)&wr@OiDouZ0g-J&W6 znTi^RJ>KMgSlsBS`V}Q&8|5y!)VO%Kt^G+V`&q?154UUNp0bz-SCfv6kdhQFBTvHV zDx+!Vr@@Yke;h?0$3LQ$h2utkN(Tsgl;k`)(Q;`dr(k(lg(GJPT~~i;HdG(b(d6hR z)3n^&+K9gj|0$i(9tlugE5yfcIn_?rQNX89iEPc>5>hfdbv3HiHs_tk?8NfNaS2B! z&iA|is*(1C(i*ENOsry{kR{z=-T^Kyka#-MYj}7DylBFlO;{f15{u1|33lc z4I1)?!O7EIGyWZm-92h!Q9k$7i62F~ZPb7vU*bSQ{o>!M*pc?$ZOz?1@!R2UYrQkr za~drwFHgRx8y@A0eLHfU(+Q-wT=KFbf3iJ#Y_hq-U|a+nPO#@!3XOixFZcFM9B=MRCk5lA&Fmukc z&TiH>&Y9pe<~(4ox5L_4_T6=Q&RZ#Fy56)sbf_2=?ZFJsEr!habBf--788Cj81h?`- zD(%l=ot|934*11#eD8_6ImImPr`y|%J*S@@JHg(HJ3aO+?(~RR+zGM!`NQi3cd;K( z_pApj=KlP2jliwth|XQi)hOppyNcOurF7`uK}`@yiIKM({S>qkyDRZwB{~KweKljAG6Zr!o2aXWH5#`L@y_&cO^(s`f=u5r3t_pY_L}mAjSZ)h?6zs~kT2 zI;Fj+jqOXPjFYvd74M^OQX#ANPWkpp{q$<>N*P<|np1Q_A6@e;UGsUJw?>OrI{Zm-LS1Z=w${{*OvvlHn3?QK-S1;vY`)GbwD$0<)(+Mof7Bgb(N)gS z+Ua^r_iE!JJ?C7l)z=|izDn)3hK{VN8{MFNW|j-J@jabqHeE%Bl+&Vt7VY(p^z7cO zeN#Z|>GaV$eT>$s>wbUH+C5qn>cmD`JKn-mL3jAC-op$n8th07Z~D#F{YLCe85ij) z#y3jub6z@;ZbtT|u)dr+Q<0Y;??7&0 zkf#`QCHl>bI82xHs^U{OZw7jQLu)as{*c*Vp9{od_KS*o=`upg^@$Uvl z>7o>7uQT>-*sIg)5^U}1HIVpQk^PYG5L+Jm+sGr3(~y@UTaq)D+8v4OPaI}%16Kun zw;+cimCb*(A#R9?Q?@nem3^IBp1<}JjO;+%0OR`)w!c!hC$_HG`XcW@j>CSH>GyBe ze`7raUz;>FE75N)vsXs0L2e=TH^d%;{sy{f?H!H&W?0kP*2I~$*Ra%Upd6ZH_XAriOs zI+6RgiZh~&^SRC^_eo;UF|qTo)xq`@HubnJL7Ic?EoEK9#LXqH9&s;WI~m)v$kUDQ zIo79{^=#rUBX@%Wo@jh8v#w^=j}q5_xV6|SVH=3v9{pkDKad-+SHS)j@+gyo z%bUs72>-jta!6%Uufx^(WuJGk?quw@VJpP;5w_kuS3}L&zZrd)i5W-zs{-|LrC$B{ z*^!tBkrxO0^h3WDy%x0>QTsFUe@*^ojYOB`Y5W82Prn333Gs%0Jyi+)5%Q>uW1Z@Bb!?qfOj=V$R3^DpGyiP@g=O z(&G?%Y@$bJ?CQOyI=NSjbwXZ+1uNy=4RNf0*Ll}IrnROz1a}#$rdF^1g)9_RA!Ph#JilLe#$Wgyf z8&mIkQ*$JGYqR$A@59zAWcwe^<3siQ_|e3V;(9)dy^keceHu0&VpFAt^Yf-qkLB0~ z!(ft`X9D__CT0Tpk8@3P^j%F{l~65Asn-;86#Hx8eodMf6*!F;b#9u5So{n7B_Ug? zaNx%<);FiAy#n7L3QsgO3eayivFfYA!(3AwdwPwya|jqlntzFE{$pQlELY7Qmm zmNYrIQm@Czs~gzsJoGvNT?LKex-LVV1>~u{T}*E6P=2aW;KvZwZA`sW;bgwA1|sa` zV(O~T!V5zCQ&Del9TS>u8L{g9zB%{5Rmf*o{=POCQ`?A~XQ->*$LBj{Swn8+G`Z?q zTwAWonW;4~r?Btkj%Ry0F}=7R4%Ht-?RIHut6i)lN9}oDC{Ov;a#c!`doRB0)A&?w zHm^76ul6|C%rJoD4tc2kE;X;`n(f$IaJ~9KX9NBG(~LM?Q-@0{J%bV{#6TsHy63JXGT>Y|BEnUtrrF zu$@KiWv1?-tkrk;VBN#8eGsyh#kMhETTjA~Y0g$TV&4tKs@mnTy%Vx2yZ@?ye?!QB zB)0V-n;(A^zPHl&{FrRzP7>7ji(Z`b9jw*o{+_JAX05)1^kDrJYxTXMd+1p>3C1e| zj0ubVs>NOwW!TGk#5`eQPG$XkhWOacP2jJXf18=V4)x~+>bF9_54|38uCZUhdQKoF zn|}4los}l{B7Bde@ioRb179QLOk{hSZe{NKc|MyXCo+EzY~4cVvI+6iP0fa^A7b4a zInvnLk+Y5b(T?Z5JHGCrdQHiFz~tig+H!3tf0W7ZhTbEPa~bhdO?(@CUlMztiS2}b zS0J_zdgp+C0I_OsBTf8)tj(VZ63Myby3@p~?|`4vt6S)7_9CWBpr*?6Up-lLP2=-p zl9X35?>N|8iJV{HFV|74TR+7vo4yO}q?|C@Oqn-OZKP9kbtT3rC(5i<@!qJEZ<6t02C#8HXGrI%M-_88RQ9J-t)BWNjs}ue^zc2wNM*_ zcW?e0f@mNVop+TIL4Czu0{Zyfy(>`khl)VxjLd63@c(P=qif7uy=i z5W#K?PIPffiJbYZZ;+uJ@K|-0=%8Mzvz^1H8U2R0SN`Cusmr@De!t=8$9}%3PS{EY)9?W8IWT4n?^cF{MY2ON8QKu8cQ`Fpm&nJ+ZhdU4RpcW< bTWHV1!V^S;zf;^J>|vP9zwF?|RbJ^*6o6GkIi?CHUkpS09W&EXJF!H`dSd(f)co<%9fd zJyStGb^fex;y32O`>FArugZ=`GGDG&Jk&d%8UMZh5!Sw3@ZDeWUDo)u%>F2Q{kpQ> zy?%-}kdMrV>YYE@Ydo}fJk1aFi0Axf@aN{w{YyF8{eMJ$YLEOpzVdZh>*4Vf??le` zWlqZXjLRh~%6UP(`#0)2`Imkl?q3o_{|SE?zn7BF&uk0!k;wdhahU%rsTXx}rd|x) z=DVyOQGS?@zb|Qj+wn_1KMVgKUXSbY;dvc7Dc-M|ZzujF>-!Y)iRz2?udk^m$H#oo zANhY0Jb!xMQ@&q?KX0-5{qOmxJ>owXyxZPy#QP%psh(*6L=Fs{!czW(@tS2=9OMUmLHP51xmG^Mm{ISo%xzq5e1zk^a5-|Mv5Y^B3u- zc`p0uzTi#$!+FDgBHyj{lt{U>9v|d<{c7fi_J0^3_1^`rJQaDrJP`Sh?~ABjh!^q0 zexv+NvfZV=WPOECq({ECe_MEHkMn~3P>%eKuPr>R?^-;xM>*niEOn)R>+=fdbuC^L zZ!I3$qa4MH^oZy6I4T2&`|yRFhv|72`F|9c>HV>J9<=_5hx-Sgr*5wg(6#)q--XNDlz%JVL+xum94~r) zAV2JPy}u6OkNv=S$hXyBs|VVnywxA^I+>^P|3xZi%6y}%r_WqHY#v$97xFQDn_t?5 g<0Kq>i-F zdTc*Gf6VSCrIeNnk*9)nB7^?k(`(WTYtRewLhVxeon(2cl7H`utg3#p-R<_GFN#w}xm=D_@8t>5YTYgB0`CB=l$o0JK@&K;dZ!FBiCCvl#=X#!*{oVhT?*L+Z z@SDtDe{%iT#xK?RX8maTr8*p0{x8k{Pqpv)v%bs+U{KE`)$^;#TGh<&z7^Q3yz4v` zes9hHs`7hae1Ah`{BzR--_IZWdt?yx^I!2+E;C-$XL~)^x?+C5U4dZm`C|HzA5#7t zpXHW6>eJ)T{w$vvAMAR+FQ}evJeYF437c3qoG*^g^D-G&;Jq?F*qrazGqVr=4Zjhp zmj7J6Lhtk0_#1NQJ^s5=pF9to58FRClsIp%n|#3MlYhzjzR7rl*<*gZAD2a+>&x+2 zZv6qp{;mF6{ER=r32RF~cf|u9$HSAvR>m7l@ceMg_N{&nZ{7IM=^rcK{n*DNK=KfayY~Sj^kM+SvEMCuk0x7J`evH`uj30^T$s?N=jWWXrmdq#O z)BKC`tABiF@OI|M^~-n>Z{s|V;8)|x(qw)<)p^SObQE~{d0~BTzQW$~e*q|o^ZLZ< z`J;ZXg}p~E-*50jJwBTK_Z!=P=-8V&DRS=r9R!k}6~DwEY(MH(WPe@-AGT*b=jZ)8 zQFPDueTOgm$9Z%A=&#Ff4DVP9U$1}E+n<-mB7ge&MSWS%cqKnIo$W`_k9od0|8wIL z-*3($zXIHGk(VvTy%N{os#&vp?6b zXWy$=&wt-|``BxG8|RPvxr^T+{3n3u8uUWF7k1vZ|6k{Nmh->OUm@i$00030|9Amn IWRt=JDw%AfVgLXD diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat index 8fab7854a8db13cd658624b2311f3792330550ea..a673eab59ea8287f499dd033464487d88e34b34e 100755 GIT binary patch delta 329 zcmX?+^&@*j3FqVmoEsQzCNgSo4&bU|n)pF%@)I5>5M{$F2BbD;@cOV!ejwPwm;+QZ zIYmfkvWTz_V=0KUK;+Kk6{2@G-w|8E#dsWKM2q4V=FLk~FR(EF0dY&TJQyc_kl1`h zTMkHzP2QmkGIH`0Ftu4g?+GKL?Bqag?a5#Cbr|JAEJg(|sR$;Oz;X%(B0w`H`xrQY zs3j2I1u$>3hM^3|g2^#PU^N{OYKswADUY$v<`iR~9gbkroWP_rm~;V?u3*v)OuB0KoCcVId6^N(?5|dvD-C=A5F^&k| zVQdEpcL0ga3q)3MZ7z}j!pwLd#LZB?z_K|-!-J8uG0001Zob8=mPa8`ThVRd>82SF-srI)-A~}Ja;|+uaM@uBMvP|sk zT7Y3~6P-w_^V@f3?3e)?zx@_N_q3Et{88?y?zg(S`srr>@^T}0F2_4rlgkJI*P z_jvDmcssbbs6^>|-^a80e|9qiw0Oc>YvAy!5T35v41Xg zp=J&a&YRR@i-l;~oJFLXRM@0Rq?_sKVgDN`Zb8E7j~DOGW~@~tpR>~g)+AC->QKX( zL;^}88)*^gCzB*MHVu+c>PyljQqZ(_(*Jz=W=0uB8k+Xcs1R(BgfeH=xhJ=qd$?XV zP(xkXBoa_!lC+5QlRdfNL}`$MmLaLe8AT#WmCj9THKd_*%HSsR8q!cQWsnw;fZn}* ze?lp!h7^>vk#r=|&uY5h62cl1&=DJmYe+vyXy-;{&1U`~P1+=Iz3EpfDXby&q|*hL zTi20#re}xLR8dFTSv~kMWfaLL3vlF<+e|@8Lyst|+|mBtxP?G2kbh|6XgHY*`=9gS3!1^A>?#42@UB_ zB%`-qzI>s=Qo~{z;u7tK#Wchzy@4duW2edn(oc_WBa%NRN-DJbo7 zvUiYz5?7Mr(qiV}EVhw&-o2%wW!q-yb1vMfyp6PzP7`E!Yuijcq)Avj=(5Rw+ahHn zOK)u}@o+(-jkC7DCC*z+-WV8u}CSk2SnU~14u4AKDZl%$& zDwZ2gT^+j}Cr)1-n`${{(QdPrvuTkqa?^YVY3TT*-{b1L9i*Wnw#q57%}R^43D;U( zvJ5RTQc&tlQk4>0X-LRj+ZfJz2VAd9Y*pdoQ%435m92B zO()VOgdaZs*^6g}vx&5H@adSUMhj#grl(v%Krs7o_y>!8*zMbrNCAW&rl(wXFA#jl zx?DJ$Hakz;TqF>ESf&dk{Q&WY9$TgpNI#@?Ia^GrJy-tVSiQ@Y2|;K){6tUj^bVH2NwKZqdN60{IpV zt|}05Q2?d_DVMB7_jmGU%-KbOjz(7@>!M*-A?lKDzbKyGX3K<}(;)GpfMRV@ch)9S zlnRT5%*!(G;Cl+PFFN2B(k}|)7Sb;YhF+7ed5R9RD zT!CZ^4djXq8Y7BvY6HlSorDP)4eBe9lF1g|3J+MY&ZJBsDnmoW0$~}RA{L0tXfR@d z#0<|73q)pEkXWpM8I~j#NX#fuVu8$zh9wq=&G0a>Kx#%o6AR>Kc$`=uIiul;1=2G- zP%Mz1(GbM~0U91D7KqUBOtCP(!oD0(lx5E*8ks&~&jto+dkY zrf?|I6b7N}co*oG+J^ZgQYgMCQ4>8*v(_sg!%{d*`rp;kD@|-LrU^F1IfeZ{!A{z+7&?vI8 zLtw~5!jORB(P0A_m~6w97LYv4H@Lh9NePfLYSHyh|Ylqo9)wz66BE zlMN(cp580RwyAx13J@_6j^QC_1JM`-1#KW5^Yj)twhbv68c{ZOlnjYO8c50Tkg~y; zOnSVM*Dge5cv9IwRz`y=8wk2+SY-prlMG!|_|@jVDuvk>AfXKeD|m3(K(bj)MA*C)G8L=iM7;JPS;3>n22vF~dTi{lirwh(RxokR zAP!SFc1b60K@lH*Z2i-hw_9)U{Q3Us6KQf9O{SyqU#}{0?#=A&?D_7CvbVFFzkXC+ zCvCmWS*iXsv;O7k@~5}ABo9{7ZON_aRE^oMZgbX4d+cyTpe+4(-1E$)U_m1uf*J@|TaHBWD}Uw?b;w%l(dAG*7q<{B#Z zKfiqM{>L}Izn^>lT{eH;VRXa9el_=8%hko^nAkc@&>-2_FF$*wwkym(?vh6x0>_3` z3v!?%*#x(q${pPF=c-+qM0s4*_U@_NE@i1b)zWrofu7nm$(F}c;y+N;!%$z{zo%MZ zg!B?b^m-U^O0+?uI8W8W7*ow%%LF%`>g>w;%j2oG_c)c~OiJyk-0cniT&3+T$01!C zC5n?@BaAd5cR7o{K^2Cpj5eSaIHCcg2ypAFhW|*_4nux5?|~|J!nxF* zQm;^p^HeJgPj$Sj L$79NS?wLChTxw74+~;*PrnJ+q<1|5?_!T($sVgtkM%3mu z)RUV~4|j_;HlVg`KRvzq^w`*=FF)FLa-913uO_uZsk>?0SzJu1h2g5Y_ZeN(yE%@R zv)&7Dxs(2-_LMrAEzVP&Fw~d3D%sy&N*GHI@m?YkHTB`xQ{Ek=4wbHll=qNs)bp?7 z+|#?G)SEfK79P*8B>FGp?`=hL$DC~tW@)$%Vt^{{rFe0o=(>^xXn9#8E&tma64 zVI-gG>R@r|Q^Qe?)#p9;%w1|;YEP-R#tMw+ft20+XX)yShGS3pkLdM4=05*LZ*@h( z@!aPnrMzk)^Nn$2_-8XjeBk zmv^_7=-d2ykltkZs?zH(XSeLL$^6z_{<$83t7)&cd^zXu^&6`ne&q6K`Qtx-WG{~I zyJ71kJl}^`clj=h_p8}UPklu2N6h9&>@VC=jQoBlh6;pwp3Iq68PtwK7Pkq0&0!vP<$sYJ$T@%ix7$iRjBT}k`c}m?`jzhX{M@cAW-Mq&s+4)ak%i}4Dy}Qb&d&~98&;PbVO}(DUp$aPt zzleXb`@Jhg)c3~z>i%ah(Y<$mEs*lG_jRXHb1aV6o!*xSqAsU6uKIYNc}0EL)^$GT ze>D|IprTIuyikEGjH0XfKkI7L>lDYX^1qQ5$YpHa(*#j(4?C`pd3Th4)#+Ay{)bc` z|0+U#5mSLVdKjMazS%EqrC|9`t}0{N#J>P1&^`cvN- z>o}kCo=_R}mCcSl<$Z;0)axn7NW5=HNg$^zn*I|i{dVK8O_b;MzW2~8>Z<@9SG>LN zHyQQOF~1#o LUjO!gc5)jiA^7h- literal 3256 zcmV;p3`g^<4*>uG0001Zob8=oPvc4w#ozCzu=0M1tMgxikr-g^%?=C<8SOlbR#70H z-3SP55=M8V)&1;i$4p`%`1Gk5w_D;35~tEG*Qu_q{@K~Rx?2p#7r}IN6YbUhIPaeC zpX}U>?uHi^wIF%#M>LyHZl`-S*^yfC-}}*QaX(+b`Z;|e==Xz$`Tb(D2>zMA6-Z+z z+>zk@{p5Nan1%_$c3n)}gwhBG(d@_MG78Q{msf!VgMS2Hql@5ZJXyq_tiAg!2zIZc zpToP`$#gLv&Z9-J_<0wPZx(%zX3_LAss;avvyS-X{`GM?5g2^}@Z*BFVe^2I% zX!dYed|-02?~VuG!hh}7mw%_9udfVjG-!aqv%xo^3^stl{qcDAO*)j#Ca`&a@bQST z*RmR8iyC7a*gX7v@+V`jV>8AcHN-A3`F=FLV(j&Rz5eO>>B-S2#-xPkQXd|EYg1<} z7MkgD7LjPC=SPF@q!0xOXAmtue4VjYk#xSEAF?Kqdg7E}IEzR-%UCC`I?_*a;-pEW zpXuN$m4*ZfXnEdEE}tU-rMZo}Kn6)@uuq#r8j4Ml7LkBbRW>-INIy$=8gkA>3QCe3 zH~SbQp*YEr7LkBH9DF>b!rdVK#ADhmQpEzZ5aoIrfN#e3U zy`)Nx22xOxGr7vGf%G%|dNiX9+SG3GiJwqLttKGy%xwy|c%a9WQ40wPmy8-#lMt6X zns$>AC(9-hQIa(|T{e-5;&tQTF1j2X9aBNtv=I{NQoD(W%OXviiHNH|nn+5^lzeeFL{YoUEoJ}o4l zW46!HLfRR;-)BuC?ZhS5?frr>iDWZ9*dI^^;UXxmvZ-X*LZV5O%{j7-RFkY%xR7ik z*`)cGvuRVreG@JLw{7Mg(xgojfjo7jo^-KBUUsCPB$Bv{-bVU~^9DETv@K>GGBm9! zIL>AVDJZ$*+|}t=^x?R;>{#>=NQ+239}cK&*|DnHgj@A?;LNkn*47=1_5s=8=~y+e zIW=~WcKU==YHV>RB|*xuoAi`bj)V>ZfP7 zSIcdV^^krBhuj74+3nVH>*}7Be8@Ams1?b1xrg*~e!6T>ogY$5t{&3R*`NJzX1I-8 zq@u&mCseOfLL{Q;Ik$F|5cd0y{$Tg3?KDJgv4*g1e$Ex562e{E6YyvSC z4bTQbm!t!)ID3=`6rdc0T@<98U7wefDWqM}`CQ>uxB^x|c#wQiP2MHJj;0FO11&}8gfr)E*#dWf2DH%-w*iBe$48V;@1)V35htc490+AS=&l8Bm z(14ymAciLN1OhS3J|!Ph5Qw4CKY>7uiv1Ia#4ML}3J+N9u#l31NDNIC3PfTwSfM~H zhUW?ef-x*uC=iU%kc9%_7zJ7=kdM)@g<{3Xu=fgBHE+qp2RRuHUMLWi;rT*=w2Trk z6bQ}mgrPucMuQj%1ZQ~0P#`#?gbW43GfVJ{&;F30;ps(z2n`Jz3Iu3q+E5@sv%Jqk z;ZYkBnj9+96p!Bt&Eu;_Pj1 z4po@7Y66l|7G!KRP@+Kcg{Kk)qAxs_D3E;7aESup7amL$2*1!|qS&D?( z2)`^h%6LIR0ER{s1rjhMQ7Djq(IABa8JLtQv2Fd19=2^EFSZ8;(HI31 zDG-l&c}Ec2wgIZid;lpK4X`K>l;Md*ft1Yh{vcWs29h!wY|%hkM#C){NX#rjHiZx1 z_SL~hzR)s|n^91a2BI@O#AqNolis1C@PNe>M&1Gs=^37nG?1Q2XfgimAwi=d8V$r> z6zrveI10;28pwudKuH5B3k4}@AZNj&k_LhnI#$v^)Pjd44FoM{5YgB@OoZ&;8VFfD zVEepOA#A||i3YM37C_=gTxmrnjS^%oWtKJoN{nuKWp#evEF5@)PI>-eRDni>dhm`;#TxnGTX$e!R*#IIqS7O zxbB!#z=P}MfJYu&FE`wKFf-$MnKh5;kq6h^Y4;w?^x8b~;Fi;0u`$^8aZS>#l8QS%#$dBb zGfCUWnWVd&a0lMSux79zk36_$<1667j*lwtRw)nmd{nVis=>NlO4&{EvBl`(I(o44V=`OZ zkFIOM^!{ded3!xirq0!;SANTUM|{xz%``JmS$}={-ula*eSSA{{&_Zk&oTPU#BM!v zUdc$%mgPrR1xhw-PJo>bJE+C^@6#rTKC^)yS(CtK+Gbdrx)p zO04R5Dz}?iil;KDY^6Pw+jA?&Q|bgzuBWtBM}gD1Cuz#LqjGnctK%r=rF7j*DqZ9RluQ*RN{ivn86-X(5#FXS>D4tMpWgdi9X-{Py7Vj*mj32XKE-zQo8qJTOQqMdE#*`JYQiINRQ&8C4m zzogvujIFPPIWJ3JN$%q$a)a;rCf@b!?bZEVE%-ja879w~*{k&j>5ks=*m+zPr2noW z@L{X3k-nVq_v(#@FMi_kApP=RU$PU1>&vha#r!e4zRzB=aJRmE>7_#iA7Y*#Vvli_ zHJ+CU&`oZY4Q>U5>+76`RLrf<$HTbQJaenC(fV`%uA}v}#>2R^vB9lmv=(z3#YO9D zjfZh-^SN84_-I9kl$~2u<6+#|+ThmWqt)UxO3kgR@#MMHEp(%%6N)P=JL-1+8*{bw z<#*rGQum6=c9nCKNOB*T_NJ8Az5LGGPsWoS;*NVfs^=bjSv z9VaEL&$*+-58PbTcRCfQK6OTaYvpdcL~}k%8Bc_g`->jsq!cwDTXODo57Db1uvKzQ zInTYBpR%sBr#LwBl@=a;^pe!;qXJX9ALVq@eN5&4XjOGQrM`irI8WvN%wcsrWt{Ig zNz;#8g4K0jah_`Uv5%7byzP?81V5T?E%z~{zA>`oxvv7A@}r(I?)Q5ee#BG-YIOC* zDp`H*n+dtUC{Z0xN$!u5mNfbK(V=p$K2ILj>wJMMo;(Rky^<&}P5Gfc^;T|N$_nuNe1H9xs<=j!~`zGIz quN@)TB diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index 609cd3a..02ce44c 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Tue Apr 20 04:19:58 2021 +Wed Apr 21 20:02:00 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Tue Apr 20 04:19:58 2021 ; +; Assembler Status ; Successful - Wed Apr 21 20:02:00 2021 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -90,8 +90,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+-------------------------------------------------------+ ; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x001644CE ; -; Checksum ; 0x0016484E ; +; JTAG usercode ; 0x00161CF0 ; +; Checksum ; 0x001620E8 ; +----------------+-------------------------------------------------------+ @@ -101,14 +101,14 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Apr 20 04:19:56 2021 + Info: Processing started: Wed Apr 21 20:01:58 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 293 megabytes - Info: Processing ended: Tue Apr 20 04:19:59 2021 - Info: Elapsed time: 00:00:03 + Info: Processing ended: Wed Apr 21 20:02:00 2021 + Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 7c4faa1..6e7ef41 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Tue Apr 20 04:20:06 2021 +Wed Apr 21 20:02:09 2021 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index b4be352..a738d24 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Tue Apr 20 04:19:53 2021 +Wed Apr 21 20:01:56 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -57,14 +57,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Tue Apr 20 04:19:53 2021 ; +; Fitter Status ; Successful - Wed Apr 21 20:01:56 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 230 / 240 ( 96 % ) ; +; Total logic elements ; 234 / 240 ( 98 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -128,12 +128,12 @@ applicable agreement for further details. ; Number detected on machine ; 2 ; ; Maximum allowed ; 2 ; ; ; ; -; Average used ; 1.20 ; +; Average used ; 1.40 ; ; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 20.0% ; +; Processor 2 ; 40.0% ; +----------------------------+-------------+ @@ -148,27 +148,27 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 230 / 240 ( 96 % ) ; -; -- Combinational with no register ; 121 ; +; Total logic elements ; 234 / 240 ( 98 % ) ; +; -- Combinational with no register ; 113 ; ; -- Register only ; 1 ; -; -- Combinational with a register ; 108 ; +; -- Combinational with a register ; 120 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 119 ; -; -- 3 input functions ; 38 ; -; -- 2 input functions ; 69 ; +; -- 4 input functions ; 126 ; +; -- 3 input functions ; 41 ; +; -- 2 input functions ; 65 ; ; -- 1 input functions ; 0 ; -; -- 0 input functions ; 3 ; +; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 197 ; +; -- normal mode ; 201 ; ; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 6 ; +; -- qfbk mode ; 18 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 54 ; -; -- asynchronous clear/load mode ; 30 ; +; -- synchronous clear/load mode ; 67 ; +; -- asynchronous clear/load mode ; 29 ; ; ; ; -; Total registers ; 109 / 240 ( 45 % ) ; +; Total registers ; 121 / 240 ( 50 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 37 ; ; Virtual pins ; 0 ; @@ -179,49 +179,49 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; UFM blocks ; 0 / 1 ( 0 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 44% / 49% / 38% ; -; Peak interconnect usage (total/H/V) ; 44% / 49% / 38% ; -; Maximum fan-out ; 106 ; -; Highest non-global fan-out ; 45 ; -; Total fan-out ; 1050 ; -; Average fan-out ; 3.39 ; +; Average interconnect usage (total/H/V) ; 40% / 44% / 37% ; +; Peak interconnect usage (total/H/V) ; 40% / 44% / 37% ; +; Maximum fan-out ; 107 ; +; Highest non-global fan-out ; 47 ; +; Total fan-out ; 1091 ; +; Average fan-out ; 3.47 ; +---------------------------------------------+--------------------+ -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 106 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+ +; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 107 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; +; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 16 ; 0 ; yes ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; +; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; +; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; +; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; +; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; +; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; +; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; +; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -230,40 +230,40 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; no ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; yes ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RDdir ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; RDdir ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RWout ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[10] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; -; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[12] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[1] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; -; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; SA[10] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[12] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[1] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -272,23 +272,23 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; MOSIOE ; - ; -; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; MOSIOE ; - ; +; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -302,112 +302,112 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +----------+-------------------+---------------+--------------+ -+------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; 1 ; 83 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 2 ; 0 ; 1 ; RA[5] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 3 ; 1 ; 1 ; RA[6] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 4 ; 2 ; 1 ; RA[3] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 5 ; 3 ; 1 ; nFCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 6 ; 4 ; 1 ; RA[7] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 7 ; 5 ; 1 ; RA[8] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 8 ; 6 ; 1 ; RA[9] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 12 ; 7 ; 1 ; FCK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; -; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 14 ; 8 ; 1 ; RA[10] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 15 ; 9 ; 1 ; MOSI ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; -; 16 ; 10 ; 1 ; MISO ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 17 ; 11 ; 1 ; RDdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 18 ; 12 ; 1 ; DMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 19 ; 13 ; 1 ; RAdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 20 ; 14 ; 1 ; INTout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 21 ; 15 ; 1 ; nDMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 26 ; 20 ; 1 ; nNMIout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 27 ; 21 ; 1 ; nINHout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 28 ; 22 ; 1 ; nRDYout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 29 ; 23 ; 1 ; nIRQout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 30 ; 24 ; 1 ; nRESout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 33 ; 25 ; 1 ; RWout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 34 ; 26 ; 1 ; RA[11] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 35 ; 27 ; 1 ; RA[12] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 36 ; 28 ; 1 ; RA[13] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 37 ; 29 ; 1 ; RA[14] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 38 ; 30 ; 1 ; RA[15] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 39 ; 31 ; 1 ; nIOSEL ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 40 ; 32 ; 1 ; nDEVSEL ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 41 ; 33 ; 1 ; PHI0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 42 ; 34 ; 1 ; nIOSTRB ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 43 ; 35 ; 1 ; nWE ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 44 ; 36 ; 1 ; nRES ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 47 ; 37 ; 1 ; SD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 48 ; 38 ; 1 ; DMAin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 49 ; 39 ; 1 ; INTin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 50 ; 40 ; 1 ; SD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 51 ; 41 ; 1 ; SD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 52 ; 42 ; 2 ; SD[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 53 ; 43 ; 2 ; SD[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 54 ; 44 ; 2 ; SD[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 55 ; 45 ; 2 ; SD[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 56 ; 46 ; 2 ; SD[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 57 ; 47 ; 2 ; DQMH ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 58 ; 48 ; 2 ; nSWE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 60 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 61 ; 49 ; 2 ; nCAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 62 ; 50 ; 2 ; nRAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 64 ; 51 ; 2 ; C25M ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 66 ; 52 ; 2 ; RCKE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 67 ; 53 ; 2 ; nRCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 68 ; 54 ; 2 ; SA[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 69 ; 55 ; 2 ; SBA[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 70 ; 56 ; 2 ; SA[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 71 ; 57 ; 2 ; SBA[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 72 ; 58 ; 2 ; SA[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 73 ; 59 ; 2 ; SA[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 74 ; 60 ; 2 ; SA[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 75 ; 61 ; 2 ; SA[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 76 ; 62 ; 2 ; SA[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 77 ; 63 ; 2 ; SA[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 78 ; 64 ; 2 ; SA[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 81 ; 65 ; 2 ; SA[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 82 ; 66 ; 2 ; SA[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 83 ; 67 ; 2 ; SA[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 84 ; 68 ; 2 ; SA[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 85 ; 69 ; 2 ; DQML ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 86 ; 70 ; 2 ; RD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 87 ; 71 ; 2 ; RD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 88 ; 72 ; 2 ; RD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 89 ; 73 ; 2 ; RD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 90 ; 74 ; 2 ; RD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 92 ; 76 ; 2 ; RD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 93 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 95 ; 77 ; 2 ; SetFW[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 96 ; 78 ; 2 ; SetFW[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 97 ; 79 ; 2 ; RA[2] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 98 ; 80 ; 2 ; RA[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 99 ; 81 ; 2 ; RD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 100 ; 82 ; 2 ; RA[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ +; 1 ; 83 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 2 ; 0 ; 1 ; RA[5] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 3 ; 1 ; 1 ; RA[6] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 4 ; 2 ; 1 ; RA[3] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 5 ; 3 ; 1 ; nFCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; +; 6 ; 4 ; 1 ; RA[7] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 7 ; 5 ; 1 ; RA[8] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 8 ; 6 ; 1 ; RA[9] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; +; 12 ; 7 ; 1 ; FCK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; +; 14 ; 8 ; 1 ; RA[10] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 15 ; 9 ; 1 ; MOSI ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 16 ; 10 ; 1 ; MISO ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 17 ; 11 ; 1 ; RDdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; +; 18 ; 12 ; 1 ; DMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 19 ; 13 ; 1 ; RAdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; +; 20 ; 14 ; 1 ; INTout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 21 ; 15 ; 1 ; nDMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; 26 ; 20 ; 1 ; nNMIout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 27 ; 21 ; 1 ; nINHout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 28 ; 22 ; 1 ; nRDYout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 29 ; 23 ; 1 ; nIRQout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 30 ; 24 ; 1 ; nRESout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; +; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 33 ; 25 ; 1 ; RWout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 34 ; 26 ; 1 ; RA[11] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 35 ; 27 ; 1 ; RA[12] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 36 ; 28 ; 1 ; RA[13] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 37 ; 29 ; 1 ; RA[14] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 38 ; 30 ; 1 ; RA[15] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 39 ; 31 ; 1 ; nIOSEL ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; +; 40 ; 32 ; 1 ; nDEVSEL ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; +; 41 ; 33 ; 1 ; PHI0 ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; +; 42 ; 34 ; 1 ; nIOSTRB ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; +; 43 ; 35 ; 1 ; nWE ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; +; 44 ; 36 ; 1 ; nRES ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; +; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 47 ; 37 ; 1 ; SD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 48 ; 38 ; 1 ; DMAin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 49 ; 39 ; 1 ; INTin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 50 ; 40 ; 1 ; SD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 51 ; 41 ; 1 ; SD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 52 ; 42 ; 2 ; SD[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 53 ; 43 ; 2 ; SD[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 54 ; 44 ; 2 ; SD[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 55 ; 45 ; 2 ; SD[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 56 ; 46 ; 2 ; SD[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 57 ; 47 ; 2 ; DQMH ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 58 ; 48 ; 2 ; nSWE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 60 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 61 ; 49 ; 2 ; nCAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 62 ; 50 ; 2 ; nRAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; +; 64 ; 51 ; 2 ; C25M ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; +; 66 ; 52 ; 2 ; RCKE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 67 ; 53 ; 2 ; nRCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 68 ; 54 ; 2 ; SA[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 69 ; 55 ; 2 ; SBA[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 70 ; 56 ; 2 ; SA[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 71 ; 57 ; 2 ; SBA[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 72 ; 58 ; 2 ; SA[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 73 ; 59 ; 2 ; SA[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 74 ; 60 ; 2 ; SA[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 75 ; 61 ; 2 ; SA[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 76 ; 62 ; 2 ; SA[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 77 ; 63 ; 2 ; SA[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 78 ; 64 ; 2 ; SA[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 81 ; 65 ; 2 ; SA[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 82 ; 66 ; 2 ; SA[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 83 ; 67 ; 2 ; SA[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 84 ; 68 ; 2 ; SA[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 85 ; 69 ; 2 ; DQML ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 86 ; 70 ; 2 ; RD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 87 ; 71 ; 2 ; RD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 88 ; 72 ; 2 ; RD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 89 ; 73 ; 2 ; RD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 90 ; 74 ; 2 ; RD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 92 ; 76 ; 2 ; RD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 93 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 95 ; 77 ; 2 ; SetFW[1] ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; On ; +; 96 ; 78 ; 2 ; SetFW[0] ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; On ; +; 97 ; 79 ; 2 ; RA[2] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 98 ; 80 ; 2 ; RA[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 99 ; 81 ; 2 ; RD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 100 ; 82 ; 2 ; RA[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ++----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. @@ -432,7 +432,7 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 230 (230) ; 109 ; 0 ; 80 ; 0 ; 121 (121) ; 1 (1) ; 108 (108) ; 37 (37) ; 10 (10) ; |GR8RAM ; work ; +; |GR8RAM ; 234 (234) ; 121 ; 0 ; 80 ; 0 ; 113 (113) ; 1 (1) ; 120 (120) ; 37 (37) ; 22 (22) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -444,12 +444,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------+----------+---------------+ ; INTin ; Input ; (1) ; ; DMAin ; Input ; (1) ; -; nIOSTRB ; Input ; (1) ; -; nIOSEL ; Input ; (1) ; -; nDEVSEL ; Input ; (1) ; ; PHI0 ; Input ; (0) ; ; nWE ; Input ; (1) ; -; C25M ; Input ; (0) ; ; RA[0] ; Input ; (1) ; ; RA[1] ; Input ; (1) ; ; RA[2] ; Input ; (1) ; @@ -461,7 +457,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[8] ; Input ; (1) ; ; RA[9] ; Input ; (1) ; ; RA[10] ; Input ; (1) ; -; nRES ; Input ; (1) ; +; nIOSTRB ; Input ; (1) ; +; nIOSEL ; Input ; (1) ; +; nDEVSEL ; Input ; (1) ; +; C25M ; Input ; (0) ; ; RA[11] ; Input ; (1) ; ; RA[14] ; Input ; (1) ; ; RA[15] ; Input ; (1) ; @@ -469,6 +468,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[13] ; Input ; (1) ; ; SetFW[1] ; Input ; (1) ; ; SetFW[0] ; Input ; (1) ; +; nRES ; Input ; (1) ; ; MISO ; Input ; (1) ; ; nRESout ; Output ; -- ; ; INTout ; Output ; -- ; @@ -530,23 +530,21 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; C25M ; PIN_64 ; 106 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Decoder1~0 ; LC_X4_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal2~0 ; LC_X3_Y2_N8 ; 19 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X6_Y4_N4 ; 2 ; Output enable ; no ; -- ; -- ; -; IOROMRES ; LC_X2_Y3_N8 ; 1 ; Async. clear ; no ; -- ; -- ; -; MOSIOE ; LC_X6_Y4_N0 ; 1 ; Output enable ; no ; -- ; -- ; -; PHI0 ; PIN_41 ; 5 ; Clock ; yes ; Global Clock ; GCLK1 ; -; PS[0] ; LC_X4_Y2_N2 ; 44 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X4_Y2_N7 ; 26 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X4_Y1_N6 ; 8 ; Output enable ; no ; -- ; -- ; -; SetFWLoaded ; LC_X4_Y2_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -; always7~1 ; LC_X2_Y3_N1 ; 2 ; Clock enable ; no ; -- ; -- ; -; always9~2 ; LC_X2_Y2_N9 ; 8 ; Sync. load ; no ; -- ; -- ; -; always9~3 ; LC_X2_Y1_N8 ; 9 ; Sync. load ; no ; -- ; -- ; -; always9~4 ; LC_X7_Y2_N8 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~1 ; LC_X5_Y1_N6 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X2_Y3_N4 ; 29 ; Async. clear ; yes ; Global Clock ; GCLK2 ; +; C25M ; PIN_64 ; 107 ; Clock ; yes ; Global Clock ; GCLK3 ; +; Equal20~0 ; LC_X7_Y2_N8 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal2~1 ; LC_X3_Y2_N5 ; 19 ; Clock enable ; no ; -- ; -- ; +; FCKOE ; LC_X2_Y2_N8 ; 2 ; Output enable ; no ; -- ; -- ; +; MOSIOE ; LC_X2_Y2_N4 ; 1 ; Output enable ; no ; -- ; -- ; +; PHI0 ; PIN_41 ; 16 ; Clock ; yes ; Global Clock ; GCLK2 ; +; PS[0] ; LC_X3_Y2_N8 ; 46 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X3_Y2_N9 ; 27 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X4_Y2_N1 ; 8 ; Output enable ; no ; -- ; -- ; +; SetFWLoaded ; LC_X7_Y3_N6 ; 2 ; Clock enable ; no ; -- ; -- ; +; always9~2 ; LC_X4_Y4_N9 ; 8 ; Sync. load ; no ; -- ; -- ; +; always9~3 ; LC_X4_Y4_N8 ; 9 ; Sync. load ; no ; -- ; -- ; +; always9~4 ; LC_X5_Y4_N8 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~2 ; LC_X3_Y3_N9 ; 9 ; Output enable ; no ; -- ; -- ; +; nRESr ; LC_X7_Y3_N2 ; 30 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK1 ; +-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ @@ -555,9 +553,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+-------------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+-------------+---------+----------------------+------------------+ -; C25M ; PIN_64 ; 106 ; Global Clock ; GCLK3 ; -; PHI0 ; PIN_41 ; 5 ; Global Clock ; GCLK1 ; -; nRESr ; LC_X2_Y3_N4 ; 29 ; Global Clock ; GCLK2 ; +; C25M ; PIN_64 ; 107 ; Global Clock ; GCLK3 ; +; PHI0 ; PIN_41 ; 16 ; Global Clock ; GCLK2 ; +; nRESr ; LC_X7_Y3_N2 ; 30 ; Global Clock ; GCLK1 ; +-------+-------------+---------+----------------------+------------------+ @@ -566,46 +564,46 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------------------+-----------+ ; Name ; Fan-Out ; +---------------------+-----------+ -; PS[0] ; 45 ; -; PS[1] ; 30 ; -; PS[3] ; 28 ; -; PS[2] ; 26 ; -; Equal2~0 ; 19 ; -; IS.state_bit_0 ; 19 ; -; IS.110~0 ; 17 ; -; RAMSpecSELr ; 16 ; -; IS.state_bit_1 ; 16 ; +; PS[0] ; 47 ; +; PS[1] ; 33 ; +; PS[3] ; 29 ; +; PS[2] ; 27 ; +; IS.state_bit_0 ; 20 ; +; Equal2~1 ; 19 ; +; IS.state_bit_1 ; 17 ; +; IS.110~0 ; 16 ; +; RAMSpecSEL~0 ; 16 ; ; LS[0] ; 13 ; -; RA[0] ; 9 ; ; RDD[1]~23 ; 9 ; ; always9~4 ; 9 ; ; always9~3 ; 9 ; -; comb~1 ; 9 ; -; RA[1] ; 8 ; +; comb~2 ; 9 ; ; RDD[1]~22 ; 8 ; -; Decoder1~0 ; 8 ; +; Equal20~0 ; 8 ; ; SDOE ; 8 ; ; always9~2 ; 8 ; ; IS.state_bit_2 ; 8 ; ; LS[2] ; 8 ; +; RAr[0] ; 8 ; +; SA[1]~6 ; 7 ; ; SetFWr[1] ; 7 ; -; SA[0]~8 ; 7 ; +; RAr[1] ; 7 ; ; RD[7]~7 ; 6 ; -; SA[3]~17 ; 6 ; -; SA[3]~10 ; 6 ; ; SA[3]~9 ; 6 ; +; SA[3]~8 ; 6 ; +; SA[3]~7 ; 6 ; ; LS[1] ; 6 ; +; Equal19~0 ; 6 ; ; RD[0]~0 ; 5 ; -; RA[3] ; 5 ; -; RA[2] ; 5 ; ; RDD[4]~12 ; 5 ; -; RAMRegSpecSEL~0 ; 5 ; -; REGSpecSEL~1 ; 5 ; ; Addr[0] ; 5 ; ; LS[6]~17 ; 5 ; +; SA[1]~5 ; 5 ; ; LS[1]~3 ; 5 ; -; Mux14~3 ; 5 ; +; Mux14~2 ; 5 ; ; Addr[23] ; 5 ; +; always9~0 ; 5 ; +; RAMRegSpecSEL~0 ; 5 ; ; RD[6]~6 ; 4 ; ; RD[5]~5 ; 4 ; ; RD[4]~4 ; 4 ; @@ -613,10 +611,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RD[2]~2 ; 4 ; ; RD[1]~1 ; 4 ; ; nDEVSEL ; 4 ; -; always9~6 ; 4 ; ; RDD[4]~13 ; 4 ; +; always9~1 ; 4 ; ; LS[13] ; 4 ; ; Equal3~2 ; 4 ; +; nRCS~1 ; 4 ; ; Addr[9] ; 4 ; ; Addr[8] ; 4 ; ; Addr[7] ; 4 ; @@ -629,20 +628,16 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[4] ; 4 ; ; Addr[13] ; 4 ; ; Addr[3] ; 4 ; +; RAr[3] ; 4 ; ; Addr[12] ; 4 ; ; Addr[2] ; 4 ; ; Addr[11] ; 4 ; -; SA[0]~7 ; 4 ; ; Addr[1] ; 4 ; ; Addr[10] ; 4 ; -; always7~0 ; 4 ; -; RA[11] ; 3 ; -; RA[10] ; 3 ; -; RA[9] ; 3 ; -; RA[8] ; 3 ; -; RA[7] ; 3 ; -; nWE ; 3 ; -; SA[0]~16 ; 3 ; +; RAr[2] ; 4 ; +; nIOSEL ; 3 ; +; nIOSTRB ; 3 ; +; SA[1]~15 ; 3 ; ; WRD[5] ; 3 ; ; WRD[4] ; 3 ; ; WRD[3] ; 3 ; @@ -652,12 +647,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Equal4~0 ; 3 ; ; Equal5~0 ; 3 ; ; Equal3~3 ; 3 ; -; nRCS~1 ; 3 ; +; IS.111~0 ; 3 ; +; nWEr ; 3 ; ; Addr[22] ; 3 ; ; Addr[21] ; 3 ; ; Addr[20]~41 ; 3 ; ; Addr[20] ; 3 ; -; SA~11 ; 3 ; +; SA~10 ; 3 ; ; Equal1~0 ; 3 ; ; Addr[19] ; 3 ; ; LS[9] ; 3 ; @@ -672,47 +668,54 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[12] ; 3 ; ; Addr[12]~11 ; 3 ; ; LS[11] ; 3 ; -; SA[0]~5 ; 3 ; +; SA[1]~3 ; 3 ; ; LS[10] ; 3 ; -; SA[0]~4 ; 3 ; +; SA[1]~2 ; 3 ; +; IOROMEN ; 3 ; +; RA[10] ; 2 ; +; RA[9] ; 2 ; +; RA[8] ; 2 ; +; RA[7] ; 2 ; ; RA[6] ; 2 ; ; RA[5] ; 2 ; ; RA[4] ; 2 ; -; nIOSEL ; 2 ; -; nIOSTRB ; 2 ; -; PHI0r1 ; 2 ; +; RA[3] ; 2 ; +; RA[2] ; 2 ; +; RA[1] ; 2 ; +; RA[0] ; 2 ; +; nWE ; 2 ; ; WRD[7] ; 2 ; ; WRD[6] ; 2 ; ; AddrIncL ; 2 ; ; AddrIncM ; 2 ; ; SetFWLoaded ; 2 ; -; RAMRegSpecSEL ; 2 ; -; Equal9~0 ; 2 ; -; REGSpecSEL~0 ; 2 ; ; IS.state_bit_1~3 ; 2 ; ; IS.state_bit_1~0 ; 2 ; ; Equal5~1 ; 2 ; ; FCKOE ; 2 ; -; PHI0r2 ; 2 ; +; PS~0 ; 2 ; ; Equal1~1 ; 2 ; ; DQMH~0 ; 2 ; -; Mux12~2 ; 2 ; -; IS.111~0 ; 2 ; +; Mux12~1 ; 2 ; +; nRCS~5 ; 2 ; ; nRCS~4 ; 2 ; -; ROMSpecRDr ; 2 ; -; nRCS~3 ; 2 ; -; nWEr ; 2 ; +; nRCS~2 ; 2 ; +; RAr[9] ; 2 ; ; Bank ; 2 ; +; RAr[11] ; 2 ; ; LS[11]~5 ; 2 ; -; SA[0]~6 ; 2 ; +; SA[1]~4 ; 2 ; +; RAr[10] ; 2 ; ; nRESf[2] ; 2 ; -; Mux14~1 ; 2 ; -; Mux14~0 ; 2 ; ; nRESf[1] ; 2 ; -; always7~1 ; 2 ; ; nRESf[0] ; 2 ; -; comb~2 ; 2 ; -; IOROMEN ; 2 ; +; RAMRegSpecSEL ; 2 ; +; CXXXr ; 2 ; +; REGEN ; 2 ; +; RAr[8] ; 2 ; +; Equal9~0 ; 2 ; +; RAr[7] ; 2 ; +; always8~0 ; 2 ; ; nRESout~reg0 ; 2 ; ; MOSI~0 ; 1 ; ; SD[7]~7 ; 1 ; @@ -724,23 +727,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SD[1]~1 ; 1 ; ; SD[0]~0 ; 1 ; ; MISO ; 1 ; +; nRES ; 1 ; ; SetFW[0] ; 1 ; ; SetFW[1] ; 1 ; ; RA[13] ; 1 ; ; RA[12] ; 1 ; ; RA[15] ; 1 ; ; RA[14] ; 1 ; -; nRES ; 1 ; +; RA[11] ; 1 ; ; DMAin ; 1 ; ; INTin ; 1 ; -; Mux11~6 ; 1 ; -; Mux13~2 ; 1 ; ; Mux2~3 ; 1 ; ; SetFWr[0] ; 1 ; ; Mux2~2 ; 1 ; ; Mux2~1 ; 1 ; ; Mux2~0 ; 1 ; -; SA[0]~15 ; 1 ; +; SA[1]~14 ; 1 ; ; RDD~20 ; 1 ; ; RDD~18 ; 1 ; ; RDD~16 ; 1 ; @@ -766,12 +768,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RDD[0] ; 1 ; ; MOSIOE ; 1 ; ; IS.101~0 ; 1 ; -; ROMSpecRD~0 ; 1 ; ; IS.state_bit_2~1 ; 1 ; ; IS.state_bit_2~0 ; 1 ; ; Equal3~4 ; 1 ; ; AddrIncH ; 1 ; -; REGEN ; 1 ; ; IS.state_bit_1~2 ; 1 ; ; IS.state_bit_1~1 ; 1 ; ; IS.state_bit_0~5 ; 1 ; @@ -781,19 +781,21 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Equal3~0 ; 1 ; ; FCKout ; 1 ; ; FCS ; 1 ; -; Mux11~4 ; 1 ; +; Equal2~0 ; 1 ; ; Mux11~3 ; 1 ; ; Mux11~2 ; 1 ; -; PS~0 ; 1 ; +; Mux11~1 ; 1 ; +; PHI0r1 ; 1 ; +; Mux11~0 ; 1 ; ; Selector2~0 ; 1 ; ; Selector1~0 ; 1 ; ; Addr[0]~47COUT1_92 ; 1 ; ; Addr[0]~47 ; 1 ; ; Selector0~0 ; 1 ; -; Mux12~3 ; 1 ; -; Mux12~1 ; 1 ; +; Mux12~2 ; 1 ; +; nRCS~3 ; 1 ; ; Mux12~0 ; 1 ; -; nRCS~2 ; 1 ; +; IS.000~0 ; 1 ; ; nRCS~0 ; 1 ; ; Addr[22]~45COUT1_78 ; 1 ; ; Addr[22]~45 ; 1 ; @@ -832,6 +834,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Mux19~2 ; 1 ; ; Addr[6]~25COUT1_102 ; 1 ; ; Addr[6]~25 ; 1 ; +; RAr[6] ; 1 ; ; Mux19~1 ; 1 ; ; Mux19~0 ; 1 ; ; Mux20~2 ; 1 ; @@ -846,6 +849,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Mux21~2 ; 1 ; ; LS[4]~13COUT1_42 ; 1 ; ; LS[4]~13 ; 1 ; +; RAr[4] ; 1 ; ; Mux21~1 ; 1 ; ; Mux21~0 ; 1 ; ; Addr[13]~15COUT1_88 ; 1 ; @@ -867,6 +871,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[2]~7 ; 1 ; ; Mux23~2 ; 1 ; ; Mux23~1 ; 1 ; +; nRESf[3] ; 1 ; ; Mux23~0 ; 1 ; ; Addr[11]~7COUT1_86 ; 1 ; ; Addr[11]~7 ; 1 ; @@ -880,12 +885,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[10]~1 ; 1 ; ; Addr[10]~3COUT1_84 ; 1 ; ; Addr[10]~3 ; 1 ; -; Mux14~4 ; 1 ; -; nRESf[3] ; 1 ; -; Mux14~2 ; 1 ; -; IOROMRES ; 1 ; -; comb~4 ; 1 ; -; comb~3 ; 1 ; +; Mux13~0 ; 1 ; +; Mux14~3 ; 1 ; +; Mux14~1 ; 1 ; +; Mux14~0 ; 1 ; +; always8~4 ; 1 ; +; RAr[5] ; 1 ; +; always8~3 ; 1 ; +; always8~2 ; 1 ; +; always8~1 ; 1 ; ; RCKE~reg0 ; 1 ; ; DQMH~reg0 ; 1 ; ; DQML~reg0 ; 1 ; @@ -908,6 +916,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SA[0]~reg0 ; 1 ; ; SBA[1]~reg0 ; 1 ; ; SBA[0]~reg0 ; 1 ; +; comb~1 ; 1 ; +; Equal16~2 ; 1 ; +; Equal16~1 ; 1 ; +; Equal16~0 ; 1 ; +; PHI0r2 ; 1 ; ; comb~0 ; 1 ; +---------------------+-----------+ @@ -917,20 +930,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 234 / 784 ( 30 % ) ; -; Direct links ; 69 / 888 ( 8 % ) ; +; C4s ; 226 / 784 ( 29 % ) ; +; Direct links ; 67 / 888 ( 8 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 12 / 32 ( 38 % ) ; -; LUT chains ; 39 / 216 ( 18 % ) ; -; Local interconnects ; 436 / 888 ( 49 % ) ; -; R4s ; 282 / 704 ( 40 % ) ; +; LAB clocks ; 14 / 32 ( 44 % ) ; +; LUT chains ; 24 / 216 ( 11 % ) ; +; Local interconnects ; 434 / 888 ( 49 % ) ; +; R4s ; 255 / 704 ( 36 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.58) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.75) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; @@ -938,32 +951,31 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 3 ; -; 9 ; 4 ; -; 10 ; 17 ; +; 7 ; 1 ; +; 8 ; 1 ; +; 9 ; 1 ; +; 10 ; 21 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.83) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.71) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Async. clear ; 7 ; -; 1 Clock ; 22 ; -; 1 Clock enable ; 6 ; -; 1 Sync. clear ; 4 ; -; 1 Sync. load ; 2 ; -; 2 Clock enables ; 1 ; -; 2 Clocks ; 2 ; +; 1 Async. clear ; 3 ; +; 1 Clock ; 19 ; +; 1 Clock enable ; 5 ; +; 1 Sync. clear ; 6 ; +; 1 Sync. load ; 3 ; +; 2 Clocks ; 5 ; +------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.04) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 10.67) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -972,34 +984,35 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 3 ; -; 9 ; 4 ; -; 10 ; 12 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 16 ; ; 11 ; 2 ; -; 12 ; 2 ; -; 13 ; 0 ; -; 14 ; 0 ; +; 12 ; 0 ; +; 13 ; 1 ; +; 14 ; 1 ; ; 15 ; 1 ; +; 16 ; 1 ; +----------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.92) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.54) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 3 ; -; 4 ; 4 ; -; 5 ; 2 ; +; 2 ; 1 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 4 ; ; 6 ; 2 ; -; 7 ; 1 ; -; 8 ; 3 ; -; 9 ; 3 ; -; 10 ; 5 ; +; 7 ; 4 ; +; 8 ; 4 ; +; 9 ; 4 ; +; 10 ; 4 ; ; 11 ; 1 ; +-------------------------------------------------+------------------------------+ @@ -1007,30 +1020,35 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 15.54) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 16.08) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 1 ; +; 4 ; 0 ; ; 5 ; 0 ; -; 6 ; 0 ; +; 6 ; 1 ; ; 7 ; 0 ; ; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 0 ; +; 9 ; 1 ; +; 10 ; 3 ; ; 11 ; 0 ; -; 12 ; 3 ; +; 12 ; 1 ; ; 13 ; 2 ; -; 14 ; 2 ; +; 14 ; 0 ; ; 15 ; 3 ; -; 16 ; 2 ; -; 17 ; 2 ; -; 18 ; 1 ; -; 19 ; 2 ; -; 20 ; 1 ; -; 21 ; 4 ; +; 16 ; 1 ; +; 17 ; 1 ; +; 18 ; 2 ; +; 19 ; 1 ; +; 20 ; 2 ; +; 21 ; 0 ; +; 22 ; 2 ; +; 23 ; 0 ; +; 24 ; 0 ; +; 25 ; 0 ; +; 26 ; 3 ; +----------------------------------------------+------------------------------+ @@ -1064,46 +1082,45 @@ Info (176444): Device migration not selected. If you intend to use device migrat Info (176445): Device EPM570T100C5 is compatible Info (176445): Device EPM570T100I5 is compatible Info (176445): Device EPM570T100A5 is compatible -Critical Warning (332012): Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained base clocks found in the design -Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements - Info (332127): Assuming a default timing requirement +Info (332104): Reading SDC File: 'GR8RAM.sdc' +Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 2 clocks Info (332111): Period Clock Name Info (332111): ======== ============ - Info (332111): 1.000 C25M - Info (332111): 1.000 PHI0 + Info (332111): 40.000 C25M + Info (332111): 978.000 PHI0 Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 64 Info (186216): Automatically promoted some destinations of signal "PHI0" to use Global clock - Info (186217): Destination "comb~1" may be non-global or may not use global clock + Info (186217): Destination "comb~0" may be non-global or may not use global clock Info (186217): Destination "PHI0r1" may be non-global or may not use global clock Info (186228): Pin "PHI0" drives global clock, but is not placed in a dedicated clock pin position -Info (186215): Automatically promoted signal "nRESr" to use Global clock +Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock + Info (186217): Destination "IOROMEN" may be non-global or may not use global clock Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:01 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 36% of the available device resources - Info (170196): Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 34% of the available device resources + Info (170196): Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.56 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.36 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg -Info: Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 382 megabytes - Info: Processing ended: Tue Apr 20 04:19:53 2021 - Info: Elapsed time: 00:00:08 - Info: Total CPU time (on all processors): 00:00:08 +Info: Quartus II 32-bit Fitter was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 383 megabytes + Info: Processing ended: Wed Apr 21 20:01:56 2021 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:07 +----------------------------+ diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 18cf322..9fb4782 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Tue Apr 20 04:19:53 2021 +Fitter Status : Successful - Wed Apr 21 20:01:56 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 230 / 240 ( 96 % ) +Total logic elements : 234 / 240 ( 98 % ) Total pins : 80 / 80 ( 100 % ) Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index c322ed2..53c1394 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Tue Apr 20 04:20:05 2021 +Wed Apr 21 20:02:07 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,14 +40,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Tue Apr 20 04:19:58 2021 ; +; Flow Status ; Successful - Wed Apr 21 20:02:00 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 230 / 240 ( 96 % ) ; +; Total logic elements ; 234 / 240 ( 98 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -59,7 +59,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 04/20/2021 04:19:41 ; +; Start date & time ; 04/21/2021 20:01:44 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -75,7 +75,7 @@ applicable agreement for further details. ; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; ; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; ; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 44085571633675.161890678100176 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 44085571633675.161904970303144 ; -- ; -- ; -- ; ; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; @@ -102,11 +102,11 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 301 MB ; 00:00:04 ; -; Fitter ; 00:00:08 ; 1.2 ; 382 MB ; 00:00:07 ; +; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 301 MB ; 00:00:05 ; +; Fitter ; 00:00:07 ; 1.4 ; 383 MB ; 00:00:07 ; ; Assembler ; 00:00:02 ; 1.0 ; 292 MB ; 00:00:02 ; ; TimeQuest Timing Analyzer ; 00:00:05 ; 1.0 ; 278 MB ; 00:00:04 ; -; Total ; 00:00:20 ; -- ; -- ; 00:00:17 ; +; Total ; 00:00:19 ; -- ; -- ; 00:00:18 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index 5414390..f1d936f 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 008860b..82599fe 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Tue Apr 20 04:19:44 2021 +Wed Apr 21 20:01:47 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Apr 20 04:19:44 2021 ; +; Analysis & Synthesis Status ; Successful - Wed Apr 21 20:01:47 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 241 ; +; Total logic elements ; 257 ; ; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -161,32 +161,32 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 241 ; -; -- Combinational with no register ; 132 ; -; -- Register only ; 12 ; +; Total logic elements ; 257 ; +; -- Combinational with no register ; 136 ; +; -- Register only ; 24 ; ; -- Combinational with a register ; 97 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 119 ; -; -- 3 input functions ; 38 ; -; -- 2 input functions ; 69 ; +; -- 4 input functions ; 126 ; +; -- 3 input functions ; 41 ; +; -- 2 input functions ; 65 ; ; -- 1 input functions ; 0 ; -; -- 0 input functions ; 3 ; +; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 208 ; +; -- normal mode ; 224 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 44 ; -; -- asynchronous clear/load mode ; 30 ; +; -- synchronous clear/load mode ; 45 ; +; -- asynchronous clear/load mode ; 29 ; ; ; ; -; Total registers ; 109 ; +; Total registers ; 121 ; ; Total logic cells in carry chains ; 37 ; ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 106 ; -; Total fan-out ; 1044 ; +; Maximum fan-out ; 107 ; +; Total fan-out ; 1095 ; ; Average fan-out ; 3.25 ; +---------------------------------------------+-------+ @@ -196,7 +196,7 @@ applicable agreement for further details. +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 241 (241) ; 109 ; 0 ; 80 ; 0 ; 132 (132) ; 12 (12) ; 97 (97) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +; |GR8RAM ; 257 (257) ; 121 ; 0 ; 80 ; 0 ; 136 (136) ; 24 (24) ; 97 (97) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -231,12 +231,12 @@ Encoding Type: Minimal Bits +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 109 ; -; Number of registers using Synchronous Clear ; 11 ; +; Total registers ; 121 ; +; Number of registers using Synchronous Clear ; 12 ; ; Number of registers using Synchronous Load ; 33 ; -; Number of registers using Asynchronous Clear ; 30 ; +; Number of registers using Asynchronous Clear ; 29 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 26 ; +; Number of registers using Clock Enable ; 24 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -265,7 +265,7 @@ Encoding Type: Minimal Bits ; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; ; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; ; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[3]~reg0 ; -; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[0]~reg0 ; +; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[1]~reg0 ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[7] ; ; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[1] ; ; 5:1 ; 4 bits ; 12 LEs ; 8 LEs ; 4 LEs ; Yes ; |GR8RAM|RDD[4] ; @@ -280,7 +280,7 @@ Encoding Type: Minimal Bits Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Apr 20 04:19:39 2021 + Info: Processing started: Wed Apr 21 20:01:42 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v @@ -288,9 +288,9 @@ Info (12021): Found 1 design units, including 1 entities, in source file gr8ram. Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy Warning (10230): Verilog HDL assignment warning at GR8RAM.v(42): truncated value with size 32 to match size of target (4) Warning (10230): Verilog HDL assignment warning at GR8RAM.v(47): truncated value with size 32 to match size of target (14) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(130): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(138): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(145): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(134): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(142): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(149): truncated value with size 32 to match size of target (8) Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "nNMIout" is stuck at VCC @@ -301,17 +301,17 @@ Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "nDMAout" is stuck at VCC Warning (13410): Pin "RAdir" is stuck at VCC Info (17049): 1 registers lost all their fanouts during netlist optimizations. -Info (21057): Implemented 321 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 337 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 241 logic cells + Info (21061): Implemented 257 logic cells Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 13 warnings Info: Peak virtual memory: 301 megabytes - Info: Processing ended: Tue Apr 20 04:19:44 2021 + Info: Processing ended: Wed Apr 21 20:01:47 2021 Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:04 + Info: Total CPU time (on all processors): 00:00:05 +------------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index ffbb919..91314af 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(106): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(282): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(110): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(286): extended using "x" or "z" diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 8eeb674..15d05bc 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Tue Apr 20 04:19:44 2021 +Analysis & Synthesis Status : Successful - Wed Apr 21 20:01:47 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 241 +Total logic elements : 257 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index 54ede08..1a08c4e 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -100,12 +100,12 @@ RA[12] : 35 : input : 3.3-V LVTTL : RA[13] : 36 : input : 3.3-V LVTTL : : 1 : Y RA[14] : 37 : input : 3.3-V LVTTL : : 1 : Y RA[15] : 38 : input : 3.3-V LVTTL : : 1 : Y -nIOSEL : 39 : input : 3.3-V LVTTL : : 1 : Y -nDEVSEL : 40 : input : 3.3-V LVTTL : : 1 : Y -PHI0 : 41 : input : 3.3-V LVTTL : : 1 : Y -nIOSTRB : 42 : input : 3.3-V LVTTL : : 1 : Y -nWE : 43 : input : 3.3-V LVTTL : : 1 : Y -nRES : 44 : input : 3.3-V LVTTL : : 1 : Y +nIOSEL : 39 : input : 3.3V Schmitt Trigger Input : : 1 : Y +nDEVSEL : 40 : input : 3.3V Schmitt Trigger Input : : 1 : Y +PHI0 : 41 : input : 3.3V Schmitt Trigger Input : : 1 : Y +nIOSTRB : 42 : input : 3.3V Schmitt Trigger Input : : 1 : Y +nWE : 43 : input : 3.3V Schmitt Trigger Input : : 1 : Y +nRES : 44 : input : 3.3V Schmitt Trigger Input : : 1 : Y VCCIO1 : 45 : power : : 3.3V : 1 : GNDIO : 46 : gnd : : : : SD[1] : 47 : bidir : 3.3-V LVTTL : : 1 : Y @@ -156,8 +156,8 @@ RD[5] : 91 : bidir : 3.3-V LVTTL : RD[6] : 92 : bidir : 3.3-V LVTTL : : 2 : Y GNDIO : 93 : gnd : : : : VCCIO2 : 94 : power : : 3.3V : 2 : -SetFW[1] : 95 : input : 3.3-V LVTTL : : 2 : Y -SetFW[0] : 96 : input : 3.3-V LVTTL : : 2 : Y +SetFW[1] : 95 : input : 3.3V Schmitt Trigger Input : : 2 : Y +SetFW[0] : 96 : input : 3.3V Schmitt Trigger Input : : 2 : Y RA[2] : 97 : input : 3.3-V LVTTL : : 2 : Y RA[1] : 98 : input : 3.3-V LVTTL : : 2 : Y RD[7] : 99 : bidir : 3.3-V LVTTL : : 2 : Y diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 7723661b97170221e80418f88eebc29bce750e2b..1f453df3ca0d5a10a1f2d9ed066d51036a013595 100755 GIT binary patch literal 7879 zcmeHM|8rDTmT%AmT@hrhnUNiVXsym}?aH?(sF3tH2)m2}>bgK}Y0RJy3P+L}Bx#`S ze$LF2!KuYr*HXc3NNJ5Vwd*FCl1eh6>%FU`hzd!Zok=3J@7*1pbT5Q{FEMmKx?k=+ z`?>ce=+yoJ`@>2|-+uSp^Ev09@7;Oe{&kT^WJY9`eyzppgN<8v?`eFhV*UDx%H_8` zwsTL#1G^h`?B2R-*Y@2No44Ii4H30$wczBQvJ`uQ>&+cH?Vb^K(C%(W&f{2%@VqWgo8v{hEc5OJikw+Zxu*_}WDN zM^{UAzno;zO!g9AJNxw9@@I-;qDa||A4UT4&oerQKsSjcGCzH0P1)?zOF&P8PV26o z0eVF1S2J?@d(Asyg%dX%yubP8)ho@3GYk4(sLU?7YyOmdT=%8#W-__{dk@t8!8+FZ z>MOlRQ>iuWsW3hhaD_WzFmWV^St`*`lLY{T8Sf^B1WAW9zcy+L@EP{GB^k#mU2tR7{!eh3 z1%ed9g?;3;Ip z748_)m0V`D7I41~B~N&=)*xXIX#bgH1g-)7+Q27D2Jl6QPh73-B~PG!z$Bg&CUAFgz!*lY{v1^b1UgyuC z@$x&FvX5q!mA!S1qTlv$@yMLPrDL03ye72&@%3-Zxwn^Znt75|e1G<5x5!y_&0~TV zthh<@3*)|PGY!AmS~l~Yxz*pl>L+EgcSrf#tH-LY|1T}SX2iCii|z3@9R8=DuiJX# zL~H)Yk>@6!`mE-zGltgRJ9w};bL(rl_nv&Q-aWd?e*JLE(N(Md;n1;`&^|1ck^xKE zDcgsMJn@-?|9xKtS!iFM3O@6Mi~NfPG9kR6n;U_7na(8aKdGV)@;ns>4t`O;!#YnX z+>=Y;*@zTGyYPb{kAlRsf8Z$eH(#I#x<0vTKS_*C()oh(Do+9=44!$q$DB00I`|xq zTa$45fRpVfHGOJcArl8x z#Sp}uf`D@;pYxmmL zMw_=CzWzXQ)&q0q-gQaLU#Ra_Gl~4$(d74??igs2$#1kywEX6|Z~XA>*7?uZD*Krd z`RD%mYdLrEU?x+2%zpKFi*sz%eeJ0)<=+JI&!%h=F<<3R!rxiy6Vv_|mh>4WtAM)6 zOA9)dmYFo-CiI)B=2`AJ?Ey8&IL@IiIvDB9fH7@A*GVx20q!_;udHe$>V#ajL=L z?v*{+cH-PABAy#a+aJe-j3pTK$G~Xg9>Q%xF6q7wnNxKHB>{a#&(R|1G+@5vxlli8 z*shW0rsUMb|9!BJ_@h<LNcv$+Gb zu>VPF?M0o$MqbrbpA{NGfn2MHi;-!59UK$g!GQ?m3<8(r%(l-3ObTJB^F)2oB;*nk{%EwHqLT^Q!IEr;U-fd;7VTfeq8uod7F6-!6}u%J__!tL zo@^=QN?C3H#PP8Iow)L?E6UgI|JT-Y+p>ANVkS?`PavnD2y4LKkEfef-K=pHHsWXCVt z7h{db5|+>FhrKxF)If4O5RR$w^Hl#!W#3#J^ACJ%6Lm`8zns7MLBucp0RB?=weV-K z-$BDOo^?9c;f&3eQ{Sdi|&!$qyAB>)tw8R&VIKinOe8)?@o3ht)K9d_ne`*!)MP40Xfcj%^wd?pZDhSymqMlj5Q+t)w{bb)M@H1eR~h|uUR`j%x}GF@3nccXlTyN6{X_g8!W|d8@Jw@`Buff zYu`G_H@^Sm+~0^<1d8)F~w4*2>j|4#fpK0hD7Ki zB$R%FMlgTJ{C`v5E0Kj~YAUu;k#HIozd^+f;yw%@9u-9wq6PLrHk5p? z6MW1~8B~-(N`2pvAg1k?r%|j19T`Q%jv?;?%rO=hB8qqeKm1E2hWP`hWQs#RD>Na7 z&%`)a{sFGeKO6n0Y+6PM^C`=IXUkZB@E!}!p8h%><7 ze`?eMzV}K46^rxW`XRSQB;fUTR?4uAI28-y}!TqJ!QBR0%?#q7?eHpc+32^`|#rANr4-<}f=Bk0(s^ zeHAwnz*h3lQ10UA{`x2S;_2GWhOr^f=AN=Z>g@^bW0IAUIfPI52=19ael8I5JhIQ; zPtpOuFdl+k<;%T&l8%=;Xu;&fO3BGcaD3SW`tR>v@YkPybB43w>34eyhVw}4xx=?N zKPtzrj%2n#FKUeb<&~KQ_v|fOGkdBa~EIWvFhj~|_LY(b=4s`$>8>OYaQt~#@R z^~IlmYE)lqi*GhH-%>^ku9!a*-oN_F%um0!>_l{I;IZVLWosv|*wcK=9b@xn9yS!d z7~Sy6dpnFw-|Rz1U0rpwccQiJXR%ne^#!|D^9L9DUwR_ruJ7M=;E8QkW$UYV_BuD; z{GIk=&niDrv5f~GP8czpQLGTh+(8ak`+XF~Kf(g{)Op7oFWH?442};Ju#4J5B|668M7rl>=N=1 zIU3@dTyomq9w1M7TLPc|29lnk>uX3?bCas?RC`>nj9iRa!r)Wrw2YnxWPQ!{!QJ-f~ zBeZ`Aaym7ghv)TvFnxclZK0nzeGcU`ew(UKVSIxg(>Uniia!S#kdB`)eo-$-f3Fox z-$(6l@ogNK)^B>%ICzkYkp2)lwQrOd@OlD`zf0*$EhTf}GCu69>W$AnB;w~J=0TDJ zLg8Rv=`pCRuMCip-&c)u1KB=Z$3wo$_W9p9e}p@Y|JC}(_Wr-N#|@F$ hS6=>$P=)%75FGi{zppItl?DEvTHq@Ex8e=#e*nycMH&DA literal 7879 zcmeHMeQ;dWbzjF=K)`nC3|Q)A1~NEKr>&`>vVaauTab4$9$S@{x7XmW0W2+~BP+IedodYHjm5raTi*Ay`*hFg z@7}j^l7ISd|0t5)-o5wS^E>CBdp_>FdgS5z5{bl&#Fh5537>z_{>0`j?N8M`@IYPt zlKD?=+*0?*=C%!+pJ-`Wzq#(w^_!pC*w$LNxPHN+1&izMZr`|RUEPxUC3TB#U$}V5 z!X=9q*Vlb-{pOC1YuDF3^2FL7*DbF5-k;U2S^s3+z3Vn^0cYYXiP;cx?<1?0d}q=3 z7cW|L&uxjBiK{WRx^>IOEt}S_OU%L?^K)I|+OOF!=Hp|dBr&tbv&Xhcw*4_?dS*}F zjN86nZKwOc)s9-ewatNITHZX`HaN-utf#&;0Y1|86TojOXd@48}?7bB11uT+NdF zY{g-PzQNN0<=X_TpgccBD>yAM9z~Ykn>Bo}XT{)!z*hk|5$mTKs{H8+4STAjioWM- z36e@HSvLB`_>hjTE^7{oVx$NY=RzYl#@AY$qL8$Hoc#jEG&c&89=j@{k@UEx}1n2_=6K= zb^#aW=p?0-hBHCatR_z9uw9M3lyji>R+?aE(k-l*4k&h+`#b?X1zY~c_Te_zg^H)R z$LML-W$Zu2mLFdu;A8$6uSjWE`xznyt55s2v~s~03eO+mqV*G{+-W*@wi0)w-TOJe zN9!n6RQn1JpVkWvANd!+BUw%bv3+}&ZMtT(>-^gN@9L%WkMEpKO+LFN_)9uJ`;oEOK1&n#d#lgy-tq3I zS^C*8&px+x#-%xpb0)4|IgfIX-?HKd4Z*xYvHuVykL_sr?sNR>m*(6$i)-JzaqmfE z4{`UEf$(~E9GOaPfAQ3JYbR%HIyV2d^RtBEM#ryu?mb;h#!wd2HU^$9H;f(NDuFv<{ zdCKqbJSu9hO6LM8ii%QaI=JE+zYYRXS&oe{_S1fuy)YGO4}Cu)F|5)(h+vj69j;>e zLC2r3F(DlaUaqCxUl;Q&j?_)m+9I(3f>LBcFy4P96sfRc;@`_gGG+YU7v%EeF+Mop zcT#sj3Xegqdb>a&>j}2>VkAxe$Y~d|Leb|$$%VOA4jq=hl`F`nB-F|(6^tOtD2hDJ zYCVyT@u3GesogR8Vj@>!34g`9!+8H4C`v#p`oIaXKGr&iB#3oce#baB%Z}a*0z)7W zA|6jmeVK07Nr*)a(7=y+N}S^WK^o^H#Lq!?NJcqbQHuC!E=tJ-l_R~dA+yKm!R0Z? z#e8U4@t?*DBE&PaU_JnP??J_$q*75v;a;c*=t9~z>*s}rzp=!EkWKeT#t)3%2Sp)Z zSwh;#S^SYsi4}IfU+Lg+)=sucA4aQ4za2z0{!;QGy81l$Yi`|k_tM$j#G|?G43VD>fghq9Nf9D63Yw=-U zcqmIpjr3rDDn*n5>gtIaIa8&(LnMa7V$OJJx=FLtk17*?QDp23eu-A53PrS3GI)j1 z_<41IFktF;QACPRqe?m%rlpJ=lSjet>LPoBr3;@3cqDQ$SYW|UBrIJE5mD$12R)+B zHXV(38pA@5nC_$C`G_yUKB>NPN;kQC5Iv6R8~tQs2!EBUDV#2@VCL+`47U^nRi?&$C3N&&7ld=i|% z{VjaSZc(fBz9s>?G4&3!Zm8l*AO6k4%_2T?BIQOSI_HO_lp|yT@{79DPg^tPm{Pu< zvicgm561dY+UPG(*O4bwSRy~%D~umg&hkr^z-NtVh@&>FJ1Tfcno~+y|3^+E1-hwc z`=XMIxyaW>{}`XC-K?gY&%vK6sDBgjxSXaxnIfflmD|Jgd9r?}{I?fcB}b_&)(H&c zleg21cps10TRt$@LYi|WoU@+ksm7lRy`nDX_9-2xigv(L$d{)amBu*YcUdCmee}uJ z`HA<#ZzO(DYM*?zG-t-dh1oR;Tfe$*OROBt4_`Seah^aQ(toqf&`a0Xtc>*(S3Dae zZ>_1lVjFK?`ES>xCNGRH*cO#*@2Z)O-)BbU>t|-&b)IVyyRRx$&Wz8Q0ea$A%RhVa z!4rd>ot>-x?u37A-7}p#Ca!Y__bolMeD}O2W4~gmd2stJx4dz-wfO_@#EJ&@^<}&F zyt?ntdmG~TwfhpW&{Bng$)otSb^)(xVP|Rkd&(-Oh1(8aAWxB7&HtKryB;?>DMtRH z5zOD`QMQb1daxRQv7Oz*Nrm&7O0jOET|Ocp9@kn5;7cumTM>x1B;$X!A za3|{=&SRkqziH#QgdoQ+bj1E)_;anH-mG9kM$`>(~sHaDZ`g~+VTsBnv6f-e1|>=IxDSz8oQ9^ zaWM*2PWhoTh4bi`VC_|6|A*}{os2%-I9lc$vBExMKfsGV>U*`HYeW5qKF0nkKTVg^ z9J0H#j~~|=$5j&(0Wmq5q)~$hO#bAYyltEp?(J(r{4QkL`8=mO!m4~DM{tqOsbG-b z-#@A5(1or@k9=V9or5nYM`wOkZrOQZ&0Ax49C?%Odn=f`=={LU8Fqb*^5w(LS3bL~ zcju8m-adB6iLdA^vhNys-Vl2j5ulEg15e5r1#~XMdq#@eg-ovz9+){1gxDHrBxr>I#g56J-%L zjqTfK>@%YA=0_DZi~{yUg1H?5KHyt>D@3h~)PYO{zsq2M`y(yKZTywE%kXDSRMUU> z(U9&Fq$2DiHWU0qcq~pfQaO&y{<#D&H)-O?;xj38uEWM7wK^OX4ycIrYDT>_bcwoX z&s{#r4I%2Yah9^?Y5jFbl93Nc9YAR<5eFTuS;SwQSHLfj?me7)m?koKyV6E(MazQK zD>W=ei2c{zH{)NQ(2&Q|CokZGe!svxmcTXn0{THa$mKFOp%t+`P$MYawTgr3k`Ptm9Ya4#5Ts}4L*b2k% z@=DMTzgG$_&ZTY3`KGCxZkf|_=lSk=2`gXoy{LcpjeU@RKL6ypJL|i{-Q7Q}7r(;! z^M+XeFGjb2{@`Hoi*FAN4z9?$Z)UTFZ2dj|p53jC{32Fr&J&K5W2Rr`Pwn`Cnzo;@ zwB*N1CplfJXxP7r)*yX%#q=`6j}daE48vY+YvxCO*h=G3ITx|Pv-viYn`AX4&OCigsprXDhdNt7C2uj6X&oejbYywDntJ@tD>==8E-|d*MMm) z*mWJW{Ch*3fBl>*m%=N zqNQp7$8u2PY`)xEagF|Xb%^>K8mxXum6GsL8isw5Q;U9RUL!b#2YkRgoJ2kL&xUzX z`D?_#KldUjo^)t~Fm%-4&rsPO*F2?Ky}T;vP(I$ze#+0W!O?O#2XgiW_oFb%DW@Ol zg)cU9=w5fq=*8`o!+$jT*o@Z(@`u8wYs~#iVoT7;x+Rs$nD?(z^6=7gv%mSq(c_1% zskx$h|MI7N;rdy#EPhMn@SUSK%=mA2-jTUA_iw`eOYKWv&EnYD)irGRi^=23(Hov9 z4&88M?pN;pCGKCI+jQ&&zEC@JW_5ihrrsAFq5J7e`Q{C2+`shT{-yr7>$*+nz>hpQ zxa;%g(SgAQ|J3T4`ckV9v@kH zEN9~#Lncwg^mJIUabeGpgw6Z%=SvHGX@UQz7P!j(ulV)we*mMNZ43Ya diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 2aa7fff..96e596b 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for GR8RAM -Tue Apr 20 04:20:05 2021 +Wed Apr 21 20:02:07 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -9,39 +9,38 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 1. Legal Notice 2. TimeQuest Timing Analyzer Summary 3. Parallel Compilation - 4. Clocks - 5. Fmax Summary - 6. Setup Summary - 7. Hold Summary - 8. Recovery Summary - 9. Removal Summary - 10. Minimum Pulse Width Summary - 11. Setup: 'C25M' - 12. Setup: 'PHI0' - 13. Hold: 'PHI0' - 14. Hold: 'C25M' - 15. Recovery: 'C25M' - 16. Removal: 'C25M' - 17. Minimum Pulse Width: 'C25M' - 18. Minimum Pulse Width: 'PHI0' - 19. Setup Times - 20. Hold Times - 21. Clock to Output Times - 22. Minimum Clock to Output Times - 23. Propagation Delay - 24. Minimum Propagation Delay - 25. Output Enable Times - 26. Minimum Output Enable Times - 27. Output Disable Times - 28. Minimum Output Disable Times - 29. Setup Transfers - 30. Hold Transfers - 31. Recovery Transfers - 32. Removal Transfers - 33. Report TCCS - 34. Report RSKM - 35. Unconstrained Paths - 36. TimeQuest Timing Analyzer Messages + 4. SDC File List + 5. Clocks + 6. Fmax Summary + 7. Setup Summary + 8. Hold Summary + 9. Recovery Summary + 10. Removal Summary + 11. Minimum Pulse Width Summary + 12. Setup: 'C25M' + 13. Hold: 'C25M' + 14. Recovery: 'C25M' + 15. Removal: 'C25M' + 16. Minimum Pulse Width: 'C25M' + 17. Minimum Pulse Width: 'PHI0' + 18. Setup Times + 19. Hold Times + 20. Clock to Output Times + 21. Minimum Clock to Output Times + 22. Propagation Delay + 23. Minimum Propagation Delay + 24. Output Enable Times + 25. Minimum Output Enable Times + 26. Output Disable Times + 27. Minimum Output Disable Times + 28. Setup Transfers + 29. Hold Transfers + 30. Recovery Transfers + 31. Removal Transfers + 32. Report TCCS + 33. Report RSKM + 34. Unconstrained Paths + 35. TimeQuest Timing Analyzer Messages @@ -94,23 +93,32 @@ applicable agreement for further details. +----------------------------+-------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Clocks ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ -; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ -; C25M ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { C25M } ; -; PHI0 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { PHI0 } ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ ++---------------------------------------------------+ +; SDC File List ; ++---------------+--------+--------------------------+ +; SDC File Path ; Status ; Read at ; ++---------------+--------+--------------------------+ +; GR8RAM.sdc ; OK ; Wed Apr 21 20:02:06 2021 ; ++---------------+--------+--------------------------+ -+--------------------------------------------------+ -; Fmax Summary ; -+------------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+------------+------+ -; 103.27 MHz ; 103.27 MHz ; C25M ; ; -+------------+-----------------+------------+------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clocks ; ++------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ +; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; ++------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ +; C25M ; Base ; 40.000 ; 25.0 MHz ; 0.000 ; 20.000 ; ; ; ; ; ; ; ; ; ; ; { C25M } ; +; PHI0 ; Base ; 978.000 ; 1.02 MHz ; 0.000 ; 489.000 ; ; ; ; ; ; ; ; ; ; ; { PHI0 } ; ++------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ + + ++-------------------------------------------------+ +; Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 65.95 MHz ; 65.95 MHz ; C25M ; ; ++-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -119,19 +127,17 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -9.005 ; -699.357 ; -; PHI0 ; -0.425 ; -0.425 ; +; C25M ; 12.419 ; 0.000 ; +-------+--------+---------------+ -+--------------------------------+ -; Hold Summary ; -+-------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+--------+---------------+ -; PHI0 ; -0.248 ; -0.248 ; -; C25M ; 1.400 ; 0.000 ; -+-------+--------+---------------+ ++-------------------------------+ +; Hold Summary ; ++-------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+-------+---------------+ +; C25M ; 1.393 ; 0.000 ; ++-------+-------+---------------+ +--------------------------------+ @@ -139,7 +145,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -4.412 ; -127.948 ; +; C25M ; 33.300 ; 0.000 ; +-------+--------+---------------+ @@ -148,18 +154,18 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 4.858 ; 0.000 ; +; C25M ; 6.146 ; 0.000 ; +-------+-------+---------------+ -+--------------------------------+ -; Minimum Pulse Width Summary ; -+-------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+--------+---------------+ -; C25M ; -2.289 ; -2.289 ; -; PHI0 ; -2.289 ; -2.289 ; -+-------+--------+---------------+ ++---------------------------------+ +; Minimum Pulse Width Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; C25M ; 19.734 ; 0.000 ; +; PHI0 ; 488.734 ; 0.000 ; ++-------+---------+---------------+ +----------------------------------------------------------------------------------------------------------------+ @@ -167,240 +173,214 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; -9.005 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.894 ; -; -8.961 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.850 ; -; -8.953 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.842 ; -; -8.919 ; nWEr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.808 ; -; -8.916 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.805 ; -; -8.897 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.786 ; -; -8.683 ; IS.state_bit_1 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.350 ; -; -8.631 ; IS.state_bit_1 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.298 ; -; -8.625 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.292 ; -; -8.571 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.460 ; -; -8.495 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.384 ; -; -8.451 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.118 ; -; -8.428 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.317 ; -; -8.422 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.419 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[9] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.387 ; nWEr ; AddrIncH ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.276 ; -; -8.301 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.968 ; -; -8.289 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.956 ; -; -8.284 ; nWEr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.173 ; -; -8.265 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.154 ; -; -8.261 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.245 ; ROMSpecRDr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.134 ; -; -8.237 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.904 ; -; -8.231 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.898 ; -; -8.226 ; RAMSpecSELr ; SA[9]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.115 ; -; -8.222 ; RAMSpecSELr ; SA[11]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.111 ; -; -8.222 ; RAMSpecSELr ; SA[12]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.111 ; -; -8.177 ; IS.state_bit_1 ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.844 ; -; -8.116 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; -; -8.115 ; PS[0] ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.782 ; -; -8.106 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 5.995 ; -; -8.104 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 5.993 ; -; -7.954 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.621 ; -; -7.928 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.595 ; -; -7.900 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 5.789 ; -; -7.896 ; PS[1] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.563 ; -; -7.878 ; PS[1] ; IOROMEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.545 ; -; -7.844 ; LS[1] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.511 ; -; -7.817 ; IS.state_bit_1 ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.484 ; -; -7.817 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.484 ; -; -7.804 ; REGEN ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.801 ; REGEN ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.785 ; LS[10] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.452 ; -; -7.783 ; IS.state_bit_0 ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.450 ; -; -7.775 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.442 ; -; -7.769 ; REGEN ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 8.436 ; -; -7.762 ; IS.state_bit_1 ; SA[4]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.429 ; -; -7.760 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.427 ; -; -7.741 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.408 ; -; -7.706 ; PS[3] ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.373 ; -; -7.704 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.371 ; -; -7.680 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.347 ; -; -7.668 ; PS[0] ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.335 ; -; -7.662 ; PS[3] ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.329 ; -; -7.654 ; PS[3] ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.321 ; -; -7.645 ; PS[1] ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.312 ; -; -7.643 ; REGEN ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.642 ; LS[1] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.309 ; +; 12.419 ; REGEN ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.248 ; +; 12.825 ; REGEN ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.842 ; +; 12.826 ; REGEN ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.841 ; +; 12.830 ; REGEN ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.837 ; +; 12.861 ; REGEN ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.806 ; +; 12.948 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.719 ; +; 13.317 ; REGEN ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.350 ; +; 13.332 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.335 ; +; 13.332 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.335 ; +; 13.485 ; REGEN ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.182 ; +; 13.494 ; REGEN ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.173 ; +; 13.610 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.057 ; +; 13.610 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.057 ; +; 13.611 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.056 ; +; 13.611 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.056 ; +; 13.692 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.975 ; +; 13.794 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.873 ; +; 13.950 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.717 ; +; 13.955 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.712 ; +; 13.958 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.709 ; +; 13.965 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.702 ; +; 14.046 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.621 ; +; 14.046 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.621 ; +; 14.233 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.434 ; +; 14.234 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.433 ; +; 14.257 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.410 ; +; 14.387 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.280 ; +; 14.387 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.280 ; +; 14.387 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.280 ; +; 14.387 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.280 ; +; 14.387 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.280 ; +; 14.407 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.260 ; +; 14.442 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.225 ; +; 14.448 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.219 ; +; 14.638 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.029 ; +; 14.650 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.017 ; +; 14.665 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.002 ; +; 14.665 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.002 ; +; 14.665 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.002 ; +; 14.665 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.002 ; +; 14.665 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.002 ; +; 14.666 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.001 ; +; 14.666 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.001 ; +; 14.666 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.001 ; +; 14.666 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.001 ; +; 14.666 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.001 ; +; 14.669 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.998 ; +; 14.690 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.977 ; +; 14.700 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.967 ; +; 14.800 ; SetFWr[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.867 ; +; 14.801 ; SetFWr[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.866 ; +; 14.805 ; SetFWr[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.862 ; +; 14.871 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.796 ; +; 14.878 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.789 ; +; 15.101 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.566 ; +; 15.101 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.566 ; +; 15.101 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.566 ; +; 15.101 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.566 ; +; 15.101 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.566 ; +; 15.384 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.283 ; +; 15.456 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.211 ; +; 15.469 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.198 ; +; 15.505 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.162 ; +; 15.510 ; SetFWr[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.157 ; +; 15.817 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.850 ; +; 15.897 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.770 ; +; 16.230 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.437 ; +; 16.377 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.290 ; +; 25.936 ; REGEN ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.731 ; +; 26.182 ; REGEN ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.485 ; +; 26.524 ; REGEN ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.143 ; +; 26.906 ; Addr[23] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.761 ; +; 27.133 ; REGEN ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.534 ; +; 27.152 ; Addr[23] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.515 ; +; 27.487 ; REGEN ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.180 ; +; 27.494 ; Addr[23] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.173 ; +; 27.513 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.154 ; +; 27.759 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.908 ; +; 27.761 ; REGEN ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.906 ; +; 27.882 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.785 ; +; 27.915 ; REGEN ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.752 ; +; 28.015 ; IS.state_bit_1 ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.652 ; +; 28.101 ; IS.state_bit_1 ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.566 ; +; 28.103 ; Addr[23] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.564 ; +; 28.107 ; PS[1] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.560 ; +; 28.128 ; IS.state_bit_0 ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.539 ; +; 28.154 ; REGEN ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.513 ; +; 28.192 ; IS.state_bit_0 ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.475 ; +; 28.245 ; PS[1] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.422 ; +; 28.350 ; REGEN ; nRCS~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.317 ; +; 28.456 ; PS[0] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.211 ; +; 28.457 ; Addr[23] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.210 ; +; 28.470 ; IS.state_bit_0 ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.197 ; +; 28.515 ; REGEN ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.152 ; +; 28.594 ; PS[0] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.073 ; +; 28.638 ; SetFWr[0] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.029 ; +; 28.731 ; Addr[23] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.936 ; +; 28.806 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.861 ; +; 28.812 ; REGEN ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.855 ; +; 28.884 ; SetFWr[0] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.783 ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------+ -; Setup: 'PHI0' ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.425 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 3.870 ; -; -0.265 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 3.710 ; -; 0.296 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 3.149 ; -; 0.609 ; SetFWr[0] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 2.836 ; -; 0.694 ; SetFWr[1] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 2.751 ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Hold: 'PHI0' ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.248 ; SetFWr[1] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 2.751 ; -; -0.163 ; SetFWr[0] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 2.836 ; -; 0.150 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 3.149 ; -; 0.711 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 3.710 ; -; 0.871 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 3.870 ; -+--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - +---------------------------------------------------------------------------------------------------------------+ ; Hold: 'C25M' ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.400 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.621 ; -; 1.411 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.632 ; -; 1.412 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; -; 1.414 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.635 ; -; 1.420 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; -; 1.420 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; -; 1.640 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.861 ; -; 1.782 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.003 ; -; 1.822 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.043 ; -; 1.930 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.151 ; -; 1.933 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.154 ; +; 1.393 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.614 ; +; 1.400 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.621 ; +; 1.411 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.632 ; +; 1.413 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.634 ; +; 1.418 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.639 ; +; 1.418 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.639 ; +; 1.420 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; +; 1.421 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.642 ; +; 1.645 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.866 ; +; 1.649 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.870 ; +; 1.661 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 1.882 ; +; 1.695 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.916 ; +; 1.734 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.955 ; +; 1.778 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.999 ; +; 1.840 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.061 ; +; 1.930 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.151 ; +; 1.939 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.160 ; +; 1.944 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.165 ; +; 1.958 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.179 ; +; 1.994 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.215 ; +; 2.003 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.224 ; ; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; -; 2.075 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.296 ; -; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; ; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.120 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.341 ; -; 2.123 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.344 ; -; 2.124 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; -; 2.125 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; +; 2.125 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; +; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; ; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.127 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.127 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; ; 2.127 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.137 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; -; 2.151 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.372 ; -; 2.155 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.376 ; -; 2.162 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.383 ; -; 2.164 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.385 ; -; 2.175 ; PS[3] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.396 ; -; 2.215 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.436 ; +; 2.133 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; +; 2.133 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; +; 2.135 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; +; 2.137 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; +; 2.138 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.359 ; +; 2.145 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.145 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.151 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.372 ; +; 2.153 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.374 ; +; 2.160 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.381 ; +; 2.160 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.381 ; +; 2.161 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.382 ; +; 2.166 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.387 ; +; 2.169 ; PS[2] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.390 ; ; 2.222 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; -; 2.226 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.905 ; -; 2.228 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.449 ; ; 2.230 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.230 ; PS[0] ; FCKout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.231 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.232 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.232 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.240 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; ; 2.240 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; -; 2.241 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.242 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.463 ; ; 2.248 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; ; 2.249 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.249 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; ; 2.250 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.251 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.251 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.252 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; +; 2.251 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.252 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; ; 2.260 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; +; 2.261 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; ; 2.262 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.263 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.484 ; -; 2.267 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.488 ; -; 2.285 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.506 ; -; 2.297 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.518 ; -; 2.421 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.642 ; -; 2.423 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.644 ; -; 2.532 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.753 ; -; 2.534 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.755 ; -; 2.537 ; PS[0] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.758 ; -; 2.538 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.759 ; -; 2.545 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.766 ; -; 2.559 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.780 ; -; 2.606 ; AddrIncL ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.827 ; -; 2.680 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.901 ; -; 2.699 ; PS[2] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.920 ; -; 2.702 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.923 ; -; 2.703 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.924 ; -; 2.726 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.905 ; -; 2.826 ; PHI0r1 ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.047 ; -; 2.860 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.081 ; -; 2.905 ; IS.state_bit_0 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 3.126 ; -; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; +; 2.264 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ; +; 2.310 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.531 ; +; 2.312 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.533 ; +; 2.317 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.538 ; +; 2.319 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.540 ; +; 2.333 ; IS.state_bit_0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.554 ; +; 2.345 ; PS[0] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.566 ; +; 2.448 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.669 ; +; 2.521 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.742 ; +; 2.531 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.752 ; +; 2.660 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.881 ; +; 2.673 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.894 ; +; 2.708 ; PS[2] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.929 ; +; 2.709 ; PS[0] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.930 ; +; 2.753 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.974 ; +; 2.782 ; PS[0] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.003 ; +; 2.829 ; PS[0] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.050 ; ; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.956 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; -; 2.957 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; +; 2.957 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; ; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; ; 2.959 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; -; 3.011 ; IS.state_bit_0 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.232 ; -; 3.014 ; IS.state_bit_0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.235 ; -; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; -; 3.060 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 2.965 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.186 ; +; 2.965 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.186 ; +; 2.983 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.204 ; +; 2.985 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.206 ; +; 2.992 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.213 ; +; 3.034 ; Addr[0] ; DQMH~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.255 ; ; 3.060 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; ; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; LS[8] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -409,35 +389,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; -4.412 ; nRESr ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Bank ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; AddrIncM ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; AddrIncL ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; 33.300 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.300 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -446,35 +426,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 4.858 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 6.146 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.146 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -483,130 +463,174 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+--------------+----------------+------------------+-------+------------+----------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +--------+--------------+----------------+------------------+-------+------------+----------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; C25M ; Rise ; C25M ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; AddrIncH ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; AddrIncH ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; AddrIncL ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; AddrIncL ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; AddrIncM ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; AddrIncM ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[10] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[10] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[11] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[11] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[12] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[12] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[13] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[13] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[14] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[14] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[15] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[15] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[16] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[16] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[17] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[17] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[18] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[18] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[19] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[19] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[20] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[20] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[21] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[21] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[22] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[22] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[23] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[23] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[8] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[8] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[9] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[9] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Bank ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Bank ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DQMH~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DQMH~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DQML~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DQML~reg0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCKOE ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCKOE ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCKout ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCKout ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCS ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCS ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IOROMEN ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IOROMEN ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS.state_bit_0 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS.state_bit_0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS.state_bit_1 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS.state_bit_1 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS.state_bit_2 ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS.state_bit_2 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[0] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[0] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[10] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[10] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[11] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[11] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[12] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[12] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[13] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[13] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[1] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[1] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[2] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[2] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[3] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[3] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[4] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[4] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[5] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[5] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[6] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[6] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[7] ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[7] ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[8] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; AddrIncH ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; AddrIncH ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; AddrIncL ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; AddrIncL ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; AddrIncM ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; AddrIncM ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[0] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[0] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[10] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[10] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[11] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[11] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[12] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[12] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[13] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[13] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[14] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[14] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[15] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[15] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[16] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[16] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[17] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[17] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[18] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[18] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[19] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[19] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[1] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[1] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[20] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[20] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[21] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[21] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[22] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[22] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[23] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[23] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[2] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[2] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[3] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[3] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[4] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[4] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[5] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[5] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[6] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[6] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[7] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[7] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[8] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[8] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[9] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[9] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Bank ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Bank ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DQMH~reg0 ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DQMH~reg0 ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DQML~reg0 ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DQML~reg0 ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCKOE ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCKOE ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCKout ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCKout ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCS ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCS ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IOROMEN ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IOROMEN ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS.state_bit_0 ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS.state_bit_0 ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS.state_bit_1 ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS.state_bit_1 ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS.state_bit_2 ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS.state_bit_2 ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[0] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[0] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[10] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[10] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[11] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[11] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[12] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[12] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[13] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[13] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[1] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[1] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[2] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[2] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[3] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[3] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[4] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[4] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[5] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[5] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[6] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[6] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[7] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[7] ; +; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[8] ; +; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[8] ; +--------+--------------+----------------+------------------+-------+------------+----------------+ -+--------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'PHI0' ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; PHI0 ; Rise ; PHI0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAMSpecSELr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAMSpecSELr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; ROMSpecRDr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; ROMSpecRDr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; nWEr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; nWEr ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; PHI0|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; PHI0|combout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAMSpecSELr|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAMSpecSELr|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; ROMSpecRDr|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; ROMSpecRDr|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; nWEr|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; nWEr|clk ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ ++------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'PHI0' ; ++---------+--------------+----------------+------------------+-------+------------+--------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++---------+--------------+----------------+------------------+-------+------------+--------------+ +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; CXXXr ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; CXXXr ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[0] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[0] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[10] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[10] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[11] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[11] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[1] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[1] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[2] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[2] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[3] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[3] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[4] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[4] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[5] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[5] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[6] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[6] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[7] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[7] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[8] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[8] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[9] ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[9] ; +; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; nWEr ; +; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; nWEr ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; CXXXr|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; CXXXr|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; PHI0|combout ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; PHI0|combout ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[0]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[0]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[10]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[10]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[11]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[11]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[1]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[1]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[2]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[2]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[3]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[3]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[4]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[4]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[5]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[5]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[6]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[6]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[7]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[7]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[8]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[8]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[9]|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[9]|clk ; +; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; nWEr|clk ; +; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; nWEr|clk ; +; 974.000 ; 978.000 ; 4.000 ; Port Rate ; PHI0 ; Rise ; PHI0 ; ++---------+--------------+----------------+------------------+-------+------------+--------------+ +-------------------------------------------------------------------------+ @@ -614,65 +638,51 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; 4.236 ; 4.236 ; Rise ; C25M ; -; MOSI ; C25M ; 4.174 ; 4.174 ; Rise ; C25M ; -; PHI0 ; C25M ; 2.780 ; 2.780 ; Rise ; C25M ; -; RA[*] ; C25M ; 13.704 ; 13.704 ; Rise ; C25M ; -; RA[0] ; C25M ; 9.040 ; 9.040 ; Rise ; C25M ; -; RA[1] ; C25M ; 10.111 ; 10.111 ; Rise ; C25M ; -; RA[2] ; C25M ; 11.221 ; 11.221 ; Rise ; C25M ; -; RA[3] ; C25M ; 11.322 ; 11.322 ; Rise ; C25M ; -; RA[4] ; C25M ; 6.069 ; 6.069 ; Rise ; C25M ; -; RA[5] ; C25M ; 5.790 ; 5.790 ; Rise ; C25M ; -; RA[6] ; C25M ; 7.139 ; 7.139 ; Rise ; C25M ; -; RA[7] ; C25M ; 10.088 ; 10.088 ; Rise ; C25M ; -; RA[8] ; C25M ; 13.349 ; 13.349 ; Rise ; C25M ; -; RA[9] ; C25M ; 13.704 ; 13.704 ; Rise ; C25M ; -; RA[10] ; C25M ; 12.357 ; 12.357 ; Rise ; C25M ; -; RA[11] ; C25M ; 12.145 ; 12.145 ; Rise ; C25M ; -; RA[12] ; C25M ; 12.246 ; 12.246 ; Rise ; C25M ; -; RA[13] ; C25M ; 11.874 ; 11.874 ; Rise ; C25M ; -; RA[14] ; C25M ; 12.544 ; 12.544 ; Rise ; C25M ; -; RA[15] ; C25M ; 11.995 ; 11.995 ; Rise ; C25M ; -; RD[*] ; C25M ; 6.903 ; 6.903 ; Rise ; C25M ; -; RD[0] ; C25M ; 4.401 ; 4.401 ; Rise ; C25M ; -; RD[1] ; C25M ; 4.653 ; 4.653 ; Rise ; C25M ; -; RD[2] ; C25M ; 3.968 ; 3.968 ; Rise ; C25M ; -; RD[3] ; C25M ; 4.146 ; 4.146 ; Rise ; C25M ; -; RD[4] ; C25M ; 4.101 ; 4.101 ; Rise ; C25M ; -; RD[5] ; C25M ; 4.868 ; 4.868 ; Rise ; C25M ; -; RD[6] ; C25M ; 4.516 ; 4.516 ; Rise ; C25M ; -; RD[7] ; C25M ; 6.903 ; 6.903 ; Rise ; C25M ; -; SD[*] ; C25M ; 5.643 ; 5.643 ; Rise ; C25M ; -; SD[0] ; C25M ; 4.467 ; 4.467 ; Rise ; C25M ; -; SD[1] ; C25M ; 5.643 ; 5.643 ; Rise ; C25M ; -; SD[2] ; C25M ; 3.772 ; 3.772 ; Rise ; C25M ; -; SD[3] ; C25M ; 3.824 ; 3.824 ; Rise ; C25M ; -; SD[4] ; C25M ; 4.593 ; 4.593 ; Rise ; C25M ; -; SD[5] ; C25M ; 4.266 ; 4.266 ; Rise ; C25M ; -; SD[6] ; C25M ; 3.851 ; 3.851 ; Rise ; C25M ; -; SD[7] ; C25M ; 3.789 ; 3.789 ; Rise ; C25M ; -; SetFW[*] ; C25M ; 3.175 ; 3.175 ; Rise ; C25M ; -; SetFW[0] ; C25M ; 2.614 ; 2.614 ; Rise ; C25M ; -; SetFW[1] ; C25M ; 3.175 ; 3.175 ; Rise ; C25M ; -; nDEVSEL ; C25M ; 8.361 ; 8.361 ; Rise ; C25M ; -; nIOSEL ; C25M ; 6.803 ; 6.803 ; Rise ; C25M ; -; nRES ; C25M ; 3.239 ; 3.239 ; Rise ; C25M ; -; RA[*] ; PHI0 ; 6.165 ; 6.165 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; 3.454 ; 3.454 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; 2.966 ; 2.966 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; 4.328 ; 4.328 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; 4.429 ; 4.429 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; 2.549 ; 2.549 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; 5.810 ; 5.810 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; 6.165 ; 6.165 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; 4.818 ; 4.818 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; 4.606 ; 4.606 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; 4.707 ; 4.707 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; 4.335 ; 4.335 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; 5.005 ; 5.005 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; 4.456 ; 4.456 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 1.098 ; 1.098 ; Rise ; PHI0 ; +; MISO ; C25M ; 4.863 ; 4.863 ; Rise ; C25M ; +; MOSI ; C25M ; 3.316 ; 3.316 ; Rise ; C25M ; +; RD[*] ; C25M ; 6.278 ; 6.278 ; Rise ; C25M ; +; RD[0] ; C25M ; 4.055 ; 4.055 ; Rise ; C25M ; +; RD[1] ; C25M ; 3.822 ; 3.822 ; Rise ; C25M ; +; RD[2] ; C25M ; 3.312 ; 3.312 ; Rise ; C25M ; +; RD[3] ; C25M ; 3.974 ; 3.974 ; Rise ; C25M ; +; RD[4] ; C25M ; 3.441 ; 3.441 ; Rise ; C25M ; +; RD[5] ; C25M ; 3.969 ; 3.969 ; Rise ; C25M ; +; RD[6] ; C25M ; 6.278 ; 6.278 ; Rise ; C25M ; +; RD[7] ; C25M ; 4.093 ; 4.093 ; Rise ; C25M ; +; SetFW[*] ; C25M ; 4.149 ; 4.149 ; Rise ; C25M ; +; SetFW[0] ; C25M ; 4.149 ; 4.149 ; Rise ; C25M ; +; SetFW[1] ; C25M ; 3.738 ; 3.738 ; Rise ; C25M ; +; nDEVSEL ; C25M ; 9.957 ; 9.957 ; Rise ; C25M ; +; nIOSEL ; C25M ; 4.637 ; 4.637 ; Rise ; C25M ; +; nIOSTRB ; C25M ; 5.052 ; 5.052 ; Rise ; C25M ; +; nRES ; C25M ; 3.763 ; 3.763 ; Rise ; C25M ; +; SD[*] ; C25M ; 5.269 ; 5.269 ; Fall ; C25M ; +; SD[0] ; C25M ; 4.676 ; 4.676 ; Fall ; C25M ; +; SD[1] ; C25M ; 4.064 ; 4.064 ; Fall ; C25M ; +; SD[2] ; C25M ; 3.916 ; 3.916 ; Fall ; C25M ; +; SD[3] ; C25M ; 5.158 ; 5.158 ; Fall ; C25M ; +; SD[4] ; C25M ; 3.719 ; 3.719 ; Fall ; C25M ; +; SD[5] ; C25M ; 3.149 ; 3.149 ; Fall ; C25M ; +; SD[6] ; C25M ; 3.295 ; 3.295 ; Fall ; C25M ; +; SD[7] ; C25M ; 5.269 ; 5.269 ; Fall ; C25M ; +; RA[*] ; PHI0 ; 0.892 ; 0.892 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; 0.414 ; 0.414 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; 0.713 ; 0.713 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; 0.008 ; 0.008 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; 0.464 ; 0.464 ; Rise ; PHI0 ; +; RA[4] ; PHI0 ; -0.520 ; -0.520 ; Rise ; PHI0 ; +; RA[5] ; PHI0 ; 0.727 ; 0.727 ; Rise ; PHI0 ; +; RA[6] ; PHI0 ; -0.603 ; -0.603 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; -0.772 ; -0.772 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; -1.522 ; -1.522 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; -1.478 ; -1.478 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; 0.892 ; 0.892 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; -0.105 ; -0.105 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; -0.073 ; -0.073 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; -0.133 ; -0.133 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; -0.434 ; -0.434 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; 0.054 ; 0.054 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 1.076 ; 1.076 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -681,65 +691,51 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; -3.682 ; -3.682 ; Rise ; C25M ; -; MOSI ; C25M ; -3.620 ; -3.620 ; Rise ; C25M ; -; PHI0 ; C25M ; -2.226 ; -2.226 ; Rise ; C25M ; -; RA[*] ; C25M ; -3.852 ; -3.852 ; Rise ; C25M ; -; RA[0] ; C25M ; -4.647 ; -4.647 ; Rise ; C25M ; -; RA[1] ; C25M ; -4.783 ; -4.783 ; Rise ; C25M ; -; RA[2] ; C25M ; -4.332 ; -4.332 ; Rise ; C25M ; -; RA[3] ; C25M ; -3.852 ; -3.852 ; Rise ; C25M ; -; RA[4] ; C25M ; -5.515 ; -5.515 ; Rise ; C25M ; -; RA[5] ; C25M ; -5.236 ; -5.236 ; Rise ; C25M ; -; RA[6] ; C25M ; -6.585 ; -6.585 ; Rise ; C25M ; -; RA[7] ; C25M ; -4.632 ; -4.632 ; Rise ; C25M ; -; RA[8] ; C25M ; -4.901 ; -4.901 ; Rise ; C25M ; -; RA[9] ; C25M ; -5.857 ; -5.857 ; Rise ; C25M ; -; RA[10] ; C25M ; -5.398 ; -5.398 ; Rise ; C25M ; -; RA[11] ; C25M ; -4.066 ; -4.066 ; Rise ; C25M ; -; RA[12] ; C25M ; -9.038 ; -9.038 ; Rise ; C25M ; -; RA[13] ; C25M ; -8.666 ; -8.666 ; Rise ; C25M ; -; RA[14] ; C25M ; -9.336 ; -9.336 ; Rise ; C25M ; -; RA[15] ; C25M ; -8.787 ; -8.787 ; Rise ; C25M ; -; RD[*] ; C25M ; -2.106 ; -2.106 ; Rise ; C25M ; -; RD[0] ; C25M ; -2.689 ; -2.689 ; Rise ; C25M ; -; RD[1] ; C25M ; -2.558 ; -2.558 ; Rise ; C25M ; -; RD[2] ; C25M ; -2.686 ; -2.686 ; Rise ; C25M ; -; RD[3] ; C25M ; -2.620 ; -2.620 ; Rise ; C25M ; -; RD[4] ; C25M ; -2.645 ; -2.645 ; Rise ; C25M ; -; RD[5] ; C25M ; -2.677 ; -2.677 ; Rise ; C25M ; -; RD[6] ; C25M ; -2.106 ; -2.106 ; Rise ; C25M ; -; RD[7] ; C25M ; -2.154 ; -2.154 ; Rise ; C25M ; -; SD[*] ; C25M ; -3.218 ; -3.218 ; Rise ; C25M ; -; SD[0] ; C25M ; -3.913 ; -3.913 ; Rise ; C25M ; -; SD[1] ; C25M ; -5.089 ; -5.089 ; Rise ; C25M ; -; SD[2] ; C25M ; -3.218 ; -3.218 ; Rise ; C25M ; -; SD[3] ; C25M ; -3.270 ; -3.270 ; Rise ; C25M ; -; SD[4] ; C25M ; -4.039 ; -4.039 ; Rise ; C25M ; -; SD[5] ; C25M ; -3.712 ; -3.712 ; Rise ; C25M ; -; SD[6] ; C25M ; -3.297 ; -3.297 ; Rise ; C25M ; -; SD[7] ; C25M ; -3.235 ; -3.235 ; Rise ; C25M ; -; SetFW[*] ; C25M ; -2.060 ; -2.060 ; Rise ; C25M ; -; SetFW[0] ; C25M ; -2.060 ; -2.060 ; Rise ; C25M ; -; SetFW[1] ; C25M ; -2.621 ; -2.621 ; Rise ; C25M ; -; nDEVSEL ; C25M ; -2.931 ; -2.931 ; Rise ; C25M ; -; nIOSEL ; C25M ; -6.016 ; -6.016 ; Rise ; C25M ; -; nRES ; C25M ; -2.685 ; -2.685 ; Rise ; C25M ; -; RA[*] ; PHI0 ; -0.955 ; -0.955 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; -2.900 ; -2.900 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; -2.412 ; -2.412 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; -3.774 ; -3.774 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; -3.875 ; -3.875 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; -1.995 ; -1.995 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; -2.159 ; -2.159 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; -2.514 ; -2.514 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; -1.167 ; -1.167 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; -0.955 ; -0.955 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; -3.055 ; -3.055 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; -2.683 ; -2.683 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; -3.353 ; -3.353 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; -2.804 ; -2.804 ; Rise ; PHI0 ; -; nWE ; PHI0 ; -0.009 ; -0.009 ; Rise ; PHI0 ; +; MISO ; C25M ; -4.309 ; -4.309 ; Rise ; C25M ; +; MOSI ; C25M ; -2.762 ; -2.762 ; Rise ; C25M ; +; RD[*] ; C25M ; -1.878 ; -1.878 ; Rise ; C25M ; +; RD[0] ; C25M ; -2.106 ; -2.106 ; Rise ; C25M ; +; RD[1] ; C25M ; -2.899 ; -2.899 ; Rise ; C25M ; +; RD[2] ; C25M ; -1.911 ; -1.911 ; Rise ; C25M ; +; RD[3] ; C25M ; -2.031 ; -2.031 ; Rise ; C25M ; +; RD[4] ; C25M ; -2.065 ; -2.065 ; Rise ; C25M ; +; RD[5] ; C25M ; -1.878 ; -1.878 ; Rise ; C25M ; +; RD[6] ; C25M ; -2.052 ; -2.052 ; Rise ; C25M ; +; RD[7] ; C25M ; -1.899 ; -1.899 ; Rise ; C25M ; +; SetFW[*] ; C25M ; -3.184 ; -3.184 ; Rise ; C25M ; +; SetFW[0] ; C25M ; -3.595 ; -3.595 ; Rise ; C25M ; +; SetFW[1] ; C25M ; -3.184 ; -3.184 ; Rise ; C25M ; +; nDEVSEL ; C25M ; -4.698 ; -4.698 ; Rise ; C25M ; +; nIOSEL ; C25M ; -4.076 ; -4.076 ; Rise ; C25M ; +; nIOSTRB ; C25M ; -3.232 ; -3.232 ; Rise ; C25M ; +; nRES ; C25M ; -3.209 ; -3.209 ; Rise ; C25M ; +; SD[*] ; C25M ; -2.595 ; -2.595 ; Fall ; C25M ; +; SD[0] ; C25M ; -4.122 ; -4.122 ; Fall ; C25M ; +; SD[1] ; C25M ; -3.510 ; -3.510 ; Fall ; C25M ; +; SD[2] ; C25M ; -3.362 ; -3.362 ; Fall ; C25M ; +; SD[3] ; C25M ; -4.604 ; -4.604 ; Fall ; C25M ; +; SD[4] ; C25M ; -3.165 ; -3.165 ; Fall ; C25M ; +; SD[5] ; C25M ; -2.595 ; -2.595 ; Fall ; C25M ; +; SD[6] ; C25M ; -2.741 ; -2.741 ; Fall ; C25M ; +; SD[7] ; C25M ; -4.715 ; -4.715 ; Fall ; C25M ; +; RA[*] ; PHI0 ; 2.076 ; 2.076 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; 0.140 ; 0.140 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; -0.159 ; -0.159 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; 0.546 ; 0.546 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; 0.090 ; 0.090 ; Rise ; PHI0 ; +; RA[4] ; PHI0 ; 1.074 ; 1.074 ; Rise ; PHI0 ; +; RA[5] ; PHI0 ; -0.173 ; -0.173 ; Rise ; PHI0 ; +; RA[6] ; PHI0 ; 1.157 ; 1.157 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; 1.326 ; 1.326 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; 2.076 ; 2.076 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; 2.032 ; 2.032 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; -0.338 ; -0.338 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; 0.659 ; 0.659 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; 0.627 ; 0.627 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; 0.687 ; 0.687 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; 0.988 ; 0.988 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; 0.500 ; 0.500 ; Rise ; PHI0 ; +; nWE ; PHI0 ; -0.522 ; -0.522 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -748,55 +744,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 9.305 ; 9.305 ; Rise ; C25M ; -; DQML ; C25M ; 9.483 ; 9.483 ; Rise ; C25M ; -; FCK ; C25M ; 9.008 ; 9.008 ; Rise ; C25M ; -; MOSI ; C25M ; 8.844 ; 8.844 ; Rise ; C25M ; -; RCKE ; C25M ; 9.013 ; 9.013 ; Rise ; C25M ; -; RD[*] ; C25M ; 9.287 ; 9.287 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.456 ; 8.456 ; Rise ; C25M ; -; RD[1] ; C25M ; 8.246 ; 8.246 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.212 ; 8.212 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.920 ; 8.920 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.200 ; 8.200 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.222 ; 8.222 ; Rise ; C25M ; -; RD[6] ; C25M ; 8.841 ; 8.841 ; Rise ; C25M ; -; RD[7] ; C25M ; 9.287 ; 9.287 ; Rise ; C25M ; -; RDdir ; C25M ; 13.847 ; 13.847 ; Rise ; C25M ; -; SA[*] ; C25M ; 9.270 ; 9.270 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.917 ; 8.917 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.665 ; 8.665 ; Rise ; C25M ; -; SA[2] ; C25M ; 9.270 ; 9.270 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.223 ; 8.223 ; Rise ; C25M ; -; SA[4] ; C25M ; 8.244 ; 8.244 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.115 ; 8.115 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.104 ; 8.104 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.229 ; 8.229 ; Rise ; C25M ; -; SA[9] ; C25M ; 8.975 ; 8.975 ; Rise ; C25M ; -; SA[10] ; C25M ; 6.951 ; 6.951 ; Rise ; C25M ; -; SA[11] ; C25M ; 8.922 ; 8.922 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.773 ; 8.773 ; Rise ; C25M ; -; SBA[*] ; C25M ; 8.182 ; 8.182 ; Rise ; C25M ; -; SBA[0] ; C25M ; 8.182 ; 8.182 ; Rise ; C25M ; -; SBA[1] ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; -; SD[*] ; C25M ; 9.179 ; 9.179 ; Rise ; C25M ; -; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; -; SD[1] ; C25M ; 9.179 ; 9.179 ; Rise ; C25M ; -; SD[2] ; C25M ; 7.562 ; 7.562 ; Rise ; C25M ; -; SD[3] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.135 ; 8.135 ; Rise ; C25M ; -; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; -; SD[7] ; C25M ; 7.556 ; 7.556 ; Rise ; C25M ; -; nCAS ; C25M ; 8.431 ; 8.431 ; Rise ; C25M ; -; nFCS ; C25M ; 8.772 ; 8.772 ; Rise ; C25M ; -; nRAS ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; -; nRCS ; C25M ; 9.195 ; 9.195 ; Rise ; C25M ; -; nRESout ; C25M ; 8.037 ; 8.037 ; Rise ; C25M ; -; nSWE ; C25M ; 8.335 ; 8.335 ; Rise ; C25M ; -; RDdir ; PHI0 ; 11.354 ; 11.354 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 11.354 ; 11.354 ; Fall ; PHI0 ; +; DQMH ; C25M ; 17.381 ; 17.381 ; Rise ; C25M ; +; DQML ; C25M ; 17.650 ; 17.650 ; Rise ; C25M ; +; FCK ; C25M ; 17.362 ; 17.362 ; Rise ; C25M ; +; MOSI ; C25M ; 17.251 ; 17.251 ; Rise ; C25M ; +; RCKE ; C25M ; 17.169 ; 17.169 ; Rise ; C25M ; +; RDdir ; C25M ; 23.995 ; 23.995 ; Rise ; C25M ; +; SA[*] ; C25M ; 18.571 ; 18.571 ; Rise ; C25M ; +; SA[0] ; C25M ; 15.989 ; 15.989 ; Rise ; C25M ; +; SA[1] ; C25M ; 17.051 ; 17.051 ; Rise ; C25M ; +; SA[2] ; C25M ; 17.460 ; 17.460 ; Rise ; C25M ; +; SA[3] ; C25M ; 18.571 ; 18.571 ; Rise ; C25M ; +; SA[4] ; C25M ; 17.861 ; 17.861 ; Rise ; C25M ; +; SA[5] ; C25M ; 17.846 ; 17.846 ; Rise ; C25M ; +; SA[6] ; C25M ; 17.924 ; 17.924 ; Rise ; C25M ; +; SA[7] ; C25M ; 17.771 ; 17.771 ; Rise ; C25M ; +; SA[8] ; C25M ; 17.826 ; 17.826 ; Rise ; C25M ; +; SA[9] ; C25M ; 17.029 ; 17.029 ; Rise ; C25M ; +; SA[10] ; C25M ; 17.820 ; 17.820 ; Rise ; C25M ; +; SA[11] ; C25M ; 17.097 ; 17.097 ; Rise ; C25M ; +; SA[12] ; C25M ; 18.520 ; 18.520 ; Rise ; C25M ; +; SBA[*] ; C25M ; 18.530 ; 18.530 ; Rise ; C25M ; +; SBA[0] ; C25M ; 17.892 ; 17.892 ; Rise ; C25M ; +; SBA[1] ; C25M ; 18.530 ; 18.530 ; Rise ; C25M ; +; SD[*] ; C25M ; 17.061 ; 17.061 ; Rise ; C25M ; +; SD[0] ; C25M ; 17.061 ; 17.061 ; Rise ; C25M ; +; SD[1] ; C25M ; 15.918 ; 15.918 ; Rise ; C25M ; +; SD[2] ; C25M ; 16.402 ; 16.402 ; Rise ; C25M ; +; SD[3] ; C25M ; 16.297 ; 16.297 ; Rise ; C25M ; +; SD[4] ; C25M ; 15.834 ; 15.834 ; Rise ; C25M ; +; SD[5] ; C25M ; 16.821 ; 16.821 ; Rise ; C25M ; +; SD[6] ; C25M ; 16.477 ; 16.477 ; Rise ; C25M ; +; SD[7] ; C25M ; 16.328 ; 16.328 ; Rise ; C25M ; +; nCAS ; C25M ; 17.133 ; 17.133 ; Rise ; C25M ; +; nFCS ; C25M ; 17.510 ; 17.510 ; Rise ; C25M ; +; nRAS ; C25M ; 15.968 ; 15.968 ; Rise ; C25M ; +; nRCS ; C25M ; 17.139 ; 17.139 ; Rise ; C25M ; +; nRESout ; C25M ; 17.067 ; 17.067 ; Rise ; C25M ; +; nSWE ; C25M ; 17.830 ; 17.830 ; Rise ; C25M ; +; RD[*] ; C25M ; 10.221 ; 10.221 ; Fall ; C25M ; +; RD[0] ; C25M ; 8.885 ; 8.885 ; Fall ; C25M ; +; RD[1] ; C25M ; 9.048 ; 9.048 ; Fall ; C25M ; +; RD[2] ; C25M ; 9.448 ; 9.448 ; Fall ; C25M ; +; RD[3] ; C25M ; 9.926 ; 9.926 ; Fall ; C25M ; +; RD[4] ; C25M ; 9.443 ; 9.443 ; Fall ; C25M ; +; RD[5] ; C25M ; 10.114 ; 10.114 ; Fall ; C25M ; +; RD[6] ; C25M ; 9.651 ; 9.651 ; Fall ; C25M ; +; RD[7] ; C25M ; 10.221 ; 10.221 ; Fall ; C25M ; +; RDdir ; PHI0 ; 21.935 ; 21.935 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 21.935 ; 21.935 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -805,55 +801,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 9.305 ; 9.305 ; Rise ; C25M ; -; DQML ; C25M ; 9.483 ; 9.483 ; Rise ; C25M ; -; FCK ; C25M ; 9.008 ; 9.008 ; Rise ; C25M ; -; MOSI ; C25M ; 8.844 ; 8.844 ; Rise ; C25M ; -; RCKE ; C25M ; 9.013 ; 9.013 ; Rise ; C25M ; -; RD[*] ; C25M ; 8.200 ; 8.200 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.456 ; 8.456 ; Rise ; C25M ; -; RD[1] ; C25M ; 8.246 ; 8.246 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.212 ; 8.212 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.920 ; 8.920 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.200 ; 8.200 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.222 ; 8.222 ; Rise ; C25M ; -; RD[6] ; C25M ; 8.841 ; 8.841 ; Rise ; C25M ; -; RD[7] ; C25M ; 9.287 ; 9.287 ; Rise ; C25M ; -; RDdir ; C25M ; 11.009 ; 11.009 ; Rise ; C25M ; -; SA[*] ; C25M ; 6.951 ; 6.951 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.917 ; 8.917 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.665 ; 8.665 ; Rise ; C25M ; -; SA[2] ; C25M ; 9.270 ; 9.270 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.223 ; 8.223 ; Rise ; C25M ; -; SA[4] ; C25M ; 8.244 ; 8.244 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.115 ; 8.115 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.104 ; 8.104 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.229 ; 8.229 ; Rise ; C25M ; -; SA[9] ; C25M ; 8.975 ; 8.975 ; Rise ; C25M ; -; SA[10] ; C25M ; 6.951 ; 6.951 ; Rise ; C25M ; -; SA[11] ; C25M ; 8.922 ; 8.922 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.773 ; 8.773 ; Rise ; C25M ; -; SBA[*] ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; -; SBA[0] ; C25M ; 8.182 ; 8.182 ; Rise ; C25M ; -; SBA[1] ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; -; SD[*] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; -; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; -; SD[1] ; C25M ; 9.179 ; 9.179 ; Rise ; C25M ; -; SD[2] ; C25M ; 7.562 ; 7.562 ; Rise ; C25M ; -; SD[3] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.135 ; 8.135 ; Rise ; C25M ; -; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; -; SD[7] ; C25M ; 7.556 ; 7.556 ; Rise ; C25M ; -; nCAS ; C25M ; 8.431 ; 8.431 ; Rise ; C25M ; -; nFCS ; C25M ; 8.772 ; 8.772 ; Rise ; C25M ; -; nRAS ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; -; nRCS ; C25M ; 9.195 ; 9.195 ; Rise ; C25M ; -; nRESout ; C25M ; 8.037 ; 8.037 ; Rise ; C25M ; -; nSWE ; C25M ; 8.335 ; 8.335 ; Rise ; C25M ; -; RDdir ; PHI0 ; 11.354 ; 11.354 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 11.354 ; 11.354 ; Fall ; PHI0 ; +; DQMH ; C25M ; 17.381 ; 17.381 ; Rise ; C25M ; +; DQML ; C25M ; 17.650 ; 17.650 ; Rise ; C25M ; +; FCK ; C25M ; 17.362 ; 17.362 ; Rise ; C25M ; +; MOSI ; C25M ; 17.251 ; 17.251 ; Rise ; C25M ; +; RCKE ; C25M ; 17.169 ; 17.169 ; Rise ; C25M ; +; RDdir ; C25M ; 20.487 ; 20.487 ; Rise ; C25M ; +; SA[*] ; C25M ; 15.989 ; 15.989 ; Rise ; C25M ; +; SA[0] ; C25M ; 15.989 ; 15.989 ; Rise ; C25M ; +; SA[1] ; C25M ; 17.051 ; 17.051 ; Rise ; C25M ; +; SA[2] ; C25M ; 17.460 ; 17.460 ; Rise ; C25M ; +; SA[3] ; C25M ; 18.571 ; 18.571 ; Rise ; C25M ; +; SA[4] ; C25M ; 17.861 ; 17.861 ; Rise ; C25M ; +; SA[5] ; C25M ; 17.846 ; 17.846 ; Rise ; C25M ; +; SA[6] ; C25M ; 17.924 ; 17.924 ; Rise ; C25M ; +; SA[7] ; C25M ; 17.771 ; 17.771 ; Rise ; C25M ; +; SA[8] ; C25M ; 17.826 ; 17.826 ; Rise ; C25M ; +; SA[9] ; C25M ; 17.029 ; 17.029 ; Rise ; C25M ; +; SA[10] ; C25M ; 17.820 ; 17.820 ; Rise ; C25M ; +; SA[11] ; C25M ; 17.097 ; 17.097 ; Rise ; C25M ; +; SA[12] ; C25M ; 18.520 ; 18.520 ; Rise ; C25M ; +; SBA[*] ; C25M ; 17.892 ; 17.892 ; Rise ; C25M ; +; SBA[0] ; C25M ; 17.892 ; 17.892 ; Rise ; C25M ; +; SBA[1] ; C25M ; 18.530 ; 18.530 ; Rise ; C25M ; +; SD[*] ; C25M ; 15.834 ; 15.834 ; Rise ; C25M ; +; SD[0] ; C25M ; 17.061 ; 17.061 ; Rise ; C25M ; +; SD[1] ; C25M ; 15.918 ; 15.918 ; Rise ; C25M ; +; SD[2] ; C25M ; 16.402 ; 16.402 ; Rise ; C25M ; +; SD[3] ; C25M ; 16.297 ; 16.297 ; Rise ; C25M ; +; SD[4] ; C25M ; 15.834 ; 15.834 ; Rise ; C25M ; +; SD[5] ; C25M ; 16.821 ; 16.821 ; Rise ; C25M ; +; SD[6] ; C25M ; 16.477 ; 16.477 ; Rise ; C25M ; +; SD[7] ; C25M ; 16.328 ; 16.328 ; Rise ; C25M ; +; nCAS ; C25M ; 17.133 ; 17.133 ; Rise ; C25M ; +; nFCS ; C25M ; 17.510 ; 17.510 ; Rise ; C25M ; +; nRAS ; C25M ; 15.968 ; 15.968 ; Rise ; C25M ; +; nRCS ; C25M ; 17.139 ; 17.139 ; Rise ; C25M ; +; nRESout ; C25M ; 17.067 ; 17.067 ; Rise ; C25M ; +; nSWE ; C25M ; 17.830 ; 17.830 ; Rise ; C25M ; +; RD[*] ; C25M ; 8.885 ; 8.885 ; Fall ; C25M ; +; RD[0] ; C25M ; 8.885 ; 8.885 ; Fall ; C25M ; +; RD[1] ; C25M ; 9.048 ; 9.048 ; Fall ; C25M ; +; RD[2] ; C25M ; 9.448 ; 9.448 ; Fall ; C25M ; +; RD[3] ; C25M ; 9.926 ; 9.926 ; Fall ; C25M ; +; RD[4] ; C25M ; 9.443 ; 9.443 ; Fall ; C25M ; +; RD[5] ; C25M ; 10.114 ; 10.114 ; Fall ; C25M ; +; RD[6] ; C25M ; 9.651 ; 9.651 ; Fall ; C25M ; +; RD[7] ; C25M ; 10.221 ; 10.221 ; Fall ; C25M ; +; RDdir ; PHI0 ; 21.935 ; 21.935 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 21.935 ; 21.935 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -862,44 +858,143 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.420 ; ; ; 8.420 ; -; INTin ; INTout ; 8.852 ; ; ; 8.852 ; -; nDEVSEL ; RD[0] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[1] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[2] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[3] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[4] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[5] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[6] ; 13.954 ; ; ; 13.954 ; -; nDEVSEL ; RD[7] ; 13.954 ; ; ; 13.954 ; -; nDEVSEL ; RDdir ; 15.512 ; ; ; 15.512 ; -; nIOSEL ; RD[0] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[1] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[2] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[3] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[4] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[5] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[6] ; 13.767 ; ; ; 13.767 ; -; nIOSEL ; RD[7] ; 13.767 ; ; ; 13.767 ; -; nIOSEL ; RDdir ; 15.325 ; ; ; 15.325 ; -; nIOSTRB ; RD[0] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[1] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[2] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[3] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[4] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[5] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[6] ; 13.620 ; ; ; 13.620 ; -; nIOSTRB ; RD[7] ; 13.620 ; ; ; 13.620 ; -; nIOSTRB ; RDdir ; 15.178 ; ; ; 15.178 ; -; nWE ; RD[0] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[1] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[2] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[3] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[4] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[5] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[6] ; 10.255 ; ; ; 10.255 ; -; nWE ; RD[7] ; 10.255 ; ; ; 10.255 ; -; nWE ; RDdir ; 11.813 ; ; ; 11.813 ; +; DMAin ; DMAout ; 8.665 ; ; ; 8.665 ; +; INTin ; INTout ; 8.862 ; ; ; 8.862 ; +; RA[0] ; RD[0] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[1] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[2] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[3] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[4] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[5] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[6] ; 13.565 ; ; ; 13.565 ; +; RA[0] ; RD[7] ; 13.565 ; ; ; 13.565 ; +; RA[0] ; RDdir ; 23.870 ; ; ; 23.870 ; +; RA[1] ; RD[0] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[1] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[2] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[3] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[4] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[5] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[6] ; 12.715 ; ; ; 12.715 ; +; RA[1] ; RD[7] ; 12.715 ; ; ; 12.715 ; +; RA[1] ; RDdir ; 23.020 ; ; ; 23.020 ; +; RA[2] ; RD[0] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[1] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[2] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[3] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[4] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[5] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[6] ; 13.207 ; ; ; 13.207 ; +; RA[2] ; RD[7] ; 13.207 ; ; ; 13.207 ; +; RA[2] ; RDdir ; 23.512 ; ; ; 23.512 ; +; RA[3] ; RD[0] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[1] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[2] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[3] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[4] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[5] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[6] ; 13.487 ; ; ; 13.487 ; +; RA[3] ; RD[7] ; 13.487 ; ; ; 13.487 ; +; RA[3] ; RDdir ; 23.792 ; ; ; 23.792 ; +; RA[4] ; RD[0] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[1] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[2] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[3] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[4] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[5] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[6] ; 13.397 ; ; ; 13.397 ; +; RA[4] ; RD[7] ; 13.397 ; ; ; 13.397 ; +; RA[4] ; RDdir ; 23.702 ; ; ; 23.702 ; +; RA[5] ; RD[0] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[1] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[2] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[3] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[4] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[5] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[6] ; 13.348 ; ; ; 13.348 ; +; RA[5] ; RD[7] ; 13.348 ; ; ; 13.348 ; +; RA[5] ; RDdir ; 23.653 ; ; ; 23.653 ; +; RA[6] ; RD[0] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[1] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[2] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[3] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[4] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[5] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[6] ; 13.645 ; ; ; 13.645 ; +; RA[6] ; RD[7] ; 13.645 ; ; ; 13.645 ; +; RA[6] ; RDdir ; 23.950 ; ; ; 23.950 ; +; RA[7] ; RD[0] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[1] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[2] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[3] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[4] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[5] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[6] ; 12.077 ; ; ; 12.077 ; +; RA[7] ; RD[7] ; 12.077 ; ; ; 12.077 ; +; RA[7] ; RDdir ; 22.382 ; ; ; 22.382 ; +; RA[8] ; RD[0] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[1] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[2] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[3] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[4] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[5] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[6] ; 11.460 ; ; ; 11.460 ; +; RA[8] ; RD[7] ; 11.460 ; ; ; 11.460 ; +; RA[8] ; RDdir ; 21.765 ; ; ; 21.765 ; +; RA[9] ; RD[0] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[1] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[2] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[3] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[4] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[5] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[6] ; 11.854 ; ; ; 11.854 ; +; RA[9] ; RD[7] ; 11.854 ; ; ; 11.854 ; +; RA[9] ; RDdir ; 22.159 ; ; ; 22.159 ; +; RA[10] ; RD[0] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[1] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[2] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[3] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[4] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[5] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[6] ; 12.993 ; ; ; 12.993 ; +; RA[10] ; RD[7] ; 12.993 ; ; ; 12.993 ; +; RA[10] ; RDdir ; 23.298 ; ; ; 23.298 ; +; nDEVSEL ; RD[0] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[1] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[2] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[3] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[4] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[5] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[6] ; 11.091 ; ; ; 11.091 ; +; nDEVSEL ; RD[7] ; 11.091 ; ; ; 11.091 ; +; nDEVSEL ; RDdir ; 21.396 ; ; ; 21.396 ; +; nIOSEL ; RD[0] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[1] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[2] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[3] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[4] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[5] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[6] ; 11.026 ; ; ; 11.026 ; +; nIOSEL ; RD[7] ; 11.026 ; ; ; 11.026 ; +; nIOSEL ; RDdir ; 21.331 ; ; ; 21.331 ; +; nIOSTRB ; RD[0] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[1] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[2] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[3] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[4] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[5] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[6] ; 12.370 ; ; ; 12.370 ; +; nIOSTRB ; RD[7] ; 12.370 ; ; ; 12.370 ; +; nIOSTRB ; RDdir ; 22.675 ; ; ; 22.675 ; +; nWE ; RD[0] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[1] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[2] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[3] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[4] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[5] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[6] ; 13.113 ; ; ; 13.113 ; +; nWE ; RD[7] ; 13.113 ; ; ; 13.113 ; +; nWE ; RDdir ; 23.418 ; ; ; 23.418 ; +------------+-------------+--------+----+----+--------+ @@ -908,44 +1003,143 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.420 ; ; ; 8.420 ; -; INTin ; INTout ; 8.852 ; ; ; 8.852 ; -; nDEVSEL ; RD[0] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[1] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[2] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[3] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[4] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[5] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[6] ; 13.954 ; ; ; 13.954 ; -; nDEVSEL ; RD[7] ; 13.954 ; ; ; 13.954 ; -; nDEVSEL ; RDdir ; 15.512 ; ; ; 15.512 ; -; nIOSEL ; RD[0] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[1] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[2] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[3] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[4] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[5] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[6] ; 13.767 ; ; ; 13.767 ; -; nIOSEL ; RD[7] ; 13.767 ; ; ; 13.767 ; -; nIOSEL ; RDdir ; 15.325 ; ; ; 15.325 ; -; nIOSTRB ; RD[0] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[1] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[2] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[3] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[4] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[5] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[6] ; 13.620 ; ; ; 13.620 ; -; nIOSTRB ; RD[7] ; 13.620 ; ; ; 13.620 ; -; nIOSTRB ; RDdir ; 15.178 ; ; ; 15.178 ; -; nWE ; RD[0] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[1] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[2] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[3] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[4] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[5] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[6] ; 10.255 ; ; ; 10.255 ; -; nWE ; RD[7] ; 10.255 ; ; ; 10.255 ; -; nWE ; RDdir ; 11.813 ; ; ; 11.813 ; +; DMAin ; DMAout ; 8.665 ; ; ; 8.665 ; +; INTin ; INTout ; 8.862 ; ; ; 8.862 ; +; RA[0] ; RD[0] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[1] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[2] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[3] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[4] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[5] ; 13.610 ; ; ; 13.610 ; +; RA[0] ; RD[6] ; 13.565 ; ; ; 13.565 ; +; RA[0] ; RD[7] ; 13.565 ; ; ; 13.565 ; +; RA[0] ; RDdir ; 23.870 ; ; ; 23.870 ; +; RA[1] ; RD[0] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[1] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[2] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[3] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[4] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[5] ; 12.760 ; ; ; 12.760 ; +; RA[1] ; RD[6] ; 12.715 ; ; ; 12.715 ; +; RA[1] ; RD[7] ; 12.715 ; ; ; 12.715 ; +; RA[1] ; RDdir ; 23.020 ; ; ; 23.020 ; +; RA[2] ; RD[0] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[1] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[2] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[3] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[4] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[5] ; 13.252 ; ; ; 13.252 ; +; RA[2] ; RD[6] ; 13.207 ; ; ; 13.207 ; +; RA[2] ; RD[7] ; 13.207 ; ; ; 13.207 ; +; RA[2] ; RDdir ; 23.512 ; ; ; 23.512 ; +; RA[3] ; RD[0] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[1] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[2] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[3] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[4] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[5] ; 13.532 ; ; ; 13.532 ; +; RA[3] ; RD[6] ; 13.487 ; ; ; 13.487 ; +; RA[3] ; RD[7] ; 13.487 ; ; ; 13.487 ; +; RA[3] ; RDdir ; 23.792 ; ; ; 23.792 ; +; RA[4] ; RD[0] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[1] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[2] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[3] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[4] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[5] ; 13.442 ; ; ; 13.442 ; +; RA[4] ; RD[6] ; 13.397 ; ; ; 13.397 ; +; RA[4] ; RD[7] ; 13.397 ; ; ; 13.397 ; +; RA[4] ; RDdir ; 23.702 ; ; ; 23.702 ; +; RA[5] ; RD[0] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[1] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[2] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[3] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[4] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[5] ; 13.393 ; ; ; 13.393 ; +; RA[5] ; RD[6] ; 13.348 ; ; ; 13.348 ; +; RA[5] ; RD[7] ; 13.348 ; ; ; 13.348 ; +; RA[5] ; RDdir ; 23.653 ; ; ; 23.653 ; +; RA[6] ; RD[0] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[1] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[2] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[3] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[4] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[5] ; 13.690 ; ; ; 13.690 ; +; RA[6] ; RD[6] ; 13.645 ; ; ; 13.645 ; +; RA[6] ; RD[7] ; 13.645 ; ; ; 13.645 ; +; RA[6] ; RDdir ; 23.950 ; ; ; 23.950 ; +; RA[7] ; RD[0] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[1] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[2] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[3] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[4] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[5] ; 12.122 ; ; ; 12.122 ; +; RA[7] ; RD[6] ; 12.077 ; ; ; 12.077 ; +; RA[7] ; RD[7] ; 12.077 ; ; ; 12.077 ; +; RA[7] ; RDdir ; 22.382 ; ; ; 22.382 ; +; RA[8] ; RD[0] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[1] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[2] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[3] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[4] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[5] ; 11.505 ; ; ; 11.505 ; +; RA[8] ; RD[6] ; 11.460 ; ; ; 11.460 ; +; RA[8] ; RD[7] ; 11.460 ; ; ; 11.460 ; +; RA[8] ; RDdir ; 21.765 ; ; ; 21.765 ; +; RA[9] ; RD[0] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[1] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[2] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[3] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[4] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[5] ; 11.899 ; ; ; 11.899 ; +; RA[9] ; RD[6] ; 11.854 ; ; ; 11.854 ; +; RA[9] ; RD[7] ; 11.854 ; ; ; 11.854 ; +; RA[9] ; RDdir ; 22.159 ; ; ; 22.159 ; +; RA[10] ; RD[0] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[1] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[2] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[3] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[4] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[5] ; 13.038 ; ; ; 13.038 ; +; RA[10] ; RD[6] ; 12.993 ; ; ; 12.993 ; +; RA[10] ; RD[7] ; 12.993 ; ; ; 12.993 ; +; RA[10] ; RDdir ; 23.298 ; ; ; 23.298 ; +; nDEVSEL ; RD[0] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[1] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[2] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[3] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[4] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[5] ; 11.136 ; ; ; 11.136 ; +; nDEVSEL ; RD[6] ; 11.091 ; ; ; 11.091 ; +; nDEVSEL ; RD[7] ; 11.091 ; ; ; 11.091 ; +; nDEVSEL ; RDdir ; 21.396 ; ; ; 21.396 ; +; nIOSEL ; RD[0] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[1] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[2] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[3] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[4] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[5] ; 11.071 ; ; ; 11.071 ; +; nIOSEL ; RD[6] ; 11.026 ; ; ; 11.026 ; +; nIOSEL ; RD[7] ; 11.026 ; ; ; 11.026 ; +; nIOSEL ; RDdir ; 21.331 ; ; ; 21.331 ; +; nIOSTRB ; RD[0] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[1] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[2] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[3] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[4] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[5] ; 12.415 ; ; ; 12.415 ; +; nIOSTRB ; RD[6] ; 12.370 ; ; ; 12.370 ; +; nIOSTRB ; RD[7] ; 12.370 ; ; ; 12.370 ; +; nIOSTRB ; RDdir ; 22.675 ; ; ; 22.675 ; +; nWE ; RD[0] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[1] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[2] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[3] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[4] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[5] ; 13.158 ; ; ; 13.158 ; +; nWE ; RD[6] ; 13.113 ; ; ; 13.113 ; +; nWE ; RD[7] ; 13.113 ; ; ; 13.113 ; +; nWE ; RDdir ; 23.418 ; ; ; 23.418 ; +------------+-------------+--------+----+----+--------+ @@ -954,93 +1148,93 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 12.289 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 12.289 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 15.951 ; ; Rise ; C25M ; +; MOSI ; C25M ; 15.242 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 13.690 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 13.690 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 13.690 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 13.373 ; ; Rise ; C25M ; +; nFCS ; C25M ; 15.969 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +-----------+------------+--------+------+------------+-----------------+ -+----------------------------------------------------------------------+ -; Minimum Output Enable Times ; -+-----------+------------+-------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+------+------------+-----------------+ -; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 9.451 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 9.451 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; -+-----------+------------+-------+------+------------+-----------------+ ++-----------------------------------------------------------------------+ +; Minimum Output Enable Times ; ++-----------+------------+--------+------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+------+------------+-----------------+ +; FCK ; C25M ; 15.951 ; ; Rise ; C25M ; +; MOSI ; C25M ; 15.242 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 10.182 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 10.182 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 10.182 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 13.373 ; ; Rise ; C25M ; +; nFCS ; C25M ; 15.969 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; ++-----------+------------+--------+------+------------+-----------------+ +-------------------------------------------------------------------------------+ @@ -1048,45 +1242,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 12.289 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 12.289 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 15.951 ; ; Rise ; C25M ; +; MOSI ; C25M ; 15.242 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 13.690 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 13.735 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 13.690 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 13.690 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 13.373 ; ; Rise ; C25M ; +; nFCS ; C25M ; 15.969 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1095,69 +1289,67 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 9.451 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 9.451 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 15.951 ; ; Rise ; C25M ; +; MOSI ; C25M ; 15.242 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 10.182 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 10.227 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 10.182 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 10.182 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 13.829 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 13.373 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 13.373 ; ; Rise ; C25M ; +; nFCS ; C25M ; 15.969 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ -+-------------------------------------------------------------------+ -; Setup Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1374 ; 0 ; 0 ; 0 ; -; PHI0 ; C25M ; 82 ; 1 ; 0 ; 0 ; -; C25M ; PHI0 ; 5 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ ++-------------------------------------------------------------------------+ +; Setup Transfers ; ++------------+----------+------------+------------+------------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+------------+------------+------------+----------+ +; C25M ; C25M ; 1526 ; 0 ; 88 ; 0 ; +; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; ++------------+----------+------------+------------+------------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. -+-------------------------------------------------------------------+ -; Hold Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1374 ; 0 ; 0 ; 0 ; -; PHI0 ; C25M ; 82 ; 1 ; 0 ; 0 ; -; C25M ; PHI0 ; 5 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ ++-------------------------------------------------------------------------+ +; Hold Transfers ; ++------------+----------+------------+------------+------------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+------------+------------+------------+----------+ +; C25M ; C25M ; 1526 ; 0 ; 88 ; 0 ; +; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; ++------------+----------+------------+------------+------------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1201,9 +1393,9 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 44 ; 44 ; -; Unconstrained Input Port Paths ; 645 ; 645 ; +; Unconstrained Input Port Paths ; 246 ; 246 ; ; Unconstrained Output Ports ; 45 ; 45 ; -; Unconstrained Output Port Paths ; 118 ; 118 ; +; Unconstrained Output Port Paths ; 217 ; 217 ; +---------------------------------+-------+------+ @@ -1213,7 +1405,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design Info: ******************************************************************* Info: Running Quartus II 32-bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Apr 20 04:20:00 2021 + Info: Processing started: Wed Apr 21 20:02:02 2021 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected @@ -1221,43 +1413,36 @@ Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (306004): Started post-fitting delay annotation Info (306005): Delay annotation completed successfully -Critical Warning (332012): Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332105): Deriving Clocks - Info (332105): create_clock -period 1.000 -name C25M C25M - Info (332105): create_clock -period 1.000 -name PHI0 PHI0 +Info (332104): Reading SDC File: 'GR8RAM.sdc' Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -9.005 +Info (332146): Worst-case setup slack is 12.419 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -9.005 -699.357 C25M - Info (332119): -0.425 -0.425 PHI0 -Info (332146): Worst-case hold slack is -0.248 + Info (332119): 12.419 0.000 C25M +Info (332146): Worst-case hold slack is 1.393 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -0.248 -0.248 PHI0 - Info (332119): 1.400 0.000 C25M -Info (332146): Worst-case recovery slack is -4.412 + Info (332119): 1.393 0.000 C25M +Info (332146): Worst-case recovery slack is 33.300 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -4.412 -127.948 C25M -Info (332146): Worst-case removal slack is 4.858 + Info (332119): 33.300 0.000 C25M +Info (332146): Worst-case removal slack is 6.146 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): 4.858 0.000 C25M -Info (332146): Worst-case minimum pulse width slack is -2.289 + Info (332119): 6.146 0.000 C25M +Info (332146): Worst-case minimum pulse width slack is 19.734 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -2.289 -2.289 C25M - Info (332119): -2.289 -2.289 PHI0 + Info (332119): 19.734 0.000 C25M + Info (332119): 488.734 0.000 PHI0 Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings +Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 0 warnings Info: Peak virtual memory: 278 megabytes - Info: Processing ended: Tue Apr 20 04:20:05 2021 + Info: Processing ended: Wed Apr 21 20:02:07 2021 Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:04 + Info: Total CPU time (on all processors): 00:00:05 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index c650a04..d814531 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,35 +3,27 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : -9.005 -TNS : -699.357 - -Type : Setup 'PHI0' -Slack : -0.425 -TNS : -0.425 - -Type : Hold 'PHI0' -Slack : -0.248 -TNS : -0.248 +Slack : 12.419 +TNS : 0.000 Type : Hold 'C25M' -Slack : 1.400 +Slack : 1.393 TNS : 0.000 Type : Recovery 'C25M' -Slack : -4.412 -TNS : -127.948 +Slack : 33.300 +TNS : 0.000 Type : Removal 'C25M' -Slack : 4.858 +Slack : 6.146 TNS : 0.000 Type : Minimum Pulse Width 'C25M' -Slack : -2.289 -TNS : -2.289 +Slack : 19.734 +TNS : 0.000 Type : Minimum Pulse Width 'PHI0' -Slack : -2.289 -TNS : -2.289 +Slack : 488.734 +TNS : 0.000 ------------------------------------------------------------