From 5b230c09668207f13750edc339348ed7180798e0 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 1 Sep 2019 21:18:44 -0400 Subject: [PATCH] 1MB CPLD design seems to work, fails Apple BIST --- cpld/GR8RAM.qsf | 211 ++- cpld/GR8RAM.qws | Bin 613 -> 3628 bytes cpld/GR8RAM.v | 61 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 15516 -> 15640 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 3143 -> 3364 bytes cpld/db/GR8RAM.(1).cnf.cdb | Bin 2355 -> 2358 bytes cpld/db/GR8RAM.(1).cnf.hdb | Bin 781 -> 772 bytes cpld/db/GR8RAM.(10).cnf.cdb | Bin 0 -> 2189 bytes cpld/db/GR8RAM.(10).cnf.hdb | Bin 0 -> 907 bytes cpld/db/GR8RAM.(11).cnf.cdb | Bin 0 -> 680 bytes cpld/db/GR8RAM.(11).cnf.hdb | Bin 0 -> 533 bytes cpld/db/GR8RAM.(12).cnf.cdb | Bin 0 -> 763 bytes cpld/db/GR8RAM.(12).cnf.hdb | Bin 0 -> 519 bytes cpld/db/GR8RAM.(2).cnf.cdb | Bin 3183 -> 3189 bytes cpld/db/GR8RAM.(2).cnf.hdb | Bin 985 -> 980 bytes cpld/db/GR8RAM.(3).cnf.cdb | Bin 6073 -> 6077 bytes cpld/db/GR8RAM.(3).cnf.hdb | Bin 1283 -> 1291 bytes cpld/db/GR8RAM.(4).cnf.cdb | Bin 1127 -> 1133 bytes cpld/db/GR8RAM.(4).cnf.hdb | Bin 635 -> 649 bytes cpld/db/GR8RAM.(5).cnf.cdb | Bin 1127 -> 1133 bytes cpld/db/GR8RAM.(5).cnf.hdb | Bin 635 -> 649 bytes cpld/db/GR8RAM.(6).cnf.cdb | Bin 6075 -> 6077 bytes cpld/db/GR8RAM.(6).cnf.hdb | Bin 1283 -> 1291 bytes cpld/db/GR8RAM.(7).cnf.cdb | Bin 1208 -> 1212 bytes cpld/db/GR8RAM.(7).cnf.hdb | Bin 618 -> 604 bytes cpld/db/GR8RAM.(8).cnf.cdb | Bin 920 -> 921 bytes cpld/db/GR8RAM.(8).cnf.hdb | Bin 525 -> 524 bytes cpld/db/GR8RAM.(9).cnf.cdb | Bin 658 -> 656 bytes cpld/db/GR8RAM.(9).cnf.hdb | Bin 519 -> 519 bytes cpld/db/GR8RAM.ace_cmp.cdb | Bin 36087 -> 32454 bytes cpld/db/GR8RAM.ace_cmp.hdb | Bin 17710 -> 17463 bytes cpld/db/GR8RAM.asm.qmsg | 10 +- cpld/db/GR8RAM.asm.rdb | Bin 1314 -> 1332 bytes cpld/db/GR8RAM.cmp.cdb | Bin 36622 -> 33580 bytes cpld/db/GR8RAM.cmp.hdb | Bin 17903 -> 20816 bytes cpld/db/GR8RAM.cmp.rdb | Bin 14914 -> 15225 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 10451 -> 9802 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.eco.cdb | Bin 1063 -> 1104 bytes cpld/db/GR8RAM.fit.qmsg | 7 +- cpld/db/GR8RAM.hier_info | 88 +- cpld/db/GR8RAM.hif | Bin 2191 -> 2317 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 177 bytes cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 413 bytes cpld/db/GR8RAM.map.cdb | Bin 15224 -> 13781 bytes cpld/db/GR8RAM.map.hdb | Bin 17288 -> 20344 bytes cpld/db/GR8RAM.map.qmsg | 68 +- cpld/db/GR8RAM.map.rdb | Bin 1179 -> 1187 bytes cpld/db/GR8RAM.pplq.rdb | Bin 245 -> 246 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 13663 -> 15986 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 209 -> 210 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 13559 -> 15931 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 12193 -> 12673 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 195 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 15322 -> 15979 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 25065 -> 29554 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sta.qmsg | 44 +- cpld/db/GR8RAM.sta.rdb | Bin 11315 -> 11297 bytes cpld/db/GR8RAM.sta_cmp.15_slow.tdb | Bin 43926 -> 39310 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.tmw_info | 10 +- cpld/db/add_sub_8ph.tdf | 2 +- cpld/db/add_sub_rnh.tdf | 46 + cpld/db/prev_cmp_GR8RAM.qmsg | 145 +-- .../GR8RAM.root_partition.map.kpt | Bin 225 -> 227 bytes cpld/output_files/GR8RAM.asm.rpt | 54 +- cpld/output_files/GR8RAM.cdf | 13 + cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 661 +++++----- cpld/output_files/GR8RAM.fit.summary | 6 +- cpld/output_files/GR8RAM.flow.rpt | 92 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 170 ++- cpld/output_files/GR8RAM.map.smsg | 6 +- cpld/output_files/GR8RAM.map.summary | 6 +- cpld/output_files/GR8RAM.pin | 2 +- cpld/output_files/GR8RAM.pof | Bin 8022 -> 8022 bytes cpld/output_files/GR8RAM.sta.rpt | 1136 ++++++++--------- cpld/output_files/GR8RAM.sta.summary | 10 +- 82 files changed, 1565 insertions(+), 1289 deletions(-) create mode 100644 cpld/db/GR8RAM.(10).cnf.cdb create mode 100644 cpld/db/GR8RAM.(10).cnf.hdb create mode 100644 cpld/db/GR8RAM.(11).cnf.cdb create mode 100644 cpld/db/GR8RAM.(11).cnf.hdb create mode 100644 cpld/db/GR8RAM.(12).cnf.cdb create mode 100644 cpld/db/GR8RAM.(12).cnf.hdb create mode 100644 cpld/db/add_sub_rnh.tdf create mode 100644 cpld/output_files/GR8RAM.cdf diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 19d06a3..92bdf28 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -114,9 +114,9 @@ set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF set_global_assignment -name FITTER_EFFORT "STANDARD FIT" set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING "PACK ALL IO REGISTERS" set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF -set_global_assignment -name AUTO_LCELL_INSERTION ON -set_global_assignment -name AUTO_PARALLEL_EXPANDERS ON -set_global_assignment -name AUTO_RESOURCE_SHARING ON +set_global_assignment -name AUTO_LCELL_INSERTION OFF +set_global_assignment -name AUTO_PARALLEL_EXPANDERS OFF +set_global_assignment -name AUTO_RESOURCE_SHARING OFF set_global_assignment -name SYNTH_MESSAGE_LEVEL HIGH set_global_assignment -name SLOW_SLEW_RATE ON set_global_assignment -name ALM_REGISTER_PACKING_EFFORT HIGH @@ -141,18 +141,201 @@ set_location_assignment LC16 -to Addr[15] set_global_assignment -name PARALLEL_SYNTHESIS OFF set_global_assignment -name STATE_MACHINE_PROCESSING "USER-ENCODED" set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS OFF -set_location_assignment LC128 -to Addr[22] -set_location_assignment LC122 -to Addr[19] -set_location_assignment LC124 -to Addr[20] -set_location_assignment LC120 -to Addr[17] -set_location_assignment LC118 -to Addr[16] -set_location_assignment LC126 -to Addr[21] set_location_assignment PIN_76 -to A[1] set_location_assignment PIN_8 -to PHI0in set_location_assignment PIN_2 -to PHI1in -set_location_assignment LC127 -to PHI1b7_MC -set_location_assignment LC125 -to PHI1b6_MC -set_location_assignment LC123 -to PHI1b5_MC -set_location_assignment LC121 -to PHI1b4_MC set_location_assignment PIN_31 -to C7Mout -set_location_assignment PIN_30 -to PHI1out \ No newline at end of file +set_location_assignment PIN_30 -to PHI1out +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES OFF +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES OFF +set_global_assignment -name PRE_MAPPING_RESYNTHESIS ON +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to ASel +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[0] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[3] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[4] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[5] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[6] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[7] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[8] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[9] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[10] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[11] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[12] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[13] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[14] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[15] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add0 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add1 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add2 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add3 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to AddrHWR_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to AddrLWR_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to AddrMWR_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[0] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[3] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[4] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[5] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[6] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[7] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[8] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[9] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[10] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[11] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[12] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[13] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[14] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[15] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[16] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[17] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[18] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[19] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[20] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[21] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[22] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to BankWR_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[0] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[3] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[4] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[5] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[6] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[7] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to C7M +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to C7M_2 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to C7Mout +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to CASf +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to CASr +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to CSDBEN +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to DOE +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[0] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[0]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[1]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[2]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[3] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[3]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[4] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[4]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[5] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[5]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[6] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[6]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[7] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[7]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[0] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[3] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[4] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[5] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[6] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[7] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal0 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal1 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal2 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal3 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal4 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal5 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal6 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal7 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal8 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal9 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal10 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal11 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal12 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal13 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal14 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal15 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal16 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal17 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to IOBank0 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to IOROMEN +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to MODE +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI0in +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI0seen +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b0_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b1_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b2_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b3_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b4_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b5_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b6_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b7_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b8_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b9_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1in +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1out +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1reg +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Q3 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RAMSEL_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RAMSELreg +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RASf +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RASr +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[0] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[3] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[4] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[5] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[6] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[7] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[8] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[9] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[10] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RDOE +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[0] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[0]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[1]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[2]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[3] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[3]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[4] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[4]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[5] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[5]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[6] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[6]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[7] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[7]~direct +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to REGEN +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[0] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[3] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to S +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to S[0] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to S[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to S[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to SetWR +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to always0 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to always2 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to comb +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nCAS0 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nCAS1 +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nDEVSEL +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nINH +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nIOSEL +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nIOSTRB +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nRAS +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nRCS +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nRES +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nROE +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nRWE +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nWE +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A \ No newline at end of file diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws index 50b8f9f7a2deab2b13b3c810a875196d1f5e8153..10d80a69944ffc7aca5bd344e02ea2ecfeb19bdd 100755 GIT binary patch literal 3628 zcmds(OKgl$6vxju^=PCK3u-}R(ljEHsm^q2S}RqGC`MI;NG6?`Z)o(Dc03Xh8zQW* zk=Tlb*jw0ISXi)+Zy?39ht8)F}FciJ%2 zE=G&q8?Y`LupZk>uf*;nR)!jfU!|vi!78j7VUODSuPfSEQHE>Ozaz&^WQ*l%v(uck znA&gWkS5Kk-IhVy3{s@5ov-Lr8eW;v6g-WI5?5b|TJ|;row4)(iWP&vEGQt&XR3YF z&#WPdp9P6UQEjAcoQ^s)j)L`AB*Yq3OWHQ~SrreC(Ho>4$9F|6F)6^%pP;{%{-fx)8r-r#r3)K{)&Z3RPy*g&#!{ArN<#~7 z0#&!etrL)GP8Xj5IfR2s4mVe$LH3w&wRBUtz`<{(#Y)J@YnXbI_7Zxpd=C*g>jTs} z%k%Xkeu0=Cu>ItKJoI*`UfUx>#lD^pp*2m+3sF$Hq*_1YSUh7H&QZ=G)4&hzQwLe- zd|Hj|nh`P3o~?CqLPq)y>8D{}qiW`A!v7TqfPE1_l?YCFtAa!3Q#wz>inY zk*zw3x=wF(0i}paMQ8|BOa5t(#&(arTJp~jkBY$x|14h`-uc+H!CiKqiAGsi_ey;c5C(A0F( zKSAaUUe4JUXmXyKhiJ>B=%PaQWvj%IqrS+$kH75WPX6lIqU122{ybQqx3oL@D)P@D7cu&^FxbNdUL1S(;vSIyq^f?xz5rVuXKerg delta 81 zcmZ1@^OR+RHY)=VFiw_d&tv@tWK5boiQQCi5>PCd;s5{t3=B*s!J>>@Ig?#DzQ`~i T0&*vU^nd_R#Xk@Rv6&bEjm{V% diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 05a41db..0ff5ebd 100755 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -9,7 +9,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, input nRES, MODE; // Reset, mode /* PHI1 Delay */ - wire [6:0] PHI1b; + wire [8:0] PHI1b; wire PHI1; LCELL PHI1b0_MC (.in(PHI1in), .out(PHI1b[0])); LCELL PHI1b1_MC (.in(PHI1b[0]), .out(PHI1b[1])); @@ -18,7 +18,9 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, LCELL PHI1b4_MC (.in(PHI1b[3]), .out(PHI1b[4])); LCELL PHI1b5_MC (.in(PHI1b[4]), .out(PHI1b[5])); LCELL PHI1b6_MC (.in(PHI1b[5]), .out(PHI1b[6])); - LCELL PHI1b7_MC (.in(PHI1b[6] & PHI1in), .out(PHI1)); + LCELL PHI1b7_MC (.in(PHI1b[6]), .out(PHI1b[7])); + LCELL PHI1b8_MC (.in(PHI1b[7]), .out(PHI1b[8])); + LCELL PHI1b9_MC (.in(PHI1b[8] & PHI1in), .out(PHI1)); output C7Mout = C7M_2; output PHI1out = PHI1; @@ -28,37 +30,40 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, input nWE; // 6502 R/W output [10:0] RA; // DRAM/ROM address assign RA[10:8] = ASel ? Addr[10:8] : Addr[21:19]; - assign RA[7:1] = ~nDEVSEL ? (ASel ? Addr[7:1] : Addr[18:12]) : Bank[6:0]; - assign RA[0] = ~nDEVSEL ? (ASel ? Addr[0] : Addr[11]) : A[11]; + assign RA[7:0] = + (~nIOSTRB & nIOSEL & ~IOBank0) ? Bank+1 : + (ASel & nIOSEL & nIOSTRB) ? Addr[7:0] : + (~ASel & nIOSEL & nIOSTRB) ? Addr[18:11] : 8'h00; /* Data Bus Routing */ // DRAM/ROM data bus - wire RDOE = nRES | (CSDBEN & (~nWE | (nDEVSEL & nIOSEL & nIOSTRB))); + wire RDOE = CSDBEN & ~nWE; inout [7:0] RD = RDOE ? D[7:0] : 8'bZ; // Apple II data bus - wire DOE = nRES & CSDBEN & nWE & + wire DOE = CSDBEN & nWE & ((~nDEVSEL & REGEN) | ~nIOSEL | (~nIOSTRB & IOROMEN)); wire [7:0] Dout = (nDEVSEL | RAMSELA) ? RD[7:0] : - AddrHSELA ? {1'b1, Addr[22:16]} : + AddrHSELA ? {4'b1111, Addr[19:16]} : AddrMSELA ? Addr[15:8] : AddrLSELA ? Addr[7:0] : 8'h00; inout [7:0] D = DOE ? Dout : 8'bZ; /* Inhibit output */ - wire AROMSEL; + /*wire AROMSEL; LCELL AROMSEL_MC (.in((A[15:12]==4'hD | A[15:12]==4'hE | A[15:12]==4'hF) & nWE & ~MODE), .out(AROMSEL)); - output nINH = AROMSEL ? 1'b0 : 1'bZ; + output nINH = AROMSEL ? 1'b0 : 1'bZ;*/ + output nINH = 1'bZ; /* DRAM and ROM Control Signals */ output nRCS = ~((~nIOSEL | (~nIOSTRB & IOROMEN)) & CSDBEN); // ROM chip select output nROE = ~nWE; // need this for flash ROM - output nRWE = ~(~nWE & (~nDEVSEL | ~nIOSEL | ~nIOSTRB)); // for ROM & DRAM + output nRWE = nWE | (nDEVSEL & nIOSEL & nIOSTRB); // for ROM & DRAM output nRAS = ~(RASr | RASf); output nCAS0 = ~(CASr | (CASf & ~nDEVSEL & ~Addr[22])); // DRAM CAS bank 0 output nCAS1 = ~(CASr | (CASf & ~nDEVSEL & Addr[22])); // DRAM CAS bank 1 /* 6502-accessible Registers */ - reg [6:0] Bank = 7'h00; // Bank register for ROM access + reg [7:0] Bank = 8'h00; // Bank register for ROM access reg [22:0] Addr = 23'h00000; // RAM address register /* CAS rising/falling edge components */ @@ -77,22 +82,24 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, /* Select Signals */ reg RAMSELreg = 1'b0; // RAMSEL registered at end of S4 wire BankSELA = A[3:0]==4'hF; + wire SetSELA = A[3:0]==4'hE; wire RAMSELA = A[3:0]==4'h3; wire AddrHSELA = A[3:0]==4'h2; wire AddrMSELA = A[3:0]==4'h1; wire AddrLSELA = A[3:0]==4'h0; - LCELL BankWR_MC (.in(BankSELA & ~nWE & ~nDEVSEL & REGEN), .out(BankWR)); - wire BankWR; // Bank reg. at Cn0F - wire RAMSEL = RAMSELA & ~nDEVSEL & REGEN; // RAM data reg. at Cn03 - wire AddrHWR = AddrHSELA & ~nWE & ~nDEVSEL & REGEN; // Addr. hi reg. at Cn02 - wire AddrMWR = AddrMSELA & ~nWE & ~nDEVSEL & REGEN; // Addr. mid reg. at Cn01 - wire AddrLWR = AddrLSELA & ~nWE & ~nDEVSEL & REGEN; // Addr. lo reg. at Cn00 + LCELL BankWR_MC (.in(BankSELA & ~nWE & ~nDEVSEL & REGEN), .out(BankWR)); wire BankWR; + wire SetWR = SetSELA & ~nWE & ~nDEVSEL & REGEN; + LCELL RAMSEL_MC (.in(RAMSELA & ~nDEVSEL & REGEN), .out(RAMSEL)); wire RAMSEL; + LCELL AddrHWR_MC (.in(AddrHSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrHWR)); wire AddrHWR; + LCELL AddrMWR_MC (.in(AddrMSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrMWR)); wire AddrMWR; + LCELL AddrLWR_MC (.in(AddrLSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrLWR)); wire AddrLWR; /* Misc. */ reg REGEN = 0; // Register enable reg IOROMEN = 0; // IOSTRB ROM enable reg CSDBEN = 0; // ROM CS, data bus driver gating reg ASel = 0; // DRAM address multiplexer select + reg IOBank0 = 0; // Apple II Bus Compatibiltiy Rules: // Synchronize to PHI0 or PHI1. (PHI1 here) @@ -115,7 +122,8 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, IOROMEN <= 1'b0; CSDBEN <= 1'b0; Addr <= 23'h000000; - Bank <= 7'h00; + Bank <= 8'h00; + IOBank0 <= 1'b0; RAMSELreg <= 1'b0; end else begin // Synchronize state counter to S1 when just entering PHI1 @@ -134,8 +142,8 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, // Registers enabled at end of S4 by any IOSEL access (Cn00-CnFF). if (S==4 & ~nIOSEL) REGEN <= 1; - // Enable IOSTRB ROM when accessing 0xCn00 in IOSEL ROM. - if (S==4 & ~nIOSEL /* & A[7:0]==8'h00 */) IOROMEN <= 1'b1; + // Enable IOSTRB ROM when accessing CnXX in IOSEL ROM. + if (S==4 & ~nIOSEL) IOROMEN <= 1'b1; // Register RAM "register" selected at end of S4. if (S==4) RAMSELreg <= RAMSEL; @@ -150,12 +158,17 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, // Increment address register after RAM access, // otherwise set register during S6 if accessed. - if (S==1 & RAMSELreg) Addr <= Addr+1; // RAMSELreg refers to prev. - else if (S==6) begin - if (AddrHWR) Addr[22:16] <= D[6:0]; // Addr hi + if (S==2 & RAMSELreg) begin + Addr <= Addr+1; // RAMSELreg refers to prev. + RAMSELreg <= 1'b0; + end + + if (S==6) begin + if (BankWR) Bank[7:0] <= D[7:0]; // Bank + if (SetWR) IOBank0 <= D[7:0] == 8'hE5; + if (AddrHWR) Addr[19:16] <= D[3:0]; // Addr hi if (AddrMWR) Addr[15:8] <= D[7:0]; // Addr mid if (AddrLWR) Addr[7:0] <= D[7:0]; // Addr lo - if (BankWR) Bank[6:0] <= D[6:0]; // Bank end end end diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 3ab6a18827d89398cbac41382cc9181e72eeef1e..f5ff821005793a5a232e7b43d9b02d2b4e65c7e2 100755 GIT binary patch literal 15640 zcmX|o1yoeg6Ss=eAs{8Kba%rlh%`uxlz@PAH|&CdNJt0>f`oK~bT8fAAhAm~yX3NL zeEk3a@B7X>_su)MnLBgueeb+8Gj}feg9i^Pi5}kHz3wl?dun9s>E+<&$|5W(AS58n zqUht`{E0|D*m7kFyAJ84W|Q%(@?Vo1I*E4=JCfI%@T}R%(W_=qd{7 zlD|}Z^pHE9EA~TwJC94HVO#SYNnxcScCCVbzAV%fyH>vtLXfGkR6?sT;!0OsnYBjPuKns5Vv>&AZly70Y6FQ^$+CdS9tDlSd~hfqA`HG`|GOh~^d z{OO%logMlK$pd19FN$l;VsJXDlH~RWj~%b7=*eVCeQ{NVY9d5G^OKnW>T9ZNsR96R z8D)ZL9qPC;Ik9?Qt+P17)f^nCB)I&v+T3sKaJ{cwLI7aV4v85A-(Y>@n6+7(Sa8}lPs}w^7(vvH^g=Kz(lTvrMMHa+XLC7 zDjzn<9`q#~(|!aE_^Yjo_GxTplUq>S5W{{n+XtuhB}e!fPM7Wd&0~wW5vC7X@Gdwt znNMo9H&;2#PGu|&TJXIG*6x82NDe3?^SX&4 zsIYdOg_$s7?icEkE;LeblKBa*JO7^h@uOgHNfqI6fHQDTRf~X zpx0<(P!K5#s5iiMMId@fK7V*(5#D&}0K z#*4+K3hxEIPuCo9%>(^1y4&kz$3IG}M1HL*m%uww+|GK`37&Y8DX0SvF%batP6pUM z$h@_O#G1JZqqf{S*eJuvkK};-)C&%m%(6#lWphJCv8&e@`19?r zahG;)5JoN%%ERJy(4fSWm?%99sauu`;8D_#9q&u$uA9Z8f~eczn6pvOTRPwxuip~5 zeHW8!p=Tj}>x8?}fvQ_H+|lB{a-B2{X+IW#F(1<`ge!6$p6H;HuT6Jz=-T6Q`me+1 zwe&+w0v3UMm6rKUb@SEc|5^mwhXDhN6ELj))fzVOa)Vbkz7%HM3Q+5YW7kB0xbYLnhFd#`1oyKFfWvn{)63qt$CZn)5?*#!<;vSDs<6l5A z3l*Qx?ekg$l{Xg!ue3Jv86{!>oO)vVqIg#G!z>eR5D`I+cM@ zz-*je;sO1jGn^6)X=EITrJpNKsGW^XB@zUg!LQp57<^-1rXmEL@St80mPdv|;NI3K z`b6W&>DI->46`M0W%&xY!>0F!SsZ^FsK~It6Pfh>Xb|z9i}Yw+JqkL4 znj%n2<5Z6`UcPM0ACbtqJJtewU`9?ZpuJIKM_NQ%eRNw9j51jact!}FjqA(t7o6x! z_c-arZ5{Op98OjSSqVXa&&f1&JmSIbUP${H^fL5&{Fgd@`X8Jm&hMFAmdHQjT}ts) zc74}VS1jxG0)B?bN=CVq=LA5DywWDu#J_w=aD57rox0%SjoZsvluljrMYA2SN_-#W zlK(5X-;$@S>)rNVFBtt%ET7(fEm|Pd#?^;vbdT#sTuMs4?}Mr=PM|wzMhThBw32gK zqfiiWh|H#wHy-cWKB4Eh z*S6QW^gfx43^&wvq!N7JkE@&f=ZSpc}5lVd9UiY)1r``=|AzfIhZfW z%Z8qNNXzpcy8E3%?xBA@(DrRs;9Eh-tN?!DkONJh-gZ6F7j93-Z$*nf`!1CZD%vE_ z?H~%S6`8Q1Qr2uHcD;?4ADXT&f4XW_qyP1}eQ(tdRMFUW5eRne*<2@ABhp-@sF6LBhky(4g^BO#RC z%CHF)*)5WA{;{g7k3FQeRleXSeB`e@Q~bNVIR52XqHjPTnQHz%3n3ir&0jOU7;D8A z4jZ;G-gzx+c=(-#y%{5+$~5)T#NTf%p}V zl-FM>&J>Yl5zmUd1+l*>oTc5n{%CGLKBABuiwXH+phBZ(QM9k7o(y*&E;arpKqKT7G{-7GoZ+M5vJyA} zAu=moprOR!H;X@EEhDCBDvwF_3LQ-rmsYt>3|FK5^pB6N!cQ#S+n-F=U=zu2j~oa5 zu1A|^SI{-&MHP&cbQ|Yp-_4V}Wn5B9p%X{=&S|VHT1w?#AF-B-@CY8R;O1W+u#Uuy zw7GS5mjqoU8r%!TFL+@+!*%^m|HEi1y$kp|Vv2AOki2fzyXQ_VdSM;IbwB9Pnm!|X zaAXV!ydtm&-MRUzDVM1Q=(G)fovIa1mL%`jQLlIOPiyWbDCR?*E4sBIpd2_nYPkN! zd_&)0AB~%%9(20#x#rMG{tY=$#Z79rq+K_Vp!nxlNqLZJS(zh@ziAI$iJPymsPxRS zN@gqWLZWKSPhIZ(YIqF!hRh_L>eCKA?25z=txu+lQ+F<(Yr$T@E_$RS|H;s9M>(Xp zo3DdV$k$$2i1$pfW7$x{ZlNu3sBCNmZ{X(n81jfIkEhOvSb_@XYaqz|CimCXE>kT} z-T9Vb0I$?j*Sz&5rMa$(ZVVyL1eD|n$mA-#`joX?gco29H;PKLwL@F|*XkN289Z3T zMDTyS&xMj~9EXweZ%D>Q$ke^pF6&K=VhGAL=A89R={+E~emmi*P&T**rUxj`;J0W1T=jm6?{~c)7 z={Sk`fKA}H=jo};n$Gfr#G*7z@<|maTJE}9bLdOXAF0fDf1N1bM(&XExP32B4Li(z zS~31}8Hdl}H)VZIFJV)6abRtCzmtY0XJk~|2OHk@l*V>A)8_|KoNUiksd?Wl_ev2v z;YB*TuUM@WKfZcShkk`qZ4Lc6jweBAUwXwEWN!FX0C{9(W%V`?-SDaT6*G2EMo=7G3D7(mJ)UZ* z9qu0DfR%lvlq#`p6Yx?3_dhLo>>WXd0_AxJwvxv3FHKE;rVHfC4!fXbT7702``{ti zARpBaxS6u5k!_KZ-0+Ze*&(N1c~bk6g2CK%sd^4y9(;P-aP}=svSBa{ADgk?R!BYB zDKBw=4Vpo_l$G;(TR0*UHkb_cZF%^Te^VlIJLcd6JNmkk=Zk9&dep8XjvlsV-z4e% zPB-^wq>d?a1ob+4ZdBnWj#vNK6+kLTQ47?aLH}M=H1kEmI$=qFG_wv!7GYbp1X4e` zX|hIJG(0|9MCCNfo?&APn@){mZ@I6qMETh2Og(Jf+gDhjN(^?K`v%*SatFFgxx?P- zpJHQ+R+k22pUU&5A12SU~}ZzF_xv$Un*S?x0x2#7VisfKI{P|Gbpfjr^ zs~jV&rO*xr02)Dq*6$Vly6*sQzG=5$Z#}c`ZX8aqx2M32MdpcLo>(mMUhMeZ z_Uyeq`+Kp;-=0{vdq$4%y`GQ}^yD@EtQ^KQ;~t^DvIiVE1a}6PU1D|Rer8~_ z78BCvXVQT>yd^F-!9m&*l7d} z0thCP6Y`Y3I0M&bc>o-@%xbOc%M}y>VtZ45e_!9J>=S=+X)`(r&g-^4wJVk@I)pq~ zXfZyjpj>1%e52|gksF+B9o?Shcza1KTCPUS-knYo(W`u(k6(3?ZB!z+(;{mI7XK>J zxY?U9@VvoAuVl#6X1{mkMr}H=2-zsZpMS!i)KbmRTXNRI&wZS?f4Ta zYgBm6Av6}e+Rj~;RIXERaneTT_%636Z#nR>7~8Z`ZU5qRK-I1Vm9_8GF!h&Tx^1%o8xyJ0)^!Em zPO)qAe}J>G*9*edAPJ(6PwZ49fqun9Vx}8;(e*q37-g(!X1y1~?xl3-q3;4mgie{) z6^@VG6q+3@mU}-aFW-7|#-3*7HY%lgN!STl&Q`JWhNcpHL;oTM6&Ywc{vhhs+&uLL z=3cl~IT(mbTR)P#un%y%_@4Q%En_4ns?5Nv_t^ z0v#mvqLp7e{0r*ot~YsJgDJM$4*EB73YNt+Mq&G1LM6G1*4q}GCzMav^cvi#TLyk ziYue~ZJRp378z1iJQ(>^j-nE{?DZmZU3HFC2

WT`Y@pa_x{~czz!uB4B@=WO4Rp z9ow!=U&BhCNueD0gsD~(OIgTPsY7=*o`df6Clz_)zoV8(H<`K>OYkH-JxpD~Y!U?E zIDwz^bfXNjRUuRh#1^gAyHUTG)yc+CLKopTT>{Zjj$FL7!wGb!U?P5cDhKdH!-nfX zf}dQva)Wn!T_r!tACDQ3jfA^4AZiMpR3Fft*=;?)+R=laE69eYt*75w?MBVuhyqp# zfh7rkamsiD%1K;uaejic&3(n$K(pC_+b2>7&34tFAAudfKZv$6FdtMNI;$}Bay_)L zCPs6>mZg69bHQ~CWx~^{{=d3oMF8}OQ0RDAX@mM zcZ{qM&kop3f_`_l2S~i!)2pxnSpIrv(31FT{Nf0cK7Nr8i0Kpbl@3qK{=Wli>m$1Y zVyv%@&L+q960{((k~tfONCN?$GBf$)F8eLrHTgO?7xHCv@`#40|3Svo-5Ca*WPn#-H%x`f3_a=;hVbu<@=N&#YbJF$saxMuJ(fUu-C#`7s^>PJZ)X zxQ2gf>BsjQPbe8C+c@2-W7;WQsK=oBo9M2E8*C4L+7H zDOSmZvYQY&yL$i4E_}I&IAZ9Gy%kJ-cw;*I>)uyg-h253nQ0#87L1K?f!2&3Uje^j z?quW?CWEIoU>%?-W;2Xfkwc8xC0>q*hLIrdVxuqXLrHXM^#Rtebs&elp$E^-eR8k) zn}%k)>_?3cnmvO}vS8gqYKe;6A)%#5p(J^YlQ>zj`X$Jv3iWMWMrww{<)h_B52}Bb zl_pKVwUv3!Z=*T*9d>M!BRHpoc2S5ISJ z;y9b5Q@P;QjT6K>=;}DI4IO#avV;c;j|joPVG zNFk^z2F*Zm@4l*{iiFr&QuUK1@*x~0NETYz@PzCdRf_2nxRZZoScr;h@%}5inQY@H z9g5)stUG7ndu27HA#}E)OuF+B3DKuM9%TsAMDK1;9_$zQt1URlqCHNh)OM^V zU*FLcOr`v&>HHZ8w_5Iw=HMR3XSVy-OJjD1Ue|y-zdqLg7C3>hacbf&{&)vy-uww zYFP*2%XtQ;BlSYodG!q%el@F}JRo0~2du{JAiOxS!l}X^gF-T3AnujXh_0hLwZ;9J zqJ-NpSt}I5soQ~b;6vk1NbcBXL@K^SB-SO0h=lifoc?y{%Lz7!3>AE;R1@|Wv#kfO z^}q1!epa3MY!phSl}m(>$aLz#>*PCB=w(K1pq2*#3n1UC;;V8|R6Di}F^H5j8eU?F zM_@&>LV^l5u3h4Bm6$cbTI*^3!K^|mSA!g%d8-qIw6dK*6=9g}i_rLM4Uk5*h$&sW zQFGM(bKt(Zw3_5(q;~&51Jc#YJuL13I#ooY3^V5kTc1K;nR>}PaV}rs7<%Ui0q}8C)rp@`g!pMg9{cu$4e6l&z~HIXGn>mqwS%OF_4T7 zKH7AJB>Ru-m+H*1euSFL)3^sj*>-@J~}OFu0o z{{AG;&{R0X!UfbeE@}s0%f-5(1`}!CHKdWcm{9%Nn2N|38Ws6mzwt{~Uf;5ReKr|6 zVSzkN#3}{M2Q4kO1sIOAV|J3s>E!`$v`IVEyt!U~rPN7&I@!$1qy0?A<8yTTJEHeO z=26EaGWxDgDUW~ps@sQTKwt#t<&mVfu@odC+tq|4WVG*NbCZM9>tVS5=S#U0jPJfO zZ0AJJU_Xf*3|=M!15&E8^L9h3gT1rb>17D(y8m_h)?dcP31qW^{8BJ!$!Z{Pz`@x+ z@tK5UPIRxX%*AR^%>g8!=#SR6@S$h+9_jCN(8;G66Yp0@KxbBd9O%F}I_4TZJo)zGq> z-ubQ?o2lT2My=0G$2Xms>zd`_+5DC36kcJ>B!cpeBA$qPpw0 z;ZJy*RSLnsplM7aw^0;r30*lG?g|Zl`LG?qH(0OOLpXRo6yydaLs^bQVVme-pw5R_ zBrYmQ9D=pS!N}9Yz_X#C1YFda1Z1P*AvTBv;TMbWA6TbT+iJP|fZ#XlM8;5~Kz^wE z+)E9yJs|!ar_n;h*jUgq^bIss6H!rotUtjHi4VjQV{{W_lJ zaTmx^$aEiH^TjHXZap9FzC%>STy??R+w_d&vo@O0$wVn(T+?Zs?zn?;s!*H%wf16B zskV!GX&4GEzNy#xuGi^NqL9s_{^T|{1F913s~=`FygLDjNk0bnvfNjbIM4FhxgYzn zotF{^#UO?_ zo@NQ#VbhpTyc8mA_>nI&Uo4LRKjB@ylx=2SWk{#+bxuStn;dTA=mWKy~{9UY$^k!o;cME2=~Dkw5-u*9HRBt^X} znPGnVJUgw>VQwNN&R&I+sp+i_+sNfh=u}R7tulpJ+~C_f&E_$3=j>c~fGR2JoA}NK zNfCoswkJZmBuR7v_OKl6Y!;E&r2lxSun$xx?fZvJ@kaXmlnIEIJ?zYXb|SKP0NkK= zJ44R0SE6M)Iqiy5PW*f1_6^=$2RKe=)bswa)24q4-V3 z^im00U$P%nG`po$MNueCedVVqFc)&OD~Wh1`xsuvENH--Ltz07LTBBn;-599tn>@X z5@Kg}o|@G|O@6_f8b?0m^3!#?6T;GEJY3Zwmcn+H!He<@JygZyqZza+QmC`itqEP` z%{>0F$lJ?IweOW+(zNn%%3by5(GnMZ7rJ9c_aR{M zr@PTr4}+%KQ`h9WV~?t;C_R%uypB|@h7!9)R`|*>j^3Zh_T<+J z?KUsgyO`-*2;}9k5@sV^mXg+&eRNI>9+rPsmwu&lIlBI$YW6zVLXu1#oLApAmrk>A zdF6b{yEFg|_4fUc5L)$rSE(4FU_&&g=g(zj{M0A`=kc!^GoOr_0@*~NAeI%L3hf2M z_vZaaJ8#QA4i(^+@RiH<5sfBg*j~xpsTy11Ew%&HY3ISft9;{}j~NBR%BX@;k>kX- zCXE$mYNPn1^xn^D9TE&68!F#v-d7dzIz&mRj~a@*$_4fctITWNH6urpZ3Yv%#0+~# zou2*t;XW>w8n88xdew!DchqV^TB(k5M>oGRu0A&O9BoJ1z$rfp!}ysuI!&xpWYbydkaw}1)S>S@=}(V@Os zb+|c36}8y+cQ{GQ!L)zgl;P~j=p*kcX;PdFY| zyIz3lkg~`s3S-VK{Tac=M3Q@J!J+$`^4b&J;jQJewuM8TQ)*@%6xTFs_8<0njt&hm z$K>P^HC0U3g2~O3zU0Pa`@HO@qx@OZugp3gb{K3bEWU7kW$bnz%&hM>WNpvi;cdfZ zbQ*UlSSb58W*?PyJyC9nq`%~)vBo>KCmi@>q0I1d_Dnsejd0OI#Z2PMmttZ#RsW+o zU82wf{b=&zJv+n+Q((g(?|K)_NxCh!hj$k(yz6CPgQLG!oFjrEwY$oFypB1ljK>w&tJS(YWmG+!SY{!!k+;>C{TU>t?fqE&#j7YgW>=Y)ep zml?{}d$BaX0QAFSn}1p|(yW6g4v(;|A@UX{N&OTi@5M}|xvZSAp`XRTBp24^O&l;N zvu(}xVg|9L>XUEzyXD*pVh+~)DrcU*9o*NA=?8ah8DUA?8u$JN(RZllKs*BT84A_9 zRX~NvuQo+{MC4C;84k8r5$`+M#`VoWDG|Ys{yeF9N;6X}9){I}uB+VGu^hIFhn*db zZI(useBJnBuWSR&R47t8bz!#|lkQKGb?|CR?FY0KA^=`;H#TdYq0Mz$e z%oBuItt3sd+uh`^b6j{s07cv8{{H$HzJrTd&x10`&HyzOH3=3Wq9_Yb14qY<cV1+^sA`_Dwy-pxD^$EYxf?%_VibK+t}|3 zOk4ZlCB)Kb%xtq?(0-xKr@I#tAwg;F3n@rT==2JZWCNL8*oBoeM+tt#(C~|3IR!+p z)$!AS1XHj>djoDJArw0r>wFdm^5W-f#14Ls>K|zG|EWUQE>Z?ky&?~BdY%SAtVlFI zuQvTv{uI@`;Ui3#<_Y`z+9W>7;p@if3zX;t%IYgv3R8dkcG2*)gKnS#zh7_kR)bB2%sHlH7sxGKVNiVkq7xToLl4{72%W@ZOQ8IID9jc+*+HRTbh>a# z_>nqigOd3o9O~&BW4azV4!r}eUj!sx4nrx8jVvLVn0B}$VlM1JErg)*aI&&<-#%D2 zlBU!Ndyz z@a3H?=o$TXo;~RX^6%U_NAS^L>n12y`F@s**mGP|$WGI5%%zPqVAfJg7B~TLx!#3= zryw~v+c^vv8p>cN6_k}(Z}ImJ*jwfv$>s#?{v8j-rB^$folYT`58Z;;CtB*MI??>S z14|=Z9mvYhG6yn5Pa2ka45Dhly^^NVHswu=s026p)z4KwL3|S=1HXiMqOfRO(7AlN zThzeOHm<_Z+Mm1Sc>*wVnROzGAPRDNrYK9bVg3EH^SbtilSEMPsZRF}B!s?~^Q|Z1 zhbi=eq#b$``;A0bb4gVmlShuYW z$;Rd(_%S%`(^PglGv7p5h>4WMWN|0yGuJ~&%Y@atB`MF+^J0RW8$&rzm90joxgbN` z5q@`eq0_PQ*Y3YW!4rM$2fZ}P`{87Aod-6EqDl5VdH&6ykZ(^AICn|zDP+UQ90ROW z6EPyM6n153K1CTK2fF(~oIZ#>!wtTh;q6a2OU4b72Q?Na^(Y~y+#cv$K~13yoy(b< zlFLD+P)cTLw9OjHvIZc%H^GM-hL&pK+oS|A)F$7x@+4uMx^2+MDd1hE56D(zn^_E9 zhe>Bh_CQBno&oM=6GxvE149sbaca6rYMLB1JV#p*HK6v*5tEvcyhNMl|lQ>^L_XBdUl(^>%uv~=^#0slkt#+51 z{$S#@?^aZ?I+o%j1i#zKHF*KDWlMb<*)3h(@{{=;v&Z2|{!vl307*Nk|8IWC27`&q z=x6eqe{VP0W%T&<5{TFf)MI<2>YLxm_)9o+9JPi<4PX;t{lek=P4e73+$|$S5TA3$ zBbTX=!?zYL-D?T$D=}@%0S)YafOAKuQ{eVI^%{Dpyt35?tnXA)6BO|xA>c`B+0mDb zzl25W%ea~yG7iZ@AxAIW*7{=#=u9THiEH)*m?3vy_Rw!+Z!-)krn@W?*n9Bv^i?f5 z5F>Y@|G{fwgWEoShin&zH zZq@c$@hoS%Jyi`pq?jMbkle&JhOIkP@9eX}1!K0`?NZ8HL|l7L)$}0u6~(n&UA^qj zKI$cHL7qKbNPI-2a*m^te3qOf@V&?TmLy5e_~uxiZO3Z{E3A$!2X6DJ+T8t9Nj{>R zN<0Bm4fCJRv<~{{26U`gX!v8aqA#gE*ZvTzfdc#vTH&Sa1(qx3fii$F6xCW`Iej(z zkPEeAw%gyVHh&$mTi!N};wOm5x8-gBCgfGr6~20BfOXb=7@ZURrl_KXT^31<`Cntk zP8*Myz|+@Yn#`ip7X$6%b(&8K{z)x%`&I(Q)WOd6-dAi55#H3|!9i}(G6ZfZnE2@# zFrS0g4U6xc^&$D69qn`_QR~Wq`OB`7yEiXkojz&XYL`;MPepOIzfu@teF!b*F_sr8yMe5@YpT>B#`6rxe0en7}@N?~@$JCj0 z*WP8)3hQ4VVG8cQ{x83yrg`7swz%pOjSMB1_^MRxl{P%Sb$B7od+1`7#EQ4gORSLS zMt;k_Hq;ao#7WvYyjLd)Is9(vH4Y1t4taGVyO2XhLt(cWYT@tte z3}!3=inr90I-l><)jI3J-W)Q;@hom|RQ0#HQ?j@I7QPEIL?2e$hkg~p`f{V?t|jxB zURbh=6uqzBSDENIPL;uwF-yCkEq&TMn5Dm`cAvYThXiR3n2-FO0G_*?g7e+G+4o`K zxN4O;gYtxyxy;~HdP`Tuml(B0hbp{PO^!pcfgc)>gF5g?&QEwNa|%S?00Bbl5G#+x^$pg_^^N;%M??u5@k%R zx{@zO%A;9}%eldW<(W2n&VED|UzV=xpP9&ox0?#}M$!O=KVBxouh(Eo{q!f_Uw*zK zG~$2FYZse0?!Ibc@r;Sa|gJEb%f&moaBS z>(;Df_4T&Sfeuh5$*G|u_Q-SQh9Y1(C)h0sPz$)tdIR(aoy*3eAee1G@|M;#fvPs$ zD_~vuT^rBm$_}wCW`S!*d*xZ^zi6L8?;roG1U6=ni;7Fc_t@Bb7=iGGrj^&u{vpp0 z$!_tHS&b%TsxhO`Bf=wP*=pReAv&Aovz5% zZiEkclEGA02jtc~wSi}~73K9v=DvMRBWxXG*6<<7tU%NRt&{7`QI73To%nPG{w)%t zId8;{Og)P)5&GJ_eKzEfQi1vUa<-^3;#-~hj_<+qKjb>`Nd#tECIf^n)KY!Tw8Lij zFmNz&Fv8Ps%tR$ktk(@rfdM%mjOIP5VpD+>J_;bizC7VL(D5x+ko@VN`z`0zhz<5pbM zoh0Pqib}gnW$~E!6hG@iYbV6z0UwTDQIE)Px20;fuAIPq3IxIvvcF}u9p+WwK3+;F z=vQ5?PV5eVB2`@mpdLC$WQ5wn#gj%i2Zs%(dM`MA z)AtKdw#GjJ;U7qI{Ka3&V}G0pVf~o_1!PXb(wHt!6gw|O|GGDe9k&M2|Ng=8^iwiw z5y*zQikenP`P&hL_eFAPk4glq!TgOlO%1p(UV-?gJv@wkmbnowL)P)iL>q;x9J=K@ zc(5**9+-^r`0=IFXo1m=_E?ia*Rxv_|sKcrurYDz`2Imq~Z&UcgAn6)Q*6cO6PB zhZMTJzjFApwJ$g+>|w@JfoR)|ZwdXZ$UFGrh93e6ySB)5D2Cm}g#Qzu>LT-&knGRA znuJJ>gHDeS>6Bf2HBPclr|qJ}obIgl@Jz(^02{&|#ksGDEq{}}7x=pp{r=C&t6K)w zovEPt=Xp*9o{VANnYCYiAra)xt_ObmZnxC1z1%fHb8bW=*vyavefe_Km4(7zVe~no zf-yPa&77TN(WD2Rf`!}pT#qt)NYc;cD@f_yM{&kh+O_ZAe4w;baqY6fC)9i{3irNX zN!Pv>Zx6I$i|$u9dU@zmeHi?#o%D1dv=r&&^Kfiv+e<*LnKIhfEA8teDV#Lo>=yS6 z3gu^zl4N=cJch;FGM$Zn&Nq_qbrw`P4iwx~NsJCM* z%Oz@;HCJ4hF!TDc{nTv;rlJm0#_-6Xv_jgWIfrcPX ziG;0D2{R5Gtw6sp(VvVjWaFPPqr&)(!>Knq-r)g*vp+7?3;fj8ZV#)|+q)^vUeeYK zF=!@lwQ#pSI+D581?mh>IfeJzNBHGWINw}R?JyTzq>}{4=gmN(HrioWlz?A`%E8JX}1+rk}4z`7MeIV~iN7C4-H?uiZDX z%JI}plha{Yh!~ue6B(u#xAw|QJktz?IKX|4iidYJS#Y8{HhU?C7mYU-4r^bkh3$+W&Z_T(-1I%5~B(dd1qGAL22hPEh zPXUL!q%xnA7XVX}V(>GZvpoIeDsF}itl<4=RxH;4p|_r_hS}?93R^Ebebx+#$ZM5V zlM3GHign(sXRQTU-K>wV@+v&V<$Y3FU(=w<`ko8FH8=93gYC-~v1E^9KmPe1*+8qm zi}(0^{PXp$>rmG(QLoOkv4F8?@_fS=E3#`DVR>AA8nQD`;J|h8Fr%d=cjyR<)|{nw zNQoF5AL3Nbto zEIL(%PRkmMawI8#{DI}Q)adRDR!!ca#-xQ;nex1HVI{moOVwB0uZ$NoJ$}ar$r6s7 zQ&YHWNoHyw8k3-UFtH!oJ^Fkdjt(S&t|6?>KjltgPcFE`;De9Zt&dhQM9HQ7-yPM;%u-s@m< od~r+imVc~1cFbYylV-xF#a?LMIr@UL-|ENPo~>ia|GwA%18guEa{vGU literal 15516 zcmZv@cQ9OE_&=@$iJC;OD+Cd}_a#IMLG$&&*anIAvBRo933Nrk=(ev(7zLN$v9-j8DF6<&= zg2I9#?8@HuPS)(AVxsKAl0qV)LZZSVV(hv$9zOP;Y}nsheR5KQNxKzDQghH9fn-UoE;wQDch-~JEI26dK z8EhC*v_&Y@zgr34ryOQ_yMjS7etP%u-N&=h+{u>^5hjVK-0VlX@u4~o($)jD88j3w^P-|fwq*+TGe+OC>$jze^DS>kOP&B@7f%uUlffgwRzC3 zhuS>>TGOqo+zBVT^`1NNk#3#yPB<`#Xavj_CJ~zP>rh=(zfqVN;y@}1Mb8i}{|AT_ zOX-cJ1^>~qU?{Qs(`-F%>8bty-?rx=Kji*_EDvI52-AJ@+2)~)GB))&6d4QM4Z6c! z(e^I^uWdHv=7_6oLL*3I{dxVfGzHNZ zZn$Zg{Z4bTaCx7UAK^vT38dW{XP=vC$IObn_zAze}w1u8KB z2QwprAICfd;#i}(&-VnC{w9&6IaHQ8=82djK)(O7m4BKq&I?3wjrp zg7V@?i2~*W$Y6A8%l&3SYM=ao0`~o^Am23i#+6K4d8s2!v8;HXoSd7|F_plF8UbHZ zXjhD}vT6=KVWyrDFKvw3BZ|hAHC!G`y7nv&h%|akBS9qCU>MvLHF;NHRP**yHtL z*Wrqu#qZU6fcgP!S73PoyJ`(cu;cZ>nFgWs-{PFF86YO>qwt$F&NkU~W45+0d=JiD zk5LgD-4$Qce+c@DesSb`iC>vTq%w>|aR9jL5xwUKkC15cfRD8D!9+8CzG1G{e!$Ko zvprYw-T0okKW4FUv4wGa4AaX5!Huj1lB@1M#Al(jT%feuF#W`otrPK^69*8+0aBcY z0-UQ*<=tD=JbeG#fpS&fC)@ltvRG)<74#SR!k`14x2L&}s8AGZn(98C#f2;38mi#v zp=eeic-=t(L6I;NAbRU-*wl}BSS-}fe25`kz$w8j)_6K`A5Oux;_v?;{_Yokn;Vcj zz4a^dE>foItH-7UQ(?J#&}jFa*}s5qZ2g&fE_MDBo`&mrMdmd$FD*`D;VRN)p-{VsH&^q*MP<3bQ?Wy4w-Jy z{%H#q+ZOEDu;35hZGkGxA3x!H*Bbd($95A(UKj^kv|kvcKL|l-{VVkdd0gYTwm-P~ zWw7~`DAwx>%i^kg*PI=b9E7bf{p5Rh;(IUu(q6wLjShhR%J#Y7GNz4;Y6U6}5oM7CN{&Uu`g|UP1dUPjsi)=4>-qyX8b|dIs=yl(IVOMU#+8}yQSkdIe zfNwxHk^avbQEg2!rF8fjfpFhfy>@fUQ3)%juc3OxSHrtv5|cKJX~mhAZvc3&DSkhh zq|#4n`OBTE(pQ#ixbGhSu)#4a5V3tu$+#@_^{a!Fx4Xt7N3sZl$+T7jC4lWz59Y%(cZK-G99@WKv#$O^i%To&c_eU+MJ6Q@F1pZq( z?nbA2U{J<3Q^`Mk^)ta&4dAaEbFR(UDaGuSOmffn_T)2-<+t87HrrECUu%l6C?vPA z_~7j8Oo6h;4dJT@Ej1{o8uArfNMSlGVptgG*dEBniNH> zI4iu=CDFw>TD!OIhwz@mN}ByC$(!vR;}#|@m7PY0=?(R$GCldGI= zqGjdSnX|eK?aLo_nVwy90`xzQZ5Z>54|}{`IcUj+$1}nHTIK(4@MI`iIAVF_5c(gq zc?ZqO@Nr@a52Jk_7iGfVYTpfN@za{81%viH(z=^7a1HQ6PE~y&tBgcb!pYn0&j|xDXY;>!L z0^PnZeb~|&cH&I*j@r6U%a-xOEGd|O??&VA9KJ_(J%=8_QeV&05k;B2RYvVtSi0j^ zX7*;Yp13l)S8X%*%K6>}7Jqg2E0*=T97vqL8I;R4TS-nR9ZaI~S1!wyTI}sP8usyr zP@av>Z!ttE#IId3z0zTIETN!R(jEO9&%*1xw$JYWE}HWh-5Ur;>ftT&6O@9w()qEBUD)y$%8 z737>H$7tK3I*JOdVl!Fh&uv9+iW;(+e_v6+zm3o;wEN?w?KrGhLiM)o%kx}5)N8I5 zOO~sHYr2*-8Q94iS9TR4bM0X}_k3$xr`Qz%Hhz7~r5mK$+fKPMx~}PP{{g2JpUO-U zVT0$UW~-L*Jd_Xhje9dcuUnV6Ym7P;4|6^(3RMLP8puQ|xv<5nD>$D28s^xjTFl7J z8<+a9nT?>uCJQEjPHPi#smMg?hODZj+J>k+&lYt^a3@L1gKAd3_4iU;`>8 zE<6>oZ>BGEJfLKgSJKg++=wGnK!Kf~%|HoX8s(e`BHs(m(e9fQKGhFn0%LZY9TTFM zkpq{l19;j7#h~cm2>Juk0{a0s6f-zuuNh~ek^_}eqM?dmo?~g;eks&h37!)C=&*vS z!2enr#ROJ6Yz7zPyu-=7pZSMmo~s&3R!i4&=u$%#gyb4zde0G2^t_d!VpJE?mLrbn zzBO=_zf1w;_>Jv`zP-M{d#k7aOb>b$T#-`>1S-)u5Nng{+$cKFloRpIg_8`HMkUcB zk>5X>i7CxAeekDtSTz;+P+iHwUiRDoY6AOR=0t_Q#Lw;T8%Bl9Mk78*^Ub9b|EF~_ z-!c2X97gd_pVn~;{S4niA%M@E%%I$m;-@|>-)enrLg$F6HqP!#v3qrY(R06*HdaKJ zb-UA-^3o4iE13#t8SM^{|7>m$a?87B1%Up1Y`6Sx(q9|H?-_@LjDsKIIm*dB@6#B0xKqouO`m1-L z**$(qeVpixu?ufKG&8z z`qP!pDfAnEa&&iq>5I2oZitn4(%GxGh%L$Mmc*jbXIlx{QO~*FjHt`h*U~gBX&E2Z z$G0Z&2-)b`@m>Y^2l4PC3f^r|6sp4l{5$fCT_3E>n%*$WCT{`PrR=Mv9yoYU@!JI1 z@qQS!mFy5KUF=Q#MeR~q`Kqrh(f6BMoZga@_{|rcZ>#9@AGEYDD30A8H{~Zk31Rk| zd4TOXP;X9DO$;M$SXbL?ZQUy|EX%Pho$X$f!9z~pD}qw+y!9oO|0iI@>f%cZcK=s# zwWJWN6V3{1XLrEC}cqU^GBvQ=-X*DUIav5VHLhZ6Sp&Qp zJZ3jsH{{A!p4(Dt@$|GB0-N*!f?dH0VHWKcK2qRwx46|lDzG{z8~1bH!?$ceFc_Ua z1#$nT)#4*{+YZ>jX*R#@QP<1zE!JccY+0@_HQV2k`q;koCmp7~df8puXrK^XU@RnL z%z@06=I?9nf9xzI6od50n<|)JK`uCdrXNWzzO{IGgbU+x!0o)gO)kBq z|J`)kDCB;FtKxFOS(vR~;*#zjMt(=!dUvZxP@xXA0m)dN=Yq3XoWFW6HW+$zgd5Su z6*%D3lbddZjMgtZ{2~`|xpzur{{bJ?FTux;8#|x{qz8WL^*BXvfm<$3o4VEa3YRP`mS-QAnG{P{uZZ;+!dhRo09m@mfC)AtClBJOw`9e;(S>|pUw6`W2VSCD z&d!2jx~L4H$sM_XR1I!)6@co7Z+|;!381jmbC|46 z0gqcek>XDJBfp2_e3=a)>tG(~;7Lb@KbxTSC$8O}j$?^mmhtwQebNo>T@Hasv$ZS@ zCId}}_kU}@Xo%ZCz!ysnPXsIk|4g7%OOeiBVo+3^BjNkyR=-~!5Cx%k`<}I~TRO-c zXAx0jB9Da%u#Ky`@x4lu^p_7;)fpAvor!xD*WuNY=FA2j@;Wog|GTt7PY?b#TU^!k zHV)#EztPd)hj~;D%*v*bN;yUA=SU7dSBa~BRG2|kvtoC$D=5SAl|l`>*QZvH6k?}s2A98 z2)Mr^V`O*2das;Zsm{ghR#B{#+YsLOxC|qZn9|#-b3MDb*edSul;4?U7Fr|HXxBWC zDy+QBsL|=oJGNDw`}#VNA2u$rK5B@RotMn7L3dZi=sYQ(6cwPLznkeBSL3XM%Vpze zt4_!(xV7*D3dGau)&utZ?2I0^4qA8ldGno7h28z(5h|@ov~fw22?p{;6GCc+Uj28w zjm@aZBnV;qgTXkvW4bQwLX~wrrFtFz>#;A-hjEAJuBzvKjYCm9#|8#7ep%JI+YfUJ z5wA5xYNw7$>z1Q-Mxr`e@0CXgeXN!n0h}Au(cStPArkKFAUW#bHoLz)8*&2flh4LI z&PWe{47Jgc@?)MQ^$GXq;W5BUzNr!Zw$clFFxvAd1{J|@`tf_~7A9JVgW9?DJ$;9% zo0gBAo@i;#Y}4R~H%hEp7?(3NMwqsa|hBErbENte>g=oaBhR;8%eNjvGyf8jHrg%Px{m!*0f01GOJC({TzG9J z>{x&ZlE-|wqOM{lX-| zlM7Q8tz5#V2t4IRkKh7-bTK(hol(rQkg8Z}10L>ox{M@W7SMm&e`LnQ>AMR0O{0UI zSpe!lt}q1AtJ94W=4JGh4aO$Ahgtlx+s>B_EF;Op`e4N+SRT1?@_+*E>V0X?2IhO9 z`cV2xEIe5<_5ZZy7Jl91huP96`m_gIXQ0!+zj5LyL7Y(uQpDE0B-Ml=P;aEn=g(ai z(XFpAYZ@0DlY0~!lZ&k2xN%2@i>G>d@s_S`=wM8X`0#DeLRWIKK>O*gu{jWS4>D2E z_75*!;AETl_7;6G(wc*h8)qIiagaus%sffjdi?+Xb}M=F1Mq?%=>7Oe+-w;O4|fa< z_TcZ%WiY13d#`c>mZ~ryWFE2Ie^ATRpC{Ff7>u*fa_q!Who1~M8v2<19Vls0aDcAW zl7crXcTT4m{#(@d#+EMOnV-WEXe2Fi$Jek9Rj2)ip(9x96P}x5@Phl1*+7*>u2f&E zJXoQ|3KL-4<98v882{m0BmwVOS{ok_DocGXP+E4~$725PlS_Crs~XwpksHrHEBW9c z{o5-h)mT+;(lEq_vtmSl_2Qx)(@X33Da#_FlI%r5kEr{<~Jdwe6F#m{ZreL|N16 zmvNnTea^!NmAO2ad}Ean5OqxpH_M7kn?wEe4oU#{V&8ynVsxQrX#<&R%4>*a@7L&g zApP5M3qw#*?oLmo(={>8);|`Kd2KTvxtTKf!@;wXyxKNavrSGctcBfq+i{U)I{kYIEdi#%5 z_r|KB-+Q4q-`AokK2e{3f$a05cdvG7kTXNkKhPEiE3?`-4?VuP0;pEv4L*;dO*vv{ z@9I%_l`Fpk+I&1k>biLP{N@30i``P|GSg)$IH~a&669t2zMB7E*Dx8BRi?f1#^7Gl zJgvyweppcc*EqP157sAFtFjG62kzOuvS##Z<30=uOYyfIp1z)k9j>C5Eq)pIK_ zFEp~_>beH3;07)lm!ih@zs@JyHl%X9?lfFi`PQ*U7}pYe>5V=eTHDxJ)meGv=AAix zZm&7+Dt@{~=zZR!o3S)QrJ?mtkN-RZjI{J|Iak?7(?(`VJ+QsRP#4+h%B^SCw)`Fvm*K_si`2SEV(dX0PI4;_IhSR@p~NbqLEk%3-4LIbl?of z%MiusZ|W)!3>+^uny_htQ$jbw8|cS2dT>=@7=+B+=)~&AIWPjqFF=1w>t~O9)$wvc z2RK;Yewd|rJGvF$;p%(A1CO_3vVy34Y29dEZ5Wo@7r1Sj$A{>mPKG=8NIcxo2f}y3 z&HU9cIg4W3bHbt@Tkun`7BQeB$d#B9*D=;c=OFR$HFz1`(6^*YjoRr$HhW3 zxnf$J!!&Y+?ZqWV=cTFx0lPN|0^5@!YU=%D2Tc1$G)I0MX!;$H2#$#9?RVA17PN3r zC&FHqU@U};_of)T4OX`l{l4zT#>nN9D=4zPww8RNbsxs}>B!i86-4?*V_94KaC$=l z30@MgG(b`<+&yN(dhuKBC6@F3>DstUOMHI)dRV;SX;VWhnmmhW^cFaMir7*z`;r1a zv-g(oYt{O_9xFSzt(t11vml=G>+OVaPnVXUiP;H%IXO7E) ztu&?37+eY72IfWabJ1bEcg0;(wnx8@;Z&-}T+>NkT(rh2_ejpu;=y9BTHY}l4^HFu68Qq3!f+Ag zM5BXbWwC{=z&!aR$scX1{@b!*KZU$_#*^B^d)QXtk{!<}+?Z=+VfS_>*>b?Ri%= z*1RI*EA*mr;Vw0I#dW7z3Cl|#Pcr+2?> zG4wB^p`g`*N>JrtdTWAI604!emezrx52wW0qIKwumw?T{)KAK% zuNPuBHEdQlhl3qDYMA$wwjh&cSElVaW#6;H8p!&vrqxuG<6iL(FUtkU+}+Fqi6 z$sx1ZLP;c>jnq_#&FaK|Fj7yxY`n_XX5dFa;_@4k-?zQT!(#C3&WPzydAk0|HOd0W|zqm$LQx%!s`|u=HwlP5QEoyUt zq3D)7kHRt5#B=>}YwgR;MN<%l7PXUrgZXeHTk4i{IiKhjfL(7|jfF<(7+lYl& zWGy2m`~koS;Da9d_8M|O1CGpRE3r7-IO;D$Jq~R1roA<)wM<3hcmselHzL}ADxSsJ z2{Vi%dOrLJZ}1fDWJUNrQvrSE#qVz{}CSDtc%amKRIUe1Utk24Tm#CYf4vNbLiQaI2(P>TcZlJ)GBa z-gtOoz|AKuAc^G?0#nW7v6=wKC7z<9(9leC4tWqD6Vp_yaq7!^mx!q*6z(Szf*mRa zBG6QkXhj;Rt1phhzh|UT4%ubJ%g>>YvI$N=8MvX`@_2f$p^)qzV1(s!g1#E!`KcOZja)+?JgjpXFA1A z-PwSwowj3Z^yjb;#|a!x7Hf{vWd*z9U=r|~yaRdQ`MX;_^jH5V*eam!7zzI7w#U=) z3{<5x?RUM?{b>m`&N(U-tSz$RT4mp&0{zk-sJST*jS zG-P!slcU7<5KKf77sL0rRq>wjA3YX+0h%nq7yQ7}i6rFXw9_W^$e9`B?fIYj2pQjQ z(e7W8@sKTA>A~kj(u_pCZQ3EscAOnnl4s-Nub`hK&kpQ3?W{f$kBH$?LNZ=|VH`B_ zPY=PgEDWZ~ahSPBOp9iIS^8d?1q2^CZQ|saWzMmTiMXPLK}8Yfs{uRComA)VHMNPN zgjcT%6Bh5qt?e1+dJa#PvhP{Fs37PigOfyYlYn1usz6+?-~iUO&O>HlIF+Z{vPtof zgeH7(mn?TcN?9jvJmr%=8=yn7X~3>}0?r1o5N;aS30a1-tqQgIf#{xjp!ww)mo^+- zo#`M%Yb@AOV$c|A4SGNl-cf{)gA;=~l-7_avgQ>aZlV)c#Ed@w`oz#2Y!JSK!xCdY zF`>_u;x};T6lg<9xMB%DBrJ~w-0^S|2@WI#Mwj#tY=HmZ<93NLj!dWiU+(+@S3;=8 z?)mi}H#<<9B05YWZd}=j5*!o?4$zobxQbMdZbFMGN{ zNJ`k%FU#HWrzu{o@&};(QxtkHf4|4gVpv6K*qyPPwi~58G`@p;*ly)Qv=5 zEu(9VYrmuhTpJ%=S|QTI+=XYGCx*no({wx%u^mP52)yj*a6XD0h?6rEP!v<98D_V2 zeDb~o$EGX7KB1|qG)jCu=gee%sA9gXydAc+8{=eS_D{SCWpaJradSYDPuUrFE78SIsHEsuxf4H((BF=HE{qg!i4S#{R-gC9Ns-NIRndNj~JG zlF|o50m_ubFJqx@VxprD-;!G9rm>5e>YM@)p?ySw^hGyw6Jd&Gk`9?A)ypXbUc@L~ z?s>PvYYF1e?V6M1bgd9=MrV8wbRH-JO_t84F!C?aG$u*;Lu+G|+z#b5wK>$04V5Yg zLL`@vLn+hIOrZNXM>RwKnAau&ihG_JpnEd#<<|eyfVj-+GCO?iP^n!PDV1vrF>a!IR@rR)4cM;D%V-wNzYFgHQp2U|91d>!-iROQV-U^kJy`bM%4^uz`1VAS5M!kc~*)TzY+DO4`%>UV| zq;MG-*ccU{iKWDlxsXBUA^`maQY=0tq0+}JUTbp|0s1DyyOl}h>f#v$lR=Jn5RsQ} zdJoY6q5aCvUTYv@W*MYl&N_sp;;tzAyZQrD9%hVLW2@ zAKjv%fqWbHfwP0QV{Un2T5O)r*T3~JQc3?nups(5q0{vFuAkd7Kv94z&QS!c-ypldH-n$S(zhk=$X{8> z?FyfJ+48c-%_Op{RZ-6TR=q6Ii@)+X;e>h_Vb(j#jCT^2HKS}0Y2vRp)*(or35F$> z8YNY(+^eKpaL?>zHKHCk;KG%bYMZvxo~G=qb{1N1OtETHqXu%%O|o=bO1->AFTb)( zmw0(gSa8eYK2~U(Ead}zO(-EP}^vk!=>DI zInRPq)?`uL#$B^2fJxZxuu{2s&%t^>XQhqhOax~d(17$JB`i#e2Gy)-x z7kn`G0rPJ1xQ*x})eNRNwW9fYI^FoNPRZz|)kbRIqMSG(dEnX_8=1T^G{khM{efin%bNO_HDS`xV#96o7DwCMGkdWTrW1q1M`8Hj)erpPk{hzfY59i^!hrz zf7%>_Ixgw>=PHD~s1G{KyV4QD1}Gi%SycTEM8Jl%g|KP#m+MvG$L1Ib+pt7Ch;^;jnsz$%+97`m z#m4}|-9xhD``zAl#Vvl8+qe-8G;ekUMW8ZHo{eOhwIRKB&n*pYe>|Zc7fno6NP0!0 z0m$rJ-K7)wp`|PPO>taw-B28HaXJ$RGCyVtGN051TSw5lJ22?nEzaxYynuOf~1Y%j;=eZuClA1F@Ht(_sXd%-0=K?g-Ufbpdn87%2V;6BG%l+A zTxqo43QqUzM8DO@A7^&Z(g8q@3jouP^Ak~$MPL{ErHvC(T)slTqzuBl3>M~i;a@bp zx~n7Kx~hMzZf+%7l;3_0Mqln#J*#Tm5eL6P6kREvPcScEo4K$(T44;^xEXsapNUCb zF*en2s4J--J;aVFIHb-JymBqK5na>?=xv%>cmTjoOHKv&CnGnt%B&@{6V2UlhgH)iap3(MR0fKzCo2$ylT9uj9nz z%ijGRoCjnpzE5$@xVpf;C7|3YFleA^+Q%bs2K~^dniV`u%*Ub;lY?wj*XFz=Yam)$ zPm1b(;xWI+VXupa!%}uU7>C1A{tqIsEbd&_-F|fiL070g$icMjtw*(!xy6{|KU!>$ z&QI}YY4r>{UI_4k!Q78l+QARiS2yz&e}ZS}I&oV%1qAX2;W{8{Pi3>wn}Db*G>u5{ zFc4QwBRTBuGxhqDRUtC|nN~<|gmit}JsjzpOEgAxkx5!=Tc8^k9fA94aCjPGhxRf4 zOjK+!%s}5ni$Xr$%!?C@VkqlzFF9#?BnV%)t6eYMwRw&|8(w%3U?4EZd&PR=^{ zhlp)>Zx%YCetG|=UuFmmrRk+;GgSMu$@=|Bu0l$OKh}aC`j8L%s<-SupDR6v8|yUj z6&%wa+^c9YxHtW6yr%YQ(cARonUQL`{?RcBpBlP@Yye;m9QzyZ`^4d+jh*Bdqjo&0 z<{Pqcb2>t)=J}PwZNwH;{iO@qLLJ_Z8=wY$$88Y@1*@TL0h`83zEyVgO*`kiZ%%1> z=)r%)$c|(>LA@7RN0uIT&agr%tR-?Cc_r1wRd!}q<|_pAQssfe2R_WU_UkU*uPArV zzV-Nd&7fmGY0efOa=vtaN{%Vh1dprl>usI6{T8lhV~bVfA2}TWd$=hq3V`0J7dv@{ zK^DSA6+XmMd|3fri2b6s1WeS=%2lleD?hRTf6bk3RUmmE>qt9x=d-hzS_gm*$-zC1 zH6h{H{9f*+E5faHW_l28!&9qWIQGgzb+J3LJ7scsGF;?6a7m5|ihnhNges z^IMKcJkg$i_ulsR=XV22$qS@#iv01%OEu&ImoZ6t@O$+?&(1SA|9)s}aVa>@Z!?4p z8w(8i2%~C6je7|xb(Cl+WMNoh@O7v8Aes3lk6&DHVP zqVGJ|oK5|neKYZ+O?J_Z!QZ=oP55lTyvOPe4(}N(tECE$H?(8l*Djiyv+}LSKysqg zW`kageIU|3$w>MWBr`E-&w-*ycJxe_F4c4H`a9T9B0YN8ldGY1`R$UbsL`}e=KUmz z<-77Xv}9*Z+$nEnrn4m-bgr)Rw~C%KEBZYKxvxrw8H6J=X?zv;hBn2EY7dGB=NN0L z9mHZkR-+^%Y*|%SaU*jweE^ygMY)KyYO)0>^4vLU-uO8h|>H#xfn*O_BWyz67C4RU{LM$phxLLNQO?@83=x8aOc262qo z6Bhm-Ns%65Uuv%*#@P?$W%`N^?KkalR5H*(+>4>NMeOP0tzPHnf_0zdB$V{oesH@z zv~hRsV<9P0TyV^B$M;Zp@f{qU%n8whd+@@`ejT_*6OL=h2l@+2(#UYVG@Ax^kPnU` z?84*Edoe_+NzM@$lt)6`EdG2y5KOtAd6vo zvxQ8YO>0=9YgQ&Zjt3dLQSEgxzqjco7Y&)5_fBCk;tBpfA7Q2uJ@nVvCUD2rfkfuI zxro~G>l-j9>5bky?Qg)I*M2Dk@}~PSzxPuX&fKS4^dZUx-}Cz==ECN>2*rO1CB5`0 z53lLBIqwyr%^1cSWSXVav^n7kc*<{5=14@F$*T&Q!wI(}{zVr~sMSYi^?n9>l2#-S zNwB6kv`uiiJN&G0Z&Z%xb?OWqo)*|Ia?Q@GiQ?BLc=^f#(^$$MgceHIp{#m*ZCM7y z#6h|tR|C~|M@h6jrlt;xB1#7L=Q0h_qRNBo-rCh%Kj6UP53Bv<=H2e>%u8_i zx~@3|RRuTF2G4?9aAKqKdl;{dd-6N zx-)4Dy6;!~t&3LpeX#F672)v#G%Gkal_&#{6UqACNg;O8LfiaFftc*8fsjU1G*|V~ z+sZbQ0((~(JLWe}*pKSJdJ-m&nc^*RTE5Ck<47eTE*I+}P_Q)1H^nSCz(n~d;PiAu z@^tg>nj1bV;p6-3H$5q5ig^Wy>8p>i$y06Rck&^EZ_{#ph$-h~GJg|NtB^}PL*e$3 zv`EGe8`x^t>0}`ca(bxp$c}5WLhV^w{TN4d6+(c4HgEps;i-|0cwtsY%Q8Q(D$fI5 z-VFc83r^yT)=Kq6@AucDxmMp|_o21uvr~Ss_4b9~0wK_U)r?={OKN7w;Ukd5CheLO zcBvuvX=fHf0z6o47=F4|Uoa_-h)*DcM zttZbUlq>Jfg>}}`Tbr!h<;y`-wQVT|O`d)qL(Y6$AkD08pGI%3j;Z7(0mJU$BJxMm zkGjt)=(j6tPltk`hd9;bJ_v0vigm+Xw~f0yAIPOf+UU&*N7TlCnYU@X^f~xy0a!i1 ztoA1|vAdO@AWd;8sTa_4x^2;_t5={?`DD^fewjMu#Od|c*$N#5AFYtb=H=yfrocpC z*5-^|qmE6Ko~+xEuSqREb=|y1HvFBvsikuQk~~j)%UD)uZt$32#$WI8N&o8;H!c8^ zSAky%ckKZ5+4LQ0{XTy*j=V~-?HMm!rZbB-gBB1kk{qXA1UNK>h`kkB#6UIGY#tV$vNeE=d+PEH{Bb52atU(8UF6ii{U1(4Sl_k(AtFOlEg0y z*OmJD2E~+T&Y!G=YrcSmjhD?Rcty2r%$me6a+>@|s@5mc3tYGxZ_~oL4wMV2iqYQV_EyQxcTcuVf)#e`On!&`eqA;B z+qH$Z1rL=Sfzv67vAKA<^9(w-EasZ6I{mBD>V_{gHm-BwRbZkUq%hH{6UYo_IlH9= zo1Lm8aiBh{ng^OzdbLjt?B-|QRp5#Pu?J`VYLi5%B`nqog--ycXA+urdjhzCt+D{R zwDrY;P;+ZKs^8`(Ge|2n)FZ5Z)PdJ6e*xe%@@O^GdrYQoCbd4QtY9Yn8_XbX^QdS(A51Up+BjFnAHy z?z-_dZswLgab4_Uv@CJuX=ceHNz^fC3-JA(!B&tmV(6>xKv@#d%)Pb}#;ag~h-|SP zK{ZFM(z6CP#(h5Fk~SHw4&B2Dn%&e)3l4s|!LJ#TGl3cQJ0k1D>RB(a<+(+6D}x=H zIV-0Wn1l+W16p1w$9#ZJ+k04*{{{FzX!Ug+7{3;&6v2+dP& z1^u>;vYE|mJ!TK(TfY=!`OIWw?#39+;s98^sY-iE9k!UXuV_W{FZTl`=GecgqsYlU zWQvN+C(P+QU2U~IVi{U_6O^>dvo)r0@J(fEah_Q>&g zL0o>K2s|w6qDsyST(jQleQQ}TGlC<*!^7eH@V#{8)Ste1Sb2Htv#cMg;uhKi7ys}g z{=8jmu~qDkT%pH@v6<6U&&rl6LN9_)^5Lq-Z&i3 zH*2#LS7udKl|loazL^kzlq85s&VELT@QC%vhcqf!s1F#DIb}b8BbRhmO z;{0EV_d9jlx((aSrc{x-Wc3?S^sT6HikgOK3eyu2wRIN8D40t7`OY`MP3>!13OEMp ztRWnt`6lIWO@SKUH0eUaB#K8R z_Af~q4awJI%llfDdNxre=lGiC%Obt(_3$fC?8lR?ruaFlljk_}0!3zo(!?Y z9@%W8ZYC#sE^AdYJfp>F=25}4CkbOw*PU4Zg93<3{cGiH@b}N`D^;#lzQoBiV{!=8 diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index 9d28688c38ca1e29316cf78966f0d04586ffdd18..67524893191ca69520ec30e5ff116c3710ab5c8b 100755 GIT binary patch delta 3313 zcmZYBcR1UP76)*Y8mSR0Mj}*{R)nIqNL1BU)Sfj;joQ2Vqr)a*t5&E{qtuSo5v#PQ z(H1FQqe>0@(K~+TS0v5WHghdf1fBK9zQ5g_Ju7CWIWoW3i$5XLEUNy;owc~F2T#fQumk>TSO}l%9l>Iw5kFZ9iLXmfG+Dn7Hc2Aah4y5s%j?Y)f`v&j{~Ourb|=&k;6{o~&y$3zJ?|2QS9PVINKhMV!EHjdG3{p^y zEs)F0-PjoGz4N$TMJ9cBOtO|+{Tz^L6SDX?pPB(mFiOcdH+JAG;@}X$RZ!wBhfpqI zP#ZDS1Z=*y{ANxsgQdcxg>7jYUPCJ^uwwsmr8mdS!G)|u_Pc@_J^>T+@ubJ3cG8}4 zYEnZ{S-1*(k4lkIurR?wHJ)KnFtvw~8&hIuN{SV{62hgsJbO9?=hC)N-Rhj1M;GA1 z!kXvow&?_tMo(_j1+$Ua?AUJeDe@`u^0Ea1Os-L194QTpsA(u>bP~oGGmHHn;_^+_ z=F3sAsxrB5s|QW2N<6*@8$`aO)Q@h1h&FYuPu;pDeNFW@yta{49=jvlPZ37VI{u>_ zC(2;7c$a!iMBFP`Cg?dm%brw$5C501fa+F;0Vf-)9*H~SL7EYo@I82%1)23xmkTM3 zt@mXlHG&!c48Hxt!)?5iHfp&ArU59dtvx@NsT}YBCcC91b%D+YyXn|fgPDkie08xG zIY;Yey`cr=IDE8ffzQ`_6GFZ;iu1@7O-|j7}ry2S4b(Obx`;`-u zH$cBOTIMd@CjaE5m4iz^kHz7xyDP(Hta47)wFT6{>_(WPE2D^a)TQRC_|AM#4Q7mw zHQNpkVB-F0iN{?2!wo!UftNMg5RbwCL3i_!*whzivLNi7zbV74m70xXf@GAl3VF^{ z3fFc1xvtJ|;_b`DFAW7Wtz7(H@H^R{baOJ3EiYF2l(t&wth?AZx}jQ!6IvN4ePJtm zjE64ZyI@A)sxR*@wBf{l!=XM?ce(&AXWDz78QehRX9(TjsM>9m2?br~0umGEl;QxB zk0X`>jDnyKqVBG#CI|1r5Xm*F)JtN)ru;>|5sg0wZB6@sU3#(<#{Ny21G=gbRJ=4A zm+r=_#v!t*5;Y(Me(TV_^tqE%SQN(kWo0UMO7>#Xqlp_4rJ!ZYOfpx-=XfBtOoRo1n#$;z8%4*i|gh zWR*Ht8Ne($!oaA3D+)2R%dER$Xg+?%{5gD@OKNoipN=Z!J|)f|DaIfv(jX~xOVQkSl?xfe_=5EolT?+J0^;MHsKycl zsUQJKIK(LvqR{enjIGng@0(edT7%zQ%dqMPp9PQ9UsL0H4S{&qZ*tD^g@L|Fm#@q4 z@9G6z>z4*NDp;iFyp#Agzw{=DOWQ1fT9x{7);38P!3nfcwiEtemsCA+f;TYltKx+GlR)BKEx8)o9ePjc0qt#<>L z2H{KGdXZ1qLf63-YH|pc8FR9ba#CAPnm1@V1rJngvYJDPTl(%OyKYaVWUDJ_la{Xq zB}?z8o^w3A+KctH#7J8ARr8#yE65=|TeFbKJxHE7Chx;+V%a>>08Y;u%}cu;?LSN56HD``2`C9&)=;!ZkjUz>uki-5Zoq z0``8K%ycy=WpDFF^eH(Sjv12bVzN0dT^6*qSiOm7-dpX8{DI#wK`c(DkU@DLd z9UJBN8wI$^4ETLi_Wfw>mqOwU+MIm(z+zw3mP#x$?m28$C`U=Q(XwUW645uCPxwl_ zNYxF^Cn)z-`cIqfSEcuxM7v!9O6&5s)@6m(Wk_qEqWiMP)f|%{nDAdjHWtZ*d5(z} zT@{IQVK66-fZsD zcJ)?0mT8r01E7`ZF+*~;ks{r-t-rNEyg8d!)(pZ=MY;F37fvlGIz-?ho?+GE_jvL} z$mUK@{H58xMUCp+6|`0(IV1n4MMsKxd&F^0(_gwdZ;50F0)*XC=pOn#!IEB{@s`E(*H@{cHQli+Ss^ zwY7I^tqD$!t1oFC_7op`Q`uVF{e$T|TF-@U3a%8NvR%~&++U5u@y^;(R*C~T^ zP00E0g_?7mDgK@Xn}yQ_)2tVZWzMTjJ2^ir;i?Z@19C$ULFIvFN}dnwV#C&YBUN`K z+&;;@BO3{Ua*TpKgGq35kF+!- zRsD>n2MpD$%q%dYl$0Vc9Kc>bgTc4s6;{g_Hz?ydiw@--h>41A7x-}8)IH@x!T6}y z^SC1CY%>v!E%uj^kj;W0s(gwoUPDDb8(UfJ!d)63%M%^)X5%b85|%4&H#>@L=TB=M zf5<;QoCLHYHDH*&{tcOl1H=2c!wA|cPjrVj-46x7U6m@!1kjL=heGfEU h8_cu!LGaqS)TZRgSj)95ttK)qLe#zWQ|5mk^k0adJD30f delta 3091 zcmV+u4D9oy8pjxrQ-5$U00000004Um00000007+r00000002h}00000004La>{{K6 zY)28F^)r5gww1>gqbx zGkfF4jT>*RR4V_XRzF`M{5s+D&$$oobqu_lV(T@EtvmUUXr-}Isr-}V&p+orIFEtz z82Dd_fqzg=`#XJ*4?jSkjb5>_@kF;AYz~W!z0Dr_-tYOB#?#M@$}{Yz*4EQ!s>|8w za(1?yU0cqsFMnq@mb077*)7NZ5H06#w5@fD{nCq{bw^!dG%VGeE{^IVA7jEUvBU7khK{=!T)|hOQYpGjwX`>MnFc=Ofg*)S$<{*kGn40Gzq*PTJUYL|uuR5}6XI z5~97k)8SdVJ8jptT-$VQ!?ktS)?AypHg#=vm(#(hfQ2PlQ{|b6>L}4xqNPMr2{E07 z#dIRXbRxubBE)ne#PqU1D6rnLzvJ}v$@Pxo^ndkLUtjh0RbOBA^+_L0Cv|XXpHdGg z^kg%TjX>4|Sqo$q$TW~*F090U!boYwvoKMxcoqf<7SF;w7~{$!bWQ2i!EM>&@SB!{ zLh#77-68zI8D9wwBGgbcKlCvvD`HYs#H6fuh2#& z?SBOMv~B2?q2<)@SPEpEAfe^d2rZ{ZXftnW=B2P>Z^5=sAlreIy=5ih2`0r8Oo}I% z6i+ZIo?ucu!KCahy;*120BR4=mJU;U0BdlDXOlyh7OE$c38#;t+v*{OB8ClVtNHXy z4k%oe$~={Ns=AAsx$L4)c8akvgu0kdI)A#5ft73EE5HyBHUKaYMvqE4x{y#Gu8m41 zHv_pbD*2ohmy0cQuMenZFSaneH|$?3wy>J+aB>VOPKtQVck$vXJnIBMu%01J2+ z84TtSXR@3ez;0H78s7s{_8y>~_W)JA2dLdWK*jC>>U0lKoxcy$;c$L&|7bS3Hh*`x zrT9J6AFd`?YVrBg@p08tsi!he)jU=ARKrtEPqko*>;akL*=dB9$+j$WK@J$kKVBD4Nie1bbmqF5Vws3+orN)PDY`c3qxz`rIsQ3-m=a(7Z%}G+PMSn!{*A{r; zg2n@#`e0t1bH#sjcO_uftsSZu7=!SZ)IQr{X)yB{Ws*X^eF4j>R(r6C`p6O#>5UE8 zy>PV)zfLF(1BVLiJT5%`LOgNos0j4H(8VzDdxq{Z&D$w=8HmAe@|A}lM0*a}>^q=t zZ)!mmK+dubaoY(9-Eyc6qJP5J=L z5yN^6Ycb4Xn8vWm@PlO4;+Lr~Z!sZ)Cpp*WOd}TYM9in!Fw$phGty^R!&@@cC-R`p zzY4&LUSUBd(r#;Nh=lKv`D~!(Tf#>9xP*;DGR))O8?mG>(_%JHhkvSa2^%#j*v|ja zA{Pus#R^ehAkl?MF=j@=4h-M;+TJp_z6WDLF=|{Z$E80Q&M5zu1y6Q6VlAjcHEu>n zTM66P9V+A*>UYmjk9&r?3XI6pas6$kjsno-Fm!Xy0)bNvS1Ap(D$rLZvMgvxkDg** zLlYA|<)UAYK3#?^q-=eMAngNOpz>3Mcs`}p}S}RVny`T1V}6d-+CwwA1jSI zO=;9_hGssjm775uT>-XK5_O@{xVyz-O@*+VYQYGGB*eWTMKKHsjA2M`3`6o`D5(+d zlAEN?ZLO? z?5GY3GJf}9ChlQZLnHO@P6f9!^nzKWuoln8ona*R`jNbS9{F7oJL{3!*tUkTdSM3c z@;YNnS*1U%HmO7j&`W3n_KY(Lj&6W3#V5Q>HX>lzB#-Iaq)0xMmuu*k5DHi#EMN)1 zfF&RTUa*J0U4M$_6MJ4En?FKZOAVJv`G*PUlPedD{AW&t!6#J)J;*ltLX(J;&uqZRySt;>Y~nj(OH<`?*zs*P1@D1>d&{K20)S^)IY~$RQ_o49SIFJ5sE{@=iliRQt71^S-o{6xDQ zS#XB{|9{NkRsYI@$bu+bu)%&zTPbSuC@3P2zG%fFZ7*4EQ5L&m#~^wY=SrZxd+-~p zn&>c31U_nJz57XxvP%AAJ88#s#U3>s3-N|BO`lu|wD~u!>SzfKBNx94eq_f)KDcbh ze9ej_wr9Imu)JmKlS_((N`z4qvPv` z$y$FS8K0aS9ZsK{Oy|i9?hBUk54Db3rF*3 zlar$tCrK?!=GT+w#`9~Aas42fk7tLIdGdH|6%M)mWEX1}PA=SPl8fnu{R=mr=VC_P ztACHuTx3lxwml(k<<(DADy!6vu9iz2fPo=ojnZ`e18syZp>nue)9J z_qGRnPp)r&{iomk!?6n#G%wSh;{~yT!tKiGcs4&hN!Hg_P!@hcd}X5I4ZFDWrd?cr z7%r|`7k5?@asoeZ$i+Gc?H#(<4EZe4w|@_;5XFt!n|5*bV8i$^S{a3LX5*NwPjvAQ z(8-U`oa#ap{V-wW&Y2{g^P#~wdUD+T^a)k`zX1RM|NnRaRFc0-96=Pv-}>{+`E$8M z6GhN83PEEN0|Cjnj(Zclon>YvcUTNa6znXME-38@CtGd^;q;+5iB#T-esX&yL0QxHC^&HjL+ zz8unvZ)B7O-M)dX^7$sqgSpH#nzQFtyS#c=x;SL=NluoPG{QvXn)5j-S%20H`2W>L zJo4j{f4|$|yI>U?ySMQCFZn#y<1c&PIno1TJ=Hb~hUgioH{~rJV|Nr1S0;2!` diff --git a/cpld/db/GR8RAM.(1).cnf.cdb b/cpld/db/GR8RAM.(1).cnf.cdb index a5f13c1ffddd7e441961dc847b8d25ff9799a9f8..de85ff32fc3f4925362546a5b68eb07b4fdd5daf 100755 GIT binary patch delta 2276 zcmV3guT zzVXVfo||RxM;q%0YxsxYzbc`9`s|O;eaiom9r8bw!^SpryV7uLXLvr;_(IB46Z#Gb z4!6#qIlCPZYwh9N7fN`0>ldGsFaZ>Qvlph3Y8$q}aA$ke4bGSiwsr=aos5SWRCc?4 z%tn2>J?hu(QRi;67&ZqN2B+3fcM97SztIzauqXadH$3~u@Hty4Y!6jxTINid7c6l5 zva21y9ivuQ?ijKH+%aB&hdUR$uh6s8h!N;E;bwgc-7#bl-7#hn-7#npeYo3y6piR3 zz0gN{p^x=KAMb@e(GATrnAy^CUo&{e*=F#L)6L)==bOPhPB??R8OK^R(ot!$JDH3* zJCWeCjfTUe2_?DKdG6(t=9y|2d9Q@CqpzoB(=UEbTe=Uy^Mt&wOZ`IqUVc$I)xV6q zkLTI~K&qd2fhI^Q-y}=h%_#4G<8SW`b#Y4L!~0jA?9Cd_rg)@nX*|>Rw7!y0BT}ue z;!}-BT3ZyK{ZAH%T|F05EcH74ieE%)591)!Mf|zrVb3lsmUjP3zSm8ee%alUZ(H$; zXzX+d}Id!?J0Mx8|yVV-dbAU@gdg zj7UKO)Vm1i0gq4_3kyMUxlEz-i#~nObYy>`lq)d{gck$?5(? z^6C6%cQ()M?>y0#QhdvIl*5RH9C4%V7A!UseB;h0l~PokXKfcKSj>?-NB6Le`_H4?Vhl}x@-SdG|hBhOg1 zk+-w4Oy&5ZL*8`aQBNtAPutV_N4 zX?fmJ?fIF1zObb>(9dzk^ewK}e`v2qLmtJ^to+HuEI(Xox{gBPZ{W_IzRIbLo zkT3gPzA0gcXO-q_ZNE!g`7#HNvzz8hz|HGVi7PLELDGILiW~dR>xGSco{f};d|b0j z%g1xb((=pb*SZ|Df297&y>KT7-_|~5=D9LUDi-ASU1NnDJ=!z!3R4xY-?!lpvG+X6 zj3FuI*V(P(GY{tJ=N&2btzv?;MtpvaINcXkNPT1f3wx^cW}JZ$pbTjY!e68=9SAHP zNh<_@obZx>m}>^-O*{NaD&i$J@d}p2Z=CLjKiCt0s3-n#PyCUd_@h1X$9m$A_r#y* zif3yq{y5cf0-1u&Gtd-to`R;J^Bgnh~rk@_MW7f%CPdqH&Kf|p6k)^_S9*Knsc$A2dmCn?Rdr5~+$1+zGnUALznGcNPQ{?83bp-H1q0`~qFM@B^e9|G_g+ zdTqhLnYlCPoZQJIP6}0ssQ!4ii$n>)Em*!KyrSuPzOX!Jn+fUy!Zd~WRk_uFxHAg; z)v8=bLj-gmWkN)kNnK&XB(UKXeQndy4UzLLJ1183oaVa))e}KEJ)2IY)>usJ@23pn z;(Q{?olZYb_`jbWU9^Sxa$>CV03(3B&M<|eI=Uf0ua~Nj?L9RoI3Q)Yd|v4Z_=!q$W)9q78;|=nB}P>=@7|3Iqz(ZuUg@TN5D!;x%`);3AaAfS yatWQESt69h=`_3aTt{wKnl0rkxOnit(jPa6C}gnGs_`yi2FXm8T0@3bd77; zp0Q_!O@iXG+TC4U|ElWhV|v=2OeT|SN>623_K)(LG0C++w}0vTZ~15`vO{)ISmwKKdBYJ4H(sR@0T z1czG}&Ys(jh_&|c?Tb@*d+V2;-`si0tha-Q5D&LrjIK{wxgNlya~I1`o?Q&Q2uwY@^|DX+lY^b)I|qq!>r%f^zn5QBPW3M%@8h|)0FdhEU7!h)$~VcO5YD82T*MyZ6Fe^;c{B3A3ouU} zzEsSW*I6y-$A%H|Iz#8we{p+=>A^3PCD-|OtbePQoU-@RvIXUQt??xaS9a&mk50R{ zOMm!LHa*IksrQ{JU-qV~>oca@TR5g7y&=!9vQ8oadrxL`$;7CYxAM_56U(xPro~VK z$dbU9M&J(;@K}Ve2v`fUA0twb0QD{cdcY%8#==5STrMS)e$l57np{L$GBf>JXiW>G zLRbUT7)69qYw$i=6#ibo$7F$tXk?S}!+&TMmwlPKb>maXfWrZ(od51}rf3P@43sA` z^{zAJ%iff%&Nt<*k(};NB%jWIc4zb4P7bL{VKO;Ap=(P4wFg(`2{CzF7I7RawH67Q zCxivgYeB35X{}g8SZNPHR})M03rmfWM2O2(Pw?0f8i4@PzU@8G-277R**FzE;(r<_ z|4wa`EHBtu9`l%$gOc#4daCkeEs?L|7y5~RouA*zPhB&n`jYzf@lzw0-=n03Ua`;F zLH>t$mOWJgc}>l2@*ar|07>Y+9EXI6Fj zhWW`^@`=R0#XlVbP8r|Jcllc(e9KcT{_RrY~%%4fJ!IG5w3yugOPDww5YXoqt2|V0-iI zv-bA~)eWa&u9PkF8`Ebk`|bOIjV4O{X_BR_t-Ru%xAi|hAM!e1EUy0lIQXR(-kj%1 z^s^2g_-Q|6vvI#p$>Yv;TcXIG;?Ner+E3>3xL2lnQ zR>;w#JtMC$Rq^_L8~zY`&!fy3l2U%1-8w$=V4i;7kz(H}CRl64=hukSePM;vH}=1< zr%G?e85jY|kj5bVMe5~)fu$p9g@6-Y5)gCE;Jj&vKS@Qr#3o+Bl7IM(GyU*~dg2fF z#2@L2KiU(2tSA0>PyC6V_>*1nY>mYqr#em`Q_y(^nu5+#&=ho@gQlSKBs2x(Ec6>H ztC>~&rZx_{>V70{bhZm16G*$u%<|_}f}4hU+lS$@dE1t(=De;q0#g@om1i*EM&NIA zTxEmhW*(qjcBBS)GJnkUk-*p%*$TKTYYTxyi7_h9u+uXKeUvj}HRVckX^N|!In=FO z0McBV;;Ls3FPuigo+|zx!pldSo@M3S3O--Sm(f_oN1^!t&WB>d(Di-J`LP_;SfF3N zZwFK{;M$0(Oj5SCgDS7ZHeMdS zP`gg+WxL6>$v>A4d?9YwBhL5nA%(dOhs@o6bcdDS}V0_8Ce*}nh)0RR7Z z0d!HzO2bePoqyEU`f8ObxGC6$xX=nMC?Y;+9=A;(O==P;ig0zUEB%2k+$#tw*gtTi z8xbjrU!W@&u3Sks{)1;Hj>vb<{9Xhffujz zk_qAV;<;T1^KGIIE=H~*^EFE%{0m2=#fK&`-A=3He1evyEMoEXat}nK0DrTyX{o8c KP(A?w0RR6nNt49@ diff --git a/cpld/db/GR8RAM.(1).cnf.hdb b/cpld/db/GR8RAM.(1).cnf.hdb index bbd20f0142dae306c3bed33721ca477357f50726..fcaa428984e8a02031ee7e3b721d826ef739f975 100755 GIT binary patch delta 693 zcmV;m0!saj280HXTYvrm00000004CX00000000C700000004Lav{X$?12GWwTLdc} zJoqcbkDI3)w0F-UCCzR@x@OxZ#ed}g69m6q=gsDYEmZKJ1If&rd6~>6lWm%&SrIvu z@g??ujxE{aQ}$RkP{Vm&Eq@=AHJ=pqz2B6mb=6FD zC?h!5)jmWduvKjfghHZ(L!Qo4X7k0s(ikFMj7EVD zyDAO{7El-*($UqLL3Zu>Xfr!;SGN_>vr9v?7Eb)sInACpFZ%tI1 z-{}(Hnfh2B@_*!S>;enW777b|942iXHtBi;0JyvMVo1Yvk*0S^T6@K$*+fHvwk^nW z+ActPG+cmoppEXy^a_0jgpbnp14wNF^HoMqZhA-J5TkRNehVZ=!)`Hn#2Gvac$R^L z=0Wz&kt;k7MquCpLJX!CMgUMJv;l1omWaXhGi_lFsDBWH1Em*HM1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEK^1Q0VZ z2mndtn}0$(Kq4?3d|iWs9o=1#)T#kxnHdy-q+Zg*-$05%6o^4KxCi-%2E>O%1|Tcv z1WK}kmH!nvvlgUW3W%K?gIy!yJv?259D|%aBI5)6gF@mx{oMRP3c#LV1M8Wzr*#R) zagspn8|)q*;u;Zx@O7}WhpVq6NCyMRRUBX+oGvz;SpgCe0b-CAco;!cGqQt2M=a%? b5=a$4RF$U-Ff=^ffDQtQf?WUr00960XAdP> delta 702 zcmV;v0zv(R28{-gTYm)t00000004CX00000000dG00000004Lav{buJ12GWfjgSbU zprGOt5RZ<^1*E4&C~|xk332$6*b#rk=TTAcK6cig(**@8SjqP6?$}=M?p@O~&63Ez zj8DP;IX2{u54mH}Kn!~_-o^iy<1N1r=i`1?)$Vpv!R?^*ihq2}Xf`hDd%rGT>#C`) zp^V^ISNjlgfURmgf7aeDk*B z1-6p~wo|pG5PxtA(?pCk0^{YHTPUbXN;zpL1Zi3&(o*qAvzdkiQo+e{+6d&KzOAQ1 zv(SU)0M^t1-sGcfQ5?ghMr7ZAa}+Sk(jT}9Pb)T5vd9T3sO z)x|js^?xBYO*(d^iq^rg93??rXaSFCHh%IF89~B&9T=2uV&WKVV-noZ3PPJumkRtl zZ~bS9{C21{vod;)-9@&SEA~!rK7?I|q=O0W#HZN$d3*)QMOwrEIhZ}d&=5LQtf#56 zI;c=qZSpR}WSfZ-ATO~*19-PD*Y`5q7F4rIQ8!QH|JGbqx>iUL$y7z&;C27*QX*0= kC4V>iraQ~|IvZ#2+d{4WQ1%GduoDa<(LBKy00030{}XOS^8f$< diff --git a/cpld/db/GR8RAM.(10).cnf.cdb b/cpld/db/GR8RAM.(10).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..9b1f7d4bf0cdd729fcf8ac9bba2b4e2605a811d2 GIT binary patch literal 2189 zcmV;82y*uk000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FBU00000008U;00000 z007kj00000004~$00000004La>|0B3BSjFNEU)Da2ZVsb?g4S(lI5+O1~!gZ2?5$9 zCku@{wnMB~o|WSe_CkIS|AY&7#1SMo@D}ga4&T?^)zj1T*dC7;lE}Sk_jFZtRX?hF zs%o-%p69nvFGW%GH`@QJLcA@LyQ63g|1KKH8rr`wH@1JGCHM~hpxlev>r6VG_WC;t zpof}A3z>((6=6UYhB`KAnBXv*NlrVL(c%HZW@4AMdm7CXkY-B1tGc0)Z# z+YR*~Z8y||wB1k-(sn~VNZSkQ!JRPN?qFoiw~i(AzUlOO$>C9I#`6{`muWWvuC1s$ z%(@3=NM397T^QBr4#!qrebEPfS$MsP-v^oF{^8MZBG$7O-KsTthr@#A<8WBeejE-9 z8j!#vLc3DHZpZ%6Fz{+hMp>^fl5U3_;mwx(-9By4 z?fcI@y^r_%D|&xN%Kh`cKNp|Y>*al3Ub*g7t$zhS^ZSD%)c1>o$dpPLeg5NYd~griCs5r8lJopl z@19M-0i?=9_+v!NgM`<-5LG#Z%rlp=iVp#-WL zHJs0`c0Wjf!m0=LLl7w`+WSLGk@RcD$SLcTv}wi2DeIJUXT``V>y$KQX(aX0 zq##RhCof~aRYc!V?Rn4+w#cAyypJuiVxziVBZWgON!^atuI)HKaExkj}pIGO>ebo6KfOZWCPy(fdjw7Tgmj@DIV{a`{Z*0m4OE<`)=?-_uqY;l2#Uqh44iiqhYHGohUjl zA6!--0UN9mHElS2Z2K|Ju=v5uN?4HP*Lpi`L@r_&$Cw@CR}1l=1nsf_j2b_ag>i11 zurMBQ6BfozZo^k!mylt7^Gqz91k>XWU zYH@+wgvA9yc8d#SCM+%xuv=UpFJW{Q7Qv^hpSRQn%-oa5_dPdH|pKn;<$;cRgB&&=-U~CD8#>DtzT7}~u z(()UG&~SE~16!aJjd&=R0J9!Srp!3#pwKAAA;DwBewCvE8CEuF=a%2?jK?>_4pJTY zrMtyuKFfE@JT%sJwROtY`PNz3uG(bUt~Zi!wGp0O7bD4A@gyP1mMu*XT5s*%m*tDwo*y-RlP}~d%uWu?( z{1|>%rB58}IFs)|SfUKN2W4j*xE!Eu>Y1enRs#aqU3*8HP|WzMG#uP3n=UqU{w z8N>%u=gvSLA1z|+DwCA?_h=bJ5l)%7rcQ{fs$4U!`clC-t3qxrj%qAu|_sqNRocr$kh>=7kB09d>TLw`p zU}p{25Kd0F9ak8Rwa61x1vJuFi0Ck`N}TBf=A4|X6bw}pY1c5*VnR(TuAP+~;SC3d zl8M9=i>U@^qA|qL;npx`D*e3R|9*B{@EW-cspyRIQ}k1y01CY1Nd6i%+Jb>uH=Mki7c8Fmdz^f3(*O9e_=hvrY68Q z>L!LnDWH~O=%sk@e9z|)?40YH22NV4rw%qe>~1o30e&%7xwEn*=l@(v6p}jXNBnyG zIt5WDP-!x)zZc2vL}&-GxAZaC4CX2Uk0OQX5Xp_tCnW-I2?&%zCL=5c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JBG00000004vn00000 z004CX00000004^v00000004Layi`qZ6EP4Cl&|uoB6{heYJUOWP$?&$xN>N36JDAcuPbSlhl=YPz-=xm^dZ8G*@-`H; zn@oKmWvgk}#$0`Jb>XYel(AD%R=K+yc>(umM2jV22$>-64Zp75gW#)boB$0M!kJ6+ zWLl2GeQ*oEvgVL$e3+b#iZG0N_a!7g4X(7aq$nl_Z4;Z;^(@(Bv50QPVj0>sY_{4+ z%0(){yV0g(ri%8=+i1?;W>jvjSvBo>^jU9Y{mk>;H7|OZm%YrZUgothoorg0 zD?C}pYHiNZ>X7H(oV+yKR1V~0*;3RprOeEe+EpM|tiXB{F+r=^37dpdOG%tINC~v+ zDgQ#>LS;OJM>Zp491I<3*_fX&Wg}^3*9QYC1bi2L1mB`om}89moCS>WUGiiCxP*ZS zi1Yu02Uz+;{is9Px&!!!B5-LY15nm%MJ*=-LLwIM6{G$NW(0;;CJcXn3T%cism<_a zmjH4Dp2Csb=ni=Q@dN)!{?<=IUi-Rjz&^@xdWMo__A}KxR-R zNC|@k5Hm3d07>PWLOVbrFdKYbgM%I2U6ItP0cDvP6o8~&(!}3Dia`{JK{mJt`G*F? zheQS-E9V4CvVxWW6*;pOq+AM!og9N*BjPMi7Ze=5{P|+-Qz=CBSH|q4tDl%^>qa4U;w#_1MGv-hBGTbA|gNx(gF`7h-yZ5 haOjAoyi)?H;)km8bODBjryI~gAW^Uj00000|Nmynj==x` literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(11).cnf.cdb b/cpld/db/GR8RAM.(11).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..4b3fcb8703e557a30340069c17477de361967296 GIT binary patch literal 680 zcmV;Z0$2SJ000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CO?000000046V00000 z0006400000005{000000004LaoKr~-!Y~X>RmAcG9)LLWg!}^`Bzi!-lpf&Rce93> zELKtiRdMAywr3`Z)f!{W3qDm!JrQ$`mRLDgs=$L_t-$*M9^)O6#gZ@B0)9B|>t?&z z*@C0`rBnt4+C+d7sr@>^1kkE(gfNE78&;>wIUK%FX4Kv@@Ns)6{I$KMw+UTzu)wGd z=G`H5NHu%Bomq30H}o|fUHYIAi*G~phX`IXPj zi%^T}RE_a{%o_jz|NnRa;$~oEXkcJqn6qng43J_F0^(qIzj!~_5FgLrka$z#C?070TqIMhENUlA4mf1GfoBtAZwec%pQ=4JP;$)I{W(uIEHvS`MAahxw-=# z=^7LaQUwOg3=4qtwD4kUHXzLl#0ZU^{y;7R11Au3F?0aQjn_P;gGBg&7@-UlZj7u9 z89>1uvoE{=nInLrz#nL%FHi(x6bpj`kk#*CxgS%dCyGjLaBv&mTAc&ZD-6USOW^?( O@8lTl$_M}e0RR6{1QnV9 literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(11).cnf.hdb b/cpld/db/GR8RAM.(11).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..39cc4def308e69ce865e8dca4c7da4054648d272 GIT binary patch literal 533 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRP6L?+1VT`{6iSOSLBuNbN#I_+I(t9%k2* zW~-iRT-ss773aI~@X7ZFIHP}_EmwYGiR{sP_i z|9=JJqlAQ%jD&=QhEnr}*)pqT{@}cOMc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Haw000000071T00000 z0006400000008>}00000004La>{d-r0x=Nn#z;_(+&CN0A|luQAI8IG<-|r3z_b6D zJAP2#>u#G_sj%CcXb3~5-I>mtw$s-Jt+n1l`%0-#^uMJ@=j5WRRDvHmBMI6M%*Fm4 zJ)LK4AdGp+R@uYNqp{gAPD&&EFcNyeiDZ7;Gpwjp3kZSCvWPq z@AS_Rn&rj9A=-e;sb|yY3f*q%OQ06hMfA7HH~dMN0Yo?00030|9Ao7W?*D! zU|?XFvukn;kYW%5;$U~bct6(=AJ5>BcvruWph%De0}~LlGgvS)Fw9VJW(0|-0dZi6 zPrOs8pRr;Zi&K2CyJLten5(5@XkcImQp~^##2gG3K+@bqh#e$?t{-F} zZv7w~Obih~@?eXHEyw{PK#Z`?!_zg$G052i;aWC^4xqBceS4k(6@q<+P!07TNCNCL zP6h=aYn!Re9*~GU5F^w&`}+nshIl&pxW)&$x&s~Q8Wapt1qRFv3xM>r@M3FWAk7QJ z2#ucpKrRD=1Q2sEbO6bX*F2_!MEHRip$rsmjI0b9K*1feFT4PmBY>j7A84a5Py}KW t3xflY)$d@rA5*0#ib`&9a2wuQodePfQVp^c9#HX4j=`>s00000|NjcbNHG8a literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(12).cnf.hdb b/cpld/db/GR8RAM.(12).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..86dae75f54c684f15c4a4b6734d76ad879ff5ccf GIT binary patch literal 519 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRdV@>@0#+zp3Z>bZAmSB_G5(Hx%?3Qo zDi4mRe`C0}VSNbemX)HD6{`Q-aNB6TNl7WPSN3$K6NjSn)B|1rdYFERTP@tj|I7H^ z?-<*;g29au|2OnKJof9Q`i{Caiavk;d^sez*D_8_J?iArQy;HhV4eMcw$MSbNmCq` z-M+EEft#sP@imv6e~-&mk1xd&KNOioGE3-xerxBUeRl)UZU6sQFg{91NXbY@NQk&M zVOB=4RQuu@|ox8EY_HeWB|6 zhc`%@>xhE%o#sEz%#WOvm?uo#%%mE5@YMgVIg#8!daOtM`VOuW{3thXonxQlIk|}+ z8UHydewJiPwq3z{7kC5VIK|IH397Pl!hb@4_ za$*hSfRG>vB@U3p;?R&dA|e8mmSspzYtpC$@jl7TH(fhr;y~=Q?Yh&dmPjMTV@Zk|t*qfCCx;5alph z4<=Iq4yFP;k_zx>D!^l@0Ebcm4yOVfi37NSR7Psz0i%lbG>IWZ>LNpkR7Qpnsf`RF zQXLsWq&_l)NPmT72$34e5F%BQAtdYMWU@|9ChO#6q)zHNzH8_BdMB0zh#Z3jNFGAu zS!H_=c~V(`$aBg9M4nO>Ao7f|0Fft@1xP%fx-+SBJRwBtqMj89AyOF`LZmh_gh+K{ z2$A~85F!K-p*$ZnQcXyGC+| zNUh`$k$-B*AtLpXLqsYjhltcn4iTxE93oOTIYgv#a)@;8j9o2RFJhNV4iTxHSaoLm z>uXV~4(#Cmi@lZkrTzs2z!P+nZSdw`a0z$aD8GOV%i7?6r2K*81uyR}Wpi_R%Y`qM z`SG!0^D;k{3tuYp<736{T0MLAz-uQRtlIY0BGbprEt9ewzdt|MOauaQMy4H&C@^nW|_ zlYfqnm$&WG|33me=X`nQWga9CA$|Vc(Vsn3oU#VUN6lL)eoXTa&gD?VbDfPM9^+&F z8zrLMefXh(_Q`u=w!hMwqHS*lz6=x8lh$1neL#ipXvXiaa!$f+A0irl81UqbVrz+-M4lJUE(y zB2SK{pva>m8tP_JU#9JFJZcOpMc>_ir6|0Mm7?)3R*K5ISSdR1Vx=g(iOEYVn$mmtHZ_Lj>iuU}e<SyOANJA|X=lqKUdDpxbaRzOXR2c5W9X z4!=%vbm!QKQ`1M!oOt#b_%0utK7ani*^_4=gL0fbJ>5Qfy8YzYf}#B~Mrzcm3StWcV$RKD;Cr3DrcN=M9qP`X$KgwlC3Ae3&A0ikqw^a}MG zNe}pjLN92DHwgnZhm5m3Gi03InIYrs&I}o6cV@^qyEC3~VggWJ`K3`ng@2WsFikls zyaQ7d2bxJG11Ny{u2fPGl1dIjQb|HcDp?3gB@H2|`M78rD;W0! zq&>%kvP3B8S%Xj-wXZkha=b+UsZ)jSnA)2DlfmZ7gre)~8>e1DvwvKrJe3LNe;?qd zCXVO^o&&ul@kAf=AcWBWqmP3q?=Moy&E-pURCY2y6-r7OnV%9Jm7THThdnL-y=I1B2URao5s!;1;5iG$3unY3>VuVzao6gU7`S?~@ zw>g{6e_j2zU8{PWaDSOf7hkjEJBqzm_x$~o;d|OIW#N2Bq)fyOLoPp@BJi^-^BE** zEA*%C`I7ZNc(_{N=7$>d2_Y5genSyPElKos_DJQ?pHb##*tK8CewP|!_U_o{`|e}p z{>#JGBoFt<`C0%=-Lk$8w^1(gHY)=E4boFRFE+i!ptSGBUVln=mey9!EzGY?$p^yi z-b-ukrOsM!dG=y^ets3jTdjvFj_QIjZspK_T7ZR1h|etqjv3S|@N01lgnAB;0-@XmQXrI1K?;O&JV=31-U%rX%7q~XLVcqv z1wwtJs}2M`Fez!L{)Ai-MudJ=`Vpa^6^sZCtzbl`XayreM=KZ+N?O5)(9#MgbMw z$+VxD{(nw=K z=HF&LqhH0f7}S_al~D?%!;?l>|u@F z)~Kimc%byS--OfVoi3`kIbSmIzv2ONm%f&e!>1g@gHFh;yg^mBJiJLYK5mL>OgOpG zzt_WMNhu&7H@WHG@Ch0I1s@)v>O$xp`hYEoM45d`crR_u&CI^ASG@N!VSMO&l&FgR zQGY)dFmn5|ot2e~{(Gr)+BZfNDw)^F$~M#}WsDsn7d1_tyKLDdPmqj7&8dle%9WND zTMlhaU<+Inb3#GK7ssq{^1ZtNqG6*f;)Vea08n1lfu5AYdn6ayqjDjKPGalU!N_or zms`9BuOOibmrbhG`bD9ste-`Lt>Pfx6AHckVrN}z}{}^lUzUx5FV!+!ciPe538-EEy$Ly3-1sH zorUV3{&*wktbuy)cRA8oU{5y?YBf7Et9XWL3f~cF6e%2+RlygbQ}Dt3+JCqSt_Q+E z!^Vl21wJaPy-*M~3m2-aE!c9vx)W!k#dmBt0K$whG{;c9CnLk5ec{TOJe!YF2 zfv6oQ4YHlTgM5IZigsapb033U;KtGzRf^IPoa>)YYAd*@NT6nCW`rX((iYqoFZv;< zBnLkQse)FpadrIokq65_STdN6OU%GS#O0LJH0;jw*v$*LSZVw!lej@SctMHR^Hm6` T8Q`41v-efS71B2V009606NT;% delta 3123 zcmV-349xTO81ER6TYt|A00000007kj00000003_c00000004La>|IH397Pl!vjz~` zi5+r4AP7Q<10=CHG$f9QhyZ0dS!gt4kBMc=6L}#-NaSa5;SX@)#DNo65QyamAa)QE z2qD4_8Qxdx>*}iUbXD7oO_f#C)%B{rs`~nM)$8up?ZIF$xPL_Pc&pX=hqgJ6&H+(o z!u{8`S`+lQOXW<^_HW`7+rMaw?91^(;Tno_owZJ9X7BSNLsnHulQRmyJ`Dhfau}@# zlc@mvQvn`H1$Zx}B%V**nbbL+5F&L^&kBSPsf-LEQX3gUq&hN$ zNPT1okqXHWA~ljBM5-i1NY=^JIleoSI>!@2q)uKz)_>FN!m=^D>sa8pPUy_dwO7}> zsy?nB%3+uVe-#y-UFy#+sw#t8wXdXFo!O-o(_a0i7khf(^*RpaS?R5=FRkg7aiVpp zR_7T)!gQqi5P9fX9}=b`)rW-XNFgLlM+zZfI#LJ;(~&|*n2r=et;{d=&lv!opqp%iHwS|Yxa&sw1!P#(2KOQ54g6lW4Z99GCw|6Y+mNaa)04VZ3aIuT<9)Ad$~W?(`{}>I~p5X z;PZXhMtt8*yX9TEyPwOujFk5?KV?4Lz08lxNO?c=Q|80nYnq>3_sSM<{phxZ{ZM;3 zU$T{X$UH~}G7tYT4|FQZ`AU18x&6ucnIEkan78Zb!=H2=@tS{)ECOr5SmmSt+o7Ly ze1E*WZI}N45#TxJ%QG+YAbAMs^Y4!S+(pGHYk+*zyqV(1G!Nli4n;iI*(l;MKIXqs zBHG=99|~xnyf^QP_h;)rR2;m%gU$ST3i=ZqKZlGUy zqj$x!>3v+8{E~CW3 z*GZ1<965Gk`tYe^&prd+-`M3>jy4#xqV#0Lm-BGzzG&a(@%1DMy8O zV2a{EGpS?%1yJ9WN(w?!$w5deNeD?L3n8hbAtaSNl%$xSx-Ybuzoi+D5S{gktinr@ zaGfY%clg=xU_KlC`kv1Q_NG3r`*+CM@Q_k?496^UdTl68oO(*w-fM#xXRWotOqV-q zZ4f-fuMM=KEG(-xw<=m9g?|AHLJ9*GMEC44SRo9-3ZVy<9c!557`Irj& z=a^8I2n9WB5K5!=^=4d-m*_urs?Z%%Tho6s*j$-VbbWo})GKI~tACWIGQs>G0{qm( z5#7LZptmHR=z|`F5c+@gaS-MGMM}B3e2I?APUfdVNhu@qQ=+4?Ggkbt=f_2*r=EZ$M?R&AC(tn+$wbe5V^J`P`fpEL` z(pr0|v({UlJ>Q<6Uq$g&>tTwcx?qf3IrN_vVBr$ta|?lEhPeGHwz8}~xJ15~@OTM4 zFu+aoS9U`rBE&kNsbSUe@gV*29tA;{(E1jpKmqIrQU#1RC-M;BVWN%{-lErc?zLEy zp&!+=0$cr7i+?WTLZ}=3S{wtRo&%&nD0hJr2<20d0-+oaQXrIfLJEX(VMu{c-{?w# zP~YgP13?c=O4_MEA(w;^p`Vq0L?~zlBSJ$f7!fL3!HCe&3PyyIRxl#8w1N?#rWK3^ z^i+RLE=5oE*W{8gl%C2pNzcS`O(I-j=mOuJ(nU$EJb!NZj+PNTiKYC)mHGD32qMW4 zHw?M_aEdZNnIF!;cGL%9vEo~kwZ7YA{GI8dwKXZ+i;;C?`ngk2VW!#(hhsRB;KdJR z#(pJdD(jpgWFBu9Q%}y9V3m-ZdLAcSQ_tgrYh)f5TqE;%;2N370oTanI_K}FT)#>( z?PsRHQ-2>2dYG{_J=9pChZ`&OkYj}&cC64tj}?0Ou|f|)Ryd3ywRHO#QfqQR=wXQY zw^`5VS8*){HD*#}ltSsSWI9r{j0dF3kPJwbi5QS7<1ZjpW?ew447Pw&nPLH{GP1Z7 z^Mdjs*LHqL>|u-7_Rz(}9=^EPLl_r(7~^6OWq(}k;f#wtq;c_Z*0j|bU`-p8A+d)w zDk=gVC_U~s;k0?Di|TF8mrVSxc);AHuO;O0DM#_36LKqWP}MCDZ&Hnqn_?OhPHyz? z^>A5I3dqMzZu&QTLWY0AhexQo5PF9`U`rxVW{(oyLtAq*voGuw@4ZYIANn38s$zfC z&wmAs-2QB5W#zp8UTU58jS+=P<~6di4K+#`V~5B^O;hJCTXxA4Bx6x?Y9gOk&uO2&?ol8gWodc2K-rrTp)&{Y!veKwrVVPFrW8J@u!1~EAO+vYznSIxEPq`p z_b&hd0RR7Z0d!J3OT$nUKJopktyNI8qJuc7Lko(E51OR6O`uI`lA?kT-Q65qMO>T& z6)V`)$;m~eh~nVnpbk2?=p@x&;dg?Vw&I2Fe7U*bIrltnh$M;=QK5LS0-`WrrwrE+ zPFlAeR~U}9%m-8hv{6AKIv!MEiGPNH1t;w)IYZS%!ZplSgla(D^NekB!DI_T@n^oSbrB(3J;>JuG9De|ON8QAh zXcuUpL)fMK^BtF7nK{Qd4Rl&+L5H#K_qUn)06!S3+^lTLnX;kuK+;TXzuvx1LDUOW zJDFDbARnM;qAcvtR%x^!9IWVUa+fF>c7e<3_U~mcd`IOgx{ NT}8Hq^bG(2|NrLm@L>P| diff --git a/cpld/db/GR8RAM.(2).cnf.hdb b/cpld/db/GR8RAM.(2).cnf.hdb index 739c179ee9b3e7ab7eddb85e1e69700c0a549fc3..e4c473317fd2659bf7dad9796e6ed57f5fa90648 100755 GIT binary patch delta 902 zcmV;119|+}2h<0UTYt?000000004CX00000007hj00000004La)K*Jx)G!bR%KIg% za^XaO0IKNn-cwFoIh0$4qO9Ynt2GJAdV&3?T=)sS^+0)anQ!b#oUOelkie4d`Q{tj zn1) zs^$+v6IHbBGJoG>;k;Y3=v=!TJdJdh}=k?Cz^LpoR z?4r1tVvL(B#-wL+S0OgW-Gmt9E<%iP_aMf&YY=1HEf^$(=yOM02UgLv0jpg^e{=qU z*`|yJzRNEHl%X685F}$jNw$#t+#sX)jfbF+G05FQa6$+0`5xja)h$YHcWFN;8MnUq zrvU;aS${eEM%zGvO%1|Tcv z1b<4hf|dUjIkOg|TndPt9D`jW;ypZFgB*jLJtE@+{DVT`J^kGLK?=a0U<2!!w5N56 z9#BLQh<$_I<3n5{LJ+Hq)$ delta 908 zcmV;719SY;2iXUZTYu6500000004CX00000007wo00000004La)Kn-zRzRnaLIMOi_ErBrCVUsI%#;uoRhUX0Kze-e+}QR3AvgQc_lFcB7Fy zaFa^ZSR%SGa)`FEZ&u$2QB>6=0UCPZIMZn|E64U0WPV?_W{+zMJ3SufcG&Q9#7{c#i#qpt5s#10 z(xwaXXb*Zs@o0M&Huv!>_85Zx!p3XcS1RTDyUR|nDkh;w6Z}BGgyMDw+p?)SD%^K4 z9ry}@&3}Q@hH&5zBOhM}CV0yDy*V%jmsTC%8|V2j{RPzR1}T2R-$YF;QK&w_11Y!a zgs-5ewXB7eSbfGq)*LqF#%4IDfajMtTk-r17n^cpGxUIeG0w)V1hgKDYkwdUqNz-*6@E0W=}A*1sy5YlT=w9 zR4A)9c^6``&DaT$msq9&yt~(%hY{>1RI^D@PvZa9TvobPND;|YMc!b#e}5$rDVLJJ i8-3HAUcS!8`TMR=t3Q-I#x?9114%Sb@C5|`0RR6&#j4=| diff --git a/cpld/db/GR8RAM.(3).cnf.cdb b/cpld/db/GR8RAM.(3).cnf.cdb index 07f7ba3f17db22ea15a504a10d5342ad324a8ff1..08b79c880f31674f6efed7bd1ad187f49f4e284b 100755 GIT binary patch delta 5772 zcmZX2bx;&u)V6e}3xd*;lG2?EqOycYr=)-gYc_?4|rCG80b|g}n zkXVtUnDKG<*C2w>2=1YSX8hIg2+FmmMwOcdE$r)J3gXM2U5 z9Q%^t8So0j*36#Ytft{>em8i1J>SHj$f$T)OBa|Pg*E=aNHVmV)GgR}UiqZ`zVeBq z6nCKY%jix8N+`i;nv2DLtV?Cpw*m24eJM*i`=2ib0ix3YY64ntXjCAqF4ZL)X;J05 zRZxFZJ`SgLn&vYShhF_t$TfA~7^|$CZEdXa)XN3x+tQii8m+W8CWq-oE-RcFfBJH1 z7XdA?Ja8~>_d1R^&k~A^Da&tN=dZaD2MFE7JP;hH1V9K9`@kMQkemMq`Ll?0DZPqe z;@(k;sVNo6r-iN4*nl~%wyJ*XFJ|YL!%r&c5vgyc*M)>8y}ZBR8^w$cSMDCCQhOt& z`$qRjEj1fYSw2C&8!a}aS4P?<&fZvR*xMrz3wX>|jtW@`VX1|c1SIrydqPDh>;b6j z?rNXD@g2*4U_W0OXSlMrWs>-Pdr!ym)xwQ`!&tHkWC;B%My2UNxpQ5-M)15WhBOy! ze%a#NH=3>fH(t@&Z-wLw*x2w0i5g@6ruOb#|EAzOA~dxMuhGQA1PdoWkPg8Kodd zX5pDIgj>wJrNNuK!>K`fkXB|c$;q0LS?3D##yo+tgZ-=J}nJ}17U1$gF zG1B``y$#Ia>k~}Oi!01l#4QH={vOkYiwUL4*ak;JgCQ5vXZMqkPho(8-=l-_;e1U5 zVy{}iqu}N^l+X@#sX7JHtR0&ulKZBWtIk^@5{8x#z9eKF&LyZ9bKXCUX}8#j8C~AE z6auWOw0yQG_$DwbWYjV%F4xk!a4fOwA*Z)~>JD(cqXd%t9N5~4j+xKAr??q|=x(g9 zgTSxiNLrV7F3lbTz2d(*QCis-s`25l1D~!8ox9wqK|k_(*^IK;^zyG`&|T*CxgCt? zTvE@I@eXYx#lAJ25>Uv$1sSVBhqc0m2>V~8_l1OYlSJ#1OvQXk@f>i*jU6G*V+e~E znxISXqtd&?QzPLp*xtZu+_B;lR??QaRPaFwxMK-ByzGwA_^mMKzXn=6s2SaPiO z8n;fx2&;FuQP)iplQ*%$Dp^(^FwE8((qE8KKwI5X_)-Rmh{WQcW?Jm1WW;?lb7VFw z`YSTB*dRjD)gjoB(lO(yVtlM_+pnvMz6(1UsGGcjHxD$S^2^=rCQ5}?@37GVd?+#E zYg^OfgU>UkIgx+6%Ac&CeJKwQpZz|&&<3$S~N)lWtX^4=?RhGTMmh%ze5%C5eo zaoO&YA_*7x5XzfVyL{!H*!F$)soM|+gra>H-)aivlRuJ!^QRJt7e2klo1_%J+&5)` z-u|qj3SO@Oh1R=0CQQ{-RrdA;k*kp2w-bU`1Fj+-dZm>h8{0FQQC%ha-n>NGcKval z)e?jKZ8v9lc1P>ybpXu#0~r3VW1v%mU`z@MBVaxZ5);u7IH z4t$~GiJ^7}*mX{^o5d*3zd|N^aV5e?31B6IsZ}k9t>Y>x|-;2|O8NoMjmQzgMG zW4~T%`dr;9x-S3k6(tgST4i?8gW9EO6MR!8n{q03B@%g>4^pP34m7CKN(Q*+mhR|@ z3=64qUpi*CIc+`|Q12+0!k?_zjlMYBZC;nWYd#?S_#o*{OdG5VgR-YG?)q$hw(#hT zeRF_s?kDM>{=cLsov*hY{WhT*QNJ_4Upms9#Ou_MVZH3=Y5e~r^kGr;=l(V^;22TX zkov47pH+ZLdWaM8PCStAG2gZ|=MJ62U-QWNKdXPGDV)zv@AK_ljVG3zi5o|90{dN2 zaO`9y7>xT;0PZGPf4uG^*Va3w2JFlN^+$8in+Q; z<*{UY`RLZ1c%pHkkLiKh({$DtHspNn*C!^1a|NRsO)|Q4D>zG`G(Slp_)m@ZN_irQ z0}qbXt^bbq$p^ndKS}+a4f#84`Bw(^MR1$mK#1CHRK&up8?@{P6Y_L$N~`if9Mgh{AB}Iz+J6mS z`D#nt*5Q7ub-!7xg;^!?G-u>lXW+T+8RZj8dnSP>!o*4TP!@pXR6o2~RNaWX{T|&| zX!d6DDM~1;#oQa;MJ#BP@gU@ox@YOHOkg8zeKOORGPCa!ZL)7S2wDuuJ*l(;pxSU^ z3O}*Hmjzw{P}z!iG(kDxe5LNg_{31z6hV&|WcSL9+78R&la&cT0t5OIx3|8G0vRO8 zp)XFO62h19$Yfv6mn@zH06gij6i$@4wCa4m_9vt6yC zg6YiDHv$*`+wIPySi3WBo|%~%jKK#&lHy<5F`cN)x@>o!pbk({OB2Ck>8egTL7IW{ zcf?xfzsiZFv-aQsBIQ?p@HV>)4`7CZP*cnc28PgO*=^3HzcHn%CK!t1fY6)X-u^+j zH<20?6hvIdjij5-vL&Mv+n(C$`?fb9|MWGuKZlNv3Xqvu1tXR+KEvxmZ&`o4q`B9S zlsm=xeW9VRpz2k>i>Cd=`&gop3ZGlJD3Xn5oN#g9NleZJ+jmL&Ufo<28VN(cthd& zvvc;}(?eQpQpt;z@LK^2FOflgX=%MXT3UD2ZkxfNYcGpI%TaaH!?)Cr_ZzwDl(~~G zfJHn4S4QBJ%NonU}0e1yDgpVD`RUQ7|c!~@gM=_Qq|M?)Pd>UUm8Y?JTISh^eb8tb& zw#LugLYFVGzn>1a4Q&%+0iSuGQ@V!p-1_npm3iWuv)42U0eFimD_do{IW>ArNRpqy*eo?3V zbv_iN?YxO^@kH^j8@skvq2$SQWcvs1bUK&$*H2a+27PtnjSi*VIjcPY*uCg-VyXiz zE08WfH_y%HnRnE#Qc#H=r01OeMc3a{?TTu><4*n*7-~emJ#DS!L*w0!^$b*Q7XeS5 z7Znt;<9Il2sjBkCjME9q^180L!`wV9J6L#Q>d7nnE>pWBhj7G3itSpG;%O1%N-Fe17dHlsJ4)MHE z+o^BO@TSC0x5WdBpoRjS^Y_SO^x(U;N9>1&-k`N(fAAEK2bI-yDlDc#>b3Y|F?t1R zpvbKNP~;9t!cZY~>z}+5O?Ats`xmrp=AVdX<8$)0&AxtSS+kQMdL)a$YW1k3lY!?J zE#Wf;YL0S@so^odzTP(tjDtY-f3Z2&zfRP-n%OfBfkM5?{O_=D^Hw{e7CHFu3|_3< zSnB}omuNh*@m%Cg?Nh-`>CfcC%vv^$6H$M_7ot$Q}1S6Gd`SO{&}3~O!|cU`?X zwVj34IWgu0q(vjQCKgNTJs17~57x|O4W<_RV@CS@5k%iZ;Vp0Gni^&7TPOaL6#W_G z36W)#67*dh6G}9bE_ZS?FEb|2YmOqsOysM@P;H8?4TDudu_vfyK4yPjANMc z^JjhK+eGLYra4++ppjfh?YD$Y7*PH*r<3J)VyXoq)v|wlIs69^M{GhQWEfegfp^~E z`?E92K^md{rYDs+b-8DrEA5cf7{Zmw7cPz*i-V8BAb_W|^p zB6^sj&0yi}_;~zj-b>y9q)`}Dt04%wdDDu>WEC1W>)?M92$dK3j!>^~>90Dju zzE5|Q#BF7zRAT6>S^@hL9;;=>!DejFxg-}1`U~8cgcX`5A?h7E>($-5apJ(@^y_Pl zTaw4(Hk{H4wlx(wcI}XRB&XIh@Q-@am(TrvsmqGOtzNI>-@u4U>%~gNuPY? z_wcf2S8XUI`LTr)ZODiBX*<0QfE1D0NIW6iy@t7nPFC@DnSkBnt2T<$WMsUB@lybU z&pv5lA88(ztiu;7j?G{DMEkE(tUkw7ClQ?gdhvIxCQH^?C)AA-93ME*nzQ#roQ`@n zs{8!4ga$o|+tv`~C;EnP%u-#Zj@6c!^mCn3D^wAh8&Jp|_eDtF6{@B~PFM|f6%il5 zvVDDEzKKk+Kqm#pj5eV!FO!<%@f*k6xnaV$@6y!*K)@cNLD>vTO-FJuXIm_;gdiOf z4_+Il=G9bnijTKdQ)G_l&#e`qR*BQj-T+xnO5F95!ew5KhK8;@28!JE5;pAbHx#8^ zy)@9=KzhQerq;2L3G(7l1Lf(j%}@Q5K{%&BdeH8=2jRQ*v&l?iq8m>LweGjWcfajl zshdYEMyd!MFjDD>ocFjBVEu`(f8jFZk;h&x^~r3bf!%@COW5Ul7p9tmOIkFa282)3 zFaw1xcEpF#Cn*`rY@gh#9r-6collRoW(uHgKe?l2B{S((MNzEWAIX^8`wDTkL&$Vp ze`J9L1$;l^5iO+8dmmt@7Wx(v5->aq)@Q@9%?4;srOg{n_437pSt~}!)Kl9IT52zm zc6H+!)N_llmC_P9W5tj{qM|h1eK~&E!E9;1y$s$okLRR@`@vXcb<<$*ILvTYgj^jQZJsmu_Lqzof3vj+vsqiSAW|2}San)AcKAcw1Eux*BZN zt!F%GJ&0iSg%yRCML69XO`9A|d~mS6ll6`|t}JO=ElPErT)8RN6~z=ga^6~mE z&UoN`Mv|FxFtN2g2f>z+xNNnyP`Z7MNBkuR$&yq*QtJ}5kX%iEtL$yq;#yc>5}zCe zZ<|3&L-+3S(EKs&hL4Uzp(C8kd^AEywvZ2sh}h~b(kPJE&Et~j?%GbPXc>X^g@Dci zS`Q{;e3NVfNJEFnOA7Et+BXSZ!d+Wlqc zuDS4qLDABuKXy1vE6e!L$q95pymPm@3ODefTU~(j??3Ph{%TyNKWfn-jDE4tzG^x0 z%Z0tjkjrjY=D3vCy2vVlD&~CxkZB(Gs<#Ba`-6M*g7EwY-$qG5MW~t@^SI{Gluq#a zY4$fxi6Ub`m0fk8@DBaBfzpUM8&y~=x4`gK&^y99Vrqxl72&;S)6?YC9K#aKg#0EE z&ObIAi$1e}1S+3$PMts2p52I1nJs?3I-()JZc|ID9PWedlTay41C>`W0|P8FkS=g6 zHCni_Cfx#ujhQ@TTk{M4pltax&C$niGqA{}f@@}uOXqJJJFA6&x%!SKxI z)X*g^X^$t@5Cf3TCO8AFq!$on#*{rt6G*AH6KkX2H>+zP1v(a9e#zA#n%JP-E|$nw zeA}!&9Y$?}7^LMgRYAEYu4D`1$doFIZ8sZGULQ@GMXmqFunw+NWVq)!>7+_xUjk94 zIT_~Z8D8{M!vU*S&#uU*Ll0AAs_V-Qpd>|R>uMD{Al%v4;a01)>@Nc`<$eu7(L?09 zu(7p!8|%{B(9zg_?yKHr`tbs__c+&IF+78H?es$f&@A46zDn*ZIDkgmG z+)&nGjJ_rN1;OpMuI-dEl5vP`y}`5S>+@P#FuD`lF{w$Dlg=TZ0cppySB$@xUR|Kv zY1XiEtM;f7L|=Tx2pr4bSa;H|et7E@G`hkyy$e=J15e$tt>_@mp+!PeiD z+8VX7HWjLg`hT%LNGjeRF1rtm&ZbBC2>HG8r;8 zCpW|jGQ>iZru`tA8%xZ0=82Pw>#9yg`2@QWb_mry-wmk1_Rewqtn&Q*3#;cLnae({ z?{RPvPp=C7KdPW62Lf8kUO>N%_(7>^7K!HKwfu8}S~)jOzJj>zuHRZ3cCa(xAaE5vcxkaVKZ1i__Y8_yEXE*mTJ`OBERuk-i2@cyh8F(&@SCfm9}&xu{~ zudejHBi9P^b}vfltDL8zZO(d|e3E)ia!S+`h7P#SilH?4L~xWUTl4t)i#dvM_J`kQ H4Ca3T!^@O1 delta 5768 zcmY*dWmJ>_vzAsml$Mkb3F#7$kXjMxZUN~Aim6{d4b}GxI#>nRDjP{FrDLY8Lur2HdB;_g^E#`(JFP`(O0O`I~F0P}&f#)y=$!T&1A|{vE#K@!u<$ieoJUhzTZts)l_gddUsafN%3`C6bv|k&ooLdk0 zRtHu~CC+DuO+z8%bp^cd;gh;n&( z>>f`g7*%0%OoDB>(2rxYmIge(%mK`?S&s)jfiH8B|Cq(#KPmPf$&GuvxY!(MZ^#?k zQC?#?zc4SKANz2`a63s(UKFo#z|q0~>|%tpjwL^F4vGttzYRYC{aN1&8-OxfMgtqwBxs_axf z6sW%3JkoYP-89KJ8($=w^D-pv+_){`cHJQTX*p*+Id^6pwy-$m%IRLa`=q=5jb323 zTn1Cel9@a{mi2N4qnD5Q5S}10ib^7q2K(#C_bJF@gNvPLZbqg`*|Au&Zj2l5AIdwm zG(kLCfQTt)%OwGb4my8vdN&zl7B@IFHmnpc)J!zP)rMJbi#X#%(7wkt1_g zrf*-r=AP~MvKHT3&oJKr7|Lt&5~~?&AAW@7MdB@Q;=@nDwO+L@TeydyG#;W8-EwEn4q*i z1j6civNI$Ym9sEFJur}`jqzXIpVi?gUx-jSSJ3qPD804%@ca1{rbe{r< z63S1F;}gnzb`;FRpD`kxCULi69`Euf9%kO(znu5Xvz_C}K##gG;Lbe0VKCqfqB8I4 zyY6b}8vF~Q@_s+t#ZKUZr7RZ}6X2e#vZm`@i&rG^fS#i6kGr^vKgPUUa)fI=OA0g< zv_akBB)Hm+pp^eC0e+xu$J+a&z8wYVj*k1xSxhA79gg+ggCtV!TNzSD6u9_5cVJo} zcs0rYs<`>5QZDB%+g^-9Z?8z;M<1{Rq@{*HJBP2>8|(39hmq{_ynpADE1ht3}+ zYx0p9t`$zYq2Mnd{-~s=^c4ybK@4<2E~FRxTc3%t*opx-Bu|p^ zUd<)yqc2s?d~13T96yYd{Y%(_#ugouacCfgteDyT3pBor;4@DU-s0|1NL=h$ao)7m z(nq5XRAPU6libhJ)zZ8PPv*{GyHTb}*2F(4@#2~;<@HGK9m}n&m3UF(9MI|OFM0B> z&H~tDwYmDo5v^H1MX5uY0AAh1Iu3tFaBN z(lg((?@#q3z{2lcxz)^Lyqy^zwV*4XCC}VY3qSm_e^RB>Zk1;?=WplHP?Xs8QY!R) z>ragnUj+2n7x+*3zn);=w(!HTb({Oug7@AznS%u=v(ao+)T6OY@c+B9BXFk)zZjcd z7`bmSo=Q)ehlXf#01cVP3l~T71<19X;x2I>imi2@vcym;i*P0u&kIn}FZNZlskbb4`sp_J z3&Zl)=*W*6Y1JYKC|LPilIwv;u$zuFp-}bTaXRQC%d_Nz@O@=6!#KIf7{T+Yj91Z$ z82@t7_fB?&-3380Bkz98y>}4V%2O3(@J^}9B5m2s286$_a(Z<2XSMy5{AJuW=C=R^ zQdOTdI3Xhfyn4|$Px#)7N2dg`bXw1}T0F`Z;B?qEf9^5@lP;u+7JMLi&*_v^qPf?j zSu7g4EOYl1@S3au4x`$hf66#Yp$%Ev%w+l%moqkjRe!vOnBXknE@j*2K32bzRWsN7 z&bA+O3^4RAJ(rJcV(NCJ^y|TpuDNgJDK3&NASmk%zqf89^VyFhEvn;RBtC^JKs5+R zy1v4bb>-msMjT|_zk4&MWE}9KJ6T^dv<)1t9_*H#Qn|+*I1vq}1O!LeE*K_&l^7oK zGbeHN(7m|_^JP0{|C3$SAvwAeT-x1rMyuiG%YlZ-#V@)3N}bwVe!v{>?QeH){VHwbQ>swh z3yrP_v^FAOv06`~e4zQ{Q(C)oj=y}4s%UcxEh}p*Qeo@q((jZ?ElVtIc|`1WU!NKx zE%bplpd3Zqz`x2oo##Z&EV(sx@NsXpfs4s-I$2p->N&HFtR2%M-+p2|8MC#U(zxh+YiU?EGwJkY{=K@I zms+ZWWM%FzK7C-6ov&xd?dxsr+qdVIG--ODE(+)|%#ACYXs1?Zmc8~BY_{RKwBtW@hSd7Mueq9$+{upl19@!d}u(0Ze(SAIe-qQv%{n!vo%UPU9d z3dcAu2zI@(>DO1|!XX~2(@{hV)J3b|w#!yJjNMcv2t`w&+$?X%2A5GjyS=M*7QmIe zv?u+#*G9!xTHR}YL2_oCJP1&o-a0}GM`x*RCu#_Z9X@x|ZX1^aokY6KGm%T#u6MCd z&1}FMtorGs5@KJ!NSqaL0?b6(DiKECgj*^Rbe~RIf|n#=h)kD%BwZYapi{H6xZDh7 z*tBuJ=4T7G;EN@8B|X)M9)klsg3?dsmv*I~hZ<)L9k9xDcv&P=aB>GU%N!ijj9Tx6 z-kE{|Uhnp(D4P9PDz{C_U{06QtqPY;_Ojm`4!AZEY$T*;=KfthR(d6N5sb%?Y(Djn zN3_B1ox|kYxS{tVU!xwrta_RrRkd;di;1af+-hp(MaSr{Mn$lBnSDQCIuJJmVi!jY z9EMm_n;*kg{bzab?~zI8j4n5)jk=5gIM_#blv=NYHwL2#mMHIz<<+c zBKDgJX=rYalb*20c4~1#cw!DHL&@APHs}T_hX)QH=RMNq?`U*W2UdWL>k~Ex+L0~} zg{R;OWMW^#xxrMG?-3v`dKbK+KF7lXsVja z^L1dxpuw{(;@N4gunaC!x!5)5L67<5*%^Gv@#VcWGWjb1xj*D5c;Ey)`3ecCG2^wJ zs+zj|@kV&PhYD}c0NA7$S5@TJfOOFex8}$ZJ4BEpC3(Aw`E-~VV=mK|FUS^)v|bmk zt?5D24AQ3oaU9CSZZgs;75QqitXyYx0;Jmo4#t$Y6o{or7L}R#8tU4!BbAGphVuwc z1t<<4Nd1P9>X@=5&#L~Lpo{3eRsu?V3lrr?wY9QJKa8*M0_rF$x0Cl#8@0m^TAL!` z9gkb%NPz`^`ND`e(MKZf@eQmK=x~USy$Wt$6mo&oEc1LP5bOHNLrRW4ZH$~1hb!%X zoJCjK2)nsij@5exT^6^fkXLxSdTXw?EXzmkBD0n*PUgv1?7O=e*>E0%2z+($;Y6QT z80{`(c56h^UR_BqiMjkC?0PILW*1`E^*%cU9#!Kxke%DYUo&32{j>!?NcKqicPxd%`flu-){TuK zd(^CCRZ4_=-*;($Wgz@04GvPeCU(f0EviB*%7F>IPpdX5%%|8bhO^gK#5{Oq@yOK( zB~aj7%{LG=X;8KyXMq-_k{E-&wzEbyZj`Yb^#M~VP+qXDQ9PIUdvRHB3P0lSvN>6@ zcj)}bpf3SZ8?)``6_nS$oP0+~O)*Z*4OtYZb&o@;Mx$i&`y7_YhzL(&Ya()Jxl;^f>tzwCikijw_>BWnxOGrOC3W{ zaBU2*RY0WVD(LZJ^%Q@{CL^^W#~rU={7+Xf-%&_zdeB;nz`6Zk5}7n!H$)+Dt;Hfp zUjGXRW^FuC_I|&CmYnC3Vpu(g*{ekn>Sz3|L-3?b$Vb2(P$yknO&`>I2tAr7GW@u zj5-7>W(a_ihIUkO&AT{zSvc+Vb6GW^rgqse7HWk7U*2i=K%HKzAdiXJ(2`VX=Er8MQqr2sV}1{gwg z%l`I@TZS7qsnBc2X$bY{6!}SoKX79N)Pf$~ON3sRkgGa72%z9*hmY)R?0f_tA&FCsza;p=Cs_ErTf9WJ=)^Xu7l(K_n8Oze2TPE7tA zaXIwOPx-m!G?4n#sh9#J6a{y^usr{CryLj<4082xjBs$ao+^vgrrsJ8{ofOL@@+-!jq!qE>XL}d5 z2w@_nv?bu7EQe7Ocl?I5m+=APTN#m2`oRQ~g6y9~ae@hyLUu@+p)0$q6KQcj9tQgu ztX}Y!TWF3tWsZ!?=v%G944xcAZ6!?gIG=z>z2w=cl&Y0fnf4^ff}$GER0xT^lJDat zW^$NArT3w;gF2+H%9=j6xQ`?*QPdJU#Qt88-%^YMQM$JIFIl>L{)9?^j603foMorg2joLnqsfpC3~${UBK+`}{$mLViVO%5$~23h&;@ zgB!7y|1AHJVV8913-#h(v4lf=R|mI_>RG?~VIs4NYX#j>M2|e4X;?jrtw3 z=|bfA+j*UDf4k5g+`+ z_Tf%L=^hE`vlq|55Wo=}$I&9>%fdnL+$`z=mN)SJe9MWH``?YI5nWE&GK5$Q9FGuJ zWY6wU%jixZs3n#>pFPFon8aJI9F+f&@DzO~o9+@Km+=|PZ!vk>vXfVoGq;goaA%2r z!481Xn|zzGLHnBa>nr)0yMdj87w}yN3)r7_!(2PFW0~*+WHmEj-Q%~#a?4_v^#@>L z3~5@JUWNvRK3EBfSQVXLv8c(DP(y}92;14U??0}&TkwIIXtCw}j^DL|sx2FBaj+cj zo%mS5L}X0bOtOM*M&<6R(93+L=PfH(?7yca7VD4Wtt%&luk*0oE~FaP08;w&HZDRy z{eE3s)|{hb>Qa`tXoL!B`ze=oj5%vLP;6@?1(i-_MM}j@KEz+ zNRHQL$F=2`CyYGbZtJV1DPP@_wP}h0r?%`hb%U!+kOkBQ5JoBmQ8i+i7|x|>7Eg4H z>3n9KudKexH$m%hjh10Zp)Oliv?mqhDg*L;Rg`Jizn2f-cS# zdXpH7sV|E97H8x#&r8?UcilPRZ8MYsy&R}4bmXNK8nt?9`7HQMTiL(Icbi?YF=GqiOM#imn18>+h}9SCfdWKmiVWCC_h#gyCpi*VPEji>}EdG%;$x>I+a#-mY00wjFHaBW3C9x|q~phgdv^*#(> zq|th9gKm|zN4Cz=vv%aD!HClX-&}^ZKW~6fVEmhUk%VDh8~aFu2k*?(t^V7z{{b(Z Bm?{7O diff --git a/cpld/db/GR8RAM.(3).cnf.hdb b/cpld/db/GR8RAM.(3).cnf.hdb index a8ff3fa4f8810fdb93c02761ad7cfd66bad76ea5..ea252317df2061ddafe0133ad0bc307baa6934c6 100755 GIT binary patch delta 1216 zcmV;x1V8(O3X2MmTYm%u00000004CX00000000XG00000004LanCd$Ze*)!o2_z^g8;fDAW_z8}HScmtjUFB|1CI`d; ziIzNF^~#^OOf@UtVa1YKLjz4%5Wxa!ZfOB&#|<^ zkt(Dcper2YjOkD3oQ_c!s7HsP2E5rS%cSN>IH`Ej?5JVbcNZr_Rqm&l;@pGEi`lPC z=zae>s!iBmhT_v+(`;*X`x}RQ;S^XLn=YGURn>IG65X-cuHgr-R~q{i$j)=erY1$D}ZMkOaJ z`J^N!p7?dzd^Ag0Tow??syYpV@=sOEJLN8Ssad%Rl~l*@wNOHy5GwQo)sy04787N> zeMUB0_auKp#GiJE_>2h=pDO+A=iJ2n+$ir`oqt`2`Pt5yotbd~|F*GIbFzKjtG@qt z7yQ4ofNaEwTi7*_-aJ5h^8i_#rxz>O_#IoUfb^mV#9#k@71HR1j~%h7LMmWooxoRo zZxOr;_}CKpZGR3>FwE-R0cO!`9P_0emrzkQc?pGo^#~+S@xu+WB{xwrZOm&RTHh1i zbAPR;$qJq%UIaFo+8Eli3G)H4zB-AT2=fdkeD_3ohuL`fMB#auZ=XZ6Xo5)LHBuna z!7>|Bb4N{tc?H;fi^jZ%ow>uEDx2{1IG8Pts0L$^;o~g>IEL?t9sNTn7Hc(OpDb72 zgkEpMPXF)3W1?+0&}<7#LXAW60?wJTe1B0rC|bnpEFbeH46~3|h%LDR$3C^OOFqI; z)?v0Tkyw~;1+%KoSEi%!ZvX%Q|NnRa;$UE8XkcJqSl*bK3Zxi>fH>IQFW$%B*)hb^ zAIR_w4gpCpFaa?O0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34en% z5Hm3d07>PWLOVbrFdKYbgM%I2U6IsA0cDvP6o8~&(!}3Dia`{JK{mJt`G*F?heQS- zE0+LDvVxWW6*;pOq+AM!og9N*BjPM zi6c-%5{P|+-Qz=CBSH|q4tDl%^*IGeGcbT$#R2xgX~UTnAQ2HD25EtZ5kxg3J2-U2 eQr; delta 1208 zcmV;p1V{Ue3WExeTYvlm00000004CX000000009800000004La<}v!d<851fHw^1T<3V4%(Sa6 zkg(+V-gB<6?Q8owv)OER1m!X6AISfEy@ojaMjQ^aGQPJ_e}BR6zgmAb=YVrPi8}00 z`h5w5<3Q4#;xwB6aM-J-15)X@Om|NZOUIQ5cC+FXfGVq};|Zy>oK7yFofcJ=_dI?s z=w#18EBCT$G%jDU?;!61HOW!B+_LT!V+>`;F(7$i z-|1k5u7BP!B{e!Fl&@Rk);+etB|m%mo4{W|lh68!@p#xWY*}>BV{z zDC4O=$7-eN>FP-k}&EBhG?wRq29~XGLX-O~H6awFb_D_#8rCL4Yky*PGOvXgdQvf_mZp|{X@xBn zqy=y)?BoK|b?2P=XbRL@n;`?w%)qE1bKvAf}F@5+UX2(SM-IY>;or9(hP2UX#EF$psqElW125 z$wo7m0m}=r^CvTC_LNc!uQQeC8K=7cYf4s+xMaTdlo{m3mqu|c&RrZ7XRB!3^^Ak6wsymPHSE9!vOPQ3Ia{;NxLLu||O z7^h{GtxkrczW@LL|NnRaOpw1y13?hRCo$@Eh7d(XEVK_;r*S0I(nT%R=OhivNSC??qgVl%3u%Qd#{rD{h`#%%IGEb z9Mu)th8;}rK84+ccTGi^Jh5Pg-#UfNh+)kD&$3-ybCeeYUBiH zmRO@cqWjtHqk`XoN;WC#N&MfM^D#n~QYMnAvSx#~qlatBNV~NB-RPTctvA=%y7)K{ WYU78pr?`e4VIavi6MO*x0RR8(@=??P diff --git a/cpld/db/GR8RAM.(4).cnf.cdb b/cpld/db/GR8RAM.(4).cnf.cdb index 9c71985238493e7e0508fd0d6919921956cd6915..fbf9fb98538f3b9202174f1bfc9692dc56aac7ad 100755 GIT binary patch delta 802 zcmV+-1Ks@R2<-@vTYm`y00000002e;00000003{-i7#6S?t`alr` zK|OdA6wkiB=08M4Ru7`A;Nz-)=-rE-Ab9d^teT`Jv)NhKogO7%dYPT1GgX;%b+Xgh zEX%S_w523TKG4^tVLH|xCX%Fu&t&0gp?}9**}tKudz4==3}a0$jyt=nduEqk9Ol|j z;A=Ygx(>dfgKz5KTRQl*4!#o(Z@aoPVY+RQ?u09JCtRUB;R@XeSLjZ-LU+Oyx)UC# z`xrECpPpEyhY@|;V9z@Rc({z=iH|x5K_}*e?BeX|Qj#sKGxOyowLIulNAC)H&*wq7xOBvl1F5~U1X{~HHOUoJb<^VvqUt`~ytiw>DE*Emlb#aO!L)UjP6A|NnRabWuG^!%!5xX{~M5qE<)2 zg5sbKEmW+uO7oJ}CeS9Dr1*jGaC2~TaP8pGO+nCt4(?7aB2pCpfi4dI0IC1N^P=?8 zf`RkSyZ4^^^^ziunnZN-bg>VjG~ibqf8p?;X8VEQPT=jz07ZZ#6{1A#jG;--0cxWyz=d#%iDW(`OLIs?w`E*=*2J>aZ|MO)=gm!Sgo?7d2 zfN4Orvuy6^o?)qJ6V!t2;JNb!VYr!6|LrFO;bx{-i7#6S?t`alsB zK@q$Oif7+m^B*E2s|Qh5@Nv~Y^zOxf@Z{TAHAzoqv$Lx^JxajzGCN6Usxs;7WT&%P zmSvx4%Sn=aps!2AbgVtkBuNXO$->h@|Bkt`e?w3AD8FD6XkwQqoxQbvv&%0IbL}Va zbsc;|2jA4ew{-Ar9ehUz-wlViUEP^5-S(aCge!C>T%kMR3f&1;=uWsocfu9A6CSAh z7&LC5om!=b5q;cXFFFNyxQyY6k2{A!C+36f^8ETrlRW`T4fc>_w_K#am(ovtvsIIo z0VfV`cAD{n1JuOlf5&HXC6melBPl!2y+pq+SL)_}=`+u|`xlF3e=gP!ycr&$1N-^P znqe9~*3}1{^P^*v76L>!*7k3f@+z*9M`XZVWU4(kmwFi1)eIYIhD|lYmYQK(&9I|p z*cAp*nhH|ys!2Z7-7oXwv++KYkpdtWEPzmqYXoQaDSuF>b2!c>`|=;B?62UH$O0gL zTTNq}NFwoKkNNfd15DpQo2{%CtE3J%b+(f)00030|9Am(Q9Vn;P!zqX*0yR;t7E}} z;-DQ`sED*m^U~KOkR~-r5k+`)cXM!caCZZixMMR3?KhVX&$w}(J@VqE}R55Vw z$-DR5ulID)s76HH`?Ea|Wr5K0gvTR)*9jxRy(rj`0ZITF>S{!nc@vgs3D}BU!P=f_ z^Sbc-I%)gPzQXzeOOrl>wrphd>n}?K#E$hi^u(y40sAqGSp|<7|HuT`g~z&@XU~awHpl{ zXp`i^ee-HxfPU4GcdsSMM&R!1xnBm$g;WzCQ#axH9%nRuh|}8oV-=oZr87|OBBoOg aw)A#=0HRqy)*M{6U`EKbF8}}o0RR6-wtZ>< diff --git a/cpld/db/GR8RAM.(4).cnf.hdb b/cpld/db/GR8RAM.(4).cnf.hdb index 383475f6b51fa8de76d8f5802da0d5a8530e8d31..fa1ec73e1bbcf328fbbb60a0d2ed2f87b5b74e2e 100755 GIT binary patch delta 538 zcmV+#0_FYt1c?QZTYrQB00000004CX00000004;s00000004LatW!;E0x=M^;zzLv z;@v;sq4Z0Io^tZ)L2n|9U3a0ltl;Wj?mzHQzt;E0Bt&Vv*ud<(nKwH#nIw6h=LM_= zi2k3QM3G&p{rY_Z`#hz}pp@ey4g z(en{+KH|kkyb8hjVD#)<#)#7}m^&ku$tQCIaf&7)bR*90i@`DO7t%(Nx*nd=TGT%q zKd7~VFt>shATmagU%eFXvo-iYtWhxtTDjL(wcvRo%ZD`$!Yut-$8ofLUd`eY00030 z|9Ao7U|?iulY0Rpe**`QJR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC^Wc z5Hm3d07>PWLOVbrFdKYbgM%I2U6IsE0A-mO6o8~&(!}3Dia`{JK{mJt`G*F?heQS- zD+d|P3ReDCI^9Lyadx8zDXVRY5 zB_L->0QO(E>4jr+S ccS;~t{7_Y%F2K<6bOSmFBnox`00030|6nKVEdT%j delta 524 zcmV+n0`vWe1^Wb$TYq!`00000004CX00000004Ue00000004Lav{TCp!Y~k2d?E>qgWS!ZKXQ#D@1z;ZY;c$Z`smx|z}`t2j;g^VxJUp@w;b%g*YA1Ah>@I-#c%`Z{5(6Gl2= zs1pWU$Q+dVrwhX@$mREn@kpBao}}s2lEzpi%@i7ACi)0#A!l7&o*|IKKZ89AA7E6- z0CML&C=ex$FA$_+L7(v0RRC1|9Ao7U|?i$lZyc(e-JV- zoRQlS01}Y_VvyPZ*PvjaDp$Xd_~4KrPe1o~ATuZuq=bPJh?y8TfTZ$Gp&cL*m<_(J z!NHF1u1IPnfU?XCAW|=B;%|^zQ6L7{;2z{38W0~68Gx)DWHc*-0FeAEa%L@%Vvqu2 zC&ysdh%(^hyG;Z?JoOh-*X$ z!q>sh9{-i7#6S?t`alr` zK|#C;E*?Dj_L~0?5m`NmvVxDR{-Jj-{(~pq#;QqrGMk-McY2h7>18rWXR0#k>SU*P zvn);zY_@)lNrGszl;5*^)j;lKprq{mHop6Qjge!C>T%kMR3f&1;=uWsocftd8 zAA`o7(-W)oFrtqe?0L5U50^2#@KN_5=)!!FU7THA%3G?Hd<>PX79W_d79W_e79W_f z79W_g79W_h7Jna@vlbtiw8VED{h36=B(B9P^f%X6=x^`}{S98Bzric?H+Y5q2Jh%k z4aKk~$?mi4hKm&VQu?WHw#+@h;e6_j_m3*Q&G<4FzP%nH7l%1y>{{lwOgTP=rNdLOB+R358iCCX{G>Ol}^G6j>lYC^I9N z0n7^86n~nj^;Y+;7xOBvl1F5~Q)H?=H<$Vt*3=B^YK9Fp!={>HOU`vpO!Bco{LM^jixb9 zB$0Tr$Nc*K0jBRuo2jf8tE3J%b@r1_00030|9Am(Q9Vn;P!zpst!>q!R!6~t;-C&K zRIId0^ODyl&?cIs_<`_nb8vHT?cmT&LC}H@?oKWuQWXDzE)MVjG~ibq;qahl`+?w2;O)u)MSvs~qD1YCp-ImIuoct{-c9!!Qs{Sww|| zK!O9@kU*SS3S9Fa2q9EBAW)H5uJDK4x$qC1*a`1B8OKe{QjbBA$W*SAiQkJeZ<2b_ zEX%TYw523T-q6>jVLH}2(@E08r(Jkj=wC5c_Alt^9_1Ho0)OrNsJFAaYj*j?VXplI zzNUk(>);zYct;1{)WNrO@a=GT*VUZ~({JDDPPjsM!WFs`uF#!uh37}3WK_N-TchszkA_^`JhbYecp&QC8dNyS&I)$TH?Eo{!F4_64&At`kV7B^f!2g{synm-{2Mc8@xh)gLm|& zhGJNgWOrG1%|!})DgD$pTj7@9a6EO#`$v`DW_%e7-&PYJUsOupU&N<88*)Ft7hG50 zHs{ml_-3aW|8;f-4deO0P&vD8eE!p&X0Egu*Nm6H2rJCN~d8iY$;9%FGC6 z0JDNNiGOBlz17{T#k`8Ef4V zT{X#vy8C5*d^X#bM2g}+(8a;YN$S7wyeNHCF>vn5yZ79$ z_kVQKs76HH`?Ea|Wr5K0gvTS-2_wP1DA=?SE>nCq46k(wcs=Wke2hxd^z0A$br=Rs4-m z2YkMLSXlt;fJ$_H9EmDGidwLX$NiNIcnVN5)Mwfl$@@S0d|_$u%#eV!8x0<4ljOpE z^J-s!e$|k7uO-Pw;O^?VUk1yCR1+UlH{tmnXEc6@)7tuD6`oi2k3QM3G&p{rY_Z`#hz}pp@ey4g z(en{+KH|kkyb8hjVD#)<#)#7}m^&ku$tQCIaf&7)bR*90i@`DO7t%(Nx*nd=TGT%q zKd7~VFt>shATmagU%eFXvo-iYtWhxtTDjL(wcvRo%ZD`$!Yut-$8ofLUd`eY00030 z|9Ao7U|?iulY0Rpe**`QJR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC^Wc z5Hm3d07>PWLOVbrFdKYbgM%I2U6IsE0A-mO6o8~&(!}3Dia`{JK{mJt`G*F?heQS- zD+d|P3ReDCI^9Lyadx8zDXVRY5 zB_L->0QO(E>4jr+S ccS;~t{7_Y%F2K<6bOSmFBnox`00030|6nKVEdT%j delta 524 zcmV+n0`vWe1^Wb$TYq!`00000004CX00000004Ue00000004Lav{TCp!Y~k2d?E>qgWS!ZKXQ#D@1z;ZY;c$Z`smx|z}`t2j;g^VxJUp@w;b%g*YA1Ah>@I-#c%`Z{5(6Gl2= zs1pWU$Q+dVrwhX@$mREn@kpBao}}s2lEzpi%@i7ACi)0#A!l7&o*|IKKZ89AA7E6- z0CML&C=ex$FA$_+L7(v0RRC1|9Ao7U|?i$lZyc(e-JV- zoRQlS01}Y_VvyPZ*PvjaDp$Xd_~4KrPe1o~ATuZuq=bPJh?y8TfTZ$Gp&cL*m<_(J z!NHF1u1IPnfU?XCAW|=B;%|^zQ6L7{;2z{38W0~68Gx)DWHc*-0FeAEa%L@%Vvqu2 zC&ysdh%(^hyG;Z?JoOh-*X$ z!q>sh9V z5+MpNhF5D0-95eSx)akKJu~iu5q9|Eiw}kxhQ1iCgrI-~hX}H;EJ}EQD95twu4@3E zsCXgXAno^)mA|TAW@T0V|JB{QJxk|Ab!PqYcjqs&vNE&&bANDfaPSFTU%yx^{!jU} za7ope&gk#QrE|KC*{A96tv8&z=@p;4Sls#e#o~xWH8E@7vTsVLE z>PDhpZ7BJ4WMACbydzN`tTc`=0h+qv(_m_hq@nFYC+uQ~c5+`TePXa(|R}r~WBDlHXrz z{(1b98~u6K=aw{gL-w4)uX+Ed37a{CtyeY{b$@m}7T`8_HQR_=>^g~XuhW#CW{>5D zZ}*lD!T(1-_`THUyVluz-G&p#h&+5|sywQ0!z|CaE5n?N*#|Iros z|NDyk|6@h||G6Un|5}m%e=ouR!3HP#+Tvf~o;?q}KlR=gB69MxvQXkn?!k2l?>#xM zV1NC{^2F;z!OaiYmh_7mcA4ImQvv?(%TBEkgl9M!!vOwK z@qg1X^S}2P`Qx+Sb^I9z@TFa`u~NGj?CGvuY#g9n-s||Bbv&S}@%csvxBd&C`&Z=i zG{;BnPJfI2=zS~l@$sWIlE?>M?{a*w1%DrXzH)CDWo)KTF9Rn&4d2W4ar;kBE_!Is zCTPVlhrrU~az!1Jlgj(u^ah(v< zmn2D)Nf7e%nUweg5xx5Z;j5=4qRPwWN<7~QizRc;q>aBeSK?Yl;%_Zu<8REBxPM-e z_}VFn(7b4_#9HM1_LM~0adzZvR&0FTltgImnrmY%a$Y|r5gUJcjzr>8bg=`YHVGIH zve9leM0odH!Z*$(Zrj>>cC%mFEODPfOSaTBDL**OB^D^Y&}1U^9rkwb+}XQ>J*pqo<-LrH zzg9}(e`u-=2+}VOc!x$Dy710}Vhq!vODL^(zC>m39d-lV^8Zo!29YH1mwzvg5IoQ% zOlAU(X@hm7rN+7yM?{7D;s z5Pe<3#l#R713QH4{HvW*ak0Lg{V@e{3e^aqh-VR6| zZ4Sm9pOA=s8c{b$rUs0CM_fITc}lZ0RCsZ=&X95kvbXU6Wl z{lb+Wf#O*&6)w+&%eP-jhrsTabO9D;c!*k6a|j3Cy{hJ1O|_~yDt}Y0YEHjYtC|BX z)vD%-6io zBRKuK?pRG*XN}VwfmU<&8>g}EmQ+RCwN0A0TUM2~TUM2~TUM2~TUM2~TUM2~TdZo^ zrajwDH!0etYqzJGb$=~g-flV1yxsD;yxsD;yxsD;yxsD;yxn4*{rM{e<3C$(Gb2<< zOJXp1mQy~7a0WRRUeM1{(mC3o!xn9w!J&CH;OOW5N!jMQrn})3aGOI1kLSuK1ul~s z8(HvUCh2r+YA!e;$chkK;Lx1E4yIK=@7*m3ORFeu8fH#93 zrFw!tuS6Pzp@}c6b<73|e?OQqc#q-3-`7v+Bisz%(+-p$^Y{eCwyhT7gfb{tI2uca zFPs2g?LdAKk`E3(^2B5`l|pJQorL6r$T11Y2b*IOk`GE=h&sw>D%~~GCi&pyg&bj< z3?+IjlX? zB!4(=(5ugh?bx*?8&=kiLpeAw96CE=8x?lC=u3s~&(G}eHT)xVfaXJz?W3@an)GbX z;}nn0YJ6nws+PkKJnj8$)`JVu?u0Tyj$PK4%Jdko4JKD1$ z0>#9;#qMP$H(9u7l`~Tr+0rTQhr!O8(|?PJ{LbmG2U6A*$c-o>>Q9obm#0j2F1cYR z`C}~fYLu~fXs-I#H|m;((Ub}G^~RLRo}s?phcXu0aaC%SSS;;*PFvt;jiecP4tf|TnyUi-sPdq7l>1I!?auU4%4^yW8>40Dxk*YpIqw~7REm7t; zpV!vv=-k_v*#*nmM{}V}x*0twTZg}T-r`{2Bq8UzN~IwjQMw#XbmBCVj?%mc{7b>c zi-~@COGef-k&wcu(s19bDE+oVT7Q(FKgrYOIP{klr6*-$f%dL@4&$|yItvXIV@k5i z0qm7?Wk1sxryO5AAlaN5^ztcZN&UMQ9zq)TG+a0o>$y?p*dO_TwdIhhXKN;X>6pGZ zBWuEgZHdwFK(X(sC=KC=(&Zqnm(EN&O7oJd&yX@Uu*{Oq?)Dre;xHn+9Dk%a?d_Xu zX@|W(BWv_{@0h~Oqjbik7aDk&vnO8Zo~8N0HSG-t95Hg>km_sm;My%9_{Zi=(lw{BysI^Dwo277)HJl|uzK z2KuDJ=in2U91`vBbsSarq<{Jh-`Ca3;L|}>7i#q-Yz{ud+;Q?>Re!CeJf`(wqM$9> zZd9>GOODDODb~Oo$GNg|<-GkYms^8}TodTlXH$I|e7*q@N3Lp$lbPB!GBau@#AGy? zO+pGF)|5&@R&xZ*EG;9%aLG%2UuWf{R6efLGGnb{#N?%rn&o5ozJIP(F8SARJnWMJ zpXtf=jjQ&lF)tlOtC?2)Sd!%6r4N-KskS@L*nW>2nuhE&IQGhGLHAsPi3xuBMv{=j z-=-1D6T^21zXmsa^NZtEJYI zyLg`&e?D-e>=TbylYi@J@F(wDd`IH(fos(*co=2YnT?OHJ1aN~Hy%bAJ~jL?J~jQ} z4ju`weR?tW5V1bndXnpc>y==DE-de#2j?JD2W#I6t!5=C74>*0%N4kt| zkFzad`ugo(gOPiC?Z75*wkwrY`Pb}ShJSjkf&Bvfqu{@c+?`)5@?Y~32o zNw!zZ60M#?aW&*OZAa{Df*a}YcJT%uR=n(Jhxq}x#Q~pG8=Pk>adlQ#*-z=);Mq4K zl;LBzEK&g@nf@vU?kxe=__P0%0#2Np{_uN9ct%4mo#IaykV9`U@|alH;qnP&j7db1 zA>}rm9HP|Eqko-`l6u(|hICLfEC_*zek~w#L5&HpHqr96EucwdNPEmNECt_sv40FGi zI{!}LG7CD}D^+#m=A}jMPxCTVE<23w11LShUwpYdp5DKa7+xHLID9%|>La1lzK2njQ$yVJts*8#Ev9}FFrXtPs;#&vI8tlb_ znKbs>Y{lRb9N!+n_4xc=i}}lN$+4~mhmXE?h)QFK(SV;eGYk}S;P!;}70fcRM_J2* zdVk;w=;&F`AGoSRx|B7^!D-%5b_N`d3~a{X`jwn0k8+kYtwunbAcSZ#sGi)CGzAE; z+9cjLBvC=rlSs1}p}D`OstE+yzquM5>HM@6_b~Ht%&~jR!N=#^dwhILT}cC6XIQ{jOCQJf|Q*BM3Nox%eADXdr9Oip@Oipi+tvXpZ80tK@`S_{p zQaV-^#~+I7RflO0BLxh8mh(aur zfizGDs%lMbuIl2KN5s=5#U9&?G=F6>s%{A3;esq%mKu}QjRd%WM~FQ}#;7_!lvK6G zG!46sLh~@ml#I#1$&crF4Qqjr8me zOX0)c>r>hFD5E1Q2*w-o*|`lL?TjcdFDv2cknln|3%ilavFZ~_zBG>-Nt z02o#GOC5~V%v#E+aFtv@@RMEvoT-4dhrUoFJ~U-At-4|9BhqN9TPL^W!{!u`7mXRq zv^^%IPe@r|2*xA5{RLIWVSn1-GRK~n@omB2(haSBkA!6m$(AS z>%+^wKs|id1dz1JHDwEyWA^nH+ z^!Q%S^w!fOMEoZfX?tgD@1B!_Qt0R|e zek-H>$z^^k2e@SCNqZPsf)M8?M?(-m$OyS9Hy9wq3QizUUnLZLp(%S$Rix1^2MMWo zBGfJ+kuw2&1wwu53%RP`>*3Rrh_n=ZbyeZ`T&P`2&S{s-(mGUM;xAVu@~UDsT2mt= zOAK>9ArV5YLPi_yH-8O#gthfV1`2bI2m*|kB3!CD?yA_xQ4DNDN_G7L6*l?f5;p1z zcypA{I2tX4QevM#A0;e}hL921n!^}pc-&+F-9xCYj{Q+W;VkZ{IEOJ|)JBsg5Av$i zy;v~v5Z$y3+zpX(EvY`>QPpwbq^tt~^9lSkx&;p(Dud6ZdVj8JlBJ97-E&q4dU^*7 z1{=%4Pv(x|Gf`A)^ak6rqPGFT%YiTb-oq#ZCzdFin*m~B0+#GCP|V@OWxyxu z#*zXcj&SK-s?#cg|4V?&?o)NV2c|j1!94~vc7nxZ@W|nu3@`d|E_?yJ~r>+^%Pxab-gv+Lx<0h$1M59x*pV}PelXz za{ukhwZ0z9$bYUb>V8Fa&r{B9^JOZ3w{o74zpnE0mGi?rcYmcaa`4Zqb-iB~{NQ!7 zuGi^atIn}4d7Z#_?^2FGu;JTukA4rU%;*h`KHP2VZ`D1&1n@q=@EL9J4p&c`Bkw-J zn6a^5_jXtRt-9B&CJ;~P#Pu=Nqwi~U5fAwGMY^t68J#TNtjs-r=N^C7@^2O`dtLDV ze*gdg|NnRabbnI6F9cB#p7s0uyhB2eP>2W$65`|#yL->=CU?8+?gfe1=oC7I_96<= z5E3L58kL4dM1&|*8VU-Hj&q6s!8c2++px(u^WMz&y`4AnA|z3Uhz>5cXW^(8uoH%B z2q&rAjw=kun&AW$07cXZ`;j?OIMM+8=E}Uu7gSNSkbh{eO_f;C0ZckcS4kVHCgQGP z#>KE2S6n+GJHp#`_4M`jjxw1-Ks7~S2m5PFITF-!h5zeWQ9#qMH>=tR7f=uQztePK z$(E}5*Q=y8(B`i$UqSR*;j_OR!U?YxHPnXH*+9!BM_K`&tD30^+0m7xa4nHQk;1Z> z<$Z;82!FpbwG``uuL69bZemLmMHOWfhMnGhyhQ^8mGk+gflf>1)X0Lntrd37fPWaX z+zHu|Q-Ps`yeZ~haz`v``a@(|zb799qm(vb+cVkjb@-Z~Mv*FL55eX42ZgJMpN@hbAD&9XYHHk;;iSi@wf2DI|D5=_x`v1a1Js}<2hCI2Ri7q$dCPK zL_F@(@DtY3&}_+hktP#r2MD&-_b;x@ELTbju1pDU%FtR7@VBEai>>}dt*a6eDRcW8 z8Bue5iz*(hIjD5LXReJ3^CNJ?c9cu$bJfps`hpr;bZyd<@1$;jda*ezvC@B_dwMa?FurnnGQdyGkIv}Zh`yC(Vflm=Tu;Kf z=R(a&ue`Tu=Bvu9sxq$<9t6MA91(2lqJ1X)-xKwd#O?KqkaV&U1jC(DdW8Ju*J)k6 zJq4=h#O5E-5imH1M~B4JD**jn{YxyHmNtj+o~6Zh{7bEJW51jWOVoUG-j_KhNpaStx=F}}xZLS$Q*1Rk70o?f6zVb5y^c(5ZuG(I z^*x`5j=zwpPCSY289mw*A3Xrw!K1A^kh=rE|AN9DXaI`bZbxQqLeHOo4D}584_#fe zClrY6%1-;4veQ1#Z3+vw`UlwI8^=wIYVD)a=sculgnKFn?Cp?&N(`V{g?N?qaZQ)Q zl@Fe~8r^(_`qsxYiq+MDL2fh=TP<`Sby)3S-Aqy*9zQ2CQiz{TRD|r?_?*Snkui1D z6|(c95&+{3^c4lxWY_(eU{%S2@9^asSc-4^&bgTI+y@%Ge;oZyfu(15)OlHrl&W={ zFgg3A04YR{=Ax?3Xa$LyfTzb3x9u-d(YApffv*<+>>Z#p&7-)JqghY^_~goY45aDj zln0u){GGVtHkhT07CB}Xu@bibc{bdiJ6;J4;QrpJv}5p$ddo$AWqC!7AOmXEfFbE7zV$Y)U8-_sz&Oh< zle-Cnvz!j+)E^4GnZ zxy}8Jj7(6S5(TDne-&`1h6C4E9YV>mQW2=$%d z2-q}}&x)+oYqRHDPv50jZ6R6Lb-163e046TF1akpxBcR6>WawWpNCj864@cb^;-@+ z7D9L!flULBxt`*fjv@?jem)EL*mRLi46WP48_yPPFx=0f9NyT+^yFaa!dNWD|gb&~%_ z5D>C3CE)6t;-rN^wI@$xJEDw9fN~$nH5bT92Y0MlKfN`1r_Y zmOX4$jZwY!c%#1xC}oD2c$__4>`4?=L2qZN+OqY^<(0m-I~ZT)H^T^WXWdY&pQtwl zTorf|9t5e-SbBzq7we0JdmvWIoW|$$%3ygyz+CChsak!h#H3Dz(|GZnXTxPoW#wTU zM<%&aXlgE=YvuaZx0P_IwNg@OwrW2X=WHLICw&7yYSQSalnkD^v*zl2=EcXz9YpLq zJn8q{RP^iKF6V!2vDN?o>MLzZ!cTXQ31T2Zb`vk75)KOL3Ng5}9{iS~=9za$&amNXk z4hw{8b!wuB!_lTuY+b?OWXU1}zdGca8j2Z1QVl-*RpD(M___)GaitYPWj*mDCn~Vs zR@-V)nrV;3WXY&l;7=BdFr7CUER6(SG^LKe!sg5wa@1@`;({Jz`02@6bqEorKK{4T zu$P@brRoZ6v|MHMlmJB%0eX=2ysQEK#WOdHj%vI4I_*b(6}{--JW> zP^Ns4oQuX-V0ewuXOCHmg`Xtf_B!uPHX5_7B+R<|?-ZmHj-EaxERN)fXo-|c#Ez3M zJb4k(k`sXMDHc8P>?jOL*Smt04ryY5+dua0ITz|Jr#f(hU)VJI&|sti49ZskHv;L)(kCt zJYulT5b_IUXW7$1P5G0qE^yZO=t3Rkih`v0fX5P?EEr2Kb@>yyA!2PX9i*T|X^EY= zwKbm2B`F|Yl9L6%So+P%w|1yqT*6H-37z&6IyDNApofx=0*%^#w*|C7;R61(e=ELf zIcc?6Sd}s@>VF>Cm0TTjbI0cAY^F!zF>Ah)T_GGjmnD-41%^9N&w?fwtft)k$J}lR z%xG~sM8A(&AYL%})7m0Tu46p>tLL!JG-}o32*kqDNDlzodRIlyl|^>fwWGYgfq|S0 zGJjRE(@m$Og$}$@#Cnh?YN{s63vWI)93?EQx4C&Zcm1p!cK~EhY|*W0A!dN_D%F+@ zN1eP!C`c_o1h;9|3zC9{j2359y*&qL#kWO2>y7uS%2O_}jo!1ad$sNox$rng{-v6D zc)dOB0Wd)7f3|ER`N4H4EV+JD>(L{U@bU3Qr7xL$m)7f7|AZw2NU?-Ze_-O@U2(t2 zSgJXP@!Ve|TI41tb@ z4H()F{_)+faj|W5i<6k9;=LU&o9XMm8-Yb*FMmKVVNK%ZOm-NK+f4pO@kXWQ?Bv_f zIEUB)I}rWFJVuC`Y)l_}sq}V6049{vzWQD0m+{HKQ>v^@`BOOa1nhv>qg`4%aS5R{*{ABeU0D?tkv)=i0QElo6a2A!P}gs znPZr7_urDq(@f}

ZGUl>^^56|p=n+kK?rgel`eI{TKHVacmdHr3RgNR14;X>AzI zXMktXPjHINeP|HINufilPk)q7Rj{V590gFEa(#p|hTqoYp60u}m|XRluxd@wvCqk| zR#*8T@#*H=?AL@)KhFRSnX;%oep|q>u@3g=OL%<0G%3xaK7Y4w66atUOIL|^d%s{R z7OxAB#|B|YOH=DtQd$Sl=c)?gx!w}W`vt;DvD2+vd&2qAq%UgoYg?qlBgLZ!`3L|< z4d~U5$&%Ia#2~%&s$`+SKkiJyzhCf~b`By9;r5O}9uQ~`4mg@oJpXb@s_8rmjcbpJ zj|?Yo;W%{H2=n#9sJ>FV+i~BUr&e9<_+Z|<-a*YBY&euZ$NuKulu~!i#tW@d+t&IV z^I4_@DS__g2v=-@J_S?BlmIe47e4huXm72#FD8Kx(?cc%d^4^M=PaCg;v56Nb2~&e zFzA<0CFa$DzlsP9cvc>mNw|YXw&xKn3lHFDzx1;4v)yHT6Uty4?+P1YMx*J81nD4l zN%6Q5A_a-@bBs8`Y9oY#W+xPD3&s*W$#MI2qelC*f**PE?J%_MRX|y?+N}s9+10yF zd;gli&C)=pQ8nS7ui$05!rXqK>C;Ldg496#NHE3XSBtI$2XT=-8A1`3Y+6w zweQa+W8}H&-JIJ3{OPZUTBz3&`~narKEzB)X+CzFoBQKL0O>sq5M1&Xyhbu-s^VHZg!m9Wdy-xHV2DGi_AzN<5sa zQ7qflG9$%6mdUSR2&K!Gx2;{_RQ!@lRzdgNJUhAO@OSCd%rfWn&vh?F{mx?uZPB!R zQF@)qg&ki%L)AJ(ZVx~epD1?=LDx(aSyS<9Md%s4tx1MxDb9JHrT|(t<~xDR=gt zv%##bE52ppz2G0uogI+M*WPDGtK)7^DVf@Ty9L39-O=-`E_!k|70k{*Z5bxG^d5T_ z$`^`NpXb4);%h3Sm4AGnghKbH&EFnpSNHXx3mW=EI;i8DfAl{vN_W64QBTKjwRR-;JM)PA10b!D zZm!&Et!}ZTYa9)$0{qPZ%XcsQ?-!XIOk$T?eWsfq&#dc$inygFDFti%!ikAQ^s5*3x5s;F@HoAEhZ=p~*gb33paa*Q_!4E|HuOABEk3l`k~8K; z)KswP!9XMUZc6M}u)Y;CdtYAGwVqe?Gh{w@jRHw)^RxO+g%7!+9ATkTUW~PC^zKNoi37I|N6*Tr~26 zR7G#{lzrMo$>Hd@#0gjK+`SSX{2JuiCp{{~=ykCA9*L5;U}9d=F`T|7z3*TdpUuopJXuGu`PPJim7#SKyJ?SJ0RLLTFqq_U@Fk$eV;#nq?7m*fg9gHY?OMg?0?;Sw^ zTN}pV`}J{}Nh#%7FJ@@!@q@=g@@~VV_=MHLl!UW}5AiG6?aOFaVkX8AaF>(uNVpO*5Y40$O&>I*Vdl#nNKgh^z_ z6XqDObq=l<2SGrz=J*$rC)nKWbnajl~8qH zjG>n2sn+}vN0FkWFi7Y!W)&?g43iQ}mdT#d}R2I`cT6Fb{(0SN=QUbnWU$1BN0)(|zYh5@q+L+d}X{Vzv=n zUSL!jcd3Yf$f5Dn@+ZW0XKK)~)wj=-YTw4jzIjIZcpbgOQ9GyQ-a)PlA(DJ-RB#@H zo4onw9Fse-9G$ioC9hZS&oFKvnC{a{c3BJgZFy+mf5!zUH{$Av^JZnmp|DI;Oyc-A zZRL5qN!?E%z`Jc`y>a-IZ?XDQoPes6DxLA45DjTE5<59$O+-L-bdT>Sld9eFH`(xx-XKb8t-ygBixGXSD!2bQ0)YD)?#@aS#%2yx{CprN6tF z(yD=I@qIqPyojuTgFC|Y?M}m#n2#FH8uzj+aQ(6^(b1xQsbxiD3BK8uql-pW(4sOd z^@_6rWdxV^#^v|Dsf%QI1VO-+{M&`HXboz2gB_+0uQ-r1Ml3R=jSr0l-Tk{_v3@w- zfQ~f(1MMemHh~pY^=k6*S`oo7l?iJi(tg?7C$6N42nQ>Yv}xq5M5waKm4_M>JN$^-{{Ff!eFARS2!4xG_EgUCQGRi9{@=`#auqiX%+bJw;U(dBYHct=wi>lddeEM zR!85usz%@Fz9$d%itl^=1nJ;hZtoIdFKY8bb0Z9$m$+#<`>r}>ysd^(N-+avIriMt z{Nom~=FbDpG!^`MoZm$33BIOtklaoF(78}*$NN$ep7^AagMwSfNVKe#UzQk=`4`wW z5^JJH#=s+arXGK-$ajsltGQUf@vz#?SbH_;Sn}0r(WAC`c$Nq~WVBsqtENHqRy7{R za_x61ZmB!M%sDgMfuVgu^-bwuwDqh!M4^xAO(|y(==rfPks&$XV{Tna%I?HHp2+P@ zf|ta+!(Ezkyj-LVMbJj~D$AoPfL@RiqHeX_B5AwYvPjF^o&l~RO7_>ld}nCd$Ze*)!o2_z^g8;fDAW_z8}HScmtjUFB|1CI`d; ziIzNF^~#^OOf@UtVa1YKLjz4%5Wxa!ZfOB&#|<^ zkt(Dcper2YjOkD3oQ_c!s7HsP2E5rS%cSN>IH`Ej?5JVbcNZr_Rqm&l;@pGEi`lPC z=zae>s!iBmhT_v+(`;*X`x}RQ;S^XLn=YGURn>IG65X-cuHgr-R~q{i$j)=erY1$D}ZMkOaJ z`J^N!p7?dzd^Ag0Tow??syYpV@=sOEJLN8Ssad%Rl~l*@wNOHy5GwQo)sy04787N> zeMUB0_auKp#GiJE_>2h=pDO+A=iJ2n+$ir`oqt`2`Pt5yotbd~|F*GIbFzKjtG@qt z7yQ4ofNaEwTi7*_-aJ5h^8i_#rxz>O_#IoUfb^mV#9#k@71HR1j~%h7LMmWooxoRo zZxOr;_}CKpZGR3>FwE-R0cO!`9P_0emrzkQc?pGo^#~+S@xu+WB{xwrZOm&RTHh1i zbAPR;$qJq%UIaFo+8Eli3G)H4zB-AT2=fdkeD_3ohuL`fMB#auZ=XZ6Xo5)LHBuna z!7>|Bb4N{tc?H;fi^jZ%ow>uEDx2{1IG8Pts0L$^;o~g>IEL?t9sNTn7Hc(OpDb72 zgkEpMPXF)3W1?+0&}<7#LXAW60?wJTe1B0rC|bnpEFbeH46~3|h%LDR$3C^OOFqI; z)?v0Tkyw~;1+%KoSEi%!ZvX%Q|NnRa;$UE8XkcJqSl*bK3Zxi>fH>IQFW$%B*)hb^ zAIR_w4gpCpFaa?O0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34en% z5Hm3d07>PWLOVbrFdKYbgM%I2U6IsA0cDvP6o8~&(!}3Dia`{JK{mJt`G*F?heQS- zE0+LDvVxWW6*;pOq+AM!og9N*BjPM zi6c-%5{P|+-Qz=CBSH|q4tDl%^*IGeGcbT$#R2xgX~UTnAQ2HD25EtZ5kxg3J2-U2 eQr; delta 1208 zcmV;p1V{Ue3WExeTYvlm00000004CX000000009800000004La<}v!d<851fHw^1T<3V4%(Sa6 zkg(+V-gB<6?Q8owv)OER1m!X6AISfEy@ojaMjQ^aGQPJ_e}BR6zgmAb=YVrPi8}00 z`h5w5<3Q4#;xwB6aM-J-15)X@Om|NZOUIQ5cC+FXfGVq};|Zy>oK7yFofcJ=_dI?s z=w#18EBCT$G%jDU?;!61HOW!B+_LT!V+>`;F(7$i z-|1k5u7BP!B{e!Fl&@Rk);+etB|m%mo4{W|lh68!@p#xWY*}>BV{z zDC4O=$7-eN>FP-k}&EBhG?wRq29~XGLX-O~H6awFb_D_#8rCL4Yky*PGOvXgdQvf_mZp|{X@xBn zqy=y)?BoK|b?2P=XbRL@n;`?w%)qE1bKvAf}F@5+UX2(SM-IY>;or9(hP2UX#EF$psqElW125 z$wo7m0m}=r^CvTC_LNc!uQQeC8K=7cYf4s+xMaTdlo{m3mqu|c&RrZ7XRB!3^^Ak6wsymPHSE9!vOPQ3Ia{;NxLLu||O z7^h{GtxkrczW@LL|NnRaOpw1y13?hRCo$@Eh7d(XEVK_;r*S0I(nT%R=OhivNSC??qgVl%3u%Qd#{rD{h`#%%IGEb z9Mu)th8;}rK84+ccTGi^Jh5Pg-#UfNh+)kD&$3-ybCeeYUBiH zmRO@cqWjtHqk`XoN;WC#N&MfM^D#n~QYMnAvSx#~qlatBNV~NB-RPTctvA=%y7)K{ WYU78pr?`e4VIavi6MO*x0RR8(@=??P diff --git a/cpld/db/GR8RAM.(7).cnf.cdb b/cpld/db/GR8RAM.(7).cnf.cdb index cc00fc152be67f586fe97dc19798267e8965e3d3..3460441ed2e69cbdbe3a749d9bba074445521a19 100755 GIT binary patch delta 1045 zcmV+w1nT>^3A_oAYk#~100000004La#Foi!6fqEndxk9_Zipu!;yghtSHA~BNX#M1 zC7A=9^H4k#Tmi8!=KtI-$L(=1(~~GQa+h7M|F3e{?H=#F|EG4pX_~*%yEH+Pyua5p z3;hnFWg-1XeCXfOm~Zfq+*NyX{QBhdbu;(?sZ``e_0$>Vxs%R{4Jkyw@ci<{!{Y#6l+w* zu8qkjw{vIZ`4H)U>&`|`U6yF#}4=w7#69X5n~?7nX;?enti zzqIe18(6^n}0N)zRmQLFz6UG2B>7tX}v%g zYCze-?bZuq;x_0^h-la7Ah5g(CIf%QqF7J^DiexVFAmPP3=uUzcA0bsf%X^3@Br0@ zi-U81h8P3mFlHaov3_Yjy))0c?@WzDw!nc- z1nMM?=a<0oqRa6plL!Me0Tz=z10a9mWng4zU|?XFvukn;kYW%5;$U~bct6(=AJ5>B zcvruWph%De0}~K)GFUJ(Fw9VJW(0|-0dZi6PrOs8pRr;Zi&K2CyJLte zn5(5@XkcImQp~^##9Ry(K+@bqh#e$?t{-F}Zv7w~Obih~@?eXHEyw{PK#YH|&co9+ z$T7&-1L0Z@h7O>z#C?070TqIMhENUlA4mf1Gj0Y2AZwec%pQ=4JP;$)I{W(uIEHvS z`MAahxw-=#=^7LaQUwOg3=4qtwD4kU9w5yN#0ZU^{y;9opKJ^hfGm}U7usAvnjeS} z%7ErOF|sqv01B4Byy(ONqy=G76gY*txw!^0@-TD&g*RUFm=2`S%m9TwBP&A&P-4gI z3ooRAv;YtzEb#}r+7~DSaSjWE1CZ74V7XrfNTaIsL{Z5Ljxxhrt8;(~8H9ltWF|bY PTyhA(6vq zE<+A*o+smZ;0lO+Y5vdc^0*!MlFp2#B6r#4`u{4I-R?9^)BIDr=bZa1y-yP)$@}}x zE%ZBzmWA{m@u7c9W4^&da#!v3$*a?|7web7&_ZK3S*8#i8-D>5U741_;{yn`oh8i3 zAP^j~gg`KJ2{%=)&FNVx+CKGTmp5Ji0l(&Gqq68;fi2obgD5rzOex zb+*$Q`uN2e_&;a9kg-Pa`ks5@b5l~8A8mcyQe*YQ-G8L{^lhe}gh9ukF+e4APU{82 zPy@;qZns__6SqNULPWbp2Z7~XFd6tW7R7=ZP?=D?dU0^RWr(N&vdg492(-UIh6kuN zTpXP9GsGC+cSU2r2P5K`3u6ulgo8i>#nX~bq}gNIJ9d$J3TS?&v4gR(X>7`+%0q1Y z^3~&C<9}f@Sr`or|8H2J34L&A@paQG1kUuZI@E#5=sX^z`##kSl4UBAFW7??TANSagI?G{>KW~em zSH>UD>SX@qp7l}LNArhMXL%P2&fV2gQ#86u54!kB$T$NHuD^v*o(zB4rr*#ZYT z5vY?mo?QaR^Df6KlL!Me0S=Qr10a8NQ9Vn;P!zq<)@p50tCJM$P#m;F3yO#zG%tN^ z0%=l{6cL1{yPJcngS&&Eg8c_hE+SGC|A8(JPEJz)h3BT?ql$rZ&wKZt`}L9~gQ`T- zxj)+lQ4R>Updnz4ItI~Y!Ga~42eu+tiMD6ivZg%0Cf9$hnowcG zjAVRWC{@bkO%_uUNK*lJWhs{e{mJKs|L1eY5S3t`PV5aHUOexBXD>1+?xgSLb{2MshjZh$4SIL;QHX?SfCK)WKjyQ}6t+M}Ox$GX?Cf@@v`Q&eL-c_U_&;c3&l`IL zoYMnd(0<{Wd9uF)9DOGUHHkr5P~0GFbBV4=H*F9WJ7BE&v43l&t2Hr0>L@T8>7u`8 za6vOvT#Qg4GW~($iX(Y`B9rM;F*9Z+;lD8vC-UqUNqO(v&3d;SS%_IxnpUNGRa#KG z1AEM)6~jiZA6F-IlKZiR=*kUkd@r4p_V9%z%F|YmhgqpyDc*hVGeGYpiq6i#^TvsH z`T_s||NnRaOn;D1N<%Ra#;2{-CkRTZf~6GEz2FhzGtCS5UP2~9-zp%w@CGh*;Q@5# zUU22kg$r+BFW@D-fCzpQQM>pi;hWzue+uf*6%jpNU!3Ei0mPlg7plKqT-4d+jSkDx=vdX_4yg9>HUChtN_wwXEs@)FCr=I-_8 zVGYhURI^D@&*J~qTvobPQb96RkvDidxW5!<2uaD`jeh9PO1{q4*~hL>QYVx>!Zqv^ O1Bsg__yq<40RR7gjqVo! delta 538 zcmV+#0_FYO1nLBkTYq5z00000004CX00000003$N00000004Lalu^qHfjYb(1mzv`TWw zuWp>tG*nZxkO6#>G_)Qx+M7lqoOn^N@GfD zYvS~|2ybu;OZU=Ch%1B(oLs24k%Xg_Adn$bWaJ3KpC?H!GK18m3=`QG&Nn!r=v-*f zLD4=4cGlW)27i&W{Qv*}|NnRaOprlJLqQCN)7t723QB23MO@T1}J*Z@pqMpY8tvN4rsZb)4sj_B+kK?CX$w<4j{N3n>Zf!Kz c*|}PbgcFiJq3jv1VJ8?!vdskF00030|2dTU)&Kwi diff --git a/cpld/db/GR8RAM.(8).cnf.cdb b/cpld/db/GR8RAM.(8).cnf.cdb index cd37ee05d9ee168b9682d7bc28be2b26e1233936..652d72e81de64bfd40fabafcc3056078c8fd189a 100755 GIT binary patch delta 827 zcmV-B1H}B82bl+uYk!#o00000004La>{-iB!!QtZgg^!UfHQC=;eE@0AP!aOsTD}2 zocTp0{(?W?RkNGK@zAC%8LO25Z{_;A<9IsVbyaJvok&TIF`rWZ_9Dlq?Rm$TL|&b0 zB$4_<&Zzaf)H=MOZ(_RUraSIl9bFfFebaSlKk`ol{j)&-<9|T^lR*E|K>xEq|MNiq zi$MQN&VO5kR_HG!1?T}t1$qEdf*yd>pa&pD=mAI-dH_;}9)Q%L2Ox#$0Ya6Sg(@)% zRboaeaZA2Tz2RMNP(^P|0^e;>DBqN&-QI9id$e&bw;uFwEAMt&OTsdv{{6#n?D9}z zCaoSG5J>g`fq$eQ5XeXX2xKS#1Tq!?0vQYdfs6)#K!yWAAmhQV*Cd;p5Q0=CLXfgV z2vU~_K?)NgNM#}fDNTeRwTTdr(iP{LV!B!3?dEryKASYBI89h_y!-_I3l9f!P-ky) z$Aa!_dF9IYWL}HwyZ_N#-^~{=&R_3qY7VES6RDF^-0&Uk20{Xv#t6(UjP6A z|NnRa;(umfWN2VuV3@OOatx4S5CY<0cfWW)*AO4i;E;G%zmT9vkOTu05VJE_Ff%aB zP;h1hiKqc_V2Dq=Q>dS_hpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGdUHAr z+(d{SB!aFVWFc<-ARSB$5kT@_i-#@90U|(*uz$|O(>2I3$k_woS~i9bpt8h$d!7Lm zf_;Wi4fP*L0_-zR1_dB%o2kqmkcd1GBh)(k`vy3McslvG#s|5&10Cra6bw=Y2Fwf# zfb_KRVrxSn%?rc`jh_BME(3!#5OXnf0LhKlJf?$0_<0pRtPB}I!5y{-iB0x=XVF&fmBTi1rQGro88AI1eiRuD}P z*Z!hE;1Bo*zNq)kOz9D3fH@5$YD-F==X82F+?HUiwG+vSG3HCk-=1X~)AVv+OiNzv zvZp2Gr|eP74=J^KOW!2voZIfWdwqP9xAjfuVOj~F1me>`{C`Ox{xlGO7KlF svB zF9Y#c9DY}fmisRu4d@9-2YLe1f}Vi%peG^LNdQX~1=l7*7_D3C@2kQLPpkJmIdRBQ(d8)W#fAIwjB%D86NcK@Tfwj0lB9A58hnjCk|phk4UuCsKD>XU9_9aUgcdt3K@z5xIL z|NnRabbnC0N&`U@JsXWtgDBB5U@8kqkp)F0D%r=dyRg~S-4zjp(caEqu(uFYFn?fW zBO*cZ53DS#ti;do+*KSTIB@QrxpU5a)nTCrVDNB$K!*mA2Z>Hp5l4BURZ?X8@_`Cb z!@vTrS{^O1MC=r?c6SpmRK8A9Uu}54tMkAqRDbzgZrh#CmXJ{;Cefl@TWQqjM)Xqf zf4wLwXwyEQhMUsB0%6L9k;pa~ zcr$~|NnRa;$UE8Xp;{CBYy)2kUS%| zB>*HM1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEJZClE6+2mndtn?gH4A}||#U4w%i z-CfbtGBYRu)#xQn{0&ko3dA5A+=Ki>1L8v>1CW)o0wr0&%KwU-SqoAw1;kE{!LAYU z9-gj2jzP{Ik?{fkK_T&;epzn*AO&Dguz~eV+S9rOWP&6R`v$wmhqy+BAbcI{?BVL` z2+{%e0SDLzrwwOTfJ8)q7^DRrMiAAE?BLK5OL?aRQpFEd<>>+p4No_qgFvES7XSbN G0RR6O_pYJ< delta 413 zcmV;O0b>4)1dRlcTYm@v00000004CX00000000dF00000004LaTu)mL!Y~XQLyRwe zxd8v1fvZ$qC(tU8w(Rgcwqj?bLyP3rcC55XDWw9n119h_O86su!g3$*HQv9zWojp` zg7ER-TMl;E*6sKlgYbGbj?Ign<)?nHV^Lr1DLn9Uu{y4Zg0y!H({( zXlj`mB!Fu4k|zEJsTBockPYrZ{-FW!A&~*d%2|PutPBD`@~_C5wLpqN3W%K?gIy!y zJv?259D|%aBI5)6gF@n5J^kGLK?=a0U}F#gvL@|mT>>&e5{P|+-Qz=CBSH|q4tDl% z^>qYFL43dg_Q7exnH3-rkamz3co;!cGqQt2M=a%?5=a$4RF$U-Ff=^ffDQtQf?WUr H00960iVm;f diff --git a/cpld/db/GR8RAM.(9).cnf.cdb b/cpld/db/GR8RAM.(9).cnf.cdb index 927337ca84d43d820d2bae903674006665a8612e..9b5939ff7ecda6d0348885e27d31b48de9f5d9fb 100755 GIT binary patch delta 576 zcmV-G0>Ax|1&{@hTYp;t0000000064000000058z00000004LatWr@5!Y~Z&aLUkY zi2izn-b4Htb6*<@bAEenovyyuHltP?49rN=B=03nm@&rm(796T1sf~EgQP2^5`3AD zB(P6#XggSjXFMRp9P>8c?+(`IL0l+f`~`qTeJ(0bVBb#}V}Em+yr`RjF@@|}SLMmG zr?p;!)s&ZO-OA{R>W6pmf=wB$?S`xNYKJo$cs~U1*I|k^LO;I8_&6W?|Hfx)*TK&j zx8uC}D~P~^IG_$6_So8}tNg%@0{8A#rc-38;>#Z|zT|BY;d9>$bSJMK#Z`?!_zg$G052i;aWC^ z4xqBceS4k(6@q<+P!07TNCNCLP6h=aYn!Re9*~GU5F^w&`}+nshIl&pxW)&$x&s~Q z8Wapt1qRFv3xM>r@M3F_2YG=Qq0!SH$Yo&Q1Y$0R4j{Sln#XjI2tN=bl!3yHk(D6> zD7a(xg*g`>a|BQn_ycY91&Tn7VqtIqvicn?_hYK`L{Z5N4sOF+t8+klg@G7kDLkO! Oog9N*836zQ0RR8og#VEM delta 578 zcmV-I0=@l^1(F4jTYp{w000000006400000005E#00000004LatWwPi!Y~Z(@Q2~S zgU=x1(MRZeh=(zE+EAGD>~lMH_50dp)QW?F8EKm2`;sQi7-Kru{C^t&0RR7Z0d!EiN&`U@JsXWN22rAAz*H8JA^}Cj2ieDvS=j9A?urP) zXm95)*jorHm_M+x5s{$y2UZqVR^n%P?kWxv960yR+&SmIYH-j6FnBmWphKO=da;RB z9)($MRGg>#@_`aj!N38onm#SCNbKa1@pfZhtH8ubpno>}z%yCT%~kPSYIWM}Eg_>! zOrlAdrDcPovdL>36k^^^X#n}=ScOsaZpQl*)&iZg~o`is4jbs2D)u);9m6Q~kXh-hzL z^`%5+2s=xYy*XuQ2Jf$5J|&MdV+jc>_8Iw3HC`<7th@F!$_)Q7YiLl@dAm6l?HnOF Qe-~vuH&f0R00030|K<4>5C8xG diff --git a/cpld/db/GR8RAM.(9).cnf.hdb b/cpld/db/GR8RAM.(9).cnf.hdb index aedc65041076fecf2b23ebe0820c5d39e34df731..6a799fc43bd5e99d6dde3c6f7dc9fcef6d31f232 100755 GIT binary patch delta 385 zcmV-{0e=361cwBWTLA@;TpE8+(GG(k4BVE@J^2;B`wjdGLCuV0Cfa@c)XDC|YC@BP zyK8BI5JI>h9>5Bo;s*Z*pU}DmJjJ}{wWhLSGYIE<*Ri>Eeuzd_zn?IMdi;1{inUkl zX`EGQhWcnWVYId(KaXjKv&oMOQG9^{efUC#(I;-=zIkym{1nv&Jc<__mi02e+D1yL znm+&l0RR7Z0peg_WN4EK0V96{2ar4?wvjQbq!OH)NoLLJ}E(OF+j=`=G@gAP8L5@Mr9+B|@{y`z}o_<+w{vZWlPq2aY zOxn}B1Z09F5c>wZ$A`E^gdlt!?Cjy{>j=^T_5laj2d52ZR)9oAfEc6&9!3z=jO^gh f5leZe1X9HhRpsdd3=K~=po2i7U>5)Y00960FuSS2 delta 385 zcmV-{0e=361cwBWTLA)*TpE8*TMmOT4BJB+Uz~z}&akUg1q7lR5`@Du9>wkmNR8w+ zb}YB?-uoNs1#IYJJfN@83H2@LW6Z}~J%thT2C=TQEyIm<{arIr6$4Q<5IDo~fjoJ#Qos~A8S18zT#9N3 z00960cmd*IU}SKU3;`p55Hc{Fk=qgg5|II7klFy(pkSaXSHF<>;E*6sKlgYbGbj?I zgn<)?nHV^Lr1DLn9Uu{y4Zg0y!H({(Xlj`mB!Fu4k|zEJsTBockPYrZ{-FW!A&~*d z%2|PutPBD`@~_C5wLpqN3W%K?gIy!yJv?259D|%aBI5)6gF@n5J^kGLK?=a0U}F#g zvL@|mT>>&e5{P|+-Qz=CBSH|q4tDl%^>qYFL43dg_Q7exnH3-rkamz3co;!cGqQt2 fM=a%?5=a$4RF$U-Ff=^ffDQtQf?WUr00960!6>Os diff --git a/cpld/db/GR8RAM.ace_cmp.cdb b/cpld/db/GR8RAM.ace_cmp.cdb index d7774c644593248f25e90940c9de1ac16b684835..cdc66bbf236f21087ed40a78689e8164ad1fc29b 100755 GIT binary patch literal 32454 zcmeEti91w(^uK*yLdZInP}wR8VWzTGLL$O2NwSQ6U&f5BBwGksG9OV$M##<>WXm!L zW1q2(HN#j2!~A@IzrW)5Jl}ht=bq=@^S<|e@44^uKCkmS_wv!v(Uo)3pKtxo*Zb$v z%GuA~&D%@rhMLNCl^as`1Km7cN!?VtDRup}>W!PKH?QANld^F33vzREmU`mo1eLlW zW${SL+WDoF!7I0b^D}uW7|$<5M|UOs{|Y*8*8eBF;QvpC&~JWb{Um3wf3W?pJ?*2? z!}hd$ex?jrd2$N94?ilUF&m~mdV2R}8q;OY2Zonp^bO=x+Ey!K@0lTw}BMM`zYS{$=9B+q2G4}7)`it-MIeE3B&cHUS2K% z;3h|>{p{1ANeRgF^kJrSb(J>0=pZCEPIqjA&Y#x9xOVpJ^bq~c z-^jlc)h|<5DS40=sRqJ!u9XJD5Ewh;h5FE5DmMncLnbDt}IjdIe2Tu6~__!vuL@ZZtL*j9Y4y$1R`Orzh+J-TVyF6?4Eh zD5qa~4Pv&*@;LPdRBzxB9JlnP*(?VNiX{Y3>a)K|%fO(RlTSE8FSv z*0&nI^a{q{Rdc|%DCgbUr*Gtdv$li(x7+_U^8XI0{|_9Q(KI$bjRb&BGAIEndKj{|!_S+(&WYOQ^zD)tj>UQDx?l*EvOx_XW*5%{zcP4EU z)RpGH2CAs|{x`fn1$32Dt+7q08ZUQxW^rG#%&xtwC1A^reg7jm<3q|uCS`=OUI-j@ zEG*g%+Ot;-{ehBD714Is)MM9QONgj+`gQe&4WJo%V&oe4NHM5`vfq6=`3RBwY{GHo z2sP|$aCQtX+W72}nJ9#q!F$$@OQTrdI<;>z*L=Dbvv@ZC-OqO*Xa+E@WAMa61FG_U zHo2^0s+h_GRUN@3=jd_Ydc7z4FXhyR7cmYsdWU4@`)b~H*ZoSBUd>cxb;@*UU4Ry#BPjSmp|oeJh)y~LK=qQX2=jSjt5#*3#`##$3~ z&a-K?s?d*9xU{OF!DODGhqH*i8q?fo$H0!2LjBEw7fXdYeeq(~PANS4)F^lC5Vyryc2K~h zZHTDGW|n>P9^`>G$TWBd?zQMcWQN@Zv+nl3>ODxY>I<`8Odm?t&-+{-YsgqWo@5?= zY3MZG*wyg+SXd3OoCkh}1&wwa6YeXQ@U1AX>d0I*mLQ8Yrk}hxa_X`M92CTky=Tbo zf8rcB06gK14o=yKu^Q(w@WD@k$48YND}L_$fMisRPJw%EHpYh`+SdzfC2A4N zI`c8ifgkNz0qOJQ`zKGjpZ=_~MQrOy1n1m&fBYibYP>0@tz=r#U#$FN42<30xO02s z1OJ)k+%Z@_Tgc2$u4T?)YaLfm`shp z9LfS85h~XhmHT@M_0v0DhZPPXLYI7R!Ph&bbE+>wd2LGpE=1 z#Y^C3?1@dSqq6qvQ8gQ^JmQEyU#+5BxTW?LC9}Iufo3*q`=>+&AJ+8xN@d^lv59we zK47FOczJ#wGp84hoc5d}SL|IgtFx_UsvT2wGrByf@sI_`2{eTU6|VP30U2}454XRp z=C{>3=yDnzu5UG3Z6pJ;_Q-mUSn*GS9d@E$C(%pgL#OpAYW_FREq&V*yrPb_sB#1cvcm znR*@GdExNi)<6_|H$`>LCNNJ~YpS^{Poi{G(XIR8(pUN=~&ev ztx=e~WvOb44zT9a<=v6n$}8A^xq5GV?7Qc9-(tgwY6fX@A1_b+VZAlweyV*%ndZt@ zIBRUDJ*rsN{WJ4(>0i4rhm@Z+9ck-_VU0u6C(hgX-`+!|bEg_Crs78C(W<3v!}g64 zkCu$xUu%9GhubbdHIn%kjALcn>tEIG{mk4qer_uo`*oxd9nf&k>Gs&yVjhT8OQ&#) zu7|B1e0G|#==31$qF870EBWq49#*%S*Wy#|)ov*m(0h^I=FWrbf4q2Dn|AebO~RE_ zAcYfG7ml_jtX`MDbD2_A?jDZ<5_hYtM6e~&Aq}UMbn5-(Nv|L~f~c7km8cq=zB@$nB6!U_aF{GH62F4VRt<-q zR(XGTA$m(drYY9=?U$`BPHLfl@n45)Wv36pKL!Wj6GEUBYW%34#sgTrv1Jyy+|@QW zi$XHjEUI_~>$g7g@!xAmxnrbX>%FvjhhGK%t+j+SkImb1GSCs|Ad6|X-#(3aV=tC9 zu`l{R_tQ}D2(Z~G*|gHRQ-IXaM;6YrpH{<|vFs|h#}hY?nY?_(nX+Eq-3qsVmF3g> zKtsLZ}xVpWf zw)_o%T%P#iA_(>N&j=5kYHb|IqXKV18%*8G)ra$(bh^vcn%z%MLkD_-E=D9P{ye?p za*`aiWnn#mHj3YB<@9K6uL<|iMtgbVS*Npe(NS1fx3?j zl%;t*Y4gt}W7AR*-)cjklNgYIHEDB!$_SBH@JIw28wK46x*|dAx8n!p&I8u?kBhJI zRA4~O=4pL#hhMOMsfh0jM55eIbpsi@;4?4eZ*ufM_U*ic^7OVIY7O|8C{;JJvOXoG z(_gk2qk3O=UVXXS+};tAkNO>#$R1WyvA&P{Xr~y0wjN<^_oHpvnG^6!wZ{0N1MO=b$dcbd|FWkRKApdY-V#V`t+)BhlZL3RIPK}W zI1P_O&$i-ZkBY{8(`PSF;wMxhzSemken*qNJ&bPQf&+LN=h958%oj^S6+Iv&zYka$ zrt5-hvE1ISCwv48{n`rKVF%ShwN{;fA~$aJb>yyg#f?l)I|M>{u|u5O!IxS^X(q|0 zXJL)*ufiu-6@qGcQ}#lIBoyAr_B;8`v4x_ei&xJ!!uC>Cq|Kh?qTeI(6-ihniV>XW z)c?^NdyS@p_ovPEop$LM1788LVxuiN@IE1}_Ry@S(0`k$a>v*j*GzEq*klAs@5dhb z6qlfe;sEEK!2`Pz@vI^x=^6l?%nMK^&GS|oi3>2*c31LE0c{?(hN%6FXyBi&U&Cb4>;U{Yik!0_Q|&kwzl}Ez1ckwlG%v%CYhv5 zwI^DuFgd>w8(-|V2y25TQ0(Ae;Z>@@#&l*tknhMDw8;Mws84A1$BoP0;x!e~vYRoK zTTbCUWVYjetN*aatFre0J)Zh<&FJ&}aw^`q?X z1ZylmvpU(9tyVqhzwv5nL2jyjV{>|;La-JTzeN^WZGI0;*kJq&DIW@*8(N{5@L>1@99;kP}BPFS4&qLwzVS&)sanItY99Qtnog1&ylY+d_=b{8TD5$ zLZv+pcP9b{_~Cxo`7^;qd&^BGqKh52x}W{uedN)Qi^LzD3v1*TQNX3{r!?2Z-+yEB z?ENpU`n$452*oKLV2ziGCqU$9BylFz){?$ifmx1kw|S?_>e^LmaJxR8{tbm3dm{F2 z)hgl^=7%%p;Vnyhsix~?9#gEA6Z`TyY4P3s)2uowsA&r;(&N=*Q&>vveGJ*U7%MCo z#O<#m`-L)ZqO|5FlvO;9wIab*Hs6O*oDHXkf1NGtg*HbMxA^lvGvxP)W3vQxQnE{rra5j~e4m(7?zMFy`?)U4==j?9v3RFu z{jIu0vwsE|K~(WqfdK)YZm5h#)6T>8f-RWYA5tRQUR2#abAzdK4M_54bHwLE$(Vs;R*em{sn{5<~=S;x$Ks-tz*AhS!3{g85hFr_dLf7e#I z3*dP>yYZI%q>$vA*l}nxNB*`ZDCJVlSe)Vd4(^eC?owI(tlo5}(5Jtwfwmol9k`bk z$RChhd1^r;qAs!iikq*^Z+-pV};@s&ojKf2fux%FdE8;b8w`k4Q4TI zeQgl_@8B1eed8stQaK_jy;6V7zl=CPAqYu%N2O+q#XzYWo*>QlH{!~^k4ud939QXb zY+s^yzm@ekw7V8^>vn#o`nZb)?25f*79c$j+*WvEp+B82D}L-E7jUs#BFf&*l0 z!|Cxcm8a?7`_0C0(MGsvk>`sCY4#&>zY>n}pGE+c8iFboHXA`dH$HGgpn`%TF7Dsc zWo*=_Dsu-?zwtNKVpP`nrvmT4vETkU@%1Rwm#X%2;~htniXkBX#=-BPa+b3fudK&( z4ifeP=f3^fx2VYqVBb0pePdrYZ}!T63$1V*nY)b7tk5lrcCm~{jQgMQr4mEY?I3Ih z=?4Tue!`4hl+(!-BsGI~(7&CM6$U}M!Xy&7@fSqL_6t^sS?AeVXi=<7A47i1wY?*6 zc5H>XnWp@a(Qmy=pC}7Y|IUf#Clyl6?JDl~uO62~-HRL}+yEos6hH7}P zzdp`d&$(R!RSJ&4D}0A~uBUmdb{r{gPO$;jKd10%j&A(e1#!UYb%3K(a%?KEi$9R7 z8*5|`_y$+8mS?{+@j94@^zk64zl-ZAn$18g5uX!>+a^}JC-!+?Ei`-vU>3XKqGm7L zo7RDcoa{F01YG0%9XX7ZQ@&Nb~KCW|i)ejX;z9fB*QR zkezBOcOD4`rB{wW5+fXu#Gc@tikK~`e4)gOPfcllVWnGq-v-s^x4=4h@2ud@_QTN0vQv>^ zlf#=c2`J3r^e8)O6N*U@V$6>l!y0JsDV z#^dK+l3H#ea?(y$)7jIck8_m>B%Z=t!tgN7{@&+d3>{oP5FdU8Lat3A1#z~+Qr zM-!Mf+l#=E6^ZTIfO#-KIox{H|KjdKJn@=3k!#LY_UA@Chh$P6A9k%;tg_Pf6|PiJ zhxl|mo>@oK=%Mi1(#bCNO9+qCW{?Z+U4acKr8ca#DBZi_J5egUqndl;DIYe0 z-QVktJ>c)ZI^QHMrG@OdqtK|k$X>tN-h#&;vP{I!uDGk}{yl;hnT>rqxG}Zi zwV-f-P00f;?4F*+azyN*Yf)EsP6Dgj)B?|&z_yu5F!Lb?(U{?FTMOpnensAZqc+pcR06Y}kv5rlcRlA6dshpo;u{wGn=A4mgI8TE zj6Wm7w20Ht^!GXk>bumH@&Rpmk%;RBL`BV4xvdWU;I?W}@hZh+Jq^fknAQ+cLGWx? z+kQcW@5tuxzN+D|&*Xj<-A1)Acp%kLXy1h8S zQ|!02({?68)$};AZ{ke52b_qICqBpSJ2;*2)c(BDSA#;85=5Av?rm=OoFgBO0QAg` zr7mOIR^J!1%?`vx66ws>4X?${V>z%hBe2(&kO!==CMhKW4g6O)ZQ#o^2bi z9$FbV#k(*z4f^OTo~Lr4eWBCZ1<1X`&nqEwKL`z+p>U1t6BE42y(Z+-7@}#nn#`Y; zI#!)-?fma9?{fNnXEYq-NVZ1hF1vPHsCUhY@Jt4}7Gb%Vb<`{=F3gI^@bQX?fc0NI zzQ_F*ZH0%YsoqHO)pK}f`5!O#a=-4*;XL~Z;mf^TNy2*L+p5Cn3C9HRXyL}y)^h;f zrblSWbNcR;%Xc3-D>c=x_EHj2H)Sn<6LN4f27JZ8&1X*JrpskDfLiU9d7(+!{5v-l zSbc}9XcaC!rb|+OmeXW6PRNE5$)3Y!g)8j9pJE#Xob6HF5A{y*lQgjTN>mCVIQTQI zWL98=yVELDN43zvmmcOx`c^4(DmztE94>Dwh#RpstJgo^@^~6k_z%?k$zK@#-)@<; z-8xhObCDwv+$a}rX`lwhh0qwxv(tbHro(x2ulNhSbFo>UmGH=9gRsEluj{87>|z(n zDu<{{njaAXPbs{qv>pl0zcP75W!>$e@jC|zq=&=A(?nMJxARR>8ebZ+s26#a;xAV_ zuz&M^sVQKRuZVGB!q?Ez7%2kTqQoLY+F1eu|De1`bMHEg6;v6WqK9g0|#9x5|<;W9vhd?cRLE(tSi%w-&@!{dq01L zw8Gt{5^feKFjN1Lh|b%Ibf8Z?`5OcmtBmWZYO_w*@hIxWF`5m zVVccT#5n73az;1DcV7GPqo^FMbwf8WV$;W2ZvN#b9&qbrv8-pZfD{N<%G}eeWobAc zrHK$81>h$==EN2eu5AnNwCd%-=+VZ%$u1!d0YLWUV!b$xo4{=TUL&=h8L9cPr^_It z;gdFDqh0ahET6UOtc`2j6~Z#GAW~LaMv`2a!ESYwVsM1We06kR-L_G^Ej5BvCj)!! zbF@CohGYkO&v^g-ey66yAwOW>gTB@()|=_fF{%QcP_Z;!7VW<f!F(PQlUikalFe4yo@*=Et*x>ReZC*T6%4Iur3`{wBGq1 zYqXQ`nyO3ABdA6z7RJ_3?TPpt-TpoHts+J-{CwB){JODaCU_QWkJw%JzkzN0d*JFe z2S9%8o(Icq`&jY@GG9X`rBwMF#tA7K&PKeSkCZ`h*N2?SgxU*Bs<;*&4J+Uc|Jhy4 z|8Ji!|J7i}lAX=E*}G7;ONLJi0j;ZfBtUd4gJd5R_Lcf0^g*wCYBP%Rhm6&y@Ds#h znhviEgs!lJ)zZ_XNXa28tOzBH<=y)HQ$?D$V4eW{>TX?Bb*@r-=#3)c97D?0CSX>4 zOZE#*Rn`E`1~2W0mj z`SB{%%dqZY>RzZ_r6S9I0`t%NJ3pN4kA4YOFSHTaJ4?D#-WZkt=hRtJn+9T;p*_<3 zBs7mKj6a?|ay{j;3IRK1Ov@O{;SE>5Ca(OIF2(DX6xln>m)(V#vChb@&34O+JPdch zeYAWUK04}9aCdk6Ovny{~=MeJE)sb(C=bVbY!IBzCJrqVNPo{ zdLp&f-67qb^d{{@(8v9HKh@Dh}VZqWJ<}_=eM_#s4p^gPh$INMe+(LE#Qd9H) zK9|!S$)|>z(-Y<2r1?u71s`u|%ELb%+LiaFQt9jA(oo-%n#x{``t2rpN8%|*rd;UM z&4!NrFF}s3j_`Zo*LSu32X~)?4S=g6K=YGVRmdx_N)>EVFmz| z6e~_jgWJMo^Vu;VMqK*L2L#NA%e$2uc<)0~KvsZq{rbL*{(ds&nMRzdz^WP#MV99f zN8iiKdI%Tc>q+4roSxkhY`eu`p__(Nikbrs<=O=qE)erROO&=o_qz|JwgCRyeR7$| zgzFhtsQvm0w=Kd#jzQICp4=(T|GHsnf!+PlT%MZJvM(M>9sePHrcSA73xHf)fJ7k~ zYTaWIS7%mn?nh?|33IFnW^iHuhq&#y{boiK)Zrb1=jqYxa$FHiFDdB`} zf1TP6+w#wD_d0*uOCg-6-IJEY63fRA`r}J^=dua4%yoHLO~>&&)8}@^;rd63KP2$cB)Z!KP8W#>$0YEL7+9(HWB-@-@mdp+lA` zNHW8yhYS88vjkwR2{|nsJ6V_t7Hbgaj&Ped)Bly2z(Zx^TMC(A7M=2rWKQUgRz$?^ zVxu5c2@Y4T&BmT(m!_CNf4O|D=y#stNY?S-{4{kDN#tKT4*eY z12vrOz9hj~o$>WJsl%8ci@BdqizcJNc508L&j9Bp{R(|Y6QdfF*k!=2E>?3>SK4XJ za7Ica9}0hwDh7*ZL$FcVNpH@z&YCP#YrZo&%0t2*y@LE>?Ji96;%$ zJSG?C2pm#C80>=PR-fI-LiLkl725;;gRw09*UsQVXTg+U`FhVC`?lifXNlK{cHq{W z>`#jYdH!l=hUuUf09}x6Q9OL#|I)+`A6%Of{~}Jm9_|?sU%eD$QBkvZ*=HbIiA%`# zJH)t!9cUIylc37_7=&J_fVf4_qafs13LX<^FvSkLU0Y?B8l*_s7vH8sKZ%4epIz^U zM#aI0gx^TA;y$VV-giEazJi_7a8XJ;p3RysMII;(;N4w*GvZvueMg*LEg8ABH>Seeq|rpc(D`A5mR*RBRzDrj-sAd{Gaq z{Ke+ovMhKT1!aj3j=Z^h?Aht+V_?}s7AA2HFKdpkX{sg&vLDZu$*AoY!CTY>z82pd zNftLeaQE_;I8Hw9KjfbY^Yiopnbq?XTNG5^9x1*GG;mNW@kNkMG5=n2t2bN#pBg&N zUa$t}&_7YZLLm~$j3`qr1AP{1zFRVVaz{TG7L_|J-~Z^sta0^PZFbY#CGj2`cLUJ@wFsd;ky2^S!jFy5gM zZN>l%!?gvb8&sB?M=pfy-%S_595SEqLQ8sg2(1iFp!O6-Yx$%o9Z2s5jbq89}VzN!2CLMe2Q$ z9!BH!#=Z^{l>r9Rf>JJ)o~tyIE})+l);*cxe3<6?J4*4(eQ+xmJ2DA+at z6NnwgQ#)dv+N?@JXr1X&UecpwDc+iJQJuYMTS9jfh<_d$4c+{%piw{-CAh@UWZSJ- zX=;=$KD6k$6JS~JY2`ToXC(4Yi{I-Knk1o4D$8(iL_gV(emc4H@F&(*;QDs7d;!l+J$z9pLv? z#JWfutZ=d)F~FfR>;lE%g=q2H91j+hc8aeoID#5Xa4&rVx9~|?rzKMPqYO48K^;3^ z`LJCC)fgmTUMy;Z=b_DwCHw_&u$+Psg@uq64Fb$>#6T0jqlI>Vu);z0@J>K&Pa^@* zEVYGO{G`M4Cn7#N$EW84=;Pe_!6<_0ND4pSrTLI} zWx?kY4_&j!d}A_=o|?f%wKR?OGzB>>{(vRJ^gF3OiP9?T)6*iUS{1y=20udGdx1I%~OAX8Pykhw3F6N;X zPhb0z`NeSH`cEkQUU@l54s#elVKEJ#H;x7@k^n4}1wu~N{i5akMjGeJC11`f>9qs7;b22e_ufG~(-+(3# z8k3nn(6lB*eM}??0&o5n2`d@~*5_f*r31GfG?an)g-2N$r`6B;}J+ zjsNpSkI{P8Pg82YU6^s7S917(ob@Pncj6QHYmx@I89?Zn zRKU8?Y4Go%?+t5?yO0ug*pHN82P$QSc+QR~uedJu<6`xy|J^Q-NgNZY|!vw#%a zyP0vH_I|MeSYf&(0a=(PC6zhzU&2b3)OPu>m5^s_zUsvuMKL9#K|OuN1o&00h~HAi zkVr?T;@-whW2^pPKEb}|jXC{uJfB`T|A_FO-qAKzk!74N%O%#04|VCeFgTy@n?e0c zLcP8=qZlmZ{q2bIyACbkorzx&-6Opv!+uk(RePR4rhDeytv{#A|GC_!IRjM%i?|uY zg>Su4?D+A|r$+I^d^QhEBCgC{7j<-W%5jUPoI`8tHMUBi1RI=tMBt|IJXyN+QP)WB z&w!kF_cGB7QRjK`hsrG>*uhSwYxBZmeTVnM&MYILZy~h5jC|!v8kp-^{VSN$ zR0D6v;kV2=iTB}e7)80C0e6ZLWr`52Pf;Tn$CO3fKPqkur17uICX5@B#W>Gj zx<=3QBK+862LB$Zgt^Wy+00TPzPnaIgf&H4;BF>iZcQOI3C)70Nk4w%A9r>y zy~#sf^l@JzRgtR$_G>r#o-}frYK`TD>h8;}T&$@@sEEyvs;v$kh_&J41k9coh8@@G zE5+2!Ml=i!+YUOe9?9fEjq(mLrA@mZ{i*kL_wfqi(qer_cbp-S{@PA;gYE6R8YDBd zJUH0hRKas^mSK?h>WNOCsQo#wo>zF1V`&Cr8T2!?$CQ?J5q%y##Y(m0yF@q5G07v= zbMw=x5)O$<%z0)O2Wp!87pET;iWqw8)?X zN&@Ziop_5muQ7T!Z=e%Yf!D9*ls*o-{+XLB-P#*CZblaCy`AtmUY%1= zRkJXB{i>jUQ$*wx*{Oq_5fP!40~Cpi{!xkjjBj)jWc03DO$H(g`d^AjDEe^b`qOd9)CB)f^z`BwYGt;Vt^UAV2|!ky^uX5P~s+P6fU!=lk5 zFY702`n_Y93ZlkzP?%*LDC2cSigVu)^6M<^G3qByq@{3jdfoBYZ4PQQQ=OV6YYd1D zE>J(#HRvFD*K4@>^FJ>oukFPHR)~=O?B{qbIqW}HRLEap`-6a5HUK9~nq-1GWFu9! zsrOYfP#oxiTwrX-mPe6?B_y0>iR0GrcA+})fSFQ}3gZF$PYKltNzk9?OP|@jl<$aB zrAgZSGqwSjC^ubyN?Nn^Iqm4R1;&+mw>J|}7F8vz;1+KuQXM0>wKQ|(c+UPEjRUMZ zm8`V%)2?#W?L$(Duf$))asyV`(%QeP_=WK=F_>*uYny4)@h^;RV?pf^+7CM*(W*%X z$eUFTSdv}B=(om>jAkmTd}-{P3h~z{T+%xC8e;wVR&n{#Au}$**?l;0boXKpz{a|} z6`hnR*EkyFa=E_7RfGh!FQavWv&#)!qVcgx8NbFk-KlI~^It+$$F<)Fjnn_Ooo=>? zzwKTqK1W#Ae*eT24bQlIX6HE$@lzMD)daYe<8~y`p5&jGAI?J2CJ1ZKMEG};Hm3Ki z@4!y(o+*=%n$-7n2mzRI9E6|X9SN8x2{4@JA5P-%$C0fpb3v4J`m<{kcOF`BWGe%@ zwgbR*PU&g*Qtau^_$gLAt#TL$(_xFoD2l-wXgDvcNZOvmGWI&@%S|xDZFhz=*<`JM!HsB zm{YP~1fG|4(pI-VFjG1RVNd;eDDUWS2>MA;@bDdrNIVzn2z%?Ls_aW7lUCwBr`2-5 zb@&lbHSN#WIQjadi3H4cP@=+@7DQ%%G=;kd?!K$C^7G1<@xaLwjh=jf{_ar^^tLyG z#K}n2@zHfOFFL)7QKh5Zpsas0)$^uku!1BazOk=(TW;qNT%r)F?KpimW(7<}sNt3}jelLskK8N+u70hUZ zbFLQ6M>*z0-=GK)p!yVff~d4I|9oyVfDRZOOS?o3A@$0_)JWy7!Wz}DMGeo+!zosN zQ|SXC;oUEVyJ7LYypQ{mHzQ&m*l|5vejM?2VBz+N(6c1MhMcmO|6%}v9EtxxxIKdA zonrxk+KZWSEn^vcK<@hEoB(pdtyVf+LATNsVhk*KYxk%@MP6NAocFC=YBS5gY2Z_? z#b`4|)S<(Dn7GgE@HR92I_&$iT|7NN7=~k`snq{_hY*?OS1nE z^~MLeRBF!=*qaY_)Zm#Q$3JsS<@6a~eWcd(9BKA&vVkyM|JXZlE$6NpuDXnjX}NYdgY zb+@To6P#-@s0jqMiv(;8;?qt_2F{}>*ZN_}@50H99gYwnGqQvZ0RVg7mAkz8&PYvq zI=VR2PBQ%BD}YY(8>r3c_-ldPtwln(LcQl?Qd@-)Io-{-&&%$>-F8WI2`TR#XWhu1 zYOR_p5=uh|7xl6ba&$jkYsazTT&dawJCTSVD;rVUOsG2@!zVWh3WX_E_nvRxA^agi z49@`%F$!^U9>jnn{|J_WDU-_E1m~q`IRsHgRq=UfThrq%JrA~%ru`voL~WEhzN=tDU!{EKL(5RS2$g4wk=u+wv&*eI^>h0p zN{&6P;`O`asZ{(Fq0z;LQAd1OnG@x4RY&})GB;W_L^M0>?>66sB>N*7ZBvQK6VcQL z@smFW$A0Ke&sVkQbA?_@)7L9IvK$6K3>U+2efY(z461T3vsiKa_pNm@E}#YKR~FL3sBA@i=t1GpRhi;i2+=Fr4euLF(W|8 zF&K`>%V(YwBd|t6ZMTYetlXcfus;`4qL^OV`K6byQb}7+k&ouQ>&j{$wfckr$9W{(_!Q8e! zWsMLXU7Q0*SfR0mihJ(8GM>B};m+1#7h$sH?OPVgNp-(|&3jVgst0oYjSX5NdOVm} z^gHh(|7Fh;PW`eYVM61ADwE_K1jei~pl&9x0Eyn_&UYU~1gwQ#TGB17*{jS^)cdYX z4gY)qeFC%Lp}xKa;HfTZ!Di9pnL^7S_8*S>xQg=A`E{-#rH0VTWTp-hA5h0@bC$JWYjOl`ep9|B^s9c1 z3iWZj9`|?f1dJW}dITi^m~(V~Q-ZGg*mK^e-t%!oaa~y8jZ~!rK8(fl!mo#XKazGu zh0cbi!v6d&9;32Ol++FdPA*uHwb~HeD)>ol@eQ&T#)Y9(cmU6N3&{=ETB>D33Q{kS zEYo9#mqb4Ro)*r%Fy{^n(~>POy-_@OUu4j#-qxRqVm(?^_oR!RwAKbywd*OT~=1=ZC3m=OEvQWZ41vlCjc-)!&8HuG>KVn>Px12BgYT)L81!jqG4$vt{MZ zOz-7W$20DbKh1#toIz%Du@O)JI=XDEtE^`uK|Ir+=={~}^ zbyJi#Gs=q1*EwW=Sy%=pOj5_}zac$wa7xpg*NwZ);hW@mi}zdj^aYv-C5TXGL@{eq zN9>2hZnL2|>d|)vcd1M;5fV-o#_D6=hEE`EwGkNs67$nhG=4BS-dK#ga^b5K1vlKc&bNM1weLOc zcZkf0goBZi$;BMOVY{F(h{7nO`pSAaG8!P<106STGIbsmIQQ~fMp3+h&&z5w_`(4_ zZytF4d1l8~c2w6nVGTI)kDh*Ke-X^JmaB5x+m-7;zz1ZylSAWyF_VryXGnsCV8%YP zIJUnsyyA?g8FWZ2fE#^>GD9fKrM_McRM%mG0_pL$Fho{7JM6w8n;0vFfiN9y#*Xra z9fb)q;hM*F2xl~&M}UEl3NBRWHQK)YJZFq5H(IHFZjY>!5UI+7zDcpAM_-{d6E-3@ z4qF}IH+%+ylep36*(DE3WOh5cf}daSCx@@uoJ+_@BrliMY#gwl);} zC&|jllO|Tmj>tpl!^oMtD!5?P2p}kR;+u&;T|C?s#W}V%u0*8`&fFiFawx8 z%^8i9oX_EOWCXjN^uW&nwZ3)EC?CVs7p$$P9r?f*}vRP-BGswV1-6X^Y5;ck)(q3xB59?}>W;lju>T^*LR{{rOVy zu}P?o4zWLb{?}cp$ip+2tWd@0v_&AFGtnX}&d^7e0o<}8a9)5r{}2xlgJ1{xUas(6 zArp4gl6l)y^#x8YkB=*z@nYhlH*8;+Lr+c!#m={AS97$>T3#5JOC^l<(VgEe+b)lh z>LT&YmPibOhX_5-MO4>))<_~0BZ8>;>e;jQ_T}_pLYTJJ@8xtNM!oEyt-7KC@qs zCq-ztjO+afr^!av7E-bx6>6Cv3CxR?jSotz-94T<1E}R}M;0aAQtX1bp{)9h=kzDt zeqvXSQhRD_t-o{(5G)kxw@l6pGVEyK7DDEK8l}i}9wX~{yO_C3oqE67fAP&@p$4RC z%(JYU^|iab9E9{J=&uXAN~}N$iZLI`0i<2O`}j(Q1LE7V#>|YFV-t$gGEHf>w~=6) zUaMOv(Zl^fg|#@pm;&8t-U~Q-fAvM29kQPhHQBq@`=f26&1hZN-H^{H!OE{S2; zs7{L*GqL%`Z%FRsAkz-d;q|Z&WLJr#@}h#9{raOJvi14u(@LJ|c^9a-%!03p+uLW~ zSU_F#e<;dAHSHe>#5>^Z*+q*evrV7SnMFJ!@?5nki4=#Of7o3e4!cS6SMvI41r<{Y z6Fj%Yx_~eU@?v=50g(6XUZinHCkD@gP;cK?dWJ3EJGqLLJu<)`>1cP_g;}gOHr5_q zX}wUNAG?me`CFJNINvwNJ81=XM{K`e&$FQZ>6y8hd8o1Xr=v-=W#rWtLMV+cnB5f` z_3C1J`3p45E8R!lZRnH^wfMRX49>)7`VWE$*CY&R|6Y}Ubc;m3*>C4};C^A?#Z;ZD zM@1`=OWqEiPslt1Ce^%1cCjHcL0D`i7lcDkcqqlHnEiy#cn%+3Zp)=`p7V6^T74Bq zlda8CTx;|ykLR_RsmbEHA$|1Ix&H~;CZxU(3B-ZDjm@tg_We5+tdbDL5rG-Vv0tB_ zB;dJ#^!5MbxmHQ7QHb*yk0yOiV4#36c<|x_i{?=)aq8EGdah;6^iP&3u6WKclP!g{ z<}B~IEMpi;H+rNF-Gd@@NQ(k9>r}4#-F`koHhKbBTgxuEe%ctyPNK;_y|3J%a~=HAapK~`*W{}I3Wkk+2j2U}4%MszKSKvI%yrv~?;@MuZfITw-FcGN zeE;$fE>u&a=X2c{G)IeMeOJ5mIV-S2urs105X7RkFU=o=_^Ky{6X#dpLa!7rKTALU zP&=~ZM5@84^QyOYIQJQyXOc+qc|ddpFY2iD$r&T*9F|JVZ^w9Z!C%F;vH}eZJ2dVs z3AlZ;tr>gfB-DHjx%#}uU6K0;8Mngz=H>GGe@6XGDo7ond9~d0~o$r?=H>@<0je3!oZ{qjJg-wayLChnCi_36bAzvRNA{)&MQ;c488nH zOx$Z&CtX{!&7sj{fM4y#ov#iJ>|6*oA2;;1df1gCRt88p16)z_oQ*~IHFhh8Jn#HY z`($-R*gUkdh25gT@~MgXG+NJ*UkswRA#pvo)Y1b28)L4O=n8K1>;yfyI#xb~{xvZ& zV!Y8uW%}+_@GGR5HPww7ZZ=_X`=~s;u|@N-t-AyUX%eR=)oQzJ)@e~zW?E?Ug0VN3Ia-%-h@c+L_`Fn_nwF}={1x9K}1A) z2kD*AdxsEIdhZ<~og@?qosjV7`+M;`FZP^?JVpUD)~WojtI^)ZoRbpOAe5 zw8VLIOq#7QD%owMoL2_??yJi9dsiXMuu84GYc-42Fg`%cu&{7`cD>nghBIqEU9CdGH~wT9Zxoz-L0szSAFm8 zRP01GAhD?QUgW&sc}kU%u)0$DdBk`1@R9#$rdoE+ShLj@q8c5RgO0Ez4$%>#y&bEr z{18Uhr?t;t7OA_$_|DH!uCO(EnYJ)E(cz!hR9xU{jT zugUKhRmQ#T-;f=~QY-VBclA1gEUfW1EHBBEgqn(i0#43)CP$sx+SM&|5JrP3!z8GM zn{1mhaj_r&#jj+%+W$bcV$I~L{ED!8frPYgoWw4hSf@8P1Mr+!YO*lTOAj5_e| z?S^kJ8Bkcp7MF~{_m$@mb`cI3R+c*3)S$ucrG}u}^|sre6fVE*-_Vp4(y$73s?&+n z-qjhWa1?WiPl$Hn{uy+_Y@~PWaLVsAzbT@|p{aY4zs&)?bNW)OEQRlfaar2m{<6v6 zy0`at{M5}&Wu+(5_Pu=z-YA7_y?qDBi62(j0g5evs&|^xozz~P2?`7RDqBdnZpu zVN+1V36{I@qJyHy#|Wn$3^+~Ux1X+5a7?HisJ&Koez{owGevYq1D0KX{5zDsA@%C{ z3j93@rI_U1$PR9!Q`fK*x%NG3=Y&TiYFy#mU!eG}s;Z5V@9=?>1vPKRdI9kyFiwqP z9?J6cmh#GmIgP`gw0r>MY1;md!Q6;^JsPdL|Hfv&B26{%@k#N?=LK)g$;cd!=l|K@ z#=n9eFUr1p+_Wi>Ue$n(fprznfk_!z2k@AoWDaUQMQ3a~Jwla2mCC|dF5=55Hbpjf z?;!&c-dK6d)IDkKV*SFY*VksKx!l$iGn*@=KBdJ^IsjRqT4}?b`Qt!24>t1O9a3+z zTN8%k+MDbb+D^3RFEp$=8gkAO1&)@^MVxqDbFR?wZGU;+@Uc+N7|!7LU&)Ea7dNWe zTG$SPusIVsXl1W^mTLJv$u1{Tg)|9L$HBWPH8ip~tcu@0ft+ z69#&xFJOCqE3hgi>RyVk9t3uY43j{Dyha7>otXz*WUw#%(*77#-_y>Rc?lv7 z%3Sd_3M;5RlI4qInQsWR0FiFEP;xCDV7e|Ebi)c4hc$g5^DGBtP1-!xWfG(-GYSxW zbX+x9#Rt-IpQ&tJp&;dYQjV#rh^SPO`#!8DwW!TYi?pX>zRXJnOMc!~91jFV;QS{-o7M(B!_j8N3(qTV=uiKb_4ExrJ>$r z8c>s?B_E_mcymr;nrx7yRd-JJ1~MW~^I+wwZ|#Sa5h|l`>PX)!T=gIlZYy&QTq&P|L$)c)d1|GOW&h`a`evg4huDbH+njRK$JwBM!jaP~yic@!c*wIQcSfe)8bTQXQM?A7mn0 z>?wAbWYBaUj!!EOsfV$bX=a7ue&VLz`sG8YHyi_F{&1u%55(pd$l(-1v2 zcM?P@$2kaCnOW<-3oOyB4p}SgPL_S!sXq$Sl zn=9d#duS2voJ^501X!6+@T~uM9%1YX6E>ncdt) zoSKi9c1{Z&(_qy~CwLP}H_5~#`YtginZ0cB%M{8OsSS&x_-$P7^~dYyBolB~y5?Cq zvxy=4uf~;v{J1pNhNUS6d7m9_Wwu3%P6M}1(GCVd*4HwoZ}kj=If(bJ|2!WNdQRCX z&}&^q6mJNfQvlv))+7AFjw5`vzha~B2tY@8L849AhO#lczD&e;mCAtX<;;$^(`BDy z<=lLoeSK559XRr=v0pH<@CS?~MGyz=&_^fFsTSE(uBU;Ax4kAWwc&2yorYbMB3$kK znp4xh!ZGe;G~s^Igobx_&fWPhg(ug6Cu%;m`O!&H}qMQuEU&SeAN(D%xh4 zedfNe<>PytviT-!>i40s#fkfqLZCVV{17t4-Ztu8CQ~I5bd5A>Ui463kmJHK>jj#h zI#ARkjy)MT*@g9*P6{MHS+#mKjdIj)BAU8q?^esp&}=9!Ob;A5$tfu}Vl*EP-oag# z&_no1IqsABykcv<=)g3W3CO%Ne3An;`iM-VjM)CS-mf}^GNH+6A@viU8;`z6SZebs zHSl3OIOG-MUKuzTB_5isI6RQDl$+Ir&e|{O!}L!S(3bb}kso^_0?Ts%OlUmrItuHw*=c zp}sVGq*vn|k=WwwmC3~J1-IbhW=;W&_x)ScDgQH}I^*K*D5k_cp&4A%aAAcMI3H43 zT!(hh<88jvhxXtWb|P*ktv~j@PCPCI0oJfA{Y~aR(U!BhWyU__Z)P4KXcu5Ed^gJ1$fjK+hVE6!dioASXz_X z!$HkTr8%kx5L}}5WRX7%ldT!piBwyEBkiQ-F4VnG1GeL204(^wl;b?#U*{yaSGoG# znG*N+%xPv*sZb0E90CDfDv1x0ru?QMqX%_EONuq!6Hc{!aJg(y`lpk|LU3wsnJtBd z1i>~B{Mp|UAVu>QVfT5$lK#^X^j%s^!f9w}q>oBK)}nVGu*{AtDxkCV!`IgR1E>UzkcN7yZG8R*sZ`$VzeG0B7hypMYmX}b$jnnfQ zpx{ypwvqBFwr=|*;$!ZI>t8Z4oiOLqa4vz#p9e+I6!g}S2f?ct=%;j4S%;oP`tJ>? zLUPqmmWi#O6Y-wPf}z z8osHFFev1QRS_IdN@Im}DkPo+?$zGHMO|ySL|VPA&GSinX!Vti>0`UmM5f?WJ=XbF z%f#a5p0fuAEIf!Dte(rPoLa|MZyM|i6tki(DAXB#!P{o%M=)aqV&KRZd8mk3t0m}` zAQ$6>V=w1@PbNiCi64UZkY^g?X^S$mHs87AjVIf1{;oEoXUIy*-%+i3u@LR(JPMpe z!`pK?TudwgfQ}_?6NoWDH}LOYQr?Pt;fzH{#A9t@OWxa?biz=2P$ zWABQdlcs&wo5jIIc5b1QjGQAO`s(O9&-cN|bvsTaPZ<-0P32r3P`b>iHv5f~i7d%< zYpzA$$eN*d@le;IL0d^GA*vC$pB|{JZ(^M*f4nu+)FYp)b>cr?Z{q2#&2eI{vt(CY zKtFSF^*>mogYBkyT8ofZ8)nojmBjKdqdGZB(H zBZiSes0B%Wxn#sC&6Tsg-KFMP^*}lns3T*yW5n|sb|adIg5SZU9Lj1fG|e~5vn-pl z(>~k=_}3q=T@_VUgSQ=y`cU0X^IR4oGyuqUk9AOob#1VPM0b%>wWBTEH~jREZ@)~M zFZx{Op9hTrB2uD+02FF-@oRgBj))l<-YE^|k;kt7i zh}YpoemynZr^73xmjRr|eEH8pM*ZXhoR7Re^-@mC&$1qp`Kdubt3T=Zo(n1pclO5^ zR+yXn%(yoKo66Q=je+R6XfhL%Ld8|?$#=Vl(ia>qu@zdP@558`ugYs2kbC;S0ktuc zJXkuLVPU4hKu;Y~RQG=Tsm7UuEkeIokp{G%v+r=hJu7m^GIFGk);=hxm$~R)%p#6l zscay8OD793=8ZX+lWJpXCc8j*05zcJ^r685@=2z9b|i{j*EZJVl5X?^(NS^mgQ+#L zsdH3xhw_e5V8XQ=*017V39}~@`HOX9FtY&+MkweapBB zE3L9eVy7xYucvA0p4Wa*5~FuiZa^e_C}h^B`yO65XKoySi#5xg{EV61;qK(8I3Ag| zi0?}o%=~e*RMBgCjSsFXYjsTrdg2o+U{=HDD9y^JKD|adBAD^7Jg7%LteAJX3g3$- z26{$RIVY@^o!OO>IVPU}TO2PI%<;;opHSNxR1kq2fC1=@D0c(xVY8L zbM^hTh0U+@W1EO5n8QDL;vZ?6RKG9r7bT4==~kl_uYZ7q6KhUi{_HW>dTS?A{IC9~ zt#U|6q5I*3!Rc4;SzS*>l@bRLmOW3n?}=|6hI zqo>2=q^D|T%suq(5TUChl+~0h#;16=UlX8emX7*|#BWn3)X0@aLTsSWYrjc6d5+>SoN>~a z?Ha8FB)(_pncj^)Yb>HI_J=r9E89EwepXL@F>Cf_MmjvYbO*wxk+Pz#t6@@U4VrS9 zE+=Ic!)OG=L4O|%P!1RrFg!#XJ-Vl}o8g|A9r?((yu;yz)r;AayS6@2yyTI)|I&C% zyg#X$|C1gk3gR*;_U41swVXIn_A|-Hqmw->$k&O9R9?Nij&w5nKJ?^YZc0zInaR%ZV4giRJFaTgNq51&&nSIIUkoGk_ zM7Vad$Hq<+iB+#96t={jg(XqxZF2E7($Yab(Lf4l0oy08t z2`p2mDNXQ7%9Shc{JV)Zw~ptV+bm*gPEXusOU?=tZYr`yC%x6xr7G)4R#;rfxZ9|1 zii8+bg$Fj7*rx5Q?@69EaszB#u^|x&WHmW50tS8Q71CFe6bU;r0J$>mSyhcBV}?V{ z2y__;4R5eU%Ju>*p(m3H6rya(F7|EL^1Q;JWx(SHQrp z66CxQLT*g$3GKQ4zX;aNv`oni@3xFM8>#4j?u#U#Y)8?5d7G2opB*jwmI-mCQA^Je+(_4>4)!XeUVA&lja%h z`uUhuQfS<0 zIFAnCGL?V%*=8SSEoLU}NO6Bj{HuEsT6^N{JbJh#mF6ZE@MOoVQ3~Gg=`p3#eQE1F z%IoOasgvPZwwPHxx1A|HX79p>b3QS39<_Jy>@>|d&RO=K>VoNj_$EAASL$t2yxpE| zw*_rUxv~bie6Y&?*L9G03=1@~OZE1BwmJ6L77Sf|Jqp?eJQmHGYW2Lm@gNC~U0R|eX z1T7RaQ>Wc%8U)t8=@B<>`=x61EQEHZnPA49;v3uFFG)bp1P?3Eo4Cn-Mc zw^beLs0Kb;W`JfoC&_cFN__Hs=h$+6^D^A*ml9~w^pBqe=XMdN!TROhQL3?fVUdzI zhpGqB2F9gg<|jG5U#u2j0qz{wn=khxIU4WUlc(mb1tYwZRA?KvU3xOFs*UeBEA3}K zOuflSXlaQ~HvL`H4gPzu$UjZv!7~T3|t#*6F#a2*2?kljvcelWtXpf z5|D&AfN;uDKdT1R*q(V-g>Hf!RXcBYgWBD?oL$<7_-wp~(R*E2(i-nmYj$IfR?+i} zTfn$e7LzYZ39&CswA+bx?>SnZfuKBN16Ga3xCb1R18SI?Z~sO*AfFCs;1aH4nd3Qf z%v^y^hl3#^2lk3zz8{mz-WY&9KCxMQbF@k|u_UH$>bIzP=yI@Bb4uNB)N5lBuBpD+ zdi-)dfTk0R%e;yui{C8j$-J-AEJTzAfZK_B3NYCLJA zB+GBLuKj;zt2$d*UMB=({6Gl;N~`CU6STTa9v|cX{kRu#%*nCfY&X{MaFOusRvVFW z#@$j3*y-CAf5?dnPVQwoAHZb%9dy0P4>a}#6d;(18uQfkGso|%XeOj?&Qa7_0}>RE z6YfJ}6x(A*l~sZ{B%JoiakOairVsHzt)jD)8Wj)A*;-r^maHazbFQ0P>+{l` zij{nCK$8VnmYjB`leP0-=l@w^W&EFnO}|^y*V)ym=<>(Sy79Ig8dH^iDVd@Z3`tne z_y!4=wNrR$@ok5!jyzFF2wqj=xg`gFJn-}&jUDVSF7(66lRWc2?WL=kWV!W(+qG1O z;eJ_KYS2=!T7%gKyuftlr|kN!vzr&KZ$Ncj-i!HRi;HE##t)s4q@#!1Qn%244+ko5 zmC%TKx4*RIIOo&*MTxjfu9@rokE0RzA2M21hx?QCg3usAV?K`hq~etz>q>jle-}r* zDcJoWwb{@-E@OTC_N`45=%%-qi+&_xeknEP=x0og$TumHoRLXVmXG+oahCI`9=Y^( z=b+ntZcJsG%(SS&Ba7uW%$MaBy3{j6{(CI0v#-*CNNS34dgM-${uYXH&iPZZFf(S} z@J-Fh&sT8Sb@PpDAwC+c3;yaK4gTLa@My?ghlPv(Xe>OUnZ;>BES*>Nd2QLE5{rm?nIx=@&ZaA)2 zx5yELB7rR2Fg5c_tsGw-2@f^Rw=(W~O7KL*0cV3$D2|t30pIz4M=bUFU512`H*ruKd90UX)!nZoW5rixp#O&ZL zosrd!9YIH^(r8S&(34vx+vBWLca3hLWXEj1Jzrb!QX+rfObRJoe%?G-s8)s&)OAUOjss2$bYl{?ugjP=58O^ycbm!tEGl4=s$*1ova1X$*vH3T zZ{AzWTq+&)>3dU7b?^TWI2qC&jeXFXmf6Qavk4pI&#Ri3t2Um6ump{gO4Ox`TIEB=Qc+@VE*us8!r}4fcdz>Xu#ix zt*2QXx8k7)71wf>RT#hcFe%f_y%Gd${yJd3V7TC*0>=aW!?`wkfWVrRodOGj3% zO@lx;rd%kzs79>RH#-m3>zd&`j8VOrv5)1?L}g?DAgi-b(wB!9Z*HJ~Vxk^w7C?4; z9EDHO@e(!DwUGo7W;7MFo^js<$b8J)wA2v9Lj>7ETtjVo@`?B`!NJm-2v;}-taUaE z)f{5JaT7Xh&!D%c z?&se(%yL7PV4uRKZZ8<=$ zG4=#Mjzd?~7q*LPTPu_D^E22j+a0UB^`62{r=@z5dOyQJQfAfg`eUO!a|1*IUZDKF z(M&t=6n|M&28C2_Z4F|I>Y6a+b*WRTnNX=@RXXkVhUCK;E+nLb_;sb&0|u+4&H)}a z+XN6hP_zT+Wx%)qBiM#;9_ngK4ahT3zIoG{@2yC;fz+;20S*iVK{hvm1GoPHYo-N@ zK`Yg?WBj&TqHsOV9Rjx$kcdsW>G)+p)FXfd+coy%ZZQ44KuVj+x>V_?8|&&rWrI`L zck>xS*~ucq^CQ1jz8iF>cferDXx)WO)Uo9)ib@Vf?mt1fjOpu+i)@Y?xwK7HR$Kc> zt@DSl)r#+w1L8`KBdID?ql8Pp{v$1{e-q?NDsY+0863^7X&2b}M)Qo1V%A#VtlmG2 zMCwu^3NH-A`xzqCc!~zE^Pvz}N#Yc&tO2<>2 zTOoUH0U*da&VppB6zQk|YqE~Xhy=4>;kvwG(2CY_MCPhbin;edQ}y;Hwjc3*`Go1> zL>5N9`4AQu{Lmf|#-2FCEBk&cVq;~9h)Ohjd~^CILs919lFQoLa-}{b^V&z$qvu@C zr~9u7mt=e4FB)0XFW{o&KzjKdWhl zkKnL!$B>=lCpOKc;Opc23rWcnI(!oJRmeK1OkG{6IY2lMk+o@9R$?TLmRC5Ihplo9 zNwm!|Wtit;mttrF`kP!8-yLu0dBshgs+{j+ZHh9N{g!wtMO6C0nX@+o!GSg}tkq>4 z%VRoK_Eut!A=&T}0ZjkOm&{&27d!wMbTmO+>y+hOvvq=LMk7t7vcTU? zBPUx2rU5=bn+5BXcY@B9w(Jqk)@Oh%wXGB8&)Gi-WnZ+eEWRy2`71EoS;45<%dk*h zUYxS$i(|D$m@hzP#ylg;9@)$p$jt@*Fxa3Tut}Y!&_`bykxI>M_4UZ#*zAv+CD;mW zguFuPFR-=_$js+u`g3CwnD(?Y`A~R#ET2F2L}Gzo3J8d6lvr|s95O&OOv^(MgXeQ~ zRjWTims`+T1L=Nrr;bO~1qU4KXK~|))W0;DqXYu=Ip8g~A#=GfWJ8dZrZ!p&Fg7qB zh?$YTFd=N5<*u?3x`wZV7w51>T-;{T3;gmyH=ux(W`eHyk`DZUArN7LwB8ZGTx_XG zo~k>WG}Yz3bmgH)TI$HOp6bE$xtndcZ|!P&U{c$PeG(E6nLq4{#+C*Y!9d(Z4x=7! zAV2Zf*4(r=we@33dEM%XlqBiYIw}EON2Y%P`XnX=z2JM)9oC2 zlE^d&pL8##@Q6U#8QWE`p38c$OZNxH9v`ml03mPqM0+DK+-&mcoK zY}uR%V{j8l+Zrm(C4ysKA9PW8;D&_y_g8NDgI-r9 zGObUgzFV17QzKLaG`WOH(xhH3%2kln0P39NeGzj8A9@q%`>r~4QYa}xl?fx~sJeh$ z*a;){Q5DE2b}2W_Vll#hz)wEtHs45DjET8aL&2YgxwJ5T5;;E|?OMH(aJ-c0OJ(>` zRn-(^Q#8kutPa*GXVzSqirf#3n~)j0K5G(4+w;sDOFWbOdQ1;S!?ZkXV9bMlr&43w z3tp=G2L39e$3?f*cFSvJ=Gx$_1NeTc^WC?CCSc-izQ=hoNnN(aESvOO{7SDJV<|et zNH@5X6TCwl%P9gTu?@O{GX(odms@Dv#m#0z;E}Ux7Q8NNV!#e>gUkMkPIaJ^&;Q^% zbX8O}h1ubkX|3~(2aB?p(ZF|CX3dQ+mN^|G8x=hw%G*5XkzP!DYOddu(wMP5=LHjQp1IBb#Hr;WnqXLI)R}H;-d~=o4kjB92h?~B zo7AP!F<%?}Xg}jr?X1&qjvZh`JB9(eJ{fEG{=VZJFGJtyCleL+bc~+P=Qk+e15SG$ z5P8ie)w5$Wjo@9Xh>Dp!8<%-LR_h%x)pk@N{`@{FN(^HFOfPz)X&&K!8jH>}Zwj2k z#^VfljAlC{tPpx~%OmwEJ%}8i)##>jT+X_Dg#>@bmC4bvhScQ-qoj`qjJMoqBLQxV zxEb7>?u4A^WlYEG0jsG=F|qLJ8pYGu_(UeiCI%-L?Sf$;!t(lq)^#)G8TxHDPC~4g z3nj^Ki^6RVIX3F-tdm^1BQ;W~k0uTCdq+2m_1mW<+xv)9lh{f!g2ghC)}1C7E;WEo z3zYBP{Gb2)f9qw=#_QcuxtVM8{4}uInYnM-55AT(o_EtHDt4K zNl=#!^RPLpaL->2L3PcT(T>r(en0%gZB)qeBYYwSxFT{h>hU@goCwmcis6g7|bG%_(U7C&ktP^*usIuy@8{x#T!xaY=^@PJDtf z;^0Q^g)S>sh)5CYU;{Dr5X6ax0W3bVx_TI}q>IY#x7fm*;{M0wu9G$@K#0l$$f=b|DEj_qmJUgS-PY_Pp1#l5l zdwYVV`s24c*1=ynbgXQ}-=xXCqhd>(PU~lTSS)Pyu;QC`{j}=Pb9Q)JAaExWGPio} zGYWG2bN*XyClhsuH*>7s0pX9@HMhunr((&@XM$JtSLdt7e8_wh9;a?b1NdQM&vs{o z+dDSNXQ@$fU4h^hiTf=!fv|{+)yF5Xh#7FH(vI-o5SEAMn9l(5R;3oB`;xd`<~4EB z+e_@%rKf-X3`u=9B9Ywes?I;v+^wZ>!W!v$v%A)eUS)m;oOs3XW%+15+>Muj@j{ze z#Abjv61)yK$;tST>sN-Gz)C0zX&4tGy>tHo6d%fPLvYnNJpZM1#qOGmjJ|`~IJq1P zjl_tJYQG|ORwz)KNC9sbzku!`94|v9-zZH$g^1UBmSzy@fU&3Fu54thXLja<{q`O6 z{Ze;L0@Sy}aO0pxfnVobfE!4=PWeh1?S7CpYWd}|<6Y?ABAB1^XDj47sY5lH+c>+{ zyUV9glwKr`7mJ%769|egZbRi-#;O2LC=neztbJJzI`__B1BN7i<)!*f%KRuiM{u) zg}Faiu1Y5oLw=%;h#xTelYhy%L5TjfaAAu(F`5(c+c^98Kq$Yjg?{2U*KdysV zc|hlJO30NcJ1LYE%v9~@OqRXOY(l7Ic31vD`AI0~o*mJsuP>xr5!`mnaFM)LyuM*N zVT~9a70~D*y*!a_Y$nC6pFd|j)g}ppiL|^#v8#3-7c0xX&03+4wQjFs{?(Z>N8(RG zcg}y+*OEK{7&Zb^%|}B&<+yQ^N?zE``b>Df1ML#j1qMks#ny$(DF03^O>3(4$D6pm zi9tA+-G%O5T5^nXkoWQlx=3ulo0l*Je&`t64TbXPp$bwnruTfPm3F+A&SS6ZN_sD9 zMf>$O0*E9iIfse=?Rhl7^6k~nHu!pUx<0ME=U|vK>sQHqK6K^4R~@K!J>GbfIp}!v z>E|cR`0^XJ7a>%Uwodht7V) zKVgh?Wr1v63Wtdf8TS}3W3K?|U=Jdv2yqg4EuVh##VVU|1RiTE|NTbkvJ3Z(@!d^j z(2O@brP5`@wK8aE6Ins*2Tb^WWlTF|HS1#qRHV+Xn;%wRYvzc=Lfv!eaH#6g{{>v% zHSX&_%GU`#W>{#qz%i3o;2sYGSwT?;aZFr3&RRpPub=farSialwB47p!J3iGIYOh? zW};-aJ(V{u@r_7#(=II0#_a^~>*!Ma$cAXU;g{8i2#O-zx)4w9tHrKd1@4P{IksH- zivMzPFx{x#?}o(*2U%tDmn{u2?7lL!yB`JwkOr7=uW~Pw-d#rgdWDR_h;7S_--fDF zLg^gs*P&_0VN{KoC^!^=SD)x4@mOYC_O>wd4p|jk8zpnwJ#=V1|5tL?f^+%u!E*91 z1fZ)H=@#lnN78~1y=+S#8FEOQ;YaK;9LvsL=E#qiZ|ojA`hAydgLgHqmvn2)C>~NF zw8B@D@1bgmXv9GWB_2788Xe&PjYIGRJNksm(a|&pLoz1O+bNgD(l-KeJ>|Vo?&(^-HT?2ku zCO)D7_W$W0i((o2HCKn59wO6PFSwR5X=IVr?NJWTz}?%PXtvLk5_o1e`h)`NYJZ}| zv_E3;-6@AB^R}{pT%@WGaqIQnFb(0BP>vXPE)n8t zQ71vQvJvS(tOHBV=IMa;0b%?>ez{$Z*G1hLThTt>Szs)eWH7DDPlSS4q6a=7iR5&< zP+I`Pdn~jl5ytX1h#V~k#PsFU93gWxz*YeCJs?VCn$(UwU~M0eN{4v6@ujnwmL<*C zO4jtzg>F!wVT;;b#)kQuPnRqN_MG*gClndhZWjt9%8WK{e{DKxMuy@xplfXH3V@IT z(9oZ{lR8OE<0J5BhB@yQJmvXP04P)^%oYS%t}!v|Ltyy?C7* zh%n!n?fKcF#QX)+0K57AYOYl2*d9j)c}q)oDR=tHzZN+@lY}vvJI)EcOfXd&y8_X< z;;AH^5`I2$ea?84^3&#$%5_{M$UVz#2O7+L7Jb$eE-`Aa1p7zs`U6OET|hC`cj(W! znl0#^z$JNO3NdbG9hcUoTn{mq*Y4pI3tKaFH(4+4{n;E2?q%-gl-y8bA>3Lbht2aV zJ8Xz3C2#5e5_TGUf_X zdKGgzQC(#>3p{vQwPo~I?u9xR?8t6iBLCKslGiUn*uge#CY{rY6=8B_UKXz2b685# zZZngx85pD_qM1r!%P5MJ&Yp4kc~r=z$gW8&#t{WX%#EOScM?pa*9P3XpIA-mQrVq) zYD}RK`d%gMnv}ajJC@hZXcO5s_s76!xBm2>Yevr*Vad13vE2v}d%PVzAq^z<$u1CK zs{28dMnoTQu;V2-JY&%D+WGPNKBI*r%bx+l=YSy3pUu6}6#lP@GZ^(GuN(GI&nV3H z9v{Rlu8$*40g%qIUYqBxdQeNo>+p+NLLK+5&p1(eGjC*+_-x$W*!rdb+L#At0r1v< zrw0fBC?DW!O^0s&L;^Sdf6p6{nP$S~Pn7{iH`#3I6Mi(k6+<(cR4_x@)O&bgO~vNW-&_@x z52m{Xc0FfrKrDa0qZmTj!S4c)yvz7|j2^FYh@U%*54f+j?xEI22Sw4i_i|rBcbDYTuNN)}zDf{BdL(;pbL<(3wIIWckah%#jjcGk4#U9h;j+I+Giok$vu~ zAf!1Lv|S7=>Hh-|H8JJSe{u+28-3{3Eg;oaY^5dG_ry}XMBrci_hDe)7}cA4cv#1@ zL&ve`if1KQdG`8)PR@;<@#xI-v+Rzb-7VI}K2pgOoZH=V+qHSS^evO)qAJC2-2VoY z;(xd{?&i^5k=Xv`K`0xA9s1m8RR;Zsziqj~+BCn~Jsh}0fnZesBS;$qm0eeJ9+a)- ztd_@am-o}39-92xquk8{o)sS{z*r=QmTm-@h{N>5lB1{1Jkn8wy`iy5toz@_#=O3m z^=n3+01;wp&jj+3-1@zCCA|8@Pq_!nX}|VqTnxEy^;_UNM&m6z%H-4WYMS8*qVIu3 z%$h|r>BePU&4xQV=#Wf~nq@=s5KJ2;#|F)BOS$PK^o(V!`KL+3gO0mdmA^5=LaS20 z_T4=lIt>HI-=y4SJe53sr_q<4$$S}VDv{qO6_-JEQt~@$Xs>anJgEKJBfn~6BR&V! z%Ta1-abeABUdQSC-qCZ~!@n~YpN+_iD*m(gOx+3v2v_YM>7 zOg;X;sTcW-*<_`@AO*@e+ad^!cMw@Hd zgu}59sNqgi+AtRD-8ItV?lsaUawlIHu}OxqR~@%%Q9H79$DZ9MU0MIVezxnr3s9sa z+{#WAKKag`QBV7YqFE5&o|Jd4u;v}U{Ze4n9ooIwP7SpUSWWz15S`eN2@w9P-$`tP zK0-b*-$!B3(~7rVA{SKab&(=O(RW&oFRz|wA6ej6AIY6wK5N9B2Hl=oeRJb`=c~Sj z%2S=Eor$J~#KL64Ct^}*axjg;*S8ycD=TL8BG}=IHU;y$f#qS)lK(jV`|>I-A0GFj z|Gy+yNh&jjm5q%pB9{fzn9IZFANO@|)Mt4C;+Yp*zjGjkx&)rPJT6xXd%SM^w26(Kr)$nxCTFaC@-H4R&|bZv-e`THQBdphrujv{eU9>KQEQl=*q zm!XlSFUsno#4_`M@->gL`8={8N1mK!4sDrjJ=wi8_;$Uh-n=i~@PnvZik{vn%jfju z*o}9E6a6v)J2PX1@zFB4+I<~PXK!+vMk*1Rn^uQixg$3X9?w)4&oAIRRu3eQ8wzTt z)NRQ6SA1di>)*qaDX)X)M&9;Ib1|(HeLNX0q>^^XsMS>QW9OmH+1g)f-pMXk>IkQ% z@#lKAQx?S_{zDF(M)*aJ6rWuQ4}66Gu_9jZ1Mhn?XGT_qOOd|CUu;|#q8&; zfvko*MLv>S8A1cJ7o}9uC;0sd1L_-x@RG3U&ON*xG&^k;mg&O#cwKC3YWP^PCaCTK z)n=mWzq~=%p6BaZ=UngpN~p_HX-pO!>3JX6HvY8=7?AOz?ebt7PAJZA)vN4Kp>#ZG u*ACnGoX%j=a75M0xj#mn@eGP05BS;C!2tX(P_k;T&?^Xh^3^**-hNN7s;H`5y``a~tfHiHOIh`*wa3d~Z+DNY58d2-uPR@) zzJJx;Om~&jL|7(v@{?~>wY!{yI+WFSpA9!BrVZj}5 zrCleNVa10o$q@l^yW!xYCB^uq_?EWuC8tk=-{*@jcK57y(Q|T#Tyvk|w*$uztP2rC zd#xdvN^*-e&7PxqLsGh(XP=0NnNo`iz{xq1!QWo1u7B0AS2;C9 zP9Q05biW;+4~-5JZ~_;d7q}oJ&l=7rzGEhfB?%{foq$xFJ{&;xG{?S6_JQ#fptnTr z@ogR5mu8&{liva=1C0vhHeHknJD#Fu>*puiE7srZ*iW>79=pV}sJQ8(MgY_W0t>e`UF`irf)r(szl>c9 zf>#87?`cie5#;JZZ@JjxJ9IFYW`Y0L?Eek&|1GKi{{x6qFv!$!>l#F+hNW@eJ0zqP z5Ubr0HghkLaB8jeC@A4BVC{z#uDWwx)z~aIBCuaGUZwwVW_l9(t^xKq|GkhxuFCur zN99l<$B@I{SIFl=y}?D^4;!A#p4cICGgsbEs5tV!67IAp{Nh}LxpZ^(`RSkP&WJ{v zhJ~g6H^qrWEmBW~9HvvD3jwsq4pI5g?SQwFmJ$T(7khGYz8j z-XfP3HI`2WBlZxw`s4lg_LhV05l@;#MMzQA?{5#8R07@$*U9pm9W=2aY(l+w97JZK|Ovkin?Q8@l_};Hfx)$sM!~18te-0P!-?2$B=V)0`u*}rA zj_}$G66&sZf9jLC`3VSWSDgrHj!0;9;T_)c?FEr15npB$34yjG-qy1asQ8)6B zpOk?m;=%L0{JnQu<&%q0RgG8u@oYqcGP1Ae+8~TMX%!?l@`iJ-VNZ)SjrV22cl{by#9DB45pLO{9 z2c1hNg^KC~+uDP?)U5U4Yo==b9C;Q$uZ4BDWN%L8D+PdwV4wV- zk7rk|C@O#O!-Odqo2`BMAvK+4`SZ!##UZF;g57M`no?K&39Z^RDb{maa6u31|%T2teTzZos3b?SyLLuk)=-d-r$GiN#JI{7xy551fo zoSqgm@{o?N}BzPmtmFWEcY3S`3JO9EuFEW#_H z#ovpcb<Xre(s$1m^wXsAs(IBs{a2k{ZP{gEi@|7cV3=?#Y4<`QW>@He z-29biM;Cx@GDCCST7A>S6@IN3LX7>l!Cn=1;r7OR=!Z3j@RS8uu~WUr*L;O1zAfos zC?%$1Of^7bNp-IK)2P<(7eesKesu?1GANc(uhNo zAnDqo^iX5BV#(331(7{S62P>CoeGNb#Y%jOfA(xxb3fFjZ#USkJ^XG-lEpQS2?_LY7bHj zsi!}MwA9ztjg*8&?t2T4_^Luw+FB({nfU;@{D`f-)l*pN$;Pk z1EPWTd}BYA72J?MgaWrv*jvI@m&S@*{Z$fpJKD zWw~^3?u??Y>SMP;FX24ubp_*+(w;BX@mCAQ)J_=uy$Y4Qf2=G{azuFbC&Ya8Ie0q@Yd)u2~I;UpT`2g6udsBKS>$Ju5nF`b?ZL(O^N>?pRe{x@_ z#GQ_B=;%~3a}4tD2?rSuT2?AUbkj1scuaz;OFQuYGQDrG?fNF~W`Nch#FU2r?)l>i zgv{!jIjy|y23WiED?pXSUex^{s5OMERX_i#P`YY%5~e%feIOM!{$aXxT|94ns7cB( z(emf*kiq5(m)6N2=Wn+z)EsoA7tZAqHy!66K3RN4Z*Xp$Hubqp|HwzTdAH`rXdU55 z?%b7mw!!aeXY#voUTE=3N2eU+ zo5%8duM~abG1pl9=4H2|>6j?hSSG;1Ub8t}JqFkL^OXDOo_ym@M76_q{q^M|h>N?> zz+NI;wsZ&}6(A`*^1`IjuH0_cqGhiGbYsG9akIN~cJzxwx8JO9so6ru?hDOyUWdaA z^j7k&_~NUO`ujQU2+LLxcgaK9H&3r$UvltJaZW2z3pRQYUS_ePG!!{_oWED_!_n}4 zzqh0)T2F1%POrMhaLngIiHBoRA@X_lrNj2d7%yPx`gsmLSa=b?NpMKmsmSuJ$mgZ# z(h_N|AI}Q-HV)W=ukf&@B2gQ_wlZblmvr!$g;ujN`(N~T+N*v8onTcx9s60Nc!3(i z?L_xMQ;TJ9*l&B4A6Xhw$R}R{;qsyXJg<57>QDO~ig!4VJxZzsU;5n9xvk^DUo~2o zOvA{D#fKp+M2(S!W7QE8Zb6@j*5OT`3{wg%!WFnBm{2jobn3(jArM@B&_Fghz7HUK zExc_QI}8ecX7fkjTOpaT75dsadfuSAyfQM+BV;V40c&Hgz(Y~_Et%H zn!ayj=;bi?V69~hG8aiYN?QEM_K&v>+(bai$jsumsY8H98j4**L-A1gukSLfPL>)N znk-s+Ah;?S6$@JyT@A?1Vx~`tX8jQaT+CSwOB%!5j@{higqJL&e=+y%3{87o&cKuJ zO|3vw5vO7i3-)Q7z5pu!6Ntv<#g6{>89elrtth}SXZ`-;6+;mb!ziFbVJTkoP_VeB zwHpxldiFRxk+?D5(e`NQIOdYv@G+C}_3otx4YdGrRr=(wn{(MgoWUC|8)e6jC&^(p z*|p<4k$wf9krf?Nvw`NLI^=R$I(6Vg`%jo&$wA_RK_kjPh{kvYdRzOC!HBWWY)5Dc z*)ctEsi8>OqkH#MRgK7l%-zhisCY*ymtAZ1{}tS!H?UIEZ6LB`qT_7fzYv25kHJ2q zUy=Fo&%VPfj2Jwval;v*-r|3UDmn})D5+RVIOJ-Pd$Eid$|iNy)rA(^>h=c7QG$8X z`4x|}ee{-Lvyz=P&_CY1iFh#0Qi5*Izwc1EcSOs*w#hp1TC!%wZ~^BpJhOIZ&C14b?Khl&rfEA zBBk~ppB#}sH~0bPHlnnO_lsXL9>j5N$kF3yQ8bRC)u=)-(ba5w{Q=JPi+%>$OZ6># zv%P-}Q+9l<|J)%w7n_FYv>31|7H09R;@hu5vrd0%PB-ixwD73vY|SbE(*A~)LOejO z-rd4$uZU+yqyQUjK9JtxlSYoE@rey9eYN+ubr?E#nOlQ|)4iV;E^O{JEE`U4Y_7{k zm4;M|r^_DiPUGCJt6?;g5_3xvwBQLNIkOHD4fC6-5vGN2y{@;_#r360w>=n~%vp8h zhyMw4Mb-P5W?HEs3-|}a3*F(}J&;XDF%>bUFb^C~_ zGqs?Bj|8C!k`pJ63yCl*IEm+oBJ$h*xMIQ4TXgyneq$dkFev_H69^F-Ccm|9U>%6r z(X}J|_$jf5l;IAE?VE9(41sO#ygk{CH}^A7hQ3+7vp5>aaW=`_u{$HS6BrK!BEhI7 z5#k78Z$Vq5`Yyb5*L~_Oq)!(m!Xw4@%uQDE>;90WU9be*s zb7uZ;-2L3`C$$bX1@z>4R6U!v%#Qg+GYRK-IBESZzVYbzk*TTAf>tdiVzdh0wE? zj(@C@l1?QJSb=x+kf37AkAhLkL{+q##*3uQ(@u`4wJ)xAs|DJh$?ZAeAE3`WKXyoP zFCGPdU)C8d+!Qu%iT^v+1vN7eH|&<2c{6)5`YlFlH-S_AHv*FN#-!~j*wo$Ua5^v0 zPjBn8`>J07LAqscKzm2AV@QOM&ve)ymup4h-iK%1PxbbhO+X3yWxhzFU6#oD&m@wzD zsXu9O7U>|Cfnsvl$6qE?%e-Tq`4gF<#*W@eDpO1)Kfr%|3#hB#TIMJk&`T#3Z_n_G zZMg4*w@S1|zNMw$INL*_W|IGBq2$Xx@l&Dd$+*-5K$Od!i&N@R@j!l5ptc^53CT!e zz2W#q|MfSaekp}}r>eZeiHJunett8Ulc_-$Af}Dk{9OhQ&!@;Cz~fg%RlR?cehKaO zqcXyAT^kLEH6%(pG&j8Tpa0rJqYt(_{@| z@pIkIrZ@Ei7t+&Dd*>=wryJq{O2T5zMiV|Y58W`~MfjFMgFO*3n4rjedqT~27$7|q zp`q6r5mj}31gucn(37t^@-mN_-wZRhBe&y7Lc;rm3(@59RZq0FI^Y84e2Ix(PH3l^ z9f9;awDb01L2JbP##L^ulyR-PZ6y<3IyD1T+rua~#B}m8h zTi*-2^5rnPgMnFkltm%HP~SX}r;f1|@I_)=^u^X8>{3v1@IJ%w_k(*YTZ*fmSt7?} zRbff*vF#rNX(H2OQ=hM;nP54TTOdz62c)y9qbIk-m};2P;ejd9+`2+!=$n0< zAsKu`kU%`TcCbtPtnSVQ#1DBxj^+4R4Flt3Foad$%PTfAI1 z&vJ0RqRyu9pJVX$J@Ku+z5VnVZ_&ho%i=U9p;dwY6m4yOwJod%gM%^+b}K2@L^c%9T5VcaN-7gHb1?p`J$?}b-tZw7cFWbzM*W*Q zwM8`w9@oV0_I;jmxbmNGH`|m#R`gfCA`5I%RGameGZ@_keV`Edm-}vJ2*nke839CN z8&*WJ1S1DkuP7Y!TM@3hemza$n++SQmPY$Ke>;#~zX7b_8L0Ycf(%NkTYjZbvNf)W zbyM{%ujhI0*TtH?mbbJu_q-drphk0SyaTgCKiPL~QS*1`EUU(9!`e~p+A?X%MdHuz z3HoC4FF}gL!$z6DQA~~`zZDl;-t6}2>bOLs1e$hRrlbWx;2oYW;zgZWGGhiEfDFYy z@G5E`uil^l5nTyRUbb?_h+cv~CMm_rYCa>rORb5xuO#)F7?Y#HKe&aSuWwAy9QOq` zE*>Y#(!u^UheABfzyQ5x+d6fh7tk+oumeK+E`vj76}3@VuQlL-A4Z<++HtS^F~;u_ zEmx#yK+9|VS;5zsx;p0+pzY2f?-?;jtcz&Ob8ZO^ux$09IsQEO7Mz-x_WS#cZBSu@ z4Dsy6aE%a`kl1?PzZ_8yh{tnc6ujN`am3Rzw&HU$bQddnQqWE;-ktxi_u{fkUPhs6 z31R}@4_FWgn&-9-q8EM%Yqc;X+2Cs!3Qyj4`W#b^+j&cJJpW?j$xhW~AWtIebPRC# zbm`rLYvP-@XnWL010^bpb=1+4v|cCbAm<+Jy(~5Irk;4NKomk5;jbp!uRv;U=VmasO80&hN=NC&`WMeH}NPyz?HMzO~5MoQlzg)@k zUE1$&UcbC$O>&8jj1}R46wPf(iWjbJ``R?u1vwF(Z7Xmqn1mN0?WTgx>4lg1HVqok zguZ;hKSo`NY=#K8jlK=*|;2 z`eoO0`jH+KUuXH1xja05MXiVaQhQb(%> z!~=vwiX)dNP!!6-xCKxi7q)~S@GCk(b6$e@BJ**M69ke+aFv$#U%Rc)VyeYVCau=;|d)ug9Zgosm! z?2jCR(btgkp`m*Zk6T!;@FQ*!L0N<8@m)pl?%Wm69(s3(?$Ubg?>(qm1f)&x#6b|n zu}@q%Bk%kbG(VM^z-c}%fycH+Yg7CGCHh`9;Pb1!NAp=R81YsS%k-yYK5{~QVGsG* zx$p+8d~j%oX_VFqxzq7tpZo}6GsIJN_w?nPQ9oXq>Pk?E0@L(A0HHQGb!s9p-fOO- zCFl(knI^y$mWJ30y}TlG+HcSLouEq?LV2e9Aum~EC*qF=ohY^$;w&_?am2SkP2%3F zTCV7j$G^eRxZC0f#7PEhdIXo2GVt3ctMxjwGb|IK=evuECrD=;BGc~)Arf;JZwFsF zkBD>QZCta`jB_)wt^)Tr0;^;8#Bp&I8^m%dzmi)*?;FKy{z`@D7AI*&UkjNw(0 zdZr-Fe`QZ81H?x0dMUk`EA(Af#v}PYRhRthR?5F#3s)z8-d5gfzquwOGHyf)6(lvN z=_Q*Gb*~!e6W<#V={C%3YPpO4#30trSv3mC?_qUWCe?!;$N5PG4|WZqWsb zE=l_Dy`hFY9x)=m?XeHcTi0AR3$u8d{;_n3hIN0qw8Yz|aO;O()B7&9FadvPhC7Y@ zLUn|vskhZ#AQv1IrZ|jO0wjC~YmrO(xraFEmsF6^c!#w8Keh1JBeMui-VchI_Ap|= zgZD}ATqJ+r)o?wJ2#ZUO2DEJLi}vYV4KUxFw|+ac{B@bBr1f&zUD~BsQ*E4e$?VcC zr6+0ZIXgL*-suC36x<)+-)9KH_$4}Y&L~pG-x(>w~Nz*t-4Th90*_cB9 z5x#xjcM{`t?-zh-Ta#$j!qnVH8ML-q|4r7{-T|!tuM2Y=Kucv#f1Lwg*IH8CNgU>KVl|xgc}doIW4eXt%nml(w2s{Wqw z8fR$BQscFO=tufF3e8>eNp+1sb(BB1^yH%HBHJI?K)h7j zudx!5=LwBHVs8kmsF3p~mT^j~@bZYGH1Oni?Z=zCIhw~cEC`t?8D2Q3S)A?fgSa|I zxE}p}T-^l(k9`=?%wZ+5Zy()-JqXlW3Bq-7YU|USgvFA#ZpKLdv;`x;JWl!w3mo20VSp=7l6V0+ZBCR)UVt#UN?KvbiFzPMC>Cvn zT<)d4`gZV*7yX#9yx-`{OWfpcdei)_OLT}gh5h~0&?Av1wj%lU<11u!pd~ zrE`qE@8Ih8#?4Nk$KZ998LAofz#AjaY72s8h;mo!3gpd`2@x6gW0+73F_M9Hu?;RE zPtzukU=NJRURbZ!dm=dcd5p+M#WaE?YLN-1&`W2613ZvybmqtqLb3$aoxs$CeoIL2 zNk3GU)1L;^Qjz)y!K}zfJ@w^Y;zyRr2~U0h1H>l?u+A&uc{%R0-c@p*h6Cm=Ol#C|-y}+pf?8FHYvQ+>y`q38FX;JZxfKf~rNx=}~9( zT1`K1FE**3^gW$nk)29mGZ1zOYW9v3KMzq1)(D*MpGfPkHhU=2;alQz#I8=$4G$ZA zv5};=hKQp8jWM(|TVTnJI8nGje}b*Y5+DAGkrEH8wQjKL<} zB`0Bpy~Oy>-eZvq;v6T=^WdHu_Zz};kHH<<3Y&odm62dCNxcj{opI>K8DGzA@0<+M zCK8kS51r(CCr1uA(_YcfQCUAM`Tmmlko+PgR1Hm0`sys<()dRy1}K8dGy9+jG9pW1 zbz@F5Rced)V|`P?9Hr`VC00A?BwYd}g^y?wOS$JF4G5-^)N*WxoVshAZ#L;urs!lm zbd7OQ6nf)t47A?};-mFJyq1>dJRbCIeF4)|p3GZ==Nke@8bDA zxk~9fSj*S?7jdo8$aBH=af=)f*(ogo$meh(@V@SAx@@mM3si)v0kET82d5t7tG98e zR|HJFioEDmg|ZCD+SRH3LHi-J>+>+A(lrsMH#42%{iCfQP_6!zK^t6NbXPCzA{o>J zdrOc+A(;&nd(+Qh+KlWuvQ02{Au)@BxWs5E4_uB>4tk?)%>nF%762%I@a384jUavBIEX^8R0Tg9}M9!c%!}&%`|;(S`HiXVx$&X znis-OzKIn#Cc}DKS@AbvqV(_B4p=Eg$r--zu3VJFLY_5-aiY}IggM2MLFW)WenxhxkfW*B~} z8jQ>toO{RS!XCME7JuywZ8H;S?S7n@nC*cDtZ=0hg&)PkvZ&sx&Ut7;;OeOb47{c@P=B694Ap`VAW6MJ zXzw{a<*Cfwq%iV^vKHyZ3!C3g2QlqBg=P+(=v?m_n!A<@d3&_euV@Wnpg{oLsEw2E)^ho6s4NNxg{y1u`RnaQJZnEOl++X4i@dtT4q(IV2>0SuZTQk zqhF*--WqigMafJ>ut3^!qQdllNDT6nM=x>%F1Xlt-=^7sNBI%lIo~ZAkRn}<)*X^{ z5If0tBn>}Q3LDAp)TYgMr68;+4!(TtvXP*@nWQ%~t zZAJalk>{${yT=OUaY5g5E;>2eWRbUe_s&DbA{*K0GHpJ)Rcsh~a2E^0EpCwsE`%+S zq`n};_NXg19M7GH@RBLK5Sg~Mt!>p?MD!J`W%S;~p+#~iNouGH) zT<(Ji5d@p?6Hba?+}hqAxs$gyV!Pi7YZmu*;ti` zf1Q4AQMMO-h5;_B?sMFup<}ED{4Vwxiy{#Ht!mNF^4;}yZj=KFg56P?J@KXRb7p=2 z+pg%_-V+{;>wiC#1{~hurLhvAv7yJL-VbXFUMxIF299Ije)GnV;qu<5 zL3EyS#6`b*30o9V(lWHv=$WUpWHqLf60bQs60`Ub2QPib%~hPRdw%-F1N~_z9-HIY zCbz$c#YDrzaF(%1Hn{eqf#nq#BT_^Uf&44g2H#S8yFxz?cRb~ipt6HKPDUlE0fc;1 zkcHbw)CHFVk&cna`zEMG#zn>KYsLvP)q4$UfztMHVzNZL!GE^(0T}kCQr4 zo;V_LQk1^?+TcN)!3CNGEb0PUj-ba@=BS^Hyg*a?_<3%L>U{4w?xS(90F*aU?rF|j zaJRPWT)MGdAIg$BpN(Re3P8vDx->nE+ZrWo>aL}MNB^x#|JnRyHH?KCBFzgwNl}g7 zsyfwNfD&iN2k95MNs$6j4wu|7(_5o4EyDDaPe<*NlaX5V+r#grQ=r)lbU+_4E}a?4 znV?=#sxZzs-*(TwFsHumO;B6)ZD7!*Y|wwxf$ziy8n?l9{2A%p;PTIqt)P8kd+O4)VuS4^s z)i5?{=x}6F`gd{WMV1=fUh#7n^E~*&8tN&wVdFg;lE1~&sI(G8qR*IEUN>H8zpDRzp2y^g&twEGF%QT! zv>0>KS!Zr?y9&E0^vcAvS)@NVeD7!$+v(9(J#AJQkXomfnEUpiG_R05Y&3iC-`xhX z^q{Y33EijuYy$+bo>5^X7UV^085<_l{D4K!xUD}`^EHS8@ipm2Y7XKY-B1b&Ao`rp z(+)9hHp|uil2jM)=-ns*9R9WM_03o|0}+?@dyW76S!nFpV>-i4G&3fpAWHTDO^=Cs znUEt%8AoZdit9IQsews9WbP8nW8~~LC7*J1eF>PzO)1g1-?&bA0SxrEbBwBkXs?3> zujSdgo?DBcXeGPMiVwH@M@Nl#S>OMu>ZWQ~;fdn!Z<np%CjO=V{odDdQm-@3+oe`bCCPN@+UnU zoN6eR4n7^WRrT}1+qoil=R~&0*ywU?PqRQZT@chwkWbU|eP0yp-1yY@rQ_zcUyq@w z;L(rISv2%|>sX)+<^%F*TdXitW8fM;FT1e++?#-Q3);qH`<=qD%XS&{Qf+Nf8!8v4PnMB`R~1gBYx;wM6{M_ht+I zFu2h4#iusK&1%#XKF|SikG$L~D5Zf&$RE8+*C}fzPeSD_i(!(gm6v zcs`aNkc`4JU{Z1knq2fbY+VG^Jr;e2jBG>n--I9R@M$Jooe)L42Sg%!vB0kAxuhz2 zhk7zWdq>he367}rL-H^S?7WNQjjU>@#ZM<%u8>PeO_M=>28=yYDc%1GK?tSJd5yAo zIg*7CtA{8;m9s(zm-&Swb-<(-hNsF!S`shq&_;JMBk!%aSV+}yL6n^g23ziDuO;)o zA6AnwCV$3uR6Rm^uac5gf%+RrGkL_VHh2;DTkxqtj&ZoGlDupP@KDq$W=mhm$NILN z?@+ao--blnwG@zzs%ndhbeS&AOw}9uy`%YycED*${VQLUeBvh^b)ZLAf30ho3wj+{)~$5J_gS(k3$^d{5jy79F3JcBSH=xjQ`^` z+jVd2mGc*a2L~+D$i6Ks1XOW1h=*E2yvF8X^0#a^W+u0N#KOfGXwcv)@TDz44O@h=G8MKo>)3^%*PZfznXL8UAXBDOVWS;F~^9X zBp-f%U}@xawao9So+Y!`>H)`Fy--fLm=~N4#6iXKjq?0^P&~+br8ygL9FqRdt5+GP zD}i)}Kd|ioy=Z));n42#A7&7%MVfl{rWi^9TZQJo0B?^<<*%XXYWf)4xOV?l)HL#@)v{1(Mf$;WOKFH9NZ`1p756B&x4d|F;Xn^3Yeb&F z(v8w3Gn$vtZdhT6y2HtjoIS(HJr`^D1)trE4P$Q9m&ETSfk)Hz zQ`V6C1DCudQH$~T^TRFV5Ug^BQLxSpvm?*x|g{--9eSqrj7GXZAkDMN&Q)$^ubq`_F+AITlOI{cdpHfbGBQ zbXF?pKSwTmN#j|c{=4CF%k*({}cPXY7-C#sk)O|%`9wq-fA8nH3YkbZ#XWULR=1rVlM62FB{n; zCV9@OkAd5KeZwu6TwD#ylSVczvAOsj)?$)>(K_wcIRk52# zSt1H_BubnWCeceW|Nb32a+hpL^x89GBA1MK5fW|2Q>-Rr4s1lsR%*N*Y!$V~2-5G(VG_UpjW&TX ztxzHX3@a8rzsbH7NS3&dmZ396ULsf|F`vlKN!;Bo#sV=8Oxf%r~bPS+81zAeg$)?VipnaSJ>od z?jc4^cVkvO!7p%oC#H`^V%BfLy})newp1gRoI!oKAnlRIpNikNcnib9pH2o&2%(VzrJPscLpr%ksSu;`*H-rvvUiHQ)N_p8(e~jmkg9 z9q!1UsC3aZbzZrg8%#XG7hE5`fy?AQIqrUzSy5~8#tymm(U&LII-~lh-Sel1=5j!P z4YaXc1|}4yfw?=cv>OCejc&E++JMSPd?{xgNC><)TzSM-v5@b@`k?vb`lc4o>w|Le zW)(%3A`=k4z=#jke$Z{%6&8%uD~k&Z(Hck$glNfF2E8m0?9;whuw9&ZNd5ZZpn-2J z2s?2Fxr!4V2fLmt-(}DePgd2l*m=bjUgX@{E>w_b_-Aee)Bm>`SD-RLajGnm$pP=4 z!&_oqBz_-oXkDUM%z_kGCyQns9ti>IkC0qmCqktvvnx+&4zi6*DzU0X{AM0% z9K9e!4gY`vbOtOc`(~uRLKfI+XCo7@cN?FsIGJy_f4;8DCw3}=amLVAc`P4(*Qx5Io zq$WxJFX}Iv{kpX^SD$@Z+`v?5humnt6F8WMTc$W{?>*RcXa4vamV?_B{_9FW6Y#d) zt{IP1%krMzdGX6-*S(A_(%@ybV3`zV%G5SCb(0O}#;Z#B6xn)wg@D^HV7w?IpbHeE{A;huIJ3Z=} zWO-?wIqxyX4a7Z^&1U&j>!{@N1$tBUBOOXrDfCn}JQNL?@EYBEz;_4!w3v$;x z?H;A|9USHGiYF6pA0+TRdgbWe0t`3yc@$-E-rf{mRA7`Jy(M;-b0}0ikb~AdPyaKL z7|sXRA?@gg_ydDNzU0`SUd#JaxOpsPVH)hqC=$R`zWO74`RCup0R(wu4D7>~t^YPs6K6VDE(qu(O(Z z;B`bEW}tW>s6}J{dP0bnF7}B7C)EutZ>P{3Ch1>=8yHl=hQ-w-QH2IOY4?6RU#%oZ zzDBcDt`J^ik@+MYCdW#Ib|Z-rZSZb;QZO^@!uRa_p1yI`CA*}OXwW%$`!hGExO8p^ zYa4_|;;hzi4NENcG;2}LgC(u8#)L%sdz=-kY)2wu{GSt4d(h|oosJ{qZRa)tWa7ea z|F1TdcnA{$oS4fRjPSfiX}@jFK1Po&kU453 zzMY-Iz?vajTuOBih>y# zeFu`pM>Qqb-Y0DJ2;M}IiiVi<#hhvnDIZ=c-AVVnTWdKg>+fRv!r_L#d*~7C-3g^6 z94{8**_K=ZdJSVjNY`)bZA#p)JrMwpf>|u9?>5^t-#iV8T^oyjgsx!_TSFr74rgpZ zt>Z*;s>YbcewJJ2VOSi)%DfimL@1HvS)igWV*XB5NB>qG38fh!Eu<4wf%#JY*C^_3 zyLMW0j>p%@KG?0$ojchMB0Lp2xk6N>vTp{GbzPd!I1mS%=G2{^g=D5GVH@=1iBmr7 z?e6SnC5h|OWD3?d2b;%(QST+Wnq*yrxW3IDyWyiM->byD_%#mygg`O_11PgZB2lEs|8D7uWaQSYSdf^*V}C-BHMa3)r*eC@Df)H4^)I z!zhdz2tFzLC-u>bbN)_E7X%cTKQ9KD<0T#3txmR*YEsrel5-e5cSmUm=cY2 zB6vtrEP4c4VbZ;tOfaqjR{cOdBrA+Da+2*#6x$I{j&jZ%qmwfFiPw=w6`N1aONFpN zN3g#m!@#NEXqRv!(P$osfB*L9XKLgDR{GUm6IPxmsiqAHnm)>7L!F>Iv2PxS_V|UA zjAR6dZKK#=mtBf}Im{5v+2B?W$C;4Dcbk>;XTO?PtrB+Y2t6YXU*tX=smvKIn_-t< zZ;kcLdw=M7HZfalTfX;bspRIa8uCgP103Pa_2;*7_5uq)`UD+KAH%lB_y+G=5!AWh zA-8?Y))&~2LXqN1<#KU7P?^cLdjWz9;L)7aN4S-W+GPxJyg&eR)gM2aSTIa=x8 zL-{TE0#nD9+I6eLn`gCPx@iDDy2Opl)TY*^*gWyQUj!?R(#REzqXfQ7);du@*EDiV zR-eg=GgSXblIogySCo|LLQqIj?M^G=>|9i~YUP)%0y)d~l8h}JKGs zEBP;$C))ZGK%Vnc`%JuN_PkO;Liyg)KeI3ZgLTSoJg9fJ;}vFG?N;HI0G=NhR+!TV zwDIE*JFWvK_*iuJUy>#Y-+a!wOWhfJ*JXSki2chQ2TuL)_Z;1N&D4H(7VG}HIs`1w zP5;M5QX*o&NKgE=T)5%gEm52>`8GU`&hnsyW2H92f z&xi1)5F=ObkxNy?hxgY#WMY@LIoB)Wm-GL{^?@c{fUncl@F}qe9bGw=vi!- zvtNOxar85Z1Ll}WG_oJ?Q3Az^ZmDBLG0NY5m|!JU=UOGf`pKVvyypuY9a!VA3M$ur zJ+0pvNmG!PWl>{&yzk$0i7AiiE18Y(kGo`)(30bMs@2N$M52eQ8g$_rH`X~1%|>&0 zK(xR5maYi*DZhsnPjkuKjvo_$EuM!P>(@t#j}2#hsV<}t&<_TEzXW#FTb;)HO*m|u z$5tb!L|okAsu$oiiK&V${;aDalOVk`z5L^^KVsM3!W=Wge@vu#0vA|ea(#tsc|lfY zxgXh}>RBOqzH7JjlOgm~u>EIG*|qbSHgdDg0xlLc2}N}~qFl(Mr zX21n|R=Ja4#YHhDnkw|~bsB{Uml(Ua8usFo&8H^C2~g8;G-m__BtnzS5MK$H*XPp1 z@R}ut>#f|(I=M-tzYLYlmkM#5>M7Ag>Q&`+!AW4Y?O<#N8!Fm@9M|EYV5JIgk=YQl&FwS_>Cf31N7VrI5=*sbs=1+&d z_a7#TOzPk=h8p^qg3LE;QbC3`SxSrljv~|XIupn&HP_pI5B~F6sHmnnS9`xwXZIxe z#OX@BcNY>#p-vn8<(}A84myLstXO;_vw_p30%v;|=l7?d?<|7?Y)#Hg$+IR$?7V(g zMxtd>Cfw|ru>@I`{f}!e-K~cc|MYyXY@6!M_y?9{i*T*`)p_R+*{odp$CnZ$++O!D zc`e-J(EE1m=3PQ>Ct{#g_1FH19#_xlzCns7$YJ05;c&lO{zc1j<2d$l3o|gumw3Lo z+z|(cph`yJN*^&+mJi_-c2BQ_-H>XEuG92SStcoQ+0~o;4X~^FUuffT9Mjk<)MvrX zBi6U%hF73FJ%39%UG)Jg+I>iT~-RY3_a z{^KS>ZNr8#AwQEb=}K@Dc_w(+vBNy14oW9f73%EoDcJu8Bs zvkW>tpQJRuN1&B;Yc023y=7(m-Il`psJp!W@Xy!k@SA_UA4uj!+!}Hq-7-PRLjr@` z7Ge#>+b`-mPM~w~RE2XjiKEv1&iZVQn|K-FgfyL^wDc7CTl-5u>l%#1q_*}ib#yOMDm!n(4o6o111P)5R03SXZ3jVXJd3!6}Ip)uF^g52x{9#}i z^)%=l@UOgXDCQ>Fu5Uu$LUoQ^PJMlTunhjB$+FV)33ILGWhmyByvX?n`WBjVsrR8( zxI@OrYgKhZTc|*<9|bKN(cFQNzpN%BAqb^gq5- z2EU*ay%=hF2E1(u$mNjr5Ad;uwkCVB`pc&uTwS@u`kBsdu*pynnD$(+lWKdcw`Z4) zE3T@jK{SD~ho+o_w`4DXQ%AdjoVu?%hL(NQAlAU9d!+F{1~;ZnZ3aAf&p$8ofyu59 zrpx~AsQxSZK%kpklH*ZA8{)wVHamdi-y&(b#-6htYl*b5gm|(W$UZ9~! zbqfuy@;BJWnV{3^;TxTY-4?rZvE1J=|AuTOF8;_)zk>b@{0F8Gx|?}U`;R%0 zY!)_v&5O39uA5VuKzesPpPsKQ_p>pAC`_im&A%oC5s{Be4_ER(VQwh7ZFhLw?=7DV z0G!BUJ^_!SNt~KcEdSv*(1V1kPvy5^ilMu$&(S#9cQ8h`7(saC`YGIZBQt>`@abV* z8zzEmEuf5;nEmt0+p|2@^Kndhb%_pa z1vY9maW3P*ODeTVlmq>20?rPQ+M0u{#WB7M<7ilw09UI@9+iBTP70yjj_o;LvuX4c z#!iI_?xX$@<`|GDniSvc`TMHYR;&X4>{ROO@^)Q(02LM6MNA!W_+#~Mi*Uo5($Cby z+}Q2b_}xeq+WNg#vp$Khez_%wJ{1!_+T+MmbCm<#djAiH3yL`|Ia8I(v+0ZzUlruE z`i;jl@9>l&Zgw9;)KYbevQY?#WWaq|0Dr$OT65$)wl7F#Puwqr5ijEVEOwPQS9q^U z%bU0w*aT?2Njb$=8G|zinAgbr0mj~Uy17$|Qw>>Qf@igs-W;2$ z+7mbDr*Ct{6z?hL*xSy&W2t4Dm*LbwT0-~GxBn;Yh+iuSeWt@w^jlMg=VRJK%% z;p^;HW0RR-!`-S*z5S($i)-G;!oN(i+Ffny8MNUImA#0wjIf_t&l`{Jjp!(8%chxk z+nv-ePJhkR+b$_AlrdU^9U!kod^vts4T?O-`MG1~2=<)iq43NalbYMZez1+nLXI|Meni|?f6SFzWJ#c0Ym5xHf#`Xycwc78+JJ!D z`4gcI{rr-eTNTDmA*C|{W?{AIN4bFBaUD?YRQkrug3M^%FQJ65w~~E^UDtdP_mOZ@ z{@yWo^nq|IvST@G38vOz*vLA1Q*B%!ea6O?IcurWI+=F+Ut{sm@sGdBC%S-LPv=S3 z+JTPTYrfwv%Z+UXh8$Kd*wzG26}|5b&xmh#PH6d?{_<-wntuA+x44{bV$2?5yxgL4 zwJTaxUEDMJCS^0OWk5(J$)`jXGacgM{ClBTp_1TpSMgH#!hwHGVAl}pP#b3SY%OM{ z5+H08yI*~$cD0}c?b3K>ZZmDYKs(;{Bi-IoISrR30O zTw@DC+3F8ml|~q|QVyS4vI8Dg71K(4KfsxkNHZ(&o!U8oWE&6Z8RlcN*m5LNNK@#FI< zsJ~~iN}@&G*XIZZ|7MBNb>%va?E3>sOw84S@@{GCn zGO7&xW6sLJo$A83de>uBC2KQ!D27CgE1z%bv=(CQ`VCxbtmacARwu?XdNQ38-5lNr z6f;GX<+-Hf$(dtBy^C!aHYh)czZ~BjVkoXFvR4!=*tBDF?)&u`@vf&FHg;`cY~FLs zxkX6kPqKcGL6ECOA&t(i*qDaELKRcZ$jN)pfeW3OSGQbP;|V@;i`VRR5}os^XZMnA zwEJ`Cx^%?Z{(3z%UsxM7?%(BCTQI{W-Qk@B^chrH-*946lJ7Ti{%)WiprV{xRfkY6 zo~^W+w2>tb-E3cPAL=yx9NM0c`q@4O3WQaKy_KU_gx|JG`-^%d1S$yKi! zTy&KZ2yr3Is<87rC;zClt~s<1@Mf0bbx=}}fK5!pT_&Aoo&Fpn>ph(25H2bAKD+pH z3CHhDx~Qgf&D*5ES3?#gl8V{#k1UI`Z;c#?XYs9rAMG1D1nRXXZ`TF~JV*WzI5u8# zc)|gah@PLXuBJlwkpl&ZhuyW-w!DAa30G@C<6K!|UpShRt5GGDqAsnS4{0`q=S|)~ zJbLtDn^U^z%L$u$1U4>^u4zo!2}Cn(LDYHi+q$k=x;^=nhWKH?*ULSf zG-F1eFXS$(w|$BY^WR4N(oq-iog2aXhQ_dSHp*9UI(fV|S|FEGHg!7T*g9LOwelu| z)hbng^2q_o@W)0$yt_BMUtTmv?)s~GoRhv(5zYw$H+t;5F&U6Ofu6lRSeB)M*MG19 z+s$!-G#?@KN_zltEUPruzYUJ<^%py{ z=jAJzKY8U>Q|fq%^w3*h8t-tjB2m6$fABW5(V%#GU8yQpzS0^tpAhxysWDkIbDN;t zq|;5OLwc+M>Lqu`xjR+Q%F4~{+?nUj@`X!|E%=1%AYX-=7@m$Om(QMzD9`h}5lY>+ zp_BGmA3fQt^Hxe6kbtjtwmL3Sl4c+O#)_NBa4Y8xo!PpA z(fLNEFBe;-p{Yo8IES@=KDkJ&_N34Astn?a8}Z?G@^-v zGLO>oEJYfFUPVpu`?L4@XEQ!c^1R+rJ9-A$gjr48RWeF+3lAv2^Q4(2i0IWn#W%9S z8Hj5=I)386%dor1@Xp5sPsVTpA-hylDxI= z-F}%K6UH7wTUk(KdOMW;`)ol84J}T{fNFoBM_al`DEcX_;PcT>S4G>jmJhd|T@W}h z`U3s(9}1^FsC0_D@D)e>4Dk{WOdnSuq+$!l6cFcKc~#PXO>~%e$xvQz`o?kk0wG7+ z{w*b|q^#=Nr@|VSMdq}vtUEFL5V7-IwGjoV==&jW^vHLm6GrbfK zlf1LQ+L3B#ub+NqdPb#+6@gF4#k?(wEvhZN7e&6V1-m;>SJ# z4K8kxsUFg1O#ppu{6@=jHX@kVb2ln^xO<&$L3|_ZohAM*T^t4B;7SgpwUE@{uZRC| z^Oj+vrapXC(7bP~p|PHd{RPo*n<_>qQq@a?s*CH5-i{W(xiZ@8TMX!{{BGDVUDV2? z^SRzBB#3?B%Z0YF?@)t97IW1x<(Kv0c)t;`p5o<_F_``?A=^(&w8rSG+fQ58iOo)7 zxy;JiM_$ijTZXp}pVpe0t%h;gFNewKf~s3uzLl0HpAlZ%%=dJB@~At1E3B!Js-3QJ zQGY(IR4>d%HoCuYgiik4{Acpo&N5Y}YVvSr$lMnTozgr*CUuZo{hP9b;HHrPCo!dX zL49erdaLaccB75HPX#n`mF2O4M8yk*#R*A`{T$UWtP$p|X+i7ymFifUNuJ^^DceNm z8RYBXwv<7XLMFQ~+k`nGr1AU-o(8(xvrIKf(fYH*i3z}FEu{(@Id##LDw4%Yj|=98 zIO(-|3(X&;8TM+&ueenwZa;PwyBy7CWCuF%$OUz#vO9V!Hr=yzL4{1u|0YpJ_@-;z zNjhYnH>xh>p0O6v5Q|cZIT{NeS6*SwnKW9YqA%e?+FXK* zwR>`pQjE)31}&Wl zGXk~6r%#=SnS%I?E#ou#;c_N_ua*pIyA?o*3m~i6$U63ZmzvCsekCrZ$)M;Or-w(L z)F-~z2)@u*p8<(UHDmXT?edmDTgp$G$usGsg`1y#VslHnJLX)F)%rd*bxuVADbXUtl9=55LSjt(jCqkaFiz9?1UcrU}f5zk-wyDoB zBUtf2`Rif_OTJMOF^=m`Vq&0u9~3fYn0STEuv2JUno}Foqc4F^Sn_Qa5`g`43c;7m zNu?-ZZflB-GK2n0sXkG};;ewNUhP!H!fGdXgAwDD|F-FD+re;RX>SB#gi`<2)R}M= zDY^VOHOh%@<3{ye*A$@lf!g^yloxK@cWClP_wQj&=Ok7Q^<86}<3>eB|FDLG%leSU zd{D~5wW{5zQ@4AU&rsc$)uRXF0j}z&iD9o&uHC^OHP*-rSC)X_#}?1BP8&ro?Epm= z@$%<9I;FS@kGr?6EAHVrZbeuB4K%wgB3~5t^PLILoU19&!p=63TIJnm)p(bSzq2X+ z7c@mTmO~bdE9~}B{#{EwqX_xWRot2L*qYr?qYG8PVe>~x;=eY=HRK2G z3$c*x@Q~Na`3c&-W>a6J|E+V+bj>NWNO9H}GBamt7(ZtmE&2OwvOr);5y!SJdegBg z_drY6?2cyMtckh4ru5Tpf}wUzKB_D*#;Vlz7g?QJ`RC3DsxS%6859n2S4S6NqpWFe ziZk}R#52{lVA%ShD=nH=I|{WJwT_70CtDA08SLDg-*6lf{}&(fdWK!!(LS?WGl_qp zeC3m467ziCS{Ch^^S)!sOew(^eK}ZYuNU=aE;%!m)Pfd@-%)2knCyG<{shStO z9obvdMVfL3!_q7~zZhALw3j#aD2tfe8dj~FA5*6PUYFzQNymBfVyS&H0kv8y*d@<$gE+7H zP~Q&JO?N-l7`u;V0??c?bheWU%n;KRBbN4x{$Jkit<__AnU4p+$v(8_PLe1Q~{8x6**JEKW+4QKU zTk(dmWY<}1rHmPtIB_39D^1n+duiL-F<^o*6RrE3Dyc-ydTpUOMwj-d99FYNT_i_c zQ#|5{(f9nyX`Og#?GtJ*-oKn`_Iwh~yKNC;7P&B>4{)O05Hn6L`cIq9Dlo{BG({@C^^km@0}1 z%%JxWaduGPZ5+X@)N3K!VUJ`asDy4;X!%HT?$J#&dJ_bd=jSV9@?$U{E6&jsd`yHu={e$ z%eh+-0xIC^-WnrO(QuGLvD1%7nj|bc|XIagqm}Y@O{ZTR3B}`XjK;RDHGG00s6MD?N8|_OdA&XkgEg6 zGgZ_^@D5Rp%k(mJvq=HvobwJOT|C+$)mU!}UlV$F#t$)C*?N{;zsoqMmRLLxc)1ccxktcu})SX_}j-z=oYgp83VM)wpz;6;>{is?sWhvW_x2en&FlMCA)GK?7Y{PXF5J=J++O3WoW+V>nFj!G~6efB!B{Rw&nnPVATyJc@B z6zyZGqsKn~09QXK9=*t^loNRZ&OGyZb>GH8ZO%pTUCr$~*+vpIXe;o~&nDyaxyWYo zF(bE9O|9zg* z?R-6|mb3<`vG>WIDn(&%8d_VZ?}i2gr1qJmvJhGlPSqo2l1dl&8v_It~z^N1Jf zxy1mLHJF zG7p;p-5PbZlc=R8C03}r$>9}fNW76Izo0s=8FT`E5qEkdaA$J-q_ZIHpjK3h_O6mq1&`SZs6qm zyS>r+CSqxzTD2mw{C&!=kN*0&!ekxW#9F86>mCC0`X(*b1J~>aY|pc7Y8XqWs8cW^0m&c7RZ|AjqKg%6D$bSylweux%Dl!$0qBuT7_h`#E> zx#pRvFO1=VN&W1_m(}Up2b3{S%D6ifbIQ7Y{%H8)Kumn>Cpoc= z)?-M_D=c}MMvfk2AY-_PT$_{Od?I;r1QDYN8um#VYOE}^j(eJIlQNfpHeI|Mk<6cL zg|z6K(5DH@br}z-d0R#ss>pmH^v|SYk`wcH=dK|IDxDGtN}JljsV%cK^|}69y*Tvv z2&Gb1_d)KKL$TG1#EU%V$w@6M?^kw`h(_Hv8AIo}cU$MFkG?~5Aa4s>t$i7rxOaY; zy5CIU1IjEHy=}5Lbev!=PVF!H*K}xO!#MWsWp0(=%~Tt(ab9$z-x{rE!*I$fbIil8 zV}p$S`<&*s!(XRrtUeW4CMAqX=ubRZ*@OUQUyd_cP&9I@ z&h^SwQnLPahK6Z-W6!(tVz>^KZeft*x31=|D(Qs?cEt@Vo9Fda2b0_m3fv)YU{&MF zCmpOV#|B(P+DrL7hfxjt3U0-gL4H;j2aYJiIs1txn_jornS_&ID=AG%*;E+6XrF(G z@xJhhE*p_&T47rzf93?HY6qntm=vaAN>sKA>l1j9pu}-dUwHUz;#5rethWqEO>D6= zzGXg}C#;BHF6bL*yuR(VLVs$VjNA{KW0UXJuPRN{TsiVP12;7S>|1P%K`tU0dXIx2 zd-&tsHjVB7 za&VWv^sT4QtxkpvCC$4p6>d|W4{N&_@C}7;Qm5W&G9HrJYdR=HhvnLe-bV9~N}k4T zG!M9$b&Hwk@|NaHEz7!VeezuRRv>cpE)BsXQhTODE z>Ty2@8qe9(0r0oR)0}cB~zOddD5J?${M`pqZUL&2-mgX@)7H(u(Vb zE)X1OFSmQZ$onjrt&XY3a~!rm_{p4_A*g9w0-m)H9+y#EJ9IH!D`jAxdhF`#S@u^P zy)?gRQs_LqOC}Z0!z^=~CRt<>l_sN$X=?nArl)WK1&uu>(zSZ=;2o@toxT(7Kh!3n zGgta1Vk6Vkl(Gd^g@6C>RGk~8BHhm6>3XBqabV!7p#Z|Ugh}yyJ&wuV@3Jio$U^TajS?sx;ok;ThYkWKxsHvI%W2aw`(q++Wg3wn z(%bSJ>A&!?6gaJ?g(VYPlrzSFHRjgv$@UEGZpxza)(iB;2Fc_)I~wtIq0|NJaIBnf z`~7?(xZU_3kp8E`ur|F~;xpFMii;|9Xv>#uwR#nTAXqIHMDaa^MC zqbhHZf5o#xnR=VJ_4hoJFMf+(fz(zRn|jqQPX>v;@s zcVC2^00YVQvu&hZqMDVl-#s*l#p#gF26L%=Qix!@Y)M*GE^E#5`je#Zy3AV9@;=vU zqjKjET+PgBrLm@}k|~MKxpj>tCUZo{OYUP;tRS0>lVRFEB9d|YW;!{tP16U>#~RkZ zSqVvrE0e7*DW)nJoi&4J{tS?+oWhr9HD|a%ZEn{T}zC=Ok9a#vs0NzbP*@ zUkq&Z*_7)-QxaUCVjB=1CEld$p}uL~#>{A&+8?c!Nl_>enWvcw(oGBdfS-S(L1c=C za5XUaUl+SwqE0l9f^c2%l|U*C{WFA1hjs@9K6eVP>J7FoGNS7ZJ2k18lRr5Vcf&q) zLLH#|+pUs=9DP;RO_?!5O>IcSt;2n05nB;q`ryQM5yhs zo{`@U<{JQM2=?a;8Ml@S*e%2{rM^j{IlM5?)#+Tzm?)$lRS5Z3T)`n9B%T4>l64loPC-p+>{Ov4O9I? zvLKxojy=<4@szS+Qqg$1jbl`sp68D#;3B(jm|}j2MsWo#Et1)%Pn9WDuqD_6zKSjD z9pYJbhJVh7PAR&0mIvHwWlIvT;}clEzD)Ix%7obTO79-z2BAPgtV-lLspT&CM|WJSk*hm(FyIO7q_};F|rc zi&+`VPkG}B^(LA~#a{l^wDzO_WCSVH|1v%>KTQ|oDICRReu>S}i02V9VrQpNL|+xFZ;{711r#sxYu4PzjT?sd`x$$+WjgYu={l+k49>m z>>qAR*R#r(<<70rTv83cyWFe2v8 z{HqgPUQ&KysCZ%*LMzcO!YbQ4diR_8;Sb|VeAb5hc;fhX-TXv+({m<2|9BeGu8Ipo z`PK5OZ0>mXyihD6ppq&e1g5J5^Aa7V-L5VJwWt>UoiRhwEA9mai!NXdVETh^Q| zx-oTpiR)zY6UVeIRw2#Mr$00M$7NfCeg4k;9Qp+_zQB^qw95#-diKyyKCd@H3t$u4 zSuA0S-vGD2qyRT`{Wp2D@p--&4r?a!{= zn$h|B?(?T*z~}chiAK<(`*%Mjhy77jnExl@8fRqoXWaqLYtV4UT-km#<&UrKt3i41 zUk?RG%U;J8w0{e)yIS%cCQZ%aWbh?P_Uk?b8=qk*9O1wypcHvF7wdU|K#9WSJB~#5 zqxNMadb;U`+E#f>afm6++a4tm0fa!i5!6Y}9T*)UFmSETkri}|$d=sifM<@^yQQw7 z?PSMYSgzR4p*CxE0{~FIWcr5NP!qH`t%yf$?K1?CEFsSjZHpb*k@JgtJ;8k_w4_NtFia9g%5{3^-g8KmoEayfU(!;Z!@S6r z@%`$1>`bg20;-Qt=pH0%DWJHGa^9$AB?^ zUL4o7R+Ld5Q6{brRcNrT8@{|Frib5>KkkF$%D?)1>!fqr_&y*abc^YJrh%Rw$i8mnj@>se>CGaT6^>wkE7h1PPU2*=YpBk7|k2J^+4uvnwm_ARZ!nU zhZ1sJ@h|0@G6lLBT{Hm4!UO*)fcpq*rV75e!><8cIi?u^e{k_LaA^mzTAnI)pxxJ` zun`1DvUo3Qj;Wiy2Et}b3>NJ`sy`axy*XYBj#o}^B6$0T-2dE^vl&2`>w>G<*k_A%`z`9r4{zEZBnJRK8gu zGgtPWrpinCa$ehd;j0gxR`M!~r#rZ&6Z6U}RPdIj2~0HLXerWdkwjDZJ<}g=a~?}- zgDILxlQ$GPGncFk#|_#PxK!8DpvNb zM?#4^plLmVEgIdaR%!X{gPU-ok?G@29^Ua&8L5sW_kdmb;GUhDo(+rhp-fzFhuPWb zVoCfC0^a~NPyrl`9e}w(>>RYnpbJPHpN9y*Lu!+~%9p%>dHWY)+D@d!Sz=Z@J`Auo zQfI19&Tm^CTA;~BQgi>YDupx8;E6zYCK1Ar)5W<^)8}Pp2`(*#yg#O8;V>2AWxbWF z46R4N?nN#DGFs+bW(7QY)fDs=Ow8E4n{!OP{$y9@!J<#-0BFjkB5g&PLEvK1-9OArw%GU2`A+Ew zSoI`M7HA%+jda`HU$8bw>*KLj6A$6>Z`$`59 zb=_H{0Y`7mchv^ za?og+b*5NL6GI60kmgKKZ&nq3&J~Nco~=JL7bv@r8q`GHMPzU$tv*7E=-G(-k2AB}alRn6$pZVl2~EFW8lbxOZJK)7I)KHJWd%g{N>pcw_$n7^=O z0ka)p*Zv7J=K%Q60y9>(xXUY0A6+l^z8F7tarlUjt9K5N3>?JSMQ=+jRHgqN=zyu1 zl=48;q@|)LummgjIw^pU6VCx-7$+Sv_pP&6{BY;;e4UYf7$!!ezQK-`G_k_AVsi`t?q|bx1f~U( zn$+_=B(W}?!RrguVP^GxaI@9OOXL(_?XmMAj|MTEqW&PZW}dHdh3B#?{CPTR){z$C z*>$uB+=!87mlYeto#&;Ot6uygv#GKO5= zEQrV4d3sA=HfqtQCAd^_%G$R8hH>8sqpO(RWna&_dUs(7X1jQ70fatlj|<}fpdOUP z4dSFvIW;ivj^<*Hb|}GYEu54y?3c$H$SaXO^q#@%z4x-QZqaCZLFVA~kY0Jf8q{j( z^O39$C~(XD#dsdV{RNUI0q1FqvBc~Plue-M7<Q z01nMr-~jA1uGrO4gHvBidYtF+2J^||SPkNJf_WDcfj}D$a8rhuqP4$Za;a2AE2fLcxrHNsxN1x4m6(jg+SYQ!u=hhsOs~O&Z z!g4`%pZKCc-4x{X0TJWjtkpNu5SmL*58k=wcn|D`vG$%w?l7%vwbH|ba);6{pV*dL zEEF6?b)4_QP&^QBmCMI;GMl?IaJKsI0-@KE_n9n#N3w6gbCselN4StZo?qyNJlRf9zA2Fi#a}@? zexu%R@Jf<%678cc^t1t-fPIz~yCrJyf7b-t1>zQiwWXArFC@}aGNG}ybVE^^-kV)i zDpNOBTXx+Ob>ya0Dn$^yBIxS zcjRx~KHss(abtqM{B$2n*wymoYGiFX-zIO;1nQW8?Q|nNTv}4S_#(%(dcmSjRK2iH zJ`f3BY_ABBUSwDfZ>2^o>dOBH1ErzCM;Pv^0Fo1i0!X*RovE|~mXC2;AE*A0RJ(?9 zW-3Wy?f)b7%78yF%qq*%y4z$k%==fRgw0^@g!>$2wv}V~yF}dG()(%eOdlZkF9h9R zm|F*ganicnmzZ4%59vL=zxueHo{AnW8Sd7p5Xwo*0dNMaJTz!6#~Q32*DkB->}Ym1 z`vt@xd?nX5KEXcaZEX_n7F|p) zScIsL>@}YK`yqY*n^7kLn@zxSCJm*Xbp_*iX)h(`l7l`Wx>!dFub4Vy|CIqdN!XtL zSNi@n+@uEbDV+?Q_P+`#0{`-Zf%`IRVw;CTcpz0#;Lk#HdBOGFXGC8E#WL3n&y@Q6 zDtYcJX-e#v;-^#aDYj1b1`ob4OEWBO*QBmS8dkoIrAxq9a&guwZFX^VK$2nQp)Ci% z{qFxrOO#u*2tEA!f1<2=adE8&HPe(=-^6+#H0z$%KfEfSF_zLbz~Xgv%e_ewfLA~6 z3>sN6d(d(G2&gez9+bIPzfci!ss5nuXIMuMSlKp!guo5heudtH??R(4xgCH+iEIRk{(l{cd=I%_3F@>?1jiv31A6h8 zv63q+o9e16(KqYiCNRG^<0MAsO70B~br+X^$6yESI!RpGVJ(%|H7!kBAv@9#9~mJJba>czNR=_;mAw%+iN(KH&m zP+u7YUX6tIb*HDzwl#;g%=TpMMp>9?J#o!??n+0R{@RwGEyw2Ko_F?h$S~MxZhb)~ z;I{@+*@>BnS#GFp?>%VGwtr1GO?H^Xj8~k;b!LXrZGJ!(z%q?g0I;ZDN_jQU`G=4ooxyx*pHoQ z0;p}R$nJC;63(f?iR}$uN?ue1wBDug>||YbTL)>fi3ftQbNk6X$27Ce^+ROT_?6&M zZ?)E}BP#;jyr^ah#@zR9kOb0Iv?{pF=Z>aIq}8yvv#&f=(Fo-{AS-G1m2iB-@}cW% zpb!K3kQ)3KTyL!aug9(D)w_B^|AI2Rf>Qaqh#ZrcTcu0GUQ;3F+Qf&p%~0_*Im@9q z>CKA4B(hFL`M)3{wT3qV7^u@hZ%*(F;OyYa(`^!RwHcR6M)PRqyvtG0vX7R_z@xH3 z+RL86jh5*jIl-?_ihezTlj!5XSK)3a|8?y{NvHQFAJr~IG3*yOmp7MGYuAI>ubAT1 zqmsM7Sb7J;>nWINr{o!_CWP8o(9}J$^amt1WQn9e<45^&pHnwJj&&d7x?;)BUCp`mQxjA*xLFjc{zI~k%yk`L)=k(pWz zBcp02>i_zh*dlfKi~zDvS=dJEFWGD}Laq*c$wP@ODj=xSV9ch6yCd*4?ct{5Vl$jP z7wlD}|Gx+sJ+Eg`gV`egM_2*?x7Qeti%)P|7xmV``4LETUHw?Sm@xpajG2t{TdR+G1l`BBa=dJ-g@?7fwXX%G>+HjaKFP%Ne z#E0@ZE}eP@b56QvbO^OnkccfEN6oi}$D>%$l#<(s2Amyz$)`_$uKj(=k@75 zgSg95Cl{ss^yeJ>v}|#u=o@?Lq}^j0=O&->#mrbSv4G$L1DD$u1vqrs-f zLMWj4@3vb>Y&k=n23;6y5qddA7UL-9?ZWD`pqy5_#ObIYU2`eNwBFl94<#df@FE#T zEbw$;WjV;8ttzCMCGNjVok5|T7&4@A`5&=pTYLWy<*GHSi}vGZNA2U2f7cO%9_1aS z)%PSjlc%UhY3G*mDU#$_^jyq&lv}5HC?|>h+L>0!5C%+WgC0|?#$J3vGtF^kBgp(} z$p82i4^|%H`qseVb!VEkj8yBRwlx}%9k(X6DX#rkP45yo-_@^}%W{+59!{xOGmxcB{&ja1A+2s_9Xz{6riMzmk=)GtLOE z7TZ8ag{{d$vHnSg>CKZEtA$oolew^gjYmC;?AVs|-Zi-lkxuXFs^lguOkB`@(AAf z{I*bymbGL0T10c}21e~jjx~bsR02!6yDrL>bjfnmelAox8s&mF47i&=xXT;Hso|&)Z5L7h8570MGouz@Y8Wf55WfdYVqwGHhfH z;$m`MZww#NU^xgJEZ_WhJk}NR}fGO27~^DsLsPhgE77SgyySu8S?}dWCZ!6nN-Ii#}80V z(no|6FZmKu#T!(hVkH#{Mb4tUBSvMhe_cHOAiTM2EFisK18&|+hC=S3|KK}3keMt& zWRZ|ht6S125hf`SJR<5%yU;>iNnH=l%=le=!`7rs*D+m7kEQ65#Su-)!Z^1R;f#(v zsESJz87-th$9Y(x6S3YOaf_EaLdR(&1!LJKa9ml_aa9nCAD;${;3Rpn)CX+)SmMjXq>3c)NEE*~kZg&bWtuPt}*7=p8sN zD-8%AXRoc-A%AG6zuw=7vpmsP(_wvo%&pJ!bd)?g9`SE1bfh(_rNtk6N^SSA$7Rk^ zY4~Du+y`ZjG+`jqM_}03)WyEdzfKi+X@Gmr@qPW@igkUQAe7GRELmxs)Wu2yE-oD0 z&CEDydlNEP*KPL>S2GBK>K{2l$BXeev**rjg!ez-g*AJ2qz+(7fGo%o2s@L+dWLdd zz4;$GXw7XWMb4%S51L^;U$dRcK(KIka!uk8q8mL%K<7_pEyqy}ro(R5OTOp!eg4X1 zG(-BML|X~oNI^PMNm~8HBxSRnU4gYh=Pm9Er-cPe9dDpe;x`Eg3Q(ccL9k+Fcxkof zceHKsQjrD5(LLy*VJHbJf?q_dEOMuNi^>#mI?Babnli4;l+VB2L(2J&LVrEnktkg8 zXkB0Xe**Ca4*3>iQsVmj0+-sOF$Qj+W9n~x`G8nWTqz$sE{1t*(pJg`v!!!imB}iJ zwWHa~dWYxY-u@c#vP5NLM;>{D`OtO_M?T=WpVw|Fza+ny4V;-By@s|-WMhB($29G);Rj(e~3L&$0Ws$#w`{@ z9qnHG%sAFZB!!OJ|kJ52erpd$JQ^buj{KPos_UQIF)@2cnbtBvfoia@?ZFYR1eyraj zdL#8i&aaC)@qp!x&x)HSp65-YzA-z#ru$D9Wg53g%nK@>ylo`!QAZwjupV6AlGm3v z$X7{kU*3RA`=X`!#);DXEphwn*yk7Ocy@qeoQZI(%iuv~k=f$vZk@WVpFMQ%k?YozlRaFjZ za(=0Ok#(l>ed3bb`l!40XYhR^5sta@^OE_dPMb|0b$fXNxL-B(TgR#2GTlE*e(8I! zS*{t(Up^q#lgGaNw*uqpZIa2J@#Z=<<;UhftHgdKQ57NY9vw#}JSfjYusnk`h*Kx^`Of5trMhYRH(H5Jp-a5qwyRMAASL zRs*zxyy&MV#*kCpi!lG^rH(BrjD;ryt>|SPP-QM>hrB^@*p{v^ zxOBMd3R9QDj9DPdf6FP=m*cV?&j?v%kZJ{!HP*U}99T~}zay)=;S9bd7QVUAaiFWf|yheup+TeY=#+A~S}1~qII?438qcOwf?tf&nI)Uq|~ z66`kr`P$n6tEa2FRzfD!5x{OF34F$8fL60B@W1zGDkJHX_v`B>G;SD5E4u~4*AGAT zsIWEzVQ^nbSV{+51KjM7!$&csR}X1miz?%`vvyEC)73VMadGdv^bPXCSCaHsb~b~P z;9+WWX>!*Pjtk8Ew~F<`=ECR9ViwkoKrgKn<$nuJ%(Vh#-b;T z1@cacboX&EigHvcM53Y7EYswgt8Av(SzPS=gjyx}m{yc4T{Oc_-~T*@(vo^2!i@=T zkZTkHlnDEcFD(;uuzF(xnOkt16Z8rw&4lCL7u#D;1j=+oQKy^oIh`5(jb8TvVb(e~ zWkl%k&ZH7DW~h1?xik@wi9`HQUtjULYG5b9G}kzo!#=kZ+>k+Or|Uqlj%s|69SW;( z@^JL0O$iW=&zI&jbhWzFm4C&QEfnwauJQ9AFLy#fw$WoZd~w$}!sE>y1tEaYiuFT) zwKM_OC(b{*3>cLu5=x|aa2dYecWFR1*YFOZ(tIN+R@5>rKz_^s0a@|?Zvv!%^k2#;_Fw7= zeBlS3V-Fn)MJJyuDGq`x{HbB0gjcQj$vUC zUeQ=p*Er2$@=ZSmhH8%iib3gq5X->$4e!tr=Fw(wqD@%r%A=kiSI5NKdqmd7qHJO7i)t`w!?LNg#omEc zW-!eTQz3}0$;4uTOwM7GoAq3EzJUsOhAf)CftO)pBU9~_UZ+K0jAByqm&Cv^+*pQ! zxX?c-XYypzaqZa7Ww78Q%}TNc*ZhqJ=l8%;5N5RV3*cX7hzTQ(-#a&TB#tYF8B&QQ zwj-)hVn>yy#YSaJl3B{ZY*9p#1Am48tqX~BsD%R`lRT`+tcje9-iw{?J1{AALsVIp zj?Vy5iI|C?uPJ7lR?4g84~3$F`2?Evgv6$e2zbUZpRVdiizbHq+M5Jf+hg(DxL)Ka zEeE*U3raxs2<09|89)v5uj4FWHtF!kUasS6@ zwsSDJae>l$Wc`=}bKa*CdZD&NG;wiW`&QNoe1f-6DYT^dOjw6BpLETlFL%k}nTRcv zPpQwT-|O12Q~Fr5NU3;}Ka`4w<%prt;2V>iLsL*QA2!d_8X5eX!ggt~Kpt6t81j+A z|BtId$UJBgBomwk))ZruElJ;SvR#|<=^ zV6cwxAEBphEAV%GfpYqeU3LQ&hcY%n1)m*LjMW_2E&p}VMJC41hLttJWYxdaUz~2n z+J_ynYPcaz35XNu^pR6{n>vOi0OyjkrLM4iZFUS7y1I;Z4qEpC-V>d^PC0e;ZWg*( zx%{%B(mrvc_QD~fj*@Kui3evp1qp|3)^Csdwyu}TZ4^+XQ-Q0n>)C^ z&mgr0XB#Q6zE~D?dWKvH1zd?#NV7~kbu;t7<5O>?c@$3-8S0lady^m&cEIezX*%-5 zf(^aW4ML0ECMBmCr^!G4{(t)Q;uWLSVX2J^v3I3%AFfY!fA5Lr6&~mw{>?Lw$YX8! z5_5OdkKyLaPc3w&$W#1^cUuj4`?6-c$3b~)!ZWCwW^etrBL2M#Se%0Je(E!7`gs_& zyAfyPWY~T91jC+mJD#wFS-uy_3k5=Z8?uNXt~K!JHU*9|ocCtjXV_q$us>5iMdcB# zX8i^B>NGFC^`pJIN0HAb8h=6qa1RUA2u~-$>p1a=!e$7z<;J-EGUMDt&3FGn68ML; zbm`R%NL`d(6wJf-`a|9t)C=JPO8H_bzTR(%eQhSAvCcojV|M)Lr%9S&Y>~%-te>FD33@^$O@eJ8S!6L_uWou7d z-T2{v^SPkx-9QYR2-UqlqO!0A8@@>@=CCT=>@%o_7v*3@unvb{TBi0|7<95w5@TIP zAbfCxl{-|m5^ftM{)`gOrJ z@(1R3O!0sG2&-ku8U`wE(&$&zj}F{49)bS|EhtFo+CQ`Mll#9kUfGA}vU-}^<>^bl zvZ~}Wzr-t5Pz%{`ZsUJzD|nicCfcmE$KL80Wk2ioT?KE4Q|{@-8g!qto$iDAERGIZGu+kJ?JE|Cq*UVT804|dUJsGICUR}_g{+%q zo*t_F5T?6W)89z|JD%9T;Qqn0SBPYkrPaBbOK>jhPv)=rtv70?Fb02$1$2dar-J7$6tD$bk=8HO@1s}a$uQ`bzKkyE$@Ut z&*2W|W`rtj4Q~bQ%$@{WPAk6QDvi3VE%rU?B+4KO!;NAtyYM<>8>KAZwL{B!{);^RH+m=KYQaT8P^hBnWt9!GF|RT z4)4l4pPlIk7l??#1oPX2XFtlkYmqtr0Dw~2n#Dzgp6h*`e5`OU*96seAv!H;s&opH z_~{*zY2Fwp`+lbC3s}|* z%9n&*yhAJkW4i|2XaRq%-(gPRor|yPra_mny0l%lO+sIgYAlZ*PLDa+#W?bFBw;q+ zx>Gnig(yOkr!p+8Slg{kX{G8MP9E_pH8K@8d+(f4gAnTjRL>rUC&dLy4!C1Cntn^K zVJ!>~Dv#wu9<;OiPqceA0|LWt&4J^iqTvPhz|3zJ-b! zDC7h8Y-QxHmhi!u z^I@f&zXtVgyq^rJ29UIZ^- zuYr%~tZ{>Q;KdOvQg%&gb$HunOhA{0=+CuKceOl{{|)K)-H))w!WD!Kt-nQ;{mFQQ z)!%j$F>&}SU=9yoi^w$1CkuG2qt@oIpTKb~gb$`y1u41%EGV#@;^ zz$T@1T+Z6^c3Kb=9Anufdf<_mcC1zxu!o@3ul9<>C&a>)9Y{a6RuO3fGU&0V>M7bB z=Jr4G6)w+F4zZ~ytiP>K?3?S&)F%P|#zND@@ph!|tI9?BWK>lS@=7%9bTBn`^z?ZT zX1jE~)Q}#?SE%}^mJ6d|uY5ad8ZhhN*nktCt#4N;&n#AeuhV(Z$l*?s~0c5m+K zV(m(duiZZTLR9m(#*NC$!oidQAyYM#lMEw0lY@=55y_ks&RWgP%9e&gp9#v(?eC3q z6vzFhoEg%^56alDYSEGlf;k_EPyeQ3wQIOARfxc$S33$_z!B_{WyPl6AS2CXAuca5 z^|AOX0Ow0TOr!-pYEzxcO{Z|fE=;-wy>e5%{O6`+9p;gN=Em|P=azwni+3^w-SaWH zY+$(rqtH6WRnLU0c{p|085-vBz`tiR6CluGHl9s1`F;x#$s$q?@{DK>9>)IcVcB9z zkP$FQt6SIa{AAUrZRaHw*8p_YFjnnsjo%CdW+eT_+Zbk6$)Tb6ubIzr$PIIOMVQGd zPqEam#l6|K%huZ5rfO<;3a1oo*-#PX1?=|eDK1yXyo=_a?l*L*s+uJupW{Vs0-1?{ zsw2TzcipC?+-1%hodrj>b*KGl<#M;LyS0o-Ge4`}kZM^2soa2Pu3>w<-#fYra;j3A zCAzx%MQh;rg;}fQZ|%y7ezeGh3j%~At+O-0EfbQ*kXQU*k6_Nn=sqr8?_Q!rbY0e5wQU#hx7 zAMwOd8!;Okx2aBs=4#@!)PRmg?cS;mc`ak|h3yH~cIsV+5Rv>^Y!x$0e?_8NTfjEH zFCTtM_bVV|B;TFcZ|H~2{|+6==PMGSaoilczwM|q8L%=8K$@I~py4{{_v$cSSu%3H z?bNG>v@~)(?bLHdP(#_&WI`yKIKHHLKSd!7smW=nN+QtXcfhp&VmG6>t;ubH^_Ubd zn!3NgklQ%g!Q#WQSJv~?j_$Z=r103avb*YEz}XBdYifs8uyM!CTfWM1I>aZf^93g` z-(V!|SmM4O3_38)#Z`8Mh+!D$yMhhozPC*oA>dc5$Zn}_e>8<}u;{`$`AcK!ICTOW z+%}4HQi}s&h{--{#@=f2Lu|U3RDOt4*Jcx*pGLf$m*eaxvq}v9rTL6g+MbvEw6ZSq zYp~(;1rN_WP|f=VO)1V5LJsNQm6-@%d`1@DsUVk7qhR(SioQ8L^2toA-IFR5xMYmD zIGVzWpPLe8Ta_t4d_VBx0!z1M!2cfXk?%I}cjqcI)S!;3Z}rSFnA;VKcIzfWwfY;O zP}n?H4?_(rPM{T6XK%apma?3A@SMCB!uw%T73+1X(lnZKa39p_QDFm?u>%v-Xiv4L zt8*z7x4bM+Ttk>hwoTHQ=!3x!r!$%P)*iubD#`WVUD{S4h>%4#w7bMNQKo~wh(5Gx zKfAixF%ZQ+g4ePm7XpgqV_`yNfuV-~f$&Xk!(A1O$DmU?(x(Sw%5tK^KfQzLpyi!iW9u%^x|-i$JLb4>mEVOxNNYB=FU>^ zJv`pj^8OA;?rsoGOZImuNtxE?WU}&d`IMK%;$KPlyecn)-pH$%%u#PJ?}_{FU)<`; zccbvQUjRwGPgN+DR~lI`KZB$K9|;vdr#L~bLv$zOi*Gv?%=}&qebnlH;pk^4ikzVe1UNbJ0_`+EDS^b>7XVZeUI3g zvUdt;1^eB|$EwQ_)~_da1Uaz}iC3E=5@Pb?AG7AS6dCr}Mx$3Lz{O>r_ab0QU_Cm> zhM9z2cHLDz0V>D|RkM>OZ7_5^i`CTwxt7DYNpyoANS&h8vlW?M53)jQvB5J-eS%Vd4tne5@%51P36%2K? zel?ggzzu-k`$hJ&$ibj`O|S~l@x`6T#}9HTNroso2t7_0`TGVzeo)k$qI;0<_w{i& ztbsm~KFn{rhJL#nPV<#)O4_LbpHAl46ICywnmd0>oButEA#Jj9UDUzyAby>I7OknLZYBZ&87+<;MNLK}l&{Bx8X zp!c{nE<(^ebhS`02pADz8Mt#u8LC}bc3nyuEvv(gX#iAP$BhRkR{5y}(Ir?~jETxK z_UQUR3Zo^r(4^cS2xCe7w;3#Q>6KI}f&rYMS zCa~XAy^1q}y~LZ}lEx0cAD6_MJ_MIXsjSl|>vdBg_k4!-!_f`?;R6dR!0(jyZ?V66 zz)$mozbH6AndUXxP9qY!0dn79r#2f8@rnKo*4#M6*zE2^4=@Wi_wtRaYmm)og zzf1-l#6D;SS4g&kUU&v|kiNP1IQE`Nzc}^=dP4{#X9iMr3<88?P4ljqeBtc22|0G& z1KKJ(fNtd?uZ1Ryl)DFRx9f*)q2?991(){;A~*$ayh$RsM(=XjawuBs^;Lbr-;8L- z^@H6%u>p@n7p_Q0e;|m6713keWU_5hA zo52&|Itq}=Xr`@Wu7fAjMF|`txq)#V;CBDsnXQI-&r|wGLGVG~c_{XXy>U~S45$-R z5SH_N>&)gKFB^Sv-FzT2d16@!9S}T19Z)CxqF;tW0j|Yom%%I%V7Glq)LCLbryTyZ z^BgVmmT?O*`L6sv_`c@lNVeKAI}9UWJbcL*?_TL$I9A;`(+y+nz#3O$(K6RHz4bGd zzkG~Le5a#8T17zLv3CBJ%(KrQCov`0+f}t4S7sY76)4qhk|7VjN8LAMa)V8)=p0)Z z9GO$=qU7PYtlRh}r&XHUky>>|6Y!PQXNYAbPRUL4sq|oj#*g5j%QVwP7G9>6E?}{r z4{=|?@Nn=^8>dMq)&*%uc2;aVGyL*e#ienSj2E75ZUdbi2v*?o8Rp{@}9Ydez4R-3D4W_cbzFYwQ9a zwJbg1v}t)2r-LX3fKjlboOB+{X~;d!4s^WAq~ zMsyLiZ9w#cS0pld_;-kMuPGPiH^qx-(PS8%)}qPo6o+&03maLD_y_c$Yim3)f$$_I zU9F_-%z?;lNF*o87v&&d?_T;*o8v~zOIKuD!WZnI-C#hNC(i9V5+@RA(!UfFMzX6w zNq~2UZe~h0MN)O^;Kzs%yti$hKe>k%Zs}EX^4aZ#4@MO>==MgvD`E-&` z^Fc<5zeiBUURlu#=pF^%k!<eFA4K$jpz$AEysFI{At677PT@=u6MeCMIQfq(1>64%o&G-<`u}LA zErFDU@Ye1R*_9Q>ta$A;YwYYG5B-nz-UR?ir&rF(Rw^FiX5C7M6X?`O%K8OTq9S;76ZF4R}t#4oaD;$jDh$#)pf z{Y|VrT%>r?FQh&ANWs7tv&e5wW3j|tmx8Cl57j{fS0_S|ECmKJ_0dQ@t(^g({4NkX zFa8JZ9+1bg@m2-loAbHS6^1&4t-$tLEknI*>TRgrDwVr#;8kW&AJV%bi7g6RS_5nh zPt-+EwQ>Irm{nS3!&-`5^8q)Y@QK%cKc|) z1>)oy$Pb=lcU~}%ExyU~n8}1yk$?~*3W4r$YGN*^q*IcqJ0rRDXKdbp(pkbN zSl2l!t-73MnMDoX4Sd1ik2G~UaeIn~=oyN@_j*G$v!7DOQV3%JTMd`f#8IZZLj5%F zpjiP%-ebV@IJ28HxB>pwEiE?L67~Ce-Sef}N4pf?cQGEp%tZWf+riM$v(xL1QQ`37 zLtN(3w0v0?etOLD3lSres5r_)9#o!h|{;$)R2PPu7%chT-&C<54h9$9bY2}14nB|HYdcSg@y zr52OVVxBWqK<9&FlL+e;923YQfL&mUoX8@O)Mz4VS+jD2&>jr0eLS*wmFFSO)_=@s zN%@UT8ysL2I`pTAnm6`*25Jg}?K8ijZ$TFK z=u3(>86Aau=W?~~9T!3+EMsZh!BvB3SlfH^XYpI0kn4zrQCvNJL%$pHt%qnZ0EXz)>ZTr}xw>)cb$K^#+QyM9C-bi$G zW+f07WboKyR3E#qYlnG~8a<$mmFQNyc;G0b9rAT+S7J;*k2UVnv`fz#YA-9Q9Dz)= z;*;#1j9VL?yvUkjT^JdYyD1v>u)CcXygPTk~F zc3uL}UZ^^`B6Db6zg6R!^(s=R4F6bX&^w*E_Vi>5ypVb--?148>J}Ai&c02TN?Rh| zG-oTH9zEsUx0q)Ivy*J{LV#RJen0I26Q*6LSiM-Pb7bkhQx>fN6!TekLxUce; zKtq*Kj8EO8Jm!71Z`B&L{7RY4t9QfFw2P!hZR**O^ty}99`*^}gS^Xj_K8r*u&YJ8 zs*QS;U8_RLn$C!SMHI5i$W;Xje;XJ$Su;_-!y;{odXZA)QfYk$L$mb4t8(>*NVg{R zGw75k;T@l$atWN7j&g*IG^bi)$|9tiZw5t0K$u zDZsU8W1Y@qM33QNPX_E=yE&FE^>LuYFqDupiht!%g=}H8C?XONlsqAt3wLo!zDzimQvM$ zUFZRG#G?O=t~m#9-;*+xUfn+H?INVr|7N8pxfJb3>?WNM^`DLMy5>_p^yl?zG|C5y z7Nh+3Pzw2D{JzQo!7_Qpf0i{)OA=I_vaghmB&|`@Y80%=GoxE+?Knrr^1dGMh`^qRYHYekmx9sa9 zF?o%`eCjRPxrpaDs!nj~rr}?UqQ{u*Y&&njJGHicj^3&bosK1U3B79B;mJN(o|By^ z?w3Kow|n8GOi~LTUk~{@Q=3mfrwg8(vhdp`#j6hzI-_r#)RL5F zA+E43yh}RcwhQ>Oz7Q4tN-|9^W|7nV>0I0VqUcDz+J}P^ z>?cWO^&|!<7m1`ismHV;TW(jWz06d%>yC-J>xsUFQys75bVXDsW0SZ8{IT6vGj3Nc zJcWx2<40MV=&N-yy`}l~o%652nuRCPOzOh;OVO;`##x*YixAV34rydu}F2!N7PAVV3OpB|-dGWa)EWOL{ zxKiRVUea))io1F7RL;MZg_Pg``-G>)`eiSjGd8@zH$4 zIwu)NE3li8&L#)q&!WowWf$wJf`bd#0hHp+eF7Zc^hsq4PrJXi-8?1M0SvW*!uk)L z=QjtL>5l8kzAILuYzk!;S)osxNJ%3YDwvY_(V}iz^4$p6j)d>$+Zba8D}wxs`Tk!m znrD&|D<1>L?4$WEdK12CS2fm|5Z%ZCKD4cyy@4}k4Ilkua%UTY>zBh>4?|Y)P*U4mldp!;+E+%8PxIn0?Ve|?H%e{6>@V7~oZ3a%vz{J< z#LJaWRJ(8fF5kA#0rULBv>webh2}4g*Jyf!zkarlP5!$+B3wo1cd@WMg2u`Dsg(H! zd#_B#IhrqPMo;k!@p<15UtK8N0q4#-pC@-^KHv1q{>^V3?`OAT3Sq~Cx$!eIn=!GO z(Jv9bsQbatYhjzn2W!iNGz3<;|YT)6&(DLH*(rqx*%blxm|S&(~5S zp@RTuRn1oI2`bevRpRK49wcMt&?Vtg-vwe(Oxf28VDwOFCWPCBL9s!Q%GFE;2;?lo z{L3@K`9;#vM$6`^;FaAdRT3pB2N>g{sJO}(*}?>c(+Fz2(W6*=rDtmC1VIkkCO1%(O$57^ zkOjzRpGyQJWbVLVX7NZW6**PGG3`R3Po?hBYifw}x%vcbijk7G`yzI?P?9>C($qx~ zGk(vU_xA>xsi+|x$H$6Px(ICwLGBWPPg1kX5SIBsc6xzNPP5@4joHGytU~WtmW&9q z_K=t4zyP~~E;gZeKFcz2%a&jYkVYM$bJ$q{NWdvDz(V*OaaI5nAQbpyH5&&z+YJgR z1O^}q^O6a5U^a$;Hogn-QVDesHey0pA_lq}20js4jzCy$gI{IfHmjXpxWd_wO6mJ#5Vf z-~e=BfLeha!t53(KnoZkS6~Mljs59Gip*n|RT2D*bqc+{dsvjU&_?y@g9cj2#HDkgHgH9H=%!~!O{GbW;1@9F!I^@tZQh}l)ItJs z$W>P4q4wDhPZN(XbU;10B%_eosCBcbznok|BJ0*p%E$k?q3H$TrgDKf=$c<3FGlb1 ziyRR)#&gUjo5s&({-u&h+cgUw$niC)8tH*CS`i5}QdgRXWG<-5S# zK7h7RsV~AK){Zi@CJ}SIypeA?=Nl67<_iK-S@3{lZ#s7}G`*Lritr4TKL|rA^iw@y z3$SFnz+BvyU}466cFR#B9c}O~ZxAItn-VUK0D~@^fG->#)A*a^{Q-^HRZ0L^ANM=M zr9=47OIdJP`+N$xNa{G;E0d9blqAY8(;H8qQ}ErAgi$1BjwYSSEk<_&Y!ROQByXc- zMj3~NNd=8kxg|gn0J`GO`*Cc!E!(eX&nHiBFBZY%vS3B%VV?qi^(ab0!&N1$Q+wM= zjIO@~Q=--M`^XA0lvNFMx8CKC-h9T?Y)$I}H5GdCl*1#7z*EU~%pLp}P#r@T?^N%W zbvlz*VeWD(^`aX@s=-c}4TrB1{6@tcyGGA$K0S2c{r2@G2}!pJ_VZ!!m)sb*%o4pK zk|AA9$J!<3*@sZNBcMja(^!%3Q@RMd+*~{v}Ul@=$n<1&SVL2D|IH| zbYe990XfVe3Ex-w5t>nt8GhGBEg74F7kuit^fC6;xe@qA&nmp}C9{j&EOqC%sv;Z4 z7cXwt6BvIEvai$<>D;F)EZjZc)`I-<>@wk52uFR1?t>;FSqL?;1q|_;|BjM(EjZLTK@kRJTv%j?luMai zfwESM$7^bC%qCo3V6+xz#{l-g2lTZ){kZ7BuX@=XOQb2=AvBR}03)kZZH2A6yY!U8 zGRr=^^t9Bo(h`|KO6KO5cA(_^2fs3yj~yLi$>m{U;}~C3GtNxp)5?Jv*&)xU{8$Td zLhmV3Xf>}z;!USrFB8kVlVP%bMe@p&>s@=Sygujb*!eQI*Crcf?fTHi=xT4ld<0I7 z0cXlKA8G*_TNpfKsUcg)@$gJq{!qOf4xa!>Ml4KqfnN%@{8+%BTPcd&G=R96CjD5h0VY15q+LoY_xlt{t$ zrFz6kGEGr1rmL-_kxD7glao&?C*YP5TT+(#Tau(%RW{eH!GoJ9Wp^Rh$iL~z|2Ee# zAsCekLawbJq+Fr@OEuS@Ya_p$vkNPMEkmr<`E|4niH?;1)EGtXz!-)8Cw&fDdH)$l zAX74dOe(Jfm0Da0Dpus2E5m2_Ejk)R#uI1LA=aYgqU`X;j!*TE7g}Y?i4g(K+^?Sm zAfU>opSFlzEkOR1`xDpH3Jsj3J{QWi_9Pc&tFYBKC>))jxX<;<3>X)dcOYz^c} z{Mb{ERaG8MsDEr%T&T&C*JoJ6Eh#tEXTYfalyWQiu*;DJckX7?G^oHA8vniXeMNYV zIgfaMZ}T>44bmXBcdGft6ad7;w{`vqCtit-;ED{cV9}6ris~fRoBObeZ2=ao+I=EI zL3y|vOL82VK5hpQnw4{*rI?1@?msUcvkUG%XM_g#hYcC08y*R##9`ndqm;x9s+}x6 zHYfm;=;d(F&8sMPjCqz_T2FMk-hI@`ee~9S6grTM^CVRfwm^|Hzh|FhAV)t&|SAF86=zw3cXb=PY-xrs~I@eQD_4;^_pfUF2 zd~f(EE$hU8;|SdSVQ}%eCU+bQk{~GD{I~^0fxCH&%t=Z+GEb|I+<-8&WzTm-LRizd zdeJy=upV8ycvM{i==TwQ-eQDbp+&IOw-wB?a1O7cLi&L?xD*gRZxr6ckSq3BSGd>M zch@lN6C2S=yF_|MpD(L`pLO<$g>y~(T+F6Geq-qc7&BiAvQM!H53z_ZFtL*|Dtq#v z(|i7Yfj7tzkAA4AqPUPg6c8g3Z^jmN3qePqm42Ve?v4Q(e<9nA_*c=-S=&WwFC`M) z^y&%DV17cjmbz9~e@G*Onmvc1h-QQh5MvSKKeA7ehsP`XYAn-wP#W4FWZH=bX4Em z+0)vdHTTmh?gEYMi59WF9K6hqW%N@(n#6ueZfaznsaM~3RL`F1SD3f3lqwazfA)u! zn_*YiHi|NUkgfT(Xd+{-4^3)jUevK>Hi^1xAL_z{+9|naMxS2e60$-3WAx%?^5w>k z_mixvjLC(p1xol#sblg+CmPGWu_jXfYX%Q*upcF-N!7)HuTt37%@AHuPvgx>d5w+*9sS1Ho~+{8rr-mSFdRI#VB`@R}B_aT&T3 zJyP24i#lzxU=zufIp)1P?*YF1Xvamuw^>BzHo_|;??JlzXf0459!Gmvh$D9+`*StixvwL3cr0h3%#ntD*>pDVEpq_&1Ve7pXs%EaG{W)1U zJ9p4Ny%lUI2<~)L9!^9!9eKDA2H|nVNWkD;jC7Uqo;oPxTw9jGpT1LUYVJ#LJpV!& z7?Jxod=1SH?AfkMS%1zf=wjaiKc3@EAu)z}c-|}2h&jMfUMk3a4qC=>X)hlm_|Yph z(|c*}1*9wvp|`N~#uv2{Wbq(A6rR6S-h)WWf*oj{sVl*D0`AaYAf9amo^b@8bp##+ z6eY>Gme~LB}Er{%aAj5Ns5$|a%90E3J-!W34ki9}L01&=Is#|dGSoB50 zHe@J{LSBb|xuE~1=RdVvc7{J#J`t1D>S6>?(R!8Y6b=onULCMwBL;WAj6{;X44t4r zD>82*kdhFRl<(nXdo>cUn_dC-D?5zFM8mT8r&y81C#->FyayIV$l)0(j!W63cE#6b zO}+wxh1o%iGH&vQg0^jqFJ69<=z?fN`!P-XU9HNMZA9d*S130zXV){<66+>JSyES8 zOnoki8Y^1N4-~P+`Gd55S7B7T+baR;i1Lnme;8}O_a;bT7dD;y z#>7rCFv(v=5}%g_UYWxR1oYv*A79bRXRhB-07-;6rtDoxya}^bMPN5}QzxRRV80>Bv`?gUnfji~XX=JN6+<#+&g-gH~?L95rmmkEBVstv;MUojQ(z}Dtq!cne`-Xy9Na&HAh4p6dflPj#NZ3=urDZHWDIvp*b?J)yR>)AK zNWs`d!T=iV^Q0-x=%IzySvDIiaiYSxQMn*MgE*r(05m%oIzqj~d=F z@FshV2*p5rCaXMfM$*Vnr5Sj$9;U>-}<6vORIeB|`SG5KUzq z8D-A!C5JF}e6{|MPw|c|m2E`UN153?)3ATQX>;Ztx+cVVgT#@RO)AXYip$Qc*ydcHuiW<2x7O-!Tg% zHFa@^|2Arg|4*y@NFa<`56ggdt=?S1At+Ylh6slJc*2v3FIWRt@va-Fnet_V+Jo}bUe^-Nv62wjVak68RWVIgCAXzcJ;MViG3mBJc@uy$hf zvtiZp_+k-1HUhKOHMv`=fhGCSc+Cw{%<(?j-K$h?+C6F7J!>M*3L8_X_}AO|g^K#Q z7oFYhqr2#yo7ZFY-vLJA8#^R>3f|i%BzxB?Bc$cWm=g8xYTZN)zZqdZ5WJXfP$ zD$s9PY4?tWG`*iO)hT)!N&L;k`HVFVlxUN;HId`Bkb9a)2q1q(ne;t2?Ymh%Skb7E zPDSnH>rBn-9_e}=1Fz%X^P=^K(PbDmW$M=a{C>F0_8A!$V;bKDWf_c%N*LHLolbv& ziiYKjy_}cZX!w@aI!n3+sHP7?r$3RTfC^)s`g}hQ`sVyQG2MDCV;Q*#2IMUl zt(cKw6A0rPUQQT#ed^Hfn?#rmXi~hZhP<1aOBE}YrJJlB9I7=LSNzJ5pfz?w3>Eg- zVS;3AJ*H-=WMW_%iV_fWyCZvFYI}n`kQOvXM~qYSrEdK?2eQniu*n3-)9U!quNU5( z_+JOvHzVRfu^)!^xZ^8$i!hRp4!307Ihtp#HtM}x#^HvwujXD~+dz?7V_$_FMbxeI znUt&;G}N;8bFEr8@CaWmaPHsm2(MJF2)fE2x+S~=IAd!ve1PZSW`-r`IGj4ODFjPv z`=4$cj*m5)Q*hTSIfgV2L+WX+DG=@qxBk|S%W10u1}hq)if)S6#`n*L=Ei2|8V==b zvmT5ey70f0w5!+udeVD*)NkH*ZcjHnE>M3~kGQQXXhWFksR zYB=e3^{^gL!9BezBj^hyY3EgDb9||2Fr+05U~_%b+tKR{cNH|bm=)LdpPCT zs-1XiX?3n=)K1YYIiAt=r*Vi5m`R=J2H~(6SRW^JIy4EOfBn=DB3v@qXvw;ZbG`Lw zdobDT+`}x}b^!6MBS>%#d2wjt`U?(*m{>E@o z-Br*o(INWSmbdqT64nN0rt}blVON`Kjajq1a1zdZu-mGupb~-QaY;YFhaR``q{_p< z;nqGp6%yaW#axqa!RDEFJB+Pqvq63I1 z?BxY0r~z1MC$H0!xhqzM^hQa%&r@C7+|L)s-8mWLZ&1V>U)`^?Stl})0MmYj^R!wW z?ga(2Mb}t!bH5dpuAGVJ!zsD!Jr}be(`xfpo3w+w=lhCwMewl@*YHEg? z=sn!b{!5$(#{h20h0m14Q{V+3V_8g(5!HsNzjmi-D_yNFCOK91qgrlyq;{G7dtMGP z7DH}cmD=MPxvmI!ezgKs9%c(({Dx43ZS6pdBn~*{9HJe4D#U3Ehye?x zUwHBkidvOYT(oBI<~&@$>-R&V4_i9V^ZLHArNDH-As~!ZI#QeSaGOIEf~k}5p>0GF zGe&HIoVwNOoJ&~80((H0-M&vVeQeOwVP~b)t!T3i(sZTIgrGCd>QdBqnkz28jEO5Z z_RMKFn6;hj(#cq&A(tq(5CRq6H10z%I9+1UU5HcV5(U2t*@O%&cG&|mYmC$`{xFA; zXEs+}a?vqxcQiHcgJXm?U&iW95i0}uN`dIeEJ*)xsJ~Y1TF*IrFLC%vPbi_`==1B4 z1^%ktcd0o{WEQi#v z^}~gGoKgheaHs2rEhKC zPZvi~0xM!gsQEeKxC)2Jo*CW}qn+^#>ZUcnE}2Q?CI*fC!9@3P7quW6L#ctzIl;E( zs^FD5?@!7Ftbt$05FnJCTA6Pp;R>2Lb?f?qHvpTf!u)>)AOqk0+!PuoZo$ImnZ5K} z48!dl9QYZ{rSi%rTR(?O#?yeFX~)h5B6A@Q3ZXKJy*=~Eld~=mPU;3@P6%`m%;=&VBR&WS1o}Ps1oMpUD<}xbXct zERCQ63v(NgEj#E$;4z)+=}*m-;}MEdZYnM+7xkdF(+*`kUD?VQy-0atHe`?)?-|q+ z1Ef+OA*lF9{1EOOUm@zQ2-Vh(58wSlL}`S;T~EdybtJ<|%d@6M7)T>ANjsOIz8mtH z8ex#%(rO0XT0+2`5#SBtB2`M*lxHC##fXbX$~g^Wx@1*{Dwn4_N}AK8>GC(wB`T*O z@mThBo3$B!$e&La0?$Yp;UxuP^%f=cR*wAmF-?&i;gu*V^gvD!uh6?f{5%tPfp@$G;2r43OO-*qEK*c{KdkO2puxr8rSo%0dpdlWBvQ15ZD!K@GO(#`-c z?Hwo@VwF19x1s2f7GPvNaqzji>S(q85_68#Lvc8LTlUPF0%)x0YPRL6h85;Iw%zXi(4lkPydS)t`Qet&DQ3lA z{B*}#Pm^Y_k?E#=Phal&Q5OliFEr_Ovl=+qUg#+qP{^+qRAAXB|YT!V>edq5-_#3L3Iyqa~+YvIc&@<9A5emCn+L#bBvoI4fvNJF-GcYqU zu@EYoI=NXIn-cyuG`1#WB2<~a1u#41+>==EQQCPTei`4Xg~Q~;yAaZrvb6qf z-G$$u@ZT`Y#=_$eL|SmfQrQ@`T~L(3N>c~gi=Y?s+whC2<>?s3;w z&3Qe`RxXoYtm&AZn3%X2N)_^8Zays9EaDTH7PP`F&#^ghAZ;dF`3z}SC;2RzT$MI9 z++9GZ5O63i@iVv%9{xQAg#LAo7>?-_96q7^mk&?dwy@syUw6%>ovkODkyI^PR*ams z)&xqupx(kl1?)5^L4Cnd}V8>LyI z3DG)x3TZ-{4Y%bAm247u3SkWKh$2&!yc;&kO~q>obmQa$VoQ z%*xv&5Lm^G-%@HSqa~Rs z+mM%N=S7paqz#ShO0>;~&LEm4%j^129Elt9%kImC34n3X@#30Wz;UcPiP< zy^?2F@JhWEdWg;@U8^wN4N4qR%{zAPkD2%m0bGL?&nsW#=i7Do2!<41<7wyb673?B zMx=uhoc8`Ynp*ibAKq*gzq88!*Kq%@#<&;-Lb-QAKY?G*Lr%V)p0}{m+vuvGPQzZ` zLGH;1dN&pA$hS`akZF4r_e(4-Rn?+kPFf%lPa&IUPW=zFqOtB@QCiZCweRk~B5XiU zTNF%iF2-$_kMn%nYAo0P_XPW&H708B+>(KFBK9i$+Jo;i0TwQy+;qu3Sf_Nx?aaw( zv+qcHYP~I$(Ds*wZxSEBMg9xlR=n?atxy`3dC@4L-Akjah`X~@kRm5|pvg#_vS&r% z@4=Qh8FCXp!LT8bZw#@TsFS>%kAQ#bE&oGomRn|$OE)#X;%V~3Rso>zARjd|)_P6W z?I9)8AomVd8g1yRkDqsg)-}nFGEiqC&3lKS>*JW@sUbJB;^!mbmYMS3YEbX6T$^F}m;`G=KsWk6mq0GF za6@k?ZNp_b^)oPTTnYUVfp=h6){fbOL{lkw#Rz+{`4d`>3*AHiAVp_%d?`&|oIDq_ zX!uR7F5kl+BdG@@9cQqE;K3C1INac^2`f^NPGe%9=4QT};E_!4OlJG=*vj^^u@QcZ zRf3Rv&^8jh{#O!56UOH5TQP%&83f?yXY_c0!9!J-Nijs!seqtkta!8%LF$+Tx$uF- zq$4SKO`&hC1_oFCphr)ML4f=5h&>n&L5x{KV`NV0a)n&ldlw?luvSq9xswdUEQ6Cj3v+*qo$MY!N z312r!@#ms6DI{+@+8i;i`e$nHi=Bjor=#0C55Y64Nb%-9@ z%j62YaQkapjp;v*Z`l#7A^?nh%0CYu{s~T6y`Q`TJBL=u>7o6*{JRgQ79Ta##=A6E zWPsy`I*S+Mz#{Ea-~bcKkwS-m8?5R$U){ZurKbV#N7ZH5W4-!q^Cxe<#x&SYMP#a$h!{|jcEvl@>us-53rw45)8;>%v1Z?n*r-b@Z7-R0^YX)O! zC+@jt1CO#^tZD9jKE3GHjdAl8tD?dKUMkQZ!u2JWrzZeF=%E$@E0pVaYo>kF6i&q; zq3dvP0`Z-dIrUHDc?GbDKF|FrN5*JKPV4BG#(f9JHZ6SzCpR;SmtK4CXT@70aQ$8X zSH}tPZmDUjJkhqLez*MsZ9iw9NW=bEY3_o#%a_L;h%s#W1=rWI26oW%wd2n9-U^wjL1d7^@$*x2CeUMs39wb*r0m%cHONs<@(;e!Ot~*;*9iG7mYVz z2FMHfnQAgdI%>ew_c8sG(wcGK|8|u))Ov?*WsCj@{%FI1Neq?)%~*c*ZOpR6KkQPc zK6EwiarXgx10mMnszwZDAeZSQ(iCuFO{FOx4fKB$IEBXeGFdgEJEprP5ML)Rw+Z9o z(2#Z1{0qOnEcW(sZjp*;cvn4?jYG>t(uI(7eFXhh@87!GCqraEdv4KkS3kcRp`oQo zD)xesD>&_gwCD!=InmZ<Q(V|mbi1z_>aSzhuV!&uXc5? zb-IzUmK>3BLO*iT&)3y?4+o#!%!+@~=2Jg0l&6Ot@lWE;JFgY~t5=(RA6;l880@qA z);ceJkM$CEZZ9mykWo}izv3zswp$l#t~_D?lkQKm`Retx+I_fqtK{aZBP6zWXYnS^ zJkI!E#Mf4yRPl6=0(^U+E1VK$q6k<#8qp)ORpT!~ z^F0Zp>6j$LIHG4G*RpV2pSDso&3`Fhpfq{qaW%W>rH4@T(^{|rNDR9vK!DlUaGL4? zvjlg81^U;h zE4ytLtl_&fS^{p9ClGR^pFF4$6oHYYsGq>*b#4O1TjEaaph)BObVSq5^yaG6m$+Z{ zR&QruQi%JVWvN8NIXZI%G_ry25&2II;cY76s{fKguad49lUz}4P4&2mg}DCT^aiL1 zIrm-DUcNrJ0y-)*y)$^d3wXT~_}nY_+;jNcn2?5+Vv(7Q;iVz#z2Tv%-C^-_ht@*y zW%2CzrK1Wcw%Z?3T#uy(z}=+Nz1^Y*n8Um(@ij)=?MCEGnWZjzUd^laYm=Pw{-lQ*IEAIAZ^ZOB0`EVF zs&7OMJ#V|ZCoWxAv_mc75;;e40LA~0lfXe~b`I9qu8tY1L`{t;!QQBN4jR-!8pj|X zob!;Xzep?AaPw56?V_SeCE>@d+JcrV!vms1B0yD%JNJ&#UIZj%tbkH9)Pu{LnLuSv zj3IDlWZ*e4^UQO2qhziQi6c@p$=KN3Oa3$}q6j2p_ws`=Dk`h}4yeH!6+P()+1$%B zg~x1dS8BL9O|PZ22RJ)fgJf@DgHW_U_RcOrTN*H%yHzJlWPLZl-K}@@J;R)7ruWyMPl1vit`1gq zU6m(yS{`oW?=RXY8Df2rFUg10U)}`s0O>~^sYP}@tqvP&wGQ+s=qP`9B{=$J_1a$} zcD7CANfyM>%RQa`8XfvkymX&Tl1B@s1|}{h;nyXFk}nM=TK%5wj*aFP)&34L=Ru@n z;iH|a6BLH>7YDu9k)#@DKZ_1fDuoD6@y>aYYE(Y(4v>n%{jRVE9yUx?a_cc_vq%RX zVY|&_c(@xE$JK<_p?&)>HCXgtE$x3`{+c|SO906cJkIFjC33YRf=Toh^4H57$-;A? z$I@z@vG~$J;S0yZK0Q|mDp@37CJmZnp?G^$H;yLVop_W(h$a<7Xz zkIYe{bk!=@und*%hvnVf3f}zr&S&`34I-{~Ia*w0rQ%{U5It>9LK_%Cr;J0PW8Pe6 zT+eCz5Ha$G%EQ(KcFf!q6f)LDh=)kg3g|44K|eo4&@kdsvYIrL(Lpd8ls&@meEqag zIhyE~;~k?{FTt>HD!@pDTLuY=(vaVK7qIr|GfIq0kmjB2tmtx_FF{Sp%A|Ycu?93n zC?wcf+HN*Gj@5ugV$)_M2wQOQx}4SJkMH11sa^ani#Zpb6sRDR)Sj4!WL`PhXva(7c_HNPv6DEkVhX3PURS&xNBc+j2{k+D$`k45h1=d} zCqc#4-f0JQ`J!SvbW{6H5+Ph=PQ3cECwqY4Eaw3hS6>{yRen>()B^yX>l7UhpC6^#|r5O)t=yJc>cwt7+`AC^E9 z;G6O%&ynE@4#U~%xLtKdzYVO|<@XQYRGQv>?(!hNadf8EZ`eJKUWKPB^mQnm!$#nn zP9wptPrzr?Of5pSnA|_p-)n`q#-G><2Xmzz^;so|)nw0iSt*MX+g;ULF135o0$ZJg z7Rz6ipO(}=tB*Wy0b`wR(e?@v*JpLkl6ARqQpo_4gRJry0u2UW}+#_ znc7|gKgW>a8eKFxwj`3`45=hC2R{7PCXg@Xs)VK*iKI;D`oLlF7%^4Adb`3Jr#|t4 z8nT@oRlR<_>etCZYozMnE7W=94;rUAeBf%rICUf>d1ty+q@ggkVA>V86jfdM=#P2< zjn8VMStX(?tgx}S;KS)P9Dd zCGV*z(@IF(L5=4<$o~G#o9CSHpSqRgm^`5TG=9V57Z%$&VB2=u6D9?Me)Qd%+rGsj z#)M9^?apjDqlmnTCknRlI!~=#_EN=^Q=bu{+=0fGa`zh#&LZQ>#KvB0I0JSL@#Y!@ zK0?bxmlcK<36&rbV04fqVao!^9hv#5axdBv!)Nua`qN+6&8POQ%DqiGhW}1PCLjUS zjc;VoQ#jT4giU-f1*&Cb`p+-sUmUp=?XfP{U53vNnCHKOYixN*2` zV+kN1u`oMe+`#{O#31Kiy5NG|pkGVNx!0C~=q*Q}2B=%N_aP%)VT@VI)lZ0VP!MFq zhCCO%XqUiL6V`TC*v3;kgzk5tB~Ee%`Mdq47rjVdmp0x-ufVfemIlVpAPD@3t%LG~ z-P)^|a7|?y+6cem=Np||WeAPHb`F^RoOePSw^|{&3+-msm=>mv95yN%V8_^=q)tg= z($}q83#}rr;7P=I%t!?|kzeX_sf-?W3`2T%M3o&n43Q$yDp5vWX_ND8M#l*q6hN%@ z?U7Q6k;mjkUY5e=JYDr>ZSFyw8<8g7$~vB+!MoQh326Kh*zq_-tBhOSHlCoS=m2Qv z9#Pw_zrpLr7+QIpTogrW_wsR+iG zSlw@#ITajC?Q|{ z+_eDK_&G2h(QITHoxU}{F&;a_x&;kJ33*%Nm{&a&{Qc?nG0%HEF;5Tl+jD8RC{d<(AsR6@swg~Hw_pn!~ zZ^3H)klAo(3>@bq(YlTZ{1CLwjuY|`PaXQ3id1Pp1?Ti8mlSV3jn?(AB3p!=WPpt$ znC$aqI8o8&wi(S)yWoOR0i3XO`iD*{RYi;j|qXgW5<}a1$C9RwsN`FHi7c zUnm(-bdre&_a^^l=LHJBB9(rRCi|j|^Gy^_5>8fgV|ohou`w$tsKG}NrA>?R8&l&8 zZ8Nw7=&_;B&xlAwp_gDv0hAlQa72lBYrr3(Zi0GR0i>60B7e#~C?pv_6b55WKO_f< zy@bDXGl9z7g+E}NVWB9;S0poM{fmX#ms0?>GP` zVn4JS*Kp5cfcs3Ua$lVL^5>6R8~p`00!Dp^&zIPDxcDAykF%ipAeQYR3{~~AJUIS~ zH08hbhzJ8=rKX&6B78!|UyL98_mkoP4#I(}hMEvOyTD##KnpRx_&YK{B-~zvH~iTR za7_3~xz~W~4}Sx)_l7ioJQZ4-93>foY{D7O)xyY+U|XE?8Su;w5XsLA0Mf0LA6E&Q zXRUnYiEF14O)Dqs3%-U*`eQkA7~Kx651Ds|yl2Sc9o2zM3o#2Og>yk#jx|ZK1D}!B zMzQ4_uBSUjJDDnG^SvVEs1J9PG4Fp3K)FqSA0Yu72eJJ9ZDgP0z z`}t)z`hU2C*$F$E-pSSt*9skn;dR! zr=R5J8f=rBIbvXChC_3tmlV>Pz4anEiNWPUXfOgGq0{xy)(H+MpQ#3@3?ihvVh~c zIK}Sp9gV_`#vXleL(NIL@t81~T8Q-`p3MNQn+$hEfGXr3f*a59?Wpv)-?yM6J;J;Z z&yWBdJ%2$Tek1vyxl?mg{TZPT8Y0ANO(=TC zE$o5)O-lbCV-=`~FQqt240Zn`i{kU7^YklEp%AfzIKK{SdrBN$jI@5-$ z&6G>FyfA{gCP+}dQ7dj0R{s}aXk#xdoAyR&OEfpymIgm;b*I7pfTP z0nK0K*~>e=a$ltfrp8kedj!HKY%|;F!gax)Q%GLm_wFGxS@}JDAzNS{wnX>rC!JVN zMbcRIkJ};dgnMy>_(Jc7fTD1F(N5SKD5M^=n;v4lsAqko9q8lN6{5Vh{Js&K*(~`eB`bp_iLNp_?2OBF^ z_dy7$Nk>jUc)~4|V*1!mY_w{H^wb)(U8TYw?>3F{g%O`4aO6*l$?BwJwaV?cf?OZ5 zmtCZb1BAu2SCY$K)W{m*QI2wdz!wDvqX!E>Qh&3-d6i;X4Y>t=Bb%}#(~!*Z_6I7J zAB`%nWTPKk#)Dsg_b=-!GFGavjcA7^w(k>!j3xL`A7KUaBZ*|nljRE8ACj{*9cvp4 zwvOwzxHZ!<8y$hwvoXwl{-91Hfimqeb+?U{zj;^qNTo?L8thMq?S4kw- z@2IXTBzrQ>2%fbsA9(ye&_(RCE8LpfOLi^Iy@VKfA;nA#n~kQy!A>NaF}26M&QbU| z@=BqJ$rSF6GVT(K*~6YU5Qa8v(uO-Zdygyw?S2=B9W#K?6-rG=OX^n*+y8lP9Ma1)aPEUve z$2G8>pPDq@&VOl2-N+H}P)^D#%qr(9?yW%zK8Jxr*6l_SVI+@&`??U3IE0J~rc!{* ze^~AWIahexPB47eNH5Se}lbP=TrX`a+4ksl`9yZ)7%_lon|yy_{447jb#%#g}Hkiz>0% zCS0V+a9H)?)Ri#3ct+^z{FAs}>S?>z82$!nq%WWKyo_f8=K(n0tlx+%=eu?p?wc^V zClTqivFzlhw1wkfbd>xj4Zdm4kW&9#!9tI6iWWMA}#maR+or|yUf4bZJ?j=E7BXjP){z6GPnDij zY8WcB@d@1O8_uCDShd>8vS#-W>VH1|H>uU86;f#%?B(W*#?u0{Jrt)QHrh_1N&Z2yiR%i2RTobLkjudP#M6Pcc1(Sk*b78~bp9{sAch6mw# z6^k_M2d|>^I!w>CG;N*`NTUaZhEbiyiwz=|!u8m^#+JzVVbxr*6%uqYgNrSC`5-`bJlam0B#G`(BJI z(5gAp8m)3riA}#3Exu+U3n<;OHD#D<*_c^t?d)WlkLFaT-`v*hYTbdiZ93?~vc5Tm z2{$QRpJ=UqJLuMsZN13oZ?64drOgq2aCs?e=wS zcEZal$-*5mn=i%r*C*J|fj&iRYGnP+`kK! zEI43OyxKN`-jdHYRWH)Uele+D96l}x;*sem*OygZw4qV2Zp@0Y>e{ecY-my|(w%Opd{Ao&s4II02Z~(Q zA`Uv+t6(=7xp#%*xic-t#62}uZiadl#HtIbS9!X(6^|g%OcMyhP8r{vE}UL7&uUrQ z)4kcxc7GX?5U)A(U!MFMoP8t+XAe0yYPl-A$PIsVb<{_uY&idb7wcnQr-=Qzpbg77 zesP=6?@UKAOu6M~>YS2Q%>PDMNszb@*PyMluJQPh{@DT)`^k*)l}$M0mfU^7wf?X5 zv)(syBe)%qlCh3CHE%bdE^;V#dU{ng6!gsb5c!Yca5yFFm3%6;A%#ml$EM96XAM!2 z+Y^Xptr?Y)lYf!M4*5DrJw2NcXz{4}D@lhHP_&ZE?Q3ZZYX9oW*FX7xLo!*u&n5+2&iL#-xd z-uEG?ow!8AzZ@QgKLfa}`B(?G(>5G;*M-K8mV3@t4JYdpC>G%PMn~OsbWIp6qkxD%zUhJXi7@XO@Rn!w<1p<4(8! zTV11#J$4tv@p9ky=^?`j&}i(VH?}V|jkQ(kfcBU2?Z1IyV&}vxHYR(BSfB{`F~`N6 zLo(Qz9{a~+zfW)Z&vae`UBj|Z&Ryej|L(({$56^(6H)D!&G?0@lLyY;m>CU2ZSLly zIsG7Z-`ucBw(j1TjVH(Lntec-?hv|^*3pXgMVwLxgl~{J;fcA^z*{2AEPqpyyO4IQ z92T8Yhx|pX9r)F3Mh`mxFYYSi-*iax^wJkF~`bgLJfVlz@Z z;6`?w`)M=uD-(Q7CM%KIng z6iY3w{CA7@4(Lk%tv1^?KVT@YKmE`(TSts@@8Z-RjyY}pH{9{l%yaMNXH-%FYH}N| zj~?^ghWmNO+oJ^2utd)Kpiix7Gw;Cppzd)rO~ddQQ^7IL-cW)rJCYSkhb-^DiPW(u z?fd)$OQ)~5dY7{C1xmMg{W=By6YRzRV#OQYPE_YD!^ z{p04Zg42h&`B&)C)oR>T_K3#2bI@VScH3LAZ`b3WCj~9~;}(ii-^93{b1oZN^NVoS z;W!QfJzQ?T&Rd7K%k#rgAdVeDo!|AA^Vd6i=hue5-Chslp>vLY=OaV3U(}y3QzO~a z{qgy$wV9j4l<6t{(wnqwfi5~@v2**mD`Jrk9C#q&7KL1l?5DZam(Dof+vF5K`3K+U z?qg2R>%+|F&2!KAjKJ<|#=So8;d`z_w*S|7p-s=S!0zMr`Z(sAfb65D#f$S{PuT;< zXrA?p4$!#r>J+n+0?^}+mACkUob=wv`}vMC{b%(U(2c<@;C;Azm)GLY5Hhu`*H+=@ z@q*g*bz~)=?3?7OYj8D%;<)}VZnL+(;EeYfm#U1LlWLlQ?Cl})Imokbig-s zcz`AZ+$B&G=bsJ+1^4ee+uk)|(O4=(E(C`z(ISs$d)1yv zoIqF#&(W3r7PU)xnGx~5l50&iMqAy5WMolj!v%)B!u)H3lZm%=EXhvN zKm?|kh)l_aO4G!@JLvrlI2hv7mM3q?5Tg; zU=(5>1W_njY@#qS<5UMJ5GJ(_6cv8g7Xx(;PIqz83+V?vQ9w9peBPh{78tLF!KhL~ z!~%&@v z*ubCps;p~iLgz~s`d~Gcv)#~ZaI9;Xg5CwOtWR-{ zQ?v=H6(|1YJ~Opokq23$UgQhg$RG14HS@~0Mj^-}Q}lsjF^>&o5L&O8bqjI}75ApI zz+qk64sa_G_x@>7H)l}?Y5PaK1Gcee!D6LPW1Ve{Enl}})-B-CD&~=ThJM+i4*cgE zjm%|BGY=0#8sVcGHL)P)LP4u4Se4sLsRpKFE&U;6jzgzBbR-)wV3jgorydj^2F6|~ z+O3IxY1CT@!VuQ&hkH~8CbyA}uyB3(E1>*evYPNoW0O_SMzjL+H3=xji$Q}(fJ4F@ zL&=YG%tu}hYDZE%yU7)@5%MMQq;yZTZx0 z;Lw_Vm@J)cNCAoIyC*VhAc%KOf{vfssuvpC;S^$ws>rF`ZmoT%-0JlK_;Dmci}y9{ zrDlA&=xaWUXQ23jcafl?1_bD>XGp((LY;eU2$NgwcL$>SK(whLy0{sL>Xxw_QqW@Q z;0IO_1P(>CtX6t;CRppF3^!;>h{^J768?Tq>O-etGYuq@5exH4|J~z73Qfw~${XMk zd{`PUiHyw7raiI2V1`d6%(>s`Ww^$0XFtE7fLSJYxR$u4DJ*t8ji-2OfrQ}*yaC*r z_$B;?lp+6h75b<_o9mNqBq1Z^Zlv6HV*22K?_`S=G=j zH10zWz4kUatJvtN5oWD!>6!|OE0$7Az{GTZ=-xiOQwJ%Cc|`o z!>#7_T@seXvDO9kJ{F0yHU^w~EU z0aBJ-#ZM&yNngT;zy&BJ0u5aL-j8P^gnQJpvf(II^NM4mMTSM1|CkO=(K-wz4W*hr z?q^h*ecZ1t!I7t#EGWz0UaXLHjHq=kW8U~1?Mb(U)`J4G!$KPb@v1#PuUC7C;U)9l@*xoDbD0A$FD6`rVc zrR-0&&zlyfykq{|=|x?1F5~iCH4RRA|E#~$qe&n z#B0n2F|G9-O%u7kI7I5?cZb%Cg9}4ZP%DUGDL!_WzxDf{+A0Kx2~YmWTUG5vdS`a=*x2I=-BBjpbo`55u|ZZ|xA8^gff{v?@B;1YdtZSBlZX8)w>;Sdl+W^5#)Lje zQIX5=M=Ej&B!P7II~o3A(y!4fS{Wm zR)Iq|!q0`k4BD7Xs6I6s@uLy$RTDACeb-WyeykXE8uyI`hR zT%)0KsZlKz*8*1PT@>q6-4#cxGe`6WMLVhMyU89ZN&i`KC8&-^G%`^9^W{o-JQU4U zmS2bq6}6=$|EJEM0PrbfQjuC1@*!6FP94+$9_paLC0?q^F0Dp{{l2#fXVBZjcRMtw z<17|uzT?-^Y9HwC1iTyeUYED7v!PX3d87u9v~gFJ$mRv@lh;CtPjSmIB))WlV-a9N z1twX5@5iT6CE(aCL&OU780!IF-kLO`S1~bahA~s_oVV9UMK8H()Nh7;BLg4)P z!WO(97d^k#VoBOBuugA}%toDvN1cpMnut#Vjj*4gDZmg6wBw&wb{ByFh?ua83!>fW zqk$^6+OUa~f_xLWu_V#N$ksBmv@MN<*n>l}(2X#^Bg23n7?>AZ@moq^WK0kV{z}h= zg&rQ(tb+UyS=>(?%~(|-CY0vbZ}KEJy$<6+gn@q zJ!rShNPO)zGf_~^#LLXRz_PN)!`$d(@Ymc&@LXvy60snxK*rxZjMr%4LXnX1%j~_O zVU9Bu8%@(FjCVN@KDJuXv+C3s1SY2seWt$G!Q6UXk?NYPW8xL}SkP&FgDoiT2lH?i z5d}z)K6&EsC_-Giu0;!$(hpLTu?F54eWX57$8t)OODXF5-HOOGv2wl!&cRHCw6zg~^acQ?3RrEb`nd$x-91n}=mM zZ|q-_r|HB+M`)nqXOs<&i$ll`qq6A~m|Lkk=^PU+vTchNeQUgSxc6%3tvg2OCK zHEisjgI@)a?0mChC8lSN%3x()wK8Ki4YOexYlDEEFFa9#nqOyGtAjVucj95}#F!q~ zC!*ygIqn+=F%cM~ji!ep?MAHyA?|ZhpS_lru$d4(RUJ*&!e6c;PN%LaJO@Fp0<}!b z?d!YaMfhAe=K<{jHFz(?Tyig3U?jd|61ij(@iPs3UxRO(hHu-3_xu&_v7N!hLIf3j zn2P{CEZ1zEc#I}Iz!JbjAd9=>0Gq9$YMnP0 zAS>A?z9;p9*pJ zpi)8HRG5w2O~#MosY?3|P&w7QAcaZ-s-nZKCnJ6O;a^lB0Jn`FF8`5OpPTS)1Mn~K zghWLmrw7yGjEapPIuN3>kMb-BcV;7)AQ8)tQOL4rwmtCBhyfe(K9QN-cqi(_9#qfv z=|tO5AuZkZn=9F^4%)gE7c!q9j8lzGw#r$14u~0K_z`?-Nqy95`fA*x6r!WJDG>L# z0m2tGf4Q)so9#S`&#MFb{7L|6KJ*5^c^MUG@{^+f$+NR~=3?C*2mIc@xl=>97q7!n zV5w}F5IP{SFXY+`9|1G`E3-Os;Kh>E!WnOKPd5DpHR5cDxkVaqPS#cQA@VV>&lilU zh*?cnclWtf-M3CmI3{~H3LQ>-q8tF=IVc-Ij!d6-T+AY|Ek7*qVgs*W>C6uO z_`5r|XWo@n`)tUBZ%#`997<7&gHuXP>-fbx@cK57UqpwD6eo3L$=C-vGJanD%WGe{ zUSUuEFAr`gjknkIX+Mm-&i&zoe)h{L!2^db)2ufUh}%Tkjlxn;)1lJBuQ;ZQt~e~z$^~ij7$rqT1+&(bD^K8$AK(Kl1a;< zF%fd>rR?_md{PCwM!$b{)`Enj<$CD)z3hx+wDOr$`}^RkzrE`gUHgO2U1YL&551!Q zV|ej9Kp13SVj-=4Laf0Ccy`};6&)WVg5b>|!!9FYBNH$ae+wo%uzrIEo{4y#$@nX9 zlVHPkw2pdK8uJO zD2n~Z=B(^NJ&%5w(KR|h7&^TKvnCis#zEoF7Br+ONzid=d_n60Co^sGd)%|$zDg^>rJt?@>7sK0`K z&psRmIGF6`c6Y0GwXT==yGT+!(&4}K!@ovP$tOHVLWlP|^}};*!&iXzoAO{t@eOp% zJ{>Kf?qS0?PKLCq3G46>U4rd+pvB#}Y8lf_H)9SlDSKJ+IT$?!Rdwsx`k$r1Fyf#X zT&orQ6)Lmvtq;D=%|Okhe6@2#`tj68)KkluVi_3@dhvLb9hs z*vcKQ;?glde9S*q3fDGasCbXAp?B%@C)6!hwSF0W7dlQ1k|2oV9+sbgAndnCXJ5ES z5%Nu`L&#hz2RL#&ni6XpKj;zNZX#{mq&_%uvy4h|Ti?im{e|z(*BPOabr0sLJ-y0plSC(h>!1TC>%>8KA@ECM)}?#`LKZ%vYuoi zBZNS{fh8&)!W6U{bG&=Fc#@o~fcH3VVAV7 zQC%YkXI%(#c?@oBGuw(@uoBmT24N0_L0%IQ=IQ4A!iw$I{Pg;xe_t}&!y)aHg?wWO zh3vccj`8hJ4-W_SCL{SiyHU8o^8y(+;-JXiit>71u^etTuY1G+(=p-tAfPe(wMAcZ z@NMgq$M__BdL(=5;()rC@VDsjx0rCK=y0f*@ITSv+rN-|*ogh0LJHgo*qL7hNcNt@ z0VHN(_-xFc6XDO_vx$Pl2oni1D<^Q?;zr~S7oG~?R>x4Y+1jS$OM2MuTWPJ3j2}vu zCcHRb{?-t7^QjyL$V5RivSfdQ2ERPcjxQe_#VuAt@ApBR~z zhF-D>Of+%^$PmaO^rzYR*FWAjVC(#=Dt!1c2Iiin@Lk}b6jD@PdNLX8e=b~RmtcD z8v5=(EpqeyFu}bP0F>sIJzuY@+oP!R(zk0x!ccy7-ZFg8j(MHA&N1(s=C#xcTkYjK zh4encF&I#*hl{Bn7)^CKN8SZ+s&E zf>aSrDWXv3?&(VSpjpmA@o3y>RsH5$S%zimMYjop@b;(d<97P zj7T4ugbz@In@hxVaexPC1fqiH6*!*#rPlpc$le@jHlqC^t;Ay+g#ND6FincdR>gcwbzCitSaRQKq9o zvkM2I2*(@9LWKU>gF~g2oAFmk7KI_FM2bKr@VAg8RSZT{G1?;tlemBL?PyrGE}%0^ zTy4v^;@I}N${3G?omGIYe`&GRpAlpsQo2dcTcFc#`B$1JSv9IPIq_Uu=B1g2Ij*hU zT&=(Sue8F%cRcA~WysbJzwAfjQVOct-Yc`nd{tFnJCEPp?epSBYM!+@p4=0vcsr&n z!Gv*pD@AFht(lEXOK0w2PTp|aYjd{hGFxKmDorlp;Z1cXnS!d!b7j&*P|+2e+5M$W zdp;)oE{Xaw??AKHl2;|Ctdec(&PBdZbU`d|JjO*wIj7aWGBtAnZ$^~htOx)q7Jj}x z^_Bt2l)=42O>kt+yZx7PiuShD&}>fhr&fuEq`*6!vL|<9_lju+`EGRxFl%%NA|5jm z-WQRz(*>lVej!lI;rEWI3r($dWlFeXEu<$0G042Y|Y;+vjGw zKv0@Wg~Ret29&Q#4955Cmrp{2v~eRddPI8jKC(?rb&lUaA5az(}$TZY+L?#(jPEU_W$A0ESB!bYIQ1vWy$ z+>+xD10pV*gr@qj8nx&$$KC=QV7m0UA{w?HiFSzMGvyvMo}b$QDIT+IA%dZzYP%P{ zhhwGqUAkHWkOVq*hPtinw>u!Pgp2VV`B~74I9t#WS|kjEc@lw zJPS2klldrS)XRO9 z-F`WaMnZS^IVGFl5;L!9ak@}4)mOKl)sGlv;!SY~`*dfAdS)G2iuSJN{}I#!EBvv> zpi#z)WjkgkU=cHP5?4e|3}fEP*OY2-WxGe)vBZ zEw-gOx`&MKDDE(h*5T)yj{+={GB3PA;Nml#v7fy*c_*AL-N&T?WrT6~3>J&&`~KzX zCWu>19h!yii*5vX+>hDuMxqC8G#h?G)$udfCoQ68SmYqtT;0Lt$9U9|ooK!R46dJ2nQ2#u$m!8YR@O2Ij+{SVOT>ogtr&DP@kvjBD zI(9Y?nG11H2$eCSoal3&Ia~1qjZ!xlBj=D18s`WS`!=&2kQ;0xIYLwcOIuv}-4h*+ zbt@wigP;u%uhNgAwxZ`y4dh+Zd5bbzln}XK&$UV#*lsvKckPlWp|eqDbjm>?F12nL z0JJnhC$yi+s9p*HZvFX$b(cPP53cf7ZNSnf>VYVfl=8|BZX)oQ z#hLtLYt_W%G?R9t;-Yd<4{AG6sB+Mgt&Gu&l&9xH2AT1mL3d(+RLUbnUqVQJ2zQRJ z5OsfqYVW{@-+m#YG(yx7LdG85NQRY^XU&W-kVazSb~Z-$Zpddwgjq-!X*GjhEg|5( z2=E4Rkt)S(%CiuWV8oRZ<(vjGT{5aemCMr|CCO^GT>A>TMCCNxJf0)2-P#5}`Qo1s>1Kdcnl1<4H27YTwC0}3+khDKs%1w$Zt;up}G#` zE4p^~{8dn10@HTV&={yJVbh&z-gpyxt2kOou7A&zP#xuRfEn2gy| zR*6^=aq3Gw3KPwD(^Ow;u_3CW{i`x z8$}R5QxKGIkf;RWVIB}lBx3ubK+>oWb$7f&~xA2;7UzzZ~;9oxvd*~dX&oP|^XisLc6k3L~8z)ln$zKvCP7tWt zFkMW*V=(5=pno&Wt~`wcNk@f_^Gt1%x7~WAWT{$DL?&C^JTR%uv}*0edfyCNd&gVH zoqj7QlCYHbf1VisrB&GL0>_fDH0tEs>`(p%$;EmCt1af!mSoNwJFz4$LO34+5ilA{gHeC4POR`9g=z& zYYRDd(sK+qHBPPNr&f<~ef||Ry-UuT#I+3G0L-+P(m)JNB1#&_GhOvnT4`z>tmyp|7~-t}GTuu5|)5Mjvmf^`_2H|=UxpRPc%3?#@+rYVd|8O*u~ z$M{iUaq9DX5M2bymt_pUA43t5v3^heRez^ATdu@wIXee0=%Cl_dE^f|4-OaLpNjMb z@N=OPNO#+5aD5+$bh6LRSWEIyWVGIFPrZ3M4E`(I)vK>Q=k~%sA=M>D3&RG|1NrBF z6BdiR+m*K%Uu%-Q-9{d%} z+eQ$k`asin6Cedz^e~5@uz^aJ6en?w9>PenQ`f2!Q3;A%f)%-xneY|vu50Cz|D85* zzu`(2rC4rl^w2{WAZKT}^Uci8V|j9Na`G0B<2ZNmIJKJdF@K1wluSmkEO>2s)IP+@ z8wmYbdH%p0&np02#phE1@=TJ5VzLavJmb{f!|OGK`MC@O2*z^mLi07yie{;Gl#bO0 zSbgYvecn|a*TK}@$7`m(G~xe+fBih{p>u$~!E_#=U75;4Xc^E>6idY?e@2)%K_F_w zbUFc#!I(RsbAQaPJc@it2f2>2RBh9f?TvxVGqtfh*c!O~4L^^Ajm+rW=%*oToqlNK zS-8Rg&Y#O==FG&!yzEP*#8|G(%P%2jt!)UEG+rcX%#~utQgLaajj~_92l0&W13fe` z)yWf@Tr;MdEo!&7Z{6J9Y*V}0`q6Ix0%U;0QaC62RevPXE`J1Km0SL6kYSeBV|gTF z>ZLl;lXJGS_>M@Tcv|q>O#1F`cbd(nX90PK4U*-*0_i>I-)XhCy#3DBX1#T5zR`x! z@>f7SThexcJ?P3%m{`+e5u2$8Z1E!{Nulj%^0Oq5V!_#9r8t@gVR#1f3)Xt6K-;5S z6e5n{@P9n9L>kaAO5{T$mF5{*3%RL?Cog3|fhm}OM;oWq!Blf~{@q*`%Lrdnfi#7| znhiL^x&^yA3p$W15NwWLk_CS&!}-yPGygfM%oM1RFe_ki8b)J-DrA_TEFPA<(rcK7VmC!6a@e& z&7EV9rdh=;p;c~nDpRf-*XWFlLPY$3a3r5Y!jnE?hol}w+Cnaz^a8`}i&Ja$sa0cK zo!@|D=Zv!^aUp{@0W<5GG!!Efi-LyoSeG4?)*4!e>$HQw4n;ARnyQty;K~BiuEGw) z?|*bJN^xh;K#S33K=-Sl_vNt&q#q?BrZrBhxDG25q1Wl4Y{oNPhw>QRdA&@|3;Dq5 zUDZ;BRhnCY2m-Db?8D%^X_m9W<4nu4g1{=A!Tj34C|r#k-$OQ(VIWD&vd z#}Gthtlu+#)e+8?EAc|kF2D=g>vek_@_&ZzgZ(A=XCk=-{6gpi(%p6%T-19and-SW z(ULkSGHN%PGjE=a{Qru&YV}p;++O&1qrAjuY1ly4K`!#&V5PW^oqJ#L1vdRXu@Wn< zKJH|DLwC3AWZcNe3VaIEJjPvG4!iaVNas-c&y`8YE`0{V+ge+<+uaWR1pom5|9^M^ z;$UE8;9y{2h%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrGU5K+-NIP?HfzO9C-SQE;fQ zuVYYTe2Alyk88ZYlb5SAL<=WS0V~4|ASqnvsn`04Ni? zL5i(TQ$#3&5@XjR#B~?lqhY@lA?$) zX00iP60;bC7-9%PBtPHx{VRTJy}4`UIrpBk_qpqwbN7Dk-uLjIIdi6ro9Xl)dV1VG zMQf+mP&Xg%3##fW*Hu(6-1c|#e04!hUG2hkEug9zQ0=;^`h~|%uLIm1oi03l;plNe z^}^$O7eG!gFPOY?dvhv@t7AD;bLPwk#Q%XaJRJWQm81SI1~IK?b7W1oQ>xKCqW3jN z-rV16jO9}?;0xhuJm6&#V2=11cza8MFXT3WF9dMyroe^s!>3IqJC6;v&bw(0jf{i< zJ$6oh<~aR_PMpyt_GYMmPexVOpZ*}<2St~vja-$U%j+f3*Qrjd&Ay{sHdx2(D8bpf z4QCmSy@kbwI380YcQg7#-t=B!6Yc|T@L_wV*Le@m_4=WwQ@#m9_I$L zt+@LJ)(>o;3Ye?Z{hQ~$)`Y=6*Bp3k+!Ez03MSOn)v=>%i2(_9$Zs_;-N0mVBo2ExOm0s2>) z*Dn+{tFi|EcW~OReKu(gobD6&|GNEugZ%%N)c+42AkTlAnG=aiytI5Hy_~?nK#vWa z&7|pZx!B^JZq&y(10b>(G^Td>SN)oh+($1BQ#Q~C(r<3<9KRX#j7lhcz4NED%TxJ$ z>8_UxZ`13-5LL6zHbMAoHQ4Efn?> zce^c8N%2pLGvIdF!bzzRi@5#rr^CZp{M@3!s}JUJNtFtT_+M2eS}W#RrBMpb=A=z) zx|>Gy(NJ*>9bK2C4l)v>*1PDILLAu~Ck1XDeh&CEQTlU1TUfIJ!diE9UrJaMZ&APC zd1WTYoj8+Yu=}TG8YiAp490z%x!dJnnObCWV)tFOBJ{^NlC2`H#fvd=^xkwq2>Ldt z*kL=rcHWAP4(aGxKHC8rQDPV00BuHC4`(PzlWvIt#mX+7Lbq{3=VL3et7D-$b}|W_ zZ%s?eLLPQ5TTFW%^WVubZu0e?`r9S3A;Chn@xWw88bO(59npV-X-l*)mMR-^)d^al10tvzNxvN>U&D0ikHeMRuPrfvCwd8>n@)3hXaltFoF^ZF(|IG$W zjz{}Mh2XQ3T;8xLbV&nhY&z$rYrfpz*=o&SlXd(v<85Sj#OmE|sznX?_oc7$NqJX~ zuvg{Jg!Sr5ZE>^Xp&P@Mi7QQ=K~@LS*-ra%w{3P7t}A^GTAsn_j8zZ1HV9HN2c;$s zE375ia%U^=Dn8q2tfn8ps|-FTIoCgJa9$Wb7@D(xU8N+!lh7f-QKc2whr)iek(nhdmLWuG)5hY zD_s*BO$3JezNMsIY;qSfI6yVt9^EVbKt0R(Nnz5^bL(_z;Li2jZ6AM!{?eBA7s|7ejgW^iRSk-^hcFS1E#R`Y^0(Zhpl?+Tu+&S{b_)YUF z8Z~xoizE?}K4FKS+%!79XcL~Z^X^8>Vqsd0z57<~`*m6S~zFg7N;zN%gv6ITfX4A$+LZKWZdikbphI40gZ0Q;enkH?A z9H69sdJ;x!Y5wEmZB1X@G7HPU6pd(xcy*;u?>p$h3SREb7YqiC2Q9+s2Tj>rC$M(0 zw^5Esj4zX((Uv0vl1(Ase(!JC$47i-*t(@S9sfG!b=(57X!VUAml$Ll?N2KA6nanF zYl$bZI(&vZ)Ws?E3{00js#F&V+f8wqk3lwTi1%34q5UXXCUq|U^j@d3N#+tW;995F zPnR;r>Yl{o%x4}NPr7D|zq=4)H?ylAT!cWs*eo8GqRj#z&)u(l2pM_Qu!#OW-j{gX zu)kl6EOW#WNcGcNCHUsf(wGZbCquBGE=Ao)rxAm!myaCwZ-6TIk2mqXshL$fp#4p^ z_VAdH#=$|Spc>Pz@q&TI5tChqgw98$Gj;B8v6&Op;8II7a0IpI2%R2|b`l=(trkAf z-}JD`c#8TR`0xc_ww|9B9urnvaqqdwmMA4H!d<(;rD%C@ZhAiv^C{vKXW`%xto z@dkP)P~>YT+RQ(Y$qid;kj~?1ruLX`oR_1;{6zeLR-deB2@;|vQ{MR5LpwamzH#K= zt6}}vTm9Dq-Uls947jS9Pibmxhe`eo_y=?;H;?8>YwJ?dJ@&ww($fbY6uYM)I49&EyPyKd(A-`mrLE0fw} zML%r=^c7oW?Zd)0`uJQ#-wPcE>jix|*s=}3!4qtK=Cg_{jw}$i-+^+uqCV>4tz+@x zC-uin&)y*@o_eS>HLt^@(z|+ldiia#VXH!vd8P?{u=b=7XkT)It*sD#ZuI*^wqM3v zS0k!Dw&ae}TUBhv?%c;hmC-vgt0fh%-X!}+BK31^1y|IU4}UJKyd;s{R0<#y;!NIq zCQ7ZU%Z#=7M*G)Ix{g(G1^k!(u^`(XATm}ZEE!vFO~G9TL0s+xWb@YO?7!_fChiN= z^S<^{+{c|CtFn9r%0Os&T5Z+0$k`Vkxp1tVL@+Zv0bTUcT*T<*qJz)2zq2EReb(91 zC*tNA@BbLJKIKJuNw3q?<;j)(Qo_Hpk55~;VBmq>MH2zm-gCxRE6k6Ir>=Ur7{jPO z-Ms$RSZMgdnC`Ezhl_0k`zYb+K(=at?}kSxwXMOAeQAqtyy@YSw0O&mk!StmhF&S5 zmhAznN@708EDHJ_j>nHv8ok<~6$@kXzrteT6n~!tUh_1%^y|bOv{k-w&LCG^tJ1PtT*2*Y2n^`0w~+MbHHRkdQ|LGj$02$ z8oBhHeW1|44vO#H^y`W#Bde)nRYLlHo>Sq5C2fL7vE?>B^pm?Fh!J3-Vxr@vRwmqOSA_ouz$;2C{h(9YLco!i$-pRFcT|tm`fUO4mq{ zs^wypJnvvs$)mfFRMM_#1|YpT7GM?7yIZ~RCA%ys!NeRE6u>QmVm4Hge36~D6pawr zg$l2<`2^JeF#q$|89zVfZ^o3=xeBc~T>>*~{>5L6{*4@;_YG!bfgVB782ZJ1^{uxpnV^kjfxMhOdDjr*{R0;>A&887+Ny0?{{`hYOwPF48*!ISY1N11ov5G z_{JU51e@|pMGBLi5rZ$A9ThA49EaZ}4#YVpSuzNyYN6U zGQ_Cv?M7?_A{P8?%c&X>y8#p)YZ48(J3Fvn(V)s!JqvmsIy_DfetxW_P|X+`hd&sw z_}Nw#v7vZlQmp>fOZsK9<;6*nEAI}s%Iy!f{KCp&q=rCCMhb*H zXwF9_cZW8FgG|-ZakhQBENLDa40)G>u;;_gzd+UxTLd7h55Nm*+<)^<^xrXU_3`wzVnw0da;6#V$PX!#h zJl?LO#f(~7_Fka!3)3@Ws6i3haT#6We=M-4pP|=UeDNyZM|in zf7w3AV+gPkE08LhR>cxvze+2;Vf;JT?p;n!D+-ePSbwWVe+lYke4}2+ZRTNX<@pEMI9>dRrn&QC9K5;I-ial5z^oEOhF9gXQRT2cd-J_uDd{`V-H#ls z`u&!*+wLnl_2vKR_twk%%hsz@=!7Q3yi9no`}dP*D1KF4e*VzwTT%~CU+}&4%rizM zrN0pAh7zp$D(Tv0DJyO2XW9fwl>yD)n@pSZ2fDsAn(n>4`*C;S55M z3|`zjEWVRt4L5AxzAN(Yx~|vrA4$IJUJp(B62J~TBzq!C61m)FV(+FH*5U*xtIcB5l{?ReI-0ozFQ^iKmJM)U_Cm@tNScUl$!<@FWl5IG&I6F_7*6 z0FHTLmo>vA;m=y3?DX3FZ!;X>)BNL4wQ!6tl}f!5#Rv8Sk57fJJ$eMm1b}Gma6@Tn zzbTP1O*bwae>q)>@X!hRS<{UL?l9tQ$p7C!v3j6H4oO4oq+bM zkeh$|CVM;m(;r&sjEW0%6u;q<+3VXFJmgGVs9E=_KvG(C@-r$9EVie|4GUM}EaP-#ss}#Tw8U zwK2KSMs*1KUmfg6J8EQZyzl~V4QID4hs>G{zWvl^UnS4^D>$97*HZ)BK>EvAgUcQs zE}{C%kOb0885Bf7g47HZ#kU(~85IHz0-Hg7Cx^ffZ9=vE#{&no`U{>J-yND`iEV;u zKbv-pU*FaT*^CmAOM7#{j;1-WbIBWXUtXmNgyp}{cVXL>3$yM-k!P3+4@-yrytQ@*6qN4l3owJ-fzicFAVu2;D>r~7AI^9N!SSNR+tkZfOY zI`0Ygir+1Jp&F;eZva(soMJim(OwnT){m-Usqeow=u#sba3WAY`eFV3tmC0GeA`Bo&m7626RI`79>^|H!{)DL~9)lO98Cn_u!q8i$)OeOFWye>*oBQ%Sgp) zxQDX%%44ncW1SuI=1Rn?^kpZJ#J!B7cGR42Vbf^bUhv@ zWf=~$AEi)IajmjOUHbYQUKUcT!`OD*!a$dOA60JI?M7Js*}d8y?M9)w@ibcHACwy* z*Ol&-wR>D1w@ay#pWBxhH9>kLyu5T4@Zt1EpJ82*jr8 zWf{_V3w|0tu=7rIQS0#u@_wU_Pa%^j*FWdP-U&E75=bTn*GIcl3J1I`FNO=$IgdB2 zn2=qSMM>(>B+RHX(q&s9tQvYc@d*!e%(D!qlB)N153lWIXb*Jl(n{~-cx4%G>sV-p8JBpZ$5B1wV-WA7%A|FfP!W05lZT9D>icMIm|F@^9s={H8|V?MVDj;^ZR z%JwF9XTFoaelGU>NYQeFZfa$irU!R{c)~SFOPWKVXlxB1gZ2sIaie=E?BLndV=xED zC5^tjP362U9nK;hg|CMbmK$D~255_Wh;(OZhlk#SnyQ)avd751SP1U_`$zfl<%MPa zsg7NbNgt*l#TFOfx2|l|n>}~WcZd`9fWU}7FLL4w{9V#Q{z$Oo$168cXWd=ShoJVt z0`by8PWGE$ZpR2UbI2mXE&!L8T59Wk=vP1aL>_DVDdXBxFrP+R1b4$k;dac1F46Ia zCS-u}%x{r%>~o1l!9vz0qtR<$S+Q&~yr_kuEa{ij_4-W|PSOw>jyT(-N4;GNs~I8R zb--rI8*330$&D?uO!aSHBZ%wiG)3~1n_PrkJtzJHIocI|gLs>6F!$p3?3+Aixx*_T zW$=j&Q?+w-M)`N%(faMTrP8DUZCCq2+g5{FLB^<8Y81+|{O+Oww~?g`wZJ48=T*Wi<(#iAeZ*o6!N+nDKrC)CW4ck=ZWg69y-2Yw4>=i&TR z*5v+HtSPa4;FXk)Mn9{Xe(%%32i6xDTstS~eywJ@_fKXPP{M7#4K2XQy0l8Ivug48 z7QHYip_Z*T<=+Kcj~{R4Je>d09(Da%>v2R0VlW$XS{jMG#LiGVg_KDS6aDYav&=bH zS`laPXlY^`Ihmjrf$l7x|6MrcKLGK=yo^Tk82X0?A0DKb461wnoVO7?bm3miNZk@I zxWH@n&C(Ek)p@3QH*?|9I|$*>{<%Yhfd6`9sX$k&<5Azz*s~i}5Ai#+#Xr~>MPo2B zhm)4gnNFnGe=ff$9qgd%RdISI)CMzCXanL(ekwUImiE$-cHgn4yxmaQe&`nz{dt^x z{G!>MD#ee{k^d0Ry(n|#BSierM+~SSzaHmuAt21@WV!zVYg@-JwcY^E6A5YQ$X6v} zO{~FTCqG^YBUu8c=U`s^dPC3HLPr$O>WyWm^P0&qaLm~vX2e{v>E%0*wqX{{C_j8M zVN2-xeV(>g*D+#RLY}2aal1~IgW9QusFqZQnaPI7RkC)orl5+=wISF&vPg459QjIf z4Qo#7(+XSp*|*5k?EH?;+2M;cK5`)QSAD~4(h_e=agovtmYs&&>3`*r_DX?$xa7aD z{aiVcVLKZuUZNIxPmF|*&RSlDYiNz8x=T#Ff1xJI9*N-8x)?Y4U&}~SXB&&GAtz1K zm%@-mN$;BcUxeC`|JqP`d(wLvpW{`Y3!3O`;Q|aC2;~X`UaS@G(H~t57Gp*J0B+Lj znUfM?4G#mhz1zu}(qGwQl2a}xjC^-hO4>H{!uRlsY|bQCt*m#uRV2c z`9P(VhTk_Id0mGb&2r0wlu}^7ZoVGB{r7}h-tuw^xc=r&=k34QXXS&<^P2OF&&mUU zDQ_U^-8xHaxBqJtN#kE2#N7VNrtSr`g$^QIzuM`+tkhC&x;K?`j5p63+i%|Eqw7}6 z*NHgS_}PRb*qb8Sws^^wE!zH6FD5z{_0a0ydjy9q>8FK*lPiDI+Z|ZM=|c5!stg$J ze?k*mHo5#C)}txg%Hz9giY&J>^_47nyG2F|bBvUwN}}2~5@Mjm1D!s}8R-iyI@;Ae zvO}-VQ{A42XkTgfSM-;pZe4`QQ*#NiCK&klvZlMUIb6ZivRCOdU4!a-d<PgA+x}ikR&^3v}DD4R5iC8<;<}(k44xuX@eC{jtv0wtX{h zStns`qxN2Tu_Et8asC^8CA+4YQCG;q*nD+9oDHR#-&y*SqB)W?YQTBO(kydUV-Rg` z!9J`{7-6EHrw%@Mgg%H60( z0MFO_ZwH_7F1e#XU(asqwHUENF19WOS2aTAXx`-EX3GR}eKW%%Bl8wAakt{WX5lP{ zeZOC3l~$|c`@_%V4>P`>x|%v1aSLLUhXwK&${3Uo_55vVxdySZi_W_%DG6Ad0!9fW zu^4gPm*&*0Wdma+>$F-&0#g3|2)oSCCX?nyL_J!<8Mb7Aj)Rnb3YMStjO-x?#{POd zGm4Q*!AgUnhl=62cI*AWjz>B|PLH%?E@>zoYEaaKf8o4;;Ht!-uy$}kF>;#E?X@bE|M}X_+dK?b_=PcJ z{!?!`E48(O^0X-q{58vgU%9?mdxj=T*1Jf9`7YTs=16wuaKII)Z2WK)4ASL_HPKlq z{^_PJ0r0wkC&+bcoZu6V7M*j6KyahZNp$`BP<-qPUq>WOX4}HWW#jA4@{`b1O_We0DV)d%O3 z+b}NjaV`C9H>Y3Y0!;;TgysV!NV3u0qe)U;s|Vm{ATwN-8phvrovKMFPX36HC1Z0J z>`N#89FPyAmCv9GIErG^JKEem>=ynD1sJAaD;&ERYvYtu=Xg5+f-`Dj32W1_X3#sy zWWOM1#Jo>l?L7WNO1!^N&4&Qc9$ruQV@$Ir&ql1yTx$21*%qCu{Equ{t2&tL)BuiO z)=NQf)9#WTFVY^6KR4H31RvO>M<~S@OV7lqp5O!c4bRaG7f)ku_+|(TM5h(P#yAU$ z;Y6^39s6e^V^7w52-e^K_9b9qd*I;BwVI$jJt)!qeJbd&Ia@>Q$AeD_)LpEmzSF`M`Oy9{(% z{auO=9yuqH?OSsEgk$tW9uu#TzsenECmTtEv3y)hfy_osuuq(`{4^Y4)=Xb79Ky4S zd?9*uyvhJmvEq?T3m~AwXJzIlBN0p})qp~r7NH=r>&TOb&Pg4(3s>@;2Jn8^n-!oL z`x@00sQoB7I{4a*jSKpRon_zbQgd)PIsQyYGTRY1bJIBCDS1^D2RWe+|4Hh*S~~b8 zUEHeQM(FR7{hhG8cgoBbFB_wg1g*SLww%H8O^|1i&$$g3Hw4H; zIdF1*eF5VR=HiAo85@P`ux?7RfB%~_Xpg%>Yf=pz=-=m>D8bWLmlr+wN?_4H7Pa6t9qgvVjoDmz>my01 zjNBsm>RH$5t4Cqyx;JII*1#6t4V|dSLVTKcSH(crXgdJw* zYlm9O-~7nbL1R9mlbpu@aTUv&bt{8hf`#TPy zPgasd>D~bvsZg_d@sfF69N_?e%rc*WAuq<1a${67uX;X*Zz?HQ(|wzImmHe~qweK$ zgAcxb($o0JI0N?oIY^Y;Y@l|rgRCt*VD9cP>mP4$zp7q{PMo-DBm6$BtEzWpvXo=W zw#`~JbMghysyo%4sp$Mb{rP{vsXCgPs0^l#t#&30<%ZLMLdMVbdWbdaz66+dHV zC0MJ1>(A+vG_d=P`SAP4z^ym+9{sVw`Ej=_EA~1G%Nd!SA9 z>B-qYB^}ZFGEocxS~Vww6YR+T;jXaFZ;?!f*dgmHGaW3as$;IG5$mDXiePh91^C=9 zm_JH6j44Jl`%;LuX~oNB36Q-+1SBb@^*5&sZ_=7Al0V-B^YVEx+3X@%_1ktU3qMLU z$Y8L4KoprV0QP&4d>$Wow@v%a8`GuD542^mQ+`@IF2`C})o(S5PexCGnNg0fB{y%^As()DHh&C@P-I8jv^iZcN$Nq`vQUu*H&zKVxkLEt?2bKb(_p1X%wy~?aTzcF zv>btqvV8YGCed#&0gp9p$(pmSF*K|>N zC&={e9!vJInm8oaL0mq+_)z74>~ft7BC1>p@?gn*X{hv$_+ud=nZAhSASy zob75s{cbETQ2g5`%Lxu6Cd4?95_dT^Bb%> z$fjSfg|ZhRhe}4mUpa|2AX(1p#6mY}e7yzyX4p}>H%OL#yvqNetP7Xy-qUMB+<%uI zJC0Zu60iBfIb7W9Wo&HN(L@)vtSG`isf}*miSmf5lS?{6xFRHdGR~%7m)jF$eR#HC zb8gWr#D2N{4mcm8e!yaRa>x?=cV`uWCc@aVj-I||F*MMv2(UHK%oCNcP;p5$G82B? zZ{J+xnO`4(@;^vTdyM@erP#KL4ZQMqKO}M9Het6w`-V-wQLFBS)2lq0OW@x(Eh%zF zz8n3%wr)MahE$AL{}#6wq%eGU7OeNl!ym!l`H80M5VKou@1Wgf*@D*? zq{(-^lC_n|+x^xQlw<{@Jy<$RC05TOtl*2l)VyQS(?+FOPac;q#{YmA*yQh!wS)6W z)v^Pw+JKuc{m>A=MvC^_r%mv2iEgj0(niC?^l;ytrpq6@UFUjpD-Ok8y zc_n={#YRhR_jztFP)!YRzT5Rq%ES_*GiGEG4|0F&>Ef2j=tDSKcan0qL4{9+3{I+? zC+{Z}gD0?{|IOA*^hs(HJ`xjFx+$xlHr`B*l zB#|1BqOwMg*H>)sJKvL@Fkt?=cf1zZOeyV}AIVKAXWS>x^EtCvR&3h_ph3zYM1}J-9vlRpT%u|mYjeunke90#4B+f3g&;k+R+yvK0-AKJu9BVcf zLVMXsEb%Q3`AF1OY(m$2XSPh2-1wvNEYyu zcn(8S0;uk2qz7#}*Ayc7U*B1~snHHxGsQ5b-aPlFggv@>ebPM2qv4XdEcU8CI^yk? zj0zVj_*0D>jLVl|CKCa9u~+V2r-RvC>Ii+(Y@|eWQaO7vc1!hFAyo?ga==1_iILiN zB9*r&!ah4X7s|fF9kDe}&Srt#^6eXb?dBMD26BdWJC;;pd;>^7Z1H(bRc11j!5|_f z*3^NRFE zbBk$ z;0%5?1+ZkqGAja0;AET!Z+R*U&*g;Yus1!AMw~;{0u7kP6L*VcM(}2Y(1;SFi3`m} zXF#359#c#yCNGkxuPaD0alf47O(&^~gK6UIdI>!PwvP{B0|?kQ7m3as|>a zLr996ZQjl&?6OmhTJX!yj(VjCmfIK>w2d8##eoP~CUT%tQVHCxI= z2a>0Co6f_Q&oH#9K02fL8t1cQMtDy1_8>u>l{!o)cnuQ`(uq1bM@1K<*B5J=F}rSQcA#nH!m2m zP7#4toil$XaajyILS0UDe7y&`$E1PVOlz2jmsD&PA+Q}a+5XGq$U#9GK^u@ zskaE-<+6rCm?LRQudJa0mGXbCpCwl{JBD52FcJV8Krf%}ML@TVsK-)&5I_+nF1*{f z2=)I5|7$*A0^ua*1*2pDD8q~Gip)lArvh=7oK3QnJSx{VSF<+Ltc1R2?LyQR6RJtg zlYb$?hZ||Se0V4Sb-r>1w9iZ^GHgVcX$31p;&r z-|5s}lbmJ`B_g+YXJ%8UUCAP%AAHQN?uDqEF8f{;EwR=-}WQO zuu&rkN>Jr6LoPB~GopVxm5{?mr4dB@8U&~!|1)(mp`=YIk*{|>-p3x*r5uGQe8gj% zKxDyYW20Uk6o18oc;P1DIV`C0yObnZ z)TvW+`k9#%UG1(%cj&Gu>iMe(j8L+Ent9^QiM`8=P5p$rzmqgVdqj3=ZX?3qA3|HU zm?6Rs+Ve~>GYxeduq93g6F{F6br2w5VzM)R8ae=q&7BaeBpj}{b}?g_!1!YmebRsj zpjD;gW}JtiyDXVau->yalO;Je_6}zG{+k>_P_&2lqH%Yl>O8(-^xYpz@wv>7Oi@}2 zCti8eyN=(%KQ4Ep#}?!Vxx2z;LXo8M4n zXDzprnGqW9@uom;C4wI{*m{dlv}~4F3oEg?K!>ON1+3NPJ2BYE+5%JVhCI|mg1E~) z?k%X(zvR~ar!uvl%jSsZKpGPSzcri8kw>oYMwNU77()>-#nB}fFK%cvkxE(^* ze9_N5S5UrzB&?Pb1gPhxUgAedTN`wYp2Gf1^7uut!MZI08}Cc`b(*o8O*uz-0;oSr zeeu8Qd%j_8Dk&g9s5tf0|D+DqB}_0OW7k?V(V{zrvD2I|#;MNu=es}tr&^vm{y!lw zS>aTtrwC=f=btqNF6#GFZ)G>{=nUkgi~1>+@ak+U%Z?fANSDi?-n~;K8W$-L(~8{m@n)aOf8Cc zV-zng6Ml##NGM`P$Z)c;RAnRLm-q|^$}h3gtwtvRom+97#t+xt=?X8%lnlSL^Y_7` zj2=qVy(w@HBA>&CRcI-@go{)sr#-eLpZ)HB%%YhT5We6M*2hbfeHfjW+u6}(B z<)*P8Yg7J)`($xr`RuVKOGmT1BuQ%Gn8(}0`rvD~{LszD6tqp>#bVP(%d^d;V*?WS zz`CaUpdv`9IBbNqrZ?m<$zm_FdzF+yVZAk|C*M-Gk#3bYF-;|i=!7l`E}13>{_}{% zye^uUF60DR7_#Y)zU_#9xtT`nnkky+(Y=|qZmK?J(v^q{B9+)n0Bkf8w-y@~KVXI~l3{CeVb?Q}ugHW)e zJ6Wk2^nu($sIap1y?t2Jyu}K++*-hj-~nt1Cv0kP1YOwKE(|@p7<<8JD_j}7e8xZU zW`?azK)bqS#LAV%u!4U0I}->E&V0Y*ge@cCbMSK{&$^oiOr1QIMOd?hu*(PsnX1e0 zMj2)Pv9s)FgL6@j2wSW>&~OMZDtLli((}H=t{K5ge{lhgsbhf^ae}}n(od24Nxo}Yw}ia6%lG%_IT)8C6 zXeWp#t(Nr28uC*+2wzThODOw0RGeY-DDH%75FaY_j9z)kbilACbPGtjJMrf!?o8lA zL3IlQbl-_pBgv-7ma>B;j+`0$ljOf_qoK1AO0O|blzP&x8=b2-2U7ZEP&q?`aneM% zyfbjjcxd9mr*n=Ol)br%bGyR7+#1wXKb0shX^Mj`97=T^*;aIo%xlOL*4p<4zQruF z7_sDNww}NUJN$H)#e?tQX}uWzOqXMJ>AuR->hYBS9^O*wS$3TP+*w$vlq?oc0b!S@ z-_E2j@2njYy3D9Ggu1Qa%N@IS=@R6EmV*2b8M+*=Uiae($B_y0M&LieNeDI+gr{VR z4{R#+k?p({-4QhVFZ9YrYtZ)vY!>p zC$-7*I%T5ApI~;Hwp#qi)iT2EvFNx|mf&s%iZVA?((_sptookOLh)bv=)&xK_}?ra z@Ah_!rk2(3XzERJi86L>S?ad(^zg;2y~;a(-3XaDy<})5W_RcgmTnEX(xMqO; zWCYo|lr6_QRxSVZFSQ-A);E`B*mYIH!+~7%PkQ^6%%334Q^EOvWqUd=6vs~%aUWkw zKJL@hnqh&1A}4P=vY2FpsnJ3nJ~Rti*5QP4kmVw83@PWCUdeR)wPOwKyK$A_kvIp4 zXmGx=D?SsysP4Lxnf!bAoVd>{4?R>A?(JKW+c{Yf(R0Ho08x;Swe(ACOC9%jFboFJ z(7Yh_1L`qBJyI!lZ18O=f&+HXcj-MJLIQT1d?-h|M#esaxAnoe4YAyJ0`C*Q+J=Zz(IOvIWw`iwW@B0F0f=MR= z?_Y*R$L#&_i@;4-H7g7c4glWgU$~9jyYo?Mf8n2jjB9Mar^`-O3{4Imco3+mojKU};-ADXQ4Y+T6vEb>%tVuQLYe+f z+$>Nz&&L1ONd~Uze}&u`z1j7SH1UVfGHv^uLyBn3pxZ$;N0-fQ4F-CeQj1~3BhQz$ zw+w%R>e3Yo&C`-K4OCADQ`FG=*{Xy57>dPO>j`NoU;$KPu*~fH>>hr&xhJ)V!{9xk zkJd+V!j+Fot%`pE{wsA#LB&U7&d8b0-O!g3oj^R-;bg+Oa=|ycVx|8r+~#(mAA??p z0tXEb)K=>zAFB3!6}p8A z{!F#(zlQL;1`JWJi-Lo7f(5?b>uMC=*fGe>>v*URI%}{ocjYgIH%MHc?@=bj{j}P9 zTtVMISMt^6kZk|c04GGfsiJr9mbo57*%F8#K$?;zJ#I%|{42iDIda*u!OyG>kYnL+^6F8!SEEXw_!!!Q!Q8Y>m+Li0QiSd%r%s(aDr(gVS+YYMZAlr8l zJ|~SeOf>sTnaWT;d96A2+3XB{$Rn=*_k~vQ(dr@bDcWRnM{5WiN#Ph6ZNy_xrdBZm z&Iv|KWaXVybfupug!R4dU45^ zs<|RxW6B~K7CQGhRZo7C>_P7F9!g$@W`Kc0R4$)IzTMm|qdK z5duex<*qo*dySSMS)2{@mIgj1C8;d`LNgl{WD8gT_LP`iuk0CW#Qq0gD(dQmvx&qL zacKwrA#y*~kBRe$6Pe#1+j){^_djz@wnl+@p*=I9^*LSz!4-3yJxQeo(;ckhRt!a{ zBuyPU0AAiat!IBuJ!l7vf9VY}P>Fcm986nIZRc5>4$W(ZyXvIX7N!;dnAi9?CpsEE zda^y1>`|1ng`&m{mR1$IsXg~^VrWq+qtVTfw`{0Z;o21)#{{~GPGm*ZCLAoO#Nv_gRZ&IY19$D$fs3Io``ZiXB2HsRp-><#^V&NY3y8$lW81vW0mSN&nfz=lo4O_)EngW0kV-*dFDZO2@`5g=qitsYyr|9 zyv}j7FuIk)rKt(L^zL&EaMqP_RA`#DI?oMKHS^ohHn=XM5WUH`FEDLju3Jd^L4aDb zwP3mIyuzYM3~Vkr(A2Zfth>P)f&`p*gzQq?mksU5Wbsj6M68#*^Wd|UL( zw$>V>UCq&Y~QG4S&iRG|9K zl$+u5ar@$Ni%c@Qsm$fFMomUKXnb|nphLJUICz`wZAGVwJg>Ee(+5@vaE@*{7Msec zzuxgdL%M3eV|QCG2tnja8VDZpGvUfJ_MYWjkw5&84tUh$0>99P_{0pO;s?aMxeFv* z2IN8~YJ<8`hk-7r9O;v=5fiwls<0pCfqCL;P#5Q2t#6kNloW|3gMhw=hxx%pWeN(R z8?!fAq*Tw3%#q1LjfK~N%hHLf&zA2KYV6#7GJnF~Ii%PFQL}W7>Lk zxHNk;4IjFcx#V$V-nUZ60q$^sN-t5t_2lG(4vz)+I@%qoo)p^4bWo;pQV3f@=@WV9 zlm)s_z8iGv9lP&cO0z47F0ML0leZ)r2KNnHWVMtRGD&<4(}o>XHz*} z>}ci)1S{?A)lb6$k!64Cj`qf9F!j84Sh~+jLz~-WwH&9W5X0h}nC$+qhGZRuMA3~| zb8(z}?!|?%*;$1bDeH^GbRl?%<4gqmXMbDaK@W{4Eot%3JdH_1ZtPkDMm3DAB4fMQ zyM+2HxJCAfxiwGDOULE^%63y_ZMVJ#cC=~5w`mU@`{#ei6dG;QaPNr|R9UZ+IT7D! ze;4}2?V4}T#b{Nq+#&X0!O^@*u{iGNLr1I>(?9f^jcY&i>2c+dtq(pn#e=>{_iNP3 zPs;eckPdx;36-Avl&v4%80COi*s7za$LiB8k4>flA-2`#_GeF-ATA?=Wx%FjiO8>p z2whZHg4wa__g>~1q~uuVn`MY}qlQ_AO6?+ET6Klmy%9w6w`kPyNO~^+?0R(9!eGfg&4?B ze=3^FI(!j9_>7V_>0PZ;y(?0j8`QIuF8*o_aq!Gs$zR7sRQNTY%@1i#x|kfwN5fIq zsu+b+YO@r>WS!bmT7_x*9OyT<>kWA*Pkf_%ZVtiK6W-IK5kJe!QF&8Qv=BGW2pX^c}x?xZy&#?H-|gv_}!{ zX<*fB3zr|-`;_^R#tM3`_%S=ccqqyGf@k|z8%N=J?%c%a#Rttjk4N(HN;cBQxaiJ$ zK}orcmWA0oYyH&CKJwirpGp&Z)LoX4dU<2ouP;Y>s}F*o+%2Z$L^{-k zY#$y+FHg4MrThCPYXtvfR6z-?;VrdLjYP}ZylFix5}^n+JG5_gv-&&6Y4J86WfCIj z!Noz!eCp0Gn}{!kA~&fmZV4?f_mWpEemva!q9PvBx-v?ccAnc@FnadJd#bfBBhEFQ zj8_2Rqa1F^GNTb!apATqh($lzi6(oQKY$#hunYZr95*9)X)M#H5GTwaX<6;lOMWpu z_^dq0*0iWAZii(0>x|F~^163d9nVW=3kEO$*)(b$hWj0j(Mtb&iM-wk_~)A&B2~1p zR{W0k@|jaKA=mr-O#@uwe*Jb&X~6hg<#O3&CWE)1VjHWmwx;!JEXITVOh0NDm{A@x zJ3pHT7I504Q4@+unNR}7r3rUnrFWc6;Wa6PQN9y_YYUBL%66lEqgK2+FP&lhR?)F; zt@4(k^vedl+uNzTg&j;PP2(r#MiO={0X$HVEWTJVtDFoG*R8s|<{@S4t&?3%OFvLm zj+2~Uua%NW9$KgXRv0+rCuGoF4rI*~R1#s3Z-m;I*umv1E1q^`gli4e2H$9i5_NGW zWITa8V#bLAeDY-mjp)~R(J4GHn6w9OTEXg^>y_?*Qy_a`&osbZ-RK4MpyR@@XU;1+GJ4zS?hkg-%b-kVK$Dm}Mpk zRVOXnbV7b+eK>oK`-Hi42dHaIWMA37Z#CeRp-5X^Prhk8%VNr_*xn9qQ4!SVs+mIc z`CcnCHA7hy*ak;6qw-Q^^0&NqUKNF`q?^!n9A)m$5dCBpp;%a@7VY`+7FFElLcoNu zF9w<{(@G2!-!R}^@PbzUh{%6u%s)aq$;l z53Y)P{5H!C{bvr?>u8XX97oSm@_q*WR=4r1hk{EQ#^r()p;ya#|vv~Dx1 zKwe2$UY4g=|H=OlazZW zJ{Z&RN6*;J_sUN(e*@aVwzDgM`R4w9jheWhAQf+UNqj)Fz!>TGf=Srao~?_w^ylx= zYT{-W;3S7~k*K-=Qr`!sA{Qo3#t6!Fk{CVji~d0V&Tk&8AAXy%WM%TDe#uxH-1*Pw zCy7I=Epf&)D>+qJrPx3Q<7>nv*0r)HdT}a(J@vD=vi;2HnPVi{IkKX^?N}XWey$D$ z&WKa?;p?Fr#OA6s^OsUq-C$ByHBIw7J;|$+exf)I<3ZYWrjet#7SPMNLSxTF(Yt{z zWHTwIi#=*@7)5dSjF^aktPpU(6ceqh$cbG?^hdn|K5eZ(;>DB!ZL-@Mjpipyoi32x zn=D7sf<|LKqkN+Kd7cs96f7TJNUpFP**PupU7N6O<(NLm8+q+@6^Zroi+19^7?|+c z`J_Fn)AzTSE%Feit(&zYFC(zJuhgf1`=a$I@v6CL@k>zAgC6=Eft^$duZyqWPli9Z zjr;E8F)r=x=t7nzU7f+xjOKW$-)B9}Pu)~L%2uvS?V$@VJ>jm-9e!WeZ_U7%^5+c1 zh%ba46=7k*81Db$H>n$fY}Cc}C@B!eZRG>*-CA}Y1g0_v+oKyhENmWv(ff(3&( zl@>mMN6V6Df?s_~R{kb)xKF+Tfl#!Xq#FokWcr@IrI<86^j`^3aFOOLdT83J41~J2 z(A=@eG@13?5teELk;GkWjL*~T@k5;U?Hc#P4^2y^{G{#K;I!c9cBSNFi|pT91e}sg ztvo48g@UbeCBiy#hR^@bZDcLc5R8Kci@ys|^uT9@=lu8-i-(T)gRJWEx z?!Cn`(}a7LHR9U=hn(vMD*DSx0hLbx^A08eoci?g-Xe@l z_mXPayz^Q=hUr*O#xm|`!t&k~CbcbqC-r(HK-b0xL!tp(UMtWzT-*_jr$+CD21!X+ zg_?;5GuhSC5nDSSi)@AgjA!5Hl3^|D-<}S*XRT?>?%H0pG;c>pr$! zBxqKj30K_Tsp*3ZyMB)8PQXrCbnA}eE}EB$%Z zkoF_^4Mt;fj@f}Hgn7e)-uUoB~3%fOTFJ%1dRNtxQrLKcY zJX)-4K{c`ynjQH_=wzn2M?PufrQXtT#-vCY;Y%QE5^JspbVYQpBKw-|gbz`=eE2H^ zXKsL)Z($N-{%*HL6kXW+wkoRvcinsbj)16Fc(qPc}xASX-&uS7}((0uOSIzVk<%IAG%T7S0rn!!!`lz}obg!5+IN8Nt(mRO*mt zd)#uhM=XalJL3z0;`$>E3ocWH!x9BR3Ek8+2(I(Kx7r<~4lj3~dT4$l0yJ(o(zy}2 zw#|t((P@~-WdI%IV|dTFXT%QKmU&WcM09AIj*=SWh`>EAIgRKvVY!7YzEi`LMjGe* zjq>Yc$a-P3#q#*}f(+?|EG0(3&mhG>MG9V@<$s*_X^=| zZBm`rn&hrrzey_VpZCV|^AGN}5$4B?B2r0I)&bEaq^kLE@?3xoti4f|M$8?mqG38w z9RGBB)g3u#RkUj_VuV(&MH}>%URWtjmnc%^4ZF5H_06APeml8c@YP#S?fAL>V!tkn zn$P{uj<#CNYIm+a(rY!md?Wm7bf-%_SKA&ZVUTEgcst;ZRgsmn7Ggl#EBLwZ65i)7RRXshI(=zdD!eV#?OiF2QQ9 z3^_2(} z{@y-4X^}y7@Pse+)eg(F>r{Z;9o4iZBW^vDSq;gtNpAgZPhTdBr^kbhT>Tcgd>qNb zW^w6yqVyWl83ri^-ya6Q$$GA>-+G0U-pDf0N^-efE>E-tY~97Mml-pE)vignwAGXA z+?k42Jh3XP7kl*C=ji3OJb;31SmL6HYvR#7w8~ZO>Ddw`704VlnCOgdFc6dK>Goge zAD!oLgeQJ@V!3WNJmZY+>ZQp}rFh82h-?#V9nPQzWpcAq7Q|B@-JfUUf#-eQ7NQ5K zxlTG$ss-0*H@@u1%=yh*G#MoRk`7;B)IrXlvt1#sCp-Y${3yoL`l*NfH5-kFohnDJ zXU1oKMYA9HXTD#d@Ba86tk7j~t0uuBtz0-VzB~g*l{>_|fcWVFwHD%vLSI-?)kMKW zYbR764D0^)L)6CUx8!Z-jIhDWEm!@5LEYOqQDc@C>h{ER|H7CLDTQ?Ue0EVng`5?S zuj(J;CpjE7<^RiL7Ung%yV#eZ>9k|5UnH@RmTLCnz)(PoUO+>nayK9$s9z6c+ZkmM zG|2a#HC)H3>|v7g3RPw&6{KotyP&kG+q=#t7LbqR{jTyjzH3_&(DxlSG#yb+ z12#~8zm_3-eArouMVZf=Jhg>&uqWT%hwE9>{d?3-(l)7HADN^UeY`Pp*|;1A_j=No zVpE;<`Nh2skJGvxg^fhs1sNaO2(ZEavo(@*6wHdC@AzxT;uU>6qG;pED(_>H8Qw%f zwsy%L*OQ4<`z^O;B*m4L?P)@AO7&e`uwf2f^(eZ?rs&S`rv{ZqJD-DZ{wE1P7#-hR zz;tbfo3-7!k1dYMZYK&=Q-;n!?*Ow~vr4GYm0yP4+dQb_ZOvs0bNeaiNefJvb6PE9 zwSqWvwpkzIT*PEZTqfgC=(oYiD}zu`wP^LLNKgV9=R6lqOL%S^1IoPzx+5C72uuA)Y>MW%*ubT$B%jt$ZVFe=E+h_@zkFCTz?Zr zpCer1dW=cV-W?8Mp!Nzverz|`Mi#_|K89fL^;F*L8=Mb?N9I@m!Uw%{oF+_q2xe~` z(-fD>{S8@%eDkJVpt%2X=;7Y7`Rd(8OY<@*Ese3cLjS-WNKcNuK|RKRe;PJ(Hw()J z87Ol;2&p6lZrj(bK2G=}>;4~ArhbCrN+U5?WDKVo^IKR$M6wm|7nbOM_pAOojAC>a z2V*)3^L_xUy1ACMXV?8YSI@?E4F-{odXL`U(g++S=k?ak+JfC3rNzMxjW=PONGVzv za!YCzJ}l*$?ae58ow*g#B)sJlIJ&h1B?Ty1J+3~#;Df=6-a^WpVdeYA?#Ju(?1?0KUX{u?) zZ`~hz*+bqdirOI7oFpXa^L@Ae9rtL9mrv{px4gSA0|T|Sl{7?T$-KNC)B#4b)?}Ny zV7fwAuLzS5gRggyc1;%rD%GmUzps`sOpGSbT2-&^PXd*vC=0)0>0;&2zZ*D$gdL4_ z^&oyNFYOHuISP%_ELgcSmSa6uWRwNU+z2|_UYqrCkNQ?FHVS{|Nly&!JsY_-M-q%)mlEW$pkyk>o<^ni%E!D~RgW)z#$$(xan7R2xTfHW-;9~Rb23U}mPzmtjauX1 zshk&3cJpmgdcnjCtAy?zEuYz!g7;_~D-#cy#T13`kJ!(9&C}>g!~Xy_gI|P#&Dl%i zy6+herd?LF*ow;UqGfG+t?dO@4%*eKSWosXf3{zLldsCPJh;_%&ONe|NIYv$&S$u9 zHzM^K)e$&ZcK-p5-MGrPiBJ|_6VS6n4~nh#k}7MZPwf$}vBA3z4jcmm3E$W@rWVmxIe)f+1|0A;w@7;Nno>^CsRyG4`|d@KBsLC_7z`E zDYCct3l(48QoK<{YV9}>!oP!LeVcelsWFM-I3an!I`BEU(-2hq-UY{6NLwGfd`H2r za7#|Lc5yh{(KgGLy^1e&ie&$*63jq(64>)VdnXC|JD0s{i{W?ammmZ+Kr6K=D~)I~ zI{$Y$MeOfnCCK9;O-knz7|Ev8^45GuH9JxP8{cmGIeV5uV{uah8M-@u=FKG8H|Tv4 z_p*82@Z#1k_^rJBBXz6iJ6hO6+PNzdbs&pX&}U3IbBjyigpPvFlv@z8r9z%S$6xE< zd3NS(elw0L<@4xXCtiN>jcG5C52E2uw4jyyoN;3ZaD=!C`#^=I_jo(zg58Dv{H_r4 z?(xT4&P_j8WgF)Fz<0z<3@&u%$QB;I$LuQR-DV#EL#0kik?#`Cd^x|KnzHv(b z-^(983eSFc?pm+6zxcHC-^(3HP>JEI~S89|04C z%uGG$cOst};5xbYG}QQarhmNWN#Jh=tc))#KYmdENUb>ncWEv6NPQI{ycL-u6<0YN z_|8sSqImAHfF0tO-_0tXGnK!Z*g6Uu^r=sz-#P6 zJ*w~;|9j>Nm6CGmvgN>$uF?709&3!v0n~JA8O+BB7^nG z!vXle^fXXMjf?p_+_T}V-2viP9|pDl{@G8Y+wADP8H=x9$9ZMfQCl%UdQOfY0#_lh zGXYOD0=J&K)Gr`Kf$6TH&C(|0X!4~xRSGorGA425W{L1)H!gG;cyA@rD(lZJ)i9KA zvJvp$J4YYJj6+`D{heBDPLXjU6c+rj3LRF(re>hLvRuK;IU* zyyhGld*_%`_N!wH7U@0~P@M*j(O>?+eSgPblu$Ug3d~;G5v=STKwjiqcP>>t+<28@ zQ#5qO#<=<&Kd3B6O6dpAy-q~@9&1m-!Ru(6PU|hMu%`MU8J{P`+X}pB+pqp(eS?2F zry@C@9X8Kvk1i=fE=xKp=qCpll4QnHPL(sgz-?ee42+3HYql3zOq+ems7@>oby$Ch zUkTTQp1x!rxoAo9YGsbfSmYU?>KobQDgZX6awUFm~| z!}uW^P3bXm40aob0w}x-8<#vfR(qh780Eewj$YXvMJy^GP&eC}ww>WHX=#W@3oWjQ zfZ`U8y?}nIc9KHt%LxO-iO9^f#xZ41PB@|c-Kac!3ha^}`(TjRRU?iF> z)BNfR>*d{sP5SdCD_+O_*1clkw%nyCJUC@I5W?KjmvzP0P-8=8dp<-t;GQU_(oDTt z7i*s@e!*bkwc;Jrx%hXf%A&J0Xz^*w#v}CmT4;jItrpy3{}ZLnmoq&YsDjnj?^|F` z;EyX+FZs&L^t=jGJx$i5n(KQlT}N4Q74J?R5NgjHlubM$3i)eKPyact#z};&o9^}# zx5Wboa(5OhPV?EHik$r1Tb_{ZPT50mqU!E?dkIrbN%ce>7+$t}HCpJ*zCwq2*`d`B zOpGiojSdcZAa{!GODpi340}w+L3OLZv zW|cD1YIU+2?O<4m5-rx}+#+&G0YeXxuiHU(SNi85!8UZXW%PAff9dKW((EH3xoE|< z%3Y*miHB2QP~WW;sn}J*yNRVdsVY5Z=@G&Brc@5*Zm2L?!Gh zR4u!H<{v~qq@8{Sy|oNIWA7_W+0$56 zOO9zSAw>&ab}>IheM!T^V|=9#3mw@-*Io^6s`YfFIinF)e*($9_!^H^Ct$Nn$#DoH z{2-#N$u8QK>gnc`kONR@V_QidMP`n6Sy(!WKHv#PUB&XXa_ z{(S+frlY#c;+8NXU%#Vvv8J|XmDJ3v3DG;vWeJk{f}BUY!vfxHoZbGUW#r~ttb<%n z)n`T)=W_kvoxgGCsx^yKkWhJZaXUMo6(jK!{gnq8SFWubE9wC?u4S&_ zM_ZWh7f*C9Ljc0|bq8kLX}kVR!h--zFJw1v)~*<>j^Op0sEUGJ9EEeY{q?7L5!|F$If!GNHkXk)S304= zr-xW z#0K5FdE0A2J%2wDI{>&;I%xF5YW%OoKs$TeI`nz|6d(%io6sS7ZO;BppP13_;trA8 zQ2XM_TfDX0YAl)mP3OWlEdJjOAhS#3$8x&1vW+zO80xxNi zdnooy!BU3he%V=NZM*ZM9AvSn%(3`S)8R9K%a)y=s#~kLU&(4v{E5Bm{yUgcw(p?$ z%|HMLrNj28Wnr6}{@mrO^($e_!4xNLI+I+dgJ zCycIVs5Fta#g4mPSZIhpX~@;7*1V+ZV&(zedE2i@3%KN1z&0al#uom-i5fY0+EiV6 z@c*5&q5?qdEgNEB2Xq{>u{vHsqXsm9?eSwzG%<0vsKWp_;Arv3T`I#g-9S5ZhFEy< zeLb+CJg4it+9=d$C7vO()yw?cG*B`02>sDl6Y~!25WS$S-B^;^07Y6>Q^|VT2Dc7!%E)H>%V-XT4v&RGTdjtZIltWLd~v-kmS6aHOxEor3ki%jL;x zYaPJ`F^IAw*?V@7;?*|8APfxms#rJ=@eq8xigvwh zZ?dF7oEsdfH__?vR<78(N>s77jK!9_Pk5!c_bK~9#Y1UUDX0ghiD=rXMRwOS z1Fo(Fx~3S|f+=Ls?(gLA!q5CEvJ{!8Er$#JJ8~s&`oSy8+80lh559_fwUYcuI4KnO znmF{`UoMa7m^h?C;T_nxh=Q-(!o|G?4OMDJjq-Aur{qP+a9;EHBgcq))3UTl>cgZUJaO*!9$HQuLq^HL}(GLqtz@~`KjkHdtL2l9S?qJGQm%C8!)o4{;TlJG!@ zlc!f-w!@b*pemr2H|6>2Cz$K{%M=05CBi zNen$|xL}2z1;188Hq%u`BWR~ch2OjSLrj)`6ElEj!Ivr7t+gp=WKsNWocc9!MK zKdb~OCE#$C7&cI9*|48v@An>F(6tF2YK0jL?LLtBnTD4%eN1q6yt0rluJevAj_N@= z0`oTQFZ<*b6OD0?Fl!i(tc55B}7jlXVtPhImLQ;?cRhl)rYq&h)>lkH? z8nw9N()fAeR8S)Z4d8!1$EqCZc&cUsOQ-jmWL-$N}RpTW8WFHV`i@Co%KLOq9 z%{LPIb_M7}^a>tWqrWV7TPo{$gtS5?9OSmMC}w(ozJy`l7)AP?d}6E2qsOVaGb*=V zv%F^_T%O*OTu&ylY^K;TG}qyobv6mScEKhHTX33p13sXtdDU|502UTy*p*T8zi1D2TsouMeLkYzK{y)e8Fm3!66QJ-CbOO#@9_Q)4j^v0^P2n00&M^rCGN@QEPi2Z zao~s~yG5l@X`dKd0o@l-!1+bloR18DJ?quJ#!VLb4cY`EKQGBlEn8$5)Wmt&m~5U=!gB+Z*|Z{5WaNj#@$=rl%~hhM9D0zG3qk> zV0wH`Zi)hKIx|fLx0~Z}5UO*)mJ<9!{^msZZ?`-Bc3q@U!)xgIs(YJ00Yr|;&Ak0aSE3>dw)L<~C*rqXII@1#s2 zy$=fmlA*0A^!{#$Q?GipP;|O;OMUab*looE1oQu;B0tLgkKm(7Fus`oxMa_8=-I9DjV+sv{^;3S#MXlw4FR$Ji%$s$sl!=z_VYAL z17-N>OYIq^9*pQOU6S6WV1o&Htrw^0mOU;JH|_)PN}5v`@Mlhr{QI0TVe1eXuE|W^ zIZ#C>rS*K8`bmlBaokd;JQoEk zeU#WRPqqoIb~U!zbphZ+RuSfSxxMlxho(%!uyq}5X2|QyK>8c&_LES=UeWyTCg{ZE zN|A7isE)&Fu}$|ah;`KA?Lc0gQM8cqyR7t~ksfDKf0WQQWD$de$~pJ{F8_qYc#jP@ z`?(UsT~YeIzw57VvZN1MGkV(hPGj$Hpfq;f7bS7)QtOoovUNO=*@a@A4C3AWB`XfD zh11>yF86oyT)&8VOJg|OW8o?@xHltTR{QT4O$5Vs@519aE9hcZO!30&* z6TFQ7R6~7?OdUDmUx--NB*1i|gak)_cqxZ9A!+X$*I0Q^+&m`_JaaE%BPSd@+Zvh8 zrtCUv8~P;cgIz*XcoLCxITmSFKjJn-4q>85iNmKdqV5WZx2=C5j<*`Hr_WdeF}wpI z7FfN@=}kpct_4Vd3`C(K=a;gSxTY=UV8w(jYGjuL-{q2 z{x&5$o$xMy33$lFn)vvsMC9Vo+L#98DW0;l&x^XM69A2bk!L1b0%?Qa|LW|=z1!ai z#OB7{OciE!C=WnHE;t{v>A779mUDxS3eFH+w>PiNWRt!=w{Jh%s7O?43q(Ho(L_m- zEjtPy19XI(hzZGVX$E>Z$WzT5e~?c;%{N;)Yj1v3=x@5!w-g*G|Fd(e>~Buu+UTWh z?%}|ArW8H#fnb9bPhCneL?ww=Hk|mnO4i&;o-gb?%)c`UY|;zvoz>&=FY*Tp?bj7~ z=DNfQ$9Y$Bcq-!bn`fmv8t^}g5AB^8+n^%XHVC)eD2eP1`(7M|WEUPKan3lJsZwp# zcUgvzGrMMq6L}-6b0oR>K^B6OR3r05eI{WD?6)Sq0qR`wEzXW!3&s5cM8X>m6+E}K za1CaJMDn~q**_h^BiQFiwxCG(1Bqq4P`1jkaCX;C^^B7M)t*dprM=(H)HUwdYn1)I zO}3mU<2d96l8sP&24E%cNH}+tR#81jVc6^7M~rdpAnI)Qg5up`Y}%u??Ly4+)_Wci z(>y=oKY_4AkEyY%wgCIRIQ#B+9SJ|I+{Se3dcR7;MV>!Eh|gf6y>!?a-JF6XcM8P3 z9I$0b@tMIcz^&(uwJic0@V_8)rlC0p(QK7}V?8ew5bY)#=uWcmcBRjrDVP3f%`!o* z{Fp^U;VNIreHjz3!ov%f19Tl=@dZ&Nis6{ zLhn1M{Qns(t%H8%_KN(o^TXSoOn%3Cal|iBF-97;qxLI%T*~omkkxKmDFdVBV5=f9wu6TQGCH%BZu336 zZOV^{m@G1t>zM3(yUXVbR$Y+&Hd$W5a}E)0_Yry98o*#sp>4BB>;=0+BF>+9|Hvg;`_yWG$T@M%0^8A-o6g2X+`TYg4_jy6a@vsE;zy>o<77Gt z-G?Elm_?BeF*gM-6G~2k6a7)*;%whq+VPT9kJS$CBJD2#ByG6+$@x9O9`Fc2MPsF9 zP!aAU;JPt-`A1sRi!ACwS*rKSUn*#aRw9xR-8IgYz8XxpJSJa9Za5@8P`Qrq*p4}t z$fmng$Ai^pbMe1A>3T3257d$pFX0B>kCxmaH>Td}1~05tAKI^zc{S7ayt>A1MmqPr zIv_`@`6OEPD>_2*_f?S8=NvbH9?^a(7bC(YvoV~o9`9eGZ*@U|0|J1Pajb!|o*>zz zG(ghuBYMJIU5ANXKQd})^HNz}JFTWH^=2Zv!NBo2R(j8uYZ3aANaS32PDh|PF(R;W zwsTH}5cEH`u@Ch)&VSI?N_bWi9bTHS?}SkXL-G`d7DG4_rb3>TDq;RW=fGfX_|A(rr{d9J>et<{m zvQwy(`o(4hZYIsSI{^1AT`sRvH}?G9!eQPh-f2VWG(XG0Wa~)}_0mHjRB&8A0v)*I zgB?Ad;G~F^rCQ4iN>7t5s;xk!Hl~B;l!1dAWzlI6R}Ua7gsIqbFT{RHT7mv1s8ED( zTzO7(+dtlP?$)pcrAgw8dwtE@Fy)7lU+2lf;~bk2(16J*k&X}Y~Z_Ziih751IsHFLqEtORKACRHsX~!t#h3FV)+PY z5v9(-7BfUaN0BI*w&Vsg=6k#6;#v1XT4-d}O6c=I?ppU$$tp;-{Jg$!$F+T6%MTGl zJQWZ`3nw}9hc<&5Vp|2DZB-z5(#kisq_AVbrOf~tde3Xc|EH)~tOxYr`BvE_UJYFz zRzIxwg8R58ZYbup731>GSF97g=C^#SKbRT5Nz^#Noi;N&oNULE-;GIyF|<>i9+9R$ zxITJ(Wjd^o;@K;?UwrMgT$W^vUo9?f&2b;C+azo&5^u=)2Jar@rLb*Ir*mkPgR^DF z`RcdlyEnDCi>`y{ug=OOXWR!mCjjV>yYe@DXrLv-3Y4UceV1qq;>ZPyU0YGu1YP`W zPhA4PImlyfV(u5xzF9i8O?0l_mz;To`pTZ$p3&}JCg6A28+!wOlIu$T`JP3nh_nZN zpykwsv{O_KlSklBMvZQZ8A5qYy?d!-wnk7;{z;6-*+$r?zsm8&!W9`$%dN$D8Mpif zl3-(d$E9oaD%p*A++9yDsil?m(Agcvi6QT!y9P$m_zvAw;C6o(V6jxQ>{Vy%9yqX! zP#(zH9gGAx7bc0#(sW>l0cUZz@*4oY06p-K1(@9Nbjq@SKsvo-W7A zj*qO$bceg|rPxCx;u<(E{vqR6P(Y;oX!KuN_l~IR_LH*x7YyZJVzNOuh;8O zty?#hGdk2P5ZaR(gmsxwDwma^#ZVaxzFi$?fAVvgl^!E*Nq@quJwQj?dtq)|Q1@Y2 zrz|gIN;gl5IQqq{K1lR;B5e9AKE|Wo{Tp;Q#$zh2nak?rnsJOzKMR?0 zO%i{~bJd1ygHF?Spa{qG_!F=H@sDez=AFj4tKZo_PGON(M;@PKbeBRr3s)zy&eQAk z`ffA|Yp>93GZBg9QyT5Bj5Bku#(}F1*Y>x7Dw`X+v62z}Y-Fq!2JYW9h!L{svPK|R z@Ia^1xn-z52D+k!KD;y!w({C;I>h?ZjjnA)$X?Q~mGt>|9ZSr}1qxs~rqixy#)mqI z{&%ofY+-WzlPL(1j$%;Py8IOI0des?H>{k4{&~>FGenj}2d_6}q2|jILyLh|AQC z4sW_Kr!fbYg@thzsqahHWX=z-9e(0Im2QLMG$s@pevH8OQ= zEn~mZy|-Y8mpL+vrj&bj(OG_5O}A|rUf*r4akuKOZP~cL1ADqA*LJsMBlPWr{;2wA zrd@jRBavGL-xc+sQO*C2YRzh^W&5exT2ha$m7pCs&_tbc9v`To1G%hLEqE7iyD zJC>WKJ%eknWH=LqT;{RNJr9*dr&|;0O-{`_a|@ckS~sv}&~xwK%AOI#=i3TA{TPpF z{7a@zYVybFug}$X8Xx!31qJnzk*h!kR#UHp<12MJh4yG&zKre{OA8}9-Un^5a^d=W zIXcUBzvRky&4ga)Cylk)(&xG`mSk5;Tw7=`pG0{ynf8h4r=i|=NMjT9ive|sT zL_(@D-{1O1`keUp!{eQ4#Rv4`*yfn}c{bN4fLDSun{CHWgn!RI#m=IjqHbSi^)oe+ z+QK|6o_@?$r}^HMPQFv<_;=*>_OnlBe||448^AOyzwvkqOSNazXo=QlN2Zff1vY$6 z2{*`?2aUP#UyFT;Q2$wO#jjIpJvW@7&+*8g8R)JHeM_BDn=b5A6gHb%ybI%szIQ=0 zi0vt#Zup!2s$)A3#@YWCe zMs}V4R#W-0A$eZ1_#I(SRmSbTAz~%310^k-KKEBv? z&(u=~haBIev#;F6S)Lnf&i`Tjw7wKuqx=55c1gk$lU!r3 zqXOabI>%|zNnKjExiI2=6?2YuMqfhiA%^>R_oJA1>PREM+X2&EJ6`|NK8m%T!|?3SV^g4QTCYSm6+wbTezRa;urD5CbNkg8ED zRU>L8R$~h>f=Ir3e?I@j_lM7w>&ksUuXFBmuID-TxzFQq-w7WB14A_@BmFyw{<%w+ zHtvBzFZ^C!R#H*;PeJMO-QX8KZkLr+lrR6MuBfD}sQjOj%4I9}z>pWN?w21ubM?Ng zblJ+}vaP$zWqr37ujrP@HD>xS3=9Fu|3@%zv;SYollFgQ7~^IKYm4;6E)~?C%xZf1 z0`S)Mww_Tm9vvsm5^C)4FdJv;Z|WZ!${{o>^@O>>fCc;PXSw=+i!xZRVFSdH(cE6Fw8RJlWo zm(e=VE)n>Z{4-YP@tSFNT9ykw$fXH3p;zwuDK$o$ZC#|?^(onui*`gWtmr_nGJyWX+itYMB3Psfm6DcSUaZLI31LIiBz(rzf*K$JMk30|qxEika)b zq=!Ytq%#T9kPZ%9kI^}jAoU4Q&qZ)4UNXJ8cp#bZZ30$)_Nea;tuZb;*$Z)mz-&m^ z5?b0i1ZNxyl9Ti*g7gdI*1@B+t)dbAvmKH)cA^zI65JDFODHNbUk6(dlC*92quR@Z zENG|SM}mWql|hcRa}%xQs~Ot1k}HRaPy>OL@*dx z9;8oePSzGa=)`P*Z3%7KSiu>`|2OUbTjc-eQvd%yK%9VJck2|y6%iU#;j*b!B z%QFXHsY^dk{bG$KMEQ?nRm8dH+opAw%A61jDhcvSws&;ePg!F21-D3n#j1w^fXTao zKhky8ArIiaGb2eDoi5c1D>ttB)30Ogm&VqP%*sY}K8>-P$xhsBc&XY~5LJn6Mj}ZP zgMQqmT(Q;L zJ}Z0W2@wqXnO5&K^gpT}h{Ai*9Ftp$b;A&2(7lcaM;@wTvh6B>#f~|Z3ty_x9oJp2 zlnuV_&|JD>+xw(L(>2nzSEu9KlB;d+Mu#S|#jPyN%t7Yf!yU*1icKgnMhFo@A(tqWQ@!Dfd23*WuP1 zO8-hL48E~-G+wRQ&4y!sH;z6+Tu_swaQ@b;SxIwrGM#Zv0mU{9Wl`L-FxI$iG3(w` zCqnVeVnEqpxICL$X~>uBC|CIx44oO74nhDIQ;UM0N`6+%9^7TXNGsU1goWh!n} zDuDVdozlL*$3FkrlL-#4-h5p4sjB3L_G8QaVd6F^msE)U zhLIa2SG%ixjH&W<>ISq!Xg;K&`)4K3oHBOucOW|e@54~3M;VYcP)LQlnwVj((5KNm zDfUNSv{J+Tj*cy(*5BjAxpizuZ-MGUzK-`}$MkCER=GRPK54zE;u}7cGL>x@%p$vE zYc5r6MXH{zyCtSqmO-8BN5ywqbL&u#cublLpBz97k28=#67}o45v?ji0ps4Mzo|RF z`aJWu@=JCh9$Tk%-qwWH_VBc!j7KI_%(hkZ>1B_O+a%g+E9G7V%oiJgXyr18cmJq) z9Sr_bW){y?R29oTy}tz$^+i<=AY<82Twx0)kM5vMaxypJAq zTB-s)PL#K%YUY$Z{H=?9W*l^^P4!G7BmYT_Gy}L3{5leR@;tF43!t&I%?4$Gt;d6j zN)pfuHKZ3@tC(y=&7!^8f#|L_ZmL7Gc;zf)P-I@EBywlq(do{d=%4C26-ZU({EY5B zAyqNcqeZSAxdXASj|+`|4!(t8JoVk^uDjvjF83?R;P3SghxAo~6t_mGZ!=d-=6qym z{AROfu2&=KiZ(`u-J@w*lEE8O<@O5^u-u2AMW`U;NE=yn?x zA<>81DSu5z7y=z~MXC7BmhmuK-=S2|SN1@&qx4}54Flh*Z9NGd2Z@;ed%L$3C$v+; z3RlV$aGcWtL&Oc$Zwnpe*ORm#&c>TrG^4gjO=qP_Z-Qcb>n!pXnzu3(I+d$MZ@Z11 z-Lvqp{h*cFGDkQN$1kdYY!0DMm-iwEKViZ@0=irNIPI(>Q;8|-xSo=8nB((21S7MwP8ZCd`(am-w&7;pU zNr@PY9W+y184oLcKIQIVQ00TbdW^?=)h{6X@7q+L`>8UQ^-pur{S-)wj_y+h%D5arK&1;NMDf~U{$;uKW41!;I^03CHSsG-|xc~ z$%e;gc1I*Q&B$%PxHe@7dclf1w9`h>trR2uRB_K#H$FA5&aG`*&N1-v^z9tYj>~dS z@@P>EPwoprczCH^3;nS!bJZ#`{9gjnBY#94Iju8>tDg0o^`iVPWEbZK=~!Ys8-uT9 zYy8cdUNfu@QT_8Wr_Hcz)H7EZs-VicH+nJ!8`)3_?2g>hca6khLG0=jsBegTAbEN) z&5>QF{-eqy55Q^@u;uyZuw~M44mx?x(p&KB!>F_&a7$3pqks^|=%srj zb*?L625o*yl#AOF-?-X7<`jat%a)Qm-1mJal&3{fJU(nW+yaGk+?`!M(}eJ;d|JRVROxw5)KYA6aIcP0 z?xrZ~xf_2_1d=ZYIk<@U)eIBzU|_m1+?XOFHsVsNNoz*=cIu%~=mhQxRZ zg5uQNY&50srA@kFXD@niFWnQx__0OgpgAy(P6@sF28e{4VLTisCBVU=G@t359olhq zv$sh+5i9Rft;;DD*L1Mr3S%2IKu zChD}-+BvHFw};NYs3=t3EG(7w*}GrEpOPNYlBoM(SV=Cd=^XX1Vnxjzq7fov0l$Cc z;@GTWt!ay!W~0`m(L53zZ-|2?MZH4mfiC*s-eD#WRmjx)16Tg#)mDY`7x>x{ib?lZ zHEL@eDak+h2B+O072lY*NJ&ZdST|8OE}U4n*8VRk(Xq*KQ>iY?&i|OJ^VG%`2_CbXVt+fNOCI zN6sMBt6HG94UtkT-d-B-n*5r85e)GaTz3Im3I8FSTlAL;TP9>{{HwsX zmPo^XlA!n}2+CxoG3Fl&C*WSsepg;ev~oYIEviap#>iar zE3cYJam2DgLs**K&sdJme{h{y8xqt5UMG9_yI^tncZD}Yhw%i=`?{BCg}>4{Q)awI z1Lt=yr}t`qp7*s<oYh-Q9GhJZ>D*h}&AzTu|G0WZ;=Wl^Rie4(#NnGS^8WA;!Xk zB$GFe*x&PMpPf9^4rJO74G{XVzFy9I1pY+5B=%#rBMt2N|E+2=Nz|~Xjm%fT=co7P zO4B^zypnp>?t+Y)%>_z+z=}csTqtQ6 z%Mk#KBvdb#+%o*dn`}!arlAw$#M=L>45;2+X!;CIFAmb1RVMoj4On%QS832a2nrQi zck#EJ%%0-g@P4tcWgYT4uDV7MU#K)C12BgDO{!}`gT#Mid{h&csIAh!>zKmwbTIew z LFc(R|e*;>f=2#-X^B=}$aF}H*|h$gpCOSRKn%}8Y|Ri}pMG}QyYzks3Gql}y< z3Mk#kRu{`1v{0g|P#;FFD=#(O-^>aZ)g3x|c)(vEk2zXZVLo{R8a!;iPLtF00ouZi zKi)=6nCu_3pBM=bT1|v1K3TlCrXr^eV^8x*hK6cO)`!eZJ}h|2m8R*GAlFMtON{a< zcqufUdXP2(`nCU%^XVxE@rx2o)(60bBqs*fKGrWtg^#!vJLlT6cS%95UpAcmz3<_D z>Vr-glh*PYdwRO$uMh35#Q*)f z(72(?5A|_;kcvq6{bWj+#opy#?3Y?qkJv@3}SH)XaT&42v;S6?r3g+Q* zYA*=PNN6+i#j($PNj_N65d_Mm^Y$6S-b-V36F}RnSpXNG|2ntTu?27R-u{D>x8cR| zmsX=!1oH)ZVkIF#>&acxzYw%{3`JE^B6)F@G)BuR*BB+co?Q z*}Z<`1@AtL(~$-?q5hT3%NoM`EuFLeboQKxiM%qyo|pV|YfWxOP<%7jRMy>Y#_+Sk z_|DYSyAhQ?HMQQGeWA7UJ0@XOBPt)JkR*iqfu6ei76)z!-!$J@go+m_aCcpqVw>SD znYW^)yjToh#v@+&6l=e8+OTk{8tW5iuZlwT^4e$Ay8i;%pW4f=R*7Vc=v)I%f^drn z&UekgKd#VXQqL;aW06WyB*y|=KV@X;o*q|~k8V)mBjIAMq0?he7uPGAJ|nXYu>|G) z97J99NZpxCSP3Vf{xEYyw3?T<2*xL0HHH**@riK7S|swyV4g=MJWdSpZ35nG{(+@`&B!&pT| zKxts2W`llVS?igrNT2%_w5cnjw|>3AN^CvS6$-ULtFQw}t~1HZqyy?zt@Y=AY2G`3 zb`pLn%&x4*Sml*1vBSlmD^b%WgUEO`5NM`>?{R-D%xq89|V0Ch76WiPA-NF zCkPZMmQ^|M7ewU|pA-=EJx;!Wkpi?Ar5HyBTDhV*w$X`48+Rvs@k7+SDaB{7t*_5` zthavPPsH=8Q_yA7CE$=p;F3~d_~c`#iQeH3^A$jEfSu1!;z&^7NL*r6`~o1rh#(?f z)%j{rMGhF*-A&Q4b(Z~6+*ap%+v;GzFU>>ub3186jtKHuP^)+EY!(p6Df-&^gliI%b)~lHJJ!!3T`aU zoiF75{bovBx#Gpn*JL;}zg8j}7 zi|5!?!4rxY$gfZ9#miRRaqL+s3ig$VQS-m`hPxu_<9*Cy08$`yhHdF!;Ou<_0k&Sc zZZZQ!&F5NUE3g~~g{izaRp1dz;a4rHYm7(9eZ(a$jAIO;@jUIjP#BvmFiHh@MMJeR za84zLP%q8XtO6XcZmH0+eS=ui-jd@KFGKV7awb%1lL~f zxQw>nC-3*Iby80@AESc=P97LKmTb6gSP6S|PbPGlglP^z${Vk3SB$Jl>5>=1{&k<0 z%b9sPvAl$qpS(vM+Aqfm9;hk12Pis!t_r}vJ@_Kg<7hG5opEv^m%Z2g$|}Fs+s3gN z0VsSy>>(IKJ1(5WCM+bu=CyXsyR08C4d4m;_B3Za>;lgQp;kb0gP_#5OY-bJoFS6t zr-C_F>_Oi0wkP%=oYevWfWJW^{Vc7D+~p1ZmD!YRn4YmUim~1WastPel2sE7K_FJ! zeNtOuR24$;9IQ~QLa|y9$e3^tGy#78R0Gze6e2_Y%RA#|N}+JWKB6WuYi+Nq$1rh- zEj62C-)2D-KEdBd8PUMoz zPOHtTghJyfz3m3@RLVR26_vaI zhQb(mLWUi}>a`VP{G6A-6Z%zSt~XyfA?gYfLQ6`_a3yA6YPPaEYw+P}t16lc779?E z1<}^4Ho_TOs~LM$jE4%q>^wL@4tr%`G)!vc@8$6~C)6jxOV>`$*=l9~8He5gEO8DN z?;uwwB9-QsL#0AWTaO-v>yag|wNz;l-9zExl`YfALaHVD=_S0F@jZ;m?YRAYOLz zEWqWAG};_9w9R6))+E$Na6P0}f_EV1Zu1f% z?nT*Kp?{ux_4Jr3xqYzqk?^U@H7J(ypirLp*wF)cl~{5Re4E33LicV$Xm`+44h+9w z$kq(p(J+(VE)~fFSW11a?c}KZ^9s%#M)lCA07b%D)C&hKOtXmK$7q2qlQZyhEVCfB zRd%)Bq6l+d=A`6EYbqqb18;mVs%CO*;E>Y2baV40QsV$-U<`d|`%D;mJL4+n7W9B0 z`myG>?BR_H$3fOYThC%8nyTEs1;X-Ur1|9|6idP|NQDl|FHyr;ou`!EPp|PkrJvEq z)%RX6-m(b#$yy2{8 z9`@Sw`DFqo5usMo06clgJdy?-6Td@vmH+Jmf&1P_s=%L(Z<8T&onOQ6L^yw${=8z9x@c-Mf}{@;Wb}!$~HJ@`I5T{6u*ro41e`TT>)ME z=d9?uL3^(att>K=a8qZIw> zH$`undRh7Qn^vMte%S%{ugYy1D>c71Jz`1I4riL?X2hVKF_eyzc!7tKFOL164`k`7 z^U!1WWk~Qf!qmq7MXisT9~A!FnC!_AJn?~3yr-Vlz>^4hkA$1ig8P0iv&P>vZiKyB zX!vJzji)Dw^=wbx05CB)O27Ba2)=;|f?`K<8Lz$X7a zNPhJ1$3_AZIb9m4C_F&$`czmlhrD`dzR<+vilO+BZs)Eh2 zrui9rLy6V4-OrZFynuiut&S!baP|-DL>;+eU5+*fcg$jMN5;xc>RU^Wg~d6q5;ouS z0Q_N9M{;-V=&b41NIP&03^+PvjmhDSt+ z(;g2G2hYJh*wx1Lj*R!UWOp$Yg4Dl)sU9~XX+M#P-wWYmDSFnfd0`!a{y#__WOL*F zignJA&#+KK#0QVJHHOx9OPUyLe$@|*;Uk5j;c?^<7Y4+PF%zVYhTR9UONYn{Qo2Lo zam<(kLEu80?-d@b8nB(MaQEisq8*DF0-dP0BvvTTjNubJxeilRHxB>NQJ`E|8-TYf zzl(UYaJ!+a{>>;sF(v^g6ViT7#T{=4VM2l{XkkVrFFbC>%?aF5+!=HZ<_z`_L2_;qE53}YLuH)wKtAj1g_q* z$QjbKEA2PyChS%-^wn28pyfj9Vq~+XD$4yR=Fln7~Gl)xiNJ+VJt(P z>UMPoJ|iwB4n}WiAX8yoz1QT{-^M?Lr4LPf2d24wR9wmNdVInd+T`}}*2>@iQj&Gg zZcTG*GV5ZxYG!BVDA)h9dhQxY%)4QGH$Y%Sg(abi39}CISZUUWfvrH=hI;@Db3bxm z9(Q5;gy)0!WkgVJ7zIMiVCpvi?54D8`zI)NucZH5NiI(|k)4QKH_Z-RV z_e-Hjb964_SO78&rkFk5gGRfnH=GMnO6=D?{;Tm)ebyM*e-XbVtFHGJKG8SY{}+?rf(Y z=kZ^G6y(mb;o$MI1EkI>@mfN+zj7|*9_Pfw$Nq1MWGk5=mF`;z&xyqlJ;UQG{VFP6 z>zXhBxN*4Y9j>VBB5`*MjS{u(Z-hN+RJk#K>etm#((Dd%p}vU*2=2YjHos5(6%BY! z3_{}uGBr75Zbsg>*@`OpIq`cdGk^Jmkpg#}#2?c}J=3t2}wVxZ5==EgTh7nWXIm8HZjpK>UGh>^)xa-)o{z5F4|&?ye7zfbT$J=?0g z5943%R#CYMPnPMez6b07tE}9V@B3Y9cc*rxh8)zx|F81dQ?l`M zSl2Z0l2iUm$y}}4Yj#WL86wx2Z^>@%NI596rTi2baOPsUH$x!bXoeH&-(I zFX#`ardw<fc1d5+vC5?)|>olAXomEFS+*xj+{s-4fUmA@Iv91Bhi#;2O--WbKcPw|>7jzeDUr z<4tpRetvTPT`7Bf&r;D`;L6vd;tc-QAjn&TWRmP&+GR|6JI5C%A1kTW3PWI5``(`$ zcro@0VPCcvFRt#u&+GaAphyo51?7of)+tVRzHprLjy7_?G|cHXN~GKLqi3KTSGD@j z3LlpiNs6N)?qYBK!R?SCrSCnSaBmB|)7_nb`};NdB{Uc*zvMrYz)l1a*F3LrGxK}f z>!2RXUrQ#^%{MZ?*Wb7F{rn|a&lr;utkuUG7t_f;3 zD`d{r-`|V2{Ix~zWmWpKsNGaLlX1^xz_jejvE$zGN4K&fH%U&y;^x{Cxmwig$@bpbYALAY+RR5INr#)^u8GUaU*5OVA1rTHJScC6)&!H@DCTe3 z62pUu?kckwlma^<&Bx!MSFb?D~sCug6a5VgZR$B8hhVlv~8IF07INnb#>Z zQC|RT&d_oZvcm$p1YsxU2~br1;kh3l`s?!PLr1QUWUVW{(tROca#+%v^GM*!y>>k& z+Pg^dD_YAo?`})lYu+vdVUxJ230aX^P&W`WkMq+pkvm!W<$%U8f@INtj8V)KKMt6R zCcL=yRV?qsCAimUW% z6QW2HEp{cZRcy7Li@FH$Bi5&UHyAmJ1LWg#4Lu(#g7UqVqu8lhOb>o1co;mzX*+n9>N;$^$>r(oKWxZm(R(T086)t!#nB=x z58cc}DE#wpsGn35mZO&!?Z=DKkM-lCvbDf6Uan{`VZ(fxmzRP$*IHT0G593?WIrC* z#g<+VAsiRQ#~)sF3w48xc)}Z_Jd+Q2n{bTI)LIn&!5##ZlE8Mm2k2_xr74>ZNvQOM zMi+k-`9;q@<%W$$g@1eyCqYUo*O9!wc~aP=`0fh3Li-05s4w}6*YYQ4^}3<=3mEl; z>{nl8IL$AW#o>}DY8)^za)18@hrSKybHPkw71@!_H80Nk2WWg?mEUpv z5If==8pnh!IB!97@8V^^YR)g3#sN5}k7H?!lw1DrcU2V2Ma4v791#P-AMKtMl+Ma% z7BZ%mE!2@0@g8`OLW9{4sqo&m{4bJgcG9>|u6ynC(vNX6if0^@9}t9736|Dze$i1qwS}!0YZ%Xk9_gVgamr7?M^8bq zlxrNw)8TDaoH{yKaq=axJgWg%6TQO=vyScLqM8#q1t>B^6|@?2El@Ewih2G4QMe1h zjsv0-V!gTV>oo!~an3BT2eBY_lsuWn5AL)IlXrgN-dL4A126I^Wj{YZJRxl?Tv^Xl`zToY zu%&SQP^4uth(0pan;6<X>y+Z#f8~0s_>7T`dbGGPSQ=(Fr+WgCd zmva19#CF!S66%T7SC{Wyb&CR)PGraIzmz=Ut3zC6oNVp?ZK_oXnE_?rD&eE@i*Bn~ zglL}!cO+&V(T|C3Y-Q*-G9#Wh#v52cSihefvP_!8w?|i&y(N!8P!}juuRWZ@^w^x0 z&_|4b3q|;E_)FnFvSBapI(=^rNIm?)dk2QP+-#v~-qI_cwAgBAn~169LlQ`1X@uy|H4E9#ma?TVUNPH5kqX&`%hw9IqD1W`JBVz$j!ABEm| zA#va>y%Q)YIennON9LC^6Fa*Rue&Wp)F-l{ACDK^_Z_lG=&JeyTU0Y3JIQQwqImn9 zuaWieNeu9?YRU!TSeI&G6L}uL_$bXKV6NhmngiTK9(JK+FJs^veVqt#y4iU4Xg^l< zo9Yh7XJ}_ekX|~Dm!bd+m)wgeVk~WYI|smMbp3P>=eb9{vutj@Jh7-c;xo}-H2zlk zBsIobYaz(X?Fz7_(y!gUr6!#f-T6s%VtnYk3w#x&H*8S$%fIIHw)i;Z`2q{}=C0Y( zwm&K|HJ<6)6U(JvOP}L~u+WTz*c+#I;G@5V=Sw}mwQP?8d3^+&oXl%5%y@^`f($&3 zHA>W{i3PjkL}1M0bv82eO;Xh@-ed&6z~WzfTf41aZf7GfY8}U+)m|=`)OL%(S&83I z+&>evUU_EOc&c6-&s3X0^mP!_t^oxfEV)x#W2;u{ch$z%O!2v2B4)F~)LKri4y)aQ z36je_o1VuybHH@>2(n~BeEG!eWwatY?IPIxYcnM)2Ea&t73+K+Ni_yBMCokcT3DOa2^G_syX@PA3(+6x<)OlO=Z3ESC#FjKlD_TwXk^C-B-fkI}e61|n z`{R0mbKFsM=Q-rx=AH@j7ml8AmQGH{#R0H7dWWTx=Qu-v!sG84IePMbrSlS4%Beck z4!rR^Ar6PY+iQ$PjD~X{gX>Sxv>f_l`z6Xx7Hr$!s4gpz=iDZgSS=7iiA|DqmI5&+)^a$3%=qjtr9J; zhxh>8RRdP+3FgE2rU|ka;{2&eOZf{Nv4DEsI8vNGRZ4%&LI7HpJq;`NxV|i7L$#<- z5KQ8n`0hV!z{?EMTNu?&n|wn}$M?nH*ik$!$RUHmG5lx}^5j}F;yl&Q|G<6end^tB zcbJ_RZ}%sS7Xnt60pD!-_99VfWKsOCnPl~_K6t}5S*m8KS<^7`?*VWR5$nC>2k9}Xp?bG7}HZgP5L{Fw8@&+Y+$yIsQaPKm)6cZgx|r`M!9 z8CoJ7GLJIS5da|a{e!2=1ZLabci#(5i#qo6g~8DBRFHbhcI#unP0Ecp3^&!St5byx z#`m!Rf!(9K7!IVxhS5U1f$M+i#Q{6wm#J&{bf|eb5cQ>Z0u?Nr+Xr2SFeCY1tJ>l8 zW7F~*6MyY=3F8kK^G}?FI?hvhBtVxVTSkc@VIy=f1i7=6kqxZX7xdmOpoUSLNUcp62bHJAps7!qJVW>Br~Rv%>7N_|7bBw_f%#LHwk!qfl1QIV>u z%}J`86@E?eQPJe{P!r;3{AsLT0Ui?vU`KA~d?aWQdAl+NZXumEoxa`RKYoU{mwEKE zcCRKH!HBf++RZ|1uz)nt&P-8kknb!}d=ziuQMkP1Ra?GOe%S9YyxMY>2ALBd8VD4H zIZWd3q5YP#35o=NcvmNj-^6%%m^E}~$!HzPJu&VvKEA&E?n~DJi)q?vu01y;aJivq zr*BBF+0)uNNXGHuH`f=AJ-@RTKe1q~Q<%FzM*faHn!J?HEZE%jOL$wEmdWRS44qo& zBWA3c-OAOH4kcOIsl9U+#CW1?#OcMvbsDGF9O;1oE{>uP2@ z72GoyfxcwHPL-tNHKKQzk=GmcuyFv+Lz~zQj*BYqJ~Z;C^D@p|54OA+>wlF z2Bo8ZCo6Tmk=0Iq7t&jKEZ@JK(Rthxo+6`qHKMSeQv8df9sP}+tjmFtBlFCMu1;;@_ehL^jR6{nN8K%ie+cfv{dCALZhPMkY-} zQ0PCL&wlqmyQ>=BPhK}zRtverB8j!JE}~v};5t`}UfR4*r>kOPur!=JOt)q6tdf2O z1NTfozKf$ti<AQ7g(c0Q0?ew>4$A%pSCw?S2bJ z-82qklt@auXnOGXA6M9ixTSpSX(NF~m+JreuRfc8ZGL~w;(^LY?NvR6mc3{Brutxv z<>NVcdgewrj2Q)Jfpy-n^IfE=kvq}0Cd68F=EKDl>47v>m|7UwPYj*v@xZ^jaT+(1 z6vaif?OMuL9!TRsw%^k*88{OpJK{;#$ewuNn3{ZiaqQqx0MDgkL&m7{khc3UH~(sM zY7Sm1M$U36MShQq33L%sb{}?`Y>sCRHx^R7pb~&uk`zKIUpYl8Gu{(BE_ntGI1N0z{gIr17Ud$41< z9v6eB z9}w5*SkhSgZ= z>+kr`PfvL;w@i0r(u61~{?)haDdI$IS7V(g9ghWAS;-{}(azJe68~#3^{+O%E@w~S zB+C7t4j6h~06S3B17fxve&Ig4NXIb~X8$u_p@jS&16LvmJ;C{eBIRG*;7RY$Mxq4x zA4CXY3kdc>V{}U#J2u-g*mL)&OAYtGk#MZIymRA){sk>~)fbYM%ZMq|GK2rAZYwNsz+w>S z%}#V7B3ACtEtD>~62I;tkGe$XTM4q{CcHk#y?4;9t-(hEU7BgZuOp>VR5M?P4 zM@wB>L}0Qxm)=FNZflP$Q`CD>r}45Zi!CzjDq5BS`_`-#yGP-Hh>#6A^z_J1_^$zS zAW3o#-iuF&Cg0MlFL15J059hJumCR>gJ3|GIoe6WyAon6SM0%3#Nw_jvt>1=PC;<` z^A$BF8gGliS0U^2{{DMrNhj?Sp@OV@~7;c-u_8T+Bw!;@j1pkefqm z;M-De!>sDJ1>o$xoPS(kV&QX@#o^IC2qqjqSjsmU#DR!ljQRPE?di~BvYAV&@=qZ>D zR)`j6zzTjAM`P4lwpC`6mq=Y0b@C7V*9}@U!qtB+s{DJd7qWd#mEUwXy~|mi&N^_~ z9%~#=PRbrxx}|@~a$VDA#6~2bGQJq{(*h*R4pQIJ1b`##jq`lFQe8`sM;n*;Koa2K zuS+f3m#N)dG`pf>&~M1{ee+*YX@vu(kRkO2hbx_qBY#|LU$wrz^Ko62FNzJJ>?tp% zB=Po|JvM355E4ZPfQDwvN6fZ!Yd53a8WTO7{T&s><cprA!tkMPBpi}24NFiM&iW4xW> z_T8&KD;+FpJZyCRwqjG%nHCpePs{0*ALf~TPLwW%r30r zh`5AwkFlsLZYNJqT@5g!zk`mzYwT7hJ8{cB27!9gac^Qs4AQb3?;}p)6ccGb^aJ}O z2%z+o;1anS3(HaK6m$4#5zEo~qbzvuXQKhxh}5>7U^Z>OMld{G(@VSS?>1=dl3kB8 zsYIfTCezXjw_*ihRvPy5h0(_wDOR0D6ZS6YRRL8$+dU!S#l zEJ9dMdPea(cXZ!>d^D+HGWf>lU$@Rj=~)j{;6fT2jt*cd1T&^GqV;dJz?*s=F#_3H znoEx7FZ7X(R@tF%UBO%A{%uSqXQ3eJr*E>PeL5=?py zsia-Y5q(q5oyA#>g%5LjLLG&DaS4!_{U(sjKm|cTr+YT4bKGe5I&@SbRcOP?~87+SR5rzV&H6Maf`E>y+v%3qkz=g*(sb{f8w@J-9ChkIpz0Hxoz|J>|1MZsstFz3R2vnxs z$h1?G?aoLueKjevWNRm`)%4h4DZ$1`yN)i+0daL3zb!>Wv+mkVUiqRdHiNfwTGVQJ zd@W@)cIeI*<;dkQo?DrJRb+#1SETg*l7}8DW{y^UmZ^8HNDH1XL5#Q=ECT)jswLazJqFwk*QD+}NpvK8lpuNOOJYgw$$ z^av@28Qvu+Uf;c0w(uLdQ~Tnfv^XC3yrtQbn;87;iN;{be9_9c{R{q%bM>LWt5YZe zc>A;VKf95p?&;6-?BNaMoA^4<@lR?SUpzI>Jsi28F?>n8!PK1NG>O0c-S`9Cl2f-L znqY`jGdL7`$yAR7d!i(kjPzzjz`>v8E(+n!fkSmt65<_LeWhtilw45Sdpsb<+wt^> zgUUfx!b^Dw`M%L-u3f$QTPIe3?|3%_1!HOlc6aFa#cYTfjw>MLQsE%L?WQ-8xDj@m zj0|}lU-ki?7rT+GKTyv~u^$zDY0Ea^^8D4qE-VjZZ%7z#Z=Ufzf^F+fCo?LHqqFc+ zodrGdE*Q#9{;xZT5vcRA9}q(klWEyb)Rn;>i4+Fm?ON|U1++MKrTw>uxB`&6t^Ojz zQTB2a^quXH0flFIDdL9|8;Fp)%83k=oov6Y-~GWoPqN*((|* zhjYE-c!sO%uUvK<^t`{EK(Bgnx{wW}F~9r)7I|@)!M`WEf$8EEq#)@KQ$sO@`->31S_-ge%;TLc8bFV-) zH}}KWe5Ye4XVoGOTbftpi8@{Op;lqZZJtn#81IKq>^-d#2VT<{on_IP7B#H&taena zp|0yqkj$Q8OwGG~bAelbrW=#SN(0N-Xc~JkH3oMi z_%PiWP17LdHG5x&&8YWpNch&-E)n6T{_uq*?J@zLy|QTMBuZCGl=X$iBjep|s=`M~ zhVeKG#frGv4ITcf+dD-6*PQDxEa4xP{o$)i7GF%d{km$-lf~F*LSWa&jR)D8(liZn zrm>&}Y-k}|odF~W){;8he@~MmXX2|TYp)7bbnwRC>D;q=ep>isLh(W;BjOs}bx&A} zB0TI!-$>CI=6ZD1se=13^XJTppMU9PNZZp1P#S}lDdAjhzX@h(*A9Jjhx&k+2d6a9 z>jD6FSTTAcX@XG53HwS{GCl081MO~|N#5TeiKz@&t}wd4uR$x0`1@5T|FXl^^3h=M$*s0}*<8eGa3_SIGAh z;b|_#C$Zk|j>IC4X)!cOAQaVBh^`deQ~F;*y-{2BIvT*_7aNsH1f4_HIu15-E-*F< z4_8l~M@jVB*P>iK>rujZxgkM_CzR?q?AEpI5!y|-S?Icrq*I02l4qy z*96J4jA?vQV2ft|F4v#uY{$1Q5(8p|U+?fp8s>ml70=3hk?6}|ILy-od|0p~ZXV2QkM0j(Tpm-3yY_g$&mSr`pFzEKA zvXL7YY15u$ZSp1~tsh?#qbNqS<)+>wZ{ttOGI3hE&{n@V?}m!>v<{n79`Y_;Cpv0n z->-)lSBTqKFZ_uNDRag_?tgr=o#}D-k5q=Ijri~6baWwZGlxIu?adzTxOX!^q{W%E zFXQzZzkadi38m#z>-z9wUo1!#%1;p?CUo6+q}UW*F-AZ3OTlL4R@IK1_dsNhsXls< z4J(l3^lwv(e;A}6G(4uJkk?HPF*KRw125?$)0n|a&)_@%)c2y;qlCb1a~B34eb{E8 ze(ReH1;#NAf0+|9y0i?TLb6m5{M=E@RB^}`8a@0^Ox?36qZuEy9BpCoNo^L&;c4E` z+ZIQzS8EO!_2+~+sH|iP9&n9Jz?;%DkMn62z|LNvPIM*Qo)YP=Up!sjqgHC^Q;tl? z_M|X^JFb`wsE7LqP>T6X+4k;`1M$LHc(DoZ%?|@LT*y&M{6d!8i4$-@_F2gE#YxX0 zc*$Ng@(EDV#dyQP%1SEtY;E8-(|5}MN7Z}BHMunLqsL>xLJ<@YX)3)&L3$GrkuK6} zl-_%Q00|08he#Lcy@S-y5$QG3AwWWJp@xz`0=YTw{oQ*%_pizBKF^cc-Pzsm%+Agp zUogj{eVU~S1PLOMJ)aL`T(2wKGV`hw(s14iQo5pU*Wo@8Yhn!)bT>CnWJBiXOjG%Y z8g8LPBAr7R$rP0RQUcn)1}3}sJsAa>yEPa@;OcCY4=?i!GOrwxW9|F z!z>0s865ZQ*AUu*<3YT<1_#=Qq+q87Hq+7{`RA8Q+F#s$_B-w3cqO0tSC2$A42qf_ z{Z#0VHmS~BsZ9F1C%WKNX_tf9SBarxRs0+H&uU%X_l)#u?{Ej!9du8%QSeYMP5&oLB!5&b$VUsG~ z4Bx6GHAbWF%$Nr!d`4$1HKznnyDvsquY+*ewiyy+{_g~_u*^NFO1&y$i@4!Rl$F9i zkQ$hKg}Q0{$gLIAdLhdo{y6JXp5v~`;Wld(EQ|TK*v5>1TpvW%j0SZw93zQLj*$pv zzXJ_?7Q~7_2x<5F0UUN1&OiU&wyQ$qUcl^h!BxLm2O?1QUrSEANpZvd~E(YCt;W2hh0-{w8FOuIRP zrjTMD*w$F93g>nU5h(LpaBBY-pEx&Xc_=?4O`4FhT@)?6G7~B4%5~00Jl<}*St(w` zBitj~Du7@6Vr=;MS*=>y1Fm)Y{P1*WZEwIF4pa)+*gH= z!02&b$}1K;KDFIKX`llZ+R3a5(+Uf{M`3HOOM4;Lj%L*^9ofOlb(J%%H*yVMC{zgq zw~me#($(kE3weC}Jyk1c@hnIN-+v7zDtu)BwfsyVR&tfDlGbx9SK@nX)XbB*4}uQj zbqqggu1`>QuF9*;+?X*(uinI132s}MjbzmC+ssI46?MmSrl`7CoH{HNlPs#SCK%mR zw@$YsT{W>F)&ZS?e_%I9lCn|?4+3rm4pZWOVrGg4DRsGjV)l(=K9E=E>B&VPp9hEg z`P53#H4+7W>rT5oS?S*qs37_;0Y0xn+h!+!Qg7OGt(tFJ-@iB~4DE?ITgg!$FfbFl1tVK4t09-x?s8dsGs}4O5Yj)DaYdts zRRwMM4vH*3mM|mt#!Q4bKbxe`q)*-v<4ak7yj53rjsf%}dIfU5(oRp=RxZzP{Ii0S zJDHAs2HLsHDLLt!Jh1Xv)S(cOPthzzEjt{s4z1dh9keRlW5<+vWgP#l{W@fcA>(+M$D({70 zn7A1_ZUkz&gL_vPL#l3E`H(l+E9)aBX&8gao)=Efg0A^B0vq^9o;G*itbUX$vz9pf zb25yw`wd_7Q*;Mw;s3GF4q@-E-XE%K-0Ym_R?^Jq>?U1Dt=Il595AYh<&j-jFsCCHtTSWp} z_T}KNJO}>AEg-#FM|8Ikxv_(A$xB;~f3M}ZozuZ$VtHt;qwfbse-=1{-mHwSKy|J7 z*R0=I+L05iT=nojC$m!l2LT24#_1@a z`XQKHJO*$oC(158CYgO-@(KY^Ky88%H|1sUU3cVp@S{r(-z!oDTsOpXw%w%vo1Z~-78!7QnaqLl1jlEG?-v{ zfl7}0g7b$(G*fZ;MvV<=iv-F7?~K>f?&>nvEx4`-_`0kcHF?wV7e|+Wt5;0BiT+cK zLLV4qKD1IX0ZtDcsNbx&(zDecV%$iO%%4v?fk13qQp(qn_ei}HzKadnLtgxsQPF!& z#_XkgWAG`T-G^bSDr4}oR2M2~K0B;!#v@s?N34y0JU<77$G(IqQ;c$M>Pi1X`d%%( zt7*d1%WnGQhKx@BRA~18*M5GCN2Yf2rGo%h>eNX_#Sb3z-kV~(kOx=oxd&>}LH%p7 zlx!l4(&Giv6l(C;=oArkb2lSa3YWmL_i;uHv!7|vsAY*k}$ z0QZV7sk@g3AF#FU|0LeYT)T)SB|AS)-+x>?&*iByfZGxAx+Tw4 z+zZud#RGIiLpl}P=)vrv{?thQTDzg|Mu29Qxdz)pgat|;p18KrKwTIvXywE^-n29j z`b^h5w>d-IMh5Qf`+h)BPF}m%5S}V7>lM7CtR^h#NHHstAS0qUv>`7`Q*c0=`OQ-0 zUm2$%Mx11YeIA2Ihet)x0qAG71LeZz?EwSjy2&}ah6Jlks3VWyh%`=AW~|9B*+*Q# z>F<nS2b9S%75_M2+_zs&wP<#;-wk#m$>10=A||bKB@tVE zz?OG?Dvqzo6p*OFpHpzzTd@u#IKya zYS7ZP(J5j1cnj=IMmW6O=9Jr|VIh;ZUwf?8HQ=;?VUTxGj8^&JaaYn7orJA(Ey#;r zrn+GFSAk9M^l_vjlTPk@(93}M0$HB=Jp=RyZXv}lPsN4S3ein>0boqBkdv`j%-ppG zL6rO?@?8X*jS;-rW|N&ZEH;R>;<{QUo14yTCF3Wviu_WmT#K#g3g(*AIw}9OuMgC! zs$MHwm_0p z>mSb}0Q#F?{1wN|-7+PvKAF;xLfIB!?4|BkOY4v}k?Pn`o*1+Gt7&tJvgo~7Maw&W zSMYd&iYw8n2vz1#JK8p~-}rN6uF`Dmxb3dX53|;iCCphbVoI%fAns+`+XM~=0wiFd z^{04kn?!0wfZC*|u!;EFu{pWQU-f^QO_9zg1vaV4fu}{Tb0P^Hd%UIyfdnB)!1NxL zHi2z(+oPnSz5utKpHPu}64>(#WJ~^z_eq-T5?_MaFGuxS?gr1k4_Pak zj6-yCNIB>2v=~u+*1gQk{`%R<0{%tL+^Fc3cS)=bqk;tSjsr>pLrYzNYsqyY=dEiM!MSmW6;i z<6)vu3e(_A`=Upw!U+MHjCGyK=D~>OA(48P>073@4tBK$9$()VTFYFhb~=AO^k>;> z2(UFSG0t1%f_c-jT#L2c_!QEeoArA&EoyAOEOUDPw9ar-fy%79 zZW`qEhrSZ>S}a19JWez6z31Q6ch;w+At9p9Gu|V@2!Qwvg)+%rHiV23-m{>6@)@RM z%-?1W$AAWFIW~ac*czQyBpw}toig;{2KM`IfH|N2a&IW12Qyo?azH6liaYUwkpB z+iR=&s;af{jQ-Cm4;VGz-Y)HeDcCxBDo^aVxcF!BRPFTOjsU@eWpSCWBdLCK7GY4h zOGH^Z9e;h)Ga}i=B-0ilMW>RrqKEL?)&$E96nbdSHpd*Fe1Ct-%{k<8bmE`ht>^Q8 zl-vlx)z0MIQ!J2jNtR7z{!xFFijLUmdJl(ny+ixor7AjR*%i@ugbybL?Z>8Nb>V9` zIm{DqYBr;sso~_8E^YwanDyB-5}p^bJELw#fB8i3XJ%w~`K~Uj-=X$utb0TKZAs^q z@^K}*)AEoS}KMTSc=>3(QZhc}J%^D@3UX;a2wE$G>gU17sMS>u%( z*`pWBDcRSD&XPc5>`;6YsF9kTnC>F_mL4OW1nQjWN-eVz;s=)25hc~orRa^$f*4Zb z*6+NEmX$|urPbs+B5ECV&mv6gsnwqqdYBq#MoYh&S(%n%?e2J5#m{=;oUt-(%<3vw zaxaPL@_8;MSmstUYG09}oh|1myWNu6dE;?0^L(un*3Id86Ik8VHVo>I|=J{ptO8>zh* zq8V4LLej+aj>*;CGx(@tANxU$xUlzH+FPRG0P%y!g;xacY&tV)?pWTgD!qCw{Vd)& zV@N#uT*o|C(>J$Ix4PZcH+rtP7yOJL#G4Gr_mb}K1-{M_ zTR|4CJn?^VQBiMD?$+2mW0X8|(mL+2Hw{E9YSQ%v68h= zR#+IL$KPuu;e7Q5W48ye&azOjljSu;Ynp3xwU-L(+4WS2?pfaN?zo{)%!Xe!v}sww z&?e`tQJfmftryeI36s&gUWYzCO}qnuEx+RJ7v$v1gr-$XrbT2e@ki}FdjvA z57J~y@ISvAYB#HjFJh}DEWLG`?i zuXB!uvE@@^`5^oJ1lK_)OT?z0>K%P%a$p?oYQ5g_4wAFW#id>|C`EVZG@|JQC2na7 zu`@BT=p)9ZRGfXQTd9%`IQfQs-3-Z^u*DA=kpAk*<*zfqNC!z3&BUhNE2$xa)=M)V zC(Yub8rlKnQ}A98g&oLUAIg7+dL7I!;$MA-d}vVei5hiT(WC8B z3zUC#t$%~-lK31djD}COi)NKAtrayI)XG0ZZRHl}a8JpeMvD3JRB zf*eq)*6TQcEFOj}#%!w%Y8`*C(}oAK?L-4ybD#7$b~1{@C_8UwXY(5OF+GW!E`*9q zE52FvSnvh%L{&d2^3yndl>N=Sq2H*1#D{aSBYH~OIL17mDvEjJA&N-Ol&x-8>3Bo3 zN4LQ?KE28|OWJw)Dk-F(6G$!56MGNZX#K5iz|QPcBbck?sV->fEh!ziZE$=FcWy#yWYYSUC(kRsnR z_3@k+s=HCOf0@tF{-`R`Ta`e+4r8wo(QiP$X-?Xvt4`N4W-p*gI2wOo=0DCi0{oy- zUZIIGt!@qPUEM#EY7@CvFcYa%R5JG)&oFeg{%JHZrmMPWfo9@<~t2zb=)4iB3zbBQ%|t$}Z;% zYY&B7l=iW;kETUa`daL{R+{|gaoirx8GPnd?fmp8DY-(Vc^;aZ!t&kRZO7EP|H^z| z=$rpPum+zz3ch)0o_?&oTleZwI8vPu2r0EbKe@}DdLiZGNFJs>bBGJAwEb-dm*yev z9riK5g?R|>g1--giV1E{w7sY3 zCDTr)+b+Le-QzJD5%_NYo$;cw+%0!d&D7gTrEYBA8(FqE!ajzevEmgXjx>*mF)vM+ z?!A6Z+R_x)?5cEUF?Rm_^PLakN~C85gAW~4xmd7l8Q|)`!Y*dhJ4@-QPiKYpo}EMf z?T1cI0=4`*3y^d3uYq%BZ(AKwD{AnuAqX#*qJzt{IKRaRtH`vaAqm5SW)D9|u|ew! zCJuWsvv0o4yq#REJt@lO>xpg?l3B)-3^^}9lo6&?YF@YVdvFYzlv3+m)jDW_t2E(0 zSrmYjG?Yj1KOnI`b{gup_j8MV-;g_L)^PqMfd9lg;iB8xO0z$9LhtQln`~9OqH|WH zQ4Zim`-IW7D{yl|0D`D*d5}=nFm|OsV?YDB;tk9HsrC$61;NQH{Ht&Xgspp653XBP zzPY<3{cA8{9$<&!beidb>;3|C(*e)^qA2W@tLq)g86iw#ezLLzZ1ernqr=X{sc#WO z@n{`Sfb*m#p}nv0!Z#-6-j(`>hRy0{qO2?4>R7|_czd7tX{FlQg)2gHxlN4+@r=S zf+xC+IJ%9-iRaT-#DJ-^nFkRWyZ){%?FkZCr~9ufi!_Bo33o5qVppBu1?M&=3y-@$ zTIW(*w1ZfVXxji)K{@xv&%|Bxw1t>;wZAhf&0^Tws*4s5VBQj_=TI0bnm|M%9{$#W z@zTNwUg)-i&2C&;!!n_`ZE?t{LemAXIOr@mL-w;>K2V-zM6&(xd}FO$b#Oa~M*=pr zP+Gq0^UG53omCFzD5sR_S^PY<`Z*W62b*UkyMF)yv);}~_M2^u@#Do%h*>$iKwx1K z;bAwQvSj-C$wV6T+%+38_18=ux!LiU6$dn6Vn09@}Id33-)r&vRur3?m ze|EaCZ{_{(j^&WJWnFp456Pb!X9<@Y;wkfmKa)o19T7uUD7AZCK7xiKuQv_owFVpL zBFq+&Fi-R(WM#wIZnDOMZ|Hv)&?#z9QMyRJo*(7e=6@P2%s-q6Ko_Kumx7LA&>H)X ze#F=Kn}qkMX>y=aW3R~38S||0L1x>+sKz<#0;Q@kb22BUsiXlW``0mv| zhRevLsrp0WG(5dZYMFN}Rcf}VIUhHeROMpq!oBolqbs~`Rclv2Zm_mEPAj!k@SRxEem>a@2CWc7{YtIr?RT150bX09kND)wX=lE(3yme zx2Ph>kfQLvM?-6m%!+}}^@bgPbpup??go@=h5`qnLwOg!nr9Igu|g9Q?6I?iTWe8_ zNAotk9}by;NN9a|%tpYkc7oKUQT^u~Fd^^juDd?ZZ z_xe}-t)uG&B!-Wh;`K@W1}q)h8f~QwnV`RO{6K(tnilAvLGO3LiEh$WUAuBy_l4pu zpiYKivwd6TTW|yO+ZEW5KvBU-O&pXrFmGQ+9S;jcDA*jj=zg1(*mYV@C}3{R9M@QS@cHh5to6SGHZg(|?rVAj7viyy+`nw!(*5PbzE(~-vXH}Q zzb;z)0Wqegb{khq8?5MXK`=L&xPyX^Ukh!u7zDb%FaL}!)gP-qQzN97i;8C4FA&rJ zibhUAyQk`$5gOrYO^~xPar(;SWP&(j?wo{<9wGUG)EpdEo_(#!(%eh`=IyhX8U3&a zh`W)3LZD+oDvz3PYM`tNUz^upvE=6%=g!n#dG?3zShFSSos#~R+Gkm%ztZDiSR3fh z`0?ags_PBU8zMRdl5Oep{}5>vZXbovkur46?qm3TekC>OO2^IJyW8?W?8&f*m3nHj z3=%(y{mK|R?l#8U1m)t(UzeSGl9B%E-stY%^5S*6BFNcB&(J7!skNY|AOILyc5d)G z+*^x^x;WQ9IJseUCg%e-EMl7uw(01}(_;#CggC}Mj*6Q}rWel8|A~txuDw>Vm0Tz( z6|H#&3XMD&jol>t@ot}urrS3|8GY04801`JtY~(Cj5AWr@#+Ax<(s<3;lsjW1<@U# zLVS&osH!?vD4|sS_s5x~;J)bqSxo(g&J|zHVva{@*wpUxoDNTZxW>aEtbX{ zu&&B?vn%J+w48;WGk{gUESAb^1B@v3ACzVQiqm2JCivp0l4B@D?*eIz3HeI~%Ym*g zxzPj9#sZp~gk+&C?}WaSq}F99 z0aJHyX*#Vpse?nASN_hLvon_D`=!{5{z+eF9lC`edU#Q5n~}LFmo=L#vNu z&?zAfiderfURLVjd$eludf}a;QQMbhMZfNn4So~lT6v?2EV>&K(f*gMj=|V_HrO)w zvxNsvD#?}Qw1?AABv0;+NZ*5O=l=Dz@}DcNY1=e4`G=80quo|n06}Pds_0@YIN(19 zw+9(*+}{*mbRG(J3X;s9TaNoWtoHhS$UU|jpOTG-Oq7trYd&E~-5riYr{cQ;qE^X_ zdI}YhyEzT{?jKCQeyx)2ia$y!t$Y$cMRm8fC{ot+rl+(2YxVHH=o)@}CB81e%@l%}D8*<^Hk{QqlZSVX&YLU}a$R>G*j>)jKmlRq{Ib_?ZN;GMTnY3LDGgLCWI?*$1rp z5_^daMj~rN!{akTn%1zhgs3EFy$B|dTP*6KQUkStT?jA&f^Bnhyj zX7o54_cD-#vAth16X4#_XibGUzVu&OSSAOQRyg~1ypo&A(J9sWJ2=y+p<H^NMMZ0tk7sUEl2Pkv3^_86ApDchgl#qn9#tN;}3r+vIcK*IhHKcNrCkOxNvF zP2~OH9C%eiPDZ5*8#725I;0(!KI55@Nso=l6o8L_LG$SX^&?Sqv9*Ja6z@5(qD6& zsvOS$Zri{~3hFBiEd%QQrvt~0bFTE6B+|DvoprL~m(WYs_>|b^6n$fraz*@gk4ohp6_=cj7= zL;LuTxO>J=7r4n4@Khs-mxukRvJmv&b~T{+ZvU7MGN~~2Lo>bT_@b4!galLN1a}X9 zr;qgCL7NYAk2+P~E_V0`Srcec{ebIkGguoAi;rrqF7~~8U*y1qFDE^H@m;b{DW3K< zf2!ex$9}lADkmmLYM5K=)|?H)c9!Tc_kdGL9xTd#hr~;#%C`7CpYwIY3(LTVn_cTy z!)4o?a3PrXrD^b7H`u(9+v+1k@Q7IH-mY8sjzs-Yi(wPDUVzc^tX0L9c&f_jqn+c; z=m&Hn>r3n=dEzCO3OpjK^NV`YG}A*$aAd_}+juu(t>&G)abkXFLHzNvb6f)_>`(6X zs0t(PEAg}w*<#t9lfeBqSOYZ*$HzwOrw?6fBWeenj&n2pg+b52&(oLh=AHM-Q6X8P zj=y@!sbAn?hE69RB#m&7p8LsJOzI+@YjJLd9mnNbtFj73uUWaMBK4_t%~a2z*|PDc zvZl2IxgGbj4j9yD5;$#Pc&{HYoLsrB#6U-CP1iMQg{_||m{Eyso?2^Gq6r_nuM zy|g$<9})#qx2s^BJQ7r2&@M8eEzOZNWz9C$ z1ZZ-&eWIfsF8W<^CjSHz<)2*MOVqPC@K^ge*WL8EiaZ~MrzWuxo}+YfBN3X% z=On8GjcnnPNE!K99=6yPOIe;=+gta0-zdk>>71NUMyWr52e?Plv<@iCVGCV_{x9%Ll>!X9FndJ@)!xiwwJ+^H%Yolh4WtIBu|SO~;4{ zs$*IqG+;AY1MGlRkA!ITB|l(*l&&&jl+=QhQ01;y4pFIT5?A?SAAO%&C6DkpVNbvl zGdc-j<^DL{hxnDO`Gbr)a$|QC2Qkb4vRjB_H_bc>bEh?{@W#PQ6pvkfHj+@Iq>NBcWWaTO}!(dU5@GJHe1b*P>aZnnX~6}K0|{; z$hfJN_X}8*85Kz`TR^nk`DA1&6rq*AY^r791b0ZM?u+pfS7AAWdvWuu33=*pGH>(i6){jaIa(mcse;}*z1Tx-%Xqy3Tm?*I%ReBrl#u!p@P3jVO%{@u zfoGkOxZZp`N^0e07cB)-tmKG$3+NOn9jW?`@%^jZd-_6&lj7&@7UE zi~0WP*xsJS9ute_xr;3p#NioQ4r&EENn-l)p;zd z?2CvhmR48n0;2oqI^7k)3U`dkKx^G5I-j3xf5~#WTtc4R`6^X8-;(94eUS>S+s^u& zm6XVwewg|jv1r;FmH8My*Za-w26VJnbg!X@TuQS?JUjZ0U?_6>cNBX(=Ko>pria_+ zQLBnb=718ckqVkg{H&{O6)?Lq<)H-KplHuMO1~O{&A(wem+E2_j%`k7!Xt>p_RqD% z+@KogNdzE~YKs*mtH9xAT78xb8E7Fr0x-cW=I3-*zxM@z2sc=+WYiYgul31BORP8J zcGT+I&O7I&r3C&Z%>}Mj#!GQ%6tNY-FqJfY`ZB-=i-NjM7ESBm5FZV(mw*Y-M#6PB zsOIU;M{GIB&f{W}hB*V_jAFx|1H0uEmcj|T`W7ti`1O|_Eim_4g82)*orI8uP^rq! zv33?3IKWWNwM-FwEX5o)FR)G``0usnaBd7SXZntAHc;*08uu?2DF_8agleL1)8Jv? zxYRKk`UREowh}&Wq7d{(n&$nOx^@gi{+C;S3s2N)#6A(j7Sv7OkpwplUd;?Rzpc{J z_VKWJYAM;ltRh8_;BAejL<5k(M%E3xmJjo%ACy?}DJa6^icaq2Lq?q@`-B7@2Cb`G zeezXv#X7iwE0jat}etOBBKp%KjA{G22rF z)C5$DoTS=L5_=%?>+bwqiYdkgGTmR0a275}431s~DW}+ZY6u6drg@1Ym{z}^LZ@I& zJUCcC-{s614P@cH0@Qx2@dc?uh8%`-&-cb0V6mYc+U?qv|L?V(j9+ z|IyGPu^_34Br!|{%@JVKh~RcE{yUNjWLL^XHd^pW1Y_#p_Jp9$2j4 z1upL{SS#ckrtpA5l!OVMUJ4lVa@7S`Pu7)>C(t8lwpcEFbJ(9+ile#emroufHN~pS zXaUz`(z3i-RVG`IV0etKY#xMRoJ*Z@B^TXq-h7CL9GK&YzexsH)+^gf` z0sS5708y5s*1TEvTmA}93(Iv$!{q(K`Hr1F@-_nd;CsiR#p} z$nwar_p)lExx?Nb4mF!vVFUM-wAR$Z8F1x>_k-+w)2GT8TUbxjp|40+*HkWzcv#XT zRDG`d);HQ`+}eNa;iI5ihOX`LfHkF_adoB6E0RXsPtf6ci^G6IxU&S1r<-~$71)cU zBDsvuy_j}2JZ&7eg{NF}8p~IBOu_<70mowG_l82OuHqdekiLxyO|r8=H-A9gI$N~1FR2Tl) z2c3+Z*QmwfOm+@_)nkjNZ<-`u z5KFn6E8w`JO)vJ2Wc%i?qR4HKF-wyyw%37Q+;vJakSOYLp{1rPlHMfCY?u=5jyDdP z`)vfa0&Vg!7vB@X_x}&TFfW#Xk6An&^*l!DE16blhjASaw*a^1`u6X$#0!c z=;|Ys4R1>=f{-|t1ys^YiEKkAA7^dBgJ2E1x@?ad@w#_h0ZAdEtl+-~biFFO-IIxw(3a7_R*s!)OhL>IW zU4^X}fUf*BmKayE{~LRIAEAe0muKDLA&5CsYGa-VLiivMu2TH*g%UuUi^DmdS*vDFp`Wbh6+~EY^I6HmDo((Ln|5r5@JQpVV)jeI@fX=Zc31R#;t$CjAu2ooL~k<19?5nIX?fQ5Y1^pOL4W&QJxHR60L%xAy3zC= zYg`_F>h5IuyIt>57;Oi1`-8fY)Q#Gd>wclVpcCL|5oL$m+hwD`q|K|h*hnIUx<09d z<}He_kguAvhf}JgSxatwDk|CKMqS#f>?@!WplutU0w|7uEplQWCUsp0n<$``TMQ4jJP}J?IT51_oLoGI9%A!2J`!yWpB}-V4RlEptXf+em?30ZTNkX^wJYsK^2}-_JHO1j8dgl@CMw(zawATwFt8PU~~Z>TPA7RgM?dWv?vct zC+%Gaw^IBjo(yoXxcCG=>Qx+KUO$EbwO4m$ER9-zfxeiu{&fZJd5FpUSLqg^8!7?m z1tJ>?bJO2xjH;rr>|lx7O1NT;2-c{>I@A1OW>nVVSBOu8z&aT;@^{f1GVL$M_dPP) zsjW2tcv|RGFz-@L;F+N)2_W0?ro_9A!+@FzoC(i?n98NnIP-=kQ!H3F)C!fj98RF@ zlT28CG$hJ$Tu7-hugo%hZG5QF?Xs8!9@ysBkTug=(Z-b^YmITQmpuu1QQpO57dO5? zkFPx&aj$a=&4Y-toY_huCA!AinMS91I6D6NFoB1oV7hbKf!kK23kct-)1;q_?5x94 zzDeaY5i(*+D!PLQBs+PzhipnU4~kJoHzD(r2?VStO~#2?1*~eA32F5;%Ftb zp6J4mPx!hmG6icofp8T-3D9icFE}NBqLXYB4wbJZTjsmS{5tm>Op-fzy1{N8Md>~Qp z$4%Wxnynbe&m|8_g@+h9WTMbhePF#G8` zn;|pfkIg`{9?Md3;lN)LeT1go3)FlFo}iu7cZ&sg6qM80%pE>4(zB?%5c+wQ6+bNf zd{raVD*bAui@QTLcw~~RaaPPCtAe#>2`AhAU4tYaN z3Ob=xqHH!GtsZ&~9ax1ox>(q;x#ITiF1U`RTNFw?761#HXQ-E!RaXtE34+tC>?Nxo z80;!juF{sbI{v3+ouDaI0<0x3$YM;g{f}@oh?q?Sj03hinA%QYlq&y2BmX0*7t2-` z0PJpCSPjX-^aOnzU{*o0;0!$&7KVk;i$ZL41&gy<&r#Bf<#$7e*TXQzfm&a`G5b(*`~1^SaUWWH0Fc|a@tcT zJu%4h%OTnBX8+#|=0TbEO}6)*weq>_$xp>LEXf!zbjZEwJ8sI-B%I61v`N6CL;7Vt z)QFGyIba7{ml3J<669+pDw8E1;Sl;@MZ*pglu;f=B|Ks5x{seiQLGak3zW=4UQ#@6 zo3?E$e+fA6wVPHY^9%+aVBo->_$Gif_62$+y;+4f{OPd_7lUU@z%M_XM=Z@rim}pnx)(+Up}HeT7c9$B%i!w#4RAWhz;g^6hfnAqkllrpqKDjg zs5@-d%yXJM_nx%ZR=~Xi2Anp-A<*A(2Z;N){m*%eXBzI^^b3)%*yOJQgE`P$dvyIA zx3n4HZoijP;8BG4zds$QcSB^B`f&n!)VC!s&Y>&0J{;%?SCt#sejEk2Bvx*r;*0E# zubs#IjibPdA62(Jv`tyK_bENjEYwqJ2658^ZM{?2Yn0&r;I?EMBbs&j6T>fUbq5fJ z&jHCN`+{v_(p2)p<(q$9nOrNpYuXvkzk%AKfj-(7u+yV9x-%qXHLu&0nSeS*elR~! zQ1Si`zyoN*U@%(W)JO=TBU(3z^v$oSv~Ox9QEJhFAMoFLyZyBJO4OtKx)?wL9wH5u z{ogPo4qxn?2x6+|wE5 zsC?+OU=;8>l`J8hmrtrYj6YJGCxY7Ouc<(<+9O9~G=o}vI|P%`DOF_c8gb#P@HUd7 zyx{+U&*rzKaGaH1$3B`hvm|#$TV(M~8af=4 zYsrbNjOK3p&hHsT+K3JRY?6?`kJ~dmmD0htGHz{Op3jt^_czL z_>bLr-7b5FVDC2#(&y#2)1r6cLMF_N)Z-v$i$xFe0A_jLM;VqyUJ5W6kxY2ekKz?}1h=-pW(nAr2i9M-a9gJRygYwt<0`yZN=btSZ*)7R!+g$5| z(BKB^hKw$^QkbX}ylChu6cQvvSTKMwNaBuM6VM2d3Y+v8p+@5VA)|@eW?v>;2j9xo zkX)s>@Gej4u$S{?HUq9(Jt!lmJg@KCQT%dv3K?lwORjKr(f%Jqs~*Z>A;R)s(KpJz zHs<4rjGh#i-cSziF3sO;dQU$G5$s9SW$@rW*Prl_HiuK=rK zJ2(3L5|{l*JIee;H~k~ZzAf3qmc<3;V@_wI#46=q{@6TZLn&WVnHP}{QWiWC1Co*^ z0(%bbJqJc@fYx#-RoDjI|No?$%oL4{B=-IP2vTz8<0JSF;2chM&||2hD59RRnAu3K+!g-mcHeMVV%Vb0ufUz;m zUO^=qE3V5tLff|#L!;f8+PbG+yN6LCRVjagS%~j_0-t{ZteRR=xD4Tb@bKRv;S!qm zR|lGj;CLoEyP&eQqszpRTkFlN-IwZm?ey;Dz)T_6#>@)Y-S=`lPGYT9Q&UawV?wVf zR~d=Tm}Wd@<{(8iwlytI1vTQnwn(XhYBLc;aHug$ddc*+FoDTgaLIu&sh2=j4$*T5&zi$!M4?ufYZ z0uy$$INn?prEAPJJ$;Al0_@v1dz5;kuQ6-+5pMZe022;fMi(O*P1xjK%i!PGx}jnw zbi?<@NwUjdh2t}PyYt63Q7ro^l-y=ch!Fykh>zTEBuNj*sMySR`ukrR`JU%Xnud89 zvq<4qwb*b9T)Lh^-j_G3Ws6EYW{+T&c;Te=7!equQJZi^un~f-lI(LoD{W*6v&)l+y3wrc(5RY`~H*tC3BI@qLR@wm&l&80O)$bSX!7(9mA zvv6wVomUdYA|@zR(rp_RHqPbFEcnoIeOV<|WP@VlnonB!fko;0)NFvm>ohynY5z+o z;b|vv2W06>E7NMRM?O9m#wFSNjS_B9b7pX!fgf!^jh>2hG*?BoWzB|oZ?PN;Sii;o z0J`LRDrKjTeb@hW?>eTeMeePmR#E&u1q(8LL^V52@ZzXREQTi{Ap30I*Ey9ZNHznw zoC30pDO*?F;A+L${B#7z28wzx(d?_Ce)XaAkqdx^bK9PwY;z?s{@bIY#<9D11# z&6i`J_?%{yfW|leGhT^ z*&bKRyO)VU<}sbTTHa;d8+ki*oO!O@dwXzyyheF&d7cu*J^A_536A{CdrQ%KrL+a}gB99aYx&;&hhMnwiTsSc_&MU}ml=-ym*L3I z8IHI=QiXL&x6_W?Uh;EB9`$>xth_((8nBgzAQfRe;1GX!AIi<_4`3pURl54x}mq_xc&~wBDQRu zu*}b1zr|wv?}v@$=RQ}<&&1OQrT0wjsZqJP9n_I$=n+R;WjON2!zAy*5xwXk%1wtVcu~HVohISakhjt~+XWlV0BEvgZayw0lWvx8@Yd{EoOyw6_m^?;Ov5dB5{z z0mpj`9~I{^`bVui>|k!SsrJ_2#_|EWjjQDYasl&L(^ks|ZwCAC`yHN( zN9$|1ieW8oi$ETEgZa=C1CD&abHAwFEWemv+y;)^4)O;6i`H3}s$S=di~K^~phO&b z1Fo!>oq7Gp8#-(3O1b~PSW25y$o6crtHaH`g6CFO^=} zBx>JN#atkEiS{PN_tMyIlYf)v5^<5dKbIWlPWFf8zLkd^ZxllxGI?WpqaUZWynziH z>AiyA1>d{-kaY5UJY~J?%C?*fBcfz*Jy82eD56hjXeMLYyBhVxIZE% z^soA{bN3fVPVj5}Bj<>LjR)%n?;P_#Y>wtvw8c3p(fy#^H}-4g$F5gL6MG*%SV!mZ z3v{n)u|KH$C)d4~`Z7>cnc# z8?P3(Lj0D29q-h2eiy=h)<1H48PBg*iR3*_@?iT?{J52e9lMCx(33ZoH^^6}cPwwf z*}7lf~^CaKzO~;$9wb^vm~&Gfm~b)r-#Yal!A)R!Y`0 z#6KX=yT7jEmr|ddwR&|nxre`}_DceJo9X(6fjsQkUyQ9Gplz&u;bGWn?fXJ8i&b{( za(=CS|4ht#mG6yfrP}}9Ue+g%PjIJ;yIwNyI%u=Yqi#1X07tI>mGs^(sNc=Hj$g*! zYnGQ9<}XhY8_C;G48NCQo-Oz8m-8i$HRDHUUoxCI_XRPRX`OoPzDM-row@mP?340) zF^jb3{erA0CWU$j__rBZDFBLDyZ0RR7Z0fbadXcIvco^4aD)%4%`U#rGi zH9}Pc(I9L#lg-r4Zo0c^8=;Ja7Ch*o9#n*)h+Zlb#fu_>U`1&02ff;Zhw~A+km=tII1NTv)l zVHH3t$csKaI)t3^UWEBSFI8+oVJtEhXhbh-fJ$>I3R}w#f>Pzu^mniW9I^(fUR%1t z;L_o)D@!K@a$r4e{fVsd4$9T)J5Z=!6?Jo6 z$f^Uv4031-?)Zw840F6P_~Qn$JUkMD+p4W4GM-7sH>hB%VDG#c+>IP|KRx zMc8fr^R1%>R!>)Tt)xt{~Kp5Ot5|*-#H3N6z*U@7b(yNCwutlYD*Rr*sc)G1+<~Xdl_g(r1+2AWlx;Qa2 zj+5YFYIA9F*AR{i%qPJ-!NZPy(3xgqC<3<^741%fqH7s7R4eBE`eY@cqV1Ut^uTg)DF8Q-q{}s_+h{9aZOKfxiZ7;Ez}L zRXl9~0t^8@|NT>KTg^x(0k;%2*n7w)L}3Q{e{Dey=2L8hT>7>%9ERZYx!ZX35|71G zaA};hk-u5(tP>RO*r%?dVh{*>30;wd)DEiUWy_R>Vy_)2CZLoK8X~JIJpHen@KJV> XeDCZe4uIb-zf&@*I$Ze+00960YYNb7E&=+c>dp`^2`BoA3XZCks1UB1UHV-}H<`LM|58#zaiaOhmug7#Nut zn0_-d6DgTEx>^{S5Xl=DSrIW3DM=EknHUm@8e2Gj*AzPg`&I)2QYiU93d)>iI6ZU{-m3pbk(5%a)i%FS6>1MvyY!IhQ4`y9f*8S!zHY&4JNjpS|#&a?`Eas zZLHkf4HizBDkN;W?!9OdN?Oe_XNBo9>xaz{(zDc(Jw8;1`%833HpkW~4>6f+*>c2{ zCFWwoZsr+vIj^Cn*<`GVg=dMM~2m%6g?n>aTN*PCf>+ZL;Qfp;a z+O`D0bE49vx6Mesjoplm&+K}#5A1F~3x5VnkzL(g9(Rauwh+>-LsE`BEU?jB(TBJ>(ru<1cGxoyEBNx@rX zBRBJ46?phzEBPe^6!+{FVnEz%@`Ch=_WI(z(w}}KH+S3Vw@k-a0>8I&)DiJPeq*;#hKX;(k?7q1{0T5 z=Wcmx8D4Gm8ae&Y#+FD3(x%-KUas5MI0*4gtsx)Suc)6uwY$21w>C0L7bxJKSlD<4 zRu99DM?Gj@)ubr?ciR6iGu$%>e${z|*wGbq1$lgakIH79&ROFlD8)mwv;Xuphzj2Q z+cia}&^78`^{vwDjzDaH?UC&0_d=9HJ_6Tt7n)J@JoOoQ<6WaQqg!W zHKkDUSI|qaz;Z7W!XnPwr-(!ep-QoaN7)%r8Gjwp#L>_8$lTv-Ot`p?FBA2`B?J4DG@&5ziHY;wK@5F z?;)f!Jc=RY@q6yK;ag;!9N!s_`LVnZtS&eO;%t*4oR_lHo1yw!-f{Z&r0u93VlHxp zKAYO(87+Bza)uY{cg`8{ZPa(9C@^MK&(7S@9IA4F7*$5fTRknwgf}#;OaO;iMbbow z1o^WR;4_6o#O~bHAl}=%GZ&AHhhb^_*rZL8sS4KmaNIV+j7hc6AM5R^!Ic(I;O-ek z-A2I-^?*5rvE}#FJ#<&W-{!2tblFwsMtg&Em$sxUB~2pSv>DgH#Z#%^1n(t6uzqZV z`T4@Y55S@pw!D`Rg* zu!_IN^3i@YOb-rs9-+ozLEg3=Rbflz*?{ zhucE#kRzXSL^tDMJI1wxrj+Bhu$49)+iY;eiqUXmwB>zd7&#q063yCT9R&FZm_{gj z(oSyLel)gy(0bbY>(hErea?FdYquWLbup2)lD_yD8RnVp3d787ta^L@;FvDga*)D* z*>^CaADZSxjhO@dxD{!Kpc;{jo}`f-t^l;*N(H2)ft3*MkJM-e^1NiPcPRKA!B+E} zya%YD;U+(}JmL~w@Tx!9DJ)fKxo!UgI$asZz16Uv5>_i+d3=yiKW#rFZ?4B34FeYV z#a0P&^}IL%1xEU{V`wM*afplh{#}tH6^vi#oPZz9JRaWZS2tzAxY(=CJ0U{7)P1j$ z?h!w@8QWfmlfh=D2(UWTkHKZ7t$*O z7=IQy?Dm(GFW~K+$al{v4zNj;RMYphz{cXIywRV;`|A%M6gXi~Ni*~-ip6*{YRkIG z!|ssom5heXXVoe|Y&anWpm*(4UGaHvbMU}BZN@$Luvr4#ozB>*1l3h$?|r!X@{;ut zA3*=4e*2oUoN|=P1L*vG;U9^ew%zBF+n(h7oH*+b*ucO?OnrZiFrWtH=+uWD%?98} zZ9e*hsZ+QQP2IpJPOnXwyc0H(F9E&WA|9S8)LUv`S49M`Aqt618xMWTC!4-H3v{Jm zm&E6f0%8f`_?GYMTIJ3bUhDNg?2|oM`_}mZN7n>br#ihlUc@DSU{M|JlY&W|a*%q~ z=smS63H{z%7jlCqGjz}S0~!P3LY|$7C;OL5i5UhHlbn^5*09ou@`a=??1H~kX|)l6Q@zA z-t(~Qny#AWiCfW~*nN^PzBX+38cKrlv;R{u;S0-~kKwhZgZ-LtU)E=V7A#`)h<|a& zo2vN^?VS5~ojKU2S4Bf%;oOYZ9fkflWNYfEL3Zc}w~xOvWYo+8FL#GWbuldpc=tv1 zETZ_`qTJP$NHU^xm%@k{oiv{mVjl$#>rx-EVW2K*?LQqV{WWYy{01f{dprL)@>%+$ zUhXX?0<6uP(DEs-^zSrSP0%fBVQFx?Tt^C4yTX84u9@f z(s^9Cx*BWqzCe=2}*!VVZYTVlhxUCGwNDeo-eTnOaEk{|7{`a5)Nw1RzEMIde zvR`c9D|Z`QTt-*xpL*b?lLZ^bbQbGTp1}lF5>)#k{gW5@Hz$V>;f{aV_GK5DQUh*r zfNdJYh4iy%q&+f{Peyi?dP#nU!UVZe6K=64yYz!?8rzvPFCsdAE7TV!SJVwM%mTk2 zy7E-w$-mXrNt&tjG)>mZ%wiZ6}hUz%$tab^D75EZAy(WTReIN<-RK1kq>&tJ#{SCdz1zu$v>DPwEgD ztDkXq$OS=SKDHv!2*@Sf>D1@>;JMYmfizg9#Cp9P@Gmf-79(oEC4~Wjf;hM6(X;W> z#3GaZ2NqRrcMlI8VSPMT$e;f=!Mj; zU6A_%Yod@aymf+Wcg{$Hf9489-LV%ej5x&^w6;mcQ7#rb{%6z-lsoCvu1tg5g<#9Y zHd?S$`>R{mGsY#j#Ved?^|}WD>QZei4o=6l<5QvbXL47Oqj=`qs=Nlevx0@ljkrbm z-PY*nxKl@&aJfLflH+pZ)CdW&F!-kSJ%sOw zXsSp5W(-a#(3cLs`J@DO<+Sj>e#1oN6?ViBgbHOv10w<${FIi$zE2NIXLZa(n9M1d z2UZnnSflL3sJ^$nAZE(5f=r}yij%t-$ZljP*Yy1|Zv-XQm1XSo9> zsQvGZx%792n)kPRFm{)@mX%Q*d|Z6hN(y9wsCfm366TFdMa@ctU}4RQnAE_s8L#x| z9rd1-^XT$6xn2X39>@9M26jFAo#}|`L^`n+qh6@>pf+~B%gJcKC4_!067Ig0br!|` z;L+yF^T2a?AVnU}MkNkmT`tXOqJNHdgJEU8LACsL>w`cu-GwWon6DX#kxP3#moEdl zc5;443b9#})s*IP)7IcQ~7)8|+Si@E&X?T4_p` z!9;tj9PaE$D&%>9N!OH;@J5m+ey8z}=qDw$aU_odv z=PpFfKo6MT-##~Xc~e}Jwzrc~x7LX!UZFduF7J&)oLB?XuCOr>i9MJ%aH(3Yz}9}EFaZw<_tSC$XzjRXuJvl}VP zLX6BpmU<5F#oT@_K(0T`<2{Ii*C|LL$808t$N=TX5q3$SnB%n|qECcAMoKbdsSDFD za`glPLPro>kRPn=F9x!z(D*9$%g4>a6_GGziRflj>gOfXnB zUVsJ@T5yTC2agcgr$c)z|zDx`{ z=ECU1OUgjqi|Ih!D%AlU$2%y<| zKc(DA4T5Q`50`Hq5ue}RUl*gfMSr|MS!DL^jx12FFf9IkRoK>H?83$}uu16bg;^E0 z8m-&73%9NxhuXLF!tdG|;r4BYvITd%nd7>~udU^LprBivopq8N7Bdz7z%j zK@*|3PJ)#6aQ$p;FC&cy)(yE_|M09ecNpD!*r_D`ttO}aliq(4A*^uYJVC~=0g@_W z^3e??v&);TZq-TNGIh(d$( zK9O2jTRnr^m^lU+CqY&=aX~t~!1dkiK(a32nb|;GVNF*BTg+4RTkOBd9bdj zY}egsaql3da@SP5R7IiE-hFQkojV{CLA!Q?*g3e~saI!)K2Vs&7g>)`)s=dUPk9q| zwn^yNlh|30*t!4baC+Lf%Z$V-cDTr-Q}utZy4Wzod^0ghcC!* zY|5^hH7%qzS(qwfdtMQ~tb&l+_}j6L2r9kZzDo$?Ty_>o3i1~B4hgp_Vh*hEf(pg7 z1OwM(j)b#d>%28=*3{ML6b)|8e*0_ivY$O}0c@572W<|Cv*3JCr_C(-_;!^;uh^EW zrY}kBd{)U~t75JdD-nr8V`o^;p#4dFEG%37n#aX%Vj+FL2Sr3?x8kNlEv21Rd9aP3AG@xTAfd4C$MPQesJ^WSY4ucSpkMXekwPR<6x-wlsTl=N`+Ryr9eMUPPnItX;4^ z2AO+@EfBqQ&HX9qM~{3NgVT>xJmSVmX8XlaC5LR2%_nq{^Q`efe;n-hU7ftfn`5+u zF=h$AKX?@CX7rfVZ4Kc(xDX)whh#FRaxJr$Z6{@IGS`Vxs531(pCmJu0LEtvLrY7K z8xuuJ*;5#)u{V~l(pGneQYDIMvngljOpw0kff}LW*{Y{cgF>dh?laUwHJy5oVfIL* zhx&*e1>DY%%+d%#Q8)>d(ydfU1iv~1RCZHk)9lNsD)M!Iyy^eu*w6u;7#4@i=wry% zHI`c?c&W%})FI3LT5Tv=hSe;tTth6O?VwOH#Bm&(GBnr~a2$Jd&)p)wY&pBJg@^#| z1U0Ytc(nxfaF)LhH88^Tg<8cuHR-$)d&w)`YJgDTy(8sxrDwuSZ&AvInCVF=q%af06h6ZaU=wirl)anOt%2~!X%yLBb>y;0GiK4{7 zDyDCbCYo!rPrgfjqtxbyY6iSS9M8SXx_i=rn{$7s>*=-oba?4^tLani)pDg;E9S{J z7SB*FPhFwi9=PLhZy~jt*^vzD+-PUc_`V!S7|r(n;5{y4GxbtstX^jr^R_0_)b}rPE9~68*BgPlY8d9nkiJP-S4s- z7F-26cL)qQ-%gxIzdRfV1s|T58_NNesMh;G*gec`8OUm&u#hD5ZaP7lEUjla=Vy}3 z`U3}fQkD6_>lMlNY5S11a1`HPm_wY#^I)63F_Bf}gW{6g?nBkXP^-Dv4A%lBrzY#mvq>&eZ!zq02Vb5<1@2om|6Q1m9t%v}z)E z9eQZV2*oFa-0_&4u3s@=5g~igBY6<~`$P~f!|c+W4t8K7`jct0=nqT?)OZH(X42=4 zc*}#3`!$3R_&EDGWGI7&J%osPWYJ)DAz}6)vu`#k(Vnh>*oE7}q^2_VtJHBn4f{p# zd!eJ3ESmI&g%@rkK&>lj-usn7!?us|Xs>+k$F(abiU*49Z#Q@i<3oA? z9v{}?ivP%y9M&Pvc7q-`P9-@(7})nrBr|P|yYt8IXZsANC;P%fkk!$hoee|e+?KZm z=EvEP6ME2sqVDekV)huFSYEtI8&G;KGG0HyL3`afj3X!W~oCHO3V zveEud-6P@6#ll6tUlGRm6`vMqexV~QpJ%R?K>m|E50m~BrKDZtOL9#fr|O&Mm*4Zh zLw_TGog_2NAc)}fO3JFehwN^|co?-~S_+D1q{FU2*|I4oB zVcT@Y%kOyMG1-T&Z>1_W-&@1ioydAmtVo*A4KH%6dDky@H%|DURtMKECU!TZ|5L!2 z@+E<#vkxyQf5qaVlC7N~p_c>K}4}0q@4P*BeIYTa z0hCpDbPzA@_aFbsU9~d8y^XyDED+;8tG|Bv+BRt~k<`@P3Gv3`ZVwn2(F3SqJbUTy z!#_RbBO*Ql^a8>jpIlXkRtL|R{q;XDHxWAvY#?YY{d7~X?KU|Sx{=6b`;w7-j5hA% z6pevdUIwS-eW+Z?@7re|y*`Epkx>Yvl+Um548E1*su8__va=U%_#;0RyIDVl-r@ab zgUHMR9X2E{UgUEKowcud8boNp<38bsF*%nN()*N>GxVzSmzo6+L*C7@-S-QwbFr@c ze_7ycZ1;?yYY@`s(1|>=!xkuemoX;^!)l;Y0fVZyX&?}7BtR&6Bx+6lmD;U&^=EGC zE@&P7Tlbka_mpoJ3_5r*9zs`F` z%n`e*JlgF%Y##GpP3&4aAoFSYxAFg8-nZd#)Au?k>H&b7{94ircvY$Ygk7jvIBhBT zl&tc_J*}BL{l7Q7%XBhRnOPn zdtE5P+FM6#`d6Vfae>n>ATav}JU~K0Us%V>wQI~}K&)I2UY89oFd@z@#kMbO9C?G{ zTt9uK^Zn;B8iC(a4itYEzV9n^8sQ`>(#bI8)7WjCJfCceU-<1!y*JGm#mFt9@k{@X z4-eiGJV(^)7si2IzBlI>_spX{aHQ|vc41m1=0kqqDE}R4LW;f$5Am=&xN0N)9bs%b zv}>O-HxO|@$LK}wn&yEq)DO0M1D*%5(of_C)cpG>D=6!BW^wb$|5ISJ|J5P>g&)bD zV$47MuF$SPb~CBMoL}N7I!ab zefNnw+_LgiU#Z{rLi-*M{q*yjr^Xz9T`kOO5tlU2ew0TImWdE-=e95s%{IN_xdIi? zm!m?wYSA$awllS`dv+xA%DViis0UVQORbzArATiNv`%tzSFwy`p4FN-hhFgE;-p`C|?D z3sA``Dr_bf-Jup40PNu_nCopIlW(q2A$N}(b=E-St;)>aeeU)yFQ@8Wv42gF0L~H0 z0b^*9wnNy#)9lF00Otr|4RJb0HS(26iJS<6M4J4=jlu2U7kSDyrW?~1j1}u!VS2Ai zN}|I41IX(aM;(*XPeJjJBlj-3?SP{O%zL=5Q5E7UX|*?N!KX>c4g2Abz*g5=^fu^5 zSwAK~PRj}0IRcl7k4WAaffez0eRnuO?U);3`mMKw+?e;Sk?p{DkC87>PKNewbPvdv z^@M2>Kge4P;8*ZF=STvyjwR#OFF$(Y*4r-m(?Z?U1&CuCPM&#lKghK&^cT932iz^n z?hr(u{=`Ct{X3DQh}~W!QHT7Y^KeRs5YU*p~Jf&cZs~F zVw3^=`lrt6Gm^WSRVSgCPqM08)4u_iqkz<)3&sBJ8juT=Ah8p*y?!gFY4$Z;@)Iri zS1U4~20_2w`O+{o#9vX5ScG0suw(|?LFy7Rjh}pFbY%6( zPf*3+D`Rq=hOjo0a@Ge72qrE0j3vq5C++Ae2M+Ls?(J>fl+wiYOBTk%XT1+V8W~a+G#AiF)7p5 z>zi0x9v0x8n1`bv(c9b#OLUbXGP9?g8+5K3xr3N5dZ(m2YBo=lAzpD}R)?%B{_SfV zb^^gw7Jjeitg@^l02}CJe4CioPYJEzrRApCAZQvsJ|++KJ66jd7k5q%^+UWp^Suv9 z9;8C@!G9D{AD>yVp3Q$OjtMlWSgD-Gi0PHgn@>)#tbv1j|8e9|0JUq(Y1`>bm${Y) zykxs>JDWAnTP{>2a}_RD%m)QAe*}GGyH@F3!3K$(%uEhzWiVLprlLDdhUuMN1f=(R z!uHG8s6d?gd^GjC7BK6Ssp5V_Aji@``Ia!M^-3ER&)c>j31n+pwRbb=hG@^?@a5wo3&ns)VLNc8#FJ5r86!nn${-+0GAb>hfZBOm%Xm*7p@7+AE#yImMvp2#40rG?%gzhSJl+;%Hvipn3+!IIQ>K# z7Izsn7Vf^P^ns$*~R0Z%C_H~jOpwh(|0N6 zTUo}cT&(+{oH5^!ZEDu8G|0s~pCbcrBbf`h?^{Zt%a;9&e^@l70ngUd^J(5%u5`_4 z0!&%^HEouwXeB@1>r;$BUK9Pb@-ix3-5wB%0?EzBAUK_XgS&5GgsBp<;o5cOtv3+~ z<8REUC|%=UFH@@s0>1#ry0{kWWD=xZ=qF!Ew{TUtQXsGL08aL40>cph7FNZ8GdGP{ zo4B?sWnyPps6v#OttU%X&0<+EL0ZMQVl9oS!4c2{UhCM|{ZFgXr=+_$H@CONo>__^ zAsn<%hoi9vcFPg~t!XfhUh-v)5V#Mksuf$-Q*cY=O{=fcMO){YqFqZ8vEZAiQS!vu zA5wRSDP_Hq9c#by}h~hW< zoy!V@orm3v-hfwUADkK)T)fJV5~&P`T!(vJ^WJ_l0@+H}!WQI%mp99GH_@-Hsd+#& zU*pxJtrm{YSM0HHJDuQ{tte*ws~cV%Iv*%ggahKUuf$)5lYD79LFxNn&nctLdAt_W z-s_~Be^xzg?iSDbrWr?Y!Z^MVg9%^ce(`VldiT|M_!zB!0i*qXV=U~vyi+7%?bCWu zHLN#R)|E^U6{}0G{arS_(rcf{L)4R8Of@m{rZq(|Lbj7Tb+IVX(fB0y)8%G0y{V_0 zj??gF%WihflnL1CmctLD%_K?R(_W%zkY01JFf#Ne;Y;DsyA7|eqNABw@f(WLnkYP* z#7TV_%VFTJnmk?hUJGzx_$qxG}WKmD6i~kV~oZ>QAwKtF5_P zJskEPBEizLy!QS~9Ce#b$Heup>2(jU^3bCau|1Yv8}Nm2)H1&N9$;M#gw?iR(bQ%}uPPMT#N+Tinyez~6oKb;mQI;QHhv=xi9(J#JvS5H$`=cLItEU41 zMvVEE-K%@G{H(7nhD%Gmf^q)u(-ZSe$TYq2V^Kd#1^l*NM!SIO<1YcK*`;LEG||*^ z)_UKd#uhJ~SINbE*$1rkjnWnOI=5@_@TFosd3frc>){QZm{!ho`(i3ZgSFNxBV)G% zCSt&=wuJFqY|Fb!NQf4HN7MXNSVM#~_ubmuFq@p-mN(Q?>YT}8)4|DEGo9SFqUBk$ z-{vh{5#S23*_j)`D}!Nr*~5ve#zyX`w_5J~N96RN{4X0iIla_#rYAkVx0TNc;VYj5 zX`s|puAnwQ?Gd-<*0Yb3;G0?ZHtlrEeRJm#e!C5<_hab-0Mh2<2u=|9$+W?6kCD^n zx+tZIL0MrbhNbs;7l2jDgqnVxK*$fZ?MRWds;&xYSw_r!W&%D6J`*9GZ~JdXIi*IgF+72I%Jb$_?~b$`RKzW6d4aFR>; zIsZo3q?gR)m;Z2+zwm^^Zy3y0$^-C=J8r^33C))hn@ZblyNcd{Mi<|tE z@NM_QjP>Jk@g{k>YK)d^_hEZWmF3n$O2XW}(*64pH$<`d_2&6zI2<;~A64ZCxP5B= zVEeeU$*u9Teoye}?J|36Gkc0PyF_`q_d0SC71%TFm<~)c9E37lW=R!2A3hELA&95p zhoKVD|R^p^t^DOXN9DMd$2M(in1MMYThe~ zCFlGq0+>$(h6bf@x@L|Knz)DL>V8){topSKaL66ing zn|w(C^>4WmqycRaOj_4}cY_LxcL9VFg za;)aLpcfVp7xQ^95Eo)Iu`K4@5ElT5i|M@0;k=KZjlytidV+7bL9XoPkhqOr0^B2c zo3Lxh5Z}i<__i~bKQ06zE?V+eBUpV-a)eq8uMT*&3&Th3g9 zT`&bbD$dZen=^o3EJE1Om=EJL<_11O1wEq95SYyP{?M!u>_BhahhKXV^okaIqc^9( zY5W4cAPah=o6$9%arJNB%+mo~LkM~#nb9?xY4(2<3VJl0Nx^MA6W|`t^8sl@hq%z3 z8G>75&C52J;e%L9hqx%nt70)H1hq*PeEV%)0lyX|fXi;K7SueH=Y!PP&1$|4a&ZN> zwk*KCnD@bMjvnL+Yt9<<=qGr_Y_11!;p%<0yxbkO#~yX{+gv>8(J|;r@fy&VrvtVY z1-}L>xNbb7i?}8Qw|0=%wUDO+u{I931}o4pm!|`_CL7d@+Ng%pcog(V9n=ipNCWrn zK48xS6~~@B5+p2vB#w%oK!it5MJ%;fsov#6C6Z-g>5=${V~dPzQ4{&gT$C)h7}YoL z=jhorY!JZ&{2NKqMszOOj~WKn$d;EuWa}7WjKFJdr3)b_9Vl$^^sd1(n*GgTHo+|a z8a;SY#h8=+jXL1U%|(-qH5r$c#FQkW|Dg}nXV)0`(1Pa}}J zcb!IJOLHXy`&D;O9?HZ>4=o~OZrCJEbdAqWP{RLPCr!T&6-5Y@)^)zF3WGiRO1m)Y zddH(OqIFqh!xBZ;6lKe5OhUa~8Opuar1LGpzc+JsAF7l2*Ja|A>_xj#Bnv!yf92UhQa*4>HBAldlg#Ja+$Z{ZV<5}Qo<%%>(5DP9z z1v<~v_wa{&2tv|O5C-3kr6oePqiG^2AJ2a~)b0Qm`~GqOprm@yEdPiwH7D)~@rw|99sz=`hJ%Bdw#W+j$;h}`Afelfa(~OA z5lW_>gr{bN59m{vKGLkZ71p*4|9A+GZ`Gk@l;2ZnX~)k^tfT)S^wOA_%b1<^cS^HuG@ker9V3eUxSSDhfLH7XzO`RXwA5 zMTREhbSg6jo4 zXn%S*V`=JnVAzovWwS3JRMn{FglJPJ%Lq-9EyxJv{xJ^@7O)~?E)^#U@+4y{6$cAy zr>fbG-)1=l7xVdDc^7sVW@^UXk6ga(trtyX0SGrwI6Rb*}oYT4&=fgOd@@{<(yQ&?3?^cq#FvVY+Nwf{aQ^!Z`$#^2i>8 zG+b<6FGuGh5(k!}A=MgrmscNKYi(huU-C<`S;Yc@7KY|bx`b?}1!6utaOwVES+!Gt zeq*Y%#Uku_d%Cr@$~Lo~w$~O1XBW~g^z)5*as(LjMzd&aR|0PUhSduH^~{AiQ2BX9Obs;q5c;@kYFOyY!YoH;Bx{$M+!W*{0NonT~K z3eljrRQz56xx~#ZO5w9Pv?7ZpUd(J%a}!&r5@TU-VnQ>lH0ux1LNsL#D^g_+2hzXK ze;}&ik)ipUvGKe}6#f2^>7wMtmiJZ58<=~QG86`%3Q3mI6$Xz9Nh+p3+q9-V3T_V6 zIZTbFEWPE5q?(XKaKSrj4N?vGmT;;;PI(iym8>uARO_i?9S&%ZHYK()-KNRXLWCRFh(|Gud)RR1#d})G4%c z5pzm#N>7 zHo0VgBX5m>Y%ye56Rl5le{z4DE3-AILoZM)If)cOSL0AZx;l#N*Rn85A})pCvXcw~ zQ5yziymk<{M0FSFK<~yz8bTpZ_8-V!T#h+6Tjbm`+$KkOV7vIg(>7#`e8+6Ge8(>Q z{Ec(RQzN?N5=kREH+v!FOUf|H628ZSM};b~Hd8A3OJxPsMW=nS2uGZO(8NA~_Ik2L zj5d34srEj4`LsnTu5ACjJE*YZkyg`# z+LeHP?1@G1`n{o@6PP7JIJoW{(P3`I2j@l+W#P;R)fBltb})j%VLARyYsH6*;7T2u zW(oAwyZ*l|ph%jIlE;Fx#jBC*b1(y~E2WVf1#^~A5)A`!M3`VgC2&<*+yn{=b7(qA z#A5y3Kak!%R+~E)=z;Dl8K%|6M?biMjIJX_7xAc|qgOL``WONc27Gi(skns}@ld-C z!<_K>ZC`Upw` zuUgK|8HMpE3aQ5C7K|^A$AOW#cq|FTL4=CW_59Hku3WKdIpTmW%fTTKHX@Pd+(O_4 z{T_ZglWY=`V{9C_>j)7MRlub<20x>4I0l^@7*N8#G869tKjVC``Jg>n7`4mjM%aLC z&Wj0)0Ee+M9ZN5kcUQ%zBK65INDh1`QVtZeWszbTp^5-I11o~nGP#6nF9#xv{5=$8 zR}Q@jJ0lB^+pE#d(b>?N(&;BR{4pdLFH7eV0s%dlXJPp9tWdrjL$I#yKsRQ1j|54>!-WZ!tvS{7;%W;^r)X_=Za)Z$d6E_65tuDma{X4~zC zgiz;wImwP1cy#wU>RE2VqT_q}BtqLJ!b=FgV;KIe6gN=Kb%YRPG%kD7l{iWNX5#~N zx3lbi%Auc#l@|o-Ej6OQuyCL{;n!UNLK-Bf5#y^pHxP;&NXZRU7Z|v}D-fm`{^g_^ zB)($8JBQ$rgnw(!UATc7KaHh$~e5r5!1 zvg)4&#mnKqDp%k+jjh#@|CBZRkJ)Qk-|r;J#j5cImBuy@>HPq!V=;2YRJ0QZYTVho zN@e7%GQ8s4Qr9l5^ILrb{w)GGF!v(fuSxjRJnS7B={-H@Ts*-(Rc9m@bXOM~uo@#< zIrTaAg}Ku@qejzJp~^$5h|KVTPKoDLkGSFn?$1AOdSSgsz@im+y5&rqLDk{E^Y6@= zEau$9S9u*C&Px_fX(8~hDN@zwfQ2+~Y^zC+VAFE6)dCqlkn%)-=Q_2ua7VoUQ;d3i z3zT<&9>{khly^|kNf7F+N2DlM$I4HT5fV}PaCzkK;SYZJ8*&01|2Lvz58kCeCm2>L z7S|lITN3GIZ)|HpK);?Y8Sjq^I4#n@jlKKpZ1ch9@nNgP!z;vyEyA%sgJBiC)ik1p z#6fy4jR}Pb;RpT*kh#T&sy;4W!Ha6?+RETaRI{Su!$aSj zXjq4T>`%(U?Tj>Wklw8-f-7Dh>x0rI6dFF1-&i^7C9E?>Rqe8kupU|Xx9$SwH)~GI z(eP%u>^X+4N6HbUCR@aJKWOs7f(IEsREgDALppVZs8>^YzA%a6g7w@XL8?>X6DXNURQttDW}C&C`OIWV6Q)xL zNx2wHzS#a0si@qD%Th}L>TWaIT&$ktISCj^U*!%crum__P>GpCI0>?W4npmoVZ{X( zRq3uKO(*Gn*>Eo?oMkxp+|?*}S?&9S2fg$6XxV{|>b7HEc@Tb2nxT}MaOfrKbz%-b zO4mZtcZreglNL(z6lw6R{DVe`A-G5j`o-W0Q-ODhF%QbyycqznPbRZPc2dzUiA4if zKt>5Opo=q!>Z~)JipRp;;JUVLi2#E^Xq4oPNxMJ-xZB7QMh6NAGBhrYCv|Ut$&r~`ORMz8%pN1TMPNBh2*n8 z@)-mPBX`q0Y{U9{VZS#mzjb<`DmO69b>zZ-Cg~UyTiNggv-E)U5+u;KLWOBM{)>#5 zbJ^gsUii~6?0pfT4Kv>R>S_!lAFz2x10Yv@zE^E0ATNZ3f+;iQw#6n=KZ|CtA)>^F zTm^xYA}>DskQnj-*=>~7j+((J5oR9nmnrIJA_9QzJc0|jQ+nN=$~f6v_(T0IKKqg9 zj>LuQwo!?v#~0EQxh;NJpin#v_P+w+4;}E!74hs9BP*w>gM)R|AaYIUQ!}j7@Ix68 zKf;^_2wl~{;XR_pOT$u3E&Che2q5XPp+t?QBeV`Jm!>2}O^HB0mM+DQAZMf_B7k=$ z5SCD1X%(_6Lx|R&)fo`YlyN&`jU9599Wn_>{`joT5Td#E>wQyo^C~)YehUG z!w}8+>m_96y7=jyX6rKn;jF|Rdn4hc53*n3~`#qXJW(ph}Whgw7$F!h}6><&62AeFt1P7r1kiXK%^ZKHDlgn74l}gkhf$&v<|)3 zs^@(fLNsGPkO9%U{E-ZZCgr0U5Y5_;*&)|RhV#gDFLUM^7#xsnvgGMKr|^| z%7ADcx+MdmDf%iPJm+0GdiLnb%-Q};pxCEVpP)&PofUsKLzRaA9w4?E@B@iSoWc5$ zpj)#r5ybnUG{+n#&3`|Ukd&_;O3=>%$sfwwGK44z$ZrS4*6m+qi18yizjhGw8({M7 z{X>=%P19e}r9`H9{7E&-3UdgK9x0}1LhcJhnh*`L#tu2l4*8HB@-aa2t-U5gh~~Fz zGay=ruFHUEVR=0u+@?QgY-H_tS`XF#+;`hp$uMLXn6fTZ|Mv-V+VPC;nK9+QsH zY<;*Du>}Z=XRojdd9hu{E9{Ur+9Cg9hrAzCfm^tSvhyF?64wsS`n`Rf|t5hjo~prK6W}azB>C>_^YJ6 z>+shWN&(ZNFuWD=(r9DED70P-)_c%f!hwNNAWo1X!g@pKLRd)7=CO; z{KSg*DG;zY?<)Dv?2w;Z_1tDf+-^nu!iu=Viuk1!@hdCh*H*-DtcW|Uh~HWfzq2BK z4}@iO|6mpJM=Rn_R>WO)L;h?P@>eV3ZY$y*E8<=tEIxZGw$bvZ=9!pMoaEK|sg>Tz zHSwzyyP_-a+$eoGK9$-NYt1J%n!UY4>z1#ZT-#ge|GVB=r8o|XZL5T0*` zd=x!1!gviN_?m-KAdnjii4@2Ojo>nnxdr?b;GK+GT)n>zTQ3nr|&6qud~~-l!kGS*)qfdnD-%TkD0rVDY|A)9m>TW zoqjZ#7I)e^#7ksK+VSY4_SwkuZ#|{-sFVT+9T0xm$EJO0ZhByremS80;-j}RrL0m8 z!SD|Ya`@z90G=-mL6&8U!%@vaN~9-KQ3*-xoV<2HMtUqqWQ8R^&A6$vSkkj!Ds zSL6`h3j=wTy+;e9sFZ@BNC~NpTCA{`0&#HNn>dVGN|ADb*34oGq?B@?_(g=2&oBs5 zN-zkB!%ec!{e{Hb8 zd1|%M->eTbDvf%zzY@L6f$wR!ReN9B`*GEXm(5yLwY6>R) z>=k62n4xMV69c$4-Od=~)h@>*@Qzr*_Emh$?t9}$@U-s7@M!>pHeWoiV5?{1=Tqi` zet47V=B?YdY}nvf;fizD?%g|%M$R&>m-@UEugZK>MwNM^k}C5`FU$D&50GVC(ci(J z^(38T-nXX8him1dwDOTCGu^`~5{|@G(!s2H<7u*lmY4S%Q|C6XQS2}I^{{jA7;8mW5f3K!TVQ>y0^o$kIr+8iS zH%q;^sVLSb+=VadPuFx`3+x%FUymHW4I0B!-^V~-3HoQH%*QSBd`yWll^!@CJ%Hnl zw_=w#U*NCt@VMn2D|4Kah&s+eMBXcNoaczTYRCDCsLQp$YvLT`Q7t@b=owi;DSv#fN7oq$jO&;4xyTYLD1ntEJZ3k#CF=*RCd#OQt zA!si%XqSVAbKI~W)XP3zCuujJOxi1vf84;W0PT8%wj8ui7_`$t`=mh|1?>inMn6K2 zPhCA|o0jEwYvp$?a+%|y^v*}u=OO1BY5$p8`HV#_`%*rAc{21fZ-K`AMY8?@S;u!S z(T+Be<9lGNuIMTsHQH~Ia{erHh5I*IS8Iu)zem!~kaCWY`JIxk=h(s?PucVxN$1)@`qyP$+3;=Dse|hq zexA!`8T)Qw`Hd)lNYXjZ@0R6fqI|V1Uw|_0BkvI!hVxU=PtwYDtz63}KR$!b_jkLLb*c-qilypiY{g|24-Bz!xkX z0ZFF@x|Hs&a_(b%F5ZW(PNh|9jMnWI$3;vM%S*bR2O;CN{KAbA&<#Hb^ zRbCz+x99TO^)}ruKAEkzrIjBgF`V0b`g0|8?`fT-zCAzA^Y!`v2LJ&7|9AnUS9^#R zRTw|xdtG1a`?+1MHdohNtz7rv>bY~zojdEDhck1{8`M;;x>~G?Ev;agh=~!TrIbWr zQ0syPQCU)nfu(|k_85V*u4+!KZGEf}x6v~< z#%Tepb?1aDDY0BvMO_`T?ulP@j9>kA`MFlkQH7t@vwdJXFUE99Z%kFouq{)VUhm@0 zk8!TME@$q#x@H=E;(1q$XU#Qvay9L9N6R5c-^<&gC!5~g2Zrb)SE!zDnZ0YBU<(z- z)}3{>f^ckHDB-<&Hl=bW-j&yE;bbgp2q1g-}Zdngg7(7 zo4R3Vff_vbuodvb-!z>*N5hP}Ol|Jp9MLGN&1q1QAt7e43W1I)D13-Q8+#kc?1)7AiyP6r70`*+Tmcab` z&~ubkQVJenUC;5oWI@9!HWBa_ue{X(FE#-N*(HWgagQUFoq^GhC15@@ryc{1jf8<4 zEE~P%FBdAVvTwl1(@Bz9t2&RvN5t99SdJH15Vh@U>{<$uina+Q$U<_~BWvR-J#|zq z2JOGviJ#JP5QVyzCyN9ynBnC9jGbIJMW75*V4$#ARl0kgHdR1Pn#hj8@7H!#oyJWl z3~kEjwo*=>nECTpr;t<{l7hOT)UyP5;Lz+=1J)yjyC0-9FdG2r|8mbE5bci#gz{(g zq{E%OZ*#p6TZ;(wzaj-wA;ZnhV`PR2 zh5l1U5YAeiuRjGi8D^&C7)8KQECT>1ed*|j@SX$%ZDR-{DV!qcNaFc-$G`*Vj2=>& zBv=fo0nu=DPYb*XpLvckM8u7Rw@tl;2Fab@MBW#;S;z|T7=sqa*#=` zaImux9zqcOfWG>2i@6Dxq)72ZIs=p?Y$nWa?z?aqaZ=5=DL7?iN#nD`UV1KupEdE*nYl7G*ZYPsz^9*bJFGztB@b z;LzDvLsXLEeA~J~h?YhuLi-t;dl>}KGmtb~bN>BzQFdAX3VR5I%;%7WA1ZyP375ve zKnqiim;E?sGsvToiscV&wUaRvrQA?CI92SRa03O(0y_OffqR$d(fVAQhJ5aei;V6RAr1kO_s7q8U!_5=O z)1BsK^h4o%==PqT*25bJiq)SbU{;QN_W`^jIl}u+RK>A|Okyv=T*H2xi?R%ZL6su7 z87XP%1;@AlH4q4_EKYSJMo64YSBxPCpT2}&vN{(c%J(2%goi}xa~iQeyh}ZcE7IP9 zq9InvkiN}j54R&m#uEjfE4ZU({H3B$yh@3qzOwQRdQhrDm&H^P8PGF~y$$cx<(=CR zA$`alhv(^HNg!O-sfHeFS^qCC$<~bQlqTXnqIAPXBn^duMsv8S1$7Zumy+3cR@Pvs zuoR4C9z=oayIClo4Y(mHl2tZ*xk3wWw*oFI+!GDB7&t@oliU@JiNfEIELpp9Tl;F{ zj(JVolTF|_U*&MGyV(g9J8Sn4?A)?@ z8_?IRbfNf@J5(JI!L?-y?b`KtO9{qP&%0d3B72?sZQn}VFWbwt&QNU1&h|akGY}f9 zsj6jqTsOm1M)I=W{ZQ1HZrl$}-~4dX=S(BTpZ|Er>zIRRBg55vPpt6#s7VCP6*Z^7 o04}M2dfxu11mKn;v~mj-it){Vl0`9l5v9iqBc|~G00030|6ku>Pyhe` literal 17903 zcmZ5`1ymhDkS#93gS$h3z(a$(ySoKU-s{rGgH;o zR(-px&z!2lfPjFgM1uVMx_mw&pH#!l*~QAio{WW!iJ6IoOvKH~)|8Bujg^e~2Y`hY zz{~3XZMy6zBVnfD4rYb|GX=Y3&ZffQF*^`1E>T?(f2vWiSBoK<||3xpv z|BHG;p86tYP>H8H`~y{eBhiBjnp)jtQu1)~GM`-=XTN1}eF)$()}2>}-9hH>lZ!zP znyttKodhzR3+%O?ujspWDxoVasw?+EyUn|~-Bk)qt1BpLD5GYkK72@;n@W&i#LdIA zLF0FihJ!9%h8}#c2IAr#CNg>M1vnr-C6(=<4DyPvwBq)^;>WK=@k zI&CfQurz0$1iB*xq(E6&-y{{uq^;MOnJ+M6jpxDeB~mO*+6(yGS!nDpIxN*hi=-${ zMTSq(rLko5VEm&WnU(ufX2X3QNUg*!Sv4njzTR5AcMm5IXI!$d1|2(!lM}i1-m_&S zy;&vf6iZSVRTk)T0Yw@Ov zExlr)^xq>&X#^2-VB!%IO$SW>SnGbBwYXt6 zn|{}=0@XR%+N-{FYzU9kZEVM=AKbIu`-OZ!o`{ud*PT$hZ>0;^u*As;!Dor#T>D^{05IP%g1(o8*Mux9GTG zPyPFBoq%?R7uk)_e&9HCDm*KiDakNj%_GTD=7R3APWsV6wH*!1|EN9i++5T`V>DfH zu};%Y`=6a-|A%1DlSJ%JlpWyJPRVZ_KR(Hs+w?u2azDs&dWjJ_q zHw_#cb7pNiYTmL1rUS3tSY2<~S=GmlN0iM*8>E|l(~hyxR1w-XRHR+}Af6}uM>`T> zocC0~D&4@oH}kW=7IUFrbxiVt#jLe({eJT8iw+66)+IXW(*BJotDyz0!KaobJyiby zvPsxApW_eBtSz8u{u4LCu~KsR)WZHLoKeG3tx{-Cw*Lg`zpWK#16r?|v1{&0IseapgqEiA3n&cS&~p zzmr|_+y95}f9v*NfX90M)b#whrm&6{3)>Fwi7F;8e)Ff9cP9VG$Scr9TT}o0-k<8C zd{0b3xG%-N{JZi62FupWqg~g5XW@Foo*fsVKe?MW^734_mh+!u<3D!WrFEbaI6}tq z{dRfDJBb_VYg5uc9hL?&c1{HPR?I3fh&J1$d)moE-to`%T>t<6{=EKs(bSFi0DY+n zU%5|b!>O3P(Un7Ka&(B`8FNpO(id1-e7Mlts0G|o-8+}H5yx6 zz-A6gN^apNcejas6O7|0d%3_|hL1UDlRU^Ba?!7EGy6PY{~rQ}{55vV_*`gz6$!SH zd*pV4?pd$ii+}qjJ#>nXYT6wzKYBgG0uPjpKb-c~b(#B-4TT({WIQNg$_j?6Xd(cq?s;EDUf z@6Vy`%$&(JX+fd^u~XIccu_Go-Qz*#lF~{X6Q{ z@suFZebE(%w_Ssn)?qknnc53$y_JdzbL*`arbWtjgq>I?`r|d^PJgDa* zhzAu7vRwSoWVx|n3=b1k=*Ak84P|JuAaB$z&kTeS--&4a5t%v59t5vt;SNBaZtHl~LjJyJ3b&AM(Tq*vNYx|qFb%R>kpF9G2j^+~>vF{nAi%?k1Pse7 z-|xWn#{^VLl6?G*)C%~TnQ7L$7o^8}!JCq?#tQjWBxF3w6PX`*DxJL_ z`d$cKZ*+|u#ZAyrlIn@#u7`g&bY>spjrFd&Uk}^hesSy@cYP{EglZxUPdz z`~ht?2RzMhK|f$NGSidym}aj-(3238`@9fIWR3n!Bn-#(l9{g0#JBTE7q$D*M3#~b zIrp57sl`$PrbwDYhjsl%pED^&OMSySI?;+4H-=unCTnBT^qZyK{!7NSw4fg*?{kqi z`1wh9V5FKebOgc9>D?E)=6Ia=lP)!fvOU0?$IA#!PKi7}Tt=tv=zC!gxl4bmSNuxD znk*EF{EGGn`KCQq7DY#*fieB24EAK)$_=v>5718i$FJ>lJ=kl*$CZCAJk-!mnjIwy zbn!gcsdDbrnskH=%?z$-r`p!*3{xEw%43dxVWo=<$IcVe<7b;*1aYI47Mchm{T`5g zvWzJof0B)fPcv5^c@gxJc4UUpP*v-~T00lt9?;3VY@V}54zv&Xm;Joo%vsFaAN=uo zi=w_w)(?+4o4vmD7=^!7mXrI0r(R;tqSBqRq}RN4PLW;+7C1r^x{PKG6-#~~Hf4u! z@r1>Td;noz4a~p3_W9jW*c#&Ap`kd!o3gybA3NXk2{>eSleqc7GQ^uqd@A5<_S+`d z(mxdwujfELA-?u4Y?JK(KCCoxX|*waoCT-H$fu@XgMEgVeQ(*XrvyQTEWd;I+%PnA z#*A!O16{hua1isRH70M#OhmxN&rvH&bJ!s48utt9XQ3pO(VKd-{eEC2nFPt2_<=N8 z-PkHrOP+iuHpnL5*hJ?)xL%A0xTOPyo2#p^lfN?M%>OZYaLpTFDaNMDQgHuulUaNP z`Xv}^81=_ACAjO|^KFUZV{^>nKa~GI&7NSf(Xs#_y~TQV||y zjA5vkTK&~lUChiP8xHe9^j<5bL@yP1TJyF{X#k3Hu~gWNbqekST?ea-KYil6I1BY3 zOGwEZg7#bepm~DNG12#1L_K|pUJFzfb7JKZmSx7?jjg@b^V!~O66m;DIStd@jCeqj zU*8rj(D#>-=S1)y$$;Q`O~&F(Y8^PJMyI&DcGAZ?D?MoEn7v8X-CcS7FrId(pKO$K zFr50;tMtzO8c(fYGC27=GG9f&t`^NU|6|oAT18jSubb@X$*_KS$ixug`P#59VJN}4 zVI#33Ia}@BSqr8%mJpSk&N56Rh0*zY@{|S4q!X_w(}Rm5SD29Bm8)_bvsHjO`E=e3h`_s^BJ?EPfy_Q>WrVPsw#tmjIjQr*b&SFLUx z8YGrOX1bxtf1fkG`eun;@1)Dqzj4+WjoAimMrHl1`_q)O4#Bk~7Avq>W~+A#pWMaQ zJiay!Bz~fDaw7N^t*iC=augd*mF(CpquJ3Pk&61mRna7w!Z~Q61@c!rgWUO;9%Y;d z9~0#PDoE|&j~+%8T19DA#ELOih7`{$T0wgRU4RXQs>?U7Tq0Im=O4+C=ct0sIcUXn zWhy=HkJ$*-uJ8a4cz_!`z#Sgo3O{MHWs9;r&m~8*kiv*)^3Ob^)|)UZf9bC}ZgCrj zIC!fHR_ITUQBWnF8T_Mb6O3mk|L=`TJ+ z4(FFnz&5}AX3gBOd&UAALj{t&?m`;_(yS^sU(dF|yR9SeLRhhl&ZofaQ}F9Q1oNK) z4bmzH_46200A+>^X01mZ8a-*&dYp*2x8xVk|Ms@5$6aQU_K#Xck(56#3>^OhnP~Uz z?gCYH1?^@LoC0W%z7e;%ZG&O_rg3p5ZnfWsaGA0JHc3lPT{~l$W2HqLC zE5@`ENAs5`-v01lIriw&n=s-5GdwR8T-f>k3YFKZ@+%pveby$6o>ydcs_>&lA3A;j zd@_sJpG-J?QdDG<6sNrBrYqw?;GL>b5dIN(B0i()im#^Y?lIHgUI{K}XwAMtht?DI zL%j@M;Q~eK^9`8wf!@4bhtRr+3i(oWGM>dbb7zj0QN`=C>H3x$)>@L2EBawzlorYacaU(gCe{O!c;t>^*I4R z6pJzKv^DlD0Iz^N%zw5#r3}zTwBfiHVXAR&JuQA~jAP%gvw+|U9jsrBvI$_5wTiVxx<6mh9E5|L{dv@wTCXa+%w_=xH`$9PO__R^j!z)_8>crgi%5_o%5jm{ zw{*29|R#bp-9H(kxl?+p^lKq9#c{$!9h!Z0U5-iq{+vysz5Tkr%IQXD0ET#EXZFe?EC7j(RNu7HDJy~Q+XR8zrX z#`|jG^?SqDTKGb`JV>Wy$U?f2On%!VTW6|!rp$u!OrYG?uYEcV%v!G@*WP5`8&P1u zY2}cask4z{Hz{YxH-BWSFgxODWZYJ3H9Me+7}~>juY}|N(on<<>q-6tnfqF&=FgqW zWNd|Dmt17~svDjqRXZU8lWS&-<`F2~Z^thzsNZRG)meZUDI6IUlk6$#qVH}f<+tP8 zl?{cSf02;RV2aNYWCkiY$uLRxjW#j3p^wj(EI5KGqg}o|2$UajU_Z+wzH>O!x*}tg zDbn2c!PN#l|FMcyHY0yH+PXr2G(GRqtUjA>b6{V7G<7^8^+SMZW@d}0MTZzIYhj0k zuX2pvQzzT!vO(ct)2j2lXSQuExcH9u!ED=`lh5$m93>}a{UX=vj+F5@8PCfS+2*ml zEz_hp;DI#~2y0tT@r;kVlDo-V{G-Yc=1l#Yuq>|llzHe^Z@o`yAIb`+`AOYFojc1Z zEW1St+mG{6kksw&^7AE;v*;sL^y!Egi7J|MRwPw8$+w`n);cTUOy-(U3d(u(xxiI$ z#YLg{Yu1+vI$Yq2Um6NXl0NgBsSS<|>JGT-;=Fg(nH)uX5cguqGc2H*)tLjGLBE19N69h&lKYw^oZO0i(;L20xodK!1Nh8b>$&*A zB)R0l6#f*(kvq&gXB4nDVuJ0Q4aeh>Mmb*-@OOKLw%5$ShWZmH`WMo2V zd=*0Z&2LQoOL(fDU|2Bb1pQq(mN)cJzjt@fl#WtG`mYi!24P{hYZt~yeEPT2g=z-h zLgSoC{fP3esT0*_*>IBM^ioeYVM5&Jvge-=Mt^*ZM~%S0zXCn6>&@kWwjI*>;o(TA zQKOMIR}pk3QN)Zy8IB8?Jj*x1UK5>}f|0YhszeG%y`O4h+lBXGMn>{vD5MkNjqYv! zb#(02UhIyi#6)zlXKnb1oSO=)Wml@&?_e8VLAD^97pB^WCP8uy9fB%k;M4e~zf~*7 zvbnbgz7>4X=L>FgA5;sH!snu@saHfQ4mNi*B4f5W=Z|tTQ2*yNyn@y%#B2^F<{wr zOF29HlOzq1pw}f7#?hCg!`77V*Avm$Hp}sa21e_HUg=lMSWFN~eA#Jz=p#oP{cTOJQ&ZTd|j3@q$ zTW6VJ%Va_m<wtaHxn2rbGbDjNzRyQ4I z66j|KC&gS;CDrcVV*i<61-X!awK6krv6YeVaH{jGVSfwQNmR9T9Tx~-DX*X>X8MX- zj&eUgj`<*b;L<4_WEXA4RHSNgQLU`O&Faw-qW9gWFyoEGeFJ)J)C?}np+DX%iD%(y zN3-TAwWbdVH3l2kdMq&Qc>`8|6jDU<%pEX#{p}ypM55I9xrvI`nQ`n?L$+>XPaYo` zK`w+FY*f08!>%)haqdxFSz-esuvuG)zUoC)M=)gdPkIjDN zN&Ham<9d2y#T}e5h`nz#2fNg%d5Nw`%P7RxMvX^_!H*d(1v9P_kk&MF`hMkK{_epG za$P8Mca+M*(I#vi$N{6ht%j8i!|4vt@o;AH z4T9Z8@){eaB_rHZ-`+@#ilkHEy=(!4|Tzof5l|Bp<@E`!PvevP2kHy8257&I*s|TR* z5+NF8(Da$mKlI;@RBzQLT{GsyTj&xqML3P!-2RfcGpFu-VMmjO;PTjUAXq7`eS=v5 zBCgasmL4>t9q)+tbYs3kqiyKrt8 zwx+T!={q262uSIR(}d(S-uYdQ!#w*KzNCFfo<7BRV(?5+z#vr(=UbkfCH8C#;19gD z>AdBdN%lNqi|d+`trmH9llp-3)=mZp;dYaG@EVW4Gi}FXe4t-lf&9Z;;IA@4Xu?3j z?KgBm(BnT4T3{q;4#|)xiNgjzzSn|k^BU6lVjw9@mdkfs=>zgED3)2E)9ypf(WvAR zX6(QZ9!SEKU|u9Oz|aU0%@=}|@FTRm%mvCWf>ggH0XRe8*DsP78z4`ZD|t{D#zy2L za(}i6kZ1c2v=Q|P)vrnUj%~c)hTL@h7b^~dk~t_i?FM;=QfX2$_k#ShWye=n@Uwz# z!_C(zZQA=lU8Ur1p)6X~?q9CNM-CKKWJP=vZOxPyo@OcCFszbv5T?e_B5B_Ge`I-? z0`FfD+7cSTq7ZbOqg_O<;EPcL}<|c_Ao{SHH+ZU1`O*)^=({ZAGofEE_1K?Duu%kAkyG z8qc3YdI6MQzH>B^qdC%p6z2;l>+-n4!lV zpo+ft7aZ5s9dzh@`0E-Lu5JI{+wD%V@2ZySx1PtVFXoD3(Jz?mik=BeJN03G)=o#^ zjj9&PRkOR}}Dm|h{UE(0pZad)rxJr}! z+9gAUIPPe|%k+}Qb1gjFTXhFP_$$m+(qt#-n$6ZSB%<~zydQShAA*H;pU(CJblVET z!0!wD3o94ma#cIsawH;8(q1R4H%%*Ln|1~P7I zQoO_WUr3BA+I%o>H-a{TQ;_;?(3>&3(XQCHA1Lj~B&cvy>TV`!{Wweu7(Hr>9j~pSA$-gYqz3rRB$u<`l$yT;In_UI&6zmApIXl|NnKvwpYTp zWc6J$x}MgQNA5{Of+>Qz$kx>dO}+nzqq}_xx>!_jvi$f**1?V!@7n#B?`3}{ME-m9 z;QtQ#|7Q6ab14L^(PhY;-9vaHSh;IPohJ3F59Ld6`#?RVoAYr2<4t&L^YxH;Y9n1p z5bgGXYHAWblXGvq;IazNj{iCz~0(= zzk4U_K+^$*j@s!)4+3AJAvGbg9|$PYJT^sjK9b0G{^dBx=XjJK%3!rvV(d~K?fJ%R z%nUOHKggpfCf?zgdUi873~C-pA9X`HMFj1|MCv59Yp5eUx}v( z3S%cb=fko}CD&GS{O|DHeNKYCIsStWey>`5PsjgmfXQqlHd? zY~T3uNRW>@Qr`_xwcghDn7%Hv@T7lbjL|%lkgXvAmb*Zmpn5v<{u=KCJ)JHR0k*=0 za7iiIZZ`?d;ObXag?~bycfAKpmV_^0%t)DSigJyr^@Tk>1vC<2vI1UsZ$7m2hD4FB z_`VJW0bTyGw3;buGYxVv(21gb<>>J2Ubal;NnBs|u1}~=B=~2}Xn2T~9%U_XvADnL zp#Lw-ryrB*B~P#1E1VYC)_S*FiCZD5p4}u`Pw9gF9&b$KF_*ELfQwBO&%4!QR?BaN z7VspK9|yqV?fiEn!(y0eKR34Lov#JZSSd8Goe+8Ms#vM(M9E0;v7VAm_Di5t-m`a+9E{R3 zN&As+SgV~xt((`YmmcWOTUTm0EE0q|*QzqiAL|W=YZ$^5e> z-_W(hB79OQR5^F0k2*S%X>V>G=Ky|F>JeBf0xWTLLXxn`UNnsTQz)M;N%->gwIK1! zKX2bQooaXRfO~0$z%RyzlKq`ADndd}-q1_IR_XUZLz%PnBk$5c^KaNUcPgzq70nEp z=3Po9Y9@?C8lR!#rp}RypKYvbR?UQmLYc<-+W$CZ_hd>{#SOEWaMR65XhWf}FO3+0 z{m293bvKzJxfT9@n>y~hf5iuLFh$L_4V`gbCga%@khxMIydvcY`qr7Z34 z<=V=n`j#AH13=o1l00=ELResAUSF2Zw`Tf(O>-1QUrkFuoefUw&nW)}y@5-AzjuRE ztFWw}Bw97Ba=dt-UR_IP%hV${G~*C; zJ|_1(G>i3%*ZuF)y5&u2|BP#Agly(z60M6NXGizk9cr@x^34Titoz7h8rJLO%8|j{ z`XzHGg{WPep{NFxcN!6u)?G`w$L#5e61lq<*GCF)gG9XV{WhoIJ76cXepxcZoiU=S zP}hR3n{}pnae$Y3+uC*e4_Zw)x=ydF!NL81nbEByqXQaU&8pv%bqbv;r%JKMGBp~f z?6HudfbH5BQwAJTNAC*#mOf@}8kI(Q;_wa}p&BEyYkhKB+VR0~{P3ZL zC{G-{o_lac;`R|&B3j32|K>NiKRs6+_7aXa$@t(>v(4A6NQA-AO!V_w&u=v=^qNkY zcBNmpWc@Qf0N3U&rMkY$sk%1&lAHUc?>`-s(I*H1)mGLgvmT7GHO8zIf8)20_-#>b zSSQzvx*;`JT+gi2FLzIMRZ~-8#jafGi9Q$-(@8@?1d)N zDP2oZ)1FcziO=KwMPoZu%6H)6^+xnV4r^hCW*>$xBFCTHKOM_YWMntZijGjv0jzcyE`%6sd!*~uTF z2|w%fTD9fNQtMa6sIcAIq;F!;0r*qLyKI~WkaJHPWrTI0vt=0>_gs5eE3|wlj6G_q zY`OZkCAJM~mUW;Hj^OlX5+~M6T~f9B#OTk;f@!f8UyDtPX(~;Y^ajw2#?hFJO*BOe+4zhjXST!ZI+3x)z3$x6%)lz+91E> zZpY1Fjo|bfG+^1H=<1zx`}JWv$;Ip)H+}W<1ci z(UQ9vmHm_i{MN~%UUPtTeuUXKsrCx_euNt|g8Uz|zuysG1+h*pVnY3ARs;n<0FAGI z{j;TR9dbU#hYJ0JpJ|&F2a|7BFlPmYKNPF{|8~SuNswJ>~;X1KpmU9 zjRgT*tx-d}QvcU?YaP=Q|E6a^0_mfJ-+S#c>9FGc#de|tO_L(o$6M09bkn5y$9bQ> zxbYkGOVt|V32B33-N%Eg-{!~eGh>3o&7{a4!0k!ju3P>?|9CGCmyOQQ zt9ixz2-4C&{WXAI+|H`sBf~RAaYi`zBR@;GV8x@ei^w|I$&m83AGCIXFUw{n+*P3y2;RS zZ*o1$qxkNLpfA*hz|bb9shw|c921#P1|D_)+(aW@a&S^RM*#ZJAi~;7);ina8$8CV7YFu*ZFyi6i5-8saBxo^0ZLt>VKaA$`IXS6xQ*tuKszb9ZZ!0kfmzo*^_8tgE@ zC&CQkmK#u&M7h404KgA3C{tw^;Io{0iiYao1;Siklm_pRd-W*;^ju%qhJP5~6`>Wp zTzy#Z9tOBDr;|mb9j1X(+_D<>EF9s?c#0`&mf#d2%gxWv%2dZIF!qNfC zPB!FTGpCWV!A8hOKI9%}iYa`m3dYVRG#w+0=Nj_>?}v0AXgt1d_vLixtWBy zo!IQBi?46{8V_L}GH z7`}02-)m;CF+{t>Kd4CbX&%P1;1uK+4pFBpJ&scZP3atQ1a%Ar*E7e)Mb|DP7G4t3 zAnT(IF~-Ifr=P}m>eWN0{S$(N=(WCTr^jNp?5Bi{J8)l=86NC15U7S9yen!=D32MH zb6>k?f&rB7iBuZgS512J(ala#<)d66GqwJVMP#S}L4082jLLr+`>2t~TiGA7*x}7F zh#9o#Y!M1kOQ7{bN~iuQD{IJMWCR$g-d!7*ZT{x^a9gUUJHsQHa`^T{Nl%E(kg z5Voe$P8=go8V}Fj4mNT3U&3&YS$z2a-=k zUc&SToeO`BG&YXaP@cZcF`2M@Z>&Z>b?3`MZ~n&no^vOT(({z%p-vUC=5m}$$|~sC zrY9x%bJ=zb7<(63foAGUb^@(Uy2Sqs@o>`a@>4L^ciPjVQ5)Ra4o+T9{7m}{ScWbZ zu)4cmHQ-a7x6Ybb0A(?`@f!0w94Zu;O%z;%$v=nxO(orf5NK%S| zxd}&9XQ0^%vVJs>CWFPkQ5Nn5swgpLW6h1y!X{oz3#&dAQ!e5ySA~Q3T<8rRs-rC{f z4$VdLRU5-iYiRZFRZ_?H)YODM1Nrji&_zZ#$5NEZqja>@M_8;AVyx0RMu)BM0k_rzfcb!dLhb~dG}cIylgpkPut%c3(fqD>>Q1! z+!tvh8;K_wJfuJ~xs45DxySU5yJL0dMpI+wQ4Wfn_u#h+k>VaamQ_k?!;W%uVPtIz zLt+8%h(uOyiA2J+qwj#+$my(2iEs=inVX6;oP46Xu9PJnq|`>Z{D2A5(gLH0cBF|D zRcdbE34G}}(%REwSexo%WYmZ1YNLthGn}G)C8B9fUZi5DwZED+=mkY8kb!;?S|A;j z{;37XDwpzTP$%}*O=r(kgx6Yqj_CQDzWCS=JAbF}Q;_SMQ0og+~K*|E5Tt(23-aruXL3%GI;b~AR0ooyg=cl~ zaBH0ct5lJyh%&BU90&ti^+pkM`hX<>QJB>evm$|7MRdR!$b?Of%YLEkoh5y(H zCvC+@X_38nQ*zAE64Oy6ub?4B#9~5jJ#yLIIeM1BsW`*7r=-E|QTG_}CDo0X~3-dG3kx~w8sE6tT4e|Tg61UzCw(j&t2BT_+o2UamlS`Otz~V8L?~jpM^U*6&|jT(@Q1uuk=v&XcneUadJ_&{aJq#k z#g3E!Fs6bDTKp_avz*gx0xiaOjvJnnN}dk*pz%%wSky0HDhNn*S=KpOS=rn2;KP1? zVNL+D$XtD$?l;{y6xw(c0+pH?{Q3HzviW_j8Vy|_zP`-C*!ZxbC+m05Rj$Apl?VM4 zFt4rJe+KpZLgrS8GY`J~tFMmcA@&+xT^+-;sD7^E#TQ=*%|oLcMt&2RSl_7y(R|T( zRnh!-6U;XmTx4p=vzfuuSSMc7Uer{WU3B;9-&wWu@#Pwd_sEfGnFE2%#z5P<5_h?+ zg|F$76Hrt}^vZfpKhX96VFFH?{&KJ3czQL%F1YG$Vt;cCIJwGI<^ehb52@sg;)8W8 zy6avjRM*n(%IuNY+c?XXE2FKj4*j|v1!S}(PRh?>-2zhDFT5GHq1w~%%VYn!lh?Zs zF*jnzYM}B1`^5G!~RNs_VVNl3N3ag>A(|eiZ?R4)e#zxazsR@OKJ}f zP4>1%K`LA<#5{&kF|5WYs|a6*0%@U0b>E_(Wn)Sq6u*}dUg|;)Dt6a&A?b~QT2@e7 zhfrG=QClZbpAw0kyNR#K$o9MHXPH92e$VpZAtT~^%EHf5l|p`jFcD1g{6$OWZY&-5 zG>(;G0cpbWyzD;QgN#l3W@%j7j+85zeV028wR=63P-ez5*>4l_CyL9jp<9i!B(f@w@*K{TSx-? z<%Q%8^(6*k6Sq-YTmBW%R<;x$+4|J>5Kllv!qhtcUe;eWqVBm0`X z6i)iEU<763q9_}A=!<_4+X*u0A&jw6P{`F z^VC|`_#Zap_5^1A&c&YoJuA!&xV4N_x8P(C<3dW~5zA2eOH+!~-j5N0 zjL$0Fhwa>lH6d7ZU(}<}2f)?Pz0hPI?AgZVmhj%~5=lWHO~lutLY{U=u zAW`GW-MxVb-m3M|fh4w>cgxTpzH%SVh8BsSoz&)-t2X4!GUYfhMN^(z7jp^6j)U*r zPA*d)R(B`AxFz#?qn><#xaNBd{Nk*(})fy9>jq)8F)t zdEzE>wmLgo5Xx0V^qY#HwK#A*yk#Q%kqaFsd(Aj()jI4I9o;3=-xSw5jQE<3cb7!S zQsz?bD{HPJz}dXtS7^#%pu_#`1s=_~W%N3h_!>lfT|!((j9&lXaTq#m;?dPTFr)d% zrCvuuXNr%Gr8329&rOyQXPlMDnq>-ox<~iBZ5>fT>=mDkXrYz?WpuZpSpqqH?Qtv4E?{X=e&b8uF-xxK;fD1=!0%TE1gqKA> zcS1daUQ2OHO>lzsDhnxX$wC{zH3EW&J*h9}#zt>z4}uL)VNE!F=*PMnN`ThTg^R}s zSJm(=>en$~G5@9=jwz&|C;=0&iZ7(~Yph0n7$gBw$yP=YV=)^?)iw*uunLod_%oG` zrAosgk^$k81oxH9*+TcOV?3N|DBQDk5PjguhHv=t_%cYT_6|G1(R3%PD{%g;WyQ=9 zC}tFf69W{5f00wm$h%7X{4a1GMfFM~o`DD%bN~M5S>omHVV_-fyGV6ghtBCNp+!Mv z)$8xoHDTsA=yZ@*`~gd4zTFa$n8QzQUs$>!2W>BcdFaB6B>xn`6z#f08KoL!1$9aW(S^qr zL&bewx)pVz6AhyFHKO)#{kCVtpNr`B)qz%~zr{xpJ4(ui4WYfBBq2!A<1XZne9?@e z5??-msCDa@EdC25huz0%=Qflh-ym^Iu1A?l@A-oYjI5R*glAj7ccj;M=!I%vlXR>RZeiy}^+@VQy-7M@inE0AS zh`l%wYe+Bo%iWb)iDH3>e)bnPQTjPr)m;0z`~-XVc#=ZbM$9S6?`x)nkFjPg-DVTv zE#u*yZ0H?$KUhQHA)ii<=ax#|&7aEoyq;zAFB+{oJ`cmX=%Hf9#wPjg0}u#@Acy$e z*Q{G>vS}4IEzHNvUE=?KeDF6leXF|?WT=JF4FiRfUX{OL6}D>jnPZCW6oc(ljqQ|; zeZ|1A?Z>dasL}6G0fMgpebiF;*@(ZQBFLTySYluMFl?h~^ozQSk~DqsnhSr-$G)Ov zFy{TdVme`pr^iKakdf(7uSJe_fs_4Zmxy%}i?w!3hOjIS5`XWUkCrEiu{b zT;Q089n|46i5;gXE?NkC(Q9VgMB~~y-TuOA>%Co(LLG*^@;xA(VOhvV&n#xbxG!>2 z-Ds4^?kJd;*JG3+;%j|tY)qz;)ZY+5hp;==tmd!{OSlzycdf%NjYI(-Mu0l0h98@> z&c}z@@UV=e_eAK6Z?2~T|FwH@Xn|MaLoZ{Le7)|EYW;N9VBrKG&x46pXbiR}y$326@7m&x8%C9eMR|dfnI9N;GYe7qQssK9fNCi9TlB{k%q|l%Yx;{HfJ85y;441 zgMlJxK>xb#wBV%-R`-O;g31u*#nMRnA&E<8d+>yVJ=$@!=0CVC zp0ZL1ioT>U#2539_V=a+(d@rq4rW%-giKDOgsZs4vTlAHvF_`Y?^AcK;62DXXI<{O z>ynUe;JCl`M4(;mO24#6)f!^nf2Ii47vsKERgE6)ek{Zn??@iCjDEkZ)9)D*e_Goo zy}p$dd?hnRyYCj6q$J7o&Gtrn*e3P4-RbN|D6YSl1_3KbLpr`0+>2Hq!FJO*&=SrJ}j|LcAnqL^sdfexN}> ze0+F97HHboVyRoK$3+kOg$7oIkX#s% zT#A_{NCf#R6fueBkQAF*ISBEKm~sCQ8j2t&W)nIw<+1M)lyxz_x@?bRhZw)w%KHF( zSiKSOsLvC*#TBuAw>!aQpH4eTEH?1@`2QI31R*XgL*)dk0EH+kH z(Q9v9?;6|gSQwBLhc+x^VrpzZJB!Yim-n>M`rX_-&aeK-wy_|Vf54PzLz5#NHfd|% zEK0L8x0P+~SRc@j9cq0}%1~eA_>;6un}xQ2RnbAGs4n|h7C#=8f5BmXn`PTpgND3C zskzA4*W|tEoyH}mV#jr1TPz(}7I8 ziy)vJn38=3?91b-A5Q<3DvinENk2gGyw$9*GpT$#$Rx{wXhCheAqPhr&>>}d*xCJF z`1VL339SVK4{IRNyxmra--bjXs6PJEpq)H$AVu((uqtUro+HM^zc#!CVEE{Q7pwAR zUS8)(MQGAmS>!_Sm)>T@AC=vjs)I*^@5Nhh#^1I8hCaoaG%Q(gE7aR4K)pLPr2!cN zkJ=K+gE_LPeguN0pB9@O{;gF;>ZiFZnbfI`MLP*1hJWhQ>xcn0WDRGwrv#{RGfh{p zKgqcX;~Hy66)RJOZvF-@@?O$0N-A4=M%iLWY!!m~Tpv?W;@yUsQ{h6y|5pH?1YrAB z>F#;p#VNAkMA1yY-rQY&4x1nhZ%P>%mLMw(?rx{V0lFz4W6WrUbPbbD=MO#{s` zUM$-&y8w%rp_90B;$Z?#qyh7px*5}*F3^z-{il7vA^59@tY-;SQ9`JGC-%YrxoEL1 z&Cxw%d}ncobF_{)*L(zEnUs0q4FVUR8I1kxwJAH`Z0SBO4Jadw!)u6GO7HhCRX0K0 zVj9pabYIjF;Bh}@$6?Ok8N-I3Sas|)_DPGV85TK6HdlAh{1}fqF`gsp>upvmmZV7e zxrTI)i81tk`@p5Ez)3apO`)-R1&g0&_R@2C7;fj_z|S~NfNLLZ|8yFCPoe=mla8GY zMCL*q6hdXJC@1>dXUhD&gvL37#NN#;2jm9ZNRALyz|t0%e)mLYW8KWi z#2|PEidX4JQG3yIs0OmvblsxN5hX+}*nPES&$V3=C3H5*j7~Wy#KpD^1A&%C z=z{iB8P!Vxz|R0aVco?K-h=G2MCSG|2+Zg5h3c`wck8h!_*<6Eu zY^$1tkdk(z;-Yd<4{AG6DC6nMR>tTh%G2{8gUoo(pq>~YmGTJDj}Vd{!kyzQMBNvm zIy&*;yI+VXjSzK&kg-P{$*_|0tXUBT(nw6)&c>+khJ0p57<6-KHG^&~A>iH!@CI>_ zD#dKdvk;MB#O34VoCY#oGO9zB%hMet$!fM-{R+B7_`k$`M|PqCyYkWU+$YmExzlxD%j-!@nU?N%6|5oqrD?f+)(Tx)CD~ zCvkcWKJx4pe97vZizwftc+ou&S#pC}AKRmy$BMLfplFCy>RI2WqQ|!YBmK{J5LZ_n zE#)`px#CsG9F5gwU&4b@6~0f#V_?9~P_c>TwZ$Dfp*{u&wBx9aeD`D!s_QUr(Y3o4 ztb+0qn6{IK#z3WkO?R?+;|=VQ?H%VSX+C~<;ikEfxcBCHWfQqe`PGLjAc8vtOa)@ zK68)N)3w;wRJt49=?Qk8{3s9IvU2iCo=hcW4G7g3Hzv-nHkc<^lv<&%0b@8JFp^zaLnQ{j&eu>l-;tgVmhFC zMOU*ePc^JC*FkoB_d}P?b^Cts`sRl_KBt(I{Kb!V9d0GfU?bB_`kubR^P?^jbYE!B p{|>Tj)^qa?Ml}Lkx}cR3t|a4{{$h(FnSnC$D<@L;e*gdg|Np=KC4&F} diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 10e571a153a2bf406f905173ac84a1bdb99438e1..834cece3258493371e74788fa36803f48b2f14b4 100755 GIT binary patch literal 15225 zcmV-c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Buy0RR91007fD00000 z0071U00000004PA00000004La?0svDWJz{bw{5W9#%6YBAGR^(dUkhqhV81ZtalGu zL?%b`{R91D*c(kOlsk`ag%*z#%tdyUuY^?LZeh&U1FzVcNy{g}hw)SDoSipY^?tL{j`kYooo@g8kotQ0jWRRo>*^2V=?_r)+xYwa z#NW5@{Cn>2oA~7)A^pyK@BJKpeh$!n48`}GXN}hfjb1;(U9)`}72EaJ<1aP3255D^ zr~&f+D*yYV_`9>WzE|A(UflKib^QM`sPT{Cce8O?Z$;ake)Fh-$JBN8x4(ytJb&D6 zo<8VDuasVm3O8>S<`x$UOHr}aZ*=QXsnbQn4Gpfn*%@@BL9Y>YPBLD9x)&Wcdd<^z z)bDg!z3Wk{bJ{$LP6q8GQm_|YtGAD%PX9rp8}<{YUj~BVv-v&f8|c7Zta5ccb%q zx8FuaTgNqD$#qkr-hLc)2L1CvKRRi)8olVG+d0DrP2CkD$Wv>Pp*Xq4q=crllH;$v@&e7nk(e7JzK)Gfc zP|oZlo6>mayxZvYT92dN;PB5kj{4EHe#c+~LZ0;u;zynKann-6Vd}`dYjKJ;fnXaH zzE&L^_Kv#EbHjYa({7_d;MaxjTj*MJO(VMP$Qw$k%)rvpyMBJ&Y97@OTa5_620}g5 z=_zzQy7s8qe*n@V+ga1L^*Y*6??;cN^P3I*_46J^1sY7|ZQ?(gM9(Gk$Q7- z5?M<&nmclL*QCOeWYd;BA~GZuXh2Gq+@^>G_k3GS_R z|K@g_n&@^~9&{(@I(#GX$24&ze$%=TbhkHA-!)L2BpyS8Yvx z?AU@iThB2zZV()8C&?7i25xuy5o}x-T5SkuvmMo=*SD&7jFmo5X2wNg{3w3y@H99# zRx@%-MJ7|?zbT-RUvUu{DOv3G8fUP7Ep>|3E%R3Wm*Gu;MMxgh@Dr?o?pUJphRH6e zJ3F-=`VW`1&>)m>*)o39u;A10%`&ab4 zqwp?zR7Y5#*`w=ud_95-M@KSxP=DBn;CA<$=ky@Eog=6kICoL~A$&Auo{!3H>~ zirJIbOnM5|ES`r|J^#hC-l;OAUdgMrnl`K^fWYAa+MoQ#*psy@g~gDNx}GL`&CH-w zcgzw-Q-I8E+;HPGc{w*ylHonkE4oVI*27xdxJbQyZW1iDNRjUnBahQ#yUq684h&Kn zbnK~&PQQiZ(rY&kdW~-H#?RKUPP2b^aCpP&<{L-nt>YVZPRd3)+>811 zW}BWME|T{J{Q7~rib*2OjOD<-N*wh_BKP95%d`A4{nzYh^HCIaN_)djdhM8*q+Sjz zqwk;4EC*1-m5{#JD45X^Y7|5$NRNV7KvhoZU~sH;%_Oa|Y-y^Z+qliJ`8m;DX=9q1v(^dg|Fx)f zepWl`4BBuLUfXM&)VlpvZGkYVTf6xG%D=@QR$nw;Lmg44du(T`A2g2}m_0`nxNia+ zh>~(H{h(qOL*TaGc&Cr}1{a)|$m#V6QIa}lyb((`d0(nNK;$(8U)1FT%aL8O@>0~X ziwN-UCGUZ7-aX_Lg%{2JbhO1)1(NU*r`KQFIXQXB$5mcLqh^A3VL>B*Sk^1zH=`>@ zvtIoevnzwc*NVr-A9${#Svupo3v*qHgk-|YW5cBny7HT`;d?0-x{L(yAedDoEW<&dV-@$tpO8EAJ_1FPaFHr>a4K!CcZAcL=frW6@+x!c2*t4bS!PY0 z88v3q&=k=3Gv0UxsR2!gi|Uax0Epy`(2op?nT7hgE#uzL3LD9ymMur5!a7`j=45x=mcD8e!8C?83tBLVD4oCcLfALo8sjtBx92MRaVx z)5lI4`&evb9HicP+8 zNt42;iSd1Yk&&7d&iYnF5d}s3#pFx;`pDKx#JDWAgzsIu9qe}iqSsq_le64U=qeWi z5A!-rhTk3%5u9bP(S;cuEFA(T{t4W=jW=G7b|A3b=yn}@Ne$=9(Sni1jYgERUIcXh z6mGdEh(qZvHkblFcD?;@lzssv(`zaS?YB7OP;1T}W;B@b!Aurr`e3FLzq`kUFyL|r zN$kvn4Hvnze-B@xakID)E(kv)rVH|a)gPaK!+sz&+e31;ha|pRne8EoO-vJeNSY6)7*!MzCZbHGx-$MZV#@G^0p zvHaEx)c`y}9k!5;jP+kciH)=T+r%75WWgfsLS(){30#D06ln2QRDK7abHR}ZadCsq ztl9|UPOsur!_os@w_zBcl)$7Pf&?r zo9Y8>ZDu~zE5CD&(Y4XdVFx2^7o}7Jfgfi#nQ^^@K8i7A{-@)otb`&VJTJeqM2Mph zgTFI^%n0HcV#W+JW|%Ru-d_MDbC6caO`3n9{c*74AZ4T^= zW49&$*I>E-iog8Q{}OLMkyDzgS^Sjl-yHPmHhyX|?ZR znJcS`wy{N>O{RlpHzKmn++Tgab3WH@0q}e%5R~w#B)d+g9<7wS|R+ zs!d@IyOWT8W($ND`tn?1#l9ed?);K1th#ME64Ld(-Gp0ZbMaG!Og6dl zBHk1h7NZ*xs*YZX))%5rMX%aY{LCxCI%Ze!)iq>aW$v3dLvEsMcRjMDxrov2Yhe?N zZQsX+)zLA$>FDLC?>7{uo4s)J#zKMJaZ@^Z$(AD*ZPhs~UhlnalNbd^?1*~Bcvxff zm01(*r|eayl4kcf9dBWfva%vTB@=Y2gGnhD`->{aw!GQHcyp_^SH4rJ?w9v!yT#Jy zE8BN!<=eM+_V#Tix-guqvri=97NQMXl5q=gIz|0Fvg{Ae?#ha^Z^M#ewwWalyg_Whk>cS!g7FQktbUJyb zT-vGa?Cw{#DnDDU@n`#vDQ_x}=BHYbMGIoi+R$s|?ebo6zl_^m-(LhgQGJ}4Ex)n9 zS1j$%-EI9mwSZWT*+E8FF1Nlf&&RNYVYG@43r>Q*bqK!wz`CvhJ;e+qbjXjFQ31@q+=wyfSPZE#{fw@zvQtvJ zWwTf+Z++luPAm)vGHa$u(tj z<@OOq^J5EWJW-$?G-Rx8p~~VNh*{|{ZIpVO{yN&wW(Y+>)!hj*X)i~?O*;1dtOKZWD zb`K&RU=qCoLk=69t4+|IwPOa=j57yN1up8kg@xDnuV50cu+@cmrwnN9EU&DuMO(M* z+jM?Pnq5?vmm^){+QNEPjSeUcBY)09s#2FJUFpi=!jMX7O_NZWN8?QZWN8=>np&n` zQWY-R6fJ-HK26q4UZTB=K>Gt6ixJ)J^!jaYxwE5i225Z(nr=vlxeJtdoDgR>$&dPv z&l^aMr?(`%3>3*|)+zLK!#u0=uCe5dch*;H ztIOt{(aD-qF0JAWhPkx5$KabwgOz_g$YfsR@(0|D%y;{YR# z?S@yeT8oD`5^(^RG}K5nvDrNA`cn$2c-*$|4{?i&Bz)X(P4UJMx;@jS3nPVtOvVUA2kuidDdgGO&K9MU^4 z+;As8-DsKCHXFSgXR2uLMkcs`5n0S;F`M-F=W)2D1vd^#r3;{xgcoq4`X)}t4H{9C zVN{$Nqa?I|kMx?`KyosKrth^BxPTFDt)sJZ%(nL??6E&P6@AjM0xm)wo-Bij-zP<# z6u2ym%wDrk4xT^>e zH56>Xkg{JtH78;gmKG*MtT-KF8PEbg!rdZ_Pe@~@zy*wGFyr+R_8p##JU=}hd6J+4 zCe%W$PUr0!(3uRC>9kN9RveeZ$LIK#>*VA~;F5+F#|7-(d(b?2BHB3(E8rp$?ADy{dr$!r%6h$a)N3COpsTx+(P+AHJ`-F%Mjq*LjI)bl z$4(QoAtCEFQFp z#1*r5_JQnY-MDT%#!FvrWx-}59Il`BlffngDxMyLX<_+Y!!5saKF;^B8e0nkA>zKB z;4?D>R&f^TvGem7uWTcxYx5GVL|*$sqeYj+;_j-mS=hdtH<#=KD=NBBU@RBmt<0~x z=m|YhH8&XDZ6bQuJ$mps+V&XIo(c;w@qml9aDOE8U>5$~9w1Jriu)iEnb>)UDw0H> z@ymKvIMzFCt&lmDldvCkx^LqL7h4cT(U+C$70x^OvdMCVo#7hHTi3e`*g6!lOWVS6 zaAwZO9m+IW{AA?=9zS7_+STika7Cu(;4bA$8fsMW#b@GGUw&mUCxUPX3Xv8H_z39bse;osaN?rqV< z))xKfm5uVfT4{5yomKKrXP30Iuu;P@kf~v(oK>E_hl5<%u3$!YXS?jSB*YtBF+6FL zU~h<%=IMYz2?U>eM-QL_Oir_N#4dO0z(L4WbhONO8@X&8LG|<>W0ONCt+9$ zkV)7~#?m=qT$lC3AUNTh&Ez;IU2DnJqD{_KCN;uy7LCh+OYsi4Z2(=+nfwQWNZ$SmvQl76WV5p%sYrA zL5)cGJXUL#^rh61RKURdM5SK@iaZa<9JB4uXtL*H{DBuob+s zmytw4t=3PVzqQIiBCZ&wv4@xN)=8>h-*iTUnf~Ywj`~^R6RU)VW(CHA9ambgdaYq; zYN*4?l&TS8Ja@HG09LS~7eBb-Pqc)y>T6>Qmtl1hsYP++V-K(3P4SqMqP^bS{)293 zfE{40j)8&W&(zy1ZjIm>vYW{sF>iFz?VQ2vePrvxJ6xK@^gTOhduKnoLzdqi^d8LJ z!oo9CB)7~*@Ol^1zumcm^Jt^f{&YVofiH&_qJzALWj+vyjREYLBLYk;Y}1J8d!@Nc z#l5{ZhiL8?3vhHu}%$&QRzV)3j#J9?NeDOkcgTrqZlp&lj~TiBj+}{EEOU_ z8jikax0=xygK1|#hk}taZ~4TSC-y-1M*?EpqlwU<)O|dPC|QkG5beS8{()H2MKsdA zN$VYEF9YRG?m*{!Igiw>daK?(@@VN6=zGQx9lM~Wb`MTxqt-b&F`lD07EFrUC;Z`f zLzmq{4|<7)GW{(%>kzAjYxDCcWk9y_u?&AmuiuY4?FRvrC9CNM~(BoGfys7?`yGoEA59c zZYM-L#_zEQsMmjVT&li9CEK6}7&QqP_^}(e% zuBwKvDndezFzB{Bu&qisJQi#YK*?dpG9IKBG+_HCP$)}#ASz+|pf=rqqZd56iYqyJAl}(o~9d<~SBxMxe;RzR8x>ejhC~lg^E2&a1 zB3+fjy7=uo(s%5L<#k(dSU$dkZCks;Pj_|?s&{L*@Hv+)r}=(ZK60hQ10QOX9p#Jx zv{jTZ!cy3?6gCb4zm;oMgxbuMwIu2MN;L+^QBvFRPd=9 zjQ=ve0;MRep4noQwW=$1H!wN-SQ?r^)f}w z%w3)!lZ=SG+3(n@yJcw%g@45sPeGyN$v1}OjareM<(e~0XONoy(C$6U|A;wko<)00*DrTv8Y@`w#9I#NvW-42m{`un zLYCqSXr*c+3C_*mNM%mh^atI;PM8~JS1lJjG(<)Hh8e6!KsoRSJURQu~_)9@kw-*I`Q)?1cnPx8qPTi`^6VjT)XlZRLbnN<4xEH`$ zym&7bkQy~ukN@bJc?6FU<1}E`)Bq{p3M3&4CWPn^RKPVneLn;?c?@CvGJbHe&~grU zJKj^^)UAf5?}tF9(Y1TGve0Z3vbCj^zS1pC_wc2FE@;q-_yU>@k$VD6k6yZ|`hG~W zneBDebvfhJWjC2Ix;ExCsTgQg82IrQ5lENhyGy$MSOfOj<5wa05xN z_)tin%K>Bd3EjcMLUexHLMN2D)GdVtTDcHMQVE~d6Hg0Cwq!5vRIex&)+j2A>50;j zeGL?2&bOEg?5}45M@L5OKCR@aMKwx2Q8GFdwVNfn@fo9{cE^@;%;l%WjE;)hZCg>e zXkL8E9j*Pll^oTmFn=1nD2^ccZzad&f67!8m(if8aCwG0TAWLRqB8lOAr!S6x|~DB zr^$qot_c0J28(zGhGjlHh#D#svr|KM1&^Kc9giA`IHIq7R zN&n5|7{gaja_gj_goLu<e+4wIc_gLfUG%;$=kX^R7l@hYEOOuG4U~uAPNH)jdU&1L&%zvUfmMMaYSF$ zqcT4MQ6JqBJ&3I4D6QwCC3+ZH%~4y=M@#fTvYI3C&qqu2P$K)cB_;m(Xo((7MpLflvRzm#l>m1-THB4*ZP{Ij5V3&+XpP#q_cQKeHm~3f@XK# zmdkdvIQew1)9T~HW}A&}9oIAB`&BNh`y7xYoEG&fT3bEZrd5ljU_*%T_oByAvwd!}kSqWZh^YW5Ny*UE>=Ri~F;(?SIXXzXo5?D723e2KMB41iS?oHa!V8McdsAffW< zASeYX&~zhMshUZh4u+C0S>ccYLu}6JU?}mDwIfLwl;$0@2Nz*XB+RBal!VFZ7!AWH zwvCDbU?7aA^tNpQ13`&cK|vFpQ*=yVr@7Xd- z)(`~>l~mi$^HA?%c?;GTS*|xSBVSMiRZfNHi~&O{CEZ!GM5dOls;zSTRw&6^RMIA2 zed^vYw9@O?#)qQYq2yab)U?`oOOMxwO>C$$5vi28Wd&1+_eB+<4mK?+c=2XvyJqrc zDCySV;vlqePYyx}cUBmXjJxrc)=?9KSW?lDtcWSODz@NjI`Po!*fdBX7HidO-=>73 z1YJWEC>K$NIxN1V;7HxJFZ7r+d0!|A*WltH^w2sv2qoTG6Bf1=+Rm9Agc7%`xg85a zzB;3@PYyy2rdiWqbWXX&#@;>IfFkJa;dfN1OG>bp6eQ_}%g|4HHHL<#VW<1;<8lJ!);#k!x?%tF@l*nbRtGZBUE74w7aHIjm zSTD<+vIT0`&RW##$RuOMbU^ev*Q^Dl4v35vQvy-KtpN(X91t;brUas-E^ASz10o~F zlt7f2XDtRNkc%3fdUf=Qf|sC{jIL8QM9H}Zm;fS1;gmp>pl2-wc3mQFz?49g0In#2 ziI#|wJ|z$(@mb4pT}vbeHYE@x@GA_|s|6Njes?3RWm5L_!nS@CgV%Tv!gX?O`3!n4!CP!g`;C18kz zPX|NETh{z0E4`>X)N2%16+CH&HtFM=K?DS+m*>hK#gR z!O$xhvep1N7&3HB2SW|!8lKR~NZ^JGKTpsdDn_-Ygj_al(J`uvC_=qlMZ*#F9f`zD zn2tos1K02b2C1SyR3(t{^wgWkiHpS08@yzF_+8SIOgdX`O z2cbkeYio^*h_>ELVO_xy^jWvxePJqr&>JRbXaa$isy!AahLOEsfie(24gNTu_Obh! z+kpySFXQ-{J~p>+-~}#jp1Cx?G+L!r_@xXBlFs#^@quu---_ODkl};@&ZXG+!l6~>ij;JK?@Oeaf?F_Mv zZ8^q%P^)7&?&uxJKI)&zMfQ9FEQC2mGOiEd&+SLI*~E!g0dm_%KUw2$WxJ+F2bpUn zH`y)q>=|cx#KoaYua^|j(c`Q^Jt`%=FehTfO@mGO7+`v07vB>!UvZZECA_Ut?DEaMaZUiZB~kZ>}wA>`f_-`ib5sgF4U2zcpQGABC!`G zWV0mUcGl_`XL@q{Cr=Jnxiao#YIFM9QMn_wtzF{MMBVY^^p*cDU%Bv!3MWZWbo%N8 z?oz#yB8iJ$V)c4LT-b2>>i?$Y82m46t|#?n5ybTMXDBhnIkK!~`uhJM*11%Y%6gM1 zE2}rBa?Im|OPt4H+dP)Ak^}AK>7yojMdM75|HOmYw4>FxJfWl^S^A86CQD}#O?#2a zbI3fba2PgI!}Gvn<|qpYfo+0#Wu*n3_cdQjC=u@7aM z@@2!#-}Z1reF5i?7Pu*tZTZ`f?-zZ2{^gZl3b+1_x+ZyrTEd%eP&gA;7<$8tfA&oo zFhecBnSslLP{iN;1B$S@LfLR9?{sesPy5#I2`(Yu-=Ho?TtR-ri=bXZ+7EswUEjk? z#`%Y!4g*Ac_m`6ic>bLX1iy$e$7T&w`+FH>|A+67knfj$S}5=v8EILx3bGK&@;ia# z_4SPUKAg;iXMR0kuYe!eDn*wp-u=6m+#dl0!D)Q{%PPB+(Z5;woTzVv?B}W|M36P?foxw=Mz^j@xqJW%7F3f8EIMhvc{fGuTKMvtakhY zt(V00OBrQ>%2<7Q+4-Uj{Qg@8ejm%o_lFrce=Vcz506%l%i@hJnr8LolSupB z44TqeNbhVU2Iu_yUaeaj$-7A7;H;w56!H z%?Sebk;>i2M>*Kd*>v7IM~%1Y!xVMvGQ@ot0svZak^o~|~0=x}gyf-{EkgzH886>hF0`{MdK3aqN5P}p1)P&pSDL(Vmoa~?TybVXEt z2j`{munHbz+{Mw_JPx)OMXwZbDk%?m{Vc%DuPnTZ{J7ZaaMlVcU{-th#%H5-mx;7p zh~^^Ki9z@9#S&h)^#^!z7hkO6g_|FfWE+6Fi5C)72ye*zg+;z{e3*E(!dEWplz6p{ zSMo7PFe+K$Vu7!gZ2o|^d9}<}E@l|7aB+>VR2EUtJofCl_5N62lEQ1aB`Ux>kn~oQbZ{p?OS9^(4mte~t|YU2}4F#4~>I zfGeRbsj;mdGj9#mqoTbxb(LHn{zar=*ti~12Val4!s~ieJU;Gj-ruWjm99tCw-%97 zeXC&pUvO`IHta`b^P3DTX!4tzC3&?hH!E_piW{8IiS53-jZ=%(Kf%ui6g8@T_vVUJ zO0cFf!RxJcNhz=r^RD1u-tWdr{g;HSMU@gu2i=*oVW##l*iMjc-*8eeEj3^j!`#LekqWn3pkx019KQU%5(;=;c2*6?eB^ZvIqd*KW3t}MA``vRde zJePTj_|6DaxD2NBU7N+7zPk$^dchY+vp|}0vqekCss=R)6NvmJ}8F%3|#- zj*js!DI2G))d|k_p#F-HR!B91v>so{Klxzh)op^J{|G1oF|#8> zi%&7qIZNTDGv~BUuzc&R>vxj074Ts!qll-BFv&K#5tbmrKNljbe&OBqwA@QqKPB7C zH#4RStP!^0O#U=&9ntrbv=wDJg0_+^PTK_Ceog3>Jp_5W`N}-+PABh%|HCll)qR%4 z`yn7p64FC%nznk0XWRsHDxs4kt<*Y#w301OTH7`mzJ+$!Tq(5as4 zmrLv{8c$2wn<^9$C#~}wKA$A5=(Q1~m26pN?*A0BWe@J2Y`%`?l56#9Mj@>m$z06f zUnFTO{5WbP^E~+!MzVwmJe0nSi9k@4p)-RJ_~9}rkW8NT%^Xi9IN~~W`%ja^6*V-9 zxSrXb7;zIM{fdxu8P4yT*uIxTQs21XsildV#ktl@aAVv_9&JY`1?hrO`Zht3f0s!R zuN^##1rEy~mS?&#+ygrTcmToY@(q|;-)2}~j&B`p|4ov%(w0$t>v4Nxd~3**phTkU z7!)*KN6em+CcPEnWm6cnzQGa*TX$A$+5~e7mxp!6+%nNHBluRb09 z5~+reXmsy}QOkIe4K6bJ(v5p5J|@*h^6`D*Q+#*La^sU=XPIjcQ;i%-!mfuIEp!C^ zDhaA535734ajRF|&m6agK_WYmlfP{r6)7C5B%hr}AmKm3l2 z{A0D+6J#S@w$>AGc!w)PJGmtcDfwZ)j+7sYA^@$!)926SS`M;6>Hh#oQbwNh z{v=|H6+FSc6Ou zDX&7jn(!%J7kE|jY=`P?bJH{w8#K9glgs+Ko9kf#gv=`}i{7}Z2pv#!b^z>8ayp-&0RkK;t!?mP_#-Hr`*%F@oWtFVM;8ri~wd>pprO(QScWI9kBo5lp? z2QAsk!-A5h3{Woiku#HcPT8>8J6O zrw^^rkP)e!S@y~mu5YytspIT49p#&KYHU@t-Qg$*W4zu9GK=497V#OKOdTr@0q-Sp z%6p0QsbRUY!w0u0#vrzVYH`^%%T9eH1cZ^zQ@mtUd0Hg7{4hgritiNd%s3Go zPdXxSkQ$E&-XK!G*Qpt@8 z)DPUju9B^qEKqq$1C7-s9q6s5WHIsLw5*o3K7tDqWxK z>1s5R#50C+9$OrCS~fM7iMj}tYz*~hkmBUen#xwbT;1gE{k>Z*Ds4oq+Gt6TS~!Q~ zz(%<-X^H`p9ol{j84Y7KV<*8B+Xlfs~%QQp}l-v>xO zBxI?jPkoN!4RFfILs(7&UG+&BK;3ZY%dhy%J}I;#qr8*;9|h8efJUkn{eGHDm8drS8`Gx~G^|-N?%#C5XcI>$LC|=g7BhPeZJXKf- zmpLqC+g!sm@tR!cQOv?1XNrZ#bTJ`v%B>ex8#+DkvS~7c#Kx^3FX$h`dfj#12`Y+r z9hty%&{QmCjA=ziwgw!&?kqDymZCq%BZDk1>FR+H&G`x^nWU%Q53->82Y7Z81@& zu9!UPVtl3cD7%hF4*9T;?w3K~gpHhZk}djdu~%&7#*@@*LoZTZ$UHN9R*7|9f{acv zQ#dlc81Y}kqw$8jZ4CvFK0bl+tV8~9Sju`6IL?46#(wd-I=it{o6a@zFw%2^3GAJs zq}}MP-qhHTFk56^E2Fm-oT^A^P$A_|4f=6lVMJ%xJZYi4~~2zo*kJ?JU;87 z@_&gw1s0q@c1R&0d(miuYn~&!V~?i>lW=o96l-#Pmw!uDIAl>v|ZOW_Un3#3523HcbZn z1vi3B1)uhxg>Mj#g(rfGZisDgGXytx#sW2WMl+eaAqrZ?=BcnGcNi=^B1ZQanB)N7 zc+5Ov9xtObnI|T)cTp)W5m{$d+1`)&E%6iSqj)))Z2V1uh3x0dY27;nd6IF+ z>x;L|s;Lk#1w+fs$`438Wp7NQiQ>(ZNk#9mFQ&r@AwrQ=fwMCb!`hHGUyLNrx3oA5 z+fzHtm-(b6-vJramR&DWRtgm-&ETO{3cCsezf2WOv6L;&JhCUM$9=)$?vnyPWi>}l zBPmUsGn047U|g_$DEMJ6@9S7OB9bX@j0Qv8bqF&W^EG9(j)T1^uV z$?3;EqLCQWIa~$>EUzUWVVDB6H@qWctB9K=-Z?Ta;|79x=c@`4_7L_qOKwaykE_3l zO}jgEgb%InV@CT>KTDdr{OIm)#EYlG|n~4MeaS_DQQW7n_)nv$X0Fm*U&moaH70p)oFfxSVkq zN3&;r&~(AJPe6BxmqR8GYS=|*m3uLn85=Bmf4uVmx=bbBBr{3|6vKxRO`;IM;gm`nkrL%8B`0 z!*$BG(Lqx@@zLx40lIZ2<)fm1B@ELz&HU*}GlWc9q_yd4cR&3cTL~8|mN6wT-^za< zB?K9CN)U3v9#$eIHHaz4*UORi?>9k`e-GXxU2`Q7ghRZ_jn*s7L_ULAwkw`f>rerh zKds;N^)x9Ffj96+Qg}00960Nd~qD literal 14914 zcmV-II=#ga000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C4w0RR91005gf00000 z0071U00000002Td00000004La?0wshWJ!8gwK2xD!HjpjYcCjcJnJ3zuDiM`v#vd2 zjkB||yK`N)t*q*qamz|)Rb^GDrz*2HFVoYj)z}gb_`wK_5VCl|5`O?M@B~6gATII? zmi&YfMzWCrU$7TruP?j9?-vnY#CP(<$;!;??&==rQCH_VaU$aT;=9Ec5nsgl=%bH5 z`X>IqfxkIi*RIWd0zc)>*?H@<(QkFy$!_z!)9rsNQomGrr@~D7y7rgx^rtBOC;0p0 zp}#-E^Vi+qAL5sPg!KC#ee~1#`DsA=G>Y%F&YE8uG<*F7cdhnGQffC&pMIs;H9%{7 zB@K}G*ZAMJ;qU(L+HPs{&*84uZ{YtQMU8(6zZ=by#%Z$E>9-D>c+6bazV*R4ixl#UHQn+)cFkf6KEGDJXezV(1%AGD6ZfJ1*-Oiw!40_F^a~yg7L%rmv*=wD& zlYXaj+Pj&Yc1~J{$?>3lNDB6n>y7qN(&;~Lc9UM`xc{WlZ6-`|*?HUQ_ma})&Q5aP z==R&lXzRG{E4gV(G}=#-&Y*uj=qJam(`GL@?sm@b2C2sh03^qqZu6u=B3{4IY9F2s zj(C66d>@oZ&bytHZsW|*xC2~TX9LG%Q`zSNjO&eFuXEUH^qWV?QRi@Q)@=8!IG|js z4Jc>!kxglSaNce9dZ$m5-r(S;nuq=5dcR|^0U^(N2JypA`>189;V^Y%-my3(8$hrP z3SX}c4tj^(*0~YB(n+`3B=DO;_f4=CtZ63q9C<@Yl^IxCdNhu)4nOuL;>OTf)k?pKy+j<_x2<-t>F2bqpqOwx5n3(^*H&=>%dZtE+geXG~+whjjUPPca}Np?<~4FK#m zk0BwB#@AI7ebWr0$Ahksxua&k(K_wjx^DD9bmZP?=Sfl~|Q1pv>Qin>|&Ef<0^HpB`6u00znOV+ ze4JP>Gnqehch{xD<5oYfLKK^(#zyb#8P^EMINJb2By#+_ac8{k9-NyuliJfZJOl2G&R9?5}d9+-TMEl{`f2|{%=~>o)Ukr)rSvgYP(n6%hbj!tc^9XwA9M`|6yzK z_IwjAW+TS|xJ^*>XCzZXzqH-yC-6Jr{IsE%t#;B#zO-4pZ#?H)6k=Q?!uR6Wb{T_n z!oAQ~hOYWP0Zz#-BQ(?;p+ zUqG2@db}~qp!S*1rua9z9g70LZFQX5Ax=}S<)KQ@PW+ZF^ClkSN`T=S#=7^+@OT%- zKCi~_K@C^hjm?0+BJN%;qaZ=JXw`ohzfp1AZT74{?z8t26P+iMS2*aFmMmV}l78#7 z^%Xn+g9(jFyWi?R&1(@S=W^*c`3qucDgIgf?ldr+)r5!P$6TCYu?QvAHhiCU&IBMQ zHpGAV-`1l1btFYuD84{m6X`ZvAMqAk%v)bR>zyd?;znMzwXC->0R-Aim_*7RCrPZM zCE|sI%ypHbHS-NJ?3g87OaThF8Izh(gx7JSC6VC-hYHtdZ&DKosVU6N+gB#RQi~Mf z0>@6PJ->~~YI-?rLWCMOk^JLt-RAO5@3u8hw-3)xk8a!b13U6{FK(T;+8iO^B81oQ z>-()5CiJn2kptx#u@OV6e`P4A(|FJHU$X}HlPK!!*1BDw*fz8Ny&PD^{#j<9fG$T3;ZH+U5@apZibv!%BJcE!2^8x<__p@p0>@iIq;w3ii$7DQ3^O?zvyJ z3)i^qH$UiOC6d7bW=8F1a&A{AF}G^+zEOLO8Mz3)sLM|@CN{j_jih52eDUrL?}T%W zG~^V6m(2f2vdI--NqB=xp>J#-AHQ*n4dV4W{$`4HX+<-%G@@6+ZG@Eb(fa93<*J2tQ61!a*Xa=M(?1eCRQk75zmYs?U+;9uS_;H2^XnC z&$8NPP!PridEPTu4X!b#9vzLkpJmq6nNed#4NU=kKa-7@kQ%UbxM&_Z`-sVs3+y9j zBTO`_Zp*m$vx1nh$RpN_I5Xmm?{;V@nn}(G$)R}*{@>l!QL8)3|6`=uHTuDtz|-8% zD#@C<8BJz18Aq39a*~x3S}|+KXq`%@r8rL!;Su@!HN*;sv%wbnVJiFTqY1 zICnQ?XAGu84`>ZPXQBWP8Vt)tgs0mC(>cfP9h0~MhLGf= zM)#J15BD+pEI*p^`9tJ%&lmdgDz4EqN2mRY9W`|At9PMCd zKaMKkp88($q=nH|=Y2$u*l>>~HkTjS&8*mNzK19eGeGK{HxFCKE!6ye;}i!qm~qu9 zwx%NmgD3`u1ib8i|1ce814w#)fW_#|%nI)m38)4aY0F6P%%X5CMqLrb6n6hHdGbi~ z+!D4{BF1>YGB%)fJJ=KqqBlTJnAwAwO`P=$m+B5fkIe(jaQFny`(m{6E;iTjtfC8?du|WpW|-eq ze|-L5cF)J`2(#G{W(dWf9btwu^oEWwGv{Y;Y(*W>1L~Zy9sQkaJZ2Dy=aFMdcfR^qil@G8(1w&*I7dJS!rHu)a9P*msauli`>=5dUd_Ze>LIwZWD(=bN zK&v(zhuzL$^E7wlZw>@|xtiix`0VL7L?t%9_84dNm_0_756;ntHoLDZ)Bh@&ZhV0< zIGz&Z{2jCn(u8UxdLqBGM5wS3gTFI^%n0HIVkQhTVVDWS%qqUR{U*A620ZR{`fz&B zyq}1qxw)Ug-%sMtZ0)l-a72ea%l6-a={OwXqx{nU3U9enGFF<&zc#Y;&mhMSFdH`u z=E3IsIWq{eCnw^>?14LK_f5R04Gs@+UgPoLG?`CoI7GWNI7t=@NwN6W^5R=d#RMm< z^MDFmbeg_oPv}gl)nu_ae}H2(A2z!^_)I3p+yZ_Ii?zvP@Hs5ca z$`1Pc>@0q-an?G0YK!w^rOnbis|yPYHJid5_DB=^Og>k3HdhP9wc18`ZE3!+Y+n#T zcj)~lqEJsA2{~ZB*TP`P=3?l6R7}ia{dZQ9+X-q;ZX~PA$!C+#*+Lv64ROz$R=cu_ z>?_QD=T68?jP20=Y^iXm>(F;4dlVChU^x zku7h|*xcBx?^f>0Q;zbvL}!bTgqxTm7@XN>4rAu$ zv-gy?(*E8y>aK0?@0Kg|+Jn+A>JC}Ao#1m=fO8GPi@f^E+nYPp4WL=8-rp+i?eA9V z)pc8pEm-zqGxtS&CBEG;Z9EH1Atjjmg_fo!gnx9i(Gd)3YAk5}sa zxfIr{4Fs-iRd!2z72NK4(h~4QRG|D|<2PBQS;RA;B<3PPkN_TWQDaLuR6nHXhU$Bo zQK#E=kain{-6&T!HtKix@7=5HI(<*^iBiGJ@q+e^x5|6f?XCJ= z#U9FG%dI&pq08mnoBFC-x%F|1fp!#EUKKi>@hYCt54JbfQ`}CqR~O5>|6yHSb-S$e zYNJ%HY*x1R>d>nc5b6nc0^o}Y7)x&>yyb);CtYVFscu{R4uvz4d7xisHKpCs#ztkM zUVC?I??I(jjq!~Yh{)wt#>uLk-R&<{%6s+g{k@(2z504}7f;)}@8T6M3LF*zuphym zuw@d*A~~Z)Y8%^c*J~SBSzJJo~B_NU`4x7ExY`m8|Y~e6*cx;=^vyOZ@ z<1=_^``Yr(e!?T*lN<0j8W3E37{pcP`ekaCKjB6lxs7C8v`h;NZ{c6TX)9S?xaA%w z^ot8?$>v?$;0oCn?${LOu)$FFS>;%@DVYk3Ypbyeo$+A@?$4{v)LG1{6Rt=ENtc~I zAE^}m>Q4s7N*;`rg%}KnHyr}Qli~V`E`yd7eIF=REZDM9IigdB+1Gj** zy`=Ai6ZC_~(ZN7M%BQ8aUz3_-lz zY9Do;^pbaWSdlq3Y7YIqeV}r;R@NLXho$6M)f*9(XI1qWGV`oJ>vNNWEv%`W>UboN+m+iCQjhfV&{A~Wc8 ztJ!A+Dl4!fRa3>D*CTsUP^#9_0Y11iz~Cj+NLAfv9d!LSkf{9bEZ_H8v(=)fP3Lsb z%OY~rK{38pH|v~rE|*%Hd*_AQ?&}n{Pffd8&ED-ZRkU|I3NBzorrIp#{N(;Ed?bs& zhA5GPQW^QCMQIpbz=_6^I2|?Vu1tneX=;pyp#^+|YwmEf$q<^JYZ-6>BYHK5XXjWp z?M=wBzcm$ovakX!LY+f=MG$LyFN!)Da50R`IfyR~qaknsBO1k{#%kxCqbvb$VpaLe;^+x@$ z*FG4)R(B_((RBTM6kI+=9_i=jFKUcDxPVbg=l%O}(7B|}dst~)yzKk;&kvU@tbhwg z5^_cC;Opd6D?%o!)&4+I8plSzzN^<)l;9$w6tam5DeoW{qmrhYm~8- znXK~xR`^h_39xd58CD~+Oq_PuYg?Ong7>$W2Oc@}Q073jE+AUPF0J zx`DjBVDC9Tu*kdWY%*&q6pBmsi8aZrTPEAetra)9!O%ptV3a&)VWO^k`1onE2%-24=y$! zNs_Osp;tJI`>Q6)+_&Jzk%hO8j2uup4C1A2;WRk27UI5{G0}|0;@Zc2#)9)+AG3v7 zGCQ);IYvto_;$Er*d-z(>uFjo6daTL5i z75-?@Wo4)cpJC(&L}px{!ruhTL>x}k z%%`tUn@^Hm;LS?xqF59srA(D$5Te~&FTLa zp~|^7&$dl`3Ar_oPqgzZ_L@qx?|F`Qe$e^uEff74B#MFx2jmf+}^6V)6?(asUfk zy~D>)Mw8QQa^K;h0`K#S3|%nKrW*xm9>NCnpW-|=r#7jI-$c&44JZek7vpgpb0(Gb zqhCJer`_D4ZMMc#*$WvoysJJ002*)L`9bu7&@U>?Uo~>EsAjSp>sX}zt(vd>M zviuaHf>osI!{q)uc*bRxaM5))6Io(%YekSg6~BkhPT5 z`Y7ewy{H!#7M$H5k;;{rR1Mcz15)b+1U}&&S0sr#QfnN;c4>7pxzkoKMLwR>fDKDs z_LPEts)7q>XgXXePs}GN{04wK4Heie*KL~l|L)+h@8GA9PCd}os-nBJ2K^L%A3oy) zx6jnjXoqHDj_uslM(tZhlp}s{rJv{v#_DV1G>cJn5~-pL8dDE9@TPRc^}k+ke(!O& zGr(aOc6$xFc>lqp-O}a-#HOs9>FF1*8~f( zc>XRTl1!1@G8|{_bf8i5`{&7er~O0yqzt7PU5F#ZT||^X8`lSLBn}C1DB?*Yrtg)- zE|+$9-yLDGQzF2{OeaU>QmtHCuZ&xgrrwpjzp;I{v@vc$!wTEE>~6oEOjekLbx>>D z_x8qxNnPDA@?D~)aeLtj`ZM~82qV7Rx>#38DCgf=b=hkkK5n_G?{zJ>M9_O6vAH4CH}sqaZC)0?I?l)+wyv4hU8@7ZAA?aQXRr2LpsxEsy(i7cnn ziC)4VE_F-a&;ZUh0q!KsT^Ww{n3IL z9tyF4U;{Y5GGyAI#|>ANlguq1aODO<-Xpo&IK?M{qdtj7ieXAl(oj>ohY?$|-Z?%t z!>-=bHqHs^wR$GS9Yg&7cwMeNq6;Q%_`Agbh)d(3?P?_skZKP zkClE}Ox0d{(md}wx9W2BzLl!C+I}AzJR#aCc~3piBHlH_%ykIliP_`eg`4dQ-g)|o zK^}oddR`-)Fa7idmf{+gr#i{&XJ+OZsS7h#f`%NTzixNn6PEE&KzyDX$jQJ^3%0)h zENX{KI=|UC$9V7rReMcK2@bO1N{L45;U?aSe=5=eA?q4XxrFW5y`G-}Ms$p+%{Vi8 z#4=MxqVyQ!j-HQT?x5cx2#~#k+KE8QhG->fn%NUzI`De=L8-b`LmDnaqAh#>9ry{^ zY?|r)ZDhJFoQ+Jsch6>}_w0h$O;(#qA##-(CmKrukUZ{ zJK_?Eb7wOJNNg4;JuGePS8S~`bNgHSwaU7E&Mj1%q|j>)61P9p_jlH}-*ye6SeV4} z_SP1n%&QNpd++8zCQUGBRK(FJ$|$+B^|Pg$rLFzahJDVIdOckV zQQ%wmg?D6xEKrTg$9HhA>-QO~zO!F@P``_9HWr|k`%(EQl@5DsBB(gZ86Rx3Bs+C7 z*s~PQXMnt6`wgbqvM@76$R%*TzJ=)ghuHez#m=bmu82sc9A&S({6UxQf;RqjiL50+u|80R6W`JRavi>C|a&Lql^Zr>G#cWN6VPth$4lnTubjP zA}lxekwNk5^mck%V;X^WzWr_uEyG1#Z%YT2X`)f%y>~Yplc{Ot8d~sUCsj9s7i@0!Ao4mQE*J9QMqiyh`^?yNW0#ciAX*}kap7)rRQ#={hm#s z5rQ{h9}HPKX9w7S&AApVMsi+ad(gM9NotX54CGSp=rmal+q^VL_QSkV!ltteBLVKkHUo<04O%k9&QM!fPIas3 zGok&qOJ-Ch>(9c@WeWm>!xICZNsPe^Naqvh4jz}Pj{ z1#6WWzF%_2hBDzmvmU?ykNE|U3;JomuB#66q60n%@tODtlrc=LRq%t02ruPGx0AhR zrqFb{b{H|H$bkDUMHLR#>L}w$kjPVxVrc z68+*cAvs$;?J({&l*OP82eDu!$!?!O3N8-HViCZc*x}N3VwSF%bIu&&ySH0ScDf88 zK!~GFo_74kPc_*ZK8YWPoeRXY3%mC1cW2(-NBGOReMudFl}E6reJ0lzBho;wtG-91 zJy!x)kIpn=Fo;QrbGN2MDD(KkrEaM!u*roqk~v~?RY-DJyPFj5+w7kOLE zaU)c6l!&>$8DCt+k1#0imTE-MqPUVn#H-R4i(y$|xTIMA(y)1VyAo(9W7?NRoh=RZ zNNpvjXHm&fL1c^Mg}#3layadP?o+_2{CwBtUIU0rj~TCYa7YnYPpuLzDvge)5eIFq z`{Av!3Nii<%R4lY6NK;q6Za=s+WA_8ls0Di>jk~#92Yw|QqK8C9)kA3=c_kX62TrlmW+_jqA?o&} zF~4T4pYBLj9iGG5uIAaD$Hw-(*p_gUUq+7#WXtmHUeuLxIkx3ZEmICjqdOKZUx zxhpY_xW&a~x1GjOVqs@v}$ok z#FaA%DVPd`@+j1lleB>#9+t~h*=|7)=iIQ4Ut9rkHg@^e!b6j+(uunA@gsf#OO_sq z?oHJOH7Jd!tpy+&F%+Co!~j^8oI6Me5f8>fkg46v_BEy4|PIj4i6G_IiF4Yfmj!RcTqKe(Xa4Z#p6Z8{iA=?V&- zz?jxPk={ByNWSu?`M|n;JkeCx>v%H_O|WN=p9)f&4vNxl4N;)bNVWaC4|`RFyCB{O zH@J+>$bO1o%4zVNGhk??v@N!3WNO*0nHs0lJRPAXn?N-;46Srn+jO*2`mG^qTCG3Q z{q;c$M`ze}jY%q{Zn0Sv;(b{|sHdGIgiRBREMHIgHIp|(X`2R@rj%Ch$w4UPj?D<# zR%jbFF^EMqgA$uPrBtN?z@}3V9oMEo3b9zLj)j{Nic)k9QJ_#hr*YOOIeLzKQNfYA zEiZJRG&wI+f2zTyLFle^au70 z9{AmdvO_%B?a_CJ(@L=y6(nK9v#?J(I%846(=b#($8_qT<0~}4VIa=Io)U<1&ow{+ zLUy-zB_3c(7)sw_(FB*#>m}83FDW>JKQX@4Ae$nkw@_$+0)#{gn=Yp6xOEJJ2B$%^ zebmF0d{C;b;Ry^Pjwn|d?oA0qsa!11>Qc;Bsz7h*EA1Q0V1=h?6rV5T$joAWsKGdWK)DI21Ca1C$>h&Y8)0#S+{3k`NGkzv4;K$HS5D}X~Sk;%s?fhdiSh3C4K$Q;;| zK$OBSD}d6H%a|-3-?yybNZq!7m59LU@YjnF8lJ!~M7rdtZ$)Xjh9@vcqdhq@iU22` zn$ing8k#_Gtq^0y$B8{pz4D~t4Z#qZoeqZ5a1Cz=hG_V7FqFQ<);L+|WzC_ESzJ-@ zq#agrCCYJ1$yM5>;Ry`3V|V?LbhXpbN@-neY1_e&o^~o2I*=h23E*Hz*D)Oo)tPH} zLMx+z>u&ma0(Pj`;Z>#Ns|uV3G3@|chplKhQnw?KxCzsdNR7ZXJb^)~=xwV6GM=4! z7ddfpFrbfniS2o%UWDCj(}7Uxrol-&EC?-4lea?k-mx<_EC{U>lY>yo9Xm^dq`9n- z(g$U%DtKv1Y5Os8GxUKN8eAHLHs_OrP`akUr9tSPZ*mYywPOcuT*k-IM=Pu;ID$Uw z_Gd9nr4ah~1Px6fuu^s6!o)D*0SnZDuvPeb@U(~1(A;@c*v*Wyr*Ux9VG}QK@pQYz zTZ{7#lZ}Udj|eeQyL7)3k6WESK8(}A=Z6kXT~UIUqUFtPwajpFS!4EPePcXuJP`~L z{PG1Pe+utQ@Etd2l{jsk`rsQ`ab@3eBk-_WA{#nGY*Xitkqs(1hTV=nj_i~EnL=a_ z5=R`&5t4Cz7yjJwbQ>*vjwV3<0mkTACby z0?{1yXmbB2|L>!ZY;LxAqbx&5R_+)xedWLLkyK7{>{PevT9IX@h?FzP;};i&JGHk# zJ`JYP4pYLuW=9Gl?gsKh6|?+B!7D6N5hlDR<0L+Wgd3=+EICm zlX`(mW?-LT?aJ+vIoRoI|NBDqIbo-GSrA3nl!^xo`TnAuZGw(tb6PFVy#9@1A(H0^FaC;P;b}eE-Df3uSkB+N-%j z+CTGlJ*0)ZK(%L`b}p#Qg_{2!Zin$}`F!E#A4T$oTEg8!-`-F*zzAu-?CT3@)j!DM z@(ba$zx!=pHo*OgPxIO4J|6_%C&uKDc5L|iDX7J8+JC3PF;{>a?&RH^qVDrc`xMMg zsNLRu5TU_*ga*G1n`=u1>|=EK#RxC|PXxwq{&BXxZ;RmfTamK#-iEIj48|r8UkrY> zS7--BC_|TETO~g{8J`%+hP#mOs?vVR({wa05%xyqkKceFzW#NDR#(+t_L;-iHzV@* zhY_5A{r9sx|CIO5L#;5o8QF-{_w`79e;mOjxGn)ktiD*j-;LmM!}klJzF&#Jjmdb7 zZ?Sx##||*65x6nkZ$$F_-3WgDNWQa3zJD0WcM!=Jdc=V9HQ!f+wBL&uli!KZ`RkFi z&qV5bBUJ70Vssui&6^xw+uR(~JR_4&BJKFu2!3CV|w~I|=s=9R z+KWVjD#lz2Z?+@;+$iA_a{Ly) zoWd89HJ6FBT}bAWMV8S1J6|l}g_~_NZ_R%cfi=94-7Vn_WxP=2E4S`E^lF8#+!AQ| zRgox2DEiPVA|aDT!Br=$BGHiO-1MtOq9HM(6eWAH)FRQ4AjZ&V+`y|qE&s8}G;gb?O@WO_Q2wlu3213K*DF*Q_CEnRc1HYGKmiK*Jt5*u$r%o92tu{^KVp zO)1^3bCCEyQ)-V`9=ne4pFO?$ zW`fUqRkl#fyyD6^?+s1}{IJ!1xLhc>yQRei6e(@u?CEav#1i5c@w1Lj2H-v{EG^oc zj|v|7f^TZUx4sx!B<%;3H7Oe)7k!hY#UCy&79}4WZ%AGAgegjWj|!yYZt259p%H#Rakx_M#=O|4E)l|}d-Mb(XbSvQ$QbO>cyZ8JJXq%G%% zqsxo5zJRd!0MTi3* zy`Xz}NHaah9FE0bHUV4MMbTHmsFh$qhZX$===TfZ9;r@|DS9)M&rauz{bP_R#ZEFT z)0tf<7q1H!SHQ((*BM33^~Z&aB(~wA^s!!aXj>FrTR1adTyZ5T{J5*zWSEaM{*1jN>jqMA09U6z7Zb2u@j_X%J1xZ#TQ)i+x_h3C^{8fMEVCyZ1kVyBw~`1xUWMm zUKhSDLtkZ}az%WV_CFtXnvul?Y;;3VHII_^Y~8fU*)TiJfc7%R(+z4^Pe#in&VN-{ zr3VucR#`m!ki@k6c?>x$L4mQk@mAFZgP;p~Wr=G8Yva0hmRr_xHQNcIO zkEO42wuo9{$5LaBZ}NL}HZ%An5TxvE(GdjQ%zx-%(fG4PqR@}bj8Bd|jk>CiKdv|Y zwith2F0N4OdCUrD5;!=$OJPj|yom8?uX|c;u%M8_m{JNe!@J;z5giO(=!LpW@`QU zaMak^CFdpu2Q;dgb^_HcYW`A$q0hrOQpoK($g{AGYDS#%Izuz!za!k!D=OahKbKhn zV@u)NSj#Ae?fLL}r1iavw&SwCjU3PS3rJDE=jr)b{jf7&0L5Fo&EtBve_CJo>e2CW z<xMuPGTLUemyics;DOlmvtA+~2{^1qDaEz5wh6NV7k{m$bSRquQ~>$GGunQaU%0 zfwYR0c1g#YqVZNxEr7T2BQj%tv8-Z#(I4}d6F}w{I&FS2N5J1AW?{`Q=G6IX{b~HV ze5tjtR0HIkxF1Y6!0x|JThqXoUqfd5{Q!b5M1e|39zdy_X54}95qI6S~&d>cR3 zrrWhn+dEqi%f=d5Z`h7m!igtYv?T25lc-T?m!Z(AZ_an&?Qrj5F^SaZ=2ylyeV4Z) zBTUq*Z2Dv`WmQVizgKW*g3n=D7QLK?2k(aFVBAc;O zusFvf`z7&rtnJE->r!@X1Qy;b<(oO0Oh0utZ12oV!NoYbCWY0S8*j&QO`*x=hib-X znIzgfbK{Mpzwt=>+I{&ktfZW2JE3ThU*f)t3-E7;L_^T-9NOl9zd-~fZ`Uk(|(3ph11bQEXPi@nr6qI0mv_d738 zVhYU&(YQdzbx)ht@y8Is5%n_jh}Rc@Wt+l4&xpH?;VavFJ3S$%a{H0Xf_L?OQW)PD zA3s%I4(6Z{z_E0+#NGf%;4<4W9KNhp+k(-S&ivi&5=5wbeqNmN}8EIBlJIV zOqB&HPmz|o*$0{(w3Ktl3TUQ{A>KGl+{@{r7L+_?LCLWyp8zP1zgo_#KUne1;r24V zLWsBW_`@JTd-&|^b4e*nZ^(cSukKWbG4^;w?Rf>|&}YX3_Ogl`)EJ2}GBgjpdzdf? zbEMKCTf)ebAq?xs#Wy&9$GI>RLGXY?9}lRXA6F6ZYPx_`5sHg)O~I6-JzYcDn(<&N z_s9=l#J#c&m8Wb&<&;bZl)5}7P$P(R4Z})?o)`%yM`nS_3BTVDI#?x1HP(*dXi^NN z%(e`5qI;x1x9ols`ORF7j3<0k!qf)lILtf3XGaXl%+t#6 z39g(%dj_w!bzhB%8S*;mnP=p4q0#aoj(^rn>nlnYHwTe#cB? z!9@WNhva8#l#`3g$Oo>G&Az20`wg#3X2r~iso-suN}deN3ULwZA?HSZ9x0~5Y5PH? zF|_p)sbNl$Taa*!dP-qab<0t$--?Wob^MQu2!#{svk1w#sNaixVfq%Q&4*5k)z}?S z>KOWLO>%rFMNZWeOb|L*QD_%U%W=`}`QU$CLUUkL7s z>A&nsfUPb|OF44YiyX-i1l`j^iJW*KPUOURXX}<@F>e4k`r5288*-|eMFd>UBNWAr zusqgj4~tlvA-Aeq`fOLX5ZGW%D&wLyY?SY~xO8sxvuhY($;ax8octXr>&zze1xs&0 zhR19%Ie_ysC}wv8>LIu3nXJ2{93akfTT_iqUFJs2eWZTpmHY=^zvr+w+D4+SPiT#d z(E|sYLZN45MmYV{o)NDJX2fes#E93#L(w2U7Eg22P4Qo9(I8dhn(F|)?6Jk3p2prA zqo8C@gv|&-32p)SfGff%CqL{bhaF@98Gj3=sekT=@M92CPNkR*HPw|407y0Vj&irH zqi<`zjvqd&rKxOd?l{k6sP_;I=m6T<99`s#0Fj!Uqef3eS$t3R3#3?U6Ul0!9^fa_ zM|cbGu?!~Lzf;EB_ZliV)AtG9jAvW4=0=EKiqZp9-~A{l635X|OAK11ZbvO&H#;&< zD0R9dDpI$zj=pXZC0)0%B&u%lNnG7xGRZckN%E@D-oSbv;6wnpH!~-AJ zKeZ6YPZx27V7hDTak&sG*9+NxNrn-SHQ{K<2J4u4j$l(i4Gd%?;~oF(kY-5kTqluX z2Nh?0b~vfg^l|J+$#tqX7@9>&bJ5v|f0L%F?uadAz06-=2S@a*&$-)13vgZFvkWoC z^&VD+rO$M-D36-fd_^PTx~vQ{DM@29Q%q3|fHQ#^0M<*QLDT}Dqr74QVgMZ9GXQMW zV**H>UyvrPdDMA!SPNWO}Pg~Fw9g9cqbtq-n|H6Dn2Pp zF~%xzI{0-|s|=BygUNLEYNSM>T30Fb_ud6i?vo!A`i|e2Cf{H4<|>AZ7JE8%mY^JS znSo;}^xHI;eN(}QPNlllA#OOc_@9S~X>2lDnm5k&1PD_gFB!Qkk#>YgdLz^572-#u z$Jx&I#3a+HgqP!GKUMCNLKIV^0y!(n>XbOKPN(ECQcj}Dz6DE(DV3loXH~*8>d%65 zr1q_t*^&`M>LKNDJ}2XdQ)H$0pC#+Z&Aw33jeXJEaIJFCzQ_q6cEgo1tRSz|x|obQ zz4?t{V$!%~h#4lmUa1+qVH3^pN<+f*?hp1qhJ=|&@o|aix&UuhLaeAy3dSeG4e8kb z{V+E~i1Y0J%i1|wx%+XUcPipv_3fd*_dYriR;RFjSD+L=XK|33*^$TbtLI@&g6Hu_Q zu!vxxjfEe;#>UyZ-GeJ;2X=n*-+8PI5<~%DV=ljq4&rE*syG&{RxF#{KnSfLUh!OP z)~5T=PoOcV-Y^VetvfbRbm~-;Wm@o377&QTJ)Wn#TYoG?<|!I!Xtd5*DFL&1pS!tx zKnLSNMkO|Js7?)Pda5yu$DoI&Ja?UopdaBG)KI9ZQdQ`t;0+^tt;`2|dyG?sC1Tlu zVx=UcFnIgf?p>f41$h0GOk&Vr0ZD*iH)AS$xzomsQ7$bqY{5%|F4yXO{X}u5VqzXw zx2=+?`DhvvD0BF{e}K-Pl!x;Er3k!WEO&C;45QDWu?3iPHQ3LPi>*ZR2CFR1F;B|d zj}(SSyiO8w&K4^WooYlE;~Q|1?(a8|5MdKykJ)t176gtJL)pn%nTd!s=q0o4SXG}2 z!4pQ0u4ZaXN6d;9U99LTwS-#K<>vL`Ci{xws{|PtIv6{9@3L9>-<0?C4*&rF{}RVU A4*&oF diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index c10355880fd59e07acc8e76435b13330b554eb00..f0e0e13b2729fc23224e21aae2ce1ad3daf590be 100755 GIT binary patch literal 9802 zcmeI0`8U+z`~P2KY*|y;w`7LwTZ6K+T3#bz$Qs6;u_xIzEhtME3=t_~dD+G`k}MNR z2$eCGD3Wa?S}c)#r}y&tet!6z^ZEP%@0oMvI_ExfzwY~W-`DlL9@ixR06;Z2jQJbN z{GMT2TdymjzCnS9HMCUKRW%Nu3G?;$Jgljud01UrO+!;nQ(Z&ru(j8fYrY;{hb`Sa z{0?gzwniVe^Kw6I2`)hR(-Aa!&3s@(?HPCY%=C4#;X`Nz z`nnoTj@xCJ54`?5A6YnR?4Z-^;a$C|soydo;1OUD$XZ9w8cBNilk$1&e1AyI<5Vc_ z+^6uW&i*xb&+`(A4k`QkpL7R3M$Su-Z->JX3J~ZT-{p0gH>p=y) z;W0LDPop-6GiV-n^bPW(z@gF}C_ea7)G9Xgi~IdtudeS$vK#8Y==PrwjD2;irnml0 zVn(o6UZOoG@zzJx?sX_Ib@n-nc7KR*=eiprRqM6J3(JWrr=vc0sTC(MoA#L3k3B+i zOoTQ+J&`K=l{q0X_3cfkSs7xvGh4DD!&WbjlE`+*sagD+uYPXNJwwV;h=!Jk+vK5* z(7@4``?y$;=d?rJawcz1)|{oQ)2XET z;GMB4+x9%*Wp>uxVfh_}k~3U<16q}Bu?-=Jb#7{URuV3ScTES}qA_a(nJSKckh?L1DMOpqZy86yLMFu5FB z4)D+ncL48;SQn(qD+reQZ!UY!qbMZ0m&?Oa8ygQrIBpokrNe%i5b}huQD7|3+9Q%2 zkZXbY2x~<^qClX>mAUE^>o{qwikw|x(vQ5iECKxww3l$4O=Q~RW|+lee#j!UsK5j@iZU|82^3t<~@)iJ{z$4Ae#O0n2G z4EjaJR4d0C+#yGokh1~X3|cI(X^Jz#qmW@IsVf9W-s!mGGK7a{i1>v-E7Z?7BQV&1VyDi>JVT4lY1F0oD7zhq=fgdFGnG6*dVV>x=uwM zoI0qY5MA9r-a0SZkn-V?zjFUz4}FRL4WS(^dfrKFhMK@VTzT`k^Bt^ROQRrrGLQV- z$KO*9u_s#3%YePcX!gle6@Yea#K9=fk)XB)7QPJ;j+5NCmR z#wdR1JN9`yM1!nV8z$#GkrXLdQm@sO9l3HZUkW0h%I^uS4J%9umd8tC6wh5IpKB2m zC`_*A_PT8(*lmpJTnT9iU??@$R_?eh%Za*K;MWmh`>0-o2{Ei0=*$&dLTX|eJCCsg zE+L@-n07X84j47VapQRrVZ78Z!h{I+Ea=P~Tujntv3JGj0Fw_eGO+gkT(9jfNH!Tc1OoFr~@3Fr5O)l!HlYqoejBt@IbAhf`zRl#alts`1v?zQ1q zUm!=bE5?tdDi@=%6%BmNq$vVQAj1XEfuv|szbgnHk;w4j2lNq;AV4&OL}t1HX?x*K z?Qb+~V5%zlSziQ0puC4f_9`M0+NIbcG)o@0n!%M-A$gz>4T-!w03?Hq5c~pyf;bfp z8t|@Fk%VCOM@!t-hJeprb5o@J0-$_5ubd<`#*7*D<)~+|S(;D3T zh{rnjzbv7N;OD8>?esOd(29Wk>s!ELK-eEbsvmbji zqtq@^QS8Bo@)*aZW!du6x>c6Lr_GU&19m^GWKlO(o@lY1yAfYaVWu z2c0J-T3ow=wG`I{FaH=5b&~YFEelijIk$0*wH&Na!?#%rE?27IV+CEW@8X7b>(lOS zdrL09qtkcAd;{xeu4X%p?{g|xQYQP*OZ_RS zoptLHCKEU>3y}fC3|baoZN|{TTOd(_)T;zB*0l^$Dcd3uBcszk&>*cqpDojQIQy6- zCb2qa=^foR@HIaFKIZSut$Hjwi_W9~4QPe|{stkB4XX{d@vad`-t4(%m{p)v5gLch zXVJs~GKk~DMXL~+U1CPWg4lXO;lLdx{HXi*llvtLHYI;Wbq1H7WA;a?zQc zPoUWqskDvu(2X@a#bGtsv;;uhjBx_*hoHcy^Mn?uX)Z8;tJVk|-&p6mf{ncA-4TLp zQY|raazf$yJI()b$(sJoM`SMz;TkO+JZ_C(d>+c z@eyetV1j1+_q1RMO7aFbllWkZvXCsmmr1KDW)xG}H@XHsFva}+ zV_hL9pMK70_!nj94^Rf;)bIv~Fh%Np!o)$W9asPhCX%kf$BZy#@CRzp6s#$Sb{tp$ zaZL3`gsD>F2@?WXJ%~DGsePxTV#3;d))gTT)>H<{jyJt9L=)Ewd(v~VA93Cm|A6CqCmhfK! zllsh*(l|BeRZGcAS+l~+BPqwIp9oPb(+Z#ptTvY<#Sw7YEEc*Hsn zm7Cxwf`x$w{A-U%Lae#27&!1Di}o0}ZUNQ4Ug7qeN@9%=bt1nhss6+D{zb4Chn`O0 zylE`kgnoNMwT|cQP?p!KkR9v;2;8^5Tf=?>wyCt>NiM+tXuY&Vfc9^C=Fl-aVPK)VqJmiL&y`uqQO{}wQN!;EcYDd zAv{I_>V?T=(}aPKrZ`RfUBvqTmv43vMMwc?F=a&KrI9E@YJ|dw=eV}I^dzI=H_Yke za7lH$qJzZ9#p9}hEu~Bux8r|KCu??w0C@-$5B4_c1pF$27L2zdh;bL)A{n!MCNMZH zGi_Xfoe|u(Uv5mR?GO`Z-=Oxo{@&H>KJSmio4v;GE?x)&M9eV7K!RDt!Bak9Dj*^U z$>Bqg>q=A$0)tEa9w`ncas;Y_{gHzn!s60t5x}e&!vw!-UGZx4ON0J0Q?bKFhN2T$ zc$#XqBUf)*W|C~i-jAtiVaEf@0({QYB(_KRHt?c}u{TB<1(PoiZbb&psahnFdzlHM z{RMsDYPR*kgOkSy+j$DHM<4}QDUs#_JVfIZ@k(n&aK`?GGyPf#2f@(%R{Sx z3xUQ1Xn~Aad^i#%ORXYA@neff-mqMAOc1;k3EhXy6KHw>8I8M!KaN~yr}`1{;8+*1 zjc@G=I-?<=?ZoTU>d3`+wulYRrtJ;kB8RZpw!8UdRh2d5Uv-qClr^LBg<;NH7RjM7 ze0+6sJEvj4|Ih{tRqNg88Ovmn&9NxcX z?eK|D(TT9FsGBuEnHjWQw~1I<`5@}Rv=ykrR+~bSf=8UgOaV<9G!8)cZ{;9}Sl>^D zD+nHITP1DV~jZ9 zF9R*ZRC8#hK)xA65wC=xh*E6{EeEDCAQSxwEj-hNEG}u^@i-4>d?CGCOwjtLH$IMj zae1c6@_m_2rW^bReN()x{L+FuDxmvFo`G!*8XSkY>b<>qp&+q3bWyP^YX9U{LJMA@ zK=r@$+Z1PqH$aBTQ6a(v9IFNvu)lG|=!sh{t3VCBuhOz4jfbIp%4opHBItaU$MS}qxAVUOSj0ihSeMC4RHXRH~vb-_Dm;e$)jAs;) zx39qqCPk!m0sW;m_ChbE*n@AAwgCwO=6TMp?b-}rV#cttv!PjF3(fRe1ir_eK% zTNhXGPrf9N_Q}?T9dVxc6jwYJY$NO+H2D+#S0$v;tu?azcWQ@Bk_d!_5?SMe`(nUuZ3|n*Iu}>5#Ik>XmIfZWxyDkWV_|7^qC3H zjG3k8r>BgY^{g2(vicm;`rry{?OAmEWbHt8pi$rhCeJf@g>Hz8p7hUWiecmzpC+6R zGf#-6la(MdKsA#_2b|Ch3|g*B z;Hp!i#B_#A+ue;-ZdS*8-e#ivQ6{?2TRBBE1r=GS(`NXO`osMFcMZ;f6`%I_#?f1J>PUFHy- z`M0biN7%4jATOJUGPDmSN2EOgT+MJt@V$t2UaBx5?-2G17`t!nDM=2NYm9M)#r&^| zJ$C&~#q|@yNgr||wFMk7znARu{JZ-XqsO9hm}fON!_#~p_4f?644c^dIDP&l;@OxL zXiUq)PnF4d&vi5&cUVLo)FGGrEngO>bbZavQJ6~xZ4&r_X1D@sW=x?s`YZGRIKW?9 zL~>z`aKZe~AP#~C9Ba)aA-Me+j0H?T12dx)x)b{9-w0l6)7ozaQomapoq-OamB=2jxy^;?!tTJpP495BG18WFEFdhTfin7hs0k*gh^8k2#x|+b8w13 z_!-F-ZZBKX+4_Z_{FSBoET$4(Re%X`31^v9geU+#gBAchF~wcPns3QbN{%L7K z%f9J^EH3M&laCywv9jP4XK(Y=V!SN~>MX^??=_`MM`zfi0 zrTGjdALfsQlwhjaG$Pw!s2@XJE z*&$~oJ^6kPFN+KV@Vl-f+h3J1J%9ufg2VW-X>Wljnev^p3753VDrvX2>K z5@8ZDkRg!xCR^o|pR|AkU+_P%`@ggsPrApdYlY!}f62kfbP7aXlfdr=Ifis>a4`Eq z-)yD$gPCo>eYI(%3oOjO%nr*J703fNNVEyU7a&KZr;mXvY_*A`3v9a9m}r(SijWpC zNT3A*&zK7y4ca>}a~1ysNztQjyc_+W(c20gKfQ8NZXFo2#q0u1e$3T2fO!*XNFWEq z`QcTNVG7i2!UPvq3v`ABXOpx!#%wS-@Jnit3ZR`%Ljj{8&I8Yj3{#<=0s~mpm7K@F zxbn{5GTyTr>dwC{UWanqCMSug{%Oc9xqQLE-=A$=bT934!Ld$Ll#8>WQn}MNROU~w zY^5Rp3{=z2C|*}TQ&(>HW!0+E>Y~C#M{|tXsVP}VzKGe2p&p+tn-CHuG-LNO|t+etQ8e6QGBrsd^h$)Egu z8?sLd4C!RDU6fFJ{);PH*OZT&0hS&EZVC2X)1T2=*T2!(8i;jC{!NcGI5=Cg<(S)S zt-1~A3VoEi zl>&67_TVUQa4xm1=H)cURj=eSZP=n56b}24Lpu*>nKJABw5nv)PtQ|;VF%&R#4Lq( z^JmK~5GVuMF$F>1IG%L{=kZUF@1xRErT0~G1+jPTH=TaspZ~+OCogT+Mlq>!2DgR2 zdwjZI2JOaClTbO+Ei;c-OFSAcoM^*k*EO>CDSaOQ_fLcW8kcMqt4R<}%!Rj0JU-+i zfl;``YuA;0HV^)HvfjVOuTA5c#atxZ`L?dy!9;|ZmIR|N@v8Cp^8KB>`mgb^8_efM zgxvYZ;>>lkJ4b?1t-7S+eo&)qHk@~s<_xlPk-pg z_qV-;{0$2#(kO9h-0a4pN8-A-JaNu5w9-q311I@~EBXburfP36EkdEV*Tdo7StXNw z^m~;)YmbIJABei0PJ4ArWx1!m=V-p!udVKT*PD9wN52kQVBE_W`2ELI{ zbFW_9>i52%2lxH+obAEq$4e{C2ZE!XU)?L=o!12d|%Vp)6;Yk2u;EX6dN~ zetzgJ#yVW{>yMRHny;6soRsT(*;Xt`Hu4WTMIxuzWOe zofkcP=63%1sl`fSSoBjb{Yz6KGppiHqaPUS$$0y}osb@?JNTsWpz>|Qu_c}6_C4o%5+$)n0bj z-$kV6?JT1FRpy^>BtX@iGfztc7oO>e&n~5BsCdot%^z1nO7?1gNJeefn~B+GI^I$l zwIg2ia2e4iq_$Vsgw6da`_fo-z@aI%?MLOyVO>lrhn^RA<@Bbr^~H8Ex242~&xK7= z!%d=tT1(r++zaRvtzs&nVJ8R+DzPK=3UL9irE`rH``O2oCa!m0Fza7QE92~IQQuT0 zQ(mWj*FV{XYU{v7@={9tXYv?PtH&cFjeN_ZQU~h@+(b%&O&6o`!|KIiF^jO3#hAd9 z`{yr9$T;;0&0lOQJ#hx+*-lO{L+2>bKoka4}NA%Mp zD~&0~ha%gKI_3JJdK@thR(0a5zM?~}y>_VU6|yV64>zi^D&{a@aqM%I<4z@iUPW82 z51P)#mQ5VH*Erc*KI%0;X-a9#T$s23(npPO@vuBLdsYLR=ziRvHB@ z%=E6eM>GzmRuCIJkA23O6}-RRQESw7q0lb0z2#E{+4cFE#k2Yzfut(`2+sBjzqQRs z@>l)6w)@0zlQx${PMN;QxyWA^9!d0Sx(p2aVU$j%R*)H}6>-R$MXAQWV^?+W87?N+ zY_>9zsM4}6UG{REzi~3NSxiRwjNETEa@G~|HLY^1sT($As6B7BHD+lU>{9&U(Gj3zx79@D7q~kKNjP)#cGW9Tn79!JS7bHH4kumyf1DqjT*C@lHY6yEOT|2 z-}=2eCWDhorMRh_NgbJPVn_B&KE(|D$RGLqYxQj@<+xj>-nZMoiVVjRJFhMDSuxPS6LID^=j>`)s<(ep;AE`n(Sf94CkFj(+!- zZ=hP(p4oMz!;6KFrEFZ5YCC$b{_%!i&CN^F%IdfrAt5oP`B(E+6>+D8^G4N%zy$BA;xqzW(ZX>vvu9FV*<5zPB31k$yjV zv>d`RpO#8ByeHf3)nDsyE0zhYQ3)p)h#OlpXxW#qT0SMJ>94)Dm)X%t`#OT1Ntu89 za#ZYtB-U*w!B4&}L-RwFr&LgL{+`n|rr=`}$H`|s$}-FSim`Q)iOMpW8v!-pmt3VO zuEJ^z@6iOSI5P>eS?!eXeS?dxqbz=zqrCJt!d6pS3pRnS}c`3++vISXLfC;zHN&84>Hg?2)SYaYg&3ndhbVBBHCY zB6H3bx}DNg_)0VzbSU%4s&ARO17*?Xl(pph&59?EpA*r z3jO2qdME-nu~#v*2M2(?y$D!LR!7jV<^G%xKEncJ??LwTIT912f z?XzQ{y8mf~&HT>hduhv*B>z`Qg7g`QEbO6<*qeu`ah8t3FBe&(gQ_~34RwiH0nZ+* z8fj~sknBHnwzyuj%!OzYna#@oLS*C-elYHB%kQg3ybG|7`z&2ov*Av9+4)Dc$L-c= zMpHJwAACKRdhdHjSH6i_jxy&o^q*dsXE}HWWohRUX$62SZI*ZD+rKaQTea8=QRGTocmJ|2;Ze;7{_L{$uy@v>IdA2ANWNE>-dwljj z=b2nYXM5mFw}}fH@Cb1&YIDD2HcPz38*%u<7G^_BLsnVyTN%1CTah+rekmp{CLjLY l;1s{2Y0NXRXI7+bwe56H=jhRuPYpL_0UO)z;(wj!{{gMdW0U{@ literal 10451 zcmeI2c{r5s+y7^bC3_1J5h_9$l_f$YV(jBKgt4cLC1H@UOc6y=mKn)z26Nj6jWx_C zp%O|B8H5To){@EYH+{d)bNrs?pWpHP^ZV~Pu4Cr><2vqZ?z!&w^*Z0@dEEOT5J;6E zl=B_R85cPbbu}c^??#ZE=4o}fx~AMktY3hS+^N&2Lq95;}^!cGWg5izIjH zwLpC`J*%p`q+{j}4(7ypO_*#cIfn=Ki&S@Y3G^mUg6KB#`&s#O^^2R;eP?W%Noa?{aHG*3!}8ov#_Hhb#LJz8`f%OT=gRV% zqpd#aG`a_z?UihZjO~2Z_vF5zl&R1kw0;?w5!;OgddiKk#tM`X`w2U+q6 zEkSEO38mc6f2}3OX@ZshO?0!aGuHq6pI`bF%(TlW0%9zhS#hedc;VUXnbD=iWLQgI zy`KBWQYEIcLBQ+U;@}ewLrIHW#srEiL7NV7#xzA+1N&nDx z<~ZOQMfr_G=J3I5fDcf6<1B^2A?|l?Dfu!Y--qhsjm`)!KLu8xIlWF9&u}Y}FvBlj>Wp_vpPh23&3v$$LHWja$lshkh)m^<)?jQwq(!|- zExCmF(bh^;J(G8q(?RJ)>76V5iog`JY^>j9&D=6SBh*ubY& zop2l0$Lmxs4^L~{I{GnjD*F#{OOaI-{1(T^fuoD=`t8Vg<|jyqV0i-+85MXUnJGpk zi+9aM@hsor1SS{FE)w0oqEY-=sHWHb*G&1s34y#g{?#)kQ}2fGf#fKW>TMBoTgebR~Z zEn7uA3s(|mPuwimsLju+Wh=goJvAgIb+lv$#q4)bpD=aE{3>nAS zvyWTqs8;?X#WJMRP;0eQjQ(TWkEluQqG<;zA|!i{=t%}Q0TEn5f>|kAs>(9Fx-SIc zUK_S`FvU^71#sWJ)jXcqJj|+HlzW@%d9vmq*WsfKHT+YOo>cI2@%E(Z6$kSlzVua; zSZL&7R)X#NoEO}|Rf%!Yb@ zAY=okryH;{5vD5#2|Ho3fq533#f#sF-<8d`skHDBZT&EGMT`flIfg zD?pwhh<7A2_rE&}1VJow=d$CTG`JP*X$*rr_%=;Rb=bTn9g(bslk;?TnJNg}DjN2i zvl{8NvX#DT@S7z}*GC2lIk|(4&?N*7>R~|n%oQ!BLOijVeLQBnuD8GJ!!zJ`S1bLvwVpc+p7<@cTyBOMvC^~Jv~qAMD@%_{K1n;BF-`~j`jb9 z{YHgUr%sY|qmuT|^uh`L!wS`wE)__z?kx}cH6)F%Qjsx>zksCiR4Os{(p!;LB2M<8 z4fKcwjn_klVhD|vV=(a#kuiHBjex~itNgib=adF*(w;`<;xI1Zmi~k3rQwZdwA%S8 zPBtPj_fYQxH@Mu0pw=YacAYrC1c@+b9HL$+kDhhsd47^nidQ55%|L()q#>PULSI1! zihQyLi4dElH{(}vJw=USs30qsQQHKUDB_0)4oGyi`@r5A3I`z)H*rj+9h+)YSOB0Q zID{5txe(BYhCgQU)4h=kLZ8rJ4^-5GChZ|gk%gW+#;C@}kfX$c3jiFH{TS4kjHR%7 z=QS8GJdVWF;6aWHHo1V+_#mVKe-jFfha}m4(~;JWfwU?AdMd+78cveE!tBwC=!39N_Anq&DVxhVZSVMNwN`Z^NJ_1xFwr@_2G-Wnw~5t5(QV%yDn zs5jgtV6?EVri_L(UFy~oh2P^Rq~6jQ2}nc>eTQ4Ltr$G*&->xUSQC7mV(Yjc?*C2{ zA8K1>#yAjs7bt^SXR$))3}ha!t~po%88*Y7ntW;dICbiN+X};_WiQ${&|wS_KSi+S zGgLJnYz(>^5O(zKP0y2Mfu*iCE;_xkvZA`q;8RGW8CGcW1SN=jOrBATHzu=qn$X}; zNRl~LdeWLA!gu(j!;SMtC?bDBkL6X#&t!4K%pEC!>K6g3 zs%N->ck|+>5z)aRNb(EIkpu5cz*ziF5dyZd@6SX00y#=xIiNgRCma%RlyMqAOJYh; zX@CvPEghpzpFtXkM%sZ@5Ervmu1N<<19zB6mdi+K->Q0trAy7fXd(>?{+T;3 znDI=+`)pw243-&X&kjC7H$}EVBQJxg&^e1$?MXC68^SqWF8DMOb1#(uEWr#j!J{65 z6hla~0z(h4McR;DrU3q2C?d;%Zc7>BsXWGzqt7C%c&}?PuHpYdRtY#=0X3k;Bxjy? zC{P39ZpYDTzyhR~6ZOvs!4)1%52Y5Q4<_TMuU1asZ(N*~(r)D{Oa02qQEZL6P7&L%{6!r~~?- zE9R@x9yvfC#EO(7kgd*mj=x39;mSVlV1GV_;tK&u81CzPRdhae_pN4KR}AbcB0Mq@Gj5^i}@V{_p3%UOu{s?f)>6Xo1+3or_wi0tEin+ZY-9|I2f zLr4d%9K4PinaRTFd4PZwq>q%tyJiKhL;A=$LfOY0yy?ZrZ4t?%3@$tmIR_$n zL`6p=?#Y~Pzy%jUj>QT58hqHZJFzym;hvhGKmSOlJEVl?dZPH zj%ZN*P!N!wiMa8>qlr8cNnJj;vnVz<8Q(clmsM&1w! zCIWfTr{=3FlUFI)JORoKRs2B`lZ%=KXmI5dF`@KuWE*#+Ggt*3MXVm4JVa@L&dV|C z@ns~YEVTsKhHjHsT%YVgD4vhZDF#$c8k*nxcigj?y&HQfF1JDU?{l8{6_hYy%wFEd zj51>fAEdV<4S1Vuz&6OH8RKAZ2Y`dIi7Y7H0J%WqqAc=^sW~{F*HPVSnF{gqi`ySu zCEhh$6K|XJ$|=T{;{nJ1nYtfiSko9Lub15*QuZ$$Ey`Ke{7o1?;Y_`uY zAahqQQ31DTm2{+_e*8|0c{j)6-+6#NP5)ewWn}oJW+_pr;bfVMd&irWf`zop0kgVH zy?j)sTA!(SZ`00Zxl1#t*GJTbRF$DzHy0x8(o+p}Jr zH8l4qBMYBG(mN2`01&y<39OU!TSzol(|IryI!M$$W?AvdBzXC zJ-Ov@Fbyb!TBoyA=vv4;AzeGL7t)Bp@=lsjs$pZ2Dy3V?FL&w%!x0;+bCFEF9FD8R zZMWQqHzsiOe!l13kX>Dp4rK8WCt(#KSGmU28T;^^q!!`eG9U>iLI8CN(|{z1NIJ`z zo`J-|!m?OF^fsgn5WBITsu8$=*cU`g zO-4->PUwUg z?SDe&Ao)5YY{jvw8_HzyKs8RmNNd}ls_?eyuRQDtg;jTbM0>bmA7l2Nx1hxGZmBR{ z;3bf$e3p+e7wBBbROpsEqZr?fwBoWPfM-1nDX}oi4De9leZU^dG1CX(X@ET>&Ws^u ztIQBOp9Q2sgh@I4*=i2P=M5;n(ESt^OogO(CkeH&Z)h-=I!@FbbZ<0GMIcro!pe2V)}#FO(9_1#qFRtM>7W4I7(|@6SKY!k zkNAuFwz@n|RxV90xN**N_btND?T|kQ+7B2Ba1ON-H~uTNz0?#KOE0BV{}bC94ubR- z$ZdhODLVq4MW4QZwpudpsY*v8 zx4G9YfXAU07Fzq4+kh46^6zqtOR(4HDFox)DI?4afwvLAbg%@U+ z0UCH{Q4INn(lN*BEl6RW=SmC~UW>dTwpXnJGoB0tTS@k1^785u|}&Bm!K3>LVCO-r0cayejNW4F2}Fe{7o% zK5X9g^-*FnpD2vIISO(L?R!xV;G%zj{b`4E;DS7EZO^1UC7fqol~ID~1D_4ne94|=HJNOO_3PNWs82rmQ;yYQdu6@-yuf*BbOS2{FnYAq? z{aLl@5LX4pEqpzxMKYKIT!ZyzvJ~i9$UK;?37C&pCF#iog8&g$O<Ta*oPpjZLN6^g-cML;U!!K9zG&j#EBI z-%+L_tEH#QYH-s_eK(3HbpEF71KKhEgq>cuyOfBN2Il}a^5$%jVUvx z@bP4p@b{w(d3-#nMPiu*j6=}m7U|#`fDgjXV9C;9$OX|)F5o6KhRo!n5`jNZ*({7Z zy&7r29eD{ffV7&g{s)auGQ9ChBqk3v0}$onb(-R9kOtW-s#0N!_>N5C(3* zp#7^^vcBq%+}IDl1ak0gJdIo9@krqGNjdQT%<&Gw#xde5gv{SpGk1Vx`pG$Us&sRt-EzfCY?@$>O8iAk}!TA7`ZD z-y_vToGd{*{7s}9)X5C2#k-T7x!)nd0%!+<1~0q|e1#q$Iq#+70dGi$%T!O1^rx>G zgB+0m{S(JN`W&h_i!nSjr$he@CrGDB)E=6=9{bib6KD0>FmLW_kKgKEYvMHJnN}*Q zv#MdXv(yzyo}Ut8g2k^|rlcBVwf}p6#Eb-rmOo8*cZtfO=hct$)A6d^AsC zJHQ?|_i3qv1oBaLb%Y+Lj^Ljl|0~fcI}ppq7+Lyrq!pi~aMqDe|KYsVfLO>|zU%4? zANnzh6ikBlVnCzAYsIlc+~L=)5>>mNzw(A{T328#qCM}apU=K~RaB`kmS7OT?{o=t zhj<%Lhg`1@k*DuRrotWwWVP<8Pk~AhF=r+(Q=FlMXT{l_2>&RNnkbjOt2im4#xqH6 zRpBCMa|__AuVt+-31=C&@=_~-39fbmDD1&QX@?3CF}LW+$W(|>2IlN}KGkRuG-|#y znn&`w$<4eQDb9C`;hz!<+l9QFYdzzl>rVZf^MYARrZ_qV86zTj%t4>-SyUINZ@8{p zE02j+p4xrgJN_eJFMJzuV9n;L5x+D^Z}aHR{KrWgICSjomo2`gE85TNY9GU?D=uKW z;t*e)HtMn$jaVD7V1;Oqnr=KZl=L!ccA%SycY6BDiS6vy!8=RS9UF8SI4~!C$p12v zb*W;C0LGRNUo`njyBZrIHTHm4@QKakJn5(l)H6fIFQb$nm|z2I8>sN=wu_YqtExyT zMs6}1sacDnlaUvA#s$LdXq9$r9R7r&ZFWcIn4kZ&?yWG|USy>m zte$(l+~Pbsb@to4iNw67>i8zV5!91~a~C=`gLy_~M6ZfZFNL1X-0^2kpYCj1e{tm3 zX5WfYq7nIsVxsu;w(s(|pe74VHluuFILdHK>ojNrRRWZJkNO@}7l{>m`D4uMap7U=_-zyB|M-@~pxZn>5}$u_j>Mhq-@!^NZQ`S=pi(zk6;VB7M=} z{z6k#QOwgo^gw&*=+2qV9E^L#sHR@}=so=|5$kHh+QHZzf2H@*7vDRtVrLYxbZ^J! zKFbt1kWUQ@RQkw#;jUj~y}n0ZMI#GU=R%*>Mmc(8$<|Z1C+00xMur+S;*Pw4&GrAF zOHDyXZ5qvozFpeP@o?`z=}e3~d{sItxpE|6W2vVybap4U{L>xj!rjR|>B_#(>O$V$ zL|hB4veJF_f!`MW%J*yoA?EdK>P)Ukr9l~N?(GkHoQ=?2(L~7blz*U7gv`|K7WCy4 zUJuSlPi^E$JMMOTQ+ZAwGY}R1*6k2EIiXq2`mLflj8)V>QDE8hcvp*f;TkKfZMWKO z_rnuU_)o3R?PFfDL&sjzP02_ndpnlLz#mU5N|@uy*4cVN(CtEV=qrV%Debdg*27g0 zReX#GT_fw?~w0`MUFe25Um1()BrZ}51vU8Krfbmz- z@9BEo=vtptePik@w&Ku+!;Tw}iFueBhc4*()QWFmQ==CSB+cNQYF?uIwL`LxJ@`y5 z1-=NI8cN*o=#Qa;V9vnR9C9DuG7;B*Cf@HShU^vSy2v~i_Iw=BEXOLQ(o zB!<79wLz$%_>0Vipw|ihrZ(w0wJL~|oyQ$ZU{YLLUUb20&xU3#`OW)F)ul~AVJ@U- zg8uA-n_4}*D+Z&i&(@l#+N3T`ROq>3gNk)o32*V9=d#}G;Z5=DQi~xC%>iNq%0uwb zLq=vm`bSsuvE;lPXE&AYrwja2!p9Klj8;O5LFGF0+mHm%oR<@0ot{PLWA=61eAV#x zEh$wYtNO&2`fRVa)+YaX=44OAIS)U6f^KW=?Q-hMv0NUfd`aFO7*FwvD}Oy9=o`^q zI#bac75F9U7wD^RUOf8R=T>Fn!ZGu{aK!O(YqzUa$hlvx^?AGFJHdegu8!#+uQF7s z2da4-Ted!u6`z*n&H*>Whsk+g&URf{{FR_oGZ0%*mm;9=@NqITv5h*fD z&;c}2>$*7XK?tmuOmcu*l{ieF5uG+~psIJgtRigpB5q5!J(nRm_W;PGlvC>-^ z^`octEh)arY=8e$StvL=Ec0XF<1cJWO!`|pl73pj!=LG))q?$$<*L?D-KRC};npK1 zZ;Z%Bk&c@-S0?6#o0dik?x)N`e+g}VX|c8(eT}JUlwzmVJ2&>&1myPe%}qN$^tn7P zyWr*$qrO1!-;Hh6K{evSs>dZ5w4iB{&hMfTBLIiRw(bfJcfXnqg|v&-X+&tO`CaRk zn}{24H3%dtOK!UMQ#r3bimaQhBrfm6 zX#S$yWGf}-@A3sP51UyST?%uzoeo`t>LDI3T2O z^0mV>BG%fb*pF}W+JpZgLKH6k1{ zE%*Lt!;e7)pLK7$?C;NnSNGq)&}$|xM(zUmU*eFE&}RO@!{&>>MQznime>|Z4w%68 zuZz8C5BUmLE6agh2Y9bvYgV!^L~_+>FMkxzcJ3Fd-*ZadqAWw@+5D7-fl*rzbTbZL iF0_B5vddcR^*A@W=#A`L;nY+dF^%p diff --git a/cpld/db/GR8RAM.db_info b/cpld/db/GR8RAM.db_info index f748a16..c2244d3 100755 --- a/cpld/db/GR8RAM.db_info +++ b/cpld/db/GR8RAM.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Sat Aug 31 21:50:24 2019 +Creation_Time = Sat Aug 31 22:55:28 2019 diff --git a/cpld/db/GR8RAM.eco.cdb b/cpld/db/GR8RAM.eco.cdb index 4b820b141adcc827dfb615b04fdad15eab0c9d31..41a35fa333aca9a31fccc24b17f608fe9d18b31d 100755 GIT binary patch delta 967 zcmV;&133Jr2+#hb_0`r(uymLm6~s zTC-LiibpwJO;sw6f98^QljEIk5{kN_*lvwuW# zWS9a%l8oijASCKoZd8QiE~|+|amxz74`StZqTdwh{HHwxP>5cQodSkrFm>V8Hn6nVF0m^v0oottxUuAwR zzE+tJnIH29o-Ih7=Mh?NwNHuNhkKr! zcwEN|H9tw(;)jS1#8be%u(+ImVnb5F*#&X6xP`bzTq~|`urajuh;xEke8ks87H8gx zEI#lPX^S62_2+Py=~wB)cshI!+s6=oDdb+!|jOM$v)gcG#}_4ZXT{>=ylo zjx^)pe>#fEZxl0CF=Z8HQK6aY2td>lqPi;dT~)rpYp;L*+JC$GJKr8o?}o#1f4aTi ztAAI=WBgKd+x`yVfl$~z5TG6kh^^#5N;phHB8CU&s&!#){f8VS{W0@oL!p1nBq7QC zRqfYpT0p+g`J%`dCSTZm;qpa^3oZb*^@7SYIrd-9A;}7c>s79A{@yY%{6DSWSx3Zj pP)Wh@N~$dmO7j|RhhaFe@2c>gocRv`0RR7Z0b*oeWayLr11bJ##tHxc delta 912 zcmV;B18@A$2&V{;Q-8t<00000008#`00000001rk00000001Wh00000004LagjL&a z+b|3rcHc+r8z#zBbF4S8K6r_$wN8?d5W_2LhT&?Oq0;v>pLLoRQTZf2_-kB}9VSALDir z;D}}_6A%bj2?%`a1XPsUOrR1{#~%b0_JH>=NXi6wig_Q4Kq(YdS1C8q1{+QhMZg6} zB!MzOq6sjyuM_NCJXJFzgxrqwny>m-WV-(I*soF$_ibKoE)t?SY`Gmj4T}UCs-P>= znziasJgVt>s#0?_f48)o9Pf0KP}CL0c9Ybhx!L!V6kD)H9U?wfG%UDX9Tpfl6oG?` zT)|S!Z8NGARFhN$L4*wJKM(Dg5Efy*?fQi9ug$|&5bn&-Om!k)SZaiD9#SIr0Wqn` zFa?C97|X3eNYt@Bs0hhfRugxGq-JXZBqG{KtV|><>wt+)e;^(igrrlJ`!Nqq(!qIJ z)Ye#!^R)6lI=*cKwKzq2T6u3BcV`~1l7h}tpysALuU3~yp@GDawQ>T_wmy zs7#Q*0+{F$Pvq|lLN-BFOf(6qBUDgDo@%78wP2CI2Ek$hS_xM0leB6<1zCV!HUzjy zXnEYx^7TW@k0n~Z7-$u}Agi|art+rr#(87CG2Ud%4L?6vlfeQNf9nT+TaY@xM`(G} zR?TAH&=sQEcpk~|Tj=oYA>yA-a(Mj7;k!r+SxPHLKFxn%&6Exx@-X^m6=AFpm1wWCt_%T%Xhr>+2N*~74 z;YZj$hVUalF8zmofAfa()_A)xiV~LFVY}`(bnDu&Tl5=BX~x4%6qD~LR;psjD$1h5 zFx3%&s3k;oRhYY~e2d54{J!0PzxzA?Ih@`PhvWYA=Vq_|T_2C}OVMrn2Y^RHVfRRY zdMqH0lK&{-V-gB6{BW*X7uME)$WhXtGrw#o^v{_jB$>adF8#Vq3&vpKS;71V00960cmZN$U}OlBCj=>|m#Sm{ diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index 92ef7b7..6558ca6 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,4 +1,3 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567306416644 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567306416878 ""} -{ "Warning" "WF7K_INCONSISTENT_MC_PIN_LOCATION" "PHI1b7_MC LC127 PHI1out PIN_30 " "Can't place macrocell \"PHI1b7_MC\" assigned to LC127 and node \"PHI1out\" assigned to PIN_30 -- ignoring macrocell assignment" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 21 0 0 } } } 0 163058 "Can't place macrocell \"%1!s!\" assigned to %2!s! and node \"%3!s!\" assigned to %4!s! -- ignoring macrocell assignment" 0 0 "Fitter" 0 -1 1567306417144 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567306418425 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Aug 31 22:53:38 2019 " "Processing ended: Sat Aug 31 22:53:38 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567306418425 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567306418425 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567306418425 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567306418425 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567385055172 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567385055174 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4708 " "Peak virtual memory: 4708 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567385055356 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Sep 01 20:44:15 2019 " "Processing ended: Sun Sep 01 20:44:15 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567385055356 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567385055356 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567385055356 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567385055356 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index cdee1b8..0c0820c 100755 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -3,6 +3,7 @@ C7M => CASr.CLK C7M => RASr.CLK C7M => ASel.CLK C7M => RAMSELreg.CLK +C7M => IOBank0.CLK C7M => Bank[0].CLK C7M => Bank[1].CLK C7M => Bank[2].CLK @@ -10,6 +11,7 @@ C7M => Bank[3].CLK C7M => Bank[4].CLK C7M => Bank[5].CLK C7M => Bank[6].CLK +C7M => Bank[7].CLK C7M => Addr[0].CLK C7M => Addr[1].CLK C7M => Addr[2].CLK @@ -51,54 +53,48 @@ Q3 => ~NO_FANOUT~ PHI0in => ~NO_FANOUT~ PHI1in => comb.IN0 PHI1in => PHI1b0_MC.DATAIN -nRES => RDOE.IN1 -nRES => comb.IN0 nRES => always0.IN0 -MODE => comb.IN0 +MODE => ~NO_FANOUT~ +A[0] => Equal0.IN7 +A[0] => Equal1.IN7 +A[0] => Equal2.IN7 A[0] => Equal3.IN7 A[0] => Equal4.IN7 A[0] => Equal5.IN7 -A[0] => Equal6.IN7 -A[0] => Equal7.IN7 -A[0] => Equal12.IN21 +A[0] => Equal10.IN21 +A[1] => Equal0.IN6 +A[1] => Equal1.IN6 +A[1] => Equal2.IN6 A[1] => Equal3.IN6 A[1] => Equal4.IN6 A[1] => Equal5.IN6 -A[1] => Equal6.IN6 -A[1] => Equal7.IN6 -A[1] => Equal12.IN20 +A[1] => Equal10.IN20 +A[2] => Equal0.IN5 +A[2] => Equal1.IN5 +A[2] => Equal2.IN5 A[2] => Equal3.IN5 A[2] => Equal4.IN5 A[2] => Equal5.IN5 -A[2] => Equal6.IN5 -A[2] => Equal7.IN5 -A[2] => Equal12.IN19 +A[2] => Equal10.IN19 +A[3] => Equal0.IN4 +A[3] => Equal1.IN4 +A[3] => Equal2.IN4 A[3] => Equal3.IN4 A[3] => Equal4.IN4 A[3] => Equal5.IN4 -A[3] => Equal6.IN4 -A[3] => Equal7.IN4 -A[3] => Equal12.IN18 -A[4] => Equal12.IN17 -A[5] => Equal12.IN16 -A[6] => Equal12.IN15 -A[7] => Equal12.IN14 -A[8] => Equal12.IN13 -A[9] => Equal12.IN12 -A[10] => Equal12.IN11 -A[11] => RA.DATAA -A[12] => Equal0.IN7 -A[12] => Equal1.IN7 -A[12] => Equal2.IN7 -A[13] => Equal0.IN6 -A[13] => Equal1.IN6 -A[13] => Equal2.IN6 -A[14] => Equal0.IN5 -A[14] => Equal1.IN5 -A[14] => Equal2.IN5 -A[15] => Equal0.IN4 -A[15] => Equal1.IN4 -A[15] => Equal2.IN4 +A[3] => Equal10.IN18 +A[4] => Equal10.IN17 +A[5] => Equal10.IN16 +A[6] => Equal10.IN15 +A[7] => Equal10.IN14 +A[8] => Equal10.IN13 +A[9] => Equal10.IN12 +A[10] => Equal10.IN11 +A[11] => ~NO_FANOUT~ +A[12] => ~NO_FANOUT~ +A[13] => ~NO_FANOUT~ +A[14] => ~NO_FANOUT~ +A[15] => ~NO_FANOUT~ RA[0] <= RA.DB_MAX_OUTPUT_PORT_TYPE RA[1] <= RA.DB_MAX_OUTPUT_PORT_TYPE RA[2] <= RA.DB_MAX_OUTPUT_PORT_TYPE @@ -111,8 +107,11 @@ RA[8] <= RA.DB_MAX_OUTPUT_PORT_TYPE RA[9] <= RA.DB_MAX_OUTPUT_PORT_TYPE RA[10] <= RA.DB_MAX_OUTPUT_PORT_TYPE nWE => comb.IN0 +nWE => comb.IN0 nWE => comb.IN1 -nWE => comb.IN1 +nWE => comb.IN0 +nWE => comb.IN0 +nWE => comb.IN0 nWE => comb.IN0 nWE => CASf.IN1 D[0] <> D[0] @@ -132,14 +131,23 @@ RD[5] <> RD[5] RD[6] <> RD[6] RD[7] <> RD[7] nINH <= nINH.DB_MAX_OUTPUT_PORT_TYPE -nDEVSEL => RA.IN0 nDEVSEL => comb.IN0 nDEVSEL => comb.IN0 nDEVSEL => comb.IN0 -nIOSEL => comb.IN1 +nDEVSEL => comb.IN0 +nDEVSEL => comb.IN0 +nDEVSEL => comb.IN0 +nDEVSEL => comb.IN0 +nDEVSEL => comb.IN0 +nIOSEL => RA.IN1 +nIOSEL => RA.IN0 +nIOSEL => RA.IN1 nIOSEL => comb.IN0 +nIOSEL => comb.IN1 +nIOSTRB => RA.IN0 +nIOSTRB => RA.IN1 +nIOSTRB => RA.IN1 nIOSTRB => comb.IN1 -nIOSTRB => comb.IN0 nRAS <= comb.DB_MAX_OUTPUT_PORT_TYPE nCAS0 <= comb.DB_MAX_OUTPUT_PORT_TYPE nCAS1 <= comb.DB_MAX_OUTPUT_PORT_TYPE @@ -147,6 +155,6 @@ nRCS <= comb.DB_MAX_OUTPUT_PORT_TYPE nROE <= comb.DB_MAX_OUTPUT_PORT_TYPE nRWE <= comb.DB_MAX_OUTPUT_PORT_TYPE C7Mout <= C7M_2.DB_MAX_OUTPUT_PORT_TYPE -PHI1out <= PHI1b7_MC.DB_MAX_OUTPUT_PORT_TYPE +PHI1out <= PHI1b9_MC.DB_MAX_OUTPUT_PORT_TYPE diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index dad855a2b29cdf221b1931d6fe9ad27533cb6926..94c46c09035237b42cd814a66ec4ba3eaf52c15c 100755 GIT binary patch literal 2317 zcmV+o3G()=4*>uG0001Zob6g$a~e4oe($RN4^+*|?t?wLwpx!E+BQ45VQFy2^U|fO zO@)AmOJ-A<`R}8?03tLVu;XlH#}%jgNIFt~=<=P5`adf%U#=F7PNxx)vy1UExHa>| zcs6Z7d=AcG<6yLp94pi43-!JM8|l9r?~H83dc1T!1Iz;w z(lF>2kdM6igmJWNNmWF3sFkE|#;oxHo~8zh4ca zLG$MPpYUJjYHDk0erPDMFxhyzFw=#bgO4U3V78holiBga_(PvBwG99j0zhOVsVD-W z5}Xh&5J#cG67eu#Zh+Oo%(F)^7axr4*Z3SXN5fv$Y7Pc>S?jvlNz!~ux0S&t9R!`L zq&J8wt&7p+WjsiWY4;ln&8lPCYPRG3@rvr*5lhY5F}K>?{!R9-(;i+2BTk$ZPCO5^qudg+mR z{oY`hjr#4pu8>>3B+0?vc7}Hc`nBWRPAkqXn>U^AU2xOrQfYK>3gI5a{f2=8p8|V4)`S z;0y*h_!U=AR6Sw!1m#mrX6pS~e4m+VDT~bj`OxF3nyhqrLfzuwob`TLtHPwO!;(judVgfNJ^h2vCWIzx`1y`X3Kw+y{ zh?vAc;}ViKYNkzz2xt*<5lN{j2RaNH}ZeK(Fx4HUZ0%VBNl2Y5u(DaAHy`X!c89`CPExwrR$k}%bEy3!H0vm zKZw&f88%DqOj5_dwQROew9WP*ycLegUDK65J_)oswUGJCNWGCb$C%?x2D@u;30ZxC0FCzHbK@+yS=J zRJCZa6oPwlo#(06@i4Zf zQKyQ9%*8v-UDC4XWmOb~#u-gPk<@|w^e7e!YYT0HG>9xBSYyJVWr$cELgV(G07i0q zx(73xz0a+7=^p_O73PF;gq(8?sC&rR9^qNMUWj*+XHjoKpJf`4F*4F)ZRwG=^f+63 zlr25RmL4xlpRZ3gN>6irMQb>akJ)UstmONxTt{)4T1@52MR;YrhJ~^UGZ}`)ksOr~ zH!c`+q{6X8)WvJ3apH-*bqt|w0oRiX*&`KlEU9>NQ6#Z|;1p}O28=0=AktU?%!qbn zMCE}{rwnrr9ooeC^%ZX%3IvWkgL}yXx6IW>Sd6D&yW%gMP`Wpv^lvkvmE)F+;Z~$u zk!(f07136NTXDNOY$JsmiEbpak?=-B8woyVji0i@;oka&Z&vut3ctV#zdTw!$|QSH z)vK827sZcnrg{^F)YA^zqaAi^N^%|D441!8+-zd=Fgr<#|?HW2UyoOl^&szmYdqs*s^4gUtrt>fC!e_t}m$`*P#IYV>=I z`K&_w`i&gzZRF@!`?*dT6dPGFB}gO*2o-@51ib96AN-j4gOxy~_q z%R=syUYv#Wl7C+6&od#O1@R1sXFoji;b$NHiNk>2D z=%*b0jH91$^r}zrMV~xOeD5&vZ+(~!xm(XUOW-*(^q04J5bS@b0scq#d58Ssx9hyO z>%0@L^XAk4zw5kj69&CznDX*lB2PZ`^5wa=5+{W{uX_)3+MUo>_gkM1z+lbien)@r z_q9T>7w0zq79m(|u;m9;-)-;&_rFYn$9|gh)jYmKKFo7GzHdYN&y6cy=)0FoRKJq@ zKIz6Koy_jXDw{p#A5X87zD~pWhppaK(kc8s_xHzo$qy~~{XB9@cm6S8lqqD8z)BIy zELD(NWf^uQOl=ss70nNVTjQyiY+roc?mExWy|3AIgpu@|3)yu}+ORl^C8{{>jRuZS z((C3R|8R5LvX8f{@9ouBhx#@ibh^E(%^M3>buelT%cH+;UVE@vx7X`ec&OvBjY85( nYLyTL#gsja&0NgYL;kt<=iv7z*D?Q0apLxV@Q*(MZcRCCr~!cZ literal 2191 zcmV;A2ypkT4*>uG0001Zob6g$Z`(K)eiyL+!N9!iJ|x4dC_bvOl4!?~y_TI$=0)H& zG3weGU%Fd#=D#m>u}#%-CTWlbiY<~rhvX6Y`H=k1#rfA(tTx+qFHL(GoyplIxHYTw zY`N$`d=AcG@7;Db*FA($4}?EM^fLkw_j0rPG*hNG66!+__VR!B-W%CV^lana3@{H! zNW)-wd3pHDs$VBv-WKI!U_O~S3PAI7JI-$kr*V5-KS6MI*2^~zTyHRwE3x|Q7TY|m zduM0mNV3qK{q-BS*Zn~=JTv|)#{)n0%wjX!d=BQ19}BhIE;eT6I@g=!O5B@3%RjEh z(Kx<2|2zE0xmwtco}YS3tW7antj%KWEbz&c6D+qYWePi+8-MEajkW=xLI8+tBo##< zRDu)21>z_)SRx(<%uTRen^o~BR^p>^@AbX}@pLjQF5>a{uDH04(=0Cydgu(M`8Y_g zvf(&swBAiGFOzXz8QmYKG@BRmi@2X0&sTM~XRI|l=R9Z+MmNR#v_H8HNHB_>k&;O= zE~eQp+30p|>qj0R#PcAE+_%j<+Va|Ew>8E*_WeN`DE~YQ752 zV1R?)aq~pY6E;s!Kh=DxKJ3H~g;~_H*iTSSJzJ>xR@XPw%`WDf-5o8~o0Zti{&VhB z0&Jj`1OW>~Xrd?*kRob{u@(|dWqrA|QA=$qeF(G&xrn6Hlmi`xjB&#RB*-$%IH>f6 z*2Q`&>lX+S49gIL2nr+srj%Gqg;Lb5M^K0V;tHWKDx;Fo(i7gqBkMp z7x{t`>HE9dnRbKBCwGG+xQz2Iimt%58MQf!MfCV^;)U-aiujuOS7yDPZ+Ok!btCc{ z%x{E#gZd5PH?ZG8sMUh47HGBn25dosEljWl3bs(e7A)Ap1zW&id%YGg*aFrXYDTo! z2*Eu$@?_@8p(j&MCZ3Evd1tS>0sV%*w66ty9MA^>eI(F_0(~sd2LpXHu(ghl2Ks3H z0k2u3S&)4X=>R7GX_%5v4t4A04QWph;#^0gsqg+oe5m7vVNG^ zIxeA#h0LW@F67X%ddsRP3XSv4f+DE{`T0?-*Vb0r1ZfaiM6kw$LCX-aI)ug@Zvl+t z_AMfl=CgH2CHN>R!Ox6Jb|$ML5`};UT3V#IVt`9+06>&-hB=}Je!scqzh`>$5JV^Z z+*iTU$xx|E;PzpXYsJ&SDQEkP$l~o%ycb0l-5&ItT;p?`jPzJrdZaBq&Xyi!OOLUo z$IH^^>lZtvr@6kNH5|ytV!7Qk^8G>XN(x`ZJ%w5?H|uMpT|ib?P+d z)TPZ_T;K4+!jEh~A46V8Ewgjub)42_XM7-c0gTpEsfH}BMU`cx_M*%#|Y zcjbHFy07oEIyf_RaAxY@%>0AA_fnM%JsIo|_(A91)44BN-t2X0_#4EWP0rnYkfWo6 z96i^6?sEC+ASi6jA`A~1pg7#?AXC4-1_#GVR{P8QYs$;b_N1x7!rkge9MtB_vt z&nx|TF2wU7o&)jxhvz>0>Z4zL^lOivieGv33y*%?(JwptRY$+*=+_+mlA~X7^b3w& z_X}dtCodB}x=j3keVI;$TiM$<@ww3o$<| zeBB=uX}MlJaGo(6PAA16y-6pP%*`EWXRjx)cG$K}`Khmm8vb^q|FzwutT$v7Ph zul6fe-|vm57n9n@?S^+>`xS%XaMa+T&ROpjvSHS#gea&?_AoOmu~HA^Kh=B*{&;d9 R%WtRW?o0)L`!D2qHeN^glP&=*LP!9~nv*5vAQ2%TMreVmWn^S9U}RtjW3%)HQVfD9 mYW$tNT%94tF##o57&w4xCfz*B1LQDpLzO}lF#-Sp0RR6|I~+>@ delta 80 zcmbQsJePTcE+eDBWIaY*rw(^b diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 793c2ef2f708599c2b8b4fce640c8df337589741..a702a7b0378fad5347da9dbe3655734fdd284c71 100755 GIT binary patch literal 13781 zcmeHu_g7QF6R!$n0SiTX z5J;r=BE1Jl=!B900Yb>j_kGX%AKnk&yXWrQJ@@SF-I>|>%)=Fp)-`8+Uq3f5PYFe3&<&8H#9e^7m|0RdOT>qs5xBg254zHwfdT%F#-JtXIqa&aEYvc=E4O#>j&M?U4L9BcX@bHe)bdSkV(^(@|!G_z+~c`f?U?1#4V@v?J;g7Fb^ zIOOb~HvjnLnLxAuz51Ut{%0HiUoQun!Tei@-mYB{5fQUEf3FcHIVuV^6ZJx8=oxdb zqIglG@pnNL==l8hMmJ0*tJzBl>x)F76~N$>UuN4*vzrOlYs=^gBRQ4fs@~B(?}Nwn zlcS@C{%Nw%e+dI1e-!YOR+>k5n|=aJq98Y3olnfmpG+}!(AG&NT=Dog+;q=OaBGYGfefV)#XFqM;SNwmTd(5?ubv3%nR(S$Ojn;rYGd za`^B=;0vgY`efBy^5|L}&GVjsolfB7@hf^|f_@`YsABUA18)mnxr<^dXKwQqY<-Qm z^B>k`%qoZ|wJeI5L=8jgiWqDwbQ!{_+iazza*QaUpem0seW%)nIKVIfRQY3ydBV1` zemUcBFjE`6>(r^doItUtT^uZ^13=<+?_k z%veKfs(xa{g|*axGxzse%jMitNEJ5z7M_DD^dDmdD&3~;A~ki5&eyx~Z@GL9yao$? z!&kK6VgG)>XCac2f;H4eXSMJtIje-(HxX8ZyIN<1;EL}P#uh~%R6zIaOtCIi8?)7f z@GTeQ#Jz?DXI44$4{U%sqnNgQYtG$WJ;B|yIl>qA<($y;en!>jV#hy;KS|md0Ncuq zhwP6*Bgxf|52<9ht6%Wj*K&7OWE^?eGujB5C_h{Q*vJJ|=OUQJ&ZIIP^$SO5uzOb> zexuiqgh%*_Kd+i%JULuS%p;|pV_F-41Anbv^lML7idM!<6djDcpygK$6_T4|<_FKm7vb~?fo3{2f3@>5h~H6;zM=b>JE=h#R|YfOM)7kDFCl z$b!AN#=8MyhVv(99nRwWD#FMzR`{WeG0Xdtm+22|v~hcX(^X^?gu4a8oOu{kVO?)` zyo8;pADte7vL13?D@%E^)&xGwa2#r;Kq}-BPp*^i`;_M5rCBHBsT=2xOERQ`P%6QTrn|3^dc>!IPfi^%Fb2_ zW(m%@E%*U>(IZgxMUi89FyDkT+Mzx|r|Fgy>`~He3RxElWtl(E!$y%C8@rkMbFC5| z-cgrjEC;XR3a&VtXb4d{xP%53g7wuh$aks=$9jFOqKD6`Zj>G?4#{BFWlDs?KJ zCL4<6v7pIzo}kki8VX*EcisI_{wl0p^HiF%N*sEgqF-86tXM$}C>h)EJ+SCja^-dS zN!C+U_`S{dDtzSq%?s+baCOf@Qla(WWc%nlN1pPH>Xwz6q{isxaxz?#DA0pB4xjb& zqsB@I6!hsTsjG^XxNPj>(bh#$zYV2xAwsTxt05&FqXG>vIh*{wl@;f;P3n-@>gfQf%q3Xtf21G62~n{eu)$Woujja0F< z78RKC#`67kM!9&L)a$1pobD|P!+B}H9 z`{%HB)vW30tubnz*jgTJRH3T?Gz3lr5Bnc?H%Q~uJu9(Z|e-~R@P!ZGi zJ^DUJ>bF&e(~d)^c#PFtTB14MP%eu1WtnR0t zE}1e7C?1hVxef*WE`e8fKP#!o zMSfajSYeWr;i+ReelfJbm50dl9gK2i!!-Tj66OG6Uj$V?s3p)saWvaYX+L}!+xw9J z9A)}}Imt`X&2(@SCa9k7n9?N~u(d6Td}_Q_br9D$B64C|TrH!sxm3)1Sy-~jGecn8 zPjTw3F3`QISXDh|?otBk1=2>?36lbYTNjJr2B;6(Ja|}19XmzttR9?x3i+kOtPZMG z#Rxa>yOuVeGg^W7PH&7hD-y%y-ai@@4EQ4@(9M@JFg@w{#=acxV!C{^xDT^pfQHGH z8cUtJqsOy1I)5A){H?R;rkL{18xWUD@iF*?IP~x*ib!rs8U2G1p#i46MMzf~tZ{?BqZEL>V#!f=1*Sdsixa0YMoT{%Rj zU!1g+;!Ba?LdR_V=b79vL>1$@+>vQLz3{ZQuvfdj+YLp8*aByd(H)ED8%qQ$Z42hw zM|lz1RfO)&gU1NWbyK*!n6eYoZ?AnUhks!`;&T6uzUB*y(})rXndxVcC>>>Bn9v=+ z2_HzvZ0f;UTL;xtvNp^k;{{Y)Xgc+}&EgkG>CISto<~h$atBLvORS<+c0xyKjCU9<-r| z8!f499G3cTVqAfc6)q2S7?#dyU%~@ z#?)R{om31>tY4gH(ha%u&gOcTppF!9!laKwkPW|R&m*ynoV%(%3Pyf8 zXW~?d;m;Q>D|r3SN18&fymhO15udG}JHEJHtKC5EkslwdoF%CXz2On+ztfSqdcx6& z>TD=mAL+EbD)w=r(^_=7#%oGkmD*NWhL6{Z``P&AQ}|BT#YoYeT(MN%p902tNB>A< z3k;m%x&zJE9C+m2HZ0Py>mV4WI>ZdD&>Ge?iX4ywTgURSM z|B$0EV21vDdhW>qtspI9;oSQA81`3D9RRL>t2G7G2 zTHkrzxySUlm3nk_fm`FwO7!>>@yw%&cMn2scvMQApdH|{-xvN(yxz&~|N0@Nw47?* zcl@`bNr9qCkC?nrLt`!PRpY9$D#%CAdcD4{Q72xyd>+qh^j0^QGw12L3A(Amg+IM! z8qPFV+YiXHGg-6|3kgnDajUyjVM5`RWV}6HhAJ@E2;#Xk)gE_taM6Z6sV25nB%XKl zHHFgr(UesMXnkckQK@az4=~t-Uq5+)dPU&@)kA@|$zY(8Jmex%L=%4OL>W89%mI#t8vnmg5=%u-vsU8euUx3G&tURqbb z_?l=MRb{yqZUMg)Bw+f)^2#PmYg1U|ULNWq+NH0EO^0<(2Zv>E;65o?9a2J5R^{k# zKm5okvqG6UOGhQg8yV-^S9xcwl&&pIjSN^4q?b?ZK8{&yE=5vgJH|%7$}q6;9W2M> zxuQ>h-gFe&+;P$}+j_`fo-WlsQEgYpAb_4F!}NzW6l{!U+0x}P>`#R|p3!1X}W>*RJyua^zZoU{5ZK8?|kTU_v$wgsTe2TG_%$)<# zRt=9vCBgpTO!jZvK7T@GZ1Mc@W8a3Kjvrq7_ zhiosnlzp9n4J|QV0~Y7~AYTV@kQ$r!^H(f8E`Odcid#z%!%Gg|C` z-9D7viwwe|)IPC@OG`0d%G#F{mJjYo(}~|=4oJ@zuTogurEoUxXCURB9@{IML(W}SUXt}o5Fy#%f)-_rC42KkP+rKUXCgPt1v;o2q8`{J=? zW_Y8LNR+b{Dau*nIdon#H8W^clCp8X1uNK%Ro$Lomn2ShWtHdMTI#+P`qeXBM|m=2 z+ue>(MEx$b$bR%;_0aCKOW6Fi!-04AiHeIc!@Jq$IpPiS+b{zY4bo{z7Lm5#EDoah zIeueHi)hk3@75?iXyd}xW~DryoBa@nwPl{9G+xg#`S1~Z&RFS!^B$Jc^TX9MO9`rx zu*jaci_?&kH<`AMoKO38O5WeZjuLeUH{|=+ zwJh=7TeH0rWNRAsI8i74hxH$1TNbaZJSzy?hR&tzx#r+ZB0gci&R0 zW1?VcHJ3UdrX#UYiIssF5R)KXqGU9+yh+oMNlX`aXJD^aChlHttu~hXlyTVnH~r^z zhAw$u&y^T)6RJ3vzRsHMJ$P%5s$)RU(DO9w3E*h~%hJFR&R3OobMIcogJN2l4_Cz;sqgi)^pt=??nC$b9W>SMwCFUpyn)-= z|64AMn!i?cl7x%v&~!*XN$Ni9OA<=%A=u?2jX0A-v~{^O!1tiVQYA83(vPa(g3fzT z^nj#}?|U=HYOekhN{S&E_&kDq^10cOeJ-TVH>#d1_EAv>_(Jp)){Xam|1s87^;1Pj_){)Ds?bqS zy!bIiG0XTej`3cXlFYj5*P&&*EFU8sqEL(j2kTOP90tWUgR^xhWrcp9OGcx2b#Z5q z$8CoAe-S^*vP_z$HC<{sN!6dRi?ki*c4)i6*!98{LA{lJgXxJ-!6oMp zh}Ky^pug)4S9|Vphe?IoquMuUg^z6L-N9M5ga^wGT5>ZtPs_ySDy#}lZ)PuF9>0uZ z!bh|)aWjKFTM12-{WxP}Hr^NtM~r9kAiE2(B_O$|S)lS9&(N#y-~Dy{Jh14ZnnjR$ zYNM3cT3R^DJp*g@e!j%nA&>FJiJ&HG2dk|B8#ZtOkxfTeB_EBiE5vsC{K0%NjQ}(7 zjf*VmBxo*S+<(juk6`Tmt}XbJU#}S2zWWO83kkLy4^^h^BpjzD&AW(z(lk6~kd^_R z(2ED?QgoaCim%Tl_RY*c`k&&X6n=~$FnP;+1%DUM=M5H6g_(JVjAmhLrv5EeMw^qU zO)48f`*Xi#7D#QL{Tw{NP`6U&`v5F8~a=<)(zXn7hMQG%KJ@_x3G_7}iK_vxb(a$-=2y zp`OX_=~kbHgS$%d z7d@A0o1Lx8Z>s~cNbW-1llRPJq1vyk1QTt9iLtaDS0ItwWkyG{uEKhM(p(5SC-2GP zIZe!B;$08*H-!sTHqPF0JE-|_0~6OgS+_*GDw5m1ooY*4gO(B`Lbb)mi>`}q2_g-< z7c_H-m0r$@Lfs+Gv_j8e-2Y>N=3aD=mX(`W~_>(($RC+}_y|X?o zrNJM^{(Hvdm~=YD_m54`xJxXi0dIDNuFy1b$;~d3Jdv>KvA;NmV6W;&3VlN$I@Z~p9)qT8C+krVweuQ5sQ1T(l)8CUb?KXVyXm#1vAhb=sJ%N16@mxEtSry{Ny zmQAf?JQQaRk|6hEo(XT+`2odAU{f#oXo+L)?ZHfD_HLhT;k0M2LO;ns5G^s(a=z)h zN}=e|Eg$!MTOc@FaNNDjmk~D!jX7}Nh8rw8%X#4yYm5iKc(vKth|{{g$H^Dcs0nYk zW0jCFg(1)@tMHQDvQ&*K&oMw6lGAm1FQeSeN#r|IlHuBkqvUbi)IHo?#YMy&Tw)Wp@ zp?ez5Ozkb+T=R1;itx%X%B*Nvs_rzjUcV9NlfN-&eo$kngvLB-1k@CMluOqiwQ`h> zU>k@B_^$uFlWvO|eL-K9K{nj4xX_vSx*kAB^z^UdeNlxs*k86RV#ORFzL32$8YnH) zk#HiVmR0(B@{`g*q2xvn-<&MIJq#-tEz}tTRZu*rqKJW!1DW=0QtO^TEEZSk^6exq8cfoTC z8`aC(Z5L=x>vx6Ae(Zh%Z1#P0t1 zhEC-5(K1LP_U~0+=^8;)-&ofzVC$eZ(fJi^Em_cp5?R)7CxN}cF@3rRv)()HDBbGz zPpja{?W4?npU2dWU##!;}SYHDZYj|1y$lKOU zKK9m+y&JNR>HI(93xR@Ak3EG1qU-Rfpk%3Cy-6?qHopx?5J~3m89{H4qHETv8Z*8R z4oBlD-VI!~$mrjhTIw86nFy3xsUmxgoLE_Le}jC%NINYs0~3p$pNKp^sd5RxOyVJn zz=%2MV`-PK`q35aiSG$j(@mqeA%^eAsOqBaqn?>|LAm1GgYsQCK6^rPZD#u(wkbCg zSj{&Kg0Z^`=S?uLtDKtvqxk}*lgeanWShovlrm&rQ#!QXZf-g$1#4OyWK#({zio4! zBu%jnpEs>^i8b0e{DDCnE2YgF%bO7Q0_t(%fKe61VUK z!jdRW7vQcA@g8Z`^=@SS8JDKSQl3TRw;NptLBr;$(|r2@#)SyEuJz+SiZz*0U4XVw zt(W}a3jK7^uBJ7L{&(fbcI7J(JIU2I*mcN=SbVj?UO>+FM?LG7qkGi1Jg`?jnNWlD zIq&{<$5Y-7t7~N!*P<7t3X^o&I){WkAE(b|K(QB_R6B@g3G=GvlFR}UeboGbg|Xwe zJEyWaFdMvmaZZkqKR}ac_(QwaN$d?G#JsX=0GlfBM{4Yu?TC#w8My$<`6oiDkp<x zvZ0Q=zrzPjlp!n3=zX+rliiBCC0?7;MCE#Sw@pp`9CDpN)oD2yK^MY>L3u#v$-Oi! z&pgbyz7iv*+HvooM+l&4gxBrwM*e++zP(qERA~+Y)ew22Ox!uunRA*$?dsEq! z8<-r!4KTv`(N!sjF*k^!oOMIjMs-3@Ac(xtdp@b&s>~iHf(t?`JQj|Y!m}09O8XFJ zFdcSIF<85ypDyF_pbjEEbe}xIodN{56U`p+vmBUPnMM1OfX7YB%dy;DlrRF`Hw)_@ z;>}oO3Dw6aM9QzG)cykn8MqfI15+(S^)i5&t9% z(6TDek7(AeT4@(srf5D>BS}`GwiS7o zXV)hms{^Npa7BSgRO__n4s(+_Xiiw)--7jOHi{^f!UU&W$Va>1*8;pL&t#$xoC<&s z2yFLYbO)X7cQ{(Z8S@U~yl_;fKx66=)>cXmV5T5U+Qk>q@LAr1-!*LGWB_x|Y-K0r z^@pXk$7uKh?`-nUv*{$#*P3dxCatCksUSmCYrw5$v+ArvZ2eiDtyo_={kj~OVXMi3 zwx%|i-SmO6Qbw-4u#zcmDiOh>BX(R6WMjK%&CFw>>qd55d37|e3!4dbjfN>A8>sAu zbAC$OXXXXUCRIYS#tAmBv-AwkM?>D0`%q@?vX|=XG*v>iy-r3SJUhwHeC)kxPb%D! z5oQMPZ?9SA?wm^-1e9P5l5*$ysN6`)I!41#bJXl29mNy|>&0`Eh3CRM2&b3ZU^c#( zC$A+9(M)67J03bs&~;*TOt48R6+q7*>kZg>OFIQ5!cV89C#*<9us?vLfxr~;ZcR@g z$i94I+SL_XVPuDP_0#eiWo7=FC&kfyYVp|O3ba-yL?S#(@3D73>8$8h#W5Y0d~N(F zr;Z6n+g;dBAN}K7rfEhPX1LVVD23K@T)H2ba*(1#a|KWS)Cjn970`pidlNHir<-Hy z#8PW7tsSk?R18(O(CUFEeE=3uLHFDd16u$1mUk@+ImSRsg(tC}&cu_;+ZnoP=>jFZptiqF20phRg?WyPvL88I((^P+Lt8QIdvXV@# zQMayldwBifa-nL~}*9@=A&Sm%k} zXcu3W+8q&&=>KJuGOpX^&wEpJPeuoUYGV|nAhgP3 zW-o!e)|b76nFmHnfRAbR7T#BW{mEt-3=&UPu#fQrT}gzTfjPIjY5=K9tG-@;wgxBC zj+%siNhiYVv?_ah2sNF3?)-48`L#d4J9LwQj*z8rFS}D5;h-hiwQqb*j#czs>GL-6 zIqcGnZJzOcw<(slo$sV2H)PPhQjV5w{0AMbVhCnT%*=FbjkOYh&P`TvW4WaUvl*0% zpxc~)BJR;ieywY@MkJ1e?wl;>*J}HEZ?fw*j*)V4m)th6ZN1y8psL4Fu@HCM)H}}X zAc<3tXBGLc#WUvX2ze5q&7lCm=-0V&oBiK>TG?Wy8(u9UP~N>WcH7>L)pyj9MN4Ba zW!6mS8|FufYRZ01msXnFJm5DSQMUKalQccmigQDoA6|8x&PkWfO2iDnYvwoVdA-)7 z$B3?-HD-@lJEh)$j^XgajPt7p!lKnadEOjN^q{J}8Lb?Jbn_tAc=?$vzs6CL>knE{ zlEXUK&dID3?Zfd3+Z*EdW!4fRU0l2U=nvPA)6)=xDy319Eq{fs^r$tGLsTN^x}&-jvD__;aLq77H6PG2^^qZ*4``cuH<4RP5 z5Z3HRf4}4Nb1;>dc*2B+88#i+I%5yCd2ZKAf3iYG($<_Q_9X5y72)y9x+jF}*@XJc zL|W&x=kQn~o~UcX?weH>y{G=k9a^A(jI&y;Jj{aAB&2bSXWtW534!l#Xw2VCPhA4U zcl_!B(w6@Td1>h4CBHG=XhmZ-gS7sVD%_>CIG-;#?{5>HRhU?7g!^l;8>Xa=-18`Z zIjg$jb!E14V;1)&lNf^{enOg$qDP0GNb4A^Nxrd99~ce$t#Ma;zUD%`{+3~_&E&F} zEKd6s8o1@S7PH7!v|}93uiQ?B^re$9b#|(gG)=rUG-Ow8&uo#lFcYpZd2$B%m|q7P zIq#*KdPQ)0D%i`3aw%eidux0~Frg5|#$k^6D2|)&Vs1k=EwQk?py^N!^w!$^aX^;N zO9i(6Iy?T8nx(1mPZz}x8akM1esAf=$~1<$RyCpdwrMWj17E z!Y5-7e$?okV6;VtHE!_u$L^tkBYzI{X4e0+jbF${s~fn3?7kCAl^JP0Jj9jk^9N&} z8!9)NRqOud4t1<+QU~PUgyNd^@2>^drREIm>0c@gqb87`LgX^QqpRd=eX33Fi6`r; zi>K@IWdSqcX&fB)h0sooD=YhC+8)G@wuifTw=e_lRGng@@8cD&!g#4woNa6f2N;@P zqA|zA(Q7?>cwYVk$AhIQ4q>WK`_lCn(1o1*gEHOBEYp$+%}QrS4N5zCTluH?-1poM zMn{_XuEje5$?2qyg+{GCtSTX#EhIe#nN%trdyD+IOj=GqUb9X)EX-WdJiK$Qzcr?> z-I|~LdR*h|KEr={HT_?N)|?|&Gc@a9kCocf)TM=1*_2%TWG5-J#x24^cRs4yOuvcE=*lkK)mDC%~PY#N!mJF zaN0-uO?!i(m#mqO&1vXTZuZP{Q$VUOD{n3yn6#TdeW$L*kT#hKTT7|%Ik3IPyG1n> z=HTe2rsXK^$L_6}=IZu}HzoLhCbyxHaJjkr!i8^yqD7-OxLMGqb`wb3o9gZXz+AH% z!;h}L>9tU=*D09w$>$V354=R>p-MYCLI{hlrOAwHj3v(6G z0f8d5btgmXNXDWtwzzOje}v%)#tv_^+9S3WxZ98=!RF+_-#DSbwc5;zZ-kBUsZYgg zmqraZ;3_6L&Iykp46-FKz1AMs&BA~=y2qVjeCPPO=(DSe!sEMjJ?!=^feIe&Drn=U zg*SFnmr_V4mjB_7Vm5pnw@yr=LPwJmUyLT_o(QidweRR^eq|?;U6zba;zU4+-Rm^e z75aK4x?|)Ze((oGR$+_*-iNDoJd3|qagzfI(Sc7xBn@@FDKdEL)FK>Yes82-a&M%P z)~MA64*ySp!pS+m>qtSGCf3d-Z1e}%!@F*k&Qmb_I%qmow^b4}m`*ZhKCi>Sp{n{_eVg)u z2O?Whgx7es$A1}ssODxTjozA6O?_ zVGR#f4D?J5H&{ADKkK+r&rSPK8K1I=zflM}L0j?wfSa@_@i-B3X6TzN4PUzZI=v`; zvPUqHdpWjQsBfQ>I+zjAGNL|;nDQWg@>yC*MmGn@8Dp_gT}AlQxS^AYDTd!N7U*}{ z0BP>KmFIMrDR~EoM=RIP9T>CV78!W3jd8QE6!T~uwAlxud%|E-t_^) z>W6(Ov^b0a{Vzvv|5e%V!ectnBF_E&Sooym75d1iL1WnVzPsf#T~V}nN_MVtb(~xm zh&1?X#>v2Jjcr9CGs=@X}wIOCPfqk6*qwp> zNjp(-X*Gk#XHBj_%;H^E8b7r-tB0U)eo9E;FZb0;<7&vlCl=#GFZKUy_UI56zQ0$z z6dfc}t7wMc>1>~;C<50fk3gdZ<}_PfqB7CVJk5TssguT7UC#K9=#});$TFswG5*ll zN0G6Gw$RF7;9nE$`fnQH+Ff=h7+-l;GwaZ0Lz>x%^E0^qz4}PBS>F~11J5L)%RC1j zGcPXJIAuYHv;-WWf{E1L)}-aPkB}fx1CC+A!RpMl@gt($JO-yh+ri2PYg~0b46-UV z(jT(J1S-?scw*N+3Qb;<>1KI~JL+zp$&jhJKukqfE8n&DB|n=<6e(;=pDVH~Qp^=3 z#-}N-BB}o@1JV6Dq+4?b;q*Jw4r0%SnY* zp-Jk`yFxJ8HvU%Oj#^7uT(qfM2=3Xx=;uk zfdAt${|X)IiYUgVDRyzTI3S8wRy}u>oL`K_%;+`^EYFFXNBB4)>8!IvXD=fm< zr>PN#h{(9+VW3craJU0a*#_tLElL5V2+QBG8LRVnBxXj(Dyc~odX1AG&RYG4QAoWV zO`Px;3s~rl(t1HsqWpv!8WLVH;@4Cv8@%5PBH51f4sN({FY z-nd2z@=Is^+kLt5KO0i?3)8pQE%6G0g;@bivkA;QZDQ5jnmkUjON#c+?<7Ce^nDgV zado5S6|>8Z<8f@~sCFh2YnSbl>V5z0Yvv{fZbXIb!N-g&TBsD3H0Q7in;mfY&C_n( zr$*XbYv$&-*NI+=I+-rJ?lj$(2z z+0oi#T=|xiw)+vMwcmZkX1>e0FWc9p+J#cpRuCkjwo7wilU|-TNs1VxmQu?J&XW>DMWq zp+WulfAVe@21?H%rSkJ0N%g#ezqW|n&9?Y(^F_=y+uZUw{-7lDz@DWcV`b{4 zYZBLr%eHN_NoMjbb2hWOCf5vi2&H^d)X31vG#6;;%yWOEn4__InAit=0-sS6&BwY@ zJ`u0=CVep~eDmT6U%|g?mdRfpo{KVl^33WK?kjKPZRzOM?)`wfF}HC(GS(CNQG%hd zmz^uWoDB4#pp#S$&lndKfFIx3mdN-FosBx{CYV=uzU=}*Ml&OL^Fy6ZP{!zi%5aF5 z!~nY4IKlRI439-ft(qmbV}_SPqUVem_@cZ-gQbl9XG`_{h6bit26w^N+zalvd;dlM zm3YujlrHRxyF=E~Mp;0;z+gY-E054QsWW%mem{4i39U^AEjC>UnYw%4?Sz$7cJREW z%a6z(BJY1|$)IuMjhL7T_r9hmQ?;wtzuB6_zcs^~5ycu8zSUeal=?mqUGu~;Ww4{! z-kGlZ;rq**JQbG9!4*l>;8OhSf`kTm@7-mG*!_vjM#Cfk{L>J5s;uNY=bxw$gX3DZ zmH{>I5&6fjX-`?~OK;M;N>%*~f86|dQ&-6O>^U~Ksv-DT_O9x2Ku-nnmt3FA?X)hZ z^9S#I=T_e@k=>acHCrEFQ(eEQEal)6$<@qXMd!nNgP+IEnU)Gvj~M6%QArwzFI)B3 au7WUVRh`}2Jx_Gw`!zFJoU>MJ_WuC^{JDYv literal 15224 zcmeIX_gfQD*DkE0q9P!opb!X%h)Rio^rj%9B1J%uE+8T`p%Wm9iijF|5s@Y$O@x3_ zLJdWFiS(WT3EfZtnprcm*Dka7T6^8=9==0|4wY~nW?%i; zhb~)My88LMgMGy073FTo$&2X*x_dnnQ&3b8yP*t{R{$y8kXIBlcl8T$cX1Unb#n0( zlNU38AZF$2ET;d=J%C-4{No6_nL~%#RsSOnsT}*S4AJ->dH8SU$@(k$&~@zT)rGI< zF9TPaOV>-E)7|UfV_Uylznvf*`rxeR?O9d?w~_%!m1p zFQV^G%cY}#B42Zz;Gitff~ixFcLD>gG9$J5izWrhkuCB@|OK4KEAyC&>ot$JZDE%(!B=Z+Nb{GH?8m4cKJzPSTnY6+`{J)9!-i zn-@f2NXy;Z6I*82#cUn`*oWxDF`j7TgWdupbPnC(o7b>2Wjps3{_vF5xzek4^02zA zb~jHg zHL^v2sG}ZYKUdol$Oy7R7Xp)7&PPh0vU-S@J0)lYq_hM^uALg&nX>=i&i;2A|JOkN zU-;SQAGU=|Q(?^KzUM6aQIhM%#IK3at-F=Ofq5`3Yh{L+{J}=8gXEO;63IjanQ74e zXA4Tx9>uidcje311jGKOd9P3VT%;wX2lw<1)%_@o@lFSy8X1Z%=@S?Y>QGs$5)ddI zhV~!mN)~5+?pwdc8uJ)w-e1N}m_E5ZZ7FRfRO`6fG9cOU_N^T3{{GX>vm*eztBGt0r3cPQ%JOSkYY^MH>%!sS1J&taFSOG)`-hJO$GndycfMH{b*?1OEvDb9yFlnFB0HHE zBFnd0Eqt#6l-gj`O6negXuM3|qtzCLNW1ZK0XpWX-HX+f1@i_!Yg(-w!{0@hs?ujc;$k!x#xo# z%CVoj-)4ty@eoXl=>q5asUY zXE<@Ao!9*Lobt~(yrmjXbv(BVKuI6qBP(1g>sweO)L13yl|EF#6%y*jbovlJ+sNV* zIGR$-7e&hgD|x*v#-O`NuSMM|X|GhhrjO2-O~M2Mb8ZBd?xdMu z;}i|)eV^R1+8x0xND9_>32sXOx~I2glE6x-lOcCG<*(c`s$F|AE>YJ0N%oDp za485A1NsOoEx9O2Tb^t=7n--w6MnJUt;TLL1Z-Ek_vC4cEHb9;?H6jAW%a~#vVJwP zBV-*^(3r_n<>Mh=t(?6OY#<-}_**PyQhwBV>IpwZzjCAWvBD^9t7*@-{XTbl0{&u8 z|7_wyaIliXBPZkrRmkUv&GAagmY=)4!A7~X0HseNKgKUi5aA42R+z{C2D{7Oq6!~( zj^}3ydw^s%KH{;>8hQkBhMm_>@T2nO)tDz$M)3x+Ts%jjk03F zg7ig^aYBzR+*wj<(8ohKEb1s21j)gLdFRv$9TlnUhd zA^2ChSgouxoKpPIYG~c1mh{Q-c=@fs2C+>M!?-q?-o2Faj%-c}A*ofb#MZlfuNGP< z)ijpb+BFvv=IXq4?R$xRc-U%_=h<{~|NBmxN=`F>Ol-6-{N61A^e5{SGRsp4>T(-r)w-%-<-oDwLceAPY zGkOw*slJ!)9Mm4mJ;gkM)y4;TJsj80y*txVpSn_&Tcu;kGqo70L^PcL-d1s^TM5J6 zUs~_Y{Pn|Oy4{;g#`a0stE=(~CeB+zu}L~-9inB4xSdSnfT1gksh?znWXg&RU6rf0 ze#^99>An5k_7h$K7BsvY)!kIuulH9Sk0d+))%7QQiBVw`@=lx|clu(}PqQScuTCX2 zyLe~LX_H)#K67DDgq|R2-L%9FC#T2CQ#QB+Z8o)7b zwTnHCKCw4->0F?mOkFDX#6(szV z1xP<)Tctk;50Ww5tAubWV&tGb?SHHJt1#n!;|`!>5z41-OYXNv7O#ai3H-IKQQoIJ z6kPayBiE!pXi;Y&@}-~i2w38dmkLM~E_|`LLn&0fCcnoh^u!RVFSMK?{0mUJ!Ouxf zIuT84b65De6=eoI^n?%p)?4d@66q}QRjj0!{a#>`ST#8BjDHe3V~=<0Z=TP1)>&nK z#5Z*Z+sXP?uP<1A@{`^ZJ*d?x7kL{vYjiJSu`KT5F9|Q5`jrGjELkH#QUKwb@QQNL;g>)^=uvf_9O;$6}1jJASV8Pb!D0_B+$1t$FC= z53imqMH_zB(ER(`2X-|7S0?OZ%64 zF2u|yExx%>;3TE}rPV8z(jC??%@g8!IcV%CRk~+svh}#%StMh>Etj^ibem2-{!!%5 zK>;9^mR_&AO)iXiE~PO2DbhbBy&uMp(+(4>x=4a?D}L~C`r%eykz0jHR&Wpmc(=F4 z#5&kV6Z1+x*_$tM>_Ql1{~@64@v3Ljib_=9c7`FgkKam0rG~$%_L8 zyK;LvJ!~y#vc_AJ43#Pj?vGjeqLM1Hc|_tIQkKrvn^q8|LvePW8RKtDdcbJy7%RViA}IjYLXnHH|~Ott+#uc}nCg)1lK z5Wh5zxtw6pI-CzabTHNo--U&2)b=BPU2MEiXL(8kKLo#;#c&KlKt#TifxQ3XCwkubB7kC#IMXhIcj@0k2rIY5=@jugU6oa-&p9Tu= zIA%Om{MkD~8zkLgK7mR7ePPsUqMRBGYSNmMeH(II9b8%QU6Fv=&%8kEq4$XG$NCtfSnA&lhIO(eAJ5Y0u)9F>%^RffG zspnVa1{2F9J1d0g4TtB0n5NSK3i7cwC&J1WI=t0r->4eUzO=$sLEO{l&{;g%3vcD54cL0H@U!p{pX{N>V|`brI$BMU2X2V}tk}iF| zX)b?jbmUR1hW?edG~ox1)$S zvuE$E0*RyiR_Qq+nTmoTBF)D1SG;k)_p1Z?J~V5-ty8U$l^Uj?&ktPdcz#YPK|a0f zLwoY1h1{xz-?8h$AkzNbi(?XfieDQoSz8q@>lW2()=l!Lj}^A~vVbUIt-p7x)iEy~ ze9<&qwHI0c*e@yiLp}9)bLfhzY=QRx-WVz zy1!XGs=SjUrXV{y{b~dulP3YU7r$dUW`3HlI-{OeQYx>|V366*aXfECU^81Vd!+CQ z`rU_2tljBgrAKum78(ZwGr}tEYo=J=oX>3`ocepV$PW+Jok#9T>ea>O8LC}!7;!vK zI^M%wUpHC&x)k=H+#EMP!bJ6`|NQi;oBtd?uF@@ET<|fq@}~2^Y0+`-1KWY4FX~U) z1etd^^;|g=*mFg!;!8gO1jyj%{C0jRb<=UoV!2QdSZcF&z2k?WRA}m?zz;vC)x?{j z5694XhN6TY&};Qv)^0kXAJ43B+2|H$c~wWBsJb6BdCRl><@WrSf(GAsL0z!skVoqy z7_?jagl-&3h%Pjwdd@hRZ>*gQ_v+4KOU&5;FM4T zDmHrY8f~Hp;1CWm!&JkNxDct2Gf!@4M0jIT|T z6EZfsDVI3+l~2BU{ewh{5NzgsK3|zF|JB@4sK2L-5PFAe%X@76zHNTrw2nHTzwN+P z2)4k@)HhwD^-iNJ^}7^KtA0l@|#j4lkaX%EC8VbM}>sxSr0K@LBqR zofB+YNcB+7+7sQq#|r~JwHa=oDin5pUb8S>66l%< zL~%dzzpC(L81HSd0|eWtKiHSPK(`sbrI9zX8x@{un-TPJHsNE&U2nAxNt^U<7h;gO zy6*xZwAIA>gtNyv{TF_zT>YZxt^4JtbvV9ucDQk;d1m&ae4tpo{OCeZd0g~6XGQVM zV*@_fE9YQc&z}W6a*UIEZeK5cymq=Y^_bn6kw~}Op9Ayf)Ows1q~;qJqX>T$UYu3z z-k*4zOt(K>deY@(%SiNL=wM}5?I|J83-|kGve8dKZd{R}J7rxFwTYgQfd(QLB{DCH zolicN=AumMei@`y9!=?W$2wv*D=M!O%oKCpk)x6*Bzj9&Qgynu$`NeKSJxGIbqS{(!-&Je(N|>)4^0-M0(sp!9y>3=Bq@EKp$gefcV?Q4eLS7S#VIVW zj1r8Knu5+?HRX9Cw4hQ;gW6q1Np9@z@%dDOxbL_)^vjj0=eTHlnIgwf54EZ4HK!|p zEJYYl$3=5?k&EM^Zz42={qWNjUIFqC>$3P5e+>@TR*QM^% z%6I8vA46fnj<(p)*X5(Kx{KAW1#&-7o2xIZ6?SZSrR9=BZQM21=ndz0?V;%TM*lJN zV58?|zjHi&0}{JAt+YjytK^vZihTtVq}SMFOVgj zDbyp}V+l?j<)pnu2eDK}Y>1xo3Jk|vo(Tr+u6J#wUp{QOq=+@-Xk079yzDl(&L?f( zw+iA*TU!_~_!+-Hu)MRj-$~gQwLfDpiGN%zFvqRH>#;%5)MMbo2c6=#Q@RSCYctNf8g=ax9`QASxiV! z53Pw$c({v*%1J4@9n>qqNYKO(yprZfV&6h0E}=e9PcT)sZHN*=(3BvCeis2m93*{X^uS0= z>bby^oznA@43tP!XHdN6&&d!F^kZYTW}CFI z`*f-Opu5jql-t*4Sl2D3DMjSfTv!@2xWI<^@*%6(RMre}{ING~L*rmWuCg<`gS3rt z9=fzK*jZe$24bop12PI70jVp9M}_+2(nexP0E~7v9nRoZ~t_nar3FE^XjIpZ>zHcG-lgU`_6Y^Q&Gq;rFyAZ$spJ9B0(sy3E}&B~2_oNyd$d z_E~j{BWMw)S6jP$u_qtCn&mf)SF9~IR|2Q^#U9S|r}vrm@dup1FwCDnG& z8aQ#)UUu3C_ap|r=b@B~qz47m!?NqP-YYk})G{WjckbDhxubRu_;XcZmnyAm-A+%dRCLt0tW<7o zhHJQ=;i3K*WkR7bx>1U1^vegZU{664Ud`4?BPm{3@4M=a5bSM{^_Q0TB8kw*Ql_It z_WOn^Ujx;U#0wp?zLjIa<&f^3?r-S9xy~T~02&`5Vd4*=R|k0dYa(r&-I_UZ| z+v=vuJS63Cns2_y3?W#o|I)$-u>-?P{Umx3SKR&I6Z?@U$@z?Q?C=y1hQk-`EnFQZ zIqwAxy>rCv;J{KV!aZqR+D;pDLos_Uhc0)if2ZKRW=!AnT&t<`2fYQwW(+k_Dz@qy zWYeE#J+Q^8r|A8jbp}4Q=_0272H(lraK-x#HQJ?qkX$zvU&r8~mMcjtBn>o&ST3PNOXR%&a! zl8^(QU4N-&C&*|08iVIMo@<=l+UH@YGJH&RHC1nGzofZRvvme+>=3a`_yOF-PF11C z)`kCOIPRnO(7$fe(f0hiTHmw~#V_gt$nYO~3{%f=%@v41s@~bq>>GZ#@b)-f!zCx1 z9d#4e4hh#|8(CKY*z5Eq$s%hH?FDHF-E9`Vg!4Wc1;f6}QVCQEfCheiHZhR!^E1Zr zba_87(mw>Z3s^t0!l)e$(bkye$%U)h#X95^)1$lBs#ShzT3(7%3hLLXx-gx3J}Gx7 z&@84Bm>t|@?lpile4M=WeGxmN2b%bn7uHvF30{#KD9iKaX<*jypGC|m_~nf_A784? zC)sJP`=TchCIZhREXmpCIXE7>oUx9Wl^x$}4WqdBZ88=1R(NQQ;2gSOMB;Rq({M%K z92hNsAYQU25h)?p`3@_s4!zPr^oy|t^tY?Is6Jzq{B`-;#gOo8*;69vMM?i9@V|a5 zj0BPZK$v8OMO2dT6fQK*fti&5lOfX!~c+T2@R~R;s*F#Yi(;w zi)(@S$gl!x*EvCFl^+9%{{7)R840zhvd~=k#Z;H2Ck-@oPN+mXt27X6i8DROLQ(2r zUZfKQ2&ACam<41uLXV~!7J=&IbXGX0x8b?vA`CktphC4JS8Pyvnm;-u(0KI6`sAc z-2#gaWL|f2Jb=bSH;D3}rv~)A)`3(ib}Hd`0ZaO?hFbiB=k)wZR%8m%0Bu_s@oYFvSgcWBOyqwoKehNPZI{akQ3xCj!YqxcM; z6iE>E*GLh6tF^>QQsFe98_gA}AdxHFYkhtE^PFTEIhUQg2UR|d3&qt)O}ph^6hV5^ z#cZCrGG6VG?4rl)ic-7)LTUzkSqY@Bu3TJ*s0X3nw7T^Xc$@1QVCT4xSGZ)+2)iL3t!HoJ zRteZ}c26Tp&|Rs)Sisg;w0=vxWE9Lj_ioFzWd&^Zo&EhuZj99`3Dx-@$m;`J`xpjO%o(pL`b7kVNeWgvls&df-<&5{2KPp?{ zbFWh(yXyS9!AU^*#3Qu-UC7g$RfQXz-WeCzzmSDU1kDoP3?zQBq;YDO)o%P%Bv$^n z$~G0|LN1G=#eh9$@=`R~Jsr0odi7V1pkSpoP`&!Qjo}XD(}L}7wA+ou+0In9cN|$~ zUf0X~tJXl|3Wwm2#)gZ-&*md2$RvXw{(oI$V$Ut+FXqv9#W!RY7<&9r#7pAz-8H-v zdEshTT|-v0PxhRFF@|>|OS)k@%>~|6$12@vJ_)E#kBA8NhiuBJt`+DB+czXw!4cZ$ zeX^BBc_03c)I8x$re*-6c^ki@uKzs?>&MN;?>Nk9|JXrP`srz-14bX9-f>Yp-wMxj zI0vhgc-T~C>V@CZ$ki6bt?o2zQbZdZZH`SReWRKWhGE~pes6})ttT`MXqyq7+rE5- zy)8|6zkR??Xja)?B#l}u#yZ&J zUI0>urYV^G9ha-DWh+PNX;0gKE|$t9D7C!LC}!J2t9L z`N{>bHFMN>at*WgMQ1;^&_SjD`9XFPXnRRbb)WLj3IIF4u6UFcIat2;qV+tG(WK9Y)=$9EtFmn#jz%^1lsu+k&E+# z>?Qn14BPU=hW0c+l2TJ`cLEO9Vm9S`sut!u!UhpVzT?gBeaD%Jfr+DIl0;u-Nek&y z(_9Dj4ZZa!5AINEqJfUy4`5O}TVUdaPy<+EY-@jV{mj|WuHq3kw9v5MZP0cC(!3@H zK=zHB(TowB4)V)d80v*&yGb}uXS`{*$VP}!` z<4*m5_B-Eb;y9j$?@LLjuKrbZTIP&VX;}hd*2$}5EjNOlC`Z_lqXE{|%1yi7urOO# zJ<{|D2pm%v(4kp2bM}tq?eXIJ(#EF5tkuY$FwZhX+Q)Y78fQ6oyxdyY7_*p-F;gPK zqKE?>k^5e#0c3{Y>B-}}srJmaZ0gQ}JRDy6tR0_3!g-e9J&h}Q@1riLEX@A@XD1y1 zU_9iBZPeuKKwslDn8|}YujvUt%!2tO#m*FuTERMtS}ZIv(x1lubPg@5QgZaSF$(dY(2wq($UndYeo7 zlB)+**Y)V|NxoFhdftP(C8+3mk=Uj4v+d*$wXSx(A=6|vVk)wZ$$?;mi_QzK*K{qZ zRPSx}qsKEUe-dFlrt-sXu_ z?{6MJW&POMYN-n?leGfct{(WTf-nioAZp5wrIW#Tj|8KM4YuQ9Qrq*Klvz=@c7rESExyBf5TSz4n94m1ek1*TC_{XBrbA?m*Q^7G1 zy8e#i@Yb0pt8%gay9u0XsyBXsKdc6lJ0q-~&HiU>ht}V{aUefs{8n`iuMB9swI*Rh zZ-I-mBhJ?-;Z?y4OW_ZT7lutSn@mgK#*)xzN~v}yhV^Iv%h6c+o`y6^&WO0O+cKkz z<~|A7Xjzt~JNGOS(RtY5@7Y_jF~u z1L0J6VBL}Q8{5HM*|TvUCtNWZmaa!4gC*m@zEkM_OVi16{S}7ofkB9yZwTMq-a8SHsvr)>WK3XC}Wg1!2aV4hfZiA1#@q{g>vhpm;H zy35|G*qZ-SEZ3p!{E)7n)j&N{h3|>jrE~MD48ySkZ?}5tB+~`thvE3Il#Y{b8;4&8 zB0UYN`F#l7`}7_rX!gW9JC>q6Dz{!GwOPKSba3kZpYGTOSHbo!TE_p~Q|xqi^Fq7i znBycUltkMwWejR}j#xDQf%_kP`JeIp?P<1`Yw&(+m4hNZIzN02k<`YR(yE;4uph0Y z*j9(4gjYBdf-9bRmoNv3k@a&~ijTncEH|_`?hBrkIO{?gpOCILFz7(X?{+PiJf7q^Y`YA`TB95Cuw5gV3BpD=`+BWucBlo zqV`_WOxN{L5BCfiIG^(nlSEuYo{Ex*-f90u|H5j(^vS`l1Doq|y=x1<7hd-6$?L$M zzQqLLLlAQ%vGyw=-kJS$ET0G)3`RJbsX8Ah&&mDqKr8_li^5ou;WeGD+zZ!SYI)sZ zmTMiRS)!$s)RP9#oNCgBNH=hBl z9)X5Xog@xk_qRsU(n4Arlg^A9sK%mApR~q|aH=9VOkoh6d+XrTO%82W2Qc+04_w=^ zI7Y(=VP1>=k(BWtOA9N2giq12=UYvs!i+(*dLdOZ!}}+Sp+BR>p9!1%j+2|deNv}0 z4CfB@J;KRqFh>hsfe@QcwvbYrni1Eadz-=jI|7T8$Ia+*6h0^Xx!q|DKxX17_-gh= zK}xuK3gRHMUFT%#y!fKZpUi#wZL&6JwQ(pre!_TS>#@xV)Z$kXjcTD?Y3xlhJ3?Z^ zA2Q}T-$_r_>3~(I|#zhYK|T z4acF{UIik1IJ|nB`IZ0xf-%G`ZdrecREc|_x`xm2_Nwt+;%>PS6i3Ja3csNll!Rli zB)4U?+Jk!KZ5%%~Z8?lUTS)Ou^4O-ZL3a8yO@*KyHbhSt)Ug|MTfc2a!EWB=iKV3u z!;=4&ZV2`#_GVUS5jl6lKHbn6_MqULaI7nxbWkWd2R?VE>sf{ig;Nh|(zr)Cof9L+ z`yUjX7$M=v-hd5xV#C=RFGx+5EXir`KM=VlK7xRY*;>J|p<8=aadq9wfkTT(Qj^x> z3*-urbh1I%@AeKU;wzdPJ4>DI0@(HCB?jy2f*1qvi>mv%0KkT$mf>2|e-YLr;Fdbq zS`2O6e;CEQ?VQmX7UCua8J1;GFsBURJ3Ef!Tj%kDPwPJljWz43sfJwT6y=J{47j}lSeJz&Tt(f&`dnyTLwPB+Gs zc1zShxaMk`iFZ)WVuNgqFDuON2sKrmS1wOb2W@qKZW-?f*#@)Wt_nm$>fj3^cin7v z0JE?xiL&Gf4jDG_d)ckIH68XV=%x>kkM|WGTwj90R9`b&QPod8l0y%{R4dtSG#Ss1 z!dLE>u_HDXFP5em1^e{C<7uIe6zhVC(7)8QApya*0uZMGb78jgAB~V2A;D_OYv&{a z2@w`{>{ks$N1oV&p5}jwe$t$rtY!j805^j1uF-sHfcgXLUN|{ze%Ui~u_M?h+$xc3 zvCB8Qc+$wlT)km$3&b`J1{+msqmwb)^o@bft8U9wOtSb!L`%IAhk--M@%4M`#J>se zzvJ5&{`r9!Q&A!P?Jm^q2mizRh;Nddd^8-BGAW!|M{tx3Baz@fqjLJxy>(E`w<7g_F`$9 z8>Y;a5PeLFL>zlE{-Hs(27qD7hJq%|H+D!GHY7nbA98K?7TYBJk4xvS3%yOC9}H8LLN{@T(c@yD-i#%?P=p;#|+ z#%g>oymH9UR$YO^023dfar-CFXlTi13D{w7TcL6CL2*O&@~es!NHi)wEwN+I!@mUc zkZrv_zfPg|R9owVn0_0+wk1JVWJF@OQg**@){~S}`!QDNy6@r7klavm$IRXbbcXnk zxwj#Sz9%nJ5)t?n&RN3_>f6maoIJHhvv#%S1CbQ-bb zvQOAbe*%?%g;6nTzy+2M$SZc-yUA&Q$^K8)z{b?Ea2@s|ubdLzxO9-U_@+jB_~vIg`0EX`zG@`9gc*w-^lFx6o-~YdnZ6(1LaADUY$~Fy z^vlK9uR2lh&w9WGjE~<9(131I_-uge;EAoM?zqAx&-}2j0&Pp9Y?;S%Wlp+kVGA zH3QMzhDT(c`<)Tl32vWTehwJge+f1$i6-fu4qFe4!luZydlgYPNDTdF)CD!fKo?CO zu=JqWd6ddk3oy{E^78RCN3aFG(?R0g(3auLq%vj4?ETzn6^!Fk#u z;mCd1@H<%IqZt!vLJlVz{PVwsBT|pX!rQaslr+)@M>x@nmFpklIl(trKMjr;gzJFp zQkt3xYO1(m)|>XgIHPH5Jhu21pp^ zoh%`9Nd&K@?#Ev=OYW@`|AKHtxjIvpw4w&4Z_2 zfxIbfCVcPE%s+|-{nGb`yYPI3%Dp9Ro8VE8Csyi+8vI;MhzHy|_eO6UDgNIWMMFc5 zZ~`}{L65=hAOXQ84%>d-kchp!MeDJyQt*k?4_x)lpIe%RXLakmuoIl%7pR|#PEf+! zYz&}*od~i+JP)q3xmlPU6eS`F;hNbplUBWxC97(KhMC`1sSz#VhU4CkKcBOD;PPu2 z)8$_Zxdz2ZsYPf0Z7K62&ULIs=5VLg%i}gF0q;~co%|cDAl~yE>)K+O;c-$GJ-Zng zOmcnPUHXGE9)qxh*JYqb?q+r?kZBe2!B{4x8W2mDP;LH49k0i>G@o40z$~zV9Vxf! zRj_J1VlP9;@sVKmz~@?E1^q7mdNpa=qj;C9{YY3O7JXwk-1=PpRSgMKX7u`2bMmcw4%NPU zaEag4n|e|Jv+g8xZ|i}R7tdjDmmMzA(>ka!&ee3z{-+#76 zDSYND4Qq7qWq)KL)>yR4{?{p0H1okqgI-G`n_oajH;nzb`Z(HARNU(UPP}Ea;WX0g zNi8~DkNioo5!L;VU(3AKd+c+8Xx#>1E!?dX)DimzA^7Wy+J@VmjuXZk*Ak%*-U6pN znh^QdWHReZY!I!Ks6LR_mndi&QQAzeX1sMmx5)1H8u}~k*4BpBlg}^QHYMRkO|~PlzD8&)6y5r)OS^R9oA*_BH<1dF zXcxQH#YB&9T8}K#zS*??HEK|X99n9fz<>KL`o#wI=uix{_=>{?smB1R$EMG0Pw5;P zJuSI~0q zq${#18GcJu)HmcMd72s5d?ne*R0|^Gx-xW+T+xH}K4_oigF9}ZO8dV2ZMuK#&J$_b zhvuHI1YfYZ`?V&cq_F%dmx-lJwxQXYrM`H7jr7Na?@=@ zZT4U9Zr-dB<;>31cewHTq>a-VE3Bme9zB<~v~BmaZQHgnZQHhOOxw0?+qP}v@A=;E-Pn!YjT>3{+>Fe+Co`k! zoKu+>0RRA?5(@BVboluQ{BRW`dj~TcYkYb}8af(!d;upjOGA7HMh1L37Fv1+S_V3L zMtnshduKBPBYZhM0}Fh5d_@U-RU>_TVM8;=A4|i1pr2^~04Bx$hXFvr|A)KE{x1i- z@PhRGEu8s1-n0D<)Nw=TOIQKbMMO($hr&s$M?!}-ioKI_``axBBW)Dg0Rln>9t99i zZebHe)#drRHUe!2Fq9Bt>*~x$TUDEu3s9Ep);I0@SyOvaTiZ+NQIa7c?ewicQMvo= z%lolh_p_|6t?m8sYO~$tx=&uf6+U_}-zy(@9M^9FIOm9+Y}XbWe76O!qniIiRku!c zpsz0o=aCuOS0Eva^>&lDphx?d%|^$ol_;QPE2@VQvp%2K)f#c^5fF8xb;2HuVV4Rmj~ ztk>{%Z}8oU>|u{nEr@Q=OV&DtY=w_(N~oD8uB*($+=GI_`lRf^>a&Ty8mZkmeSL50 z%NcR0*7`*P>E=%U=0;&!)wjtYn1l<{IVG=q9MVQno9C|NtAXkS%h=zdNtfj76U}fJ zF?Xx1P0nfPnp=sis>dY)GJ1Y}KtZ6tppa3?sN~dgYWVd0xb*<5OrC|fX`E7*6==9X zi~b4!Ut0eJs7;`*-HuXcK5I;OQ*MGkZIh8I_uNuzoQ;%bH+ECd zxg}0QX|RO4h-g|zbDFve%43QFHJ<;j-*sBFV{uCDFy2xQ0a{K2jlakg%6FVg7<&TI z?k06LJx!S@@L0aWFdu9lmjF+Z=mxkvW=o~++tBcJrjG6d3xXql2RP2!BeSgGeFGTo zkZjkoQ?EOEs!$fA{I6I4uJ_3U3j5=U<_Z`&x29*S31GR;Lla!NyLC(DfiO!GD%E-F zZ?>k@FSGvyWaXK<$4$v5C{5J}Dz55$pkeGdN*QZ%CD9<6^bkc>L7jiRsi(`d-An%X zLWfM^UhNOdGU0!H>AF1Lt}9;ww{85|*ol9m3maM!lciWka-7#aDtZh`{Qr#Szcj4; zMQwTby1t^p`JgMIW4^tBStnU;W?>#|2g3Ev*X^LcVKgvt<7qU~9+Y3%;d}CX#nuhF z=mTm4eLC2vTGlLpg1WGRLhkBr_Q)ye{%`6~>p(Fhu6lR?x|>6A{7;XHR8n3p@?YX} zKP(BhN!eWPm+I{vc?>-5>eQ4SaV=(VQqS8e+)G2)$uq-$rDmC>Rdq zC?C}Ygpa{NA+t536desX6&)j*<-Po77gDpi4oJZ;uKeV){a>t^Vl)}J(3L)fJdxsF zJ&5^dCtoh@v5t}b5fVL-j?+~?nk8FF-}HeywGPR!JtA<%CrLe@T)~#^t^_9nvO^8C zhJ>B=eB*?1J#l%V$YE%?!k&nt>EXPM<3Aoi&L+9e-I?YNNTV3!VnSjf!eylp$7#bA z(9TAf-XqMGgj=N&uI18B-3Ao5@(t7N9XX6}rd_ZQ&&idtXs}Dm4X@fi)1{(W~)8f4eb=)5ds}B$e@DcS=ee z2^9db9gv!b1sn_GqJfR}%fGGg_uc7tLGzlH6DxA+ns~=1yMh^zJcPx4<2n%00W3he_P`?F*km&&X%HPGoDBs5(R$IN-I_JFh@RT%3MM()t_f()M0X9PJ? zCGDOF6aNNA0nr$ILARDWBIOdn3+a{R2R$Qdy~7%%Qc$^_e?abBPW-sx94gKHC8LL- zEczhW0l5^{H6G4w?l(jIwV$o?G$x439c=XlX?|m;9e3AAw(fQf+)a~|>TX{kwL>sr zW0EMl1c}S*#G&BcY0MrTaW_-0oDKT4-h7#Gh~=P00!GVC$zPO^O>#4P(-%@dHt^bf zv;Dc`6NH5A`pJ2IJHR;P$5m}Ii2;>k3Hz1;W%}9SJsYoZdYPj~vEtQ;&Y~(hrhX{Q zYCDNffd-MFoAh#<=db%$6)o`7TH!Ngr@z;&NvV(7so)y6f?3z!DzLe@LN=V?XXi_^ z4Y)~{UMZ-Nv~9G}Lc8q$B9yTvci^WBxjKDHl9&!2K0V$CwwQVn-OgzCS@P1R_+42K zc4)Xe91!QN34b1_pUEnEz7gowGg>TB*#9)->bwBMS&fjLx!y|BYpmZR_S4P%i@W`ia-C-#8u#Eqk%!uPF8s__wKA4r$VwIHCvydjaT$) z`2BH)=e)aA$SW|xokT4u(ajcI#DBAIK)4^?e>;ZdY(N1Ithn>wfc>f*hBsW@D%o6S z!Sg+pFvZ)vuTp|G>q9UidauW;o8Xq-?45hyaBWDt@?2Zyy^+6#^_aikpS1;bNqff2 zcOgs5IrBlu1^$*#CHwB?UGg$-UrMznG2rbsMf(L2ldWs);Z5<5#s(OtZ#;AQJT>kwU?(l0~&Rg;YJLO9E zUGP2706hQCbn|rwcnZtg7ZQ#~!=_<8>WPuM*_`U@F%t<(&fn0i~5 zYY9~)Omnh?jSS`ejhdDQ24-~4&87c&Yx&&61H)R%AZdEm+!IwAT3GANYoB!yoDOl| zR@ORi{lkankhhp_hzFIxWMY#^k{d?T)ZVyxcC*Lxs+pIEMTmE%@-_~}(}a1ypB8Es z9NNc6nDrQMc4l+P@T}j3WM-3>$OMvBZM{#x4!z4P;n(xHzQY}G`+(<%!NfW%s3jqR zt5^IrqsA?d^3j?%k9>6yyG6d4Lw9r)qE*%6@Bxo@@lrCoMM(L?`Oel>Ue&N;Qq}q) zZzGzmyC`F8#51-~nd4s{y>fuys&MRqdKzAY@!aY?fw270>cAh><@ZekY4bpOmf@Pb znhDiS(YWZH+w48vk;9D$-I2G}mFIl@iKFIk{hAD4@4I94BmW)xLFNHfg7(qKkuZ>N zw~yiT3mxoh_(w)GkQj0W*Btb&#_Obcd{<-#R;$E=?+vTH_TtaHj8=SCskm=j>}<5l z<;nB)81G_O=5Rj!n-|$6dY#ZiEq^a8D-XY!>26BO`1G%dcbmS~%9-(3?@L<&H#@pQ&f^W?Y@ErGTN3*of4x8Ebz+yR+19MLbtGj*5>6`_IIlLqoL}pCkCY` zQ8NtUBPtKN=K4o_&`%PLq?O{S4Y8#R$8M2h@9Z?R-1_*f@`$u+*8#KNWXudIo4lCZ zvnV6^CJh@p*QI89!J=6wqjVNhQI}Ne)0yPMo{tVPlto%PsZvlrifkypbPiIn5vfRn zR7%sSsERZcEF<9iBJ-6^2=pww7?I*=&)|=_`FVU}{{A?a(;~9KV3tIQS}o0GhEp0= z`BjUSmHcjpBF*xfKkan|7Q<17g}_k8z4S<`!xSWj!xSfh!Bi`$;RO44U?lr-PAvT~ z7cv9KgmCxVQC`nJ_FJ~Ba5=n^+N4_+90rd0!m!B5$&TGyil;vb$IU4Um?t|9^UnBb zF`%vtE6j_%yID`lyf|=I1`p;9drOI18&@g2R}+tqi@aK?BYSkkd^kX<&(HOxMyUL{ zvdkhW9_O-ZOZ=JO-Li7$ye9}$7o3Lbg~L{_ci9gLstZ<2?PnS~9|O@xuIe>#tZ~N} z1!0OOC4s>XKEP?$u0dpwG5aYq@18wP>RR{oWWF^cCaG1h|lIsd=TrT4012$~$0)Y0ffMD{JW+ zu*+qAu0f0HN+)zC1C$k$c>*D$BszN2R1}V> zg?lJVCi1!c52)2OEU$H-U7Y-Zpl&mriQ zyH$oi<0fOim4cE_PD_BFtt%(GM3gP))4W$ADv?!c$qs;H^OJQbf=`Y5bU?8=zg1~4 z$Zb-m7Fn#hW34pHlVakQq5o7!9h5~c7y6fHxGXd5R5(tkU#8YC(VNH)XFNu;dvG3E zI!>%E)0_C{$ef-gWn@jsOD!`l+2x4%{{%h%rKxFZ?C=aEJK1K8WW1!s=Ztbz`Ropl z;RW|jtGWf7r~Knu*nY$oJqnfz3ri<``GUYiN79xD>+&ERPwI)%+Y4t{+|04ZhS|VO z#hhLsvC`^l)j#C=`v-OXLzW~zXzCyOL;8cZ{vj2ylDhg$0`(ZSW*+>79FE^=^`dl`z#x)v~PGXjj+{}R^IRLz5Q-Wd!-mM|gFk4Wq~E6;29=iNXIHN4fMP$d6GiMMacVSb(IQ1~E0!MeJP}A{1x}`1dt?X>=Gn@}z)bogrUfX%Y@AIeRp&2Z>S zCfBP7X~Z4fHCsaZx_m?nZW51Zm#&B+9?S)l!L)O4M;DFj%1AShamW$y_5v8tqid1@ zfI0f*hw6^lOD0AMRkKtZitHoeu7VF5<{N={HGqF$SPDcPLm9V)mMmeZKG-Z&8cn2>eqD3!72XqbF5&^`PgZmgb74i?|` zM+*R#^Cf_+t?~?$L{&tZY*9xiAV8PqcHj1O?Vim`nw=|WG+UQ;sFwCkP_E4@IwyG7 zqx~bR^w~${pcp5`epe|vX)f75ikH33NPRBk6Pm5c2+!MIxpL(%~j)%(_v#yZf$7ij8U}PZB(+c*GI2MpYUk!BVaAB zU2e}rqM+7Ww3H)Y#nx&;W&eW;52Gi@EiiGY~SRj zXuW`#-S|~5lvpU%cx6YRC+0Mc)M#y_Xl+;iMJ}Q5?&^PL4L|l9lR7d}Kvh|GLAh9J z1{wd-yz~UWT;^k=+O*^pz8{{C^Pr9TEq&6MmWCvx%xv7TyQ9qpjt{$P?{+zo=7*XE zPQ$j0L~{ik_rbF)jxx-J%jAa9n}-7*)C{CC z)rgIuZqt8lXQo-1S(BNUg>b>VU%+%ZV{8Ny0tru^hHoLGfthpe4c6eC=Jrfj5N47! zaaCUg9YY}B3U&g#2kSMH0rvGCQ1|F>h|mff5}cwj#dLFbbe%vMZ-&yUX~4W{Y3EyY zxr2m8V_QGw72Nel?fVoZ)!OM|2g{h~-9nWuZGlkvQ=GQBtUn_Tg?HY>a9?ZPNk~F_ z8{H&%Z)!Xh*>e7q;nmO^$gYXF2)}FF1O1(HtXA}wArTs|u9ANulOK@<#*c{QpD6I3 zNT-G2MvKZ@rZ0)Wb+RYOZ(8A|DDK-s#EtD^n{cdu_xna3aaedw7#;a;JK3~xxY>G} z4Yxw@L6;DhPy$NduZYq1TgyaFtugudk4Srvt%23m?J+6_a&o<_gL&C+T*|!#Es9OG zv%A)=Sy1sTo%!||)MRpN9cBpYTAZel4#XQdD(@!Q-BqH$>sYMWoIIUwWx^Kn={msd z=*Zx#*3C^#mn$vYe-I9Le;yuDpe~uiPD%P=m4^*_YV7Wh>WBZHYfhHl;>=?WC>be6 zP3wp+Y8+ePZLe%>rDt*ZbZl-N&CzX1XIoltx_`L>F^(}*3K(xkevFm<%0+P z3%s4u*Nsi6nEa#9O!zJKGQPV^d{g-5C7W8)wKB(8qWsf#q|S|DAebQJ0+|HWnK;B! z^|VQQ&#k>`4hKK(0*~x3zX<4U1NLx99hRyAav;od)tt}u9-I#)m41v_tp60;>LQ zu$zadJt5b(PGpJ#pykZHB%+L!C5pUoZW2>q6i=otR0(a>;n|kgq_#o?(S4cZR6*q^ zh9~qN5p`0V_>U-9>5SP8JL1Q43{5ps2oqFEBMWlpek%YpXZ?lDTX>18> zPuJ?xwxZds5=pUDEthO5U;VwYc*SKif@iy`9ugw#osgyS{1O8kMh}c;x1w4+{vyf7 z|M=||>58dH03VZ8W`LJC5w}BM{A}6dH+3eA!_GFN3j^JQjeSxFw!sJv?p_IJJl5+M zeLlWy|Df$-K0e7$L{e&gX1eSVU5|X7Wt+SgPL9ZR^iY*g1hY%CF;zBi`@D;-w}QQV zC|7kY?9Tdb8%-DKqRQ4*9?U+E65UnSOIKyw_ml@uGXC>nq^8LYJ!D~XrL!Mw)b3g#+xOSmr;CUIP702@4ERSUv`3F*5EK=gLe!-!lqz(eqUsUHCuXEqpez@7K z-glR2&%2!XV(Lh4=qNG#BMgGUcFYuld4q`qw^AKuu{OsnBBiEVCBamy0d zw^lz&Zf2F(hnlTBcu^oa1Y8|Ea$H7{xM3Sv3c!vTOr6#W`O>E-YMhI6_AY;@OuCW5 zY|&qJm#;}jWl;0lM-S1=QozzCSZ=4OM;u?S1T+*y0>W9O2e(vUn zgSDd;q z?5zt{e#oKWnI;Nw!9c99EZ?DB`fW>8eG>q@4%ZdP4n99Q0e5pfzZD5VP!{a>+g`Ia)^u_4i4|XAj5PP;W=m zalDex`9SEKMSfP(O_XI`N{0dSYL+)}MLslI0)=b${CYq!zdt+*PHfyxI1z+StN>wp zSWj2(@_jRA!ZUNHkdMt;zoZj?Xj%TIKK#1?!3C=J+V?zT3>=@_1El*ADaQr5`{=2= zh-6B~xKoyxB<-B-mJE(_dDg64@aCK(p*EhM*r}Q^)!dACEmX zUcX=c0oi&-_0!m|$2%**bs_l|#)+TUCZ(#tA0!1Bsolu0lty1BBf3S0*Zg1h z)IO|t)r)s71m7Ig---vi)rUU*A6SN8A`31Mj_PpQU$~D}f*%|Mw1OYz1J!&TNVkQd z)54yhd(@%Z;jX}YJ^~*`1Mq0)ve963tF#ox>7PZW7re;`z-8=hx4{?v7y#6ocp{@7*+oEqAu$iQkov>N5JUrk{7~sVysWK2V$(SnwV?>0yPG4~;n?fBp(X^ zl-<>n2?Y0CD?X-E)-ov*j9$cso^cqFPRTw{W-`4zqs5;NeyYX)fem_~~K8-Tv@qg3}E%y6*X)Lt##X2dE7bQM~!^UViU!=~g&|-)c|T znB(Tq?#T5fK%y{~&rxKdL@m1xf21?+6RSekDD74k6UGPX|d};2)BS$#uOjPsBX&w{j zg#YQ<*U9{~F|K504PSoa5mhz5a9A*#1UO`76M$%x zs(Ms%?rBftW0@a6${?VrKvv>!IO%g&h(I)4G&%0ZIIA7@{k4!_3_r9OeC(qwo$KB= zP=v?r!%pUm%DimZ>G}cNK{T>UJsVPvU!Q(QbJ2CdeVUm@pvu9CjKur^F4QS(q??Cm zT4Er^BKQS(5qVI&vW6HwIOK3nB5&H^?A^trjlV=3VEBNAAL>=OK#V1qjNZrAN(_Xr zM&N%6X%llm8HQ|jcDWPerz{f!p%UwMj{7U2A07zzBu5dp9Z;u?zrjWqda$N^!)!Ue z&iS^8lY|{`oiq*WqqxkxftYDUJdyNe>hJ_Rpy_R3tA!?3U7>Hm;j8&QVfLB{y&?B} z_$ILSZ3H(&KAZ;>L%020fo^$;yy^9Y@@SaTToAKCF{(UiQ=IB_Qf7co&Y-g(6T5+b zR_g>fz(dG5S$CKL@^G=-lM(hbe>!>=bR3mk@1SVnl&~kp-Z7!dv5@|&5{~S&q-_V> z={$l1|8#w>IU}uB>QR`=tS%s?H>D`oMUJidZDmh3^am$`B3Rz0mMMYy|9by_E8hMK z2Q-we)g*I0{}!dXb*?-b%0lCij(kx`!+(o`|Bq_#KZBzIAX5Hc*NcTXxMQxoq?c+T z5kkmlx&PHK0G;Cd(6O?9h&|@ESH~8jIk}d|>4ty!{>$fsaPpnb zhX?+ti+Dl{_9>d^`)!9V^O0 z?+LU(ka+(J@)+w%C`Z%_l<=e0ER=HJDr~<2EFjq8}^&HE^!)! z1Xyj1h`lCo*>BXZ@B=01K>^t~F`l;7T3Fex0Zd^LXWSIhfy$^8dbIG(Lh~yEXW-V! z_vq!I=`;-I(%FJC{nAc-ApGIk64Ger9Uq#h<0FZFgE`YqPfvQyCW${&%kmC<;s#45 z&9dU>J;(K}JN~E)&zrt#9iT4A{XrSCqsSMB< zVdT{@Ne|9eAI+~igbQ%c_nmj*St&Ni;+I(g!;R=xgUmrp2X{R2DrS;uI>Yzok_b)V zV2n&H${E^WG=&qlXSPbnzn|RPpOfZ3jm^q`OP=oex5aj36N9>ASv##f9Nga_ zv5H7z5NXlvtHt0pM;=($F2h91m#iD#Ni{z&M^$9YH))<97tR$QOO3UM87J*V(TZ0B zOP3CgN|R}`jl}OL4@-@0eCloV^~<(&v(7H9nBFv_j0=l@DYCweAIt+EQX-Y=0wTlU zlhyiXWf|wQ%xaQ9E2l3Sx2jY>H>^J%9T;{lE)P#fiU3pY1S}@}ZIZGM4ys$UX?7=B zQ@k|pR3Tr+uT)7pxz4`0ytZmCtJIL)AufZ}_VPS-ltk**NG;{LP_THEep*gH3D#)b z&^|n<&LzuPLo1vaak&2(?~B*DZ2B=EMIsPfcCr1MK|BYTt82vl66)yN~5!RGtiuyyRysNrs40WdmkoR@dtw6n zqf(-?yL+i>fx`A?OGMYksjOM+vb>R$aLgQDKyH8^< zdCo>^syXW#(&ewCw9zG{JPXCQfmhA+^`^%4RqZ9kOp52ma_+`b4cYuTYbB30#m*#^ zdJVH=O{C9DrpT%3!vmOX>Y`}!slvR+%C3wCe|lum2t(x(lBSI zI-ffEtL#+sr13@f&Tjeh>LpD-O-jvKH@4Ta<1H!hGG@~CgvKEu8yl!A#q5*wVYgB= zq9VNFV)wfPeU;c%fBV)|-D+v(zl|aWb=?}JGK(bRjUv;?cZ=>Fc&1sQA-_&7b>qG0 zq#si>ld?oS%#4eD0sS!V-~Tx1L3 z@OG>opBG8YkzPF2eSDbJXv~;hAM&sBP&+JD`ufaCS|dy1o2!$(L>sOzwVnzaav%BJ zSNlxgn}xCBoo?4%NYi7Nx`^DWZXja$AKIs%f#bQv(ae_i-i2HuZQ`iz$M@eRnz$-B zX*kO*n;zS4>fz?z2*$p>^hCcBdLxqYQ0gsI*43W6F+Q)KK`qGKK1c&j_L;oM>*wnl zx{9T?i&MkTP*IEt>)L5|1xyzw)Wy&2iSzYp80Ee4Ld6sr+2T6nan54TqYEqZ_O0Wvs|2eHr>+y z?YenSVNT@wal)aLah$bo?Bfew^FFH#zE<8ui?nGzWu5r%un$A%>q7SX7m=M*(PTto znlcOL_AZHqmTbF}oz-ESI+zu=WYj*x{E=~9{aO99qP>?H!>R?DqFY$WS{FBisJ03$f!CH;^GU-htXQ}|`ZiF|6M>| z8!)m#;Z0Z{X&`9$t=vSMBZ#bPz_*PG&u+Cx!f}es_=l5_=8b#)7G|FO;q9+%CV5$~nIT7Za7$Aqpfno-f@uXbb}`4=I!5aj(_ zbhtrRvLWDXVDck>eHya=3_v<2C=UGeXvqIN)OI6px==2SW@cgOiK27_#O>i$c_&I( zxT5Tl!|T^>pI$$HvFb)jk_U*ekAHA47{Tp?#ivSjM_!W@CcX0T`9iOCS=y^FIY4+6 zU$B&2iGK>Gr@yzkhE4yv#NkHk;`Z_xtu{g3_DnQ-{3(DLjZ%%FcF9guZ4X9x)Upqx zjI!?T-%A!hPU3CnL37uS#lN#iPFLLSWbelt87CPnE}N;VctMp`%s)AeHOH=X@t(|? z@m`p9QE8e)omSLwZ-RMv?U_(29NitbjnX)LAJ#u#(jrzH!5aQWv6|+^{b)VTiL}_J zO5@eK;W=r(h75CmGa9v^>yCU+FteB_Hc2#ErE0zK8MqG{HB$G^96V0(qO|)wou(cB z-PFtT{GpmBr91sC{ZLrJVwkj=eK~GZU*RJ8laO_~_!Z~vtmWTp6VLV3VV>Trl#DO& z>sR-e?MB#f4QV#bjr8j^8Ba3zfAc(_o^s({y^(#uvz}na_q~VgqltW|77ZMv8a9Tq zwY+nleN49v-zSXsHWg7+F3sDQ^qqMhq`#xH<-RIWzgnpC@Af@zsj_XpAs?Gh zT@3W!1>R%SE({WU{v?MywX@82>3wH3>8`O_`q+P?9h!eS{aGV@_&#_FIaDQy&bIyH zBDMPnJRH4ye!iRW9=&v-jro?5diZeW&->gwoL1>J{92u&_0at0R{eer+o!Dfu5&xp zU4MZ(m%ZAq$=Fi6dyxgBJ37R(Wa&gD@= z#Me*H+K+%R;@Tn}P*fQ+Y=`wS!DPm6Vw zMGYtWlLo~-kS;7CTXkrru{jFS4!xM1dIrevrTiP8OPD-JCEQ%u0(hVUUP;qmL&j+- zfG@Z7AXPI&zA`gH!+(f8*SSxpj782ej>(*F2TctzQO`AlrZv0DESk!qDV!(0o6wikRZO2R~;$-O#}io zAb%qZKK3cFzx z0-oGwny?y{{gzlvZGbPS0-j=WDp^gx0oK3*o}P0$2XZ=58tP%zH2Ky|XSSf%NCKQu zOy|KarE}cCE>&jSVb;+2%dr}0{gw=7x}Y^Cb3VaMl>?r#bCjXiRQWu*_}gI`kYLt| z`8~4u+i@C>F&jAf-Z=xFFlMq0W_X}9y7}L+O})S_?{k=;*U_d=8!VvLFlTtI zXJo*v268q5*2uvw4RWZNP4NJ&TKU^?8P@6J>U83Z4F63y#uC;<)GW>fxN%42?;`e|t zrTu}v&VKwJ%%*6V4XS=m_5n{4GtQU|5&WE+IiFajv~;G|fR|DMPc$<+hBIF%4QN;m zK44aTIi0`_r05MEe4HCOo1kl=Fl(hbUK2T)Kx1THdAh_Fe>0VOS! zE4tc{@nsk--0H>I#)t>X8wtNg!$TpZNw^dk*HB)9dJz|3)(9zDfqBItbYz#vx{lC< zYnc2~q55nl&5s36v_{w_EU9^PF|2r+AoD=9yoDh-Cx*N>gs2!GU1Mq2V2;xRdD8>Y z#{~#>#_-K!bdOX&Yl|W~7uY`Lyc%?^=}_8Ngf4zdFaHf66anUW#Jo(=O)J~BLHsgD zoT7(Zm&(m%MsU`Ri7H8jeAXL?D&mHvr$)87%p$O)dkkflvOJ4$GOEzk4^5p}vBVJE z!DKxv-e#3XH>gF@7cii5UaYM|RgT=&D#+M5cr`@2^$ly8C)UzOtfDs>VA3W7@!Vlp z`$+BI89$K+>B2x_-gQU1ML@b$M)HHnDuKR06tZ}26YuGx8MDt0QzK*XDlc7WDCN*$ z3vXg!fiSoq7T_NUUJ03Oa-4xdO9xA*51Ja(-_ubvoq#e>i9wiRV2)};#Vd$6*obFF_OJzoBkQxc~%5*2B997WNQ&T+xnp zD}A!Ar@m*<(a*$bKd&EKFraNc^i#g`UEW!$NC+HDiTiWNG$B0P_DcivX7-kQa(B2@cYkOs-Wr_&9Wl2hI92CL7!aF+qEy4t7a~-F@(Jyw_-m+MSCr7poC8A;X_s!DP6np3rd|Bav6MtCsJ z5v!duRi}uRw1F1op3(Hr`hx*0^K)*-8{G2_4ztb97@z`d{IGCq*;GwZSb&+)VC~#f zHA{Iq`$RR0+!ytUYhU8kgH^-74U>Bnf1csm>8N+qnQAqa27+)-u)*{2Y9@cW&vS14 z`oMqTk$L`K;E&BKpKV+dA5w$lTw@=QGHXkbddb_Rawn|!3IA^|58G-AMJ1j8{LSG1 zrvrYX7&OcE+v_<6_J~nd5oy}mn$yBvUfhR^pL^eS5m-sT*wcTnyB0wSI|v4r2`_cp zPi=&TswS5DMwo2)G}|P^&*>2Dj-TP^mG82rP2x2u)Jb5FSV>x7lK3Gt(4Ftb0>6#vS26y|K`RG|#P(c@H{JR~2Ks<>`K&`}G5OfyOPyGdK^TDl^7$#yPc`_iUKbl%lveR)x*6W@Tcbr$IsWv>5xl(@73^Jy+Sx< ztyaT=4!~}oV+%6!q}aY-V8z+=UeaaRa&lDIH2G)(;T&jqrs25Qn%sE!CMCqaA_;*N z6>$hGN=QA6-vpLb#DSS)ka}o8$HqkQe@rE_1H#N3=&m9br8TZ=1J#k$aBM#wq15` z+p0e$FS!&QO^d};Dv4A&PPkwT_KTCWAm|fmPQc`sSuQVVgErOz|n;T&yS$jd)Hd z-i~%wX2ko)QT($Q3K$;pa%VTMG5Qu*e10M&+2I8$hOmh>IvdSRhLCTpZ=c>x-<#@>H@xq* zg)p+>fC^0_)@Ke=lO%j1MmQHA*do>^3R_7Lx>ydqP-rr>IHy_^qrnvcSBkF0!chx$ zNFG8#Waf#c&S)lNgud&ACOQB^3g@$6{NqiJZDfJUNBsQA|5kI z8ISu{jhLIIenwR!1T6%15W}GXMJ=E!qJm|XOoDCthbDh$+*Tzz<9(PZj_EA5RttwQ zS>%73)clanwViz&_FE_Kn}vshWq%FP4$4?>5eEbQZSwyqBC0%)SSS~%9GVlTKt+!dnnTD;!5&FOMqeA7PA=*7NsLwK4akJF zXj@jht7IT3Wy^B;F4V0dpVovnkN+k=v1pcuOg4Y_>!6^`M?0X5KV|({&aeraasragtlih1`l;F%-#i>p; zCZQsDe#Vqdy>WT8tW##~nPatUS^Qmxbo$ikY&cyJ%Om4L*{Q7dj42rN=Z86R-7_1m zM;COYk2wgKV5RII*UD-eDS$Y;OvjU)riMFfMSBP6q4tk8^&r zS8)r9yAHiKfw6jrC*gxDNIEQao_4G(FM(l*U)*q78!C93%r%~-e;mmG3B^b`_OjqI z20y_7c4bj2vC*-x)EPIpS-3bD;4^!fsAYiOG`njLa(C$F$gJ&7DoI6L(0}SVpA0x)g zScA*heSluwq2$9+XM%{!SY}`B0QVYO<`nr&3sDv7Qyoq&Tk~g$3tF6RccZ;j5Ie@e z9a_QFOO*jw$~`AOwcvfM3W2H`!m0+0GvBfzMGWU z(dX5m4@mec=AAKXS4X$E-{0AO`$D?pF0`6=K*Wgsy1sp_q@RJGssJ}Bx%Z!BO>B$6 zDg^DmJEiA_fkMLk8!*tCGSGsU(Q7R`ZP<1ZzJiN|BIZ%x>=GR4Y#)Qx5bKEVxv;4r?0;}~eccLxkN$;>^AGO6FFOc28Xm_2f=epV zRc&<3FknbGm8?70*lE*g*1)yDMj+QLEc*tmTRhI4As-t;;S~ihC;$uTC$>8X{4fy0 zHb17?R;T;x%GhpiT!LtDNZYUos) zo+n@|;lA<8(D94#4a*SEIoQ@g*w>$&{OmGV_^bK*^Ueg#>jXd1%dQpVff8$K%C3ic z1;XzD_|^1ED3fEnS;NOl45qOTN8>rSf751PI)ZlrN9;$!Qq2u);Gk6q8=I# zpzr(dfc$<}b>qdJx!Z>JS==6mj>)RtMRNGdtS3?h{H(Gfr8i>yRaiGk27&UU)t2+g zxF*Y;2a#4ST&O}KC;ilWTim7%jSt5cUr8JVx6E4C1~?Z9L2@xSy({bysk3WM0e($I z{M^{N{y#un$$3}x`X`lh;Y6bm_*b_%71-!pjaXP2EvMt}-7BvcxKS+H1|GB&zBrPS zBB->pBXI{Z5K~v-7?gWD=S07dN*HsK69kk=;-nZ#m-ZFO;b{}=aK*Ebe;OWFJDlEM zkk4TPNGyO$#215h=9uOZ8@=tZZ7{8Gby=3j0uI>4)D5)(AZ%oAr#|-zDP{WR2@Lwn zRd&7qm>+k-+B$EE0~_>*BK9-q;07C5{z-Es{IhyixB|&#K<5SKgEs?-lPm7ijdBY} zxfh@^5aL_2a-qU`0Q)bWhO94|&IB%N1iUqZSAcR~>`p-+ zqL8C4a1PlxcP5+%w9X^IzJ{7Us%`L2PSeX%R9$_|&GF~*0&TuIu@Y^&sDS>hG~TmC zhS-L{*i@9_be-|HfB4uc>aT>kn6#nUgk24P4I~_fzLep~8cl0=xwRE$!t>9O^yT~Q ziJQV?wWzo0-?Cv-zG0_@2w-FZQke`eO9m%LtG>Nkl<^Iu&mbu_%-;b21aKe&1VUPQ z_>{XqL@_`?iup6#4kFfYTP`m+0YM`GRZ_Xm-HKQ}yx5#PNk&{G(08tsPfg3KRC%Nh znLxuMxtNbhS!IQQ@-lY*B9@-7?%hN=9DcIFLIGbRU`gYgeKVY`Kiri+oG|@%S$t$} zv2?tX5pcI;0LeqJ9Q>AQ{N{wAl00tk1WIwhjJb;pG%pOhSy0C^gb8pr4;w1O?=aw0 zc1wzFj|9g~F}fw0sOmD56N*hI-+*<>v;u9-*(;f@GKB?zR0bx$ADF;}=@AipVih*k z><=PXX3--%B0|>{3DfMuCIv#{PEvMB)e2QDVH4?c9^@JU!mgyYMy^=bLO2^5MAoVz z3b$-{35ZQ@T2cnB@Pp8=4!UOs^1c<}#S15X20~xvY**-AuR@ z8#%LP4+`I8G?4_G%KCo-3J&%0CfB63_$nZhPKlZ_Z?+0~i(SZDQy`jYud&wiz7!#v zvL8x;Xife|3Ph9g(G-ZL?Z@np8zjVB6l=QN4oHH-X{vlar5;Vl7gHdblrN<~v<7`O z1)^2-H9)xLT{^sGcxmcr{{~QO(+SVkq(@f8-$|)T!+#eL+X(o+#KcyxUMT3!G)xF7 z{XnW?_LFA6A4`aN>7mth7a-YPd3TBsO_h59u{HabDPlBTe&rzM*T7`!`};I0T1|gW zmZCL@KdEM!VIG9DM}jJvkcR+~Bt(O(v_np_L$0?&J_bm(wl~;?+-Qf~WQW`g2)F6i zjts3@GM;)F_X)eCPXc0dyjvVFpK`$5YFFnr2Qi-pCc7VQw<7MaB0d9z#q&OEhkVWs z`Me$S1v})6cF30i$+p`QQJjr9+=_UT6|oHni)Ami3VEen$fb73Rd&d~+94kRBxOrT zo2NeLg!+&Z>RKn%bxx@3olqZkLVYA1<%OpBXgW$e^&Sf!vAD@jltWg=(oDKGuT=i96`UTsBOClTqJ-q%Y+`V$>D0f8wY{qpS& zoEW(6uzVP=Lk_3EUG+oYvb*6&R>Y63h@SufjkE5O|I`k-%UaLfR>VD4#LujVpIZ^X zup)kGMf}Q&__Y;ruNCndE8@3S#P5Kx^zQGiLjGVy{LzZI&#uUytU~@`Mf}x@xZjF+ z00@iCPQ*4^_Ru^PLyCjEQahpCJ+?A>mtt3V=bam+Pr^~IKBwAvPQB6HJ+ON5>akVb z<=(&Tu9hqPC`$}{-#E1bjbjJW+?@6A3XiupY;0}QiX)q|KScqjuIS2FJ9*rNLbkgv z_3@K0r(B+R;F4iW3Ph{t!W4+7WRyzRra&|)zX1e&nfXM)b=K9}$te|us+67w2yKiT z=A*|CFCWBjs}E_#k>qHKI4?v!KLrvZN}e6^QMAl(O;3vM1+U>&iw;mE&R7in=4hXwA47CE1>ZL?;@c)1EP)r(;Yv#nB&J-C{HiN1RU*(PS7 zQclGHZVmTPf8OnK3a{95Wo{f84!$=5dIb#{E){x8hYA_xOV}A5>Cw-sx58N60kXZ-zhXNjmQC&+xf5 zm41wtK1@p=s-+(;)Axh-88Qui&rH7tW!3w4&#-JR54K$$O0LSAu`16Kn=+W!`Bk}2 zOG@iFl~!>Qo-|u|8ebZn^7JxWUdOtmXQS^O@DIE&5VTpzn(r9nV2{^1-)(Z0|80y% zg~d;K&bb|K(&P_A{wI-Vtm?GI{|@{az^gb7e~ng;!eAXB>KVyVpW=0m-y-Y9gGG@x z;YoavKV7T)Mqp1t{$|AZeb5M=^?eNVrJ#RC$~jnNI z56@erC(9V?5|PI`M8w@P##%?@RXNryA}{BHQUhz0Pe~fjXBc;-0nl#MXsid%w{V?O z;@YT__zWq}Ld^PKjieA@Cj)X%sH8sk^U{D)*7*Il9dChM0cqVf%0`{Ws^3nN^0D78Bf8Pnw|rB|{Iu=PVooNhkPqsk%Fg zsn9t%J{xUEN3x_13f{eBOSvyK=l18=SA8q@xDxA-`&@}-ia#mlK3)>tr0IHa%g!uM z%RfzGId=E-!MDR%XPR!LU$z(Z+tcg(JG|33f#0RR7Z0i;)ZY!pQppOt4RukwD@ z1GQ2pQcx*3v_IkBeoiAFp|ZBC5Rd|2yF30G2L znXZbuIwai_z3Ldf`Ww=7t(>C@Kdoo`Ky+@5=;Gdps+M6}rZBzU*_{`oTz5mt+;w%$ zH2OsI-WbiA>(b4>UmpZ`~D2%N=>udq$*w~Q6 z2lQ-8l`GywUfZOxgBQWl1dT9@Sjf0L@bPjMlTbL~MZfWLUNq-STv(0&>y>b{KxYv7_oV9ztg5P)4_vaW-WDzuUZ9b4q|6vZ{7CwpTQJqrc0i}WmW-wFhk|N+A;6fK`={6 z0v=!?kh_r>UAS)aRa}vZP6^B-e$LcArHqU`_21Wz<^dty&CR1{h5?24Q-%}HT9vOq z4mjy%rsWt#z>zEi04IIv$j2~GgpRr~gpm|Z5p)F6{HJ5Uel$i8Ax#`Cg3thOII_D1 zrh+S2rs@a}!?`QF!$3daf~Q*!AXr9R%y)5vGRt(re*W5&t!PPU8;X0(5ro5G2zv&` z4=&U-BfI6$QL@lBQMyNS`bjH=>I~XwmVh4B`NP*f|%7a%v*>d~` z_?`qE<_`&{4yb<7GV#$_&z| zq-6PHTkRxtMaeg04o(?6NZhakX#q&zP3T3+Q*)6A8S$PD1usAGo^TXFVbXf}OOz$bmEqy>Wa&!5S6J>GCArsjvFxIf2=HR)Z&?!>{FC!&QwcyyczX!ku zD~s>C5h2{1L{E&t2cErzUotxvJj(aLUxbI7ROd7zeQ2k87FVRc13^Qqk|BAU%N}b- zjPxgRK38x@&G<`1A$gS&MSVr(8ML4jg&vEkBod%Dl)Ve{s`Aclh>$kqj>Gfxup|&J z>y$%}wygUPmt<*1a!M0XA6~kC18xn0j!JX5sRelvSC^35cU0D(tFRRGr5<>J>bqG; zpAC2*N|IGJe7QmkUbg}sD%=wdxEL5i^OM{ajfukFkSuAta%=l4q>gb-)RRqMIA7)P zuDjJ6j2QnI{oVNQVq8o}H!p=5lfsmD6iac-_e`N|S37gpV65D-dK*yJtaKsylQ~o! z5W%x$GM(D_WJ?M9Q_rVd#Ug#3`hD*TJTJ@3_3lt?iq7`k)zc9g%c-hmdR#ZdP{!@0 zz1yLvFx|WzoW9xNmhYKLg1`9b_BSyGQAdWW`JPzr`B9MwiYrP^e*s()|Mc8_Q3}8# dMQG)AN)+Ro|00cI^dd@+Cq@k6{{a91|Nl(?&5Zy6 literal 17288 zcmY(p19WAUUfj`xhBBs7`D9<$eQrj_wSxk#ZhFa%MJ+ z%XryvE-(H)!g>^p!$^euaB?pMVYslgdF}Fv>O>}6q<*G?=R;FT z(w;~8!n)v^c~ZzR;aZV!+G%@3V;G1^BUrE*eyY)$gCYJ*>Z;#i9O3YrM z_=Lq%yT&c;Q@C=yLL5hY!BPXByCH@eyJA&Y>bjV7^avq*8hn3^jw^~Vt><4>3FsgR z2~6KM=n1(n`V)YBp%i9SngSjG{|^e@%8;UOcNXFO!6Kl%SddS*Yj5wEf$coY_#Sf+d#Lh< zqim?z7?yGpLh#B1)Th6U#yh-^4R2H1(K~Dx+NXLJJQ zyc6b)4DzY_{Yu26hLc(&29toJI&~iw^NkHibBoOo zZ+Md|7qaLl>0`7>>V?gH$H7yfSP@LIwLB0i3ht9S7tKA6bJQnmP9H|7|w?zXT_qESQ<|Uk6zBKW61K)x2|St*rGb_Ts9G<$>r^{elFy(hsDH z*Xr#`_za+S+G1nfcg~DR`*=m~j0kQIyi{KE(!C>AbzW%zx?biLR4}SMz;}!mlix=Jhq7^xcBY zTvquOv=bl3I?<^L^|cv9vkBS?h<|e5_9GI{_H86HY!)}bvHvyf|M962qObWwd~#$JM0F352z^ zlO1g^Zx7*hnk(|%qvX9{)*5eTllQQq;y*fEyhSvU5eCUJs z!ym+x*h(L-4viv<5C6}i{%hedZBUH*4cLcZPwpZaq$#EL*45}+z4KiZBd@TGn}Tgv zlEb%yuGClv*>De9H*3LR1NHj@0!?&gT@2@*kx}5{kgR=02gT@SMXG%3+Xity&F>8 zpxvrJ3H3#;KM5#5iM!XeIv#uUS-B+x*6hcXAn|&PIw3if1yAT&=99h80LbUEvgO^4 zBQz<(h|JYA=sV0sHdYL+y^Gg9dUMdh8qdSh_+@;VXJ z7zgW++=ckO9k(YFHoi#P-1>-d;ZV&mE?bg#uh2$G7kTKFy)x|yYI5_9L5@AQSZr!C zAGetSDFR?q`G_*xxWXg_s#|=Bi(HDD-9-{G%3uZjSVPAVigXq1g<=ig?+v(vbq{_F zp8~tEh4vTVy`(ojj@A_u1wB6fe%v`-gJmX!`1|=3YXO?icgG6?p&AsMg!Yf)Y7IAv zZ@tQ2N(XM_-<1pR)RRp{U9AmTU*wAENRNsso{-e#fP{sjgNpVD-H!?w0Pm zMATMcV{}`b>-iK!SYZ+q0o~)(v0+okj^W+bK=i1*WTk81PmTSmhnCwP42L@$Cbr)p@D{+P9et z`N!`K9u3LBftvRa@l*BFFm)fG7s-K?^UV*lvlKuM{}fsEXyWxHR4~m&lbzogjk%wq z9ACk-iFxgNWQow3P=NykzVoQ>Q1Q<#xDCahHk1DfrUkQMx}sBIU#F|H!p{-%-hII? z{?eQKsOV4n4joqBHs#tL*0S&%{AYfRq~^wu4^19lG@!vxZU1w8zo`ryf}%wu=OqQ& zAuY(@_a5}N8R_asnyHUl$-r!I-2Dy5Pvf(MDoBxgKPmW+GJ@*&cH)5=;^2>Q_Z}m6 z1JX9|eI|6%FYYzw4@V8umnS$EhC1W+f0n)VG(ropj0FNC#NewU!y+yJrzW{RmXpml z5j?c@Z~L{Q_dl~|g`N9|_~@1Yd`-Re>%;u>c>kzM!nYhC3F>(1J2#1L--!PoDYf!q zm9jb=>oqqYF=GgA@`eod61iTd?isogvYp0uN9obC#nv2|!@K{(RI8V1zgQTs{CO85 z)Hle(PUSS*++!pj>Rnm`G*G;K{*Uxw*pJUfuT&#GJforvM_7oy2Eu$gB8|hf>sGO> z(>XlN7PJ8$#Sw64R8fTv3+zMpsJ&D*vF$bI$vZCJ_CvOZxr?m|#~zz>>O1|)oyzr4 zvS6%@5?4n>%8FrWuV-6TikE&jLMJ%2oimvv*1;8e!9bvI(Cjj(kUjsKpiF$gU+=VL z6um;GNvSBAu;==Q%!!tO#Jv@P;Nx&y?p&@n^Z|aOywl6BM9@_8^f>EFnz9u%Lm!JVKZvBXp2_D*en}hJPTmrcaVb_HNXr8ejbe8pK#cRmks-zV z_E^{!&(*E|SKFC{+n0d#%n6_gzE0?ECxW7&ekTL9_Roi+eU`9&ov?kLuzi`ZeVUM; z7~!`)b@n!M>T*VfG-`T<1S)=YpDH^c_n33pnqC3XMJEvj76IjQx=drVVsUtM^b(bd zcP_Sdp9Rn`*)1y4?GmY>Ddz0l2w2w^d_D3(G)Tn<{GL5I)&4>2veFgk@;){q_N3c- zald`+vE*NT`lZ1tdjYrBs{arczX)1i1j8?a-Tn4;$LM?P4Qp=;Cz>bKYtGH~F%nHd zF;~Ig|Arxa^u}91Egsvbp_9fxwbxbwwKhg~P9zb9*R#eeOqR80Hy|zKG0^f;VU%}y ziJtgOH=qYy4xt#jn5{M;V{#BZV{#asz~1c9Vf_!chf>!S=clkiYhK^-UD(IOaYv<) zkr74`zK~kq@{=}6L}d1_-sPuE;qUleK5!=u9{B=TLGr~1Ruw0a0>QFQeW_QP8VYPZt)xUCLS7+ z+~N2v-f_FO-&{*QWby59qJunNIOND+jrNa&iiP#gO0+XA0BCJPt|SnycGurT%(8|b z*W6cy9Ri2>{y6+J`D`4&DIwia_Quz_f(jc7y_TJD;Tq6Bs=rM_2>c9l6 zX-Q~GXvN=je|%tgdMWa@Ua1_sXb2m9@)+!S(QoFn^U+5=68K2=Rv8%n932&p6t;7> zbg%BuD#DbV&ap>kfgNG;PT9d!flV?NiqtV3P3fw**NfxK<_01}qR1D__%Gj^_(=X_wy&FLL254t-KPHeQ)rsKJ{g5?C#qy9PdBhmg?9pmfnF zT(}0_v4LGuMBiQi{Jwl`#9T<<6SWQYz_ZH&RW+Z4+#Po6w|hQ0f3|*?z@_4lsaVOB zA(96oc}Vd9Q%LXt3tVtT=N|e&sc%BUd$Dk_-PyKj)iBUNi5#qV0r+E^0~5$&>o~^a z5!qh-SEmH8r5jbHUk3{ZN2?bFIUa~D`_J;=JoES*M{&Z&zTx~-{-;9$P`^c{?sz~r>Q_^AyAmO1^@U& zUxrmc^EPS;bzTngK%c#EF2HF#tt{1N)9nXYJ7XA7B-+hx3UG1gXIoFPGo_xm0E!%0 z4N@!?fB$Am4WmXh$P2EkIh|t(q!A99X5JgN7Lje75)fnU@JRgb+M>%mEd}6mS#QVe zmC`FDS6GRBC4@&G^1Us8{!8S@${le(`M7;OloEUOw7Vqb_Ld4>niA3+OxS;%Bk-)= z66rI|BgHp92Tj|2)cfpdtchyma{VSyD?7v7>hh7LQlp^U)c48U3j82i4=9?8pH94e zRGZEBoZ6a8C(;-2QRG0b%#JWU+$Cc`B7#{;C;w;3!1&Kn{tIlsAo2?;zhL4E&L_Q6 zn>UfhaNs$14}N+?_6bE%3?lZf3UBb4bKBh<)*64S=FT8~{+E5ji^9i4LkrpjTe>cdvMIc~&btg)*krUyQ2!%l%Z1F#5 zw;;jnQmS6@P>uuXknDozXkq(J!9vTRKQ#Se3S{Lk5v&LYW!LK*oC6i5ERFu|P*$yI zWXaX)GQFE}-gV*qUiIh3?x=GMjO<_GP7~F^;r+iH{IvCZWU39B_u!-@&KO>yN&(E= zJrxEf+2-$ka$3z2ywh%YX;-@Ja#h?$#C^S5DS>NkQ3GGH{0_J)}@SWS8WY~w!oSDs| zV<3{w5A#ZNb>BS4fezrNLi+I&Et3g^#Y-d9<(1`xRU6}V2opYh5?i}U#egcA_Ib11 zKQ6kAlgLz}RJJXrSIb4ZE1Ykx#%GR*CkGb_(8cK=d_-p1IWoP~ZWhLKeS@EN5m{3b z`m1!V1X-3fBfQH`LVs-?t~uB{qXTW-RyCmybtsS<8-JhkG(YNVe^!luyb~P|5YUE> z&GFj@{0QO(Ic*W-n-lyr+icMk6{qZ;v2VooM9>yIw2(CCfjQjn*mk3#s2d-x@A16f zTtt3yo@CKk;*mT_{j2&WgX55d>={4#Pal_-+ZS=`^W5>yIX&tFo5sA=%t7o4D7RIxLiAPkyY zHr>5w>D#<>Mi#Cpw9MJqb;gs1>AberkXS5Rl)Qco7T0d%}?H9ABgSKgy2M%r-ph z106!f_ZVBdY+JT+)EoT=3b1V;oR7Q>@z8_5#jUo@*j~gDAWIiKm7@0;oNV zY{Q?r0A3BZNqKihzg|i?heNI};z54YX6AwZr6mvWV_7o~g5xpM7n=g6%|Q3 zT7DQ&_>g6JyRXCn^ZGnwiTne02d$s@ClGrlnp6=nzkRi*BG20|%^1@0RRYiKKh04=C zB8CdWAh(!ev0&t9?#xV-^LHP>DJY2QRZP)vUd=9tv@rCYqxrJpd%ss`R<3fNiU+Kk zY;XbDAE?$;an6Rb%u*h1583bz*;1_@D=3Z*XejxkGlyoMaOicUh`1nMcq`(*c7vpk zDsZo#h4&k^c`Q-0l80=SnKAI&3q=0k8z4+Qe4sj*l4OFpQ$4cFKsr+!CAGvvuD#PILaC91sykZlKt)SK_?!yY^mZiToZ>~N7D zkX=lKtc7g(HHSXghMb0=g$_hJeFXM1`9^^D8Dsy{Z3aTtO3B64LWyp{eoTaPg+3+X zJ@n;VtGa%MAvKX6R7s5En!z4-Nk&k+;&L*IL!*`RK)UuET#X3TKH&?$h)HEfrtkq2 z7R%1pL)j)qq-Lt2Dk*B=aj?3exlisCL(t4f|JyPAA2;4FDBZuOJoIZC{jHHy5GCR8 zJAd7v))-Y8{%^;c3~X+UUUvfyyIps|tfug_$-X@JuYLP}y~F?UHvgAzFck_J7GXYj ze+_ht+m8FOt7E{C=i9GKek4D=g)U<6`>}*}$9ZHQmE$V>Sq}RGmD5Gz=S_Ol-ts_> zcS$*26ifWG;$or|%U#g#*1P|Z#2aM?hs+ztcr)Syxt~emjVlE0N06c)AGKpKxtF9| z>Z5NHOHi^_PhY^ZH^`ZFP|ydQs5<`!EdG&f6Vo4J4Dab`Xz9zD2|>-}>jbnoDyJDe z^nH~9ulgo<$srEf?uIG;_#ryTk#qSalI~0cwZk>~aA;ZbqkuP$1OT_)C+gpEU6okS zW7|!UM3;s`CiqTsPz%;`eFIP}m3q}$ zIHMuKlYtpWn_1=oN$Tn!N8*x+;I{^Wpkjw=RXAMd3c;D2XKknZAr&C9>fTATPjHL4 zIE<6qhahjvYuj>C41#$9l3wM`WzsKg1Dsz3$YEtWBz|gt41>o0#g7Q^olI1oYoHhi z8~#`HK|RilC{q4tEIr!U(ag%1brV8D+DxX$!wm&g!c{8Qf?gtdOk)>;EkGt%K{0Zc zJFth6==6jt;Z@e+TvS^=XF1hNg1iM(G%;xR0+frp$I?nH#ZR^7NgmE&8p*F>)Hf%# zl^fr%tQzWu)nY?$l3oawTb~T!D0<__>rF=DmE_1t7?vweowqy4{=O)snHXoiki^$v zD9Ny3_6T?iODNM?HxQc2N-&JL!Ik16uzurJBME^Co=?spO<#<X9veyJ!5 zx_Y};i?V$(Z(?VsPJTjl(n+KQhv5+>{{1F!(|Y3Burn0pHl$b@S*%54mz}14Wx2!r zvC)$Kp2gDyU}_h5IiIi_l@_1=Q1f}+5`U?SwHp8MEv0(?^jWlaZplI;?0M6?LxXYY zWI(63N#6?7T2BqCS717R(3vh}g-0_xRkz-($i3aIDnv{iMPPWoEK?yLo({yl-vdikXk2*{J?gbBAMX=e z%uygUuDER`Z28jGjB-uy`Ocm4=T7G73Pn31Eel2SHLM>NJ^0Rz+te0`v#}eFPIm9_ z_)gsV#PVKhWJ@=eDiTkQf>c-x=@LD|8vuoK!#MDXn@T7!bjuuUOIh+&Iog(h)pK5g z64eSWkK0I<7L}8`-lg+(qXTV~m5^VU6M5}sr#>TaUPN&jU zn&i<2r%Eov9mk&}N}K=-g5lB6V!-6Y;$h>NLRHB;BM5KbxHG=`F;DaL1gbr@T}+W7 zK{ruCcY&-3-Bb&$1LWOv_aNbgXWyidw6$ib0lxt3(5sxE>Bt0jYM+40ItFMdgRr|s z{srM!IfE5TOn#f=JB*roAG#!#%K)>BN&!poGhk$Su+e`v&uG_F;Vv{#o+ z+*BYeOB_4zG-fcgYD_SvJwPPUu)4V?3-#~PFPXP0L>A-)hq2)}%K-DrfI-t>6txBJ)Q|Qz%U5Y)HsbQb1&xC#Q49FjJ*7nUQ@Z^gX-=LeYvd6+; zAZTAe#Xezweeqa33iK#khE2_!P5dnz{b2AEr=^_Rv_SJumfh>zsd(C|F+8$U1EwBW ztb94YMmSZkuKNV6-0bRge{wLLUteCLKhS;F$XB&lOj`g}&R8AZ4#*U0Ff4>6HXbg@ zaAyX`S9e~@yX2^JtXL+MMQ(0#t{2Z9l^b=SrxEy}e8vc|nuI*=|g&2s@?$x{dBGl3l`Ps+|d#t#hdqui^U@^Uj|COYQcs4SNEE14pz!)u0hgA+(o*U2s5@3o+ZOB>kw8&7dY^SE@p*HS7DSr}zpc+v3>aH|qDNa|YOEWZD7JGn zF=F9wGBg}vbbg}pKWg*Axm_^Rzb8BwPIde+-eoFcKxk0RsCpGk4s84<%=8;yDEt_q z$wymk@?SQhLK<&8kUaG80S4tU{si%~AJFP_np?Nm;=GkiK4iMyew1uNTY^FpeoL5T zsvjcfXtQ5agJ?V%n(kBj8=a{X>B0MClJSgV5l6E)a%vU3Y|iPbt>vkvK#@&RS0 zQP<)%?nD=hsi$#TURbzaKe7;{;gp4pExrM!+?jZw49<#+WW+z6uB z6g`!tJ#2grEw62ajm)QL>%VpbNoTD-?SBKYQ>-lPZY;5U;Xj6GmynW~F_~l;JJsTi3MUn0L`mQUxD)`EicHu>Hym~C^UZo!uWz#km zZot;ef6c8nyjUd=9m#*7bM*Z+h`V^HV$I!%(s|UJVeYK~~?L2x9qq~h6c9QnmYb2$Q zPg5R z)IQWFHmxiXXTK>K{KxQ+UzZM>u-U!eZ?f~XzV-_2bEo&e+w_X9L#F7@<+I%J8^7DZG=gm=*)81|0 zr1WdCHTlqz@qJTd*WJyrt@O*5NuCz~8P2|*P((?&Cc}02zkQ`pfrf)}`Z+~ zpi>pd$kZx3hHuD3G3X76Da!&LdE}^>?$mHzF_`&9VbNrB_=A0D159K7QCAK3pP=c6 zQ@J!??xA5%zdq<_@QbFf%oYKAX#IcZDCsHyzyw9tLrX(gv6b5+ravAW)QJ{&b!eQs5-&GhV!17T zVrf149ZSKrj0t-dinL*Z%8^!o% zV#)sj%s*%;mG6?nB3-zxFDDauwHQf9$ifwmU52Pm`t0Ccz)>)7KD$uiac${Zm}lfnJJPEc76|S zi*Lb&3$mOB;DR)LA5{DSgcdmXAZw8|+vzH>b98?Ihea|TNYf9{D$)i40`q^MnHIE} zUi1gFiQt2sYxn~=E$rDW{!9y6OmqGPq>JD~oQpx*VuGT-gX)DnyF}6<&-0S3orp+5mq=()F__g-7JsTY#ZO5mIjvhpCe4JN@>Cb`NO(9 z$z0ZS@U(EB(cCII0^K0*8@-}qw7op8$`!p-@pRG z3&oEb-q4Bt^Je@SjzZEM^L@Uf(dJ=1p;169T3F2B&(3?RD`!YAVR>#`wrQM=R2g&{ zlM09iOlx$djb4yp#86{9Wtx*X2Gt=t% z*u!9_xA0dWoPLW0kvHE=i7metRwRZeIc{s6bbU(;Nf+jWUKSpco7imwXRNFN4t|XMN5AU6+l}A(?H0ypsd#3TOGj1x z+}M)+#olZ8=at?}2;ukAZlanpwB!#bQ9d~Y!{jCYy5$b|*cH-x2$&J$x4&lf4D})h z5UD7pf-%p+2M~09Fzo}E@bc4&d5c7JYWnt(AeH;EyV3t-|E+)*3mNa8tdsIDtypDf zY`KFGZO36- zaAi|GJ;Xz9{f*n!RYC`1$oO4;kK1kwBsuD!hYKq5Pe+o6;lfWtw&P?cT(_~{ZC;sn zad1|eOZEK-ZLj;vz|GBpJq!9zAQwZ7JWL!V^(&rSd;@wNze)*04HQl#5YqP*&%OQ# z{pH;~jDq{jGKI8pbLi%dT!G6qwzu9$c!G-#jZ9FUF_t)Na9CZgCXL$nZE zln>tvUw~d1{7t4qn-UkRghfN6dWT}MglJBoN?Cv+J*2FttTwG|(261Q!K-&AM9#{@ zFz@`h2!A?yQ-4}H50iT420lUNUNrCIt}oVCyyJM_Z$S@*M`8l`foMnssGy%BP0ARZ zfr2H}Ta>O&Ka`P5WI&vpR>}BQUzSQVA*26*PARPs3uEoTDq)$JkwTdmCvF-43mf6Y zaU1JPg<=0dMmWX|eJ?d%?-XBiD-pk?R-K$;mxxm%i>UECHYz32{&=ZFPaLhKEv2QW zT2(Domp;QoNi1m>O;l|${4sMSqw%9QUqH?H)2+lsL*A^~iB@|-97WATT~)h*D^cB3 z)p9F+^r52^bSb~j(^2|Tn@|?Hul7LurLGh!M0M4M+7$SObeUJDya%f^DM*?}+TznQ zH8E;$vjHw4NBa&VQ(=Ny@>I_zz7W@x?7${`C&V}05}IXWZuIWElKR}MnJG-ey8Hnn-v<4~L7(C&{Kq;?7Rei2JtE?eP0_l|@N!>~8su^2 z@^-1WFVe1w^;aH8H8GL?n!gycQC>)wkR*)(Sz5{&G2t08al~KP*;|#JER>9a_ZP5# zUeEY1RFpwnNcVDxktmNaR6O$GbG`?viSH2cfGc6mJeW2#f%(KEwpP*VbBWkL`k_P@ z@LuMcNA<0u(cf&ZBkuq5BYO$(^YZg>@bmI;vgEjnlJHn1bD9T7tdU{>hABuSXMR$7 z400;iEpxB1aSOJGLfD@_Ef3BtvjpnO+F-9hO5LbAA*1R~U@#pucDHO`yBRe>ak}bm z^J8+)HauNXBMI^d0%?nCMsl@Gx=LQjR5!}*ckB@6+ce8&YzgHtkG#7aNM*DoPG!$x zD*sZnU4pP`Ck!Sc)Q1(?VtDvWlN+*MX;* zy8pI|(^b=nuQwLbJcRrlOL$|#w?_pSRy>c%ULk!1i#y|cJrkwwF>VkI}XIyo**fnIL9dRE5SRC&?$w`DS+?>QJ5P)0_8p$QITRCdI~h=kwoYO zBD}HTD;PrN8ARq;MCO@9=J_(?9%P;wWS$XZo)u&sDAYIfNvkX)oVrEi70BVx5*P$c zXWRwn$*aBAEa#w?sgsqzs!GLn>!>`GBJ0ScPd}*ne*ZkHBddbxWhF2)!Mf3*+ky7v z;94cEt{2<<72Ljn{H)8jrw+c^Rg zB<-)8h~9+9t(I9nxcC&35Qhwg+%>HZBmXHBmxxOx&CySOE=q(&w^x1>x03H$!%&MN z@9QoHKfbH-izplXHUKk)s;HZv2I_$M*X+~uKN?5Lf_}nO^heX}E7_ZZ;=hVbk&hIe zI;&)RmVjYp!0_C8%j`Zx^?r|kKQgeSWfr=x{46%^RTxL!W$aC zJ!HPUIl%DOq4WEjG!bET1Hv;5-yS31o-<(h5KMaUf%sgs^BXM6-}X5m7}YeZA!zJH zlW$M=?-l1u=3Hedf-496v+rIL*sh%J7Dtl+oNIN*V1A9HCxtjxm^CdGB<&2Vq9TL` zwD8D-Hzlgg#*x+(ZXdar4wUa+{Wt#|>DxQF#a0Cn!k0BXn+TU&@3r6u!R#zW7V9i% zlcNnRr&}o0lidhwoy}TfIr-}kN213?ckMk>3THvJT~UJRG2wnE))8XoT@hl%a_w0K z(+cXUv%Wg`9s*c-jb}lXRF5C?f{!r`ZYRgfRd>d#@^CJwyz~*_$evPsnvM0y%66_H zZq(CxtMEaQgHmzNs0Fm6Xv%oeNbz`IkPSziT=D@$>RC_?r7l>Qdv;?rm$X^#C^-Nm z?mzkkpc0VYA%5R)LsBum+`KSNz9B}!q*q~ia?$=JbUqDQs+t@2-6MikxCnEn%L5BV zV^w&hvm(PaW3Cj^WkDTEb|Q_-xLIp+waLy*x2iP|vZ!nPi>IE6GC4sauZ_c5d?mhy z{OB$edHI7$bd>CrRoLw~bZLJnDk3v#O^h;#lFG4xsnNO$CPUxZ@pyOZ&klJq+0!9V;vG1_`@bnG&u4`5_^SURAelAN)3n?B0#+jv8ZndKrn6 z7q#*HfgN6bVBZn5MQyjn^OE-LiY`niVk{2FxtugO5; zs>CNqrJc9>F@Rc2dFRfuYUu{-=P4T^Al?xt2MQw-M>O(4cd{{z>-T^Q)8R@XOR)?* znT(2*Gm6c?PEbD)G&CGu;~IslUtRyB4p<}s4lbKx0gYD3Ah*n-F!LHvF*QPj!AxUO-4K4jqcqHK+F~8XnLR_Qq00q}oPz3kvM7D1)vHKBBFlQpV zv_&O22N_|fAOgtoAMcRt2)Z{I#dc2{iXfB9fnqSfB~>#tcEgQH(uTh_+W-Jo@RT9x zT#Mp98o7TfeNHOWx~RWFWoMPfF*BhV;qR_8|Kt*Gvigjl6GT88ryX?(okFn|n)6J9g&#mV;L|i^5OXA1 z2Mq_JXF;SQudOh|?~yuPd*p|TI8V33+j^l&fMb?={gT-XtfBZtm_>lbSe8Wq<*oh3MuloSS48)CtgK!{Tk{cha=F zra@s4Pk8H$!ydP6R3Gx4Dv@m)SSyBPHr;aA*!2a`gW#@uCI zp@sY^On_OCJH-PLTA76?5t4WUDpK9o4YY>*97A}cEF9PHo}xcIbRMI)OzMLItGJiV z#?ReiNBh8h5f6~g2Jb&`b1mow@Ip;IK?{m)T}m^K4i% zG^_!lu22LF*z?)R{IbQ{#0{5}`*pI!=cvwZdS%EO1=&acbMRN06|MNqmxlD?>YVZH zyIX^U3oMEp@_>-feRlT`*FGO@v`^cgZ$czFj#8NYXrjB(Esuez2-(s_^Qj>t zg6uV}Km5n8b2H74AQtA|uB7wz=dg_0K3lug#W{shs5q3w^kG^1Qk-a|sQ5Y9fKNr~ zdDG!Dt2&Njwfz%XFqS~qSHl=*mY?U;Ks)QiCe`k8e%Uv^UI@}(RnuX={G9&+I{y43 zyhCI^+XPvWAR*33@{T1+V`6^>R#JL+U+{b66O*V}yV3|Kp{tI`~K3_Zuo#lpuy~p%(o;mg8}GQ(ckLab?m?$3Bq8uncmm z#~HMkfg-HR*KKD{VV71~!Z z^v9X-0L-2DbT-pY8d3LPmv_M#nk!P?SU{%xgWD$8SX(A_`QNV`;@3OUsS zIJ}_a^4g8}V6WRfhW){ZGWij8cQ%bTJ7ZPoTMzevB}-*X!s1!lsNQ(<-J!(reATSU z`u#sPX?MnV9S9%S8S38Yi52@%EE^sGgNs&;v^RXB^`UgwpRd_v`aNy~=D>pX9WeG<kjDar$DjGa>~?J2*V$-JYX9v# z`NVj!_O|YbIPyJWH34Bu53Au3*J}zp=7_5cM;q=SF~C;60$!Tx&%RILiN3M!44$nW33U5n4g>DlU~V!3Id;3=V0@JU7B zNTrx5{X`M31L2Y=kBG5;DEq;|iW~P2p&$uK&2K{_rabjsg=Afhudmo4*uurHx9~jz zAJ=aMUF-8i?{GwIK5S2u*=LebOWnp5Pm-#2wdWKI7ECM6&4Ej*9Tj8Y`?d^~r%MeL zmbBViH+RN1yT1*HiUUE5nHU;7FHT}}<>fuCRNl9@PYdfw+19@a_0HN;&l$8s{hmXS*LHBV6=UGn?(plG5f0r~3uiCBomsP?x&WKiTAs z;gP!41;?k{I*pVwwD;uu#tTC6_!EuM-mi3vuMep`Op*)J0_xEl?J4~+gbgTI?>4ut zM!kWN`C#;Z{@_gcx{e#Oh#OF`*|Y~t&J;-7NSd<8MQKAVU0OfqHJLtm5T$4W zPbe#aG&#Q;sB<5Q#TcD5q-mVAmYji1Npn{sED2YdRb5L8@!u8w&(W`;1*<%0ON@U+ zdTPz*J6oYz7(*i2)UaKpb?GhD&^}D3Ova7t%sI;BGL5XruSDT!p{@cr0Z748mTOPo zH8B~H!>d~R#Ts%s?%O^1gii@c`PA&~5{ZxiL7y zRYT^5wxn$JR$=BgO3e~at@`P8Ybr3oO12>ZX`kZkP|GShPSV=ZSW78gAAvIl7-#Gz zGe4rJ%5qEii(%OfEV3JPDMoOi5c{+wc7XjjAwAUwS5H7K+Y0r%@?q4mk#%-K!QIkc z|3^?sKFLK4rbTIH;O5^w@Eh7h$j4+9nOnCtS{7mycJ~U8?Bw|U-~I_@h^`z&THr-E zFF60j(3uhCFkvJ(3l@4UtDLX2kOH!4oWc@7NXDsePm7On(TWU2d={pSt%u_LnSi2oFj^KKzjJKyK=Q zQ7vVKyOycUN^bf3d8EN%9*TfL9>4z033`}4=ryS*RQ2vz>}2mxwcjQiqr?3qZqQ*% z$CApPGYBQnbxSTAdE;n5#2J^pD&r^{@h7Jm^wEE~aE?YO@KE;`HX=dzCP^ImJ*eU? z$bxlGeG@d=D2x{TdsZiY@W2c^es~jj659KSU&EdzK?47y&}K!13Oss6&)?goJS`w5 z4v@c-=cJ5c#ivZYdpxQ!c=Thm{+7gl+-)i+>pMx}4eU%bY8-KI<}RJM!2cI70?_>s zp*lM8;k#dmD2)(xgpjdE9m%kg@~l}A2GU4O+|I_R?}mJ4Mi_K+X*GjxEg|6k2=Eqh zkt)S(%CiuWV8oT<<(vjGT{5aemCMr|CCO^GT>BcjMCCNxJb@#w!`cczBG14Tou(!lyQ6+O8H80mk$gSfit zXeocGo-1C3%+Xj~_7yxRRpI+&JO&2*3>BMbUR&I;6Y67dKs%1w$ahZ$p}G#^7G1k* z!73;(foVHwXbe=AvguAXZ@h^;vc2OxCC$eVFWj^lq#-nLh@)Cbt|(X(CS!J&RU(!| zoW?Sb!bJ1kG}YHyY>298d4`>m3~tCeU3OGYuf<~Et)w4QU43y$?yro+m9cEcmbKuH z#AoiY2D%peno4)WyFJ0qlON@woBW-;kBW8YT4eIHu;dwCwYckZriv2cT{Ua(U}TnT z?<-N!L~z-f!n^i7(_Dan>v@-}EaNhL?)L+$v0wI|Tb-deoU&W?RZIso zujp#F<*9}h<~qo3?|$ggx$fK#Uf=w1*XI;-lE3`vuEVXQ8Ej;_N#D~~cz)DHg6<2= p`QJg7&3b;`!Kg-HOBb|K!j)uP(_d^+Br{M(e&s|8{|^8F|Nn*!74ZN7 diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index 5a8f19f..55f5765 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,32 +1,36 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567306399346 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567306399346 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Aug 31 22:53:19 2019 " "Processing started: Sat Aug 31 22:53:19 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567306399346 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567306399346 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567306399346 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567306403300 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(37) " "Verilog HDL warning at GR8RAM.v(37): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 37 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567306403518 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(45) " "Verilog HDL warning at GR8RAM.v(45): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 45 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567306403534 ""} -{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(164) " "Verilog HDL information at GR8RAM.v(164): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 164 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567306403534 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567306403534 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567306403534 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567306404003 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(124) " "Verilog HDL assignment warning at GR8RAM.v(124): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 124 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567306404003 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(129) " "Verilog HDL assignment warning at GR8RAM.v(129): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 129 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567306404003 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 23 GR8RAM.v(153) " "Verilog HDL assignment warning at GR8RAM.v(153): truncated value with size 32 to match size of target (23)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567306404003 "|GR8RAM"} -{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567306404362 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567306404362 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add2 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add2\"" { } { { "GR8RAM.v" "Add2" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567306404362 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567306404362 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567306404956 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306404956 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306404956 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306404956 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567306404956 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add2 " "Elaborated megafunction instantiation \"lpm_add_sub:Add2\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567306405222 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add2 " "Instantiated megafunction \"lpm_add_sub:Add2\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 23 " "Parameter \"LPM_WIDTH\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306405222 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306405222 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306405222 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306405222 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567306405222 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add2\|addcore:adder\[2\] lpm_add_sub:Add2 " "Elaborated megafunction instantiation \"lpm_add_sub:Add2\|addcore:adder\[2\]\", which is child of megafunction instantiation \"lpm_add_sub:Add2\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306405487 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add2\|addcore:adder\[2\]\|a_csnbuffer:oflow_node lpm_add_sub:Add2 " "Elaborated megafunction instantiation \"lpm_add_sub:Add2\|addcore:adder\[2\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add2\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306405690 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add2\|addcore:adder\[2\]\|a_csnbuffer:result_node lpm_add_sub:Add2 " "Elaborated megafunction instantiation \"lpm_add_sub:Add2\|addcore:adder\[2\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add2\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306405706 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add2\|addcore:adder\[1\] lpm_add_sub:Add2 " "Elaborated megafunction instantiation \"lpm_add_sub:Add2\|addcore:adder\[1\]\", which is child of megafunction instantiation \"lpm_add_sub:Add2\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306405722 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add2\|look_add:look_ahead_unit lpm_add_sub:Add2 " "Elaborated megafunction instantiation \"lpm_add_sub:Add2\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add2\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306406065 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add2\|altshift:result_ext_latency_ffs lpm_add_sub:Add2 " "Elaborated megafunction instantiation \"lpm_add_sub:Add2\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add2\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306406315 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add2\|altshift:carry_ext_latency_ffs lpm_add_sub:Add2 " "Elaborated megafunction instantiation \"lpm_add_sub:Add2\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add2\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 153 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567306406331 ""} -{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "23 " "Ignored 23 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "23 " "Ignored 23 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567306406815 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567306406815 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567306407050 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567306407050 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567306407050 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567306408190 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567306408190 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567306408190 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567306409065 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567306409065 "|GR8RAM|PHI0in"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567306409065 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "169 " "Implemented 169 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567306409112 ""} { "Info" "ICUT_CUT_TM_OPINS" "20 " "Implemented 20 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567306409112 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567306409112 ""} { "Info" "ICUT_CUT_TM_MCELLS" "96 " "Implemented 96 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567306409112 ""} { "Info" "ICUT_CUT_TM_SEXPS" "10 " "Implemented 10 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567306409112 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567306409112 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567306409518 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 7 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "308 " "Peak virtual memory: 308 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567306409659 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Aug 31 22:53:29 2019 " "Processing ended: Sat Aug 31 22:53:29 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567306409659 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567306409659 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:10 " "Total CPU time (on all processors): 00:00:10" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567306409659 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567306409659 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567385053238 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567385053238 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Sep 01 20:44:13 2019 " "Processing started: Sun Sep 01 20:44:13 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567385053238 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567385053238 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567385053238 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567385053456 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(41) " "Verilog HDL warning at GR8RAM.v(41): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 41 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567385053481 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(49) " "Verilog HDL warning at GR8RAM.v(49): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 49 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567385053481 ""} +{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(177) " "Verilog HDL information at GR8RAM.v(177): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 177 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567385053481 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567385053482 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567385053482 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567385053522 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(33) " "Verilog HDL assignment warning at GR8RAM.v(33): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 33 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567385053523 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567385053523 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(137) " "Verilog HDL assignment warning at GR8RAM.v(137): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 137 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567385053524 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 23 GR8RAM.v(162) " "Verilog HDL assignment warning at GR8RAM.v(162): truncated value with size 32 to match size of target (23)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567385053524 "|GR8RAM"} +{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053598 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567385053598 ""} +{ "Info" "ILPMS_INFERENCING_SUMMARY" "2 " "Inferred 2 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053599 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053599 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567385053599 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053623 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053624 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053624 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053624 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567385053624 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053641 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053641 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567385053641 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053656 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053665 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053667 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053679 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053688 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053689 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053692 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add3 " "Instantiated megafunction \"lpm_add_sub:Add3\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 23 " "Parameter \"LPM_WIDTH\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053692 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053692 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053692 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053692 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567385053692 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|addcore:adder\[2\] lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|addcore:adder\[2\]\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053694 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|look_add:look_ahead_unit lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053700 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|altshift:result_ext_latency_ffs lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053701 ""} +{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "31 " "Ignored 31 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "31 " "Ignored 31 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567385053756 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567385053756 ""} +{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567385053836 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567385053836 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567385053836 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "8 " "Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MODE " "No output dependent on input pin \"MODE\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|MODE"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567385054051 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "170 " "Implemented 170 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567385054052 ""} { "Info" "ICUT_CUT_TM_OPINS" "20 " "Implemented 20 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567385054052 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567385054052 ""} { "Info" "ICUT_CUT_TM_MCELLS" "102 " "Implemented 102 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567385054052 ""} { "Info" "ICUT_CUT_TM_SEXPS" "5 " "Implemented 5 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567385054052 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567385054052 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567385054089 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4587 " "Peak virtual memory: 4587 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567385054124 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Sep 01 20:44:14 2019 " "Processing ended: Sun Sep 01 20:44:14 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567385054124 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567385054124 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567385054124 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567385054124 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index 9c5d47ff1684b9d5a90b2c30af062a4160f9d093..142bd0c6c1f82c2601625f296b4f81f442bd8ee6 100755 GIT binary patch delta 999 zcmV{Ux| z)G!d<1q31>1Q!m7!< z^ccYcq*GfEQGv9kv<5Q9sfJ9+0(WpV19SkHR5X{aTY_~a5^hr`r}P||fKtg^f03ea zOA=#Yh2?dE9&BUjBOqGKgb_niNM&LRDvZ}eJ0{Re;ioPY`o2_DYhHo2(|0s65ExlU zgG3g(4m^<}WuA>D(uU@Vj|q-2K!FSgv7PEfvC=8SC|8s^=bbt4V?0_s8VdUjy-Uj# zWsK7EP0Et9giJXF9BU6`iMe2?e*?h>J zd{G3e)cT|IoNFkEuo+2=RmkPEn&;sT9Z~9~py9%Ci*-OOMxxjU$34?Vv8gpu=^ns@ zQ-Tf^&9Fimj)RpH7pc{OW>(cTmr_F**S(V6ZyTc5;q)KBI)Ecc2hiK3XdlBqdhQ##;eF!%nNBzfj+r|@!Abt+7=oI#R zt$9QDgq&alI4C$KMROy#3rFnWa1J#4+C0$JN)>4`C`Rg94Kc z1Q~Y#8(ed;q#VeBI|QNyYCIz+g8|T$VQiMZAT@$O3~~svzZh8{Ux| z)G!d<1qnnz2re8DhdGs2+TCulqGfwxsoJWjTP;n+;{@KsNsSyk@}nhO;Ggmzk*Os< zqi89WnQyF)?JGF@fmYwW^+&XNfJ-Amc%_+!HHa%=&Zv`PTNFf9tM+sGItn$`H^g!O z-<=@%wb~VicN>!o0UZS=STVOSlP&=pe-4LXH|mE27;!@tfnBMvkBDJX1h1u4U^NAq zU9$a9Ly9ev3ouf0-2pChmOy4j;;c0UM5G|GuM}vRnG2#QxTQwjiOgsijVBW*i82Be zJw~tq>C_fPR3NP>t$~bjsv%Rdz#Ux803ARk70spVmSCNUgxl1~DLqFfpj0wff21hf zlEhe8VR@aP2isWs2#D4)VZ_iBQkmF-3gb1=jtTTq_^C^UzAqKknpdFh^c_tM1V+}; zAd!Wx15cz#nP;Pkw4r(8V}c_LP$0uWY^OR=taQpS$`z%~d1uc17>^c@hQfYB@6vKb z8Kd-kld|M2AyZBP$Jzr~VlEi!e?V}-%o$RPY6bJTb}$EGpqd{(UW%A_otTygSX!(Q zUlhSAwf^Wl=NbwkY(^4e6>>SP=6Se7N0fRgXt;3PVjU2Rktp`TanH0-Y-){Ex(6`f zl%PXJGpvw?<6tGlMQU}RnN@YorPL6{buVbQ?qD~ZN`uW&kVMIZa=yG!f8V-Raj%Hi zD4AI9eO_Lyzke~1Hrtr2AxvzO1nVNR9J&xAlOvnMAcQE|c{JFGhJfuhbUs_H`}jY% zSo&7O;UNs7?vxpLL6ycTs5M%B9Ku1b-wWZXWk_5!h@ii<8Ah9R+r|?JA$|rg=@j;S zt$9QDgq&alI4C$KMROy#3rFnW#Mjk z-11sC7g|fc-Tv0#7XSbN|NnRa;$~oE;9y{2h%&TH22u>%Kpf=a6d&Xo;2#tM5@BEh zVrGU5K+-NIP?HfzO9C-SQE;fQuVYYTe2Alyk88ZYlb5SAL<=iW0UN^}AlaLn@EfE> z2&%>1e=*eEH5jUvk)6Q+C~mfK*M1o9_0ZlgBu7@#0UTY0RR6NDBcmNRgYrkBe(iyuXu|t206^Gs6y`{G^*ld4Lq$K!_qn00000|NqkACD#A| diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index a7344bf1b38879d16b9de3ffa48692d9061d049b..7f8b4cfcef2a20b6b5ce11ab67c524b9a816a6e5 100755 GIT binary patch literal 15986 zcmZ9zV{j(j_ca`IV%xTDP3(!CE4IyvZB1<3n%K4{wv#JPp4|Um)%)SCuGM?>>a+Lh zu6?Sz`s_X^ARr*s@Sxv&=kHDQ+x`MLIa}J>5wox{GBdIei@I9cm=d$Hu@WE1#7agc*2FBtsxrhH0ApeaQ%jd`&vP-b?=T=B2VDPWKx&ZwXPpH9 zXFWhKd}06SNu)f<8#;g{r~{IqAVeU&q}{3fVz<+-(u(raZaR{%3(*?bk)?4PU`J8K zB}Ald!LxB3hwa~E*zU^X&laI{A0b|1z2|#SU z5J{zHB-Ik_$Y#HIV2Xx+7onfc9H5|ObI&o^72Nl8CUzO#EcWF~1VgM-k%AHUC(=S^ ze^GOgtqBzs>^_5A#O+EQOO3o_QR9$HeQ;-^PYXEvxbfbu-*(l}36bYeG5sDs#2}?Z zue>{YMz1-Md+$wivpbS=8mSaZu;UAwP8m|rc$NQ+aDQC~RBw zs?NEp?h`P)bXG>Mq?Oko3RE%_0p>9vIo`Nc*!a9) z)k;QuU8eotS`+`gwYE!da$!q=4(VPuN&@bPTF$KereOq)ImN>2Zy8~o3H8WHff8=p zc5$yi+YQqA+}~rtpk}#V90vQNQ3k!&_v^HP{Ap~yq&mmubn|r;^CW38e2VTMD9^)! z&w^6#z>2+W-gQg+vEhDIO3nO^Y0iKheBNKX<%(fvl_f?pT{q9T~tl)G?AQ6rFP#1n|XZn0In~HApw0A4!kJeFp#gXfY!?HJcn<#E?X zCy*-XOZXEv5e=!4@-Q^Wlu7@beT;ir~nID2Hbt5`MLRe zp6{??6ft^naxHf%^?C*Oy#YcdLQc2HZz%eYlM((U$2Cp4Kmy}WiG0)A1_py$T$0MRnq#=yo&ChSl-rb)S~)WugGNh$*Y>Xl zLe|Ey#|X1Wn`K78VU+Bdo3r#*>ZwZVPBjJaTkGV_#X)j@`-Sd*_Cx}3b@_C&lLB<8 zSw=8XxFLU;+zHV;mvdldi~l?i*U(PzrpS3-`U)~H$j8dEc6s|Owdp))bSkS zGELT=`V)fu$W#(g&C8&?oZ8egHY*oi=Q8*Zrw?0w9_{PLH1}p@TIuE=o4J%h(AUS=euvUQ_28Q>gJYtGZ z0b8#k(gcpQp)fJA|FqT#2PN#GKvsD0xFG81bPHFG(j_Hg3ifa5&eI`&enin2YS29% zbPEb7rqa{}lJh{e#QvtKvm}=byd*V(v-!R!!>FI>{F%Y8R@h58EC@dymu;bmJA4P- ze)k_(-XA|U*Wg-*Rx`xMY@)O`hwyTqx@k+rheFteM{t30Gpj6Y2o{vdijU*{wxSOb z`LDk>?&eF$8~QAIM8;ecoO3uYV;VMi8|6g0I%(;eznm29V0bA9a5n1Sf|fL6oLh?O zRA6xQB%MPzihkBg$V_TaDgS~1*&03zB%1`x)qn(wR~q}iQ@3oUWBWG6RGaQ~qM2@u zyEGf)N@o#H+PU7|u9KUFLMqXDIYKLxt7l{-LwMBdLH+h?|E`xmG7$ooiEr?IPuJlHQuLc|ccZRVP$-n84v957 z@FU*n;UwxLtEqqZxl~}-M$OlG&ug-z#IYGt!VL2UVWq~AE?AdkWj za=tVjtX)kmr9y6b{kcjI)9lljOHeH=7N(DUTirkF{t!q5G)DU8Ob4UBL0@vO zfXD(3z11J1H~ydHWZxkYnnhFeLa-&(*n7RqE zUfi+@RJ^NK*0dJ9i6c%EQ!1BZYE}d0L=mxC4t|rAB*`nVK3Md@`riqS)!E|Xc(H%j zgkJ8C&KAy$nys?L{t)2JvI%7yPRN&HN|~y2{%+x7zxJc^KcP2$s&0c%tWyzVs=r-_ zBJ5O=6v;|NLP#fsx+HyT+v4Utrdq$)e*O|HCn^zF}sJ?0kFJ;Ff?KJ;D~^??4H{s)>caQ zToDWET^b!N+88Z=WB3~_-zZtMk=J%IbH-q%3y*oPv=67;-@UA{FJoax<_0a^HS@OJ z&EtSyf2sF#VYj)4X82s7j6D=7DLPl_Tf*(ID(BhAJhHLRY%H{##*ue+H+863&)@|KYygIi*hs(<>z7ox&z>V$$wZWoi?;d;-zaEy7#7Z(|9mK9X zEOW~tR6FREZPO2_cMlrPf5?7jzf83PXiUKo^EHnjm}EbO!Tp%38;E88m&B9oUM%HjSm)C|1sA8*y?|b^P8PuI5pVVxqiFP6(5+c zZNA2jHVAS#WGd42$ILC3amQGAG~@aKm6*cM4CFvNxr$zp4}RB>&~V0;WT$0G-A|g_ zTNncylNJ+7+!o|zj|>+`vIaM_h;adFt7U}*h|TifFN2KVV*`vpERr$TP$@2YD~Kru zON9=bN6!gHO(H*u%$pDf5v93be;O$-wBsUw*&2kP9EhYycIsDNR*pTGSM}LQsE4YW zpW(M(R>HmEd2U4U#%G>VJS1gWS0ReKl7AkT4s}wf=}VW2v82#7W368#k8`iFE(_K~ z%h#rI2!L9Ns&mT?Rk8}8;&QA|>y5x5?ylzrlMf*>M;R8`ro z)n$H^HAWaum2)yCFC+T}3J`E4hzF9d|HP8O3T9J`pr4|P;uj-?Rbc&hepBfD8kY9c z7EGC-9*%nIkU#iA8j(H7G{+IuLR`U*+K3*-W!{J)%!xojT6(xj!-@vRf-zCpd(1L3 zACX0|AdITu#7#o=SQAps?G9H|5e$t3L*@@;+}uz7n|WtU2}5IN)>+jaR>A~=Pi1Em zuInK9y!k)E<4ZpY17F^K9(Rc(5{*36y!2OU6;o6VV#TV=ggJb{xaG+-ZzKZ zo4~XDm3#Lqb102#fxf3J+w9gar{r=6Q?u7L@G&Y(-FKbnF~PXB)!@;p&EWDIhu_%x z#?m*YzA^NT?r$_(c{o<92o-iE{&Ny4s6~Tm>)nFf+_?g~vUdl4YV8bo+tB;_amn~^ z$Sth=QG|?$VH*=?D@UDNQ;6e_ZIHyCCGsyR((M`Q=@Mc8TR-*W@Rg|U&%Fc6(BNroGpwCu_L~k8Z+KJi(Z4fq04R|wCfR?-U#!7-P{eFE)}XB5EwD=0C6dHr1Fw&4sr$-l z64yu66=1DTgZovWtH$o5;|w)x^et_P4Xei9=Ji8cQkXiMSf=$uY_0Sy9x4_lV;O@R z%09FTK_6D%sQAX&H)s`uqO7lxQnAo9ZLDvap}Mt(C*q1t{jG%?dO1LVtx$i5mK}V- z4Ta28As_C|V1dr&u)uqB$07Z*Sw+o9`EJZDJDLhR@^gLV2M3S6b*zoQCE!H#)yQ6= zw*AOEMv>6JZq#DfN#u?NX!&iDtMimViGC>=S(DM228u^KzrltO^nn6N&SL?}K0gEMx2KYd_kl zz9h;P%q781LiT#_@ql6E834ypqITIt9J;?-TgTX?LjicTcETav!-+B@Okj^j zl!#p`zJ=k{__UitP}9V=_G;vB_d362j5(`pGn6f~! zTOx`6mVo_ffy2hPys!pPAL)Te!Co}VcY=k;u?KqMB=}=dap+;MP*}~E-;)xvxS)<* z5MPI{?moQzPDV5})5d_-1~I3xo{iPr73%9C^H$r}1Hy!7r$`HOo-Y{Zs{9cy4O{cp z+k^`Hs#i(&r|}*4#`-?nrvvaN7WJu`MF)^Z8(v|F03K|kH1~>O7Od#6#KlUQz#nrR zXRta+F>PZ#hFHcrdw#Ox@Ss&QL??FExjdUYY|g_Gwwk*vVWv?Lq8SUz_X5Z*L(1!- zKRTfIswk`2vk^EBN}20a32QD$MoS_SrMfT!mDVtNaIyb!F8z&X|A1`-Z`R;vNjtT` zvuGUSf|96=IW3tJZ|<8xe?S8ty;n-mOQd>~u*;EnorY$r{xwEcGDMN)-gSEp_aKPj zsp?M?m+qDs&BbK!N$UMWwA436(t@jr^O&v?=BYjC?ump6H}n7{Y*9zZ!*V2buNy3| z;GNEk1M089-Z&Di(9LZy-mHO9jVuYx)i_b>-q*&~r0M!cv2m@YBS6WD5>oat6{&ZsPX5*RytpO{dC34T zN#8-<-@?3!K_7$D$Lg4>KBd{l_JT3>Y|=!Qu8F1LNrT+#Ia#)rU$#;wW8$uZV`R6| z>^(D~1U1auBekt$zqli7n>V2685t|(*CLlc*=nAw38;oC?Stq1Q`jqNvmG%CD7>gQns2adcl`Va;4})^jJIe zsAI+qvfmfBL+Dv2fX{K9NRGatP8X2{ZA=&XC%MG0?1cL;njGXp{z!?bIX$JLdPv2; zi)enXhQ8F{rt>Yw54VHo*@1ZfOpNomk5&IU)5!D)E?)Y+l+mBuTachf6H|8(`G9*k zfLxNcyBS0Dc#<2R-o=3qO;NAlYiR%pWI6QgT-vzO{3>{KM{o64Mck)Lr9zq0B0)clm1gDZ$uIo?2<0zTm8lT=zYq__8%{HgNX&nD=0!$6_rtzihOnK6X>m4O_TlfT*^(!v7 zM!0W+*6_$%*;{n$QX6TmRhle?jc?J@7`EBwRosQ0;PtW7Y#le#;RoDD0~%4fWx_54 z#)o%F#WxreGeW2D9F=jgErRxy>ZoIB{-}@d@blcSxFp8#X2r^8eD>N-syAc@_$(OW zguh-STLUmFA>dRa&^qN}8Wwy}Pd{)3lJ2$;Vxq|mD14rfX;NKKI05!! zH}cRy7b>P%w>R`Q(tsOQX3_w4~1-QhvOeY6py)!kcY@aZaL(Q zKX-16FqQEGbdrA zw{0jhAkP3;?%zw*M+y9r*`t3r0L<6q*}O!)8qkVqX>0lU2qZjIF-owOFH={qxr|q9 zWsjfL(^vmoxqTj19D0to?=+jxC^;6NDDo=W8hnT<&?zAy3@(c0&dzyUad}P3;LK3K zI;W{ejcp|RatzINiz|5~n^^GcrS|%2{E9WFfgwhkgGyqbFOaFcNPL(`QB=DWX@Q($ zN*63@kOg2F1zKGon^-LoQdS6%-vfQTIkQqH(FOpN&Idj{tl!rT08sB+b24N=AE)Kh zSFgR>2(rh>KLp!ru6RBv4seU!a%V}B$))^S8v>?{Q&qI=0ZG355LAAFXbv*%s$Lgc zBCG%^HA6R=msugHdzby6aDHl)E%GYNp%GmsRkfT}@mO5GZm6oLkDpDX)t&4!pidZ>l{^WtyXyz8J|T zG#oj}*m;tp(7WKEW3Av97g8=U$JsG0k`HABuvRuX>|{rK<^IT!-g1kt9GTydNSFC@ zAT@)7S$wzwVExXG0@GY0Jww+Iz-7LTzr7Z&L63vL#9fw*Ws)+GHAA)hqBDpQ(2^r# z320%oUI19Cmit67?gd_@^NM+@t?*E+@c3+f(u>aE(V6V=GkV{7xAwoiG?-In%FC{g zC$w9`0xqeg`6yP*q}Mmbl36~I!s@7)*mfBCuy$Z6+{SVX$qx(9AF8Gjfu9YDO+HyE zk6I5sM|T{7J{bTX>2*D|t^a(=o{B!Q<8`2VY(E3Uhg5Q4lH8#!<=6Ww$LT?gvfS4JO6f<^zJZuc{Q<@<`xl4Y zi{lDQSnj=0&HKJQQLQicN6fp=n*#oq({K+F;Ec*ZNa3qI>2rAV4(~%ykYZwH>1#U2 z?m~#?b7;-H;hw1WouFDM?aO0f#F{8}=Ok?lO>{p=+F*6@6(-F;MZc#<{M=|PQxhl< zsU}1z(Cg2}PWjoLF`Tnrg{W)wc6r0;vYni(ed7ITE)a_8|kwdCNw4Nt%n64s$ zv4<~=OxIRSeP&we+FNJn?M6!s|n>8xhR%A z0*5!0e6}z0hn&KC$zTsPIbkFTzP7yWNJ(p=k=t_UeKw{JoTFh?)LB9DAM z+7MDQF$sr=kFF0}^FEKBIIp59M-v=$1VdvB*~iUm^sna=wCn^ge2 zR0wk;wGeW#s0F)}LcC1L`&iBI25Z{DUzeM;bGO*a^WDgI4FnQ|EyJ$66RiK3?Kz(1 z6@Ft1TSi=eBGAUOaOZCNH7j^D`w7+5>uzBl2y{oZ#jqf9vapA`3`V@PnXPfOs1)g3 z&#wl(%q3V?nf=Ta<0EK_<81=ueI$wLAg&AXHeuxX4(3-wTxy4L!mWo9tmEW;1dH*Z zHFY~yTfD8K-HiC+ukYs(T+LoVUM9tMLB>DPgmHqcml9}$H_h-iz2xa#&q9g3b%~ur zU&13^qR-knS=ZK6llfcF>!662 zPkBbvv-{gG?9BgWAy`;bQ4y1orxG)E-Qg2bXBTw)IT&{>(&Fd#g)wjA=t;@ga~)#U z%ddAL<+GGD(tepbRdTQ(L#z_V1RO+Az0Xa#sW@4RQqxlDt^4YMO;!J_t0KD%X=67k z)M;aCr(e(m!)#V9RB*|WeQuN;t&_t5t8tnKdXVcr2J7PRl{t9a`*-NuxnOioN?Z`j z&p2UBaDVwR?mg9<&2;=W*X`F%tJ}tSWx(ot^D2=5$hD!7**RSXUK5vONtHBhgw>5N zk?k{Q)G(>0WO$7cvx?T=q%!(J1)e{$?`hP)veEOCkg*T+SH@EGHyJCoM-m*7UYy&p z82&@MK1DoqO1pv8kd#~~7xkD`7uaWhhOq2tdJa`s|83qLKGPY_rwf5uInSEohT@S* zo^5NUa$gjcPRscbU%8sDqwTXws@En*Hu*rL3YlPOoa;O>FV3NRfNPON=R!(}7S}Gy zrk`H#73xq@X<5<~)&a9QrJ&(f8Z|mP8ok!*48WfpABCCnP$~fhWNJ!EhK4YH!AKC8 zKs)7$p~Vx&M#f{q*p||GuX4B(=_dY`>yp157lc?CRbxDJo<+;OEJ_BnqR?h-lD7>M zW!0@MBbR6%F|HPwz7Z!Eh;hY9TQX&gPj6!2OD{5esp&a%=u-|6Che&t6`UfXW;*KX z$4yRYZI5bPcTF?FK^LBU?uXtO5n78`8jQFw%8zPh@7PlVS63qmGUyg12d_4FakX4K z9B>3$$oiHa#A-^q2-Dr!IHyKOFZ4!oPrVj7A;T6OWCh>&Kp zLY{-P!sZO%KmYG*Erhy`#-61|-vb9jlzacTmytRXn*GAKVrhx|(q>{)R7m7quAQ>F zH8SQLaJ8Eshl~9IJf@r8+)S0WLGWbZaJtVA|mJaYHtYXD`HH!)>So;vXn04s9WD%@yU_rx!_jpYoe~`%Z2?rzYbsa zLvyGu!cJ7<#>0#979xqCFZo?`D%nXKkK>4)xddg?l?H&|acEkiGM~J=!2gdB9D-Zb zhu-Ce*Ci@v)4{i4a@)ssKZOH}QYum=6!lv^C+jT}x(|w$Id-~3u!akbix!6@9#Mp+I*;Uc2 zBgaIO(eN4k}MPJajS=cE>Sv~Y zLjPBd>m^mTjeSIzR&{K6)7*&@4rX`` zYGEc=WymzyJ;iAXiFynxQW-&$bm=Wd4dFJ>x>3m~*N%s)761KAc}RViRp;9e+(~<;osu z{E8#NvK3vBt{>`kxVS206G2{x$hWX$WNyXI-(jQ-&b>97RxN1126?V(OGa`Elr`4) z(tDuej?07)a%Ywuv$YB3K{0wn{f6c%HJriWRKJT9n0osV9eTA;#% zIgUyIPbb?QRL?KRP|Ju~EL@zbLCmz2 zWK>NpbT{ysqtZQQ3wqm^?3I#C231SyN?x)kj;d-!5<&BrRCH2IOBJsYgZ8t$AGSzs z2VyVZu3V8yMJ7uLjK&q;l20g(sX6AX-7GazDxX(IK(0_GrbxMXd6`xheU{PYx#!JXNs zYrb_^@+|Uq_AmqII^2o~T2?%3c}=01SwB}X>rY`mkMkxu#)t+vgsG`GeFANR@30i{ zZ%QgF`1Nx1&qVPb5h1i(DSN@xaD`*-0rARK@RFf-;>flPOh*I(|Cnf(53{@C3-jp; zuUPmG0Q?7C_kUaT_50`uhm=4?s@4DZ8j8%`m#-*@@A3l731J;N@9ErK1!f?TxKT~t^17CbU zniG2VXouVtohlc{fn)DB41=n|N^Fc5UNjstj`TPS9-}Cz^FmFd#&VDba4;(Z`)G{D zAv7#1kz0{XH?Q~=&}>x2=GVv>6~Edx<;y*?P3u8+8NPwofXHy_xBr)`YL4JgUuqLq9=8 z3`=>uORNNo?`H{t0{-9?zzEKv6L&Dd#3+hFjtX*oTP4RF7LZZFvh$w4o`hoGU^1}* zfmEuZpyyExD%ez95E^8ba61&yM8tSrg&7OYgw)!QJyVB65QxJnVa`EDRN>2;sCAT`#(PFUoln zA7dkE4;qw(o=wFf&@3siRH~J5ADEBV=5omwpan1z@&FA`Q=~vEYz0%<4%3AZU)2SY zX4sC2_7C-mGVO=x!-l6LPDQ{BitkDJtRT8 zkKehCm+~0h4@iES=G2FgHlz=ee=68&YKfi;61l2JVs4_>@MF0JqbavpvM@lJgby@I z-i`~qm=QxfAPEB{ZE;?-{_q{3=G z%b%7Y16&e?~E?%;!dk5(`X=_dYbLQHT}>@y4oh( zc=-X9Cy64cs5L@cLnRkf6w}KW7{2R+Z8Zb<{UX)^iXxFBcu0Mz$6r4vkoT06cltz} z()E70HMrlJq1mcFssKD@H7DW01jY!AU{1j{%bd2;za-~noi}bXggJ>o2t@x39k|ph ze+o7_ebB8ath+y=Btr2|13B;zTtj|2&_^$X>Aw8TOgDco&I3L3q0NMlCO`i^KhJ{Z zw1EpaLVNBZLLvGCavn&Fk~T2CQM-3@QSML)onVl7lEd(!U|hvRD#ydXg@lqibJ0fYliJ#&>untIWjjg>G?dcPYXIxxPtxY-X#hdRqcJD>8Qg#Ja-7p>H!z=) zT+2hRRVh3*F&$9I@>_aYi!OCfdcZj;Y^vIYKsO4R>|9j%W zjYw0|^BVFGt-YIVTdeBUEvypKpnV%Bu^Yq>`jZive|tH5gzrhh$7;VRK2+)Vgfs_B zwFb=t3~gF6!ZydhbNSOV@Om#~b4u)Lmjnq^v?3ka6j_>}SE364mKoW!6}a4wbC*j| zbRamH#DBoVe_%=202%LeZqI;OP;+$(sTf^5yP)&(LQS#|Kulsg6qK~6u;c@^>;t~oWI68tT zeEoijzr~db_b)FZt!Qyie0ciDaQNd#Ad_ttN2M4=cq7F_GvvC~voXZl(|d^s;m z7oHXGBH2(AmH`V8Eb$soMooao2*aXAt+;CW^{%)~&OtCl;tw3e{@F)WJgELCF%r%m z$-U>OCBG?l{M{?gZV3GWNz|&3{tPK*V>s7MBz;2sCuGP%Hy4;_H7Xy_3+us1+JQ~+o1m6IfJEen5OzcwI zUVqLZjSYr_v4zE=bvbSesXg%TpUc2LzKe9p)?cKP`*x|gq-_eMybokTjK%^{tACFg zlu0MW^z0bzjZlt3MaaDK3yx(`Y+q^Exsu?E!g1_^B74;%sr4ng<0W(_Ng0h$uBW61 zWoD>fH++7BieFDiX@c*)1^z2x(eN}BjL%IfzFZPMK!`|XT{(cShkNJ)Bf&fn<3B*N z5zIXZ@hJf@#(24hM6Mj=LKm(A^9>B!q$Z(A+Or2y5#-(Z6(6UbXOH#YiPG5+{yPDzB@jD`B6Ub@55r2@z1R#c80k!bvlif8GT?!2(+3cH!T zk}L0YiLw8ervop-J4oU2=I#V6Cp4rNQluAF;wHJ?vRZf3?fL$Rw%VJ-9ypj|4=!&* zyW77uM@ui6Vd=Hts`^MfhA6EK;r3vC9^0)RqyBgC8y=&V{0AHS2Ydm^8e@u~XJh2+1>G>R1Hx7CGvfA<83N;yqS^zn|1^hLjM15?3ECR2MGP5H1ATw|KQ* z6;Gu(n5#9I)J3XTFPdY9GE*0Bt~H2BjGfhuIbm@qf3L<)V|8FlK-JvxV@7eOc-88v zYeDoh|GBxPx1;-QDSL~7p&8N{>qlHulUsL1ad)#V=DZV<*LE8-c$5iQe-n4sCWgM( zaK%#LdG!Hxr2K^+MESYQj8ApnJ}9|LyE&$v&R@H{$a-!}xEWYnYs1Kr>|J=mM!60d)x=+SnbXe}!o z{qUbbLL;Oo7y-E;D;;@=R}nEBkY?L@qbw>}SzG#3d-e7b&0i!(VeWxsP*7fURZ}`) zwSCSH=ZjzvWBnXWOY<`2x6&9DCaWIrW4=0e;l#7Uc411fKDN{iVYd`y;BD=$<83Xa zGcs8N)Y99cYIb#Vb)USjxxBDAx8m4w%DZNNS6L?M&P2{lyF4#v%2vfYIXCbjwGnB> zaVFA*%FaPA4C4(uihDqK=mxbBiz(g%1>Y;mipbCj8Eim8y?GrS-YXWkxAG1CU0f{) z-rNVdHnJZPh(3@e;ceCJYJj;}IJ7Vx^>$94eFZ*Uj$oc+r2M)9ZQb}r(($!e>;cPr zwHuMh_cTK+hCf);zxg84pNKyr_D`T5Vngeern~h9D7Pzc!M1ekR*0*ZPUtwurMqIg z+UB=Z;V3n$Qc(K6hR20@8eI}R*3vr&PBlx#XuYer-{mQ*-vKDU*g_MnhnQ8iR>92S zfU!LTr}`w~!rfN_ZtAhyxWReJF!1FcM=glC>%Qwwdh&M|?WK^lA~&&e?@FsU?2jG} zdh3gNhx`Qm1~YHpKc`l&R ziyZI;UZ-^qkH$sdLSYxYCB0!E(1T_dJTi+#?Y$S$cDoPG$;lEwUJJ55v0E*qPApXUwLfiup5p*WcOW>h|qk0g$Hv zAGJ`VpzEmy{(aal_=A5j3M^xT0+G_NandiEqgcF20@}iKjZxNAd%%9$a ze@B5pKo}=hQ!RHBPoD2m{?d0Hq9B1FK~c_AUTob7=d%st^MpLCTu`lNf9#xdv|ahk zzXC%LkT_7Etk}X2`m*4OW8vbL740uM>?lAtpFla?_Np+1p_hHG@XA$$-=P3SsnX`3 z=D3tb{n{)2N~1FUdH0@PMEBx8@jP>gvk~^&=Yn14H0=C5-RID!$lsvESIU@c;vQeR zS82H1&Q{N#@^sN1`9q4e#-$D3aXk2ys|%5_gn8N1_1WroZJJBPaoofW9!ifw=nApi zE6VjJX6Slp0g-(OUzLNm$a4y$3ocdiBq@(KXyN!B=x=F7+BY*(Me9Nl z{^kbCoe?xi+H3zu!nGnQ?_B2R9B&LhQC4a~notd70YC5Da%vIAt=hxUxkrPIRAsDS zL-_|SJ_B>2Rr|5; z6{Gq6n&I&3utBRIEYmLpvMd=d93zkZ(Q|#?5jKPhJI~mOtuC=Bb)9vtYQ2R|acg7S zwoTm|wW!*F?jRnG1HqV2Tc81ip40$4K5V3~@GBpjh zn9t^%K1{mkYCd~==-t(4;eP05U716-@rCw2wth)mx*(QR*T3iMt?>RqdN#N}_+u7~ zat^&RK^@HkxHz`PL6`h7>r-xaZ8JrLM*c>3{-^<;{+X4NbE_!qgy4;SiBCS?nC_7~pLTG2Y=IrVg3m$cLb%VLP5^R7hgB0DfR&`;KxHym zEG%HHg*MrX)G4e*Q5;?uYwc~vI`2T31@SzwvV9(el?4-gfqEzD6WNJhet{oNni}vj z+vW9xof8hqj+x;hvsJXLZCoLM+VEH;I$NJh{V!G)9C%>*oNslk_bPoEbkdsgvzN8| zlGdggTrekqL$;ilZu0Xbi|r>Z{7*jxI#+s7DZ?z`4Yfh9#iajj0QYckFu~e*#anTS;~ zOucS8(%4lQgr9O9=|PE-tdVj>If^CPBuL-px%-EY#5_y|6MP;YIZe=SGNRilS5W)OxIY>88sEK_T?SGIHr6kaOUFj(EF*|Cv5SJPPiSur zIXvYW&pS*FkrCxzG(1aq!-Py;Q@{)T0vfR~#)JfxM<~jqo}(YYIvVZHaUw0Kl7UB>2NL$qyh+mowzx%7(TPRW;SCwy zDGGtBHcaRO@m;ZNGz-H{mNZr|6NL3;`o~5$Xy_+fY3X1M1L7VU5Z2eHQd|V-b`!KI33WvM{vAsm7?W8YyErzkQE!hRPXccu#)k(pr!4ft-zmu(mX>?I0~&4^f6RABMk?oHO9+)yxt}qG{p?ZR; zXVErE_~Wj?nWt_75Qu?LCzJVL%i!My&cd2Q{fysd%W=+t zrhJR5fZwd1ay%QXg3&PpNrB!To#)onQQ&>7^i1J9YMa$MyM@HESj(m+7S~$+rBRay zh|f->xFVb2v=N_Y#{SvRo^X3kT4QAj+)ClVrlp58X@^(N^HM+@HwU+ai84)r?8Q&G zWy%9%wA;i$XglE}+oxzJ!$q4D3NdSNJB*E88YQ^TY@^ERbIcjvJ#+1(qK_JjTicQP z)Sn&-ayi#NFxJMtixy?Dd;0^S-nq`>Ez8Vm`m~&1n{irJmBgopfb92Mp;*s5$BORK zKdtb@w3e;+(jepw;N8BB6DhK`Hm4-3BxmsvOdly7 TL(QO%9cB39|GobE>+AmkVhckY literal 13663 zcmZvCRa6{26KGqYxGe6nxVta5XpsWN-Q9{i6o=yOwm2>B4lPcR#hv21xa;kA|Cjr4 zPtIf}b25|UB$-Jv0la(nt_t=2zufg-0{_FB7A~$fPL7nE+-w|doRna98+&s~E^aPL z4nB5HE_N;sPHsvy3l|R?GYd);6EizXPD(X-N-YahN@;T&w||mS75}Mw_pX8M|Dt!7 znE%5@y#K>q?@#N2&ZF2OQ6Ryfl$#*TXMJh?)N~Z4;LfD8=VPdW!J0wY zZt_;e~Hq>Y1yLy zM!V%N1~W=Y`t8CF(tj+BLA-9{!pIQt3L32&r?C=I_O(wzx17R{{}M=Rn*<)%oanRb zCxi!K2k~`%zjZN(Lr9`3DQj4sf%`y7*z6|XkN`CKj__67bJh?3gGzLfvpWup_H^hY z!5!<#vC%T(i5(nTt=uG5^TDf9|5UAq`2b@PGl|T66^Y<+?c9$+8ImkvjEKh0X~F7g ze0nFl?7v|V^RapNR^`w1kHI#Jw~{0(kJQk-QuL>?!8WDP7!Vod%If9UEJsrV@7TK~ zNsI{BIbMK8`5UG@X4k%3R^_hl+sLZjlHM314s>v(Ax0#4L-ks;-g}HLM${Awl6e0K zBBJWJ@(1xeFp+QS-i7@|^U=iT;k}u1raWc}IfICbPr zG4-2#cce;7)#vyvSiEWqU7~Y;mo?kkz!6M-odtN-9D5%#y)lJf<&2YZ&#b<5&flM+ zy%hdomVVcUSt9o38#Qy709~_^XL7*EjmIeSW;QZa2{xOqyJG*;HObBa-Uvg7bxY>2 zmL7beLY^Ru!p*%hqf!gEdIUwoAe!L4KI~?7K`COcF_$))#2nK`g_Em_QwnI#rNR+A znDB8@uB8h=(g857T+uw@?=o|}TxO?kmZlhv@-0#{-_ z(_}tRLeS9z*)}!ZYBt>1wnBKg;N@&rGI&t?@wW41V|!>bv`m*}HTLiov`-zAhZ^o} zAq?ZBZaK-Vkzu{m56zL+r6aRU_djd%Gnj9;FifDon%@5kOEJjD%2b;_sAjM4bG$oy zvv75LxzMy|T@EW_Lki{;qD*(vp^mh}ej!j>_~8_W*-xWcCoSApqp)L0fvm_7cN+6> z;X4s2?5GB$UDlQSl|j7kMg=RA7R;o0pwQ}<$@=;NjZ0>vsE6Gru~PS32n%-TAK|oY zc#Szc6^}n$D!tTS=UcxF+8K>d41BeG%dmfI-C~a83L2fA+-upk(@S4@eF|`kOD?K4 zIGv{0kC(BSN;KWbAf(`UeaaIncCwluubC7peU&~6q&?pjtxDvY+$ee5x+u%L=X{Co zBHYlu?gZS)ergRgLwvSaeG- zCx6mQ<7?1~n6ne)i}z{XCIb$zH@S~scD!uIVl+qIf?(${rZMN7>jK2NvfwG?MK4*qe#%(r5mfIbar5m`{h!eIeM~aC1UcVRn#o$DUpnw zYo3mM!v1ONNx(S;XHXEoV4OCeDz+XOnZ~R{^|`12o8{HaY+>=k^3bqs0)mO2RjtD>xSft zSh5gqahmmU#1o;0`~1=7ST!3ArlR1+M|;YEQeW?8A|_(Na~8?cY_l3Cu_8fwFRTYe zc#?HYT>BcNiftNXV=W_xV#tF+7Hn-CsBuK@|DaHJ2VdY{;Gwld))+TR`yqs|Ze2w- zDfZx!+7vy4z_XM=|SWY+%su@vzp~z4X@4YpsP?BtoX?Bb;!h zANyFA|F(9bXE1~fXF3jgH;h?hrnv&yXZlqfMZQ^V0LKnG~>>7a&L*doU#`sUKzs^49?H_pv-<4cD8RDcxeB%}5MYw$8vNOwGaTsg4N9#LbW-oG=`t~zfb z(U;X1y%KDf#FehA{P}mduoVS^?E8SC2#W7xX3rcE_5&K{=9R4|dGgY; zmbsPZ7&hEoFc97lqZFS7c+)kNqtLEAFX5QDl76Q>{0OQxaHJ&S*&mKz%haY_%&&?ebq8_@d(lrJF^6RQwhEzxh+z9NSrfkB(`o6W2y-skW{w-CdfCQZdJWi%;ZE9Br~>oLvmI81E5*x0)klS3&|DP{bh znVuJ|8Zc>0152Z7Tf9RT^m}inoL^&Jw`{-C(){=BihnPv!5-1E?uN;b$3V zGo|nhqL_-QwQ#U8K=QD}c>d3>*CWdZ$jEI42z`Q$1GdTiSn8?ZT(ieGGY@b==mO(ceBNXL{u>9%}cqwSZV8XfIAw*Sax(f*4lTB(r}UD~qM;bQMq$ zzsI?*oK2Wtg9!nZD9@0xE6ZGFTN3nl2;1mVc(N;PudVUKdfv_Otg}y>zTFS##;`AT zyD|6C2XGuj$*Kg5lk~ml@&`ZhI>O)CtstE)Gy+y@ET~(Je#?CA@reB!FizZerH8j~ zN0$1{Y)_8fe1j6}YoIJjYY#QT>PF&+(=)mEcb>)H_Jx!D2xvKLN>(1c4SC9tv5(6> z2Czr#vHx*Hw$jKg_7W?TyHFY!c;ZdO-O$(XH0qVRVEtDNtHIsS{a3U~+FJP@eMdrF z;^hH}?a7)+EV8_OP>o^yPA*jJ=aH97wZVQlmkKVN()xHm&|O)ea{dG58DgZN!tktt z5O7h$#4!6_9U(wOT%*_HeT<_J8!jfv!V!g*^ohyvuOh4sXfpXX+}^#25D_LV()9N> z_5su-bq}wf&8{NidH4cZCpf<)%mF=1b)k!JhT%pOYv4P;fJ_7+lf>JYP3GC+F7>{opT=nK)+^ zlhd@$toc>!y@K|9eBAVt7)t;A3HU%z)S6R7yKP#*DTC2RN=>3wD_YK9>DOI@(gn+AQ$>eT6Q3= zOCIbZt9|hWeK86!z{jI=LKd(Y9|WBfU2I+J3g?e3EqV8u7YT?hH3onfk9nczj#AO% za!=dwsLJ?64UVMZ2R&K`iBfB3K7PAU+$5~2juiMc+&=27FP-pMxEWQa>)tDCW?WYf zMMSNEqP(MEfXG!LT?G9maZ!rE)I~~H5cK;yO@_zrSl;TB@}WC|i=VIJo0gvfqbW%fWkqu};#%}BuYmcCM;xd={%mCJ z|Ax>kistofSV#n~q%0yh<Vq90Qbi9O9F?Xy6gfZs<$+VdCB^ z;sPd~kmJ6+*c5i#zscSM}l?bP^uT;{L>-7}30G9PIGwS7Rn0!bwc3z42$F8Ja_WYO$tlyaN8AAoXI8xt}T z8-l>IHx-t0-_@@-yy?b7kp5{?!nU!GZC2g+yfL^~;k*b{CGpqVjv@Kq*+Mn1$O)(@ zK^>E3u*3Q?|a+uY$%o3|n{{juIzU;dE&2xz4 zD-%%6F4$Q#`>PvbAgZ$cJ^GkUACTIP7D#`KJlRI zUh;)Qp*LKOdVaF)G*-=E1F01yx09qdc$2UG-?dD(mM^51i>`jr3k+7CBHFl)aEw(` zv#>fL1%Q2xjQTM8gBh)GpcAyqd5vWTDI6zTy81Yu3!e=eU&MfJQ{-GzabC`Zr+v1W zQwSpHcj)43XNt&-(YW889!jo!Fe@jH{prx-ZRYZCARw=dBb>}jyJ=AEYQpnmcn?6$ zg6Y2-V#lR;WF3kBlV*GV9qQ7C&%yLr z!|h(WNjFlM5eA?thbSMQ0srR3vDObKho(&xR>7=W-g z%{Y0VMxEw5~+Y>GC4xGTs<dA^V%7ReyRP5rM4JHcpp*0at z;(r_$Ra%!qd98ZDedJA4Y-KKI|GSQVQahvQ{OOyJn{9!jte)D;Wg;{UXW4Qxuc5P~ zuE@gt;WBQp6elY^zLB5LbdP2F0#pCVSv}~;`LN#QAyNMR`>xf5kn8wS3qrQ%V1A?z zEdWz2WUw#37mHY5CbQOkIc;;48Y$pCwTr!gwQIoAY^}k%`RKg#@*PrUf|U{678SfW zEwnl5Op7w?JKJTs^kDxhV|QkyVp`b^k(0N+r*88P`_7xk^CI-i<-Q0C(Xp%SF}@TL z8q>vH9BZu5`!Amwo%6aA)SWWNJ`$-d`LvIEJ=I&tlXRmeOZrvpkai?FG?{)eCH2{T zAh_umZEJRZs9P5r`jPzej$-o_%ZSN)@b6d!-#1M2oT>?yv4-R;1H&9zGa#9rzM#P6 za@cn6$>n0YO~;@b-O68V9`{K0RH^LkQ()IywaQ8#WqNhbv?+SC5(+t zq-CG0`Yoo6)%*z(PT8L>U#Og0z4$eCq#6582y8$Fs}hif*-32#r;t&Z*gCC(qy z{C1?%8Ng*=u^r9qOh7X$KfUg~9=8G@Xt8;^95gy_8`bAKhru7(7+jh|=2SGD1Z{t4 z(Q65}k6yZkvE5w0Xy;JW`)k$svx(44mil$RosQo}A+>?&0l(|fstnQ?hf)FnoJND8 zrwj)qgzrbYzYIzd;rxQL2xJ(Ng9kG}Bmz=7IgvT3Y7py()_lfxo*P;f1tTW*Tu0ne z+w8wy%<0kXFD&u3jviI9$72#dCu||;VS#u<_6VZ7Q&^OZWa-B86%3f^#|CT>BA%@X zUvr_qah@|UKdp3!%y|o1EG;M{)v!<#9MKF;OtZ^6H9tv^T5XklDD)tN4efF-xZgH2 zJ_#wJUX&!&Dj#8&KGHweJ6ubRd;5Hj<igk^>%9?d9Eq}F&pN3CIyV>&1 zPMGbpD;Rp&le>0W`5vhb4kY)F{lCVrLJH*vwGI7#ZXai_b3HWs^H8En!W5U41p`N0 z*HINL)qL7b4Vz(-IJOjlHDz9%t$BU(dUP+B^NK4LI=49zX`AZ`%L~)_h(e>^S6&NR zC%NnVzU)n6O;>m&zHCk@lH7M+{#MMKwn8Pl@U9DCU-4jc^+_M`s<3#JetbmD3`q2| zBlTOV8*4F|Q#HEvmLBcL#G0<#v8A?=)TQHzP-MKjOo?Sctl3d+3Dgk{cq>>lUlB>L z)w<_ro4gepG#6xRDINrz5ABi$dOR?9y$l_T#pPc)t%`}I5m7iljJ7{I{R#9eeb(-| zei^TVz6riL@7BF;$2xUVQzKoJd_j6LdvmwC?TPvk*!ife^tu?BAENhf7tuVEJe_60 zrhDpMmSAdW#}6aSGh)uqRx1?gMq!RPmEF{rg!x++7ma^janh~EHrB_?-YizmjPhP# zD9;pEWr00OEEG;JHy81qeo?R=HLr)i1Je%F?!Sx(i5a9V^$glkEWADMQZEOq9I{;w zY&pgL9og?ms}u9}MYh6nHUvSb8zrx=*)iR%UrK%D5ewkDm!VO6j4W zdDZSwR{Zp|eLHgd=EffXlJD^EsY&oHM(fr5%E|7f?Qux2`{tQ`A#bzlo*x?C_s$7b z>X&3O77-wL0BNhbB3XJho)^FvEYKhT*t*N41C}tZt3=^0L5!A(0bf|WRDoYY<(7Ui zr-4aXE#&)TM60EbgMQV1?q?YwsEAt-ppu4%nM>eGA2EX!L&;4iAP83TNnTF&biwI` z4xcK>0sYH{N#ua*V*~2oW)BzS<+Sp&uw_B@z(~Jpat5@E5;Yphh62jjlC55RrpV+t zImSU8IxuHzXuVB<8c9q%@K`Ra zFbT_^W*HCP0S+o^4V}k<$wxQGSyR+omjPf*(amYr1iFn^Q67oX!tvH;4c7bEFwVy6Vb;+esL*vY=xeU^8a_-D!dh!>1YGuu_8@_V zvn>+oT3*be_r;>r-#I%|GxhdSwwDC-b>n3`0_5M-QcxeMQc z4@zEd9RYBdg{+A;;;<~=NC}TX{8Oyy^%{>Oo0lO53XPo69_Y|{-Nql0%@YuVPmPEy zx~S087HgQ)Q<$`Hf;FaIBV6RILiD^i&-2X>L75ZfMkl>SKFG@~giOBiiA5I?THS12 zh7J1|%_rYz$FfWa6}5-XW5INzo3pGb>a5GKU_#LzT+ml2qzbq!%ewqY>WMzu0|>2d zv8Kmz2$Ajxwl33a9EG1fdI^O$7d(c1wjG>kQm@GcbDe9IidS1SfLa(s} z2S$$%`wDq!k+!2F&Ru|9?PVU(5CHmhpi;$1z6|pHNm*;h>02_9W+%GUO~{DLp>9nq z;GaiS>go>i;q*bqi7^eH9lLOSU6*Xy@r8#kr~%g`)}%!Hhw)ly3SBdL+{PXSo`Li^AQIUW`I<4t z8+GLNS@hNl2w{zEm$NPliken^@)wvH#FcQ(?8~D_S|CycE@Rp>wY1!tup-r${q))7Fm;;keBK10 zh+{a`Olv+=sS|!n7w-k2ARk{P$HDtjDWbZH%Ek>KScz0OEAzSCtqTbf;B&+yp)jG47amfw zQh6HX{yF483ll}s5Qim~N6~5|V!uON=_x6Fn~ScbJH#4Bqp|rYPX85zYP2S?f%i5H zNSπ5$P05ZQC`?MYQXr@tbDvQ#yPABtF|xDU1&=DhP;#8a1+G#5|An+lix9~(+T1K_ zsZZUj|3$NgA8`)%ZT1|H{hhWu(@k<-*Us*2-m$if+`RXT&E~O=9aY)B7&iMIdpxS5 zwtdmakF3O3xJ1{u7^;~)g%2>Vs#EkPjZPV=lkz4-O&MzL^iT+ha0jgj<>8QR-|eKP zGA|@jH?DcH#!wP%&N+|hla>4Wwi(?gQb2gTQ z0Y}<99oib1UtPH9<4u~)7;i?h>ug^DimOTAz($jgU}p;u&b_%`6}Iik{~|M*YgK^i&^yA^zhXkrao}dl@7Z zU?i}AT`hFc|2x)`GQbp(349_U9cfUG;Zto&>S z^ACe`0lQhTFCGi!fiZhh?p||?n;K?Aq!ci#Xk)l(i*5U|GeBu{Ia$$Mn+}9$_|O~u z@=Mo9h0s=Q*-QmLB!g0v&`OGOsZ zLzyp6<-k%PFlFWwVDu1%v|E;*9y|Uy|A=^P6TdL0inOrP-rjvVvN{;BK*ZfHCb%LS zk~1+)S++;K-9qG4KpJ6u~oA8I{wl{Y6HEbzj4ZnQjzcw4r&M;OlGLraBd)zf4u@DW zUK(jezKV88QQRfIlSyuZ4^m@_MttJU?h=b7xDpMXq90z^H8mU#DrPP^l>qHHfOd?@ zU63SJKjtd#VKdDAnPn2n1!uBEvX#Bqk_U^Cm=yoqry@bx;yX|@9B%uWfa*<^UI#v> z_8QzG@E&%oEZZB}ISn1Iq^H?rf(kE6y=Ant(l2S8#ZVB>`0j8GSQ9mtX~DZB1pcME z{sQ_uDh=<<&)wypjN?Lq=}p;@$I<-I(*WS4ym#dLb$)`0REOw%eY4=hEP|f<(iPqA z%M`Nqp!CS&Y|dMu3d{E%c3sLM0>8>5U0<(4iArLC++M>0DAO}Nv*n;fe`bkRfM4LR zzvj#@8OHn=#9sawDhZ`JP|`ju%6i1hgb*ZfKBd+mm3;U%uDsLv_OoyVF1ds*00a*GB^r@{mE8WI(w$vmDBI}RXf=JM4F3v}j@2)cGSwbs zy4_zVO_YXbAyCcmTSYbe4(oT`^!A$n*L<CnVxuAAht>ygqHQ{Fa{caZ(LW+>&Qy z*BwGJWe6}7h-%GP_u;}645K_fHy8)bpU=hAf#h@+J(902CSRf$?-dgPnvl%iFlc!vRS!4|^ z4r**eT{n@8d zKypEvlf=>TC7!Eo^!+OFd)n5*Vw39akK}7=#4{r111hF>8s)V!&!uZ;LJv0l9r3|vweIm)&rV?8 zSHgpAViF&MgMoFo97T4l?-L>q^d|(!;uH}R?5dnN>g)|*KAaC_)!r#d#DlVWNN{68 z65kbmlG<#CWN$`ge-fw^IvB2UMpXVJj2$OhQHBxz8d$_M*7KP!qEX3q!0JF>xXSdt<2!u$^3#2;eB#~xP&?c z&}^m-#U$`wC3JZYi-LAo;rql>e6_rdKJ?~1yB@rT3dCR?3d^N-hY$F}MOWsoUA6x( zK!;nqB7?Jnt2ucupYFFnK8h-s6VaI)kyE8^Gv>b9h61QT15(gVd$VkD879`8C#-l6 zlYw^ZKs%qwFWrM%eTVqHs5W+}JTDSDyoXzmw&rii4Tt^V`w&#zve^OfgMBZZKhG`` zB9MYDu8*~9NJVY7T_gj5Piny?#bisZo9YCoHr<0=hcV4KQMR^ua~@wI*xSYw6jORg zJGXb;q2Jv7U(m1GeJf=UqD}(fsRs0yd6lPJm|=nqKu zS*9}}_|-~P-|A1zcqx5J9qwq@1=NOy`$yMVBtq3#P(L_$ApzB+(Cj5AwSB!nHD}mR zd~01QMLKmN4Bagxnf!6+>&qPWVB#Eq zSV%GW$#$*PsdG#CIkQIHxyjG78CP!D;6SCH5ji^^q1VTLOlOR0xMUIl@$am=aSBHcCbdTc34dU#f11F+Q1Jgk$u_ zNUr`fk|yMrhU8peEPcuunu_U#%pN|gHhRY}ddCv_xL3k81+hnN7k1K@#J1M&Wl!!8 zgVSOKzQ}sg0?s~#W!+Kud>Ef^d#8Gu8e9(Cg%!($m8iBh{t($lo(P3mM+NYkQ_nX< zqT92Q-~nGPG7QEJS+>x?#vTbj4l?DX)UMU|I+7YvA~ie2De|kNb~da;BBXPMfD={# zEpuvcl@*pB2MGW!n7IKkhWNtnd3g5WUbV6Q+&KsuD+$8d^n{N?#gS;UxC5U@~lDMMW zfGWz{-Ds2BqJQ%!Uj!f@1DLiRItTyzW0gm6g^0`m%po|sGAz&T zQZYIQ`*Tv@4OZXR_@y|{gJMiWk&~|~a?AziTkK5%1htV0$UzY%U2E5p;?ExLtv&34 zbhk>vC zz*j}2Quln5u;(yo&=@dp8}EUcc?SXi0vPQTCEclrG-xFYH6`?1(3dkn$?dGL&@}tq z3)xAI8^37qUS(K|Xdc}2v7ft{j1cS|`qIcR2m5h1L;*K?wb& z3)8PJjUt!RX-ZP4^e!O=J~J*ibzKX)(znfMq}k8ytHl<(N;&^RmH6a5)u4Fxcnoh# zB2Rl$|I2OY9ozT#L87{G-Ffpf8}ayO(2~0n??ZY|Cju%%*vqw05c1vcPvOtUa<8^R zgN6$uuz1?%*wBS1&@)#Nd2FFgaQXh@hZ`Hi4gd7Ybjx1k?1QvAfmh|CQygSpII?`j zr+8vFg9Qetn9Mc0Je|l4I;YHJ@kR12)u3@#V9=$ydg-Uzcd_1m?86I-KQ7n{#LfXj zJVc5oP|@C{YsgTeCV`pTnv3BVAJC6mUuJLJH;8YR7?Qu=gQM!rG-)B0QQor{qqp}i zU#_M=qz|;FoTYXwn%oQnL2wyUtogdoJFa}+TY9J!4rCA!_43B>Sa_s8Ha3IlPx7p* zeChF*1b>cW*rVZasw`mHR3I zd#hZXAp;jHGNB+eL7syy7>wrp8y*$;Q4&C_8jOr0W!k$N5l%s4`&ELL?v+CtvMy z&4i>R!h)s*5x@i<^y&>tL(OhuXNGGLC^QSJ>h(w7GRs`PG_H<8e=12v)tl4{+7C%- zJ_ZyOZH$&_h+st$dbjV9G|zR-CHKFdwjD?CB=PS@0@?>I4%6|@DSa%pt*}``P+pbh zQ9RKIZM}t^9443w{ROLaR8NufF+l%tPmaR1bzLCm1oz$x*f7Kkt z_~YjFVR7zP;oW+8`rX!o9MCwCG{q~ zt^t>=ZkLPrAaQwCb#@0l+xNnD(nMW>XMu@7nlKJXmXEh*b&jj33BKoa*YQnDg@{rwNj3@iEwlq~p_0td8(w26Kp>PZ#=sldn#K>CiByh64m(EpIm?HJ|$197R49kTU4i2gXOWw-s ztR6T{4#(}vhd4tGlJULu2a>bOAo(+`70b72Xp#!zKX>HIUN&>X{_*tJ_v=uz4$**U zMk$>BFFrkU*7C{-3Cl7WJFADkEb;WUxSUW7gwZgww^k<$=lF%oH zbJ=vt>}aXu4|`kGW+?jkTA0Dqu2V-$m#UYLwSRqBo1MdXLKX-|GURjTF6_uHkR*Kc z)XRtC*uoDRA!fm~2t9H)#hj+eS8pz9YmbMVl%Gkd2P1Yo*2WW#m~%wcdic_}n??6mKFU}JcenGHkux|n*PbTe9?fbfzCzT4 z*it^e9ODP6?e-zt9?mwEA1?j+f?gH6b`sOTc!aYYh6Y;Mo$wP|i`l0vorCZ2*;?vv zAEMI}nS0Os!{7sCTypiFyjHA!9NuXI7c)Iz`sG}AqYQmAktkb}ez%Ids*mz3e`EZ_ zf#rilG#)ipA_e^YgFaH_!1-pkQBP$LxB1u{#Sg#AYP@1!8Elxny9xX)Sl!kkpxy_y z#s)I#*q89DtK>xWsNh(~l;SUVThpby{y}M<4?;1x;*PA|{Vl`HuRWTMkS**uiGL75 z3qF_cz5;1J2f(F;1Hvj*R7Wd_F;IsyCaR7il;+G5zf3#LhNz!*m^{&9@P2I9Nagkk zy8Od(Kq(vTG_{D5I2uFv-t&{UoaaOhl6zo`(5eK6(Il`xh46jE=xR}+iMEaRd?O*8 z0}Y+cJ~j_AwV;|A+Q;haED3^Wq_0M3UdhFB$=G~zI-%vp{i)k{ung;ZebTv-iQVIB zNJAzo%&0HTD?ln+)^(7qxtS8<;mXGHNoF+i6rl2hAis+A?Unjn2|2WiHV;ueZu?Z9 zHXsMtUp&$&RQ*e*i|vpRArhoG;dmgpmvn4*?rh3c>q5a}-%kcXbT|4mWMrFm91;(k zW;2$46z1Xi#YEKHQn7O}-aCAM#oITdp*02{eeHL}WEnO1{qicZfG4cRPZc#R<-I9c zmsT42deA0|S$TW)^6-MBv49MmchW-Bgbv0wEr(qhdTh}5zG~s6&Re18;iZVHl#ikT zDi`fH#y9lAS_X6SuCjd)?f#71U5#N{CQ~Z>rxZHfzZMle^Cpt3(#)h7@V-YNC=?zKZ?%b-_lOoQt)EPuD3 zD=oj6YJVkJxixRaO=dET%6Z&0uDv7(xpZdp+@Gj-pScR~gkYJV#P1t)*apOiPcwFU;e)@*bV=&(AH&+YMMf7#Pb3Wi{a}>jHoZR|4w4dUV z*mM2qxKFblO_Zz4?eDR|3uPW~^Ezzz!yQWenvdiBYpblW0)69`!CZ6mnfwz~Ty7N^ Pll@|6`d7sNeAWK}aOlwu diff --git a/cpld/db/GR8RAM.pti_db_list.ddb b/cpld/db/GR8RAM.pti_db_list.ddb index 61ca8da4304b6742ed6ab43c2fd0dc55e7764c60..89aa9b430d177793e191e5a385085595d88cff2d 100755 GIT binary patch delta 12 TcmdnbxSw%C2h)UviCv}uAQ}YQ delta 12 TcmdnbxSw%C2h)SZiCv}uA+7~1 diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index 1414cf3dfbf07331c8477b6f11384b616e457126..ecea7f411ed338390865827d737fc7e424d581d7 100755 GIT binary patch delta 66 zcmcb}c!_aBHshs(32ohHQ^2vq=%t`;T$LPaAJD?%nh6=^~ZfH9$%sfF`*$v6?P?`l9m`Z)fFK!6DU%RK!5 z%kH2TK0h<{#geY&4DCVV)Bp*PKZMBcq@z2>Nt$Y*KWZni(!Zg=~(QwE+p z$skC2x*94yXY=D#0Lp(MH8xTBBaPQMABEy|aMD?SK{y@*K9iZT)%}m)o2Y2+zJl^$ z?j1qVZ_+oQDl4uZ$mP%XllNy#zkRa6gHnQ$guXVj=xKQheV%L#*F)gI-9hk7Yp1Yj zu(8?}ZcZOsR@m3m+*DMi)Rm?S<(!S#Ok4fRsdUdxtx-0fUiz3_{7A;9ujO}VSu75IX9 zxd9-sY!95ZT3rI*KL(ZrK@F>lUP`N|WmvDXb7kSC5b@~Ew4mFxSGb6yp3PP7+NOyU zx(L%tl3JwEGX|N2fJu;gQPn=%s-UV8k^8KK{(#{BS*XxSQv!b0fDT8 zF{rLWJf}VuJY8}ar$3($RfO9Fv4Mpzh3o`Kl^D4brU7>ado_KO?FpsvY%_jUH5^VS#XlB+9Rl?@5s`G64xfU@&S2M zk$Aw{wTI!vVpQ&~ymB2;{^|IRnpC!ggz#s~bu)dD2_?P#l&cv@EmdF`T}snyT+grK znYu&M=Z^(nQV;4AK|<$8SZ`JCr!zr&!8oEAMP3owx~j9Nnx~NtZS8dGId}N&k(Qp6 ztCHiD^x}A7DuwD@r$hSj(LK06KbjcSRpjGE*}8#TsV&#HXBOp7SIrsvXW2D$!ONae zD5UiG5~(S>e{2S~n4{ff&F4-$C5@mG!`@rlGWK+2uNBu+Y_KIc9ZAeO^!Y8mv`%Q+ z1EdUO-}6U>#T}>gog{P?*bM4x0B#v1xzB5#DC>4)Runw*-HinnW=9Iux`zs%I9Q!Pn zUQOrAX5KXyW)~9l_{IW#71>-j)AzjTkMrF+6FroP7i!IiH2NfDS$;|M6Cr*>$Q|h) zrE=6C7Aeow#XX9C$m?IcH_FDhG0y8K_yu2wg<%0`|KuK6edH3F#*^dghsi96rJkkK zk4&XmmYuZt#>X^?469fls(Dz@ zXg{JW1cfYK=R>`0Ruto;Wsn8?^u1Y&qehi>j_#03()p3>beWPA_D39}&j4!urEs9s zFDzj52?ka7jJORZxZWxwWP5`VoU=tg=E+jXY=6@wd$kqG40}4m4C^Ii$2 zeVCQn5*07TKx>#(@XSCKv_rd$;`;H_qh8kk7wITftqZBFzZ{7Xj5Wqj6~h9_R4^UU zzJpoZ1&#jFsn9aN+KypYko}Eq>ySuYl@fB^b)e*cn9hp*-1ly8k2n)%b z_EdM0Kj0*6U6&-`+@gh@LZw5*m(x-x^`m<`w^(s?)wvYO%ng6h$=bj& z5)WXkRpM!Cm87cws{bb>9o(90a4q6;j*N7I0Wo)*JoKJ%Prb%iHd*2)kyIE}f_C_I zQS-bkNa&Zl8j(`Y_18kjT^MWz4%<`M_Z&B6a2(pMity>p+zw4&W~5Xq^;2;vFy_>ah5+0*Ztutahv1N^TJr&>DxtP3n?Bf|BqRD@%>=^!M zko`;m5?SAo$@-H>^&K$9nmWN`wv%!}OzHlZFIO`nNj8PSsU=leL2ELF=?ICHJ$>j- zQ85W!+LZ{&4kZ{}mZ%hA7Sv#BMCbH#@X_+aSif7n2yd!!Sn?H6Ux%{zSB=gf#y4=k z-5jMA2zTKIXJWW*f(iac(2uzSg*EiocZAXNUhG~@+sNd79{-qWX$D;RYzC_tatoX# z5})g6$**D_B{AuII8JCptq$(1bx?e`KnT$%t`spX=83NGhG zWv6D=iPbemGoAiS7Mvq%yVQmPqp7J7E;F_XE}q1MSGe0eyvFlTZ2>@w??EJ?2E{-3 zOZ8VW(^>DqW2jUvV-#88g~+oe-wjU$wvJu6rXLSxtsnTlg9zlG;oI56N+qh7gVL7R zUqxJC6x;DM_Gff$U=&;N<0flaDwF;Zjm$NF$+Rtp9y+@;V~F$2sX|Z6NaP0*k!*;a z`6Lqw-GxrbMyj_?!eb}q_POWj^Cs%+*oe}KhRH1DlcTC&Mrrw~ZJp|^t@R{-pU$%X zX951RYX7rr{gVJrKnKraS*y@K-@EODGrYvF7hCT0XCebP<;O zF+p5x#U6>oqO^w;uJES2>f7Q^vcyalgso1?D@&xW$#Kx71+Xf= z{Ts8B4sHt@((Y#<2S(rMwyIuPn_3#${E8#n+=?;YNI#moTq60noEKwST6qC(=PHA_aN&% z^c6LrK(zPkfNkyBgxJ`=0=u$v1AS`g`17`|_c!7aUgbPcoL{?>j=7z$LbBG^l5_n> z#K1gZWwdB#j#8$a|L5LEIVDmJhS$g78<#AxKEuUp#FNvuXs7rX`0?knV9-zi$yljBL`i{q(pOz(wvE#&3Y zpJjN`wQnk`Zb~k7<)7^S8)#x^j+TSs*VHDu4^rNZ*PG!FV8bRrsjEnW0VE@+mDJ2u z37s?(-cJxZcOay9ifk;?fJYGT6X@xTu6qFN0VwS|!(h!T4X1(2>;p12V6M0H0q*hgCZ@ESI`uf60$!{kucqdK6X&dp&4yX4P;VPz4(HduqX-=XDnb0_^@KJ$_k(j@R^GKkYxDwnY@;Uqg#`;jZWI{goq78P4xP=YIvLbYX+M zAU&*ANcbE`v$Au&<&KUNJY*9Y(DLc+1d>(kMt2}2p2>F$PC&^Y%IJe01)}#QRH5+y z8^C2_a8k61(4*!LKwGIWQ)oQ7og?mjot!|fG^h%llIav)DseaceTL<*ya`oJFq#YG z3cx(UiYA2gbS4S)&t8Ex&V^$8mR=&iCEEX_p>GMu_${r%8bD%444#vEW8IrT^241K ziH^QS3UkK0AqCr$GVm+op5f>&G#@XT30eIZxPf_3Ip4mqfF2JnYUPed+8l!)C3~|q z0dD7ZMr3byzpU{Q-yEXON;-Zb9chZh*j24f+3u65ZEHS6nO`OKT>mx+T)!HHGB+tt z*DKov`Mv7>Cmh6yv5)@RG|Ge?^NXxZLn$C-&u=>5b1HdBOTOI=~#bK7fAi+z-{O<5h8U*pN1ayM)BEPLa~6Y zYwlyX*(S5z0pgnVt&8BSrAhlHi@wdj28fRVkXv3=)06`#pSTROxbBjGl%=!-r1|>T zah|1T7YNTMBD*t&L!^29xVodmaRbvBp_9g%Iq1s}^+j(0`mQY{<=5y)LiHbmpRFI#AF>RuxMu~2NIl5@o0BEB z0XBxolRZN{B#OS7K~a1AiCXsLLCw1Ft$0#J?;G-Y70@oBMw$}(Rl=MlVqbIUhI#q! zd1w(;_Nror17*Jvt$JOBVcoRydf1GWyq0yX<$lb=F5KKCU#x6_Eh+|)Yn_FDnWjLk zqu^lFE^G>z9#Kz8s^o9q?cy;@#+)kXE_*JZ z`BX}yIXzPO!iEr5YWvY3kDujS2Vf*_(AX8q3sW>(pN(;v2ctD9hiwO`%E}dbE5+jP zOVLUU%SM#cX>GPt`5nDcc91p8DHMJZQfLGrgTe@7MX^+l(_4(`@iG^kFCPq@MYbeZ zI`f<+*8#JVvLpiOGBZu+T!?yswgH_CyRzKGtslCt970eYACn`;%Hp4Ce-&3x z(zCl2gs)qOax{V4kTc!E4Ra}D{wI&IcXWTR8D2s;t~I0XdEb-;1f9nWvZ!QVXs}n4 z=AdP&B^dCbp7VnrqZ9*6EtCI28r@H@{| z^i<|`rbWksFUb6srquOa1051oM<>w%iE-978B~ReS(fX&?|>FBZA~(9;CZ zwO3nKX{fI7tU7D5+*bQ4eg(aScpnh*dYT4KHqwQDq7=Mo1>I2GWZmt z8stMSn(MAN)+g028>IC|p~MR91c5!*{L{aYetZs1ajO zBW`ADHtms8c^`(~{myK0om84_#;|FSCVsh9$j?s5f-xWwweGEC9kX7RdxKb%ymxSn zPK6;xsPHDy5sFX)3oaW7(<7HyO~^=nX(v7GNLh$E&Ec(N#ufWStoQ+wP#AR0Pdt3D zfRApV5@N?KXqrO29?6zHFOLal&vaJ`*4Gd-ZE zX#T!q|Jhr2$~t+(t602NGCR8;D$kNh5$V*DcZlOuGSO&^D+pjd{M8^{HCbI9vO9b1 zexg{ZO37Eu!|{}~PAO~btd6!yQe&=2soQJdIkst9b4;Vytbm;+Uh3gqCDGqk$$6c1 zz$Es2sy5&7nJ(|gJx@M=YRpHb-sj+YATauGUVgUG*Yw!*_zsx;#lg!V1mY3Oo>~}( zuBHu0DKx0|&bLIUrwjG%y(dc2qwau)RW$*oBB)*(b*_mp+fBEa6VAyu#?TSg7)CscUn zTUSf|631WSKH9yv&C(>>u`VKetegvipza9u5(V(z5O%dtXTN_st~DFyl(eu!8DNb) zUSDK3)*L1?%#uxA4Ck$Fad{rSt(wja>erAIjEUT%06dd#n!%CmR zV$&9EaN0a+KlFmi2BduNGmVtAyYmB6M8a~RuWQcqiyUmb6aA?k^lJ}pGVq@>uS@pJY}8CCoUNk z!6wb<8m+~34YkyGit^0Qe7hZ&8FTXySDfwer?&D=O6mI8@HRQnXnNk{?biZ_m%bRelN?my+|?e z{kp&Cpq|@bhiH3zN)b^1xP2L3>$$N0aMS%fK5k}6_&P*U?$Lc?c{yILk)p7RG=8Os z;k?Yj{n9p$Agh5cd8zq5mh+X#ee9?EGMvt@{$~G*^YZeDC-8}uFTe51bSu!ipwR7` z`I$iRLDzQ3@#|U4d^KJID;w62|1JRRAq|wwuoj`;tcarN%YsxM2u)toZ@CKnDmMo%1%-iXaj7&=r z8qga`Ynm)Yi)lNJu?9w!5tQ(0tWj0UV<4DBq?gKj`p76rV#qM`RU9Vs>XXeJ=E7%N zCKiz@K(g2ZQu4;~wGxff3Af?^uxi`ui=lAiL5Qka&dntw#8$#a&3)v^bn;lqqX8q7 zLnuQcF8nFRqQz-*UYo(nr7@{s!4LVt^kk{L#%Q?>;*?kcn&IYuf-$(!MT-MBb*q2akW6%q|FER1Lc?_=2y>vnLx@5cH}yzp8gOm#yrUt{iA(vJ$JjPq=&i z*@I#&uC+`;jXurc4iDa-FbT!A&CV4V!L<>SPE zb-v)a=bw*t5ns{{>5T8r%a6wD~QWC_$r9T4qR;(^BiY$KiD+^&PEoYH!ol_ zc4G{8V~hVI63`XhT!W{PEm!YwW+~v20p147{A)Nb3v!JDcg=C;b2YCDcFVTVQ1dShfSp>kTH||D(fJbHEBh*Z^y?GDl zB^mssLhi@F=+ln_uN)B`Q#Xx=2mB@KKPPNNRq8tzOpXCr1~4NoJ-AJ-0%H>;T`H}h+V zOEBOg{*35$Hj}LOqRbq>EglDAp1HLs7Z>=D=Ya;Ihy-@^rO(gg7 zcqSX7b2F4MY3 zhI@(3?1?)<{23-@8gzs*)lB^$vR-R}a&|TsE^FID4jBM~Q-~DH`xzwAzA7(C{|j2| zuu!I>Mkcon?PszJX*XgDxwRwg6IlwNUFL7TtK~x2l*>;)*Pq{UBm7rQehoh+xWYG< z#)JY5-flu+d3>_kIHf%_tMD|f+8FD2ds^&$OYOrWK&e@xa9K>fO2LP1}sr=O7yL{!D&MKu59u5F*c)+U<%Wq z-27g!o~{klOun{<$H-r201dAdi))h+;2)-2i}pxz=2{2dO4zuifpoO^LZ z>#W$uA#tr{Qu0k@#B-d?h^%F{D^kK>F@Xyjj*CnL6krZN(IP1A)1;n|S?=P_Rtvi{ z1Xd5+#x*#4*mUowhWQXIi~2O;ls(&I;-eylf5-0Wn5`QU7FHV5n_G2cpcWQZ9u~qF zYpyKH_{d1@%ppNusfo1+yt6qN@_-T&GI-u4t0_XqBp*l$Dbc>2N=H3HFDr9W#4GOo zvl$N&VAUpQjGP1XigB0Rx{)o2D!C1oooJOGuIOZD(nNSornsKP4FZV57KyKhky)Mc zvu-%3bs@;SJt62fZpt!=lT$Lsty)L*T|{3mW&78&@H1mfQ(j|@33m#)Y30;42dbB~ z^_wraw44n(!rbdZKRXf!`!&=B+Fx%5KVdMT4!h>RxgT_GS^7P)m+aR< z9-y?1jBJ2fO?0O>pw@&}tExV<(&<6*?!kD#-F$34a5-_=WcWF?J$H2#egCqT#69B1 z1a%wxoAgJlxVLYwS73mR7xT2;;(&kMQ_JJ-gzgbh67)%MyUgv!Ins|O1i+=g>n1-l zB0Tv+|I`J;TdaCB6rqQPJMBKSPRvc2!{C^IwI=E@?a{GoAzG5vtQdP6aN^%F`QGo) z4ZT4zm<->ycII4R%Dtq4)(oo(Ti0dsEj|`NQ>~zqFU40l0iezp+$02Yng2I`ugb6Z zB6tX%gz$e1o^dF1e0iwDoS-3eb>{D>7w9tB@m1Xu{%m%ma+Bqt)Hp{b6E|IbO6EfQ z(OWa}zD@ia(0<}fW7W{@4)oSf8@mu)S!eBCU0bt=b5pXC&c?!*W{N#;kgTyih&_dI zo~+SIIKCn-Z6Iod)RvUofrK%vn51X+j`*8XW8B$Z{d>&5$NG;G#%s$TZ!wQoN#0FKZ7y z*%41PB`jqc$-mtg@jLg21bS(+nH*P!-;t6YPwt>HL25geIv@v{&`PC;%poSTSFDSA zO>l9g^couid-TL!GbGX)77{;aOsQ&bs9kA1)Bav&6CRu{o3$2891Dj?I~<3oqA0~k zmh?kKRf-YtO`1}SMc6a7&aU&&|TEDs5bbekozgYen?j>Go&7SGg?3NHLQK}$T^|TUUuWHS& zqJ2I2&fzcw%M__+jB`%5040ysSgCr%Wk90C<{wjCuk^?o zjoTA`v0!~w}S*8 zJ?5nL^)t#*MN~ISnb^4|GRf05|FR%249}a1{Ws7fb2bt`kTJGUN_{_vWx46%o1Wh=kD8TH zd@{jGmXYFa%$D?KtuweKWSKz4^8mjD^%m8l5?B)F+CLJCZ&emk66X?(EJmk~m|k@y zi=uNITIDg&%)2+iYwU$zM-sD=CXtyWk!gcemRu%!E-|GTTsSJyRcx%ZK{Bt9$fKw^Br8xrS)8GSIzO&hlZpKXq>VFOATn#`K9G$H z5of2b1~0*R`9JxTavM_8O<$>tv4-XF--3f{t&f>+lER}xIo*VZ829kB=csb&e-{MH zK+bBF=<>(&QH56}V8{~l7nD`0hlREBO^g6#8jQ)urTA$KVlJMCE2l{&B_}(amu6v} z0Hva4j7`EbrTay(eALo~TbP3)Pv%OrLkZuNWwmmSHabH7ROOm@$AKNS!svm-bV*}r zI#Q-0-+0t6)x#gk^sqS6*9(u76PBsRy#H$}}#e9M)}ca*9+ zWk3tnvN+cAg*EKMk`xUr9T}T)M{Bw&+$icQK-4Yu{=^(}d3K>H9R#%vwRAO@CTZJ5AG?%w(=o(HPBwY)!fCQ+c*4Ly6s!W2mb@h3BeOaZ06pP4Vn0U=g07 z!qwekdl&Eq&v41p$y?H2fxq54#yLN(U!~90?AeqjU&Gw&naADPn4?wRbw(~N)Xw#) z)~tbINvE2<#UxL%AJ9!-2PVOidS2DBi7Eh%+0M(dkEe9GZy07i%e`KPI&^+#k>JG2 z=~kUlxc3|$^al)SlJsy%!ri>3XxgbX7`_A zHgtZ~{$M8#;=9;jdnXXXk<}Tnz!w7S3T07ieriPzL`RXM`v7KHFNl0c-DR~{s2 zZFnQt=d`$e&^p0_3bDX+XJb@kYgB~(kmwq$urs~pIQU!WPRUWQ9(&Jufd&ul&WWj| zeFGb>8U^R1$F>}YQ3wdpkh<{b37UL&GMmmt1k~R=TDfvEhhQ`)UlCV zYmb|uJ_`D?u2tFd_MeF4MPP^(_-2!5OMZu$xAPF}llTtiQw6ASj5&SjuU`-JXBOCJ zWlu|Ue5pxqxVcYaol9&JfgJ&&`y{-d`Ci|gApi=<#K?!{pG75X=YWn<5QZ4xC;Mha zhwNE|5&qKiE&r`uKo@v_pom^FMPb162pWY=EN~}5J2R08KOn|M3>X^$&-RNRSHIT2 zwX9>SBNTh9^L3}szmGi_!Ox+M0j$t_LKjX{QQ)RnF@!7+&JG@+i9PlYoe?)tv(xPl z9KY^0AClgRy+U5yO>l=Ix_2o3L}N)XGcAIKrIz!ch1EP!-?=AQr98}yI#_g65*{V6e#iqbRY;!%%1%K?k zfGG1N$wqF0N_r4Df+89@t-=DD$zXqCK)`k-Vt7Ij8nfqKD4(C}7_ZWydHX>>gM;kX zxEp^UDyN~}Fd}#a0pF8>ykjE1!y@?mL<(TaS3K(;M5O+8xa^w|uJaqL(y)+i!~B0| z>UCcR2V@Eh>(vT;_!t==LXQayT>m!&_K9kah=%WCQ9ybPM&l24^+$N|>!D-!V-d)!uUN}19IpSp7Z36^ zsidPD`kW2~!#b{$hMI{$e@Z8Aju5lgg(86TvJNBE3-b>US06OIFe1Ec^B$1!9^`n8 z^!$tc8WzdkBl3g%;YXJA&dP9rC1_p+s^QA_;J+vH*#jbh=Og2!^5y+7=sYk#+#qOl zL4{wN0}kRx#w`$II#kwNFWd}Dlx%d2zOA|n50`|C=ujwQJeV7WV4F^1%WXfxeMZ~4 z*#ImlUxc%F?0^SeZv*Wt4LO#bki?DvL2>dP)@HVy|Brm$u zUhTymq?B{eXL#9zXnTjK zAo@S|%m!mWD@R&9w6>3l1R#RgH|;bVELYPq)HQEadc8mj zvRz+_qs&rvvv-_$e~@5b%2-%r&H7qXqtG~(BAhV(8N2l1L{x3}?=5cY^5Ij5EZaf) zBXm>m`>M%av+w?&6VyNivEu93=eAV1Z@Cj!Ou>bj(`^trAxD@)2Gq$w(HuzYj{wOE z+n$q;1;8ACTnO`k*!L7xYy|=VAyz));aB3rAmjTcosi3@f|VUR22_6rs52;EsR<9^ zJ*@cq#*Rog9*UEf47^m#oSg1rZ8-K3*XgN#vh zpwc`Zd@7I{yFrYDL>WSUp46ebUW9ic+&cOsvj!-w- za+Wzbp*loDJVSsE0=2cb{2-iaTbXN$2=Z;iO}Y4?+lo~N7tkjLE5M|`WO{>q&n5m- zWzaIOW|{*%4WZNZq0^wfE7q_GZCSu~)Yx0F?S9y{6yU~p#DfN@G9io*#&i2dXmaf- z5suhaO$M_Z2*^l|`lOb4j2O6&H1L|z5FRdVtJ8|=ZO+4HV;ba@wA|h)2^WJ332TS{ z;=n_^cUg^KCo@5SuPvD%9ln?*mC%vZRx3E3hKPJ_u$Ge$!#vCXA(66C4oK;=+Z@F}Q^6-*&PnXc#ltJ~LmnvUOAo%FFV>c?(yqw~Vn3r3Wj_U&jik0za|+g_m_xT&~4bb##p*jo&B zm@Z|y8ekps8f<|?8#Tj^0Hpdqz|1ib+Z`f~|N5iJ&R8Ay5e$!!6wo}t>tNSr|6K~2 z{*X^_%DtK(=Wxd&xKjqyZ`hitW!?dAywqFIXUK@wEHQ5s=%KsrL6Ofu;6|^=)dA7= z5z#hi;f8IEpd;5FBDw=*#0tm{lT{flqU-OTI|isbD8fG~@`)E4Kt@#2@K%X&=A>VG z-l@3km1p};*k=pY#e|8Pz4%#}X$+e!1{lL=>&P@mRAKrw_8Z;dXM{TeqH{$>4@S(( ztVzi@Bvg1yp8?e&+V=B1X2w1n?Ve{CrT%@l5OKJhvo{j7^UuK)yA~6}TmaA?pBH{r z<=uI)#-FApWV<%>O=|#LP;kG#;{f3{7P#V#{~IZ$Hgvl_R6=XuT64gJcJGOH&jJ1B zN5nID#4}06GYX>YCI2XlN4VH~ni%gS%`F_wffo7=ZiIWbm^DN|-6~FPr1}=Cf>1)f z_|_bIL7RoS&@25QyVG$Nx-`OIP20mmRaTANwY6nAU1p2Dd23nQzKdMdBwa&Xve(Sr zP%xGzZU$oU;Oas3zxsKN8mv`AG6^MXV+3MJJq^zzt6%sCL~&z$74~nVZZG2rPVOVY zya(L)w@`wLDMdUm)n;aPPA`$4kcjn6wyDbs7g#5K9g7`ElYD2LtsLlr#w3jNe=tSw zArdDX_8}yrtIj6;1#Qnp{B@5zmnoq3h>8OKPIUyI9u?wRa!@q_y|(LlnhUC>4&V%q zXbi8Sm7gLy>GA2=9tfN8)Ie3Ho$<-qJtRD`ItrZP5xfm{E`{&x-bSdD$RHrs=q~nV z7pNt>SyWxiF7nN^I#OBQ!3AX96GQRAYhyC;=S8F;{C`nQI1}Ker0d&hICZxZ?6KU& zGN)zket3tGC$y_5q_A}^d!W8rc4IH!VyP+LW_&C(L;z*fV!h2)1}_}3wplKW$<_uJ zyD)7RgAA;k%w(*bbY#{mqnB3kvRJg&c(~VpH*vJIG&!?o*m2FcVf#{@$Lh<4PLDsj zKG0_9oL^t+I1*b6wO~0B=t5>?qZR7_=2+03l zM_%IrdUsY`;6X)I{2xvJ!K=gjVg9K7DPkR#n|$50tr8I>Nh$XavCi!XoGt8$9wB0< z+boJ1^H8%sCA9rAGY$v}i5qQxkXUf+NiAkD*G4&` z(JeTOntk`7B;C91zWc?$Dl}ra0+NyW8hqZ40v(DOvF&BoQB+gc5@F_#=+KHgwoyYG z?24P^j4m8kWGB^w%ls?;`KqV3BZvtIhG6jZ_}pzVzkfZ2?wKI@GWb#iz+3ZX3s4ul zQngWEWvh9PtR@LLWEd2!H+I%WsTjFT^`k_|FznIfC-Cw_MXYP){L{Qo>c-l~O}H+* zYk=N{-+q~QHbF?57R|9+YtGt|%sV%7gCVG0OvGcj!*j#o_3sv3MPV3-$`Q?+3Lnb4 zhQPNoi&|`h_RC3%=`-Xey5%Ay6c{}B`mCP7z^PUS^r65IN2g3%zV83slwU|&gN-jQ_}{HETj+nE@vFqQMa?=tq%si_%qE^h zaqoB>Y~#%)h{SY@Oz0{1>iwd)XE8R(Em-{o{m>T$*NtZ>1uly6J@Qih*d6tW4`<&O zk4ij(@Z9DZ=p-5T3z)rsc-ti$V#z#z3BzxlpeD#}6r{%fD@}|E_ReEjE%@2U+|lY< zzh8Ks6zdX}_$D8;Z82KloUeB_Ll1hX2XDh-e(h?G5%`D?bVV{x;cA2x>Sqh4hdPu2 zyyq8ghBMAq|9z2xx8*lCa5cXZdd&d~%Sqi~ zTm+7}(Ryrxa&gFfDAe^)DA;o*-;O3z5c+X5Kt)YmC*Dkta#ov(anB84X>6CZ=2tky zBz*p&0#+yZhoN3=o9aH0g@4w>`_?Bm^zaH0ol1XpF@HLF3_TJN5%5GQzwW+rlN)d$ zoNlLBY{#w8-STS8F~mBQ>*y@!NO3>liGIaJ(%@YQW!~m~{;CO#po(SO@Ez#r3C?>gI~ms5WvAKDM)b7-%^Lbi)*v~h|F^W>8`EemVeAvN zuh|AsewCWF`s`Ab3hK!<$a_U-q^R58@8B&VNWL+|uTh?4csK-ba2R9dPZ=ZM=t5>8 z#*5ZoZaA^K;p_>vUDW2FQ!-ALy{7R{VXb%oUK5mq zS|rE%;rG3t?(54Pv{1v!8chr}n+{cbtfDjLAs`$z9931C0QKl9tHW)46WHMumwr;N zN|}lZjVu#DOeD=n9w5Ac`HNgTJvU5A2$?LEFeV7|-;GE=0y5jPASwALqgcUl=H}|< z78spTLRuHkaR$q=&(Ye2Eqf|c^UX4yL0`mT-w0r?n_Si)6{3IosBNhbyZPF4gQm4n zv!TsoevJ(;rln}fm3K9A{Uj}CrxAMT)v+%rQZuh2KMz!EJJi4?z+m6yrA@t8DL7~G z4&1Pvu-943PgZTbmQE#A|7(w4*50<2-t4Nq{WmuFo`*t!*(RHZBip%?1P!6YwpG($ zl(pwI2xfkaZk}g5;IYOfoK786$8BmAvajwYF9_j#mEKrZq@8_&Ri6+xjXzVeOW*lf z3#?y&o;5ZcZ`8a|_vK6bPlw+D94`G+;B4+!CN#Ui9t%jHXn!oXv<;BVv?gy6Sb4!) z;z#HSFCG2W2m=&DLi9jzuxa$);;H5Ix}KnpEKU@nmL3~7!yRn{vq~!Cl?ODJoxBU9L_3jk zcJU>sd0-2IiVXQVYmmUBq5}MJXmPrZY7nWb>-Py^Ry+_*N|xl%{zb?I`wLE-bgK{Z zS)(rmj$S~&dwQ8oVr{6x2eKbJ>!iu6r+(aVl*Fkb#Rp`npJ~BF^K!QI|9Q}i;=fYE zPW|=g!Y?=lF|>Uj6tTB``Rw?iu|G?F`^fWRSzMU!eP_wdVR*zInBKB**ljtOOlxxd zr}?w(S5808tyvAW|9B;;IM;c|OH_4m&;1fVOdfGpRUD?AQ|PtQd30uyVA7Dke4nW z3@>}B@-)^#W$N_9Nd{!E)3zTWucVH-W?+lC6*;zV#@x`n5KD>*lXWouBdWUrFa?nm zcGG@G-z#(eN^Q*Np(;~ z`W$Q?OL|C=4S8E&XCnU?C(f#om<)JXb!HDurkv8XOPa6n4-? zk+#_KsiQ~oF4$7fS7Pq!R`X?5sRDGu@|77lyZ5J+32Vnl7o#wV9AKKB>cOWCdKt8` z_Cs&^LNRuU;?jjfGzr#n(PfP<9p}wC(>>Z(kq^!FEWtJgIwp_!>iNgsWF!-Q%w} z?iVNh73fb2%+OZEaA1@8y{)AO%yreZQp=uPqLqF z-Z%IcD?R6^+FX9n57fEd_2F@9aRl!!y|qN=uS56PuDnYHMI-6K@WnNWAH%V+P`d|R zGDRcfA=aSw#w}NiQd31vLkAp*h^rm=YkE>tgg~3fA}EsRiRxO@dD-Lbr(2tJ_Jzx9 zi%*4pk2S+Gw+Is@56{!Wl&E=rae4Bo!zP>x*68mqUrI|^j%z3@L*Ldzr{cIh2EWG* zo(@5l#<*R}ZVGiz-v!cuqww_khjsFYX9a;^XX literal 13559 zcmZvDWl&sAur>sO1+pv<+}+(-+}&M+YY6Uci$iet;1Jv)xCRZjxCLF@_2a$w&-dfj zR8P-5b-K?~cb}=5={Z0c7?|o$uXomSiQw|^!S+0BW!CMn5cPIzSz zkSLQ_Wl2E|pMnFyfg|nbEr) za=?KYk*x!!UoGhPXm9)a!$_h$MU)w~10zGy$_Q5!oEM@ShozQhQ6Yt@N3{~M>Ser3 zUDy0<2(a-I`G0B)x(Js=g3M(OPs?0ALST@>nR0$G7Rw};IjG@_zYC@_e z|F9-xch}(?u=9L+X@o8yd@P`lB|RJ}(g<53i~-e!{0gwlUXd6XnT4(Y!}O{2ka{6y z(KAnQ_dBn)A*O2h<6Hjqw2xA0xn9jp-b7bwSC=m<(4muKJYDmVNb<}~zr|tbfC7OG zKQfORqCVK%?+f#`Zvz+0ay#o7M)EU_g!LAy{9I%!s1Gg-5e0xnObb%+%>NG{+6jWQ zBxEgWJU%YFOc{^YZSo&1r?3kj>&Z+N54Jf>zWVhV06C@()f?3Ik3N(Pz_;P9LrlOwC1$L5W1X>vy!r0F@`_7+di z?6ZERPU!8Jo@u)oC@8Eh9Qiy~kqUHEOSP0U1lZo>*$XQ&2|{&N6t3*~NaFtahR(S3 zl2+Qc=yIG+(EBXfsYZiv$Vz{t%0?VoZ1|Arr@3*Bb^aw&2Tx3wtTzaCto6L5Rd3x4 zuQcsBlT;b1(8sFf8gx6v=2u7F7%Ldoc7G8%*P_^1-i}0M;)J)CYjS7C7=T9&MQJT zF3rB`J)AEzdOa5q?ehq>Y=|)Rig3++RG~t?+D*d)^d2&^*ZkH^k{iEEYoFF}J^WB) zgy$Nwv^YG381vS>4>(-O;JP}#iZ2hyeKbaUZdJA`{(I%I)nol!PUWxgMqWFNif4S$ z#&e2j%=l0Ha(`oG=h>#Yc7bV@-OE4iR$?SrI4XXGL6x~Sz&tPc*|RS(t;d?glk~FZ zI^rICf4||JRVI0uwNRlxC|8YI0?VQg?Na{Hp8c7;Mt|1Nue7N9w<>j%M28ZzIkpCn zcUCcLhlUC#Hdt`bPbEoW|3ZHmYqk%(w z*!h2`^2lQA*^nvCEIM@vgOsO6q1!Ml2^^6ysch0|O*2tBf|6`9vyx5FF!Yx%q-GUy zD`9)Rk4fZu$#B?ozj6G(6=VKO*y}|AFsr9;+io7qZP`{uR+dxO{L5_B^JMN+lY3I( z7b@kTR97;V`I%jlpui_cmH{IC%KgjScCRbV+_o~TybJp$A878%eW%8NkD8@zX7_K- z1*BfhhQvulUppMJo_pl8dSLb;3+jo58m*)9hT+v7^aN-&i5`#Z67DsS&heLGD0_>T z?H}p`n9XWQI)=D_WeNliRiiV#2Ag+&RH#pp`?~4Lxx@I!Tx%))iV8(sl0E8O)5?HJ{!+iPrnRdtV9LS6(O+GzxleCcj?jSQz~Z6%&Y&%YMKamc1^{lPdNE3fB#jp;dc0Luz;YD{$W>vXQy-d zkRz8S!}*p`SABAwEW_CyZ8W*zKZE3mvG1J#e~cChY&KO}vrw|$T)Syaz@UE|(l*(Z z#7=Cs7S(>Km@%+VFUHjGzx-E_8wcUlxBX{dozcL=QtGTMu5_2b(ktjCzzXv6Rc8+P zVDKf)CAmQ2s%J4;f%J2|Ko=h_62i;Jp(U6=wyMl02wDvVbKV2t8W~EFj%KRMu8IL) z#OJ{jmy`DfBH+Do{T~7t++*`k7jNDk^J3RD;8QLkx1Cec^o(f4%W%()D#9YoVkNt4 zlS`lG(~%!>`@DeRoDGuI{Yy z;pAY%+>y2RS+Icu?z2?KV&Q@U`O_nwguou;DCh z9^6zrmY__@QW2}h{pqGkizLZESEr>P5*}iG(a}}jVN*@lp^Ah!14S9$bDbt>AqelE z8JbE8n&I7GE&3dJ{bFueR1rxw#AkW@0O*0AxH6MOY^4LBUNZE%ZMhV32tnMllNGl2_$*na2o+`(> zp@KB4f7BHzV)PKdq)-2{H|wf5>#~>Ns+Zuh_n2b~%(JG2i~49&vwvwyc&tSc_h$hX zSW~whY`bfoDe!1rFyqf}*O<3}pHt04ENb2L=cz;}Q`%G5f!w!Q2z(hTgyzx-!W(n6 zo{IGFm@O7MHOd_5=&->!J1v#%G%l8Wy@+l?%4_gD)CyL9HkrnZ&wtM334Ln%hID7Q zihjD-OtxBUBi?E}9{#;29Bs=Vir@E=$rWnVLO;34PY*jhk*GA%ElRri8i(~gKpLgB zhvMVvM&gIlGa1+^cghE51ydvWmaB$NYb8v|1!c5nBkUHV^h1ov3VzuOf2G82g3IVT zJ94^iwjR%(z{KsW_wFZSx^BMr?j+@4%P!6tkCfcSi3C9aS1E<`pWTKcTy-o#SDA+s zkbq>j@optUm_b1;>Up%ErfAKk_LqCfo(fX!`%0AOZDY-ZO1LtVXYas@K# zV(yxG;hLzW{)8}TvhE@pL735?Kc5kOG?)dL;eITgNXeNtyyOg60!U=-Z*>v|ER_e} zQQh1~N!G{+RUx#}-~LSRq*(fUxr<%Ws%J?3M}s~fz?eoXy&DEw`P#QD2+_;PsfzN+ zC*(i+{kl&2y_v^e7;}jwJtfG0rs}u<);nD=GWX`dD&9r(-wu^EnL;!7bpOP*P8X5? znxE{B0${gXfC^Mvori|D=C29#=N=@uPa!r`Vy@!Mu4c@x>ddY#%&zjxu6E3>SuB~a ze%ZMq5BWEPOTi`cpz~bc^KU!`p&$H2Gq&cf(DJ(08MM9&!^-O{#K%lO2|EvH`7mD- zk~F3hR~a0Z@JwI{7Lw7dRw~mfcK%AFHX=eguj#fmRt|d&%DD5OT&wJl{Q8T1Lz#Pl zY?QV%Kz*__Mms>u=-NHnUb%J>FymQsQprV(=Y=0R(@@moQj-TLKoS%nzEYLAFA6Yk z0&v@U)NY{_+Rz26qXmQEXPiSvmf4NOOPxnXA7ZZY6_XQ<3Z0xwB=d#z@~6O>KZQ&{ z>b&cf4CHaF^);8o+Q4FeYfsZgy~Dd1T%9WW>)t-Q#t**{qiEF_#C$i;!wu%PLa_Vx z)IO86x=JsP6Inad*$zqnLh#8!D#j)<(vzv$0I8b3=t-g)nL-=ly}ok<$K^&o{_c0H zylKSX#1R)a3Lol3RrJF9b<3TGrsJFy4a?x*!e$aw4S*x=NwYx^ol{r-+(>xp%ur^Gafw`k^gd z`c`0#Vo$Z)73XVA6F024?YfZ6i%zGW@whLh1%0$P1RsCpsY3vg75^xwzKMYAJIVxEu;juw)tRqE)#%vL~bnPvwWl9=NTW(w31A z^>ro%$Q+mdI?iNr;xj&+n*nozmtbGR*cf~7f2#Y6v<-#kl(01dYFOpZ#o2O#465MI45vdqPps(`@B&6*!=(#3 zFl%V`6rYx@3Nve`7f~SH3M(u!4IRnu(?>wX?FBX?C)N5~YaI7#Lr2=Jp7xSR#LDG~BL!%3b8}p-RHbda_MBj> zAYz>YAoy$8t$k8hJmo}s_+FiG6~7zYoOQ57^+wmX`G{rL{T=Fw0-f>N!Xz{kycKV3 zGf%fz1g2tBC;N-}7#sI~9tfth;+UDFfCx2~8m6^jYkGnjl;veM=lhcT)mdVw96*Cc234Xl& zIp^6fmHBTMd7?p##Hv)}7?5$gCP@C|W1^2Vp~|fqb3R0*Ur7iW>e@|Kb8#kNL11aV z4#mi}nZ=a5h+teU(XdW7#WrgB<~evs!EhWtJQO}9xlh{7gJZ&O_dUpScH=zr1 zF$HZE&kxRF)zUmy3gRfc$XDe~tM$T7fd`YKK)u=Hjv^b>gbttT<0}V7?+bm5IRj1Ku;4dDgRK`D;wV{KD z;Ou(Y;9Qkq->yic8yKm`L0@3DOreK+W~tF-Lvlhs%AR!!6a9Q7FPv-NcW53ny3ZC| z*CdM(dHQu`w`ZcO*s`Z^Bo?(+_ZFJ=&kq_{2f+v(B63GEGC!`n<@o(=g5#!(Q{%gO zrH(+B1i+YEG(L(GS12ZjGmA+f{vUr>YX3h^fPDtd3;E}1C>vsbGUb}fa-b=t=JA05Aa@(U9uc`q_{Zj zT$)w8#c9*%p7j(ri>E3j=ICQM1hOytSE`SDW*lDp_+oXDE_3O;w>e^q6X#JsPGL+9 z#aGU-50O_}dH(9u&CjE~(hEq)m~S?C@U$PrXW-4yZFlxaHCLt z+jf+NnjwtM-D!2)VNC_(idG^Ix4_fupjD5fdnVs50VA)ePV=zle(@^-N0L*AB1XNc z#3Ukxib#Y!)0>)Nn2H$zisL()Qb!-G{4<#lX8OJbZo@M@+mk>?;GgC8{!=poOcT z4Z)<1yik3tI*)dZgr@B@LtaRuII60%TXmcbtv_N~<;WgJ?E)Icy$deqw<` z4X4L5^Lnj|t9A4q-(9)Az|h6Dwwm=Hdr%*`>DFP-nx6Y|RqsHOtMYX3Blxg0c!S!! zzP~$H4XCDW+>%83gkX--SiGW=<$!UPN#bOhg3cI(6;kN@ znx}zzI0E;x4r%PA9vLxdwiU+3wLR%aIHN5?y=lZ9zE7HjHzj%6#R|_bWO(v5+&{P} zEyP?#lAT$Um%z^FazkQE>W;IzDIsit#^gn5S`TC; zp!0R-p6^ZS=;RsJURd{;ace=Wbhu}b`scSWL2d?>trKlH>Z2ivWIViK_1 zQ}g$g$LS_s`>lMGmtT~#ps<(QBTdws>r>HX<+Q_x zc@GKV9d%Bj+(bw~;icO|;?wR)X-cEH7_v=L*OQK)Xys+Q> z6t*9VF}?17>A2d{q9=6cF|Ws0LZ42hJ=(YXUZ29V{-M_5MA?|6e~`rL&2yaMZT=sL z(wmIG_ggye>s~n&bM(zv;i>p|_)yqwY$MAypm>9(wD?8!srV_NJx%&mxy7+_FFRm} zA)x-jqw&??Wru0Q|24^O>Kx%Y%evnrkOz)@i%cyr2C3H<-f8jgDEaAdCKz5cTQ?i& z;zb{{SQciLVIW}|IPVxIZ;g*J@Bp6*Q60gg?!)d*MP~z6*RF75@xLG~ z8wsOJCfCObsC^(0)rl;Rv}JK$X2;mqf374_8!7ZD`QLG47zK<-QQ`~q7QXFLW61?f zGOEc;vkajkLm&y?fBh*mKEfr(m|qZ?ew9Izga)aJo;Z_YSgEg@bsj~Q&qd00gqo6( z&9$N@mff0Ym6^1yA(b0Wx6Y%{%aeTiFyqYx`6F>$XKhTW*CP3ZF%#Ed z-GUD7!iK(~SC1F2$u)k&hK@^QInI2efaFU)QO+neTEB@lT3|!fCEl&@VqD17Wc?=F z_&x@yLZ)VG9-zbTLcdJwJH19eiH@nlCQRtpXm`pPDMkpIgurs4p>m@-6J!|do+;T8 zXI;qzX_6plvR*`Y$dT-bvNpqp$`uM)&t!sc110UKAS_G}G6|o7LL!AmX(m0Q8R7@wQXjZ`t0fu~wv7XR+l7O|?)(fkXt0g&>1;P%VPg|#C{ewSc2(JnmhznV zN+P}K*{RYk6Ng=4faY)?{=400!E<3J88UfO+nnj-0C&36#jGg9E9Y;6=lhN_1*XV? z7@-4Q{qW>Y{<0ul8431RT{ybGJC;anu}^qw1JPtPnlmKzl-Emf@al85%b0_HTkM!l zb+Iy>?uAyypI7L@7PeVX6UD@~Z4?U&237(T1-na34M%{pf3;~fI=&xKxWZf5RlFp| z{@$QH!a6{`<$EqLGyFAAEAEkhF)|J0B*ybSKF%jlX2L8e7s`s02CPF4Lt5vMZef&w zk=Fo)YoS0AuSlZ!;GQAWe4>zv4J8i``To3=0crk4wch;v z(0qXA6yMcOjuenuqn^*Pq})IAwL;=j!XW2d8+&M=u8~4tX=C_{Xb4MAA5tcmfvH>j z@^zDI&u&?W?WbeM@06h1QeINDk3oyc(&xlG7UxLoX3u<4XK0Tuay;E=!RJm~;dvDv&hn9Yd^Q=eYS&7gH@+OOEhMOYmMb zs^`=2v6E~CQy(HHBd9pn3(MyLtMFWW3(+OR;t`JQ~jGYUX?0sZM@DmZhPL%N?lznF+ zUiRa5O}j0+!_b9`>~q{68kSlEKB>5A_njuzVDo$OLdgp0Mwu5db_V(kIS09(xtv7w zM5J}1ERbn12I~f2UBOPFU(zzvNR~`8JgjV_CIKts%MbEOF*S1ynW#*9N=eIYCvoYl zR?SNB)XxzEmAE3mzl(>yA6BbS$6P8EIRS-pLK1H-dD+#8rMbs;Mnt>_b!p-$=60RT zapHU)`5E*`tXu!*5L#8Sx9?jaC!-_r(V+~w{w%oKW`H}S%+>~M8^oa+y@d~g@^{NF zf=`VHJ@b(hMB$4tX=QdnlHkr#q{JsIvdcVjiO(9LBOy`fZ7RUR-zuTBjPSko7Lva> zp@fb92gTU&LsU;|7llHJNja@QWPWXIs4woBt(FBR%+#!Ze8lx9fgW+72S?Jv&9~uG zrdRB}-aAFO8Pvr+^|2;D%lDhPR;hY{Pr|v5i9@WV+k?g=(%o)8H#J$4@)tZXPD*eg zvA`fFXPDBy7=7WP*E0KArDeEXrTQkbY*_TlmWet4Ptz<*JJ!L)x6u)&7om83$i4Io z_%phUsDHlArjT>w&qQKI;jw@l?EN3DI;ZKd9DU-X*AZ*Az7Hy z5OOC{M>bihNURc#ZNi-Ip$(11xKn1Ws8BN(ze5r}--@_c_M;5Afs1mW{8uQiPgSU= z&h7~|J$p8^Tzb{L)KM&XP7r^7_e7X%?64Sp@7f)FIX6B&Bvq>H7JK^rlj@|_XH_F^ zZUF}g?OyP44BlE^Hnd1o$;u`{-385=AC{E%l#r>hG&8%dO4942RCcSJ$ z%);z3JBz4cE29_;GL^~BYzjWd?3yVWJl ztIu+DimrW<%;Y!bc^k6hhZMqaayzY>pLzHPWd{AoK9xLx(opW}w;3MCT*Kmcf-mMK zA_gk9^=-zI=+@4z2civF54E%s#3=djzL8!A1`gWv6@yrs9a3ao3M#Sr7q z`Ru9owQVtz{B) zQ*5W}TTP#_H8&zZ!RYq(aX7+6XbypkAl4$qMw zl-aeGzh$6QKLOEJ;4^98ra8k4t{KngBTv82S_$FHTZFynr1cT!3F63Nd1^mn`cqJc z>5|tshaWsXi>~?w8PyCUKOhF5D7G`7*8WX-I<820i0&AlZ?BnX*y{j)-2i`8ZF2N) zTAiDl7wCy&?hTDr>t0th@Rax~ta1uv)D}=D4R)=fITZ{w>#J2jufj3bI6XVlQ!78N z)#wv0~20i2FdwR6ND$T81cIT!5jtD1{4<8%5ATVwOoW|Mb7JBN z!h69rxoJBt{#MaG6EO~m03z4fv#?ahM`(D-2m|m)NBogyUfa6|;i9ntf8De?QuBBI z)ZQ|k{y9;P_L`AL+9sB>UX$o{+79hR9lvDHPEY&F%F^!>`IY4GqQ;2VHy`50iS`vP zMZxOL%s;iD)3%F+rT@EsN$P&J*+;frVU}z;0|iIH*obT;bdUQQOQ7Aot&}d=u@@PE z=ZI8uE(db2V$`HsdYp3;2EklRh+=4Ok-q`)-Shy53n3^g(3)m=;D9i=m0-(2Y&YvP zx3t1dA$f9&=~~R_U=JSH01HiX_PAs3cdR=>yb7!(W%aA4S%_Dso1@6xBvZajDD@nf z1MPZ%cJDyDe8H_|Rs<~Y7`RjK!E(TJ<5tvKnvl6Jg8OP}3ZNQwGdqkWPUiuJt9mOF z*W&;(2F2H<&|?th<=Q$bH`wqAe~zCyNi$!v$9i8WZsI z*jgi6Vw-=t;?)fL53C8kn((p8s79txIQV|&06B3WOKYY<*zHya)n{u$i2Yna8@a8SxW`aGq}D6cf}8wAONxYc$%yq1bHPPS}Fr3j6yFW3%UfI z8bD4@p4;mRypOHqhfErAzy8Egc3!3fFSUs4NdU`v5uLH5xM21(dJ++s^d!H}f?#xM z4012T@>Tto)|LR(k|H}J?UII?9=mR{&8nRgL7l@NPzZ%5ne;`1$9aNFGCfm9oPaV} zVT$N(kq&>Jq`?rwr6#en0H7@-!Z#;kbyU1t6y4*jH5%tqk=U6B&=wKl8%_Gn;cPE# zRTJJ6tbJg)0TwiX~WR|2}oebt-Mu zxF(|^zH^e=Z<>OO`1BOGE=AhX10aR3I)+weEzTRV(St1jl(=-K_@w}|WBzO$XL!Ja zK(NS8kVSMmfBk-}bi>hv7II~LgA7qeFa zn;KHyOv`U=Jz^byT`5$pW)_T3fm2UD*dB9!-4;a4J6DRKN;XL1kEOZ;RyqS#x&!-2 zz4s?d|M~gdmES@F#y)u^M}UUJb19KDBK?yj5bLh7h}wY4m>>M@s;08vglb9SN*P(s z6L7pnkTmznrLgv zMjbcBETY4&L2in_-r2Ja(9IIRJ0@lB^P55#f$7_B8)% zt6d}BM+z6GFUohv^|2)ZB6k?gQQx<(#gzTbnCuC&{{u2v;yM%0yE44gBBVz9##0sKP1;ENaNBB05F5IPz?8i+W5Pu#aH6d$)U;C zv@Q7Te@7p`l#ft=jW$$m>VZO|8rs>ZmsNk=c0Tv>tA>X#hTIj)MrZ0-Yty7bC z7JtofuSF0BSPxe4-eu1&5+aa-y<9vjWJ?6rSJ2%2#b}o}pK!&!?JZwix+{#)LyuwO zX=CgVp2`sav6aLX<@i^V-tI+zz1?~Dqg)X%cLd$E^-wnWyX9Lh&J`knZ3>-Q(pWrW zA5`2qBDX9BZUhdMS@ZDwv}$@Wk>Qd>dyZUyb}fmW--8jdKKAK>9ncmV;hRF*n%L7= z>0;8W^3P&*(NnesGxSrZ0EpH2?)U0wy{J_Ibk`=+OZ7LF$!QZ}A-I0__SB z|F<3rjPT7O{npc!614_?(HVGUG!-PnoiZ9hX#?Xp(*Yik01xjI6{;xvHwL_rHLEU_ zyel!k^-nh+S^oYpDr503=uVDBA=iG^<{sm;;v7foXIH)ET#JurCC#FMO~s z5V0>%qdik4pA#jYBPE|R&=rn_hEX0qMSJE-cK&9#)Ycl2XWX{HzCejy5u-O#*r?%fI;YrONlsMo$Tb>ltKO_ z(d(r-kx#4(arC_}KRM}|#v=t;8ClY&&!{flzm?YhC1O!yuPdVSrsuY5$JboDPd_;c zG-2F!k41L`dVbEYE~%?4?@A>0YigeOW^LnOSK;VT<5=Nn8`%@G53N9XK8i6Kgv_hr zWon-u9vQiFYKGm*7$%9if~W^DU%DmX3_T@($C2<}JjD zK8+c6{WgtTP(L-yHh6$Xpp{~Yh0=Ox`Re|ftqL&F_4qhD6Mi-J5*Y!|dy{kY`oMnNRe^GfK@gU4*ONNvZr}sA;RRb)%lT`}mf$nT{DoyHzB2Jt%hVDt zsQK&8qh{rhpNL?|bzieX)`o)5ZaEwxZ|wo-F?rH#q52B9EuoZ2WJ4-fNjYoA!Ht;6 zVE>Nu5?6?m=xkid+wAj?3X6ll%O= zbAE?DZE1+Vx={vj5t-E<@7~g&ze@ET`(gWMsKyUXbBg|T@r!%ptUc>ipO@#@OjmRr z*CBi>RlTDN?Fx!hE_xY8ZLhHlJs_cZM4(E_Jw(7QvYK6ze}XalwcaD)MNdCV=@U5A zIm&R*Sgt60jc!U)^Ms2=M?a!v+MxZBe3+CweGF0 zkfb24sgv<#x}~@FMj~&xE_TsL=88r2wSKVlp>8Ak9+1LoVz%gU{2Sh^d>W1zEKUmvH~b&kvXe%HA5 zE*SK9bi2-6)ZXHeBk8x&lQVpL_4a<}`|ZsWwpiQF9!nFeyI)?;E9I^MTcTK{m{jdC zpH)DhL%?FccY;w(j4%b|W6}q5AVxB}8uEaI3Ye^$wO1_o6{w0qm7Xs`iQxM@eI6o# zCZrM>DXfrq@Gv=%18o+OPv2c%ZrktJ&%J9qj*^>^MylIdWHO$O?hYNH#1z$Q`LH&Y zAxdBQ^O$oE8DxCpLd>FNZ@b)T={BCEXkn+9TRzO~Z;A6gQ`*ju?<-X~3m0eCPlPJR zVMis{9*F+s)k${cq3GDiZQ!B!M+F?WVT}gG;bzzIGo#hEkJ)zLMs;sM6b>1J+YjGi zrz)~8HJUW?+YbqO5&VmaHYR^wdH+Tix^(@+V_)f0O%oYHIS+|G<@SzD9P{mO9nHxpRy~ zQw5xXJRqi`EL+%pA=8hl#$DILq@*QS6=}^VC4sqIg)#p&5Dm7geyHu%<(?tITjbN=5&Kb#sDA=2wuK#YKWIvYEP{VW zZWHxZp#s&x-)e2E{4&?sQ5aXa1YqUU3$ohXFJWMZX9FJ;))WrD(Hy9RzpgFZkv4WJ z4%NYblEf?U&RhNTwqG>Z%&C2s=s+|`G%;vC^6;-8W<2zq-8`F>F9{i)_u|a#WZzL? zDegMqbf-}Qh2!%aOda?ipyn^9=&Bn2G^4YzLG0EA=~o(pNMa$1rE{~&_`F^r8{quc zL~$F?n&i$`P$=#n;LVMe9Yt!fjFaY9!p?4)nEZ#e7i~x#>TJk?OdMouvr*90dGVK^9JzlYHIG+_|Jvc=Z;*;n-RsqOKJ zoxw966C2)G6)ZWqW#)cn7~;7$gqL6C-h^Uukl3i`v5#C;l@bLprN^_JQY;#|S!j6X zLr(}dMd;-k14!xem*TB1zLI6-Y)3MPLcV8F=y$_NxEe#mZgBl_;|*hGsKtrt#X0gS zQ_=SzbhByMl6lm2Hiej}*$v<=NLS z?@0;qMlV$9RiNFc=LF%r%sR*7n1f)LI`6CHvB)#w4=Q6oL+NcXz^-Rq%s={z9mbIm z*f%K|$^Dc$fmg8-9I7x47EAbTUZvXC2;0Y% z$_!FvvF^stA2i%>6faXR8*`f4PL$Qe`w77r5$#zK^+O}~78>(UyV70<4TBmZ)!UY>JRNxr_-onp=6*S52$x@TiKIguBlUo(A~;w z$`Z@d)SpQed!^++J`6N)dMd75E0>!6QO-o>r9Q&0M+P3J5mbU#{f2oQiAm3U%6fpN zAC|uo4@=;TjsGD!;UIUgaN@LTx7sgUH2?;NbW1Sge=I8VIeEVr)YT-fX{xBIsi<93RhP8!dKUJ?!%OnMyN92os-(?bNe8dT zl183SLQY09H~l9a6H}(je+82l%m3ta)&I!|=FQl%&Ej_N{tccvea89B?HiK3=NsN= zvYe0MvX(OCPdtA|l3DtWvB=A>KOg1x23p_3Xf?@5~kGF*xE_zZX85 z0<2dXKb!^V4;B9ghQXD_ zTQ4c#ShKk-$Li~hXt2T+J7B|aUjZurz+bC9;CqH|Y*;>rIJg;jf@sAhTdlc>j&%ZF}` z`t6MkHn}vaG8Xy%_+4w@^*PY$bwiPj{*2`NE>ykvXUY&sk}7-j$5)J*koO4;q>YIL_rzz}==0IomR>?j2v5Cdkrk8STw-aCM zMD#=P87`V)q_fxiv~GMJ^0q|Ul=*XvAg%{Q)ou@e1#(#Cfan9B?vtqkpRS4Fl6!bR zX^7!m;L`0g;FsZm^zCIFJ5f~(7e2|qDx>d3fB8{rwa~BsLsq4*r$wvxTkZ9a?yH4% zh=5GDF9(v7ul^d7xay-`wk;ZOZR8pEDPAch(G`&T5bIXk02Ip`I3IW=I!$9S!qA9v3F%E=fVx zHe;_m9g_}RzCuvlC-P!fqy?T8zYTl{cl`<#)QtW?6T#gTcbUH4ZD)#QcaU+Be)ujV z4Qw~2@X+*kGU2n+%gjpc#}I=mZBO^F1)cjJylg78(mlQf!SxP2h7NRnjg`CqIhK6b z7X9w|(#Nt?OCW!GsMsP$-9RPHX#IlX$B;LlUUL@SaqcUbw3+1?`DpMe)9+lkuf&Fk zI_41h>{&(abh-OH@a7PpZ-(syAL!plt;Vr)!Jr8zT5P^ef)Nqqj@y9%t3P{*p~f&} zk(;TRM75;HN^kSX|4fwKVm6b_F6PZ%lCor#0dcY^)s^NOeiSoN%NxGCGt<)fVR@tf z%BqO)M##Yhp_Q_(CsA_lp1DK$THPwSePP+I+YB?4s^yCG)Jb%OcdFxu;s1-)R!gS&F~!F1T&;Teg*tP@4baYaHQNC8uav#)hlV zLfHdq4q26wNh*17p%whtsic;omo@eV#dxN=ROw!jWM6 zUfjJY4R`6`S2J4K<_pR>iofi@XCH~!H?MjqX*D!lf+=UbTXrAx5RZ1;aWn$F*PGmi z%Vg-X_hh1vQ{4ygvU)4~j`id0D_6FoDk|J!B9dYzNyr6a1IA zs{#itpv%3b5tT6s?s@{YjZLY}Tc&2A&QXI`6&+?T`eSU&!oK(o;Ty>fgxb?>(bm{L zzH2is_Vy)dMnB%>m^CA;uThI(+i%aHGlDuU!evQ(6P(SLy=8TNf_nJtLKK%>H>td{ z72ov}Aw}Q+ULIeqB z9a`72qFL%p#Jr0tNOvK-818F%#4NMf&ALa^N2|l>J&sZEfM)sHldt(uLZ zmR`AklLo3szYKoF#1@qK;j&pxX#a(#wpH{idD##No9f1pZ#ELDQ`R4MWYfWsS;o!n zE+)8vi&-0dh0zi+lZk!I~&M9G{toK))R=rK45;TgxYx|BhKTtCfNE_D+ZEL;#{#45^3mun6$Nj;$oA8Pu z(PMc?zg@+6wJPRk68KO-TIgEaTX&T5(HM%nW=YjLBrI#dtShrXk(Anho;{_J&2PPS zNEd%1QsgGMjH=9js1{wR`PAB&RBkqD)!jA(aB$Gr4f!i;=GyW_bQ%MdQ0iRrxMqzO z<__^MPeoIs7VV(2jWmS?=`969!{X5>nh=@Jz-Z7MShtUNA}sU^W!~uDfu>r=fb&a~ zet>SW>?)6C76p2C)ymjCu@PCzOmzxkERl#%99}AJJu}Hg6p# zo25TFr3e(<6mxv{ez@$+MNo_Yce#C8^W?&Fg>eYT7mc_vz*GQ;{+@f26Le|4_-59f zy5tKv)HVHJCo}o+e4G1jV(&>8?S`$)r01K{KbKfHdFPh@hbanfCBpAbT~KUkMSF(_ zYc_fp+K}ZmJx8JVR#uO1Lbn{6-Q7wKm+`VaYx*V$WIkYu&6AvVdzX-3+=RFIhyEdC zXr1px5Z8_L#@pR>e%n>uds%)un+n@G7r5_#h-tUr7vK5#$s3c2mtOL8*MN+4iG@Y- z-hKQ0t+kr_TcBk{gz7oWjnqC;>n*G+VgJYOQdGqr6UXI;KdRjv#02Z=>I@a$4ZlSF0&sPN^Q-k_T2N0+h9#G;Q=W?n8z3h<4h( zQ?qP#s|X=Qbp4=m){0thGq&PVsFEz1|5tJ2^;ZH9LN3)V{rVF888N6;Ycur*Hj!jQ za=+r1q?Pv~aAZyJZ0oa<`|A=KlJ=+0l-gXYk;JmUdt7tt3cqWzS?EXOq{us=GiQG6 zJTTmCev5`acA`dYdCGWsJgxNfj=fIVxMDHvTlbg)JYT-S{Sp0a%2!B_2$&&}YuUC3{?$m<>S>lzRA%bH;7I;kt-MLg8T3QT`?6|!> zz8814W4xzb%EprC!>F$QPcwu4|1*`?{-3GL{{J(bbF|FhcGEX+as4@`Ky0;ad!!Si zQImM7;lcSsigEk=@6>M)<Nx*wg8!lO7NnDq<$a=7qG+t=Jl{{W(Z?>ed ze$(cebd-6C=`&|Fe!=$fLbkNCsPZk1LFX)){B^&o8MWGW4Uv4BuK#npK3X+!gn>$Up!s86Y(bV*KGKL2aGw}sW~9G{rx+1n3x`Ss(rZFO8F z)PK96Ww{B~w4dA(4zh2NuimFPHhl#>cD?NsIcIls72ct+Vg0smg`!P`XYE)c2)`p; za=%t7nH;xgb#J}XH`4ywbneKOTRPw%vuO8shVg#O<$b(;ta2%%;qv@OkbWK$DCh7M zxj25u2|88wJ!@p4<&z~KzO5pjcz8CWosNlh zDIWk`VIJM=jiS_Swj*0NG4RKOYR0g!t1ZJht^F%qOC-|jI7ofx3w6PB*=QftH<0=l z7XL=@H{;-v$~VTa(Mh0*@YNK~UfP+s8-KmfNWtrB*mpHgJu4M$CI%il6n}lwQfY1_ z9qf|x#dx(<%_yNt(LlL*&5=G)bnhJ9Qm@JT%t-T#`Z%`B>F!rh#lL@C`|ZR#Ghkkt z@a$3HcIZ!m*XegTc8{F6gKWS-7vESoimRmRfQvkNp+oVf>MvVveN*2U`E<%Nz~SA) z56X?D@(){{UKTn|XZ2}$J14!9U^sSHSn%o@Z&4+jVqJ-am-9?TA&3lF>&tjan} zlI^`zZnc%`|NBZjxVupEH)1OaGSZNr+$fa(4rGANB(K< zN8g-Tz0Ze#78Byu+wZ(pd3SKYOg8;VNkV*-y?+Y&=Gzo2&j4HHp(5&*tW8-5!v4>? zbmY|sD}l0I6T%W-N4^GGCF}94WR}f|d@}ugCs0_RLFk<5-4t7^$0dP&pQ|&Zu&LYE zL_*%Z;qLa+)Yk6bX>xJwcI?iMp{3Xa4Y`oUg~^MdfWJM zpRu3VL^J!-YSa9wKkQE-_pM|mp6(q)`y0da-FfRLvRP^xu0MM;d^6!!4p*E=M$E&-K>|j?dv8*fd9mNq>E@ zJHFR@`tDtb(OIRK5dP9TB+%Jt>G z|Bcp>(9jQ?4T8S1Fu$ceeXLUD1nHt`LZ==ljICgJmKShEm*ziJEduJLB4ZM(O|!z{ z$Xu{#vox50%zJ$g`55tcCdVnrp@&_)Zix}}vX}HF{F(~%toE%nhuh+X)65PB(~gUv zzhrm&>f;uZ>5bdN=}6{ZglFZXgvloh+u=JF(Tw?=@zsMFq|jXM%V%ogQxNnDYw~@JDLruGZ@1cYaXX2)v zC^^P?&C!<7d&`95k_)j{SRMtEFE~W#ebczH>e47AmjvFg4{BFUvi%Dv0?5%L+TQ29`PC343FoI! z9s&Sm((t#UtBs`U(iDJv6F+E(e7~E#%zwRK&Mc2^WtjJ3Vnv|{^tfu-toCllYX+y| z(ex~?jbv_Wyjro0I!(`}f4wp(9;v&tP`vkqS`fze3v`b$^N&Avl57%P5Hm|I+2psb z4B3)6R>xKb_@vZLbUq)syyK9gQZ+}@0!{*DV8!yD(OM|Fu=UQf4 zWp_};FAPrIrkC)OZ1$%wTOkR2`%Wsnuyd2&D5Cx1RQO?2*V{L$n$SlQ{FD~l zYiI23`OmlM+0{_)#d1ZUP!j61OK#Oqq8<`!4I!7bOZ3B4doc!br7DnG$8jOc-#!t?E z!PrrzjRjttzZlQ>p-hw0MrXp(TU`-IGi>SvC#B^k!dc@w?f3ESjf8`HN4uvcuq1m~ zqytspGlfKs4Xdxfzt1HeZI1neAY++#S9HZuKMiA<(_s9b+YK*X>G8qy$l8odioZat z1}a05&?X6vG(UDIC0V|s5H)>|V1zxvw$s=Jei$p62&UB>@m?~a=XEhS(JFgWpH7-j zj1^^?kFL=m<2?C!Hg6W_L0aCBOp*Q@P$=C@I3K+6aUl0c6?V5x;KrstH9sRpEsZQ{ z`vJ9W^Jn56t<0`n`}iie+zyYsp>k7YGVSo&3Af*%U*(0>_w{_c9epScjwW5b2R*hg zb&G*>+C9{V8ap3D>t1xk2&5*HVm6~H{9Ee6U&v(B<4+(0@`_aFgKk#ZWR=qM3Mv-_ zRyG_UjQ~E_9b6OP{m209x@PCspAQFE$ECk9y5-g!*ijyu0r&2_CNIPORKW4=9+wzp z6HyW6Fb7G~<1@<&q>RuMYvhd>IaZ5t%l}&FgpcLVGcM-_cO7J6LtxZEJJcGh+0@nZf0yZ{ zOR$m>(um$~)Op3X>GlU-R9qn+>#*{!W2Q$ifz7;sfBiT)U27e%#Bkf_7mZ0%GBHIS zWBcqaiEi2|;3=});sv9pv;qV#P)vXx8Sm#_J@l{IW6ON~!Bg1f44U1a5!^OIVhg8- zl`s~7oVkyzD$u_GmDg$vB71zQ+3N?T!Rpz*_4iC$B+(pj)V?Ri*8Y0MXtUhM)Z zCl6+RBIiIjmB3#Gs6f`_$%efmn7iB~%`pjk)k z%dlIN@iY&!1CHl3v)|;=ZZsncDGF>pA-`kuGxV0HdO!EYn0z?eJ*$?J4Q6zq2)1#p zhpZHe-KlF{o*|@*{OTk_;p%56*i3D4WHk=_wf8p7FrLBoQ?OVYT5@O5wZi}=xEg*k zGAEpTW-#=2?7yaV;mB)E3hr5$k%nt;U}pO<#pCF$s~LE8xn%~S7Q|^xOrjwco+Bci zx@>kzTr&(WwyDv11;{*Bq`{2+*{1=%pRX2YT2=*16wukO(5Ci%-OCwsf2?KeEuxn| z4_r}7>(WBY3*|8|^b^I0Mu^u8)5YkK-M8C$0CSpT<1Y0b@apR=URX+=N$&!eD0hpg z!n*Y7ogTP$Cp$TM7{#`kx3cZA5&Ds#v0@qCaVO06)%ofXS-Bom?D)xoCL|}t})R3B!(0(Lo1mKu;^ukUZU^Zj+tfIsS*s{TEX-_ z2Yw*^B$_?%y_e}Z6e-GJNhXsM%~>S_Gj*2O{$+$u01gM#n1Zt=cp30ys-<}^3U$f= z=9~LtjFF|Vh|jx!f?1-#_WmJ9SVdeFk?59jz?=Zt`1sZGDQu31j<$mhQw%PQyxl1x zQ+x!aCKf8Ve4*LSlG{xsk(v)S1%^dpd--v(cx1KP%hpOVTiD0j(dxRIgJPxlblS1& z$V0*HeQG~iVYX^wYYVOFdQ0Xc`A6#C%`g80A60;d&~ht@Vc1|$I>arW#Xuod(iZNP zFRCZg0xNU0`NE4x5-{m(;bp?E0y!PW5sq<@Cxr)^UIthqoj9T%R45(xzKbC!M@B8s++G-% z$gYiVA32!)3f!jN-5+^OW?TwqRNg&`JoWF;Wr;lW(J>``$)_eBU7 z5OvKn)jaDx1fO~XMRAPgBJ4h8i-4g^!A;En92tlI`;;n~BOiSZs7L-Xv-^P-ku8rW zng5o{>U==SrYKtx%q=|Kil0BWk!72Qz8!bz>zPc=gJA*=P5!YFqrTk(eLbdDrWNeF zchJQ5I=QzRdlSmwn^jg4u%={)OsFBiha%g|o=# z7z0PN+|Zm8j)VI^n1V{#VgtG|q(mK-9rP+x`}nL5oCs(Ji*T%X?2q3IkbFkWy>Nt$ z7-r>)89s&&nEKqkYU3YpEbs_OxV$u=D~pbzBDfH#e0$n+(<}IxOT2_v?A$0fQp}YX!S8$A+tHFDW;=a)<_OyTWp`OnEn%^-XNqC)lNJxzo+(_5g^DG^j(aMrZgG*VWy~hA5sH zKnc>T)C|3Q_63;3!J9aL~`WZ9J~!?Y*pRmIfV z+?YCKNMU=&&eD}O%zDuD4nv!M`<-P>za7R-Y=9wZTuTDa01D62~6GhX-#H$ zm=$tMAAw?mYuf$x@qnvidLmhYL3h7T_u~8sI6W?eI3L(`3*1Noe>#Q9shscgomx=4y(DBB8 z9&rAPYiCE4G9yG=7q1?GU70X@Ox&M@x4w?t;(>4VcYbeKNCoJLiuCe2w_vwM=&$9r zTwafpg3T^*&rt3&t`P03&xwQdvJ3Zfc)MDZtN>6G`NEO#OF$b)o`sFUk-Kncuw-_%n;e^DKr`3|^04raxngrqL~PO+gX)|y3)W_ zi3XUg;U_BKjCNXGX@R=s5y3m53PKjIzaJZLu`BZKuj|}ZRp&9QDQ+9PazBru5zN17q4*}0&6JU9=wQsaqfLA-Z8xj z`2OvJ#v=o3&6ivLy}9}g4EOLv=a`)07LQ%gs))djUWPh(oFIoO=^FCUhfb$ws|F1* zrE7iSzY||@r00EJx*sN6&2zi6Qfr5p5O(9n?|Hq9FPLE|$}~N3O^hcf;T|-;o8+l8 ze>)wBeuSqaaAfSk5euI4>z=P*vye_{P!r<5m}fY!6;(OVSof&Tr1w!d1cP7k((MLK ztOyj+d89 zx}$=Z+gUQ4rUtNW*ZWDA>4rI8Hb9BsKpNeQ26ogDcU1{@C-yuJDc;2}e(ARQ*_C-( zOPa=JvW*hJAq8**p;^W8iH0Uum8)G8`3*ns(+ny*{W&jP^b(EjHaY0kHW7eB$#EU!1<{riiB(gpvz4beR_jq5Yx=}Fo=3?j@_1H#bhm-|I5H>0GkiB-g3Uh1{sH@D-;l=?ny_2NKp*xUKt7dg;s}7(`b?Ps%x7ksYh@Bd( z&=LV@K&vHuX`|$u(T^&64ORcWYdk903W%7mGVQd{zH=B+ADUxeg_aO!*NhC2(mFQ7 zBlRvuyl%)7kmH)8ejyp+**2c%15yrP*ZUdak7t^WKdNo%5xX2dXU{NP8wgubJ6iLC zta~(n!PZYc>n;A3Ub@~h!Gg~K|NO2PE@%O))$G7)gwf-NL^@)^NtSJPBNCw6d@Ov3 zuQx#WrTi4aTnYHG9Cy_8jj$ET$gWp{R9WEHLN^tFG0N9Gv5Cplf#BQ;(~UZ-OWYnW zh3LDjTO(58hdjh5@Xejc&yE*tyyz6es>QtR`m3S#k$%oA6ME?Pd~+FRhGY8>ZJ+~^$HDL9Bv3_Sit{!EJBzk!E0 ztiitkmV;X|KI^-oV(FgLo1KQP2^i@1HLP2VAo@r3Il0wbr<8uE<*uY6F;Xu|`S)mr z;v@ug0W#z>;@021q4BD!-0vrhzv+2UN_ZiW4M98&jxt){AX}c^W=o(ux2t?729co> z6(Rcbt0IJKmzlRsYDsxc>BhNxWc$e?O02ZLMJF?X<_e&cErMe(;oZlfSkar&8q9Ey zFlZebjni9tb6Tv@Y+M!qC$iAhnBgOG{(|3eM`uuXzT>C|%}khz{6Sq!JKE3vtt}Sd z!-XuLwUbn_(P$>_g7H3)h9P)$dqU~*L;D2YfI!}vr0(yg9ki$syUma(GFeV~{V{x0#i<)z6&|lUs z)Bg|Dw%X1DX`Qoer+_h*f74Hk9dJ4^5f*N==`+I@Ea&Co#ybtNnr>XFy+buvJ%7zJ zR<^S;bI6n;nk`cwVPcL*LHmsLQ@h? zlU~q%u!0jy+1-7P%K$X8V%~qp1#S8wMu4$_`{30j+7c5+^m*MGFnLB-gzyj^TmTOS zVkn(TDCgbkVD;r%_&Wd+Gq>;~{get)KJwgd;J#wO>Kp`$%-S1vjvQ=Fe*l}6VtZOJ zzM$;&?9rjS*nfFLV(z_J+x)8l?Z3wsQ@O$M#te(+0lD%z6be+8P(ti--9>R|q^Dx+ zLv}!^TOAUhbsG1F%xuHSH~rW5)VMC>s%dW@aLwry{*OTaM?O>$9wXENb3I{GkUlkE znHvTT9_=7Xi|C+ohKN2x9KJ2bBV5du*RF?by#LRLa<>1__o&30sosSxy_q#j_fEWk z`=4Oq9Cz*IUtD3?ly2_7opKb4iwblDu`5($6DEeyM&l3b6D;V#6D^}PT2Vh*==!?z zg6CU5@ysW8rusE-R#SFw?$nk4(ge(srJOS+0%~^R8&emgkJWaX=ZQ0YLDk~v0U9$6 zl8>=U)u}{Qw+q!9r%eC>VGXmC}GV^{O87lZAumb^?eLw-LVL5<>039urHo>TfmOeo;UU` zo|LK&>-@r&FIImAS0)C!{Qq>&&+~^xKbcK;p2@cHyyybg)UC9IJ3fHzwtyc03sH=;^UADRkW|i+x2zXntq^xLkdW|ndjN*nBfIOp#bP8exCnb$Dc|;ccNZ4 z0bA9J^KCR^e8Qer94@9gwQoI|c^a-g_6hQX=OcsB#UqwQihVka;=#DVx9;wX?)Fj= zQuxz$+1KEUu3~r#yg3Az?a)gR0I!|~tHFbx7>OB3M>;b31i^zLMhJAAx=LJ+PtqEa zQ*mi~{tquRqC*Tr5rB}>QYhcuL;XO(w2>fn1OZoVFc2;284^2pPHarR05XLcs|=O~ zvPA-$nBX*M2a!dDRF$$Qwuu890J`02=Lsq77=Io@BRltva~LmPgrU9|R9dN!2haAm zUO9R3=RPhE&vG&_7qC=JC zb=hco?$HHE{s=8hmGR8T(K=Q8c>shSyZ8`~iJ0W%e@`n7XeJ0UR= zr=XuVnsvHK!L!-X!suR#nCJYPNctJTI{f6T;e7ILS=SIjMS~lk70?7g zqK&}hbHtTJST4MWd=A&H@h+{iaPxT{Hv1HkDfKLR`E65LQUgoaq&BjMpAnKpnO zKgQ3{`heGfZqmNHege4p0Ci@Ewz1(k>R6o7<8xpL+{E4jmfQx<^LDwn@pfxpf6)^z zeT!N>$Bsi>>~fFh?f$P>|3#h6Y50~g`$_)+!_AB6d zYMm}8UAkHRE{gvp%p5Pmk00_cWf3eP8s%o$KiCQTcN+6>fK10lUy>4==aFX3N;ga| zJ6X1%4cPwV=q2uPa1+F(Vk3|$XW+Z}=eZZu?{?wZufFV2jXv=tOPv(OcQ1M({n!bE zx5Y38h9_l8$q1Qy35ZB7T$~l^ij?IgB(h`N_#l-Ti+Z_9!7ir>Ehmv4hWq<5moQD2 zfGU@lV$@&s><_+;Vi=!>AK&4?V5Lva%^FO0*?*0k9w}ao`Hl0BJMQuG&ww*>p2Y3K z{C@JTtIbQhe-*mvnb>t4&%K&N%Vj#uYRZJJ`>HqEpNtRm}dWq@>8 zN0K&L5-mj(O{lCAJTcYqwvo-Ll2~kWlFJBJvrAC&)INc^i8Hu=aeu#rxha)cx^wt; zI&6*Zr{gQfvmFtCX!wLbt8t@*#nmlF6D_ndy`RP0+t!$)hhiMOJi=@z$Cd4CBSW+qBR>yCu(Jq3!JCfCER55qt;^B9Dj}9zqpyupx(+J z){`7ON)svoofErYjUOg%X1^oJrJbNRu)#!wx?JJr5eB!>l^$ceSOT#;m%@fcPE`HJ z*qbNnF>jmq$=sk3BIf+i=ZM%4~ z2rZ+{2O1}niPiYwPMl`Fm1jln$-}Zhb*HTZ;^zwnBL*ipLdMxA+nVZ>HnmGt z&b-lf9^tqdS>6NZ&xpkGTwbpau@e$@3B7mKuz>L47U8P0M*yRS_FmuN`MBN9HFX;?+rv()GhLQz z`}R=&!*}^X$07Nzh3Ox>P-gX~j<#1GE3xESJPwHqClAdT$p`E)Bj%|DmDpE7 za#jBNiF4GtDqX60-?xi@>wRtWMUc2LFz@qPJgOW=`pDJCf{A;o3v;O+mx&VlQNjhzdZ1edW6mx+Tdm!|y(-)l+X zZ~v*gaic}#f8d4#+5f>}vHydCB)g$^+SxTT&-LFr(3!q6FB#q{|7uEML$medv+$kg z;{cgH03F4rC%vK|4pQE&QLYlHd(@)L?B-hiHklS0x7gV6zIf=%XEz z{Voctt6Q5Y4m!jR@~Y2wW|qnkxEc9!0}%_0r2yaS!}j+VMz39Jv(k>D>QsUfoS#Cy z;uPwDSiVgwCvSC2L9WopPQhy3-eY7Bf3LT`i264lxTs7(VMR)z^?||gKlj(iv`dm~ zFS;BT&!o@lQbmXS&il60;M3l+dE2{`r-}fW5XA zBda~2FfYFJx$(!V@+n#ifYHH{Pt8b-*uG|nj{cEf$~H2n9`H3&E(*Ob=i*;?xbYZx z7Xekp71`Tl#nTr~#2@~(j4VIpX}1vTodnS=cQURqcTgOR#~&u0Mw*s6!eK^I{ZQXA zCZ7qJkE7Z%36lI4Qlrp@PxXn%g3bWjv90RnQNF;V@NJRasA|oeMBE6M3 z8J&1$(>0c;DTeBoZ`{^cG^ZHeJbFE4bNwjt)U5eg{1cmJv+eCgUPdiNnZ9Fb{y{Us z&ioejl?A?Iz5Ww2I+r?sMXvGYf(Aj4tVn}e*)<;Vs${pL$~oeB*ql$oa6HyZ#%N@S zW&+u9M(1T)8k@h%P3R12GyYrJwlw?_Zuf8HVfAk-%DRNr+ueGs0YyKv~I=@;MzA+qKcD_r|q)F8kT`c-&F3* z%qEL0yR0bJ*2gW?8c3{}gpE)j@=XukguNGAw%a60$1LV#8q`*5Gj0pCJo(h{IwU#x zliAwU`|}i^#5L+MJ5yAW&&ZvjnP2&1Ec>kGPPjfS#f_%^^R~^zD(SvOfZuxv<<_gU zlt)@3N_Mo=u1?HD$+MTv9G9Qh+bBNgoZItvUa2{<1!HUDspUI0pBCQJ0W(m)v-}c4 z{oxtgh_5W~deixZZCVX$NsbS>@MMsbO-9Xak4eQlsJd^ruV}zMdItBUc5nOa;0k&YV#7>(y(cbRVy*xIY6KjM*7hF8$;BwTTwKb4vNp0hBOR?)}{tulAVLY1TVG zd+K|be4t3W+31{9Ch$Xko>=hC$NRBY;pW;1U1=+_np@|-BRur?($@0Jp!W`f8^XS* zZ$Tx8>PtmqKS9guu{h-=FOA>qa=lWZ{g|(ZU!jyF>4iQ$ZoGVUB_pdo46JU2XT%$J ziQ{baQg3qlGbdsLe&v-2ZFnCsTde48RV!2I=zgC-%Oc-*=P^Pt7AOTp__<5vNtFJq zv<>f=p0R@<)imgf69bwkSh6345fq;h#NNnFMlTm%LyiHGPx>-JQ{Nb6oP}n0@ z>M^RgHdlYYV>?0+*cgeEAlu=@*NFSBD;U){zdc%B?Bfop-v3MZ_It`i%`bI@LblZ4 zql%>oQt9XP@i1TEHzb7!q3_b-5nRnb+V!ld@5eu}J z{Pyal;)pkC-w3itKW}$=cyh$RF!MFeedgnC1BZS28JA)i`P_(~y{VWpLk+#Ys73C4 zo=n%9wRv-w%qse-*9~tP-i*BavmT*&C1IysZTa*{AYtpp`{K^q>(h5;WxEH_KPmY+ zl>z`#R%1eZ8}utwop$1-XmMkt_}%FPT;R7GQa@G2CojnAEg;rk&dJ|j0!L#{y~@(^ z{*Vlw%@tV7Y8XhN_v9FV!;ru?`A&H4xPwmXBN}qJP)|tIPfl(3n18ae%c3$A<#g6R0W6^; zS_|kg`_WvykvICc`!Bx?LmGYv0LiKbT~ox2t0ymEx`Q-ye-+jgoTMn%@2Ej_Z8R=h zWE#+vPn7LfO3v~h@1e2t$@dqtqE`4Nl$vW@%skhJ7@;AH_ESxD`y;M*k_ALq%j}~Q z5%PiX!;MSnA(3|tvs7LcN)X~h>zU{+5Vy#?tf-&RZ-)(HUU*{pwY|W0bS(d>u>E;%eW|-z&$lw~7qQakOZNjqd0qWg zkh7YcA4r9|NUAyBW)}W?*r{M|4%g0R(n^7Y8}v8jV~*Zg zari_xhJt|fd!nqAmbIAo{tA!#OuIZtqp1u*2Aeet7?1_SpSLmdL|?b^Z(QEBmPJnT z9%FwQq|4a{MkL((zXbw*H4Wr{(6+GJVl?piQTe;}?KZa}*R#i#A`C~hMMlDW!vDOH zMo`z2hf{7h1Q54V#v{tkM|I`G3Y^(C-a0&WPCB%`B7_}C$;*`NHH>rz)mJOdI=2zH z{w2Nst{U6&@v291OD~|=ul`l?^51Xiz@VyT(^_4Lw0W7?!pn}-L$^q>be~;g&3D<( z!8w{k5wI`hdRs7#_e;LY%GOVbC*rzYJKH357v(Xg9hQcGoN-m{vE! zbD2I^R+11%1huv#CCUw{B<>8(``z;l?#v}r?ct_S3epB+yIxYDO2x;oP}P))!;=jS zV`Ogz!*%q@*&%D6-2WB-l2+aYvRLHgAJed63;25A0S<7$SVhd8dt};v zetmKy>u4GxsrTosdbUauiv7ANRp-EPJxj>PR7V-?84tqwa7^=>QHy!> zQ~f-4LuiWQy3M;-OywZoY~pJ>x?R4C+B^Q~Q?obQMx0$Emh9;P{`ERly|%3YZuc<} zo-F+LZ&0N?ulxCTY>RwKuzHnxNwMhzR#}hyG~&FIUJnDMzZt{rUtIfKJSte?@(ANRP*&#CQ|LMD03TaLH;Z~0TW=Cr&7`w~CenWp|i3DP`~KVqWF%HG0c zBh-W*Ns4k!t&g52QNBqO9ng-x6JOTcVf8D1{oP}7y5U>N4()>Z=H^e?-rPwK^d5^Z z(f!@hzrM5iH1T&!x1XBG&SnG~X-oT0M9XR$Te!KnqO)tb&sZV#mjO+)$O=$Pr1GG5 z&rIxFTXyfB1k>@FjR^ocg5p;{wKGd&*^K!icR^8>X7T_}t?TcKaIIvqqE_)r85>%{ z-rfdJA!mj1E2ozgjjz)^8yA3ozV8lr`x>vv35HtLB$?DOuKZ+?{=?8GA(G`b7hh@O z8Uv6Ik;v7vecnmpTlm761n?Z%ecQC@Ui*`zC~s@vA?mSi*_&TcN{+~!Xba$1e%LHq z1ndq{(>cA|_ALDggzQ1d@W03Ao4Qk<_>3zopl{~4?Sh2gZMgIGWH2)j95uy`_1d3T zf0j{7|D0Ok@an-Uao5KJMwEd!2Hy-Nfs22zinh;X4o!k3eTS|cxl zgykDMhS-kM_jPMIpI4{ZaaesRwyCaRdy#ZvGVsiW_HTE@S%N<4fTi4%OxuCS)Xt1| zezJ63agaIiNV}4YoL&K599ZgelsXnajekeq0R*$o1l;Tx9?X49;?!0gIm6ev_Pr6a{?0lefe5F&o^@MSs1^H9v zf>GWCZ2is$Y6A;pkn94%rw6P^c^!?&^Q=19V!Xb)QX$_H_Y9sD<`A+K+lB$>4lpn5ZqZY1lvucGlxn~3{M+Ncj77^u zLthcGF)K}?Od?U|uNd}GJTBVTq7!!W;C)h;j*^&G&>e^{AW@Y9eA3Ow4cmRZRd4U+ z;-N>Rv@lO48Y7yn`c|T-=88_yk-)&Z`>^qcR!BKKGV`qS$PkTPqpUcYE<&PtOdj-G z#ht18T-|aX{HQ%dq+5l2izmK?yjs-??Ez>ys#$BbYtL2SzOT9vW^1SU2TQi8y3U^A z0>=rt>vb9`rt;^KQF0f0uW{s6#Jp$(3{FQBYjVhH@%f1=)@KwTBxhYt8gW)$QmSO# z)Y&YGXnTDZVwr38sr=z@Y`Bw22u)=lVkp%kU~i9)^uZh*k-V}Km=zq9}O|SIbe-UkoL#p@NcisHd)1~5QrjUTI!#lAW|(O?o^oJ1GV*O znN-f4pvy`9F#IBXaFHp<4yE10eGuEKI;9%+NGaG5ylm)(I^_QsA8vQNaw}wc^0*Ew z((U|wUG?=GD=Ir=1j6&ng))XyNQ`+XjmVIZol!GMw(iM8l+oebp6Olm$4@p8B@Uzs zaiBp63W#bqXNxy>Pb&>vZ$FhSGrZCD0KlT!ZPlBdFq}V6xOsT1x`F@T zb6v+H748&bJpC_SjG6HkebZX5&c%XR*tfn*lgk!(uuUAP53|aY#p!(6wXBL8P*Q_ zmd%~^$g0sm2()fW=Ob~3mlwlX-6hc9!LxoO9^E8R^>j&w6CbTY1j8Z9hpOq_M4iJG zG}ZAth{rbx`aMJ^QX=0fL^vG6d#L&+%4%L>5OI4IQb$R= z1OL5F{PDdDjwrhj+IR|fzmI0nhZx|SzT#$cdghl)RuPnydcGpS{Y%Y_*_%3F8E8(%?A7YUFOnLx&Mm zCM}wDn2NK+fX; zccqWB*8jdDB$yzrOlgO^d$)I%XA14IKu;}!Yk+c%q>dRbi4#jY^M zCtU|Wd=@ht1-DDDh*~FB zi$`;aZczKGMqIM|+P(6{hna^{QN5Vp;-RyC!#y#h9TfTRi|oHWQYY=5n4nLUj?XOh z9uX7akGyRzW)wI@rg& z>BGs6B)VQ{sjJACn5ceEJHBwdkBG+?CY=k4aQvZ7QjPuHm^`A>s9T%NhDf=iHe{^J zBnWIKiVmV6xpdX$AuPvXIsS6D{am=lfOyA&Am& zA_^sM_@w`(Ax7NAntVMw{CXGbV-6n%Uh3Tnxl%bs-o;)7or`Xq_Spt}Fbp-i+==d5 zAB2iq;sr=lEKg|2sVYgP@#>kst2Kc23MhbhCHS3}Td& zxW6CNR7rPVWzHqky&rRg_Dt?^nK+<;?aB~ao4cN`5&5t%-;3e)@YP?ID36<=kyh8s zaI8xn%jt!EeKLbrsfJ?EJh>@`vLvb*o`sm?(fqlJJ)-;a;313}6yS%K0F{}?LgcYW z9fb)_wkE7|97p6#OQ#73GsLojl2qJXnDP)e;Y|)KX#B|JD0x$iL@{D(8)dQvp+5=g z-kv-(12{pxT%ZdM(PDJ?mb<4L0wz}9u@Dpq#v|?R3M;|;+ctKNg@6xzigUj~IG+ok z75queMg~P5X3Gc+Ve+~WR^M!GL4lp2j1N&QW>$g&>S@|fxrjOoCieSAL+rSg@Hn#> zjpev4tL_{>TT81`v7Dk2*(S+}A!kvYU8l9I2LC?e)+5qPu=_YpN=RFuGv9#&l5x(`i(9}q3k zHmP7L+4J2DFI(Dekm52#?3caCYTkzP&-(y*qI%MSk%OF9KTOF|W`5IZ2)CDCgvu)& zRW3(+oQn7zWFEcqFYF@w4+^XJ7bhC< zO$_4+`R--lb>w5J$=2BC6SkIp`7I8}6i@DDpxeK8Da9RXVA$WY_~Je~L4yrW6@AiE z1IqKR`R52GPXUI2OMn0F@R*z@^R?KoJ#!QAWKykMU_q2p)0q9bB!MsT$nTWbTKfI+g&OJ zvPG|zLm0vd?3zQTyVlt&HlGaeK4HYK+2q@k^srUBn3+IuZL7gN`!Gh_wVf~SggMD0 z7ddq5nl*_w+8{r++Sx|_sEacIbNUtFE0fb;^XP*&LU~7ss#U94H@Zx*z`Arcm4%9* z5K8-p$b7PMkEfSe(jfWunvbH2#maw=4m#=n2H)C;K$Pd%$=boKuuSEPx3AYLaCX+b^--uD!Dn;ZgL5ITB^Ih=`r#NkL zXoaVn9l-IHr~kdx#c7flyUJV+>V@_KGGJ3c&)isF&`n_5P0v}5LA-jX|B+`FSJ?%rDu51VE5ZU6D@Mma&)ltCeFz{hCs!7$5 z6kT)!E~YrE8QOTdYun}}?pb|?M4QmCvBO#yC8qLTo?mg7qqI6LPzz-{1%q?9foyG; zN2pGedQJq)q&Y-;1}N5DeLt!Faup?d1}A1WBf(Q!sN5(-9A5m^R)km65HLY92 zGAmBmg~)%!HZapki^$$n+8Mp2DeprJY|kb?Y7#ltW|$ND(^Yxz5F;NYK2ivLi|O!X zK9fAys&=R$P4uy^2rI|&M}Ti%M7b@_GpxbxwH}TXVi(uV_v$%GFUz~M20_=;l=P1(>Z|4HVax zh`0PD>f@_y_+x9_*a?Y(T;x@o}%%dGRgHVstVu zUWx~+8h7Zyxz;7ptE$`!0YyUED2VJdgby?X*jXIW=5KL@l5o~#*{l+0eq-Lu8}h64 zajA@hPMXk9n2B)~fzr0V(Z%JJ*QHGh3(Cc4hR5~kpEllK{KTxHEw}!{uEs69arFB; znx+$742NXZ`Brg^Ds$;D#J4W?x5-{MH~H5UC!YFlf&j8-`8xutDFMvB5P`GL@e7V2 z;E@(ueSYG!gJqq4fE|cs;r2fTTo-wQA@2$ueF6T`8YH)+LNHNVpqeo_$7+2-KTE(a zN=GZg_el#}WMqwIG&wKSCb`p(icEVdLk7J9EKAv?u$Hlz-!*#HN5N%wOUvgRa=$owdqXlsir*O8_$l2qU zT&OGUyYp!fkjPm{&Y~&}9_M)eDaQX2aSE2R1{v1w$?W0Jg(iOQLAvHKp9|Z&%$UY8 zx)xg(DlVYIPYd26@i|fG-DC50vy@516~ADvAn?RzE2!bTUE|g7^)OKAiq<{%nKfMO zwBufcO3O12g9TWfO3Di7B+xv&TPc@s6;Y-~-3*C;cQAC?%H1}7?K6WdkoT>2>cKqJ zc9Bek))i#Cc0gNmr>uQ;CEa@t`A-w6z802HN}9HlPz4;RfY8J-n^G`*YP?G5zYhH1 zaKevc)j&mJN8|f)@&-yPTCE)LT@i6 z?F9s*niTMURdQr88jjrV`iqizpXZ=(KiJvKeTMMvr=^1blz!M?BS8KJCuL=2M&9#p#` zel_6lv{NxYci?%oF0!oPXrDH6aln`ovmemRVbMao_9Q!)dANdWvAr*RY;}b%-k9QAwvegpw0H9@m*nO9vtuhUZCz1G{%Y8vcSoO2&ll~ z)pjs7G*CMp;Q13UbG60BT8LDHkNHisdP~gN?=YMigueg*< zhN?o8A~5{JM7nB=gSGML`|uI!m>J)oCGwzozmk|f50OYu2E|sZ{f3>V=52F*Ypv>F zv&faQ@gpB;r(*AN3rMm{Qy|bPdG#<~o11O^)YQCfs?WwX`b1tO!bf#ototV?2#A+8 zqd@mlz{yhhiS%Cs6u?`THATxfg1kTkhRYL6l~JJtjpqfHYj|>yQm?eN5B9Y@j_}Fr zJ3_@AuuN3DC_{DGsBwNR^@V9?EW_KtQi~8rY%n0k?Zy?x-+TsGSq`wqEKtq+QE$lw z1Fe!)e-uW0I?b3i``5?xwX#mcoJvR5vjOp}!dA%GA7{ok!9B{nj zZM7xdOs$1FY?HXW>B)rPhN`Qf$Dh8suwLTeAOUB|Uk5lZwNz(?&MCb_ zLmlbaQ~(#&MTGZF)UZkj48T<$D!|Sf8nY?h+>^_Uk)R(B>6t8v{#Jj4@q$G?hdBeAw@X5&>v$>J67zW?Q@S*qcqySsCmr)G*>3$vT*%*Nx&N01Y>cT(e4(1{&NziPf#&~ zfO)c>K&SI$MmuQxT5#3w?*SRZ_HzUS(sVJK^hC870FqgM$a>sKzhDxN*bkIPDSf<- zeDLkCHA^RVSjRnl{+gL0Ea3cAX?ilsbEqU>bNT#g+=^}l;iqqsg@K<@uBKSkbQ!(v zSwT^3iSXP~&O@&vvqBDV<;7@@ALNM;7S*j3CuH$|R{KCDvXMZxH7WYyim^^S^v|E~ z2(KvXEiee-17}S~|FMN-Uy(8)kWDKE?Q^L_Q&)(f3JUqN_keACh3@ac_V%ULa zg5%_h7d?kHpoFO>qA#`1E*0sA9t;A8!r^3mdoex_EG}&nP2QOG5a$6<&ef(Hs);+O zF+ec*2}H|iVIW8ZPCo&d7tN~aUkhy95!}*V%H^A9Cpr>QTQz-0@g--Zd%2Ngh4eTG z0Gu>3eS|$9!2SNA94GO4m?sPr&mV z=$0FB6>9XK>t|AQ)D8GDwPTPbRF%|GI;=jsFX$@|HO$SxbEWYwc$p4Z(|r~eqpn(q z=g?YKFz6&^(MYwCE!Wcs&R841MIuHRh;!6CDJIL5!&b6V#DPv^_z8`dXwT`hXANeKb%8TL&Iy zSIMsWC!v8C4bGe@VU6aUxkXejLTe7w>uC>NHS~M@S{69-RMm zM>S)1k@cj5Qjn&49VJWwSOs!|!YRf9&owPl33LK2#ZdWe;qE!4{VRtzffKKv-o|39KU zH_d-&QYW#>mhqYan#}*N@8}r?x>SQLN$x3-pC9S@AKRnd_^^19bM`|yeKHIwb&fTcHNgL9(EWYl)y`na~ zA@gB)FWBuNbrYL+8*pWe$$nzv=RnHB=|!wXKA^=SoyXrzcHO^2-gYKh-JNj|%Dlsn zUTwvCuf;+&#^QJ(WxiTn9Nnb4iI4Vc@4-DYerp+2K-CrX)|E*ZgY>F z^~0wu_}TSWqoNn9^LhN)71#Zj*lKrju62>qv|-(Q#1S5cidw}4myzqf_QhJn$6V_( z6ntf=b=_jsPqTjODGLJ!{!zbnVy|WRuVofq^eu8MGt}-JUKgCjT5z&^x9!Kyp3+=j z=4R1oO~OSYK=C5btgEJE2c`~tQ2!#bw4Q4JXWFO95;<8^ zodEZwIi5W#-<5MB$~}c}-)xfGYXLKMP#5eGFT)W5UqeE+W3UB|Ezby(-G~5+nnBC0 zS;wu?Y|9AnKdw`1c^vLadw@SCitxP4q_e7bYDdVDRAEJJ19U|Q3@aLSX-_04Fy6R9 zBnFcB8g*Uo5)n~j(Sf&e z!o1&vUO4s8?Pb-SaD)4%%A_)s3>#*#9RXCM7?vrd#p)N3R(MW-uHqa=Z zV__hh7ebamgs)04Sgi9N?xEIjB<@ll{fx?cD_*_T3Yjd(82WeBpI#uM=a(^iHH# z2|W}c^w0u?KnST{KF>4r{sr%k&)hTjnc4g7o}FvY?zMaFv)rdnohm!ebn*tDJoirI z6DMD=i6Mh;YZt$hnhW)(PntP(s!jWU#Hnkn|0~^e|5pYwZHKXC+|)~jK0ceA&gi1p zqWTAYgAmZ;%wJaiCA+v*uY$CsUzh{G!wrOKCgJhK#^z@0k*Tq<@gREZ5cZBz_^J zyg9Ji_t+HHp9eo%M_6wBkhAPA`pOF6GJ6{!U?1TXY@9LmW0pX*cDF>o-V$iZ-JVux z%C7qBTnKi*oE&mWPHJZ^5%7+G=J$~_`@K=U(r;KW>$2}Di*!?eRx3L%C42Vc#)#CX zRm@td+2t=jTU9@G8mnJeYO_x+Q8_$g!nw-E4M6mj^GR1ng zG{j_;OJRo^Pgl8SofKIpD3HYdrw7-{Q#n%`Bd`UkJVjRaZG7s%+66xi<3BTmA8_tg zrmWV49ZraJQqS|=wPz!JEPtOR{J>Oc!jDs>#pqXmhzj^QrE_(k6sCJ$!}N--2j@@R5c;;U-nbyq0B2JyQI>3^K@J?(vIIQG-Uxe7E%M(^Ss#f; zc8GUN_w&xPZwTFkU3qg0i6GAE)LPF(@jo%w29K&cM6w&$@l-YVBOvT?ZhLpwtI8kC zy!gg%!8+nUn!q!+>RiP=zL*)TL4Y1VY@MQ%0?^ejJy(Uh|49~bfaU+*TBB=t{0Ql~ z;J9N(82lHu7pVN^iV@cf&ykgXMd(TE#3vNN!HtG;5~ zLf>~~-cqH9+CLv8_JF<|Xnwafm+6zq^K(}s+4ZLmVyIaW^f%wK9u9mFaY=fV$sY$3 zs8v#J*Jty7aZ!`|JC}h_uS~qi_*7yB=k(FwREPFoi;t(`pBwx#a9`5}s9SCQh!rf9 zBwUzOm+8Um;&Wiw7xz~L_6>5AOP}cJ6cVOg*NmbUie$EmKPyka27O4#vuQeWxMG_( zHZmIWdqnC{u88`2f>W_TH_bFI_pY;J`h{iF3BxX{I!x_HpV^Jd0JECoQk8!vqc!vE zqYcC-TEu!-iJk4{b#jr+N{9mqtt-5dK6|AcYwFZ?edEu_4*71?X-*aU9 zB$4-q?#)-LeOzyTly811=au?qxdAoso5RlES>b#+>1~Cj89*K3et)Kzf5Ml|5&wK_ zK~91dcg%r|%hTq6_M(9|bbl8mvULrtt9hNP{z6PRwrf66wA3SX&G}{aqEqH=y)CN4 zgucJ6iVh0RKhSfXH8A_;qtoly+HgtWV6w}@gg3G7D^F6-CagCDL6}-LblF>(_Rgqv zjISGedT!rke59@hJq*{(T3uf@ZgUGdtsY1}m3B8wDJMc691Oetmc^UK3^(=T4{wu| zcNF~mY~)WmhpMi=Ht*|?SH-EN#2QOnWzG;TdU|US$j#w#-j};RCRgy`~pvly^&&X@lK7L-MSh7%CGvs`^J2Ni%Q^(4NZ-#Qn3;m*AUAC%e7u zOS)a;sK4Up<@!<;a)(FNV55Y&H%RSZB6T4gl)N=|**8@xL}f2o-WV5(`45A4XR)E1 z>>6)_N&aTy?3+5rlGe9QTs8lN@rrVuW&Yh#vH_N5!-aK#>v}I(w&vz~dIBpg+){Q- z12$%*o|suEGD{*|Knu-1i@ZR#H!xlK-kK^?VP*NnFwE7;(fSrmhaqK^8*SC=~eXvTiJ{9uW9fgpI_w(4>ZA~3ruq2pxZfU^PC#f{s&LF>Kt*jmO z;adeoepUlC-#!&{E!517t#}5g=h)QuuVkMu%Iq3P0YEh2P-_U_$@9!jC1m!gqG5<* z#z^En?+@{u<|KHg8E!uNzUVJit&a1zrV6sWm?qb`GHUM@1iRZ>!51UI zJU|!Ioh6)Pr^(VOLb*0%Ym-=Sss7{nbj6h>t;r$Y+Sgv}feQBc#!(3y!^mr>?uVse zp9nEg1E;t)&!2OQF^xPuyxRDAeEu%0w7cK?U!sEUj;<$tm>K`knxxumE!lGRIy%xD z)J-IM=$fs#=cqP>?%Z42{bcmwkx)mrORSh%YrJeyjo(T|g56x`Zf{=EjvwDd3caK= zzvw_BXAHMxh}5;6sZz?T?$PrP*?5?bp}lP~SYJL%FUWE3NUp|)&&qNM4+V@L<A$$zCRG(CFR{&!319G?Rl- zjA8Sp(cS4Sx3h%m!9tVY>)Tvkgss8W-i&pvH#LUw{|am7%h-CcJkaQ*RjlLf#~{TZ zozh!MvLkubds0y8ZOBd9q2y=h34@x0qx^0MYHr_=%bNGUym%iY@t-M%ej+PX-5+OV z`RgFz0do3fAJfHIe03ca`sYq@RPXtFbT*U24tfq~z*8E>S*be!ED&c-Ri5fBhjxdT&I$RS#-$;9@_nFZP1`+L7Pt zpr<1hox$PiI1eI+3`#E)!S1T>r$2@z4Zch_{<1DG@bdu2&G!JrzE6C;ce~;bf_?0R z#f?9|?7SDtq@P}lFC34!`?tF>$IPtR`NPa%Dj;qJ2+cv=mSnV?5qK;8!&wxpXrEI5 z_c-y|&oObta_7)>my7<}IC=J4o2M^w%3oa#hDM2(MYCuA#JO^t zbhuK#YlIrbieRqHG+@n#UCiUFhvg(5oEGDfA0+6OMT|aYA~Y!6MH^=qS?qdF7Y!J- zNUOWfM##m?#kQ*el8V9OjLJJJ>yoxwjds`s*wq9zdpC@+ahw<}%*enw=6fxN^lGrj zd6-m!!pLtuko;r4g!z$C3*;v4&sCcj`mL!iPiObcXZiG>4Q$pR=R_rVruiRCeor9R zEoy@g(wEi~zqlyt(GFd+_iUUKB;*ocW5X7=?PWK8`|{&+o8IlLt9R~b ze{l)sNNCkwxOxyoJ?;$MX|z6f`RA{!$y-}YIpx(oDWfm?sundodS*fw%&UeZ`UQ+^ z ziq+)S^ol!8Ul{iJrO+poJNxzcc02d(?s{mHrM+bWI6q7#!O-hoMPoU~=YWu{G}&-1 zj_XAOhtt|cFIH^-XOR(`9#>W1hHtz|(E^Xu138{2 z>Uq1P9hXF|U%g$lz}^^jaK_L4E{x!^5;5}g@;JvYCGtgQYucBHl3;D|TYz%ML;b(k zfnL8T@`Q#@UGOLB{15(S8ST5+h9r#7)5`<%8VUKy$9Fu;J}#!gHbHtmdE*te_iO#ECUq3{XCJ#j++mXd{CH=5 z?`t5Qz3*eo+m2TDQF6vXTmi`_17U*qz@>STM86@CL8H~-i;uUB)A%)s{M|Py&cK&e zgoKjW9fV?zKEm5*2J>ubzvu*iwAeTU!^^wOrt7h6t~H(Xgn3A2iF^3^V3|C-P{&}* z3cS-}N%AMsre$gab>@D4G>P^Ch0TN%t6S{CCwLgwI)}&Bs)!HFzC6BEkxW|sZ6B`{ z7|ii;s+fLW9a|Rba`aa_U5(_RnGo4nz-ZZGn>EbTgclZIRA??TVWNne*W~#l5OC~yrYc{Gp0Bs zi?(Y{tTI+8Tl-MW;G3e42oNP%L*|t`>DnnYY)!Q!C=z#!nl?=nZJ;Qz6wnEIR$4#{sH=wdogpGhw;88)5{LYb z6crpewi((|^bC(J@8{%z{oICXM!|RDa)IYt#KA8_>Awsu?q9hy$p0 zOP~W^Iel?E0G+C9if?I?Ry_zBkvSy4B2LD^3& z@TfluqiW0#QsD&2Q}Rq$;uwb_(%2B1T4Co(>9X7Hm;Q`Or_jWExiloP0JW6EYwuLo zDbl65ivuQ;h|SN!^d3H#)0r#eSr$dfYnNf7e!e9pucRtSLRPF&bu;4viUfzvo*GB$ z-<@EsH*P|`3>TrqS0@cb_VhRgZ6NUI0{sL&_o|Dgd6@SVh|ya?Y=?il8rf8 z<)ZZ0Bg0QfQL9cGA!4Am{Z=8r@RTRz5Kx@aT6-MxAfa68cUEpl5n_jS)uNI-Xemy?VD0 zR2)|+f)pbCD`2JHSg;8o9%yd5j*tAjfzhA(hb{&>fzo%MC;Dx-)ZP0d%$TrJrAguGF%Gxg<}J%(Fm}MuGJ-|0qETQjC<$K zH!+>4s(%t509Lh%G~4c$m2^)*y_hK|$JK}9NWn>GP9NfU8EXBQIJy}op)Nt$_VF29 zhlWqsr?I=y8~nKAkfgwr9z0qZ|g`Rk4hicnl*ny!$h|&%<(dv zeuipW-KBVZqY9h!oq9v5i)ySM0(0#{xlOgsdk!mhVqsgcR z>B>X$Ib$;dn*qT8p%I2LNols0KdfZSE4U>r=wm?WS@E4=$fpRl6<)0|qR13bB@khw zZ22-C5`IiG?s1Guw_ZlnV`<_MM;d)uTtBRHK`$T}0R&n_vo)(|zyHkZw~0G&e{))E zTL;nMWfmk1O7>$MmLs73VeGiJI$JVn*q~YEHz&xMVcfHItfFhSG+UFk*5eCx`lKD#@j#q@svjyPqbemCqPQ$atMNXqTxzl0n4)TC^sc`o2+irA6%4hoc z6%PhdT?izS=nO48P;VPB3ra$TWkHpkk>ly)OTJ4LwF~%brU`{AkU{eEk;z89f5|3% z#~u>tEG*-Ll2~Mr6$~Kew>=cc&;TJ}3-d^{c`|$>umutuz-T#;zrMQZ^u(^G5;h!`H9;w;rhE;}$-qJXFvhq4iYjDv_z)O` zG`wRwRnq+)pppn0IfI5gIge)W3pMRDITi)j z{Sq;bK=*8tMo3)h3kJ)`e=kn(Fp43T#^AKJz^Ja4R+2RG=L4Nv{F}>BUZQG8;>^cw zioykIS>QkeT)~WX>sB8$Qdmk6jNGXK|ZJZ{geW!}){LdsvaOp!C z6#RfMi%xZ%>go*iy|LX!@z9sq`c8BwDFQD&pw<{7OJ`Z~{>DfwsYgL{(CTEL3=@&W z1{2ncbu(MV)Y9{Z;PQS=CcGmx^%0rOY0P?79ckJXs$hYnhd$uEpEhnpb=?!ZeByUd z2$NGu|Ki8!>a5U0_QNe=*)j%@s*Y&GAg*)0j85CQ8WY0gusK3_rTY`T)W#z2`` zOhiH8`t9Nc!Z!iPnIm-?U8ermpUZVKEOC!aD67e`Nv|7&QCujhHCXa`{W9FDol- zj-P2VmL6!vN4Dk}v%?Q)jx>BTLTs`=6#Dcm+02(b;yIlM1lB}XO1h1Ng~hW!;KD7|Y_SX! zrxvDsl2{V7BF5@;Z5?4qx>dGg-{@)Ww4=d1@=M4hxAnsek60%)><3N{YTSlU-*Ykb|A_q89MK8gZVli;?XoX zwvurtA2b6fdmAK|MIR(A1=TWSoKUTw&1_C+fJ})vpx77B`8NOKn}z07Vp`IlJer$2 znntEoVp+P7*Lj_suTib{pb?b}00#+LR*79X*`;yC{bnpkIE!`37e+LN)0|7g>B}j! zv@Lr{hHYcN3rd*dU|=`OIE99>CNe^Rz%b%6eX92@Dp7O_*|Z;Uodh(Ut}d-P)(1J8qXfZA6>;jiR|1ASRe zT;ui_epVi0S^?)T`Bs%B1@HaK&Ou5V?IaNFG}hE^18n!$G-cO!gKnas-Q%H63Ffq8 zWxFl;g?bK?>cT!OP0zxrxfK({zlOSs@_3sA34PLd`pe0{>%huvPWg)dZ2V)!3SW9^ zMK|+j{p2+&DPT@&Soh+ATfmBUgMTeAHWuBVhgR*;8T;q?s7Ds4ABcu0W4>n5sC0Iz3-kD~9wbpvC~+6md4sJj zL8vZysYPNw?I?8V*@cRKu5iU(u<+)@F6!V~0Z*P*GhmhhT262F%Eg<^*Nu>5cW2** zCLejc(M;_%JCSPEfnmVdHyduY_ZD-#6hqH6M0~RK7(Ehv4z*{BkKSGW^Vt7sf0rqF zfa7GLaA9*|uuTL%w`bb08OKeYE)je8QhgYVjJ?xbRfbsiOHvF@7tg82KHXcI6lrPs z24)U(=Y_*uG|QiVuENcoHK z9pK?|Q(CuXx#Dfy3k6$!RH5s^LX(Q|ji8iFIZ>1G`_zz&W`5gQ8E7Q>(i30tjY}mk zr(Z4E&ga*EL^ji=Lvnw;N;C3F@Lm6E{V0lpRNUo%3}Q;CgljkbF!(hHWpF+B_$8CP zE!rp8@^{imv;lV-s@~&3sbSlm5{BTlevrG=3Yv>S?nXy6{#Tx_uq%`VAh^5i7t$gK$pl8y+N)+=uaG|On#PeA6*L@p@Qmx*V}bQd{pRzs5{6QK zlxvC6l>$9vzYu)GT*{O#r=%rvFN9lvzEcrv&{*=SZKWsZNNn6Xmlmw;j%3v>iwWCA z`k**17SerGB`1=m&k!7P4FG)H@yqE!~^J7cu@UX7r6T(#Yz(fN{2 z5SWpGwMiU=h0FyV^Bv1${GgzW8P81cxrfw>0>&OC+n0uYn{RLgw?XaE zBQc{zuf<%Do~KbN4UCL@>L`xRO7a&U!nb$=fGglOy<1+7mbqYM!H{ZLh$|Ttrb@$} z%Qql|0=Coo(1;p7)QqBT`p-UE;SPQ2D(R3h62KXWX=Ln$IJ|Ktb0ML2-Kjgkfyo2O za?r{W>L~{b30tH&w}>Fie=gFn(fx{ZJD>9Ri#B^Qj@lT z=jB5VG+IUvB}1dCz36ef*Tf1#wNP;NV*HjesY~@^iZTR0AGyD=mI?zh9vBJEmbzK zb-C67n)W$xGRQxo&^>HnwT?p%xVj_m{mJ_^!=~iUkm?hPj(2-6-mH~@7H8AJzyn1B zb&oF2f5K+;hF6>?`HRiN&Xa?HVtZ-^q7C`JVm25Sy6sy;i*d*^+BaU5W~#$czF4|p z_I$2)0#Yf41&vQ!MA0K6-ht ziXC-SWRtRhBl6KP5bmCL3oQ?lEh*;6JVWJwj-l!Imrzz}o5zEip9<3#{*6o(qHDr# z8Dxbv+M^E+gVWzaBm#AL-y!ERt-RW7+~xOuSZAP($5(uQ5OFboZ#z&GH`5z|)Wmk+ zZSFt~aUHP;+->iq{k{9WeHgzrCS*%t;8}=x46%s^53jI1itfsxFZ7j2gd>iY=8xQl zQ;FbK-bX>a5~imTwp37Cq(*7<>ir9n;cP^HPpXOi-wybNAu^(e;<6Av%KY0bNaGYl zoD=zTZy_yS<#DLccHAocY8$%mzSyk6@#;tdvjm~lc4cMtTJ=rJr`~__iPEoD#0c+n zWq6S4;49^h<~coc0;)9J;lk0!KTsyyenRvMuGO(LESEMYKp*}kNXiaD+L;;{Hjq$) zM88`Md1FwoEW&WGtB3DmptB0cbNt;Fm$hmV0 ziXXUqztiHW-hh`k)fMJ6$1oBsJ&vEAMfA+CFNW?X|H4>s-AQSGG>OC#>R6D;S^~EY z#dv2g75?Jl3q|Zn&t)H(O-TCbK$Arbv=lr(`rri;IN}y>EBwW-jNMe&2&C|;LTW(N z?Sb~GwL?HCMrFyEU-A{pwDlv|Y4xve$e|Lvn-Qs+cV^$Ov%k7!er;u}tmVV`Zs8#= zlIPfbH!vi#D~OkYynrdSGhA66@9=M~@&SZZH6-+qs-!RizHhJffp2 z@6kEkDc&u?z+b>#xHWOT9?RXk9@vg5*>CCbi2vJWN)8nmvY3~FxwDCvE?2+Su@tZz zmV9kd{yCxj?&Uh_us#I#3W%+0h5qAI7G`d=e;Q?L?%}JiX2lfYaLu*)Gapq64UgtWbak4DGn*^3A3 zR00*l!Ggkoa8#FFOPtA!#NYT@EYzHSqU#hs#cP+jYqr$!(G-~U9F_J5bDB~HxXTqp zzqTTGCD`FKK)q3`8Wh^9k5uS(=n+nHzI8?wAG_N!tXLv9MYw5$ee)AinyS3wmPqRxxP418hQVefbj8OCoYBFzzRB*))j+7<*s7UM|PPdvbe)z5v1oC z`nAr=aYWB0#+BN5Z&QMbXt2Y|<}d^w){p_(uJfQSf&XTJh=y>B0 zJh^jseqP=MVRMHIb68k5qu2`1Ah`8?hbSdvepa@9iRkGnE+48%CX(IbK`YVlKBL21 zp10ISAaf3(Pnv7T@@b9dpSCtR9vc4^8hG4{c-iU#Y?cW2g=DU|KT~r-jAcS5vzHgS z!hsTEc@V(aW3{&klq^mU?&MZm*e__)(zOesZ4t`f+Z$+h-8TS7vITU{2o5R4k zLQhoS2BkuoDpv`1G3f8+-L>elFD*KepqrIF-*dv;@9XA{27gh<3`lNs1rBY^EI?0% z=A=h|PWS$Ph=*N!7^*-KhjoHsYZjNJF-@B?cP?`y0eg0_8Ihz#Q<39j(;@7e;taTI zn3X<+eMMWw*MSXr?szCBgDQFcn8K^sKowh|yjhWq{0HF-ZvXLs(x4zm?1SwW$JEtE zZRARRm*S{&rhSqe5dCZo^xxOq)Hy8Wpz9S%B>L!Fpbn6np2er)-By`)A-mI4rTEI7 zZ%aY;L(|enR@WTJP*>FDAv5_x{Q*(ztI)ccv*SlHVjo(GAvSdYXZ(uXf7n%d3azw( z_IXc0gG8KwX7(Rw=T1Na{s)@e320Q76J+5h$R>b%EbGwif7lxSR_GuSf#!~>&R4NI zizP`4^4P#sx7u}S*#1o=L0;jb%M1g3uI0y>8R*Tbc#}584Ms8DbNP&pFQn`6jJA`+ zp=(E7SMH}oMl)2^kO$y+#_RB+;@0ts`SR8pFj-YX(4RQ%BfJg$H~kWKj$Rwjl>x%T zI?Fk_$PM&2VeyA-oTqgqK(%~6?y18F^6|mL*PpQD`@@pUk^fSEZLS$r0NUsl-A!fj zjF;gieW8EYT`=Gm$oH?!f?Tyx{)${WRAIH4uu65}{UN%P^3F9-(HY4^ZQIX*H_=<4 zF#(N<{)O=Rk zv3CQmH?KjTEhMzns&>~-LizTPQ5pVjP!}Lt=qmCWL8mt!2z&FZTkJdA48}e?{pg`P z+gySjW%TF8F2X+DxkCmkg74iUbTY)ou;#sx`Wczu;;{(e6z|iFy1U@g_S3Xh&COh ztq`^pb`G|)SPF;VK6Eo!clfuZTu9)>Z?-u#8y7K`flLPyr{nEaXvWN9oeIFHG}TLeIW;49O?%X^wU6xs`Pyc>h}h zX_}@MfCC5QLJ#~)K4zpn8gHoKI%gGwJo;txxUQ`$Vj;)qhk>7kXO7u1!LyW_W zq_9{8n}<}ba(ynuZs<<;);D4-O$zOhA??jEH?w@ROsI!+fx)3~wzu^l+G7)~Hr#=F zVV>AJk_F8aN41P)alE;fIdTIzv-ee5d5xr-Ia?vb_%UC^%L1>Lm)YhXLv9D)7f;snH^(H0K zqCXAd;sG&(Q>ddA-BW<{o(vHkO!&_WLC+Nvs)&Ayb-3Q(UFO8N5s;G32jbUbRK%ku z0zJZK`RbS#vx6>3792(%Qo~#nC1_dDgL)`;@yDNi%zu-^V5D+>zU-}j-h9bP{dKup{D z!qhTOq(ualHn=KG_25MPD8Yf4o_7Blhs}!9Q_ewxULb}RcD)02d(dPM=eDXa)h-%z ztxb__+hnTuFmkhHDdc@eHkDJ=OeHOFKjXm=9i{e9$Vma*ctebEF9RHel!m1XelYIR zOp40pA1Fc zmj-KAK+u-_p>Dn`Cy}fuXnC7knJ$yK9rN$67lDBG*Rnl|BYm|kDD)$jqPp@q1}lC3 zeXTu{hs$M6L+$~J{!pKq4=r`(i!tL^lRQ(x*6-0Wt|BOU9-^DZ_ypL!Bd&vbFnvP| z{>fw~b6ePUmt8~gNG1X~uo0j!ofhJ>w0oPJEgVC}E|9QO?{2N02Bt2Mw7(z+ddozX zGzD;IudT<$DFXWRG~rt=kWJHMx)i!3VV1RtI^LiR*vFV|Ck)>-X+} zFp`HFWE#KS8xPm#>{?s%GPZV>c|-R0_Dd)nPps?LPB-c z;%IRHu|%YWthB`zZ7& zHv4z7<0pbLP7s9;sz_m@Rp`{7WXNkr>a1TvRnyq5yoNgxz1||*Kp`T6v$^4o zhK5JmRB>K)E37|sA^%fDqNZx+*6v9j*`1+dvg%X9LjB0HkLviqkrITh!2v_MvPa#< z4^6oN!0-7(4jMTer)o8NjpeIeTXPht} zMTdyL!!SV`BnoJ&q4cZn&HAoT=y@u!D4-{bzOU=Nh;<3GYB&ry$)f!e+Ub{GOtuLB7 z&9nF!gcE;j45<10SNJ&MV+Kf6^;ovrv~m+iW`PWTdpIlD-JE_ez1%p~t&w!FoIgpi_Wv zJ6{K*4})7Cyxqi7Z*{Jpvv^uDw|a-z33g~~DY<_VvTHbmE1=$TK7(m6IeHBr7REj%33O4LXAgVA^4Z z46q=T_K>{`$6>qY+cBy6XA~BKKx2yu1#tPFgc`N$&IEe#>GFKXMTume|d$Fq5W8MDF~@g{m~Xpm&F-g zK&dF94$b=9XwBVc8Yb>H4>pxbQ0w|;9aotcvU@?yn?F1bSgD!|$$D1JdoNa*K+Y)9 zEVvRrSf!R3Mm~O~HF?SBy;d=1vp{E|7}y&$G^4M3>=}{r6#2z$DRZJ@KaTmXSsa&d zNqo-=us5ly_%rTY=vRgqjCSedoIsGV_(faQIyrSwITx&U%sP%84$mHBB*nnTJ9arO zp1zU8f#pLv53N@si;JHy@a3R<_?2{|ppgKvs7 z>*fdndM3bn&`vPlYHZKAAJ4= zuhLY!(W?)Wxx2YFc1wx9^@XtFFUzoi(?^=T>&v`9qK)4#OLU*yY9QN7+&AR8qg#{0 zov5wfAsO)X$%8jHb;!N4!@Wjr4@LPC@RB@5Vn5fPNxs!hwp7X{vFLkc9!_EwQbfz^ zh{1?&><(PlCT&bUK8R`Fz8U>7x}910DlID=B2`i3?Lf8@2G7Mcw1Z(-o>;>R*BzyS zYV3-0`+*{PHyco7=cV5EC-CNU-ALbbt%U;{^otild_L{@>;h)C^TR# zR{JR72$DOrDQ$kk-RhrM8&tPfc%>Ng{@p45C2u>3IaNg`H&#`N-HI4&N76?OJp zHuhT##r^|BuwlVP$(J8g7wL*I=SpYw<*6vY3TZt%{v)YM+2_?;DL$0)XeiE_S$9Gm zyT5ztD(urnpy8X__1-D)u~+)%F!5S52?hDdV^)^NZfQdr+xH%4aq?aXS|y6PT{lSD zVdPYs=ly)U?P(%vu)&gow*2}I`1h1GjY8u(-yAY~Nd@P2MLe51`Te@5B znX_AHRh6Zr6uIK@Te&8PGTHY>OT&tj)|}?WHu~wO1WbO9HwVE~|4Nm=2$`{DDOLUB z>Kg9nM#P3q@~tI(ZBHcQt;-j_I+pkpDP|&h(!;F3x@F?v$@Sq@l(B(>fu+X)e%Erh4m2r;zixuNJJ%R0&C;xCi@!lV|+| zIhFxom1Pp8gK#U_EF9oE_!Tp691ms^&$?yrBXHri$HXYl3qc1&n4`%{mf0@agfIxl zwAn@3w?>HwiJ$qHwCte8`&cRHO&phR~dvc4z5JZ)>^a}s*tu|ua~i(l zG_|+o)coM&bG6bq<=Pbt8JU>ee={?5|eiobmzU%rouB|ApBn^$*$~1f_`KHgs?tQ%Xn)dhZN$A6SnnnR7F)N=n$P=GF z5#!umBtgrKJIY&~4-b@3^$s;V7eW3feVO_V;FXXW(`|h{kLI0d zW_I@HxN?1-E-_W_rG5i4m)b~g4ra7yv(AA-s7I**0U)R4IYlA$H`QCO;!k+ZfC zXT8jTzL`O+S>r`Q-XC3@-yCEflqKzxCjl4#_Exg?!D*%5{Fv-V>(d`-oN)XY;mx4o zSTK{Ndkq~?MIhxPj>a4rerNbs*RpI-Ejhml9Dg4vxUmibl z4~kR+cX)ii?jwl`o3-Oi1|ZL;Vv{%R*1BEImC=T}Ivcc6A7aIy^6Q?PxN4eLii#VI z0kiDpKhP4Mek_&S<4V4u+zD4a_1~+lAX2Cxd8S{Q)*7Xj3VuByGoM+z^r&8Y+2|XC zY!}%~sd$JqH=Rz_o^l-#K-iXTwem}mee*2okwrNluDoXptR%{|A>4dK8PU}ymPk)SK zJ?-><2Buq9+s3-M&3JPSnL}7#(f>$KV36wn{=khXong1omDPHBi!PCc?E6h|nClf# zyO{HPeP+2cjbA9AMXq0cvzRMPIvwVQliO=0hF4HRj8(i$f#6t$#uG9#Ra%yHZ${I& zPj)fdUo88+b6Gy}l5`nlyY89#7Ff@N$sVCym|gbD7~G!!Z6fT|&0DDBrzqU`tV}R& zUTZ|V*0py`e<8gnLkn*qrW5irzTK`}@vq;c^lI}mz_t5Y&R65(S^KxxXriO5t5KD- ziQ<~8q9%JoTsp*^|DZ*GAqu}GO!q&V3I9t2}%T=Y70;!TO->_9~&6q7OYNW$XxcTho;3Z4^rKg=W@K=52 zBAZ27(N*%!EaDvhv=B=zw*ALrH_hXoaQtsn$^Qe@bS!--u)u`-&wvTrjMvqI*RC`F zQLXiKEbrs%!}jMDbQ^XR@y;z*dh<2z{B-NR(#t9sRUUDWqdiVA8u@AZ_e!uCa=T_x ziOr!cB(^821$ST9JnxY}6|F-dd3A;}g`&C(>&Abz87UOWY-X+%wtzX)YTFII4+n{$ zS*sWqu2Y`dI!$|yQdL@-^9ep|px#H)4cC6RiKd+PRYZsy+{_mz%wy`1p=dNu<`YJ#luV=F{S#g`f%>S0<{5I`dC*|Nj4q5kb z^W)j-LSl@N`=jlbo(BAf#o5%|ZFSZGrkNG{p1d_0rzNYc@7w?mE^`~sFq1IT+LpRn zv)GI5nG(An)#IxgUZ0uB)NU$8B2~$wXdMm3lbCZcYB zxB1Kf?O|w}EmC-Mwp0yS)(kR9v+9J7+CMO{3cG0){0k%I2oLi5p1Sj5`Q4zR^}HNSTPt`%MLes-Vbf!T|lWm@A4E%uEALUEEAH<%Y5LbE@t>iejzncr+V}76j#h&Dfyu9mZKJ;R{Djf10)!tq?d^XtH>AraXvXe>JFp@fQLcIe z8J4*xJjC%_agKqpy|rK4j!5qG?(M08nt+zH2S0-=90!{u&wFO_2#G=1S-LyvwPnTSr)Z zK6^{pPk-8!c-Qh=zt}O$6Z{hzH=;Aw{>rFebo??UJ<~VscA+L^2Q0d2#R$2XB$$i&^5%^~=QSXhO{&XKNMdQ%0llMmuGx-oGhYVZUn?R?IP~-1Z7? zJ2M5JvOYTK)cqbT39k`&8UE~JESmgM&Y)*M()jquD!~OAEt&aHbxfA7v+Gr#;FHkfLzu4>GyjxqxOFjB%9MGm ztPvc~^0KFYe2DAJcyQ|hrSXUMB`fn4;xsVsh-uGB$ zzg>C999b9VgIbgF#sQ@_&VVQ>;VOJS_O5nPEi1UbEKu<$*RZZ_u?QpogH}!Hg7NQFK0r4=tB8APv1_((pI^Gu*-f5nVv|F<+T?)1-= zPRdf?b}LeEt4UgDZ8>d>rhlttLTxQyc~$nZLAf}Wjk-X!NU8Y)ArbP#y-lV2DsSb2TniWt4KS|K{qZmpE5j zR4ezj&3(N%jnW+v(m*%&#--u!O$#@MO@R#exVv~^rsS|&wZ@T7_28eeuGTcfpu3yv z#Q^I<#+hG96ZzlBO`4=DZ9h}fe64@@K0Mq&eCzNi{~>?9c3L8m1CpdV+QB1{BwM7E z>CX7<7i(cs?vr)Fq?bpt<1a!Z7d@ibb2+99!8zYX!7ORWkiS-wKj9r50(a>zIKA>i zrlr^PE_O@!?)^P(qY57brtk-h@BEH0-Bb4&#ww%}Z{6;^Z!@!{U>|16P{W?t%9Z>?UN21VJZ(X*qUE3Qa8&KWTPcUv~z- z{1mEv$!P9f`I7mE6n}}!Z82-eLlga-ICRx=qog??`c>68-GxB2n9`VTf{)X}gXPsM z4ZfN>mkwj7fu*~A!=s5-{{Fv|l%rqp(=|uS8Moz6OXAtuz7EQJ4fs{zjJG*JBG!4y z-rvqi5x4JOdTVQ%?pjSuX-qJh3z|nqg%%?GjC@i*ZO@AvK(Wc`SdEF&CL^E5XN*q- zHHbZ2vTr(XkpBo zRJ?4Zk88Da*5L@3D3rU+tfJ6zz)7;0!O;enDbsuK65V1T#%J^Laj^76=2kFT#MZY6 z%aq%Dz3A-Nmpz$ew-T5Cw#Dv%`8IkSNvfYU^G%OoK&uNGpdUw&uHg=&(Cyb<13OWTovsMk;gRyja%}+@`?3u~Ll{zN%(p1kLuE)Jy6Mt9vDHm#%-b0mx z1BW%ODD^y%{1=b`*JsDQiP&th5lKJQ4rIy+SmPnjJq8HF-c#;Vff9UoJQ){MOkG;{ zTgqLUs}#K`%Y{L$8zg@uxCU!DPpXXM=a0Xj-5u~+iXBLN+D!*r=1yi%kZqq8?uY9m zSDmw$(L0&Zd2+-Hp}>B(*JXpYp~a|7acuJP{H*IyW%4Tw$}5GW`wiA3ZJSEizJ)f+ z&cY>3$;g1mPw`Lp>N0qH3nNXKyJ?_a zVzw;fH-4s&0G@k%{s&O3&)y#P_vJFYaom(h7Ds?^s4mATY+YCkf)UO8P)pJu7Ms5j#QJR6$2R{#8EUwyEp}4w9DWjyoo|W6UyNn=hkOb4fnu zg&iN(T7{{eK&JAnhQhSDnz3Q{@SGwhuzJB}B8ak(B zXNqlI?mC8bXMHHH$z>WhXFU|&lvw7X&W zJaMiU4kJ8<3_`U11z(A|_c>l!sa>7WwQCfB50_cc-X$8f-Oz*55y%!cDg zDw=@q>bg#xEay_)DtUW(OQ~PzMFLQ96}_ad2)bq!$cea74-el7nIMx7YotA&$9jZE zLVUUn`HnVHbup%ry`2Sp0aF+|>Xu~1W{7fCz=*Lt8f>TyM28aI5EfQ8JOGX)7ctP$ zeV@KDdW8WtexEhZxIOe$=sQS&7I)0`O_=gDU110`>!G3PlNG*~)5*wMU90$0a(FY0 zPpPIq!}2Ca4-odW99QhzA++cny)XF|a2}k7E<;oIH?Z<)2ai2#k2h^WN4uCwmOLSe z_#M34@Zn{XO^zC{tWLgM=w%p%34to&F=E8$8GoEph%=bQiEE-HYqg7~%e_!;z8>HsA`$L&+g$L&OBN7904r^V1}@jo-M0z*(79ADI5H}=o(P3k@X z?@eQiFhx+c?9u^&tvnx=yT%@W0jmS>U}_9lxF*jFBdesjXyzsf3b>58=01l-IzQFm zB7Of2cHoCM^UAEZSmTFP%}A7$T+=7W=Yb~fbjyP=(U|(cTpJcD8QdsZG2GIlb$9D4 zAbp!xoeO_zK%XlK@d32h8w71{gCOpPuE- zpO9LgCR6~n5uWIEOj`6-?j9@X-rZOZCwcws>0ZR)Yk#4xy@!R$=e|>0-nV?b@$Tkn z%T%95ZC$y`FN_=A8vOUE{J?Tw-d6&Kl^?C$b$Yho-Jfou5<7PR18@>w9D&kyMc?te z8hyIH1}hrVCi(b9p$DFX*aGv#*~J_rpJ7mbQOT5nd`)$fgc;PC3n%T8w#;c7_I+G^ zOAjMyv~pi4^*Yqk{3jlmF>IJRQZSMhtQx z0{8-xp47@GV4vp%2eBnkl+w1un{>oW;?!r{e&rtHu%~e9x~OmTGQ%lgY?M9f`Q72Y?Ws|Uv=-s5dhTh ztk;fxch@;1of_A`vOCREmhbf3j}YkV@+LpWbdRWsPwfg7^WL7DJ7do_;x!aqje*XC z0e|6;Dk>|u|9RshIFt%|O$z%wAvF#s$F1LYmloNNH;S4E?1@$NT z#p?x1XOC>*d4hp|!-Z{@Z~t<%gA4x#997Tl#h*@}QF@<-d`CW4+z&jt7o1zVe&`JM zsIMt~q7i6KY0>Jk_i=?OKZ(RXO&4OcD9c0g5D4@-owG(S(_<>v&WCfLEJ$5Av>rHr zd`SCUkvf$7+Q#yIOy$=4Z*-Rifo=A>%Dq^%HE`wnRUHy{4~~Y|xzF(&4%5Sf3$pF# zvK2UnVsd+3f*a*HR@+P%7UYRu9FqJG@zA@$)dxohARij{J#VF*Tgp^EH`c-EXS0U$ zyrc$B(i&TTPti~AfYs!MWYWnLr^-QImIl$?t;Fj`sKVMwz+fnBVM?Hs2w)+9a>xf;{ z8_uZoH!1%*+6;^7QsoP9b_cpon+l={3zd;nMU6Nms~c+wC+ovoZT-2pQj56?Et|0C zihskRHl&}$g@OHJ(0tU8Zm|41DU%@z<2v1~e17h)v(g9F&nsJn%>$0q+F%^ctD^w? zFZqoYgFc6W0VzX7j~s$$4ZT+n;20j_z8i%K%Bd`!VeQH8hZNDgYOzNw*DJ2m20v;? za_%_%x_%U`H4+C0x00qk4^G?7~Z5f?IqyvTd~2 zivjF(G{8DE3<+*n;$Vp#x-JmeR6GiZgXy3>xPc*TX9laROpOT+UIRl8-wJSySWP&NSZZXqZ=zg}`sQD3-J)Oq#V)2)g zgA}OdfhqZ*aMlOQ#Ix4{AobxM?2YTtU)@FTZH~_I*_JGs;5B@LmK2^Sy^q((h8E$U zQ$X?DCODK6%X94j#nl0~WfLRa{uaZj!(#%8y`giJ%$5cs3O{T(W10>534VMtvlpG+ zC9@}bng06%lr-Xl+$j2+f(m4VmYPv|d9h?h{VSJKt~-g+Q-sLhBZbFfV4X#Z2XiBW zLSF>)2?th9e{ohN?{cT)=TSi6b$sVk#(AqlOnqmZTrX5S|6K$wPn|th-CLWGA)39R|)-P>C-g7=)8qO@P-`ungHBn_Q_|<$o}rFGemA_zxo&vqwj!0AhN^( za>^6-Q7WAg1OrHbyX>a)Gr9{pUT}3-NK^)nYC1@bM$~65Q04dzKW%)n6N5G{Q81?J zg_mdNs^~fBJjt1WaJ3xU7tu#1Us6dlxzfuC=&>k@@iB(X|L9W=A6RH%8*nfU-npow z^*;v0I~@x)xlY0dT7x0R4`Rc(tsV!8B2r3M4>5^0YYT%#hoat>%N^XpD219^$sr2q z?Rhr?KP|W3p<7K1kx0j>a1--YZmyw;KVSB$7;f*bWeHXJ&*wHtBQ2<7z1Csh);Jht zXrc%F-v?G#Ge>;OKNQ_tvL%Y5bTK4fs=&{Z(N~YSlB#QkJ|{Y{5GTcI4_yilT}?xA zqnQ|)er#F_>~Nu12MdCwu903CvWrI!=zPWS9DrY5y?G$Wh_kN;5*M)`OsLfw#1zwf z5lszTUNz?;Qp{e30sY{%hGM@#gn|3qvx8SrB-ZuBljOzA@rv)4XNCmtGj+sU0>iE^ zl`zTl`_)Ns>N6_6R|d=T`;w&MkUvxM4ul^Q-UmBU;e~kNDDy!Toz>DFA~xlc*6}gy z^sJ4t7tXsF7eDRqT1LOWVIuTS9HX z`;+mvTx4=l4D7b(D!4W7QtfoVHF%7=wN0jN+{d4`MKbRKQFCT30E1RaM7YQ4?~RYr z%tOy0A&J?Jdj7eMvHuQV|7gQ{s*hD4f4aMRE4rSQLvi=rqlELvpo+Un4)CTfBCU74 z9m4po3`S0J#ZIr~$Km>U%rb9s$qn$0fEC!BknTXsAC6^*xtiwryCDZRXmdsPR20+A z83WOev?gar?ZNCCmu}SXC;4?F#jhm%Xse}-lcGOSf+Yp+@?$HXv{d)#tabBNnY)7y7KLKd}9IS&3?3=I5GP*Y>`U&iDij8Wk*wu}ti;4%#`%tx0`sB1(y;0r+ zU;?>ZOZVFaaM+9poe4iz6!i+=M^i0!V?`yoA1*(>tvzc^-D2BOvt+mBc1*vZeIck= zz0WaussBZ9ssRSrKaY9w58$G9iYe@7cu*{@7hSH&BMv4Kv=;*1R+^<@Qh$(W zZ}LAcJS0KAqO1%K_;CKQsFS^-`{_P>OgMJG7z3a2jMD=-~2Tu(fcPY)(8xsZHP!@nS z&&}ai21QM75C=79ML!+>g~%y^Oukyj`~oayPPp)HH9WcKV^7HI96Oso4tM~=nXNO0 zD7!X&0SwA$4eDTr@O=?0$(R^Ge#eF&UPX=s$dmKEM z5xe}%cZG?-*JFh1Q+W^Wr=NO$$-s7z8@<)3Ce)g%z1>`TnRAG+`r_s?SpQ_K=mYT3 zxxHz(>ZVnKjCAXL1Z-Z^J+!)%hoCl~KB~Q-3jnOJ5q|&{x8{NN>r5_Wq@pV+CjLR5 z*LTo$Jja=@U|V+V)tB8$9@-7pI&x(-gDxwoMV0U3%cc$`LFnW`(W5sL*I~xbFLrm` z+q0|^X$@ImIQE^=F{UOtWlOtBWaM%fj$%G14#)}yg~|VD>NqHgWqK0mX_jch4^=gu z3bPbyWmkb4yE%5`lC^9ziS|F(?ei#1UeXek101#LzGNPfI|5k;c2CHx5E5| zv@p>5coK>7eFLR+=!ZX@eFvApDbte-BTixNlRCz3p%>RrpC}%N+&B%qHs7THi36e9 zVt@E=pW%OU@4r7>sIM=pg&g=>N181aSQ9oMBKx~{c-xCQJf4%VLLKcs^hEym$%XOkfM+Zz zPCY0K?RwFGwune6J3Nz7=tv#GU1;jVbh2~8J7F{&<#yd3_7VpU?ZoxxQWkNPcn`ld zxd%Vu8&RG$2-lQR-C1v%fJEh~y|RHJ%I}?Lau?6xI}ZmtI)n^8E}0d^*$E<3ucxRy zUZ;x`hIsVxT^64bUBSf*ej2vD;KucNBJnGlK`aVl>`6j-qDPAqk6u=77(L9X zIu8A#eH^6NzT9voIAt=L2ZZlyY*p>wi>F*x^S$ocRis-3+3z9=gfOqYW>E-;w<)

msM#s`BkfF32s?iltH7w;hmO^~Whq+ombJyVLdtgunk&7cExkr(J>FA^O|LqXVF? z?#Ip~Qv3Lgb6R+tdCXd zP&U54`;1GED+7)`pr9kkv==5Ai8r72286}ui3E`L9nob%G`gMiy;~Hy9*sWxcqBoz zYmWu%3_x{31dCQ)2@=H-V6s6tsGz=;Zh^YmZJ=kNbW8O9prz>pLc^?RAFiBQ0`pdwsHuJH^QO)&jel>`&IVv z1<8QD8ehDADC7Q|p65@pi@y#(fAx$YMWYHMLM5#Sf86*&v^LZlp~^@aV_u+F9vQCP zv&tx_gC7TmKSYbJJ`Q+98{iL3l2}8Jjr4ZTeknmeRT1e-+LO+0mPI3@p~6pE1G%8gAcdR>^Nda-3u9A*17kQi`XevhzPQRNGp|(86DmP z>i>=LY&ID{m3L%Yry49IU-pf1yYb04`l%;wL(v7eOmMF$4L4COe&grGZfvae@ix*b zqtjhUd*~;E_n@mC<(SezXAzQvVLN&Z&msJl9{uuub0i}Pt=K=+T{+cS zta(6#{=pU@e|{c+_Ui?Sdu!f(VFmZ3Hy8PqeId>>>o~);Niy4dT9;Sy6!01U71%U0 zPYIieA9v!NP1?<7R*ApLc<{IXj0R?thH9E?ecebNlqW71hu4V}wrz{;Dh5be4-9*e zVsHh%H5K(GO!TyjQsX3mnN~g*e6y3wd8gS&fnaNaTP!*XmlY9?mzZ=gx12=#X>P#G zp$nWBle0LQ*OdrEI@%X`LqSz36EVwGW{jBS%yFt^J&EItlC)Tbej8#bJ#3>UW&+;t zmXd`XMC4}`>%=|F32E*>^3N7sR}r+L?ulIHvt+k3hmh>`9TZ&8RW1hyn!d!ct9V=o z6Zsc*L!$@a{`y*1y93A0Ir?u+RlZfrSlBH(w)m_(YR8Gyi zR@BEm>1=DPN?J%hOPPARHmu?LlPNRB5Dx$Ci)y5m(fL_JDPG)Paj{;Z!FyoX}=- z*h#?`8>__e<#np{LY}X4YecdMlA? zT0;YOqto)O3K-z~a*tE{zK%)!ZUy=VJg2x8EvuY&EO7KLA6GPZv>NF4EXa+c(}M8m zNUnR(d4U&v{;y!xLcUmh2xp}W-S>M`qe1w+Pt6=y?eF&4%}%q_I%Lyc+m-2w{EpE% z{`El5tPMB!^6bMr876u3dY@ezbc1$VBmMrWx&rGh7HnsIe6px&FhQlyTa52G2oX}> z7Rk)LJ|^9=A1<%WqTHxYFu{FA_I9rH?Ane3*6WcSsi>fE?1}EzS*FNys;)}LOPTo} zx+nPf!yC_^VDsup^?PyIu@RKlF1)wMI+MyhLit?4@&}%|Uk(Lz+ple$x5(yF6#v?k zq?9diQQ0_G1fG!WpqIy@vbmIUctGd89$sUzpxqk`VJD4 zew)}Glnt`AvJnfGdx}obF*pJ77Byn`yQao0QGfk99}Q&39H}HUV6svVK*EtHP-AEmnPpi~s{< z8kxJKRI1zUvEnYkwLP}+xrtfQM>gWjH@<+{hW8Of5$;H($1lh-}}M zH_|LB9jFSva|)B%NTzW|(!+~WX@2PTL!4U^?AkZfiHe5|9*5d9ApuJ$W_Fk%s)g+A z$=39~*!oF;^=BlUB~uNFqwNCS%_{k2m3xM#9wbHMpe#ok-xnIo4Y* zfzm#oY>FrM7(>=~vU)(t{J<$La&piYLqpyYc}xu<&cJ;jz(O7S2!13-;d6c$?+6r7 zX(2EJ^HC~tz&vt-()d|gVkavt;Mgmur93$l%u!=eWI0@xS2TI)m6jY?d-n`;mwOru zUrorzuOH_`$_v?Yt5h9Mv5}}Oc7{>)>=B*IkYlB5?E=Fn&Qj%Nx81F$hC`Ox?JTpG zUD_9PD5tQgAf?Uq4;iOLhHSYgvVR9}RxZq4sW>Z+dO8b%W7nXN_t0(3V#Ec9o1un6 zB>a0|bb0Xa3zI>Z$SM7D6yWBwKGR<_rr88qA*HBg?vx(np=8KF|K?9jiQFe^)-rQ4|# z*iAGJg>PbKkOD2Dqc>KuYC+WV-%a&KR#Yez2<+mA_GT9P?Skh5P%Am`efbSAza!*# zWaGCZ>{~zbKOZ{ce4|jlf9q_T-q8_xQmoRuU>x;n!4Dl#i* zb*9^&=Avs-2U0QVyO{RI3=u`>JMJY#qsB5F0a}!kR3B;`?5-I4Xbm50{JfrW;_@M9 zVibTmpg#`9VmbdI zz?F;m9+s@M9Sfl6eg@pWYrO_}-@>0az{JKZ*TEhRJDRhPcTx8_cAKt^XF zIR>sjs#&528c@9rNBeA6E#G}Axrj2e0l;lXt!3IsSpfqe7f;=p%X;P?KiCdV0#qRZ zk9??0O6}g>ouYcaOpbvuV*REQjo8A@^={-`&$i>qz+P17pOQ&;9C*gBLGg

*Kp* zZ4~emd~pqI1c=IzfNmT~(E3t4oX{)WD?K@v!(lSz{_fstOdOBdO(w9P+On0 z46H)@d-EpMsblGe;>lXbt6h6|k)0jfl<-!nBFz$z4Ewul`qSg@wL+r&o%X$k;8}oW zWXLa;I& z_*_{c4|V=in;X9S>hya@buA%!`QHA)#*F4`x^?`Op-UW7LlBSOqdODx2k>KAIe z^4QUa$nMW%!4GDm-1o41*=}KI9!;aH;X<+5JPGbq6sn@V==I z&)^3IAH7gyaNXf{t`4?eN4d#8UZ$gcr#!Cm#AFqxJN)lNOYb%F z=N|fl^WP1uysJhJLNp)&M`8kcBp*k|bs^#SwtI;=3Vi+3Nh zrvn1D-)NO3^jC9*ssC`jO@Bm;7xhL{oGfK;c8 zSOr4xs?5Cd;mzFHz=yQV;PM`@d^en&$lcX{nzwrdh&npFM!dXDP>e)@g`EPIZWBD{ zaZ{|sgj+C{qrBHE_8`V#n2Q#1N)iL(=7wccpfoXi*9g@Kki6l56o)mI+)#nx{!3Xjk87W->0nc%*_ znx~Ee9)$N+q!NZyHw5dI3s5=O8rR(l3Zr9hjO8J*(C#Qdi3wxtPyW zYx5redaqVym)_#@sf{{xBjw^gQ&zJ+wqUH%Q5130V>`7DG7SI zOwy@fi`LCUxhH`dCdMDvU3K^mw#0Jp2tlFu7L~7x1vR>?b4G0UVj=U&{@ot|UsTwg z2oqsLc7eQ*c(@m6?t$;TBB|yPjzod@OA5!e$G@N;a`c|wT07Ov-`#b*eikjG{x`Bx z#Gq(RlFch0L1|4%;OL4(`2*QTeebP#kb}!2fl?eS5EvOKh;79{+aaTg*%A4zdZ9S( zz*}P5&(w2&9tBk_-Qmp#T+8a1oUT3Phj<8e%r@%G6jj6auvAhUW6LI*Ik*lhP?ok} z1+dT8E4Pj@Zf<8kf3*M9vzTN1xJa}o*V2Fb5>(v-%(sWZ9-eTh!AKH2{{6rCLqQaO zd|_bvfr}NQEJCHdaS*1xUAzwyK0>Iy87^%^(Pn2G8DNJ_xb3=jetI*UBFaz>ILilt z?FGf1qBlUS%Do=cyPlmg0Z=mFwuAXHw`Yjhaj{iHcALZTKW3ao0d&J~HyET1JfzI~ z{x&kCzH=Cve(pYJ09XQKQ3lE`HFR2PpNsj(vS{)7nMeUs4MLT+z*yM+2$;}S3H!sqa#Pz!k5_mp`?7ZRz zX%dYHWeg^+1J+SxmJ&9v#&}Z`r6s;(yf!HDPT7pll=>NyaX?@h+# z7Z+N!Ixs(h+Mm6JYu=aVmbL!#OS})hn;C_0@3ZuYT+SEw7ShLjgP-hr_boXErZ9Z8J?^(1yQI;_ym~6AjDW%!gG=|VZ1tlx`(!3N_4g*BR#yYHKXI0@D`7c}{#U{t z{Ex8zG2{O@$p7y+b9J$sAzl5|NFoK0e=*W^WYE8t>t+Y8cbepIt5T(Sa3f27m)03P z&2u4r@u9BmAJB>UUane9WRO0s(rxzR!u+uOh@h$D++$AmZ(Qt}?(Ea157D&K6ccgJ0PTk>(URl=e) zYrQed{rgUq(66|q>0d!fS~r9|${TcUe9GM?s+RYYGtV$zAD?c|q~9%l`TcppUFo*b z3=XoaP_K4n?4?MV^QD*^_Luci<+P<>s5*ns%0IAzq=w**t(Dt{x7-UfmjMd_1T@`A zb!x=GkI^rEKvmh3hAs+?r$4Y?!huhcyOUaya%3_@+_W8#y=zRruH~QuIVB|&E&tW{ zX`EGfLD&!6-PZMtNLL44`g|z@F>?D=J`?W`svyT3By8Qm=1vb)3 zUcCL100i+gXv``-u~~07^r;I&eTq{w>Eg*SWxK12d|m-2ZZ2NC5tVccV&`2x%Q(`k z)aBLdSNMgeZ?gXH9S41XXh`55PcAwtM`7ONlfG2uV)|BhGLH|6M&glqR@lhD#I&yy ztoGy7>I)@%;iibM?1dfD+s-;QBiVn+9md5-C+hK&@m`#vzZKn{8>4;t+96Re+wqZx z>*A5V9>;n$^E@nL*=8}~WhLlMu;mQOw59u-q9{d(PxFIErz7R@s5?v4=m%fYC7-}E3Aoq9fL8)8df)A~OuB2ODQ22cp^Y8IB0fRFA_10t|lc{EGU_+n1n;Fp5^K@`}dNViY zyY?S*T%27DBCT!8FHabHtnkyL!@qelIQ-TJFAawh@A5u8^NJk{wK^@f7xp}Qy#>Ih zsC=vt;K^T?0B5$WGs};2N$tq~$(qN}*-IzO&zGI$VZWIJLu(}=0eEKg67Q5X;fX#m zlv-2|N^6NH*vS>p(OTm1#r`R}qL7oRE!+R2=E<=*_gT+jCLaTlNb_2URI3N1P~}5l zYAtCv+A|feC|A!xk(RE^z6%l&e|jYV*3yd4=kq6oIx5Y;TQ=J1&d9{v9(yduX5pTZ zUD;}nhHMP1Yt~%Fk!3zy-KmuLpNmcc{YfX*^mi&$*k~l)jCd_Gy<;yH54P(nd>sM6 zEbE+B-dYVkSCiSk!&YM-0$kpNH}B!`lT2FoI^JLxKKyG9&0`m^KDEt$O}-4|0erIv zAZb}9WL%Baf3i`elmKPXK+EbdY{VbRGBlcWWRH0%&VD@zgRV5?JVjcq<<4r+2s|%X z+f=v?Ywca6E5yemt^(r0M~|V&TU!vzlWbo$shXV-mUKJgd1IX;O;^1*FDS3t!D<8t zUj>!k_1leIocv4&qj61&dt1^(y)U0*^1u#+!?zw{OR%LTbg5Qot(n!`=%qe1yFe!k zx{(=@IZCo>QPq)N&FY?E6t!w^E_)=}vZfZGf-+@9ft%eRIx8;Cf-MJ+=?4V)6TD*r z)@88VZ5^Y`z|CTR(}gOkR!a@zGxLSlgUN%Bh~avJjx&8|*r5 z&b`uB9^~w~awMOuax^QIHUk)em^YwiHO3B^HNVtcxpX4IF+u>lXCr3_^C9$Y^3`SwXs#NqGz1VJ+_L}f1=;irdNpNEi@;b+; z1-1{m1@F!~5^}iNK9^VmW(7OBrk@E2ZNy0ppbE4Ax^n5{Q}YrQLQ1)mLQJ=+5u1^d zU(3lWy$~N?_JyH6zKmQQIsC(i%3JuHm6B2A?J_w#xDH9x)m;smE|0K`7*Ce1y{wz>DG>x7@(yx44O2V-)u@^o$@p3vR7fSM$o+ zX7c{$7JLi_hv5H!j4q_P5!AA&{QtkUG6@t^Tl}TzW(1+n;n;yYi}W}xy&$%}dD2-B zNmblm*VzEk6yw76e^+VJS-s4{hZHv#zp{EUXm)doYl}@P1^J5;?h(We^94tWhZ04> z*B~O!EK);?)*1pN7h@jy=A@B-9a;xg(Fm!s4BbDE>^uM6^*HBe&r_(>=ZjNo9?J+B{jvqGg3Ds1Y2^&O|A+K2r|8Q=}&4mZ- z=I8X@h74ZP=c>96xiPJ0z6nM3l8y(qb~dZ-1*g7(Gvf2D}x z7W(K~=0>T82IQPD8V}EZ_PdXZywsS`1y@+{1e5=z2*KD#HUbW+ChF-uHZtA>{_slq zGa4(29k3pU z*f1m+9`#8{Ar61g>U;37luGy=4T|epZyWsSr=j5sNS9ioW0O~?vX&c}=y)M2Q*}Td z+2@ZWWxmyrcwk~5|A)|>(Dwz2?<5Um&(qK5hrlD5DI(+0Uz^e42Ql8ZfT*owOMXn) zN%UjuOwN)SHkNC?(9vbCJnyfHb3t)Te2hXAeJS;Y-;!Q*4^E9yx$Sp{-sr~Jr#4r} zW3qVu+p%|lKT)i$Kg)#%E%a@7JBnb>suWbVO3+(5U8)nH#srnyLho)W$GAFV=jUTd zgkJ4<{Ag3!=q>FOcN07ZJ>Q>ND;Sa{C4YQ^nkiIV#-JC0mlUA0Vr5W{*BEbwgP~nL z?36Lzfa;!Qyy&VF&Bt6Kf;FBOD|wd;fOQO5iJcqvMm#qSsv3YiaqB z`Uv;LQVB1L=c?Af23J-Ei(OCR^gXY~0E!%|y73?QCp=2r{8h~NHiAbZ#wQJ?abv%{ zKV3J;5imGh+PaOfHU;ATCO$}*ZfO~?&45%tog?g!UhtceS0{hY&y1Eu@z z8UL*n$+~p**-t{BIsCG>8u)S;&0LK*yftDq016c%&1o{8FJDW%Xsgn<6KeJ#e)>}3 z4dn5o8&|j}7dg^2dhJW~*vrM&2b&Wtxiw)&-L9b?IN`Q2e7bvszSiLnQxidJ12Og6 zOYLWy6EO}AMFw#!d(F%&!e#JQS8AsdRJ#7TSZbn2{n$P5v874SBkS|y>e8!b;a(WsoT&JcPR?g5 z#9_UpWVMCn$chplO|cb{(!mPpl#=IFfZ;?5TjsFkgGb}(lY*+s%j@wW=5nmOslHXi zu|ync&TsncGJQ-oJ>7;7iSgZ$SI-qWC&t^6{RVp$n{VGdD5V~wlUj49l}9GHS;3Q4 zY|UGLNApX?GPEC9n{%&fho`HYW`5HiHKFOZ@%WK+xx<0i6MLk8Pf@L;2D>qb^b7V= z9Q6ps;>f*})jYBu4oa#B^^?W!d7Y?q{-`faquQ0-E*_%3Mn2cvlFgw_3IEZIgXyTo z*Y?+y@HrN-@OfSxDBPUjE&*Zl6*5Tz?3%ZUYDfnO1o^3%`=ek#Dy<7lflzM>JNJMi_|JHMg__ycjl zcKXFwpKw=ky|mwRYX#cY`M>tB8M^&sL08%W>XXl8g*)~aY+iZyHQ~Skmu8hn%tBJx zjcrt95vx~CIw2D7$LAvrI-#U$krJSRrrLwib6WDm%dGn3BQfMaogkl=c#3n@9=v0& z<>v!&RlA|T`zx%AU+c@pD8wabEgnXil2ujl(x?1!_R&?geOf!G_SsnZO$6U1eb`$R zWNMqtY=gTIRvR(E6o@4$npIyol3ZTNG?~Ykc5f#PG zbw6=ke9OZLykA~!25l%b16PDkQ*beFl0u!1-|r=aB|xY08d_Agvt7?ix_lPyXr0aa z9pf_{;Xk+-Jwp;!g$Zk0NQdcA*05^>^GlPy*S9yLKT~kcRqwJg9BB&@k`K4qn-~Zmo!A4QGcQ#Z;Xm-zo@H&l8 z2fTi@^hM=`8S)IWcp-)C2#Fo(KPYsC5v>)cxjo+-2fkceCQYOyGS7`x%qqL?@1Tsd zKJ2<5HSci)z<)<_4qWJCl(J$i$Q96_GzSBJf0#F&W0= z3mB`teFDznSnnb+9rRE~GnWpYX^3g%n>&_8Kc%_ayK$A7BZSSvrjT5U-udYm``b@j z9!iv%H8Wq*g3?)6;~{T>8}G6`%3q>2opRzYZUs8%DpK%)f!w#dHhE)jsSr7%(ye)cNA(jZf@B!_xJx?`N&}Hq~5^(_`_HrDg_O9KyrW3M7D)od&ZIy{N7Q zw?Nvg#d6N8v-nj|vI`TMiV;CKh!LB!_ld6lbQ=DJcE*+AJ4)s0wL623wh$<>?g5bT zHnb~Lmjl4IS}T6SOio4^K;0mk$uD9$iM2gBE9J$ZgKy`Ic~bJjS9Ey31LaN%r!iVT z6Ch3^%M)wSvMpU)C)8%gTjuzC&R+x9stg8B<_DQI$Y$FITwd1pE8b*5xCMJxggvB^ zNKKP_7O8X0kOOpS(7-()DORxWn41Ux3KvW7Kp*Bdw^VYew0BKzw#icZR|}B)0BW=~ zS$^QNRbv>nTr}ylb7|g1I9A`aPn_UED5UpVA-3aRcLXT!^iZJBfI+S^ySjpb z4>k!rwu@WbA@%K5(|yg5IMMp|x!% zcO0!1d7`nd-`4xQ)jZQ;Yj2btwqKuKp%zhtHKr+O%MDT0s{71K4v;(CQoR%6iqoeJ z>m*;HUpPw*FG?b&_1LC|ZpEu>?C zmHZZ=HtZ+)>lL(=!tC>;5n>cGr-k69eZ&6iv~I2J3f~p9TB74 zY*!L$@phUgLi%B#f|A>XIj9a6Lw;JVP(MHY+PVR}qDc0>ahuQrhlxn%qE?SrihDfY zpQ$hGNE_mw5y>oEdt$fFi`xwErGwQynA2>sHh6g!pLG)M77|0OUcQ>56#hPy!n`dj ziK0gFE|>D7bz$14p!p#wq5C6|Sw*~gN#iN4ifb}{tTcH=rv`**M9I8f`{*Q|P%!0BL&uqVrto{Ssf z#(Tb1g+T6*!_6e4Xs;Z)TDd5slY{cFIA%Cc_|nv2HO65~^Ec#vEIfz7titG6Fg73s7V9R zFJjH8;39UNJML5BOwN&A`L7Nox}`S%GTZ;|cRq-Rkkqyg)@WsM?=+mUDlYw7~e(P*VdPgAe+mGWW{@_EmP z{|ySnhcGv9jMG;r+W1D;dLcIBY*Y1qBmL%O&>8JWHF2L}7&gB%+J4Bb=}+yZx0Cks zu=;jbfS9{=$|eDWtzdhRusa!NiNLV=YavcZu%In4Un|;p;`%7zo+)jam??3ut0XAW z@n3y?uIg9`52bcPJ?oW0vRj=h z+OThZJDCwHp%43EJDV3NW@hx^Ts5kbHTE+bH@{zV!e^1Mi_n)({XGz!t zfN+FX6q%yx%k|*3e`xV&t2Ha-ewekn53MkRa{F**2z4OVyLz;&JPOkWmpO!>#Kx|t z9hCOx(ZR`eFyQXd^2smOKur5aF77Q;m_=) zZfa(n+KHPbiv?JKF|NW!D=xY|wHh_r^7JujN6K1y1S?`DJ-to z0UJXE>YDk-X0@ZDd@nEWd&MA_P^$~aXszsJM`1=PH{eJD-_b6m%LnLbv9q-)wM!;J zhFy@QHJ>cz3Cl?tx6ufju1;Kp%KXm9i9PTXq64d|?hmIv?D;|8E{e2x*NlJBsisW6 z#mP-fU3I7VOFX#ML&$)}zl{AwxOWmq^QWi?D#JeYnuIF1;~w>LgV~5Gslg;O?e@u` z6+D@eMd|qwCM7(nFkl>EBFz$PD>$U;Oz~Ux6TB1;C|w0NO=T=C%0NrwAGZ;0kr#Zj zQHi?*IQ4Kvl%{CYE9dzL1yF(`Z0!Ds^xJdr@?Z1?Q>e8Ut`0wEjjwg>UdpP#`h8HJ; zXFdKI`#T0zPkZ`Ar&E70QQOU03QM;y6wv!YkWbV&Jv}IYqx1j-^UDl^_H;d~dn?{z z7?B^!+$FXmA+t6lP!eZQ_W(@pDG6)j3Q15$wyVgYG9R8%ht`agA7Z}<$Ba7QbWPnjA+^d zDg^ahj6BnN2+?y!`F?Vz+a|5RmW*YdI%n^(Pc&f}m~|mR);P-#Rn?6e_Gd`AZ%q-# z<;k?3`gDz6hvixX+#30!e9A2( zn?@n0*Vz!Mjc7g+&ihb@8*CSMT(qS7MOl+-*?fsoRkOZ9 zF2U6MNQ7=Axc*?Mx-E%3$yB807FN0hE6oL*!_eV>Nag~D9^(g{HlNcWKII=37;(h= zn5MPB8Rs=&UcxhB(O+7)w|zc39hQ?@)(01zj4+G?4_ zvNsQqx!LY4s?N_UwBWKb7Tb}(|Lc_ADxWudD#?6hP!Oxnv+6lu=NnkKRf~D~V`Y5&v;Xq7 zv$fb0!GfaU?rG>^OTtzDhdFP#v#Ixn8AznxX+&eKgsof|FEHlY;99S3VPm+OVx)Y; zELghGDA01tF>VGWNww$+*cAEB5m4o(t-5>=L>h|Ui?}9pvOUfak4%9 zJ%91)=iJ~lk#m#HCAd-7W-_r@%{Uh2$oo(BhX8V7O%tY@+??~r3e8*jWnS)Nr zM=2Cbij_7QJe*=?(3sb1J_Zc!niv%MsPTW}BU;eu4Qg<}p{aSu4i2aptj`nC>(9p( z)W8r_#8}nGSOs9LYGbTo21C|dbPt-;lCYx|tT-bVD$%bUVrDUSt*)B(t&W=ZIly&P zhHYnN2U+$qvJlDvkY&PF&*S8Xt0r2zJBP*{@L5vM$Mrkok;;nn$o-+2RzIKt!X`Kd zaq^xkTU$-PiAqsZSt8{VsDki;z0mlgT0d~ZJ$GqA@n~K_;9og~BdO<;wS|)qWLgc4wws`%;F3c#&oGeW zM=~TXxaY|KkpTzk1F8MSvd8g;0iI|WaD#!S3K(#N0ZuB~RfdJ4D1{^C!u$Q!=M=o2 zy1jo9ehX12+2X@-@*@J55dJk^l0QbIYW^H+?m57jL9($YHN?7Q{UoxnHy9xBA0`7M z{6o!?^KZ)_3BXdAsqi!9A!%U%W_(vguse&G*R(e1IaN$1EMH{f(vdQ?Sy(l-X?&tF z?(r4IC(Le2s@jmsjVWZ0sK_r+&4dHZ!b~j-mcmRe8!pWH3@I5GlcAooKr2W;S?bc5 zl4pe=KGP1(9|C^wus~35|1NWPw8YBjV2X+1B|(c;?)P( zVi;lEFqO&Sm&4f|$w-s@0SjcUgWubf z91TknIp5M9>SDODnF^`##azBG^TmH_sYGH9A!oMwW15JaprydnOvPVZCzXFC$kSxL zWgf0pRznL-VOiyG8Q;zODy$zP)I#r*197@{<|42fIR-TT@Mu7dQlujd(Buyn z3vsj)YU3Ab)6#m2W+V4od#O!SA|=>s%zIVtuo^ungP5IXjMn*x>hSIl#TgOXtCXSw zn5|&c6F!X6`j3kFk1~W&Ex5&~L{WWv6w3Ic)`+yIkNLkYy~SOBY&V1-It*fvKKv-J zvFo11>0J}+oEuIP4ZE92_566Nz0X&7>8MwY% z@LO+pPQStf#u!L7Gz#iy#>NAPP}74@8p3b@vByF-8o#`NgD$EylX_SCcF3q|p39$5 zpD>6TeavpF`xZmezK=Zp0${7ecvmD^MFB{&7lph%96evt(+Ufd5(6(RAD@qNMdwF7 zQh%#kVzbHcQL*S#m(HfDHc1H8)!y}qnALeJ(mz%#F+U;Th3DB%1u78Np~-URVjd9; ztprDj0J%31)noL9?2OKEhh)g-yZ%<%)zr%L!-FedrN7u!>xQ(6G-EL=KGGwcl-4Z; z%O&@zkbCDv?r&BPF^!UBn~Dund3%-0oW4ANv7m5&9Z&~fuhT*t!B~3%)o;eDY=1>l ztX2>iL05EAX-#y4sx}`-vE!i!7WAwXS#ysepd_yi%P45(IP!tz2oy29zSDNyQf3(j z24P_2KQQ?pvjPJPW8;8@Hlv<+T`-RzSkKuz9RQnQOyC|x1S zQE%gEH+$KHk0?nRArT{C&u+be0&0L4JiU1ozXRgmI-xRK-)Zxs312}3AH2aF(^fD!!iTxxREw~Sm8TDnjo%OqRpg4z19-|8zc z!WTwh+rfxN7_q}8al)%`Y+uIz)te~eyUyNPWzkYAZqAyY!Rw_WQiuyX{BELy8_;j( zvN^k0sw;)rYu>ko7P|{^LYKG3p6AT_@tSc>bhHe8(L7L&M7EV|$3Pf)H`K{=YeO74 z6%9l@udE@tI=okcM{bT3;zL3;hOfnlE|&K*j~c+;^S3zu;&=eWd8z|!Ob53Z7h}jJ z{HXr;0H-fX8lV6PV`nAcQ6h#{fb@6v3@Qsp2%n-01% z^F>eLHQ_blJ19i*{xf&BQ43DXYx(3znX7siAn;L20gTFfFqA1wEpW?jamGGpmkX@A zTj$qZE*Y+Eshx30bJvhdMk~MX@wMGKq2gne&K`l%@xnLbzD7zGn!IDnQyp~q1t9ab zBG)X#^Xg@;kmlEYoNj*z)cN?!BO*TPT2^N`D8l+CnE7^$^!lSm{%8LWJs9W(kIO!~q$Ty^nmVY)Kek$;1gA3iE;@_59c*6aR=d*H%{F&g2+K|4t6F_S zHja_&M=B4*d&YSF(_4-uD?4Cc_geBd>!en4;=?ZzS)GimLcaZ(mf9zjm{F`cbevN8 zk{hD$-Rcn+seDQyK?vqn8&?TQT;^yb{4VPT{}9)76cO?9wEd@g>vV(R#8gJqpGyMq z8gy7yJ~>w$)2rqtKB*4&y{$6?ra(+5Cz`u|^88wqO|>(L=abh@w|bD!Ck#62Gt}p< zMgsAF2B1E}MmsNL?Mv|Yk^AyFRM^qo8|3eOs)C~Xcak`Bem8w&GaAMDBQotCYiE7Ddz*@lh zK8hTSrh#gi1k2RH!7>C4p|x(E5^`=5DGNl>HkPi+Mlz*oU$wPf5*MbeihGmQ0hIN% z1oTxQM{?K8hYm=YSDLSeIUj-ps@}d1_ye`h68yfz)~2VZG>4a zY`5baUQ*0FSw zehzOhJP5;~p=?cY7^{sM)R;ed14ziJ=<(`$AZ^nA4_EUSy?U2 z_hIx?@aQd(NJMtawf?%DL9Vh$4vk#8obTkhi8~L9;D4;RyaQ#RuU8)MBcLjzRVAAp zkJd?`A|YX7vz}Md+3+;u^qniwE{i)8PnMxkr&kRon2mi?Xr!oDisCnfI*DneWb^M@ zip5-R^B?RCc}|ZcTm_F9L;XiKBQR7Cs29eBYMe}%YRQm;tFW|RBi71)qT#vt9x%eu z5?l%A5LrI7@`VIN`5r+^XGjV#zepGq+L>odip8F+}V}V^S@QO=QElwLs@UR#j1jj(^}X$ydwhR z`ugl(#Tir~Vm9Kb`VtQ#Mm0V{PN=7Gu@%SRPe#o7k}3obk`$@B*c^^1p0h7If}wi@ z$_*`9ED35t2YCb7BK@U08@p0~F5Y2;m)lPh_b>OgFQJb^6UVEyE1^Eyf3syn2W+&- zqsaMLKe7aylfDl#n(dCwiYv))}upk*o=!TQd5m4T*qCUlDzEPtro& zNU!Ivwl=pV*^0FLzBP55w=8I>m$C)PWEucH=)_j+IXQb{x>s0Zz=^hvBcK|l)W})w zC{{QNqu=o2vdkRm!0H`S$Dcl2G?FZ}h|0B-n?Kg6?8&o}r=h#VN910#+Y)A!;%*Ty-sc@?mmR23id@GbsZQc1hlS!I^s zf68}6k(xmAW_XU&zc8jxX!9`bV6K9W&iYPx(IU-|ClVs8cRPE?X^%t%V>lS1TQH>W z{nI_87_ENcil{esBa9WDYC?RjxA~_|)xE60Co%fSyksBsB~APT;&>+GR|#GxPJm^VrxB?nlAEFuA4LqQGs~(MGkb zZ@`-_vu7<>T!Z<;e+w=;Y>m~b#OuEC=cYi>ev*IIOSPLNa-HaU9eHp;(rZtd_3N|5 zb7kx6Oo+g3l*u`cUmhuJ*OZxF_9B%(wehHCVn$C)U5U+tH-asTyrS6%f|sAr2q&1B zE+bI9ziAnlPYl^KzkkluF0K%>$4_foapfsWBU{^{Rx3 zjP=GlywseE&m*7k@ruu#0hFmHnSmn}5;6yV$}-JIv)5>;qND1YExa7OVC}j6T0zZx z2(L+u{80=iFPI)i05$S^vML4ezQ%xKBbZq%T$y|j&=Nt)y9_eFf{A2rw*=DZr3hXTbAgBOa`i^mErp^BgOSA3V-zzk< z{J*Hxu%)MO><>djXvS1tUd)0@#5V;EFpEOtwqbUqV-NqvBg$2u1>aGfZh9PpG%DpNMT&WhdrTTDWJ@og|B! zmLrQ-ywxdF#m^N^UhUMJ=!@LA%cLD_tnN0nrZb9lPfLdP*s^U)vdcpFcu0AdZ@5(W zOf5Z!J)9U9WKT@i?4W(4Mcw&-aY;xl+Riz+xrYlLcs7j0zmi<&n10~r|L3)_;Rr>+ zP(W+_F9KirztMQ*%3}7lhfZcuh-bG-Vx^X0w!=^lzu{J>XZH>6?xz;XRO;gp*nQ?h z`3Wto29iiF>LpgWMz>E1>!^rb6cJAfw45$sqb#;BS$tzODy7#jZ& zJ?^mE__IC#nvATu_0j)%VwDw?cu!5wBenfBj?njG{?y7ASAxPMd_VpmT>V}Dh41^i z(wmPIgKS+;I{gn=|7oyHK5fN5lTQIufEC8=O}J-Wt8xa=DJkB z3VFU$gX^HE>YH$K5}%*-auMbOQ%*=u#Qzg5`rf?EkadRgPZu2aO-zefjBx^2u|>-q z|BF_l;CCo$#DDX7Ht#*(Bf?Di98-AW&IUeys_burO$3C zCbJS?(qjy^{vLv0GD`*~vzqCu3THJU7?*(>?z}&qIC^ATA;>Ht*kuODg!zHAHuo@H zMG0UDAub7|J#NL8-LR9%$S@ywPG|nBg)@ctX}%o6?xG$qCm1d0WK}r|-8aaSjREoWMT8vFwY}@^eW(I*AQ5pRNU*RkGFmaZB z)vo%^b1X~Z;BkKA-;?kTqtT0Gf1fD(ZAym=Z|xZsx~K-f?gDwAX~*jikwbE5KKLII zWC-yr`;yIOUj-9B1G^~y+^oZd%M4YXzRv@$Dr!P)M<^XL2Kd2}E-1SRR|TFDzp2Nh zBKbEi7h?NN9gh=e%5?*FHCcH*H5i>inZ{b(K+SK`Ml?}m%DCv>+oNi<@L(H zM@i8IzkKf5C3(cop?F6VSpExLY4gEu)i@OtdXH|WSmJLklE|Ohm`vpB^bE%mniv}e z;zl45aIMR(r<+pK|6nRKIqRj)_;89N+_uEHrf!Oc1=A+2yvHESjq=Gj4EF}0k4M4X zRuAN(kRZRr#a0g{84R>k14wIMGCj;x_+LS612TYAHMV6(zcqk$u9&2mUu%WxCMf zGAs53*ouynC-uKan7qh*uB}yFwx&X8oA~i^`0qX~UvS;VU)HFPL z+yKn&(%0XI3H{lHU3$!d7Jn)RVe5NcN90R?#mJY6!Av{`eSQn0OnvaZJnC0$ z#^1UH=sbdDeAJkxJ_~F>pM_IFpV_fWg|`x+`qLDB1wWs-7pcB+fCk0ZZ{H3Q;4h3= zM+QfprOwhiS}6?rp6=vzOH8p_rlB>&Jniwb4j(gC%QmgQDH!uVNqHI_)=MZBiO9_M z?nsfJ(4Hh^0{l?a*`v)mww3)m(N`{ZMC4r#o9ywD;4+k(tN4fxkIjOqPvYiHLA*<-gn{JNW^p#UQ}wS&jnUs(1 z-RGmT#H29^nYu0C7D4N06>pq(qrOLAP8Cif1H-O#~$(bV=2u`=~8D;94mD-n%%-{rmiveDq&WCD=_0<@8VLfw$6!P#mN-W|4G~EVl1yv?})p+|1R~ z-=z4&^+?EKPsNePoo2RsYy^Cno2!))`Tc@}7IYC~YIx2QISr)q5OGEPEkvzHRT>I7 zHnS2<|K>r^mRgRSqQ07y{DwQ8kl3aT#*ey$8}tcvVxnnQp}njOGI`fIj8sD83s3V6 zp)T`8Om@u?Tg=*wyi@1za2yaHAkHi!Wp46L$AZ6|$N; zkZ?@sZ+Qg@vt%#eZ%X z7#U7`i$%kLDM}T4Sos(wV(YH%B20iA?4l6T3*vlB5h3ddruwTz%CiqC`T z9Deip?*pXP+PEJm^q#35c4DLQn7f7fLR0@*M|78_@`<|PJK-XBJ?#5Bu;`A_I|gv7 zA0Q!h+J0aNR0^k>;KmpIJk9Y-rxi{DGRlP1n|M7DZH%M$smX_ zbqKG@;HWn$tP8+V`-AhDJDdy163L651z))c`iVn~Jie&k^F3N+UtVJ-&f#PK=SZd^ zb}U*14c+&u67E_%KEaO^-?3U;$A{{0n(T=DR^v#6)~9?k8fmswB%7$GA0~g3V@kMc zjG)8{J)%=E!?BGs8!YAfeTv-2aQM8HS?{h+)7{Uo>^VHnACW7kzsF@D%}(at$%qmo z1x?#4tHB-fx2ZEoznj62SD3MIgGXdwPZxMkoR2rQ@YM0KE&mjk-K!NJxjhn(n#$$& zSe9rs@v-G^I<0Fi=}x-|{P%qHwAgc<*85CGISeJZWDj8*!y>K5b!U3SDPf>?N?0OX znS-H+#pj+Yt>{@1N-m8i(x*(&rP9%B5McCQ#YCpFhhYS!pw2kykJ>?*@k_HiQoSad zwP{1&wztlJk)dvD>C~(=ea&pX>e^Zl$pjj#mi`nBnXf+=TO1n+IXq~`&qSsE$+iet z^$mCv(P|n?ke!|Uu*Pjfp0~zs^uJNxzKNDZOnKjPIVEzP!iw|LgnC9Lzit zFtX)3ZV#WWzd)@|b1d3C(VyAyd;Iw(&2hKFvX&kazSA25jzEjR{4=q2NA#>$$kNVl zvos%I>U*J!Ge->j!`{ZI6#bd@BC1eq&X@|eAAaS?`V(`eifzBsOHcw*$Bq*g$Ex#| zNjJUY%MTqTZn;)0$HQJQQ4XS`qHc}+;&3C~Ki4Apd+))4CbpjhTR%*hdkk?93mKb| z|JshaETwT=3KEOIQsNb-Bp>kAq!?%2Pjj3&cSgensj&E9(YCHpOq6~W$~$MkJh@e! zY>X~6ZO54pb9@oWtmwn1#>@^|AhXy;c^Ma7n(budX%+wYt->EI8~*(~x!|YF@h8Sc zlA3Z(-JG>pm;MSDla3EA^+=h48_e-eTrA{mQsyl&vm>CtPbcqy4Ch+Rccb0}b3#wQ zb+H=(-?Q8qm^yr8Og6Mhe`d3;H4AN5l^dHbjJDt4Ev!dXc8OnuL89)ljMUQ&dXdk9 z;ZptbnTry>I6{y5+`#6w&EAcd{lSUe;L+PLx2*{=lFZ?CEL2g+`AgE!A5iKp(*8Kd zaWq%ni8$5ywV%8d5mOxvbOcXpllDIgt5)Dn(bj^{#zp)60#y0z%e$B6?Vexbr3kL( zc-y2dDv8Lm+KXAr!aj$_Ni07vThFcBk@Xfr=Y1sa<+ld}`-@r}W6cZXRJWrFqJcL6 z8lBOK_8{R~37X6d1-^O0*^1NHPuspqLVg${11D_b_Zj;yW84aXASJ+H8Q*#hv>}BoyRB$rWFaF|V)cjBRC=%D5kH0Mu>>Ley z%3SZTQLrMi-}|N%ov1le1n+)bzdzmh|2~jbpk*LK=Mdv4N2+JL-Y+wc*vbLmE%+E$I*lNaMw)-;dU0A?B>QV^}k=6!6g>EUBhnVFBy z8fBEVxvvo&y4&Yc%eaL@x9(>XPA^`uSUtPB);t9Jcl~e~vq)UlO|pHQzyBd{m11NL zI)TlOA@&>M^j0_TPF*dE>t>>l2$=`q5ZAQVU;3J8K0qGOr?lT~E+HN)%SdPY31?2! zhJSjw2Y$SJ3!RqQ<72@Xzy}#DAn2x^wZya9j@*gf^Gwn;DZD!u>jWMD_7gM_z`@_I z?tnvRn?W`}mB4ZqCC| zk93M@4mBVXTH}5DxOvUYXK&Lc_-Zg24%w&)U+(W(7uEG@{6SjZpvou`L#YyNGl}Anfz#`3X01 zf+Lo6$==uNPygN5R0*0am*D7=OA#5at3sR1UQOdwcrwpaA;0LOdKpycC(^|&Apo0`_@r(=*%;FS!0w(gLY-=@W(+aB6Dk(HZzOu zynOJ{4mmQ*_tQ@^6MGV>MB$pL^TQ*C^RRqchS8zNew3NwzM}Tcz?KDRuHEj=WV#Nf zgc4F{%WF4kJ65I%;^ltDWT#b9I;$_K^w@1rUqt?H&xoui8AZgq5%Y;TT#o6L-wYm; zJf_dL%?@%i7X8@h0na&oFA7G%ajXCSyq1k?g3Gn-z7+lCaoHC)@o?~Hx399V_X7eA z96T%?+T9&2ftHP=fR`W{(eDIL{wW>X%)g@=H+~TJhBQggZCp76a-46lpC-B`EbZ%` zrP+7QwR!5z_7eEb>qs8Am>j<+*VJmQH16YPW}O_IXcMGmkRBi5r{@q8rT@`ULu1dVAxTg^8O>?C#+1U@Tg&`Zc?Hf(6*&#qqb8Z3rn05G*pJz>zLc?3Fe;>A_f>_h#WU7mH(%# zuZ*gr3ECt$0WKcgg9LYXcY?dSb8#oQTd?5n?hXlV!QtWr*Nby;Sl(}U&;HmS-DmpD znKLcbT{BhnJT*Stx6IGOx7xR`wuOv9N@jJPyIt*{MCPb0S!2X{M!BmZ04--o`TA zq24mzqM_U~q28l1FrwTsO+oIaAPiG+OgPvhVM`y7(ZxB0!-$CR+1dU-xCfzOX$R6$ z;a^mcn?n3ushZYuYXnyP#%db9Apk~(yL(7{JOhB?j%o74l^n;wP>}x7NrOxSeL8Qh z5$>*lup4bV_tNE!$hgV-JBC|^NyfXs2~%kIu~Uo;klR~SKs?|+0fNdz(6*%DM&i-C zWG|D6ce37MW^{(Fx$jf%?H?+P2wt$>a#1N_BLcK}>vR^eYEU zN|H+oJ_YL?S-!kqXvCa*S_B_5ae*tb0MuyDJJuA6Fii1raXv3moUw9}X@zPIbDXo?*-R?d6z$rvjOdWP5N= z+J6>Uoc8+uhi*WJi2maq50z{$b7J;Ye((^;U}p^){Z;ZwK__pqRLxYzLu1wUMseGU zIP|1o^qn`8ZC5m``d7gFPlKxQVGg0iBr`b`$EgvbaT{{~F_5FK#;Z(T;cX+6hRxOW zqs2Iz-(Z%JjIwUD4V!q_h-owonXo9gSH-+pIQN!SZRiIQvH)gfVJ`r^1Dqi_pqOW0!Zn2$f8is56#DxP_m zuZt}$C-M8mlZkzUb6RR?9$>7wJM*JCM|>_mqiX-^QYQ0Pwg}DPkk2}Dxf;DHsnn<9 z)lF7>;@LR@6MPNXs^<(VY$WRF4yq9UchDNO_$!5lW=YMIXM109wUvHpd)xSEbug__ z`MU*vSgGxYGU&7f<)YR;9>{H=|4 z;5UU(tec2@MEP{GWB%VwM<&LFgpL#Tl8^BTPGkJ1_rq1lJfdXagOOrf9giAYX~ z5gL!7+4oP+=^pcY0#&^Vyd$?a8e`RRRdnJwMqM^8B*)C+8oFJND}H_bQz=%9bUz$x-1TmWt;&)p0yvvg?J`pra7WikONE}IK2M9uL^9?x74!QM)f2VpM!3ek_uoms}Q|Nu6&QsibV%e&pJIK0W z)nu-*B^?@*^-X9)QBAKlS4(@?J34N=^O=);lJ^&^dRU^U8Mg!b(m!bo$^j zlUSa~sMqJ#ZSP-Z`kQxkR>vn4DVBc(klcVAQkgWsqVcl<85%Ykk1bi6sarmBc5Qy( z>zcAgCwPO^nh31FS{A33Tn4Egg%jx0%6=Y{W>)3Fi|NnLCGC@cCvfZ5oO~~alq|A1 zE$X&N%(q;1hkATdCz)$~NmKT_y0nxt4)Q|AIBJDM4;;~|WvUu8gu#7-x)H)P<8@UJ z=BqR-8jH=oYU}_*K2`eZ4o}xtdGRa;w|F7rJF*-0QpO2j<$rP}8<+ zL9^A)M>IpZG<8BEI;CTAf@c2Z9#54z&pPF%>V#gLt-{;zt?yfE((zvE%YGyFHLMm=Jh@#FLs%R`h7uR8hr0l=YR9m;jFgG z&D_`bmgOe+&c_K(e(vjg@qW77ge2eRY5A-v=boqct<1YVKThQ38oo^_0$;k9?B3lT zs}`rH`&Qrf%})b&uKR}9daqyd+Nkdc?E<~u-k$!>AIH_iBH%O@1`a>ek?ECsNp_FE z&&fQ#KAV}o;nKg`&THA_{d(*0>+|Oy5vKY0%gxh&GmTu65_9%87KyLHZZ9Smyu#F=0% zVv%tNJK#mD_tYCB?}!LhD4es+Sys}}pPWkZBxL95OCt5*-^~Hyzrsjctym&eb#|gt z(GybHN{s1q4+}M{OqZy4h=#1xKW5E@1=c}K6kGPh7(Hi3d{U>`*oo=IF7Lxs-rqD! z$t0dWRByeAD*7IUEB=qv-;^a3b0QPnO-G?MA=&op5qYzQHIR+1x%3oHMU_G6DD>n? zzduryecvb>L+(#IKIQ7D3BE6q+J{L!SDqnQJIXqQiph;LQWe2huOv`bO&om}>3cXj zcY##3lp14^RBKN8D_5g|6N5?B4KqiSdU-ahs_JV&F$G>#j6u&&Y8`a}=@j#nVZFB@ zQ+P`CHo5=ZbE*PTSB#QzriM#2F&4anCuIPBu-D?C)X^NlppIBD)vgIsL5c$*tOHtd zP1>JHw$vh?MUfP#`Su~V))IY`0uFbd;JGO>Ikw<1<$2n%@|(HcmzSx0pK>#XZi@p*O|A~ z0zr&sExzWS{8!Ql2<*(;aDf5z>K0$~NC?Ce05+X5+@E2B2HOC@I{Cyd*38ggxd=!^ zKCzoMD8%D;K@VQDMFd1T-(Y*j5PG!*0A9&|jTiR?Sc7<)w-CU#5fJ^ES{LhS7;psu z{FqM+v<`$?HHm;g&u9{@B8xspi}PbO*YP#`20_#!JP2oU!85gRU|s;&Q^dDcyo;du zkiWSs7y=#Pf!B=7+bo`Ma4`c2hDZY(@SB}@o4bM`?hzhnGrik0=YZ8|qSYGF=S=Y~ z8fzzKYkpDR2=Oc^a2&t^!TSB*Olt^)I-(V|nVY|PBna{)0-`;$c``#S>YF9r1=UPQ zwECFevr&+Puqscy8Zh&=Tp$S7EDW?x4}!Qvw8F0D@ioKEysa1f4dMdN7{aWQL_ln3 z0{+dMBd($muM&$q$BXmBG%NEpNBn>oM0i-u^d8PAA%LF&V5R)m(Si+#@@gCLs)5LWm+^2`)#5*6#1hsj2_A736nPuX>UY}|QT?NXYt?k;!1V7^ zT+t6rw1r<6lz%nHLL#`$iWnC-Eif{=(el(`ol0G>1LNu=jcq^8BK4zf*z=P&W3f#+ z%g20#$TA3}Aw|Qk2jCz{ulM(CNZJFDmPggA!>jb6-=N(vR7`c4Vb}4o!B;sSFwLP< z;Lpzyb*{KIZ<<;SR_&3=#m5%4rX@>1gf;Z4(=R$Jw~jCa_AzVSkmze?(Ap#0>(X`A z$3hA5uDC!XWnEwBQz3)skPe>|qSA%C)XGM6W-19;>xwo8a_0oS+xh7ZYKDeEB&q_c zwDuEiO?o;QO017 z2#m?mjcn(C0Dr1%I4ErJu)nN8iUZzn7m0AH}k0((U> z;vh)!Lz0e)`roN8S|J-M4sOc8C=?pqfBIw0V|QVFc$UMEnXrr@OLo50Py%rQ)zI@^yWmdduq!XCP$?)R6u*Zn&W=EOknu}8#(yR&t~upv?XmFW+4n>GXf$I!Y@7&uBNayo46gql0oH#^Sk8@pB3s3*?~8*V zvG*Cle%-xAqzv6HrP0+`Hr=-Nx8^imgd>T^=02{&|3|p>|1ogQXdA!k{F~wy+8thE zY(KOyIZtY$PiJznSy{unC5cF1msrfJ_4xim^QAn~$ShtFf=<2m$GbMlC^SA z2TwIOgnS<#PnBsaQDDc_3}4-mS$tg4Ql?|Eo!I-detEpY03n@y=Rzp)w+$j9LOhYw zpx0Wvh56F%!)AB!H6L?n_tXS!-3|2kX}8-)GxMW4bPDDlp=lOca0bC83qD^m3Re^q z-X36oA^K%lg}2@jVA5x4lAK{mI0rip^~Z$>ZVb5+(OVXWoVk)>&q5=#yFNNGT@&Ma zhfd5eDmqcCfNYtw5HY@V*Oypvcop7`G`v ze^F+F>73X)h6W9x|3Pbd&-=@{)a9)o(tP0GU;?i5^91&2(7MdieAZ8BZD;<$37X3U-3M9wL|dUgUc(vnLZ}Qvf6@lI>}ur#xw>ul%$HEebA7!VOsj^9s|K| zP?QunDoq5zkoFr?i5aD{hypMgRw9p$4J`cXH9amJZZ(^1YyLzJX;GgtOIlb_xL`ZU0J}0^`-3LdK*_}Sx@I(u{XQ6@Cr^2eBPC+p{k00B2 zvO>}f^@)k8CSFSII^d%?eiXy-9v73dyjF?1^7$z@_tgvn#s6@LpLtlfEbgYy`)nbZ zsbXu1U*`k9dA$9`DaF5xVgHZLlKnp(%k3fY1hEcF0P&{q{KpI-Dr@~%2@A_erF2c$ z$?jKz@qhbWFeJU*J?cwved&qzVrg}tm3A1TPAVGrN3Syw3yb~+_WdOyPqy6-&O`8J42(6_4(C?l zhgN9BotDF*&}44(WM~XvVP_4*<8S_bOyu*?B^SOwHM_E@4MK9=9->3;i&1Fwtql60 zflm{)W5nzOg}4?J8J7{%lkmcXi)-?*A@rR;Fayvfaa^#)77NJaZ}(Mno)Qk#PfLV5 zb)yKB#(_NFMod^s2b)PT6u(7RHuvCpe@`&=LW!Eomz%Kr{`16z8sozU-tJ&mvU&Cm zO7@SsPwG#~17vb{?9w@j&uTX0VHLMnIv+HBRK==hNEPbZVsv+@iLmbU{Y36-PxOM5`E+sS-&2>k$~k&|jxTH3sO6X$S?uGfTQCx;tKuWj zO_clolxyKAZ>+Gcd7^)nijk`v{8NmAK<5s!Mb*swlTVilDi12IBvGi${qwbqRKX?k z4@VXCiXEBklC$bOo>Jq<_n#UOKbuMA8ehNvgow-LvZttj1lL#)$uw#ismA-uI#}~m z#QMvY7kmXH(6xXzB&bF?Mw-W!Y{mRV_a8yzylm&Itr`qIF7P+-c7UnVE~o+i9lvhM zMS!P9q+wB0&iI2k5O+Y-=g|AGL-wgtS=8g=OVwPaect^iF~upaFU@NBfn8!}*mfa~ zbakF0A`;0VKW1pnd_691qsYMH?Z2!(1;5wSGfl@McL=-1l|TRB*$V=WhU_FFz^EGJ zm*uxZrB>p%6vBXt{n2yc*>N8!6X|ynQ+f7S$QI!``Iuk>Grv*n;RDI1V?KKa=#SRz zB+hHdqdxED1YP0_hdASqz$31Wc>A{EWSum;9Q1HAS@J+N6u%fk6>|Q0#0QSDf2ycG z;2sR~;{iPOz4<>sSE}}0pWQ(&azj9T5Ehyr@&p*ZE@gSx5|aaorK@p zRjTxBd6G^0TeYU_0FNGpxV<@?h;?bvR&e*SY8`qHGg-s=W593cuc=aW^?JA)K>sP# z#7);pJX<96+2;{*G$`12JyCo#O*XpWkt7~->_l7XKz035Cny)IqfRTILSOq%Li!=! z);L9XW%!8xE!cq#*Kh+h{^=367swd#p{H4p@~=_X2S(>B+2Pys2I5^awOx`(GC@9! zd%S-X=iKm!eSj7_dmo?c!l?7(sEV-$Q#4B(Ak&QJ@4Mxt4dCUHZsEvjWNtatQ@8HX z%_*&mDC2B$%S=K|Sb#p`EmuBeZUb@RT0t$1r^0z|#(IPA;jQKb*5_Gbpbh_SVg-*m zA7BM_RPeZPeuMx1Y_rA6^ZsFBPtxA&P#L`5M7dlF8I&}Fm2uZ{VMT9wiW&h~v==iC zV4bj?)l6JUu&hh!`ne#W_WIgh+q;=`(F6~_fu9)I-4}ga48m8Q;(X2Jc(R1iCo@!_C5heVRjP{hRD(4#Yr$D1ULZRcw`So4d&j4 z`lFA)QOO?yc#p_h;9wvgl|ch;Lf7zC6)9n3CO4 zkut7+D(a)8QWy^h-x;!SN5})QBf1#?k2gGffg|MaG=N80qH8sg%f7;&fnRX1T}9fL ze(-liRS<9My%|A`#P_z9`98xbiwqJ5AYl*ZUjBHchq~+&J0wgZ0#;@fiaEjui-Wuo z4JAbd04VZGDB_6H4yw)uQSFSs$xoM@Sw`kDsWzZ_UYG?{>*cQP=-92`7R1)snD#V9 z$w}3w2LgyAm$9FhkbbRwHFiw@(cuq$06_Z1Pi=gj@`IQ77dC09E5&)N#JT|{&=U^u zUL|nsC{wl;E*KRU%}KFG0vr|GP4pciw}S4Er){tFbAr;&zQpqpCL{SQ;SFbFFMXd& zJl{p#s+7yk%epBj=p7(AvO=r{VJMMb{y>Q#dutP2U-ImErqG3m4hSleUpolbtB}7q zVqbN)Q(Q!fZ{kOE(*wLemWKi0jYhUuvzxagwn-S#%?$7kB)a}2QrYrqtUns@X&W_B z=YXCk$o*;>s6!ej{zi!I=w`Ii$Ktw@#48^_{v`W*d47t7*DwF`{i|2}{a~breB=q3 z-|A8{Orbi)x8%nZZ29WuJ$!JCp(;hi+loG07 zxQls(vx8H>Nr1m{iudy@?mGF+C~YA^WTZiOp;&DKvUfx9Z78Yt+xw4o1dnY1lmPPz zA7{{gvPXhL7Ls7x6qM%nJ`mLqXBk691G|<-##9L<jy%bY zmR9Yb|I|3~r^aIN+iBw?e)qcf_ueh1lB}cDNJ(=8WJFS>tFhO|HILkw4f1)cF3(+W z z1qPDyL!-;5<^V@)dG`8w%n1@to>qu)T~weVPs5+2mD=7bK=dvgYH8?zUrsl zkqijcxDP~>uqSZ+IHQK%va2!sU?WEpALhyv(}%)dfKaCR=NhwqhQ#QmI_K`6A~p87 z;mFcbxDm+GTAiZAH!I0-l6UT*Rl&}rpZCmgj`Cp8t3*hVM5>`*^`J~GZdpZm4mY@f zvxBY1cJHv?8RmHtnu~EQhw_ljEwvfopZpak?HvXa&yC1|Sea!Tc zzNaSpx11sZ8a7CeJ|dANs%~;&S>It5+y%S2qrHr&M_KHvdD4*F7WX zHX7r(ZDXSAW}dw};3yiDsDb7=t(UkP#KTaaXbU=&YZ&IHqKU@Ce(3OQ#NB4&MqNZd z4Tco!$6lo7mxykBD%W){+^^=E?mlFRdWa;Wr#Tl-USvqB1c+yTa=*^kgT-?w!jzmZ z+H#`7)~9?f;hux^|GKeC&QXB|nEJLiqQgq+aP~s;R4GR_G~=i1#5t#a|9oB7nX&`d z{-_m7eMWJUTc#?g;6(3Z=kTyOGquzqoWy_oDx~l=C9>|*@}GG#Y8e}{`t8h&b4lWg zV{s48=f&3nFDWF|rDXOO(Qb)T*^R$t6L0MxD(t6{E8A|0TouZptuyYtkM~ywJ>-~# ztnBk%<(h<~T8C6UyR~a@2lxvP^!N+D_PkPx`xl819Nq!|sP_pd5ERHg;BGH)A}FwI zyQvcJXe0I_xiwaU#eNv)khktJwo(sA%I;&N51-={eN{4*)8(P;nCAz*?4k5G5*KB3 zEF!8zI?TL-81wRRv_jsp)sTg&BShQrZbhioO!@K7i|sq>3oEF`Y!|D7!AmNpEtPqN zOZ3T{ko;0n84~zEpx2TF}fmUlwF1kN%dq2x+KLRVx%=vnS-o zjWad1^f_()R*C;bS-eoy(nCRCAViC=Ok>ShZ$q%f3c5`7UwlK&p-_N}B?wQ*n9N2*n`%6q92FV|vnu_P>uSg@DP9RDyj(9;sK$t0Baa7L$nMCA8?kSp?LhN*G zLtLC4zzP1XL9;1kSl3c4XL-C;X*#>>u={3aile)oK1v(b%9`4vg;-IKm}HG(vG)P9 zfZ1-z-yrma;#W{^XE?kM^u(J&{O3UGWWfF>&9bALC_H1Gr78#`QLh51;8=IFXz_Q( zfU`-bs9C&nHg~wPqc#gx%`wFuebz2(WyFH2axHK37l9_PeZyo!;&XP;a_DJE>a2i| z4B;vG8;Z@wMk9CGG)`&9@Zo4p1p8E>$G@Q+d{&d0^JDO!ZKvMy;d|8Qa`dI^*)+3U z5k|#}C&LA;+afxWmq~QIlkQ1OIgNPcy#V z?B}BLLd$VE>3;@}>=y35|H^bnWiuN205$3uWp|q$fupJ(aff=7754EMRfkkNd-3iI zA`zD3x^GIQji?dl{rUE#Mdxla2|L|J5uA|D`pUj~0p?ifQHJeY4h=BAh^)qi0C}t} zb;rq44^NNwK0_Pr*`Bg1GI&bC)j&8}dEcErE~oF0Wj|cuAlYSWS!SOg{Qv`riXQj<4$Dkhcc>KZRbrH?Js~t1_&|eep zT0I9;>U7JVc;lPe#~YsgOSCV@vg;LbPiuwAH)W;6H}z~teE9k^-t{W#>LXH+AO>o0B_kgs-HNWiAy7TqXP$=gHs zY<0MFP^*Z!4B6;pe4^T-<_;hHd)d3@M%U?DI2uy!?z3l;(>7qo@Q5q+M(F&vX^P$B zjr|{P5auC?`KIBzbqSbkk0soK+&w~lJ z&3x+%vFzr<-4_o8&rjAD&en$^kOTl&U}p1phFZioU9w0pNqM-tGm)!or>=P?uwfXu z6fxz=>Ftl`vRQc>n2i&7MlixomDlQrcYWyng(Ejm*`QC!VAoKym{KttNNUu+axTyD>V*B;AUAb<^5QQEx)!dh6)u|c!Z$qX`#WZ|q1Ie0^(3va zDvb^K#g|uh1gf-KV!XAn$q}kKI^&mlZ|_{<^TPz)N<*9;cBSxlz3l!B8U;TP;^f@D z$l(N1>^ObDqDL875O7WqU^4lD%OWFZ3C?)^ctPsgTUyX1EtL z6nGh|6bOjl@^xkd{QF{jzg$}Lx3~}YD4xP32Du&^9?BJ)Ak{KP`h5UI2aOIr z_jQIbffoJk1-TAqT1JtH-l=Dst3U6<^!5JluOG+H)2mfxzc8UZtSPT6Us4@o?@46l zC*vRyFVXotfeX9BMC!FQp*xZsY5ki&o)Q2%oGC;LdPv~2+ zo~GpJE$?)l9PSF-gT?iBf&SaK365vtMi&AE6AU*0DRt$ zE%Fa1t>cypa-j-&u7V)7S0E-%WnU$mdaA)v-d65Uw4dxrtWzBZ{g3V~t?T7iPt}i! z3FTjn0y66y1FkK!lGF|kr0r}nh3pLWCJcfzQ|BumDqk25cbD&Nj>}S*1N6rifw_IB z#YH$@=2CM|;^fP?-ie9*4BnjhuT#K^0p~5_dfmS!c<#vI83hO8$==8jfYhjMWmE*- z=fN=PI4RY!R&CkmoUKxb*$2S8Xalnpy1m04gRaVtphl;4!v@LY$js?8p1ZG#rxSW) zcabwkG1r+q`HhqV{2gd4s@kxd5>2ym8Xg&16R{u*}ZED#k{0sSLuxVVeGyjtwXM zYI_+Zr|@DTQgoP|!N0a5rq}=Ve(J1aOYpvX+8}G=PdLjk*GuE0t5<_9UWWP{DD)Va z-Rj|(0Ysoa05M4LskvDaC-i>RI%Y|cAiV0fE!ctW=4XcgrXM0uJ?H(Vl5*M0% z!u7pOXXiN7VAfps->2iCn1E0Ndw3LqxOtOa@M9+u&@!0YePF8J_HzBNW`9H<@XIR^ z8v8k0$0r_khsW?Q**_*?Rzg@V?0if}-!f5P8t{nFy;@n+`qkaHcPIoo2cJV5I3k2c z{)lv+SK^yo;vJHokyZD4o!`%1LMw``82pWu5AtC$nJ{^C*yA0i`v#X#Uwimq*qCpE z(}i@2Ti3ma#LNW;zd{wR3{2Ri4^RhRK z8O>TL@`LHT2^l(jXWJqWjUInMJ!aRa0V0P-g$=}Y|-3>KXDa2DNh9qYV`SHBJArv%ynCQi@HyAK(p;kFqRws z*n{lONbAUpvcPbwsBSx(6EG*YZzAYLT@UN0P$TF9eJ>m1oFxG$28M+ggk2gNUcx+` zOfViueU(ir{23%6mmtN3(7U-FxXq?ooC#E8C@R#Mdthit&y6uDSEfMlp;kfdyxv|? zCWQ$q`-FP8Ktzulser>&&N41>4GAt&(rgpWmD`xXgNq2A+23<$wSpOAWi=-p!zh#+ z#ox!-5?Y!R(dwha@66+5^Y>qkrNoewQWVwvezH?m+x zkrRO5BkEl8>#Oh}2ro<_q(dmP#m83E4r+v|rbp~i@OB{|Cu857FIa(0SS>+TyWYV(!aV*lsNjF?cHB;KTx4JUCe z47srfY&)rocx6g6*|eAh6*-fKR+5uN=kj{c_&$=ftZHVn(HpdTl&wX(_h;|UiS^h3 z+HAC(rTKZE<=0t^5FKdClIJe8e>h_po1BGo@uL-IRKM(&(U8CAsIZi)!nR>bmKZww zJ?DKVC3oDdavVg&EmQF&1s-L{Kgeqyib*_@48~kKCw*1MIHl}bXp%{S0I*RTqRIUA z#!1daVq$s;IZ}8pY>(r5J8YeJDk`%9+D2t#nTZ>CjtpPoms1 zd1BMZ>{0@sYWIyknWP&0m2U(q`v;9cG4D_vTnU zQ(C<16^^%|rN3T&z4q)~Z0j?~fa)Y&6_C|(RjP+j^VmZw4Z!Pyl=ZF?=MEx9O><#8 z%IDwRMPNZ`$IW&itQsmjW&aD8RECw)g}P_0?SK`-T90tk?;aj!HMwy!+KL*C^Shn+ zrEE-Dug?YLtOk9g)j^Nj;nNwi(ZcVu@gQON8fUeHnfU{&5RsBDeHiCtpi<+<@u7UwnUoX7#`z z4%A_48=#R^>Ovy^3S2t|--ieRx8Kf5&+kK-K1c-oOnXB4{fwmDtm3v|(!KN)xKR-> zKR@qrd#EFq`)FNSY3#pId1uri*xKsr{(OJA!#7hVag=%^;92PO!{m-!?d)4&>ciJw zh8uxM(Js1mtLaMw1_2xLU*RhO)(gGysgICjYa&!%Bw?9QZ^rb0d+t@w~1C=v%h5y!3W&BnB^iyBF|9SL^ z2Jt}a-Y>xA5-W6bRgH@%K%>$daUfc?L0R4oL9W)0F2^eKyR?kzC{NMNLduGUqY}4r zcfOtg{Ld)-pD{B%TQjP(CbrY7{pPAo?s!QQ*-D79w7-h->v8f6P-OqD+9s;QXH-=x zVhG#L9N?cuE0Q-4m8z_p920?M%q0{Hy5#|FR+0%q%=L z!v3$D5)DP`PbUBN86kHm03YwR){kuX7zz{cT|ec-%GlE5rm}`*WW}h#Dn}tZDq0V^ zs6?!!6#8$?&Welmox(e2aldhUAC4LI2O&k*1+U~~J{KItbCxVtFN@d1fB8lcee@kl z+gVbTivz4NJ6@+-;^wbcYXoDj4I1a;s=B;m>ic$=|6CKUfzvOZU8wwk3mmRg_Vnsj zF&!4WIY{;~=#@R$NLgTynu+_mOhD6RrS7-ItVgC% z8VjZov0+&?&9_C(+P+k)s%piu>XLv~1N~f&?IQG|xzSB`QT+fGh4qV#3AGN=qP&CtD=(TFiXkpZr~Um>;^+x-4J;G)A3O9RNT*mfFm* z{&S-1evh1BFg`hiu-SXyCldx1@d^We9dBn#>0PcnL@q^tGogF=r~!j@%3!t~NC`!_ z(8*t-ye9)eEuX%ECQ6eO@~Vp~$HI91uWy3@S-=%@7PEId8bTnEY3SD#cn3`2|7%=W z3DqpAwtO^tDIyL2HCQr1x-Fvl!lL36m+$(pJxd8@;J0n39+kd9>0*@MP}rqv4@~c% zu27HlG;Kk0a+b)u$PjW>FO?PhlOr%*8vzfF%Wo)ae}&ne*%)5wz^n*u3wi02G*Qx)`HJAl;zw zGoO`Np)#47&ds2;I^+kTQm@jT2uvEvq46To!LJwxizq5r$%-OQqcSo1XU0jQ?)0Uk z^c}3y^>WgL%ON@n->=$@$Dq==xL|XcJt1Z~nv<8D1Tcr(H6bwyn}sABPWYi5jpS## zeoJV8p!%Fy@J??v(}lX;A=ZsrR}DU9t?l%A+HJj(eN^Xoa zc~kx(7kzkF)dj&2*OUJp$jcCyFyNO#K5Fvi-8cDC(fmXXF$SMhx!;DR2!5iP-RHAq zVvRqkpi$%0$L@2w0niX6qbZ8-&2i69kND@sFYoZ^rh{h(wzE2K{+6w}9B?c>v&<@O zs{udI(YGCy@rhdF7O(eC5|^qIi3 zRd;9r-0xA@IkY`S>T6fDvY*oB@tC?2PV5vyWG53(-lbJ@_tyi{ba$1a+m*%QYVB&5 zRJ-k-jFFWe;-?nZaVloBGeC1)CrO*?JN3zLIC3DbiV7rNH>3A`bdSo$_*N^WUz40N z-X&u>;O(|D>mSdcf3j?X9KPoa9=FBO)!uzcu)9r7yhPtY7d&9!9Z&WV=h=WN-j9|d zl3ytG@?_CzGrWY;LZEcoML#*|Vf1audwN!HInB8}pL9`NG;$m~6vM_SFu1p5!7)}M z>iGJ14~MPu*IV&~~3t?h;GwvL1M>+jCBWlpgvvDVl8l$@?cuCC5X#hUubjg{qBZjFaJR%nCs zJ%KkFm$~2m;&gM`9d~`Fgz_u2{w#2RqIfmCwjeaxb*B$-5r3LAi?ix%+w^w4A4o*A ztzSjX`W7-e|M$&&Mxw;kp}tR@R_fjQS>(pN z+K!kj7Wz?6*j8z^ZpIvDa)aQh6&z}f-^;WND#rh5jxMW;=VU6HD~!y0q59DkD(8t3tJ1FM4%(JKI*vlS zE=hi+qIG?4nEu?TU7(EJP}%Tt5k-%2sW63dg;g74BvwSx;VE21b(!Rq18CheMQcZ1 z5;qQ9krC*RFsKZ4CG7L08Pm&A2sQdMrk2NRwUA;+WplRqpb>gBjm-iQ0*F?C;!uq1{^a#}v9*uB6lgI(p*d;`JxRR{TXyt@A>6y4GF=FkPO>%-(k8S*{@q ztW7a5y%Y!O@+EP9cZSH%n1yVHIIJ=PMO_vTvh4ggLG{L+3RGx=1Wo>bCstkwR~FBn ztN|&_FOk1b2+)>T_mEc>9RnTpTvk~j3WHudcj?Sirf4e)sUT5kS*zZO!h(UyTXy|S z>;3U{3hDJd&1Ld~`Qzw9k!X`5#0WxEoRS(tI4^GwSV=kXk!}>Fw2Mu2unl}Na$p^7 zGW0vBYUuZbMJ6SGK{rnCK1=$}tZ2N24jte_#0H=B-JRr@^P<~XcS8JQhJDeW2KuEt245R@(T|m%H@kb>z0+ zzC%&(GmY9g;K|`HRQ(vZf=EW+UuGsEH4X3^`#s`M@SMg3x^FVfz3vWsnu^#)uNAZM z7ZL@(YQvdIl@_N#rzT#!;r1r+Ul#YLyz||k_nH^|{KjsvC7aNh6c(NzTjo39->$*` zK3zo4vke(o+&lq*8%_t*eMeJ9-|z%1w16)`bhzIYSoHRDtEj_%^Vd?y zgk%sj8n#?aSQ&P+<|G^YsGK-Io2;;RAPIO3VvvUJ|h zklY3_1KJ;|udjTFIHWXrgv6H{cuaWDd15R?t{|L@HL|@Qr*EYq_|!wME4zk84;HBL zkY3vO*Fwyup;y1TGXGIAsCw1NeD8#-A8w~j{L4Z!c0Y7U#c_IYdevaaQ9sL-1B#h6 zZa}IA@I5VasrX&&Bt`BR{}SfOy#ZW&!Pp?F)Bu}x&GQ8>kv)x||0PEb+#R$4tbmiF zIZnBsT8#~GM~tUBA8KYqA(s>4Gx%B?NL{a>aP7_@+cjz{}x3pZ>J>Ya% zeOX4f@zS`E`Eoy%&68{GN~}};?ok0p8Qs(VWo_y+jQ^> z3Jn?RrF(w(5HBVZ8rx){u&27fa3zot6U9W}(Y368_aKq`oM*-C6T8o&dUqn?VLa`# zCIJ-^{!312-gfR$)mtWUg}h79*fnn^v|yPMbMLVoag)es<0Hv(^#kl^TAB9J~loUqu~%Q8CK4ebI+bPK?Eict@+4 zO7D3Bx*|9)bnc~M9>Lo`dOBElN@f+zZ`i6uTm`u-9Y%ja*czV{$2*t`MeR25X~@<> zPY)0*^oJCCp4>5OpQk>b5#Ao+&H6T^p>Es{{_{matuh<3Y;sV#X-hBO1#cWO5o^$b zNBES-2-geG_p(IsS!9gEYBQ?h^d8J24sD|s)i!E1L`?z*$9LgB$tC3^F)8qA3M>=~ zkfN`#So~0V&Cg+TRWYh*Tq14l629a5=!cpX9k8^Xq+QL*#kn5p1opjx4Gz2W5#rpD z*aC=%_f;H+ zwGlzz$Dc-g0_;4d$36KCqkQN}+HdrqbV>SH9uf>x$Y}^fH4aIh=ECP{0m9U zk?Nq5@6?cUG{41v6@K`%O6^ZQ45`ms&3~ZgV{O>cGJMrYlxh;~7s0}hDpJ+wtRyJ) zz8HBbM93V)-GQq|`3c`VnFfOVIc*NXg2!3Xe?g*rPN67b3ek>5gldx~&HN>)lSS^l zX*o%C7p?2U?TvdR4JMlAa-^c&#?+fPTgA{wikdMKL5a+y4o_5e&10|= zp3NN6)q-$mV(6%kXNwuD(z0nd2O~b;VoecJzds1)#ihQ#K}oTbAI#cjB65tXH3u?u zCiaxxQ~j7YPD`Y&u3)2*y6wTAlEr|YEez?!Nr=*BZ&5E$^>b;m4gA`G(A5l3GnFh& zV~4xPk+Or-1d>FZvrph-V|HAx76s7y&zkjQsNg{5yy8GAS`}vV=yfMcLa;2?k!~*~n_%H6D;}9na)hs(`QF z{wd2?Mr)bYtjHn2S!EdmO(l0jcQo&vO2nAJ==Z|gJU?3jY`Qb$T1*5J4F+-L^D)9c zT6yEP9*=&!hTOTg2_-$Mp4`=BTvExs{>Fl27``Ed?*tp{vucS`v=dflQQqz^;_v z#NZA2VRT45l7Rnc@Bsk=B5h?!nDBl@%64yhJ3F^u&+dgagQ#L9Dz#agJcsFpJ|PjC ze%f@x5>z?cutKn4r@z}ARWYQR9lfcS+sM&^%AvK61UK~%6)v~d#*Q{v8%w!ChPC5n zRDSPA_6fs`%I_hrukVFDn(O}==#0dRz0YxvkSw{11ya>-WumLoU51?qA(zEF>|Kco z1w32pVPha4v}oO93Nh*q0J(p&{R^%&u&DP+toT$QuVJKpd^*@$kgayeoHD9#Xr|5h z<3%=<~IduK*M=|&YxJ~*7mh{b##)D>CKX zv^BES@>J%dY$;Qkrfws`9Nl=B3h~2M+y|WV4254SH7*`aOKIg4zbdKs z5@})bDDM{!2c4i4DlptsH%FxBPmQt{aLFGfuSwP>5uP8~#2Ao1o_??dR$5}R@>o^* z<4bVGYJBX_2vSC1o*Vy@&!-WzsoaHCh{Tr3X{h;o;@I(baG!bGH!Uwu0WWOY{B32zP^-t+P%bTl&pZd#c!WhCi+0>C-Ln^B04K3o z$W=|9*F;6$VexaceQmem$pkm$w=&*pBel0F{ZB$Rs^o!eEZZ+@z=*rMIVw@sS^S8taBC6VKUf}QEILRlZ_*N~ zo09a2{NNKqr~la0gi(a$&X1saEC2>k;AdgA4qDohd4ufSlntvoF(f;XFwC4yh| z#0@ZInUDZ*F^(hz3@Yq3PSZ7i*v+>}znvb+HF3(DtZkXZjl$=S{iIr0la;Po*^sXC zy{G@NW+sk(Vibi}f2NrmC(RzYBF}-I7QCa!`f-TI5Cw22A3f<3nOAz$AsSk0e`~SL|t(ALRwz7kFwsj4Hec>Oq^?c3# zW$L!OgXdQ@s=i5^(1i_#o7F81Mu$plZH>io?^kjJ-P8XjW#F)h*TH%f)Ty2gREhXHK#%BT6p9#ZrTP(EOijE_Uybdj{wtc6{a z;KeL3DBMgWF2g5awt1W|%P3N;8&#l{T40n~(7+5&7R_P-pBu(9@H5;*fn#%dkq}{3 zg*=}Iu9}ZpVA^%5vD3M?#~B2GlhnD4A{UWi2LU<7O0*RDEb@2Z>EKdn>iMUMzSnRW zRbI zUH7TV@Yg37r;OaAcQP>5cKSj#*f$aFb{(Cj!oobsJHx&?ZTAil$Iay0t-Qt0JV3fW z;_8%Xi@BlOiR<5+E1D(gk6-V%l}yRX^`^Dq(DgRl|GkK_@b% zVI+$IQhKqjW-sds7r6!wArJGdd_oyX(_oqQS#}$vdQP4V9UInh0|6esCK6op<~6Z7 z9lci9(OyN1b)=6CF*b-?ABq{g-7~koeVxj3sNy!sTP^D_vp`g4Oc9KW9EPc=mF2kN ztn~;S;Vr%QY^3CSwaoq@tBVTMM>NJ0v z9qWF;h{9ma1VAbJO1oFeut%|2$;3lX%1a)~y{UG{SArj##4y9Tachp&)zb{&n za?e>ArTTcU015{|XnItr_>U1bD11brTCjnZcuC^c zj-Y3lCtm|#k9Dt)Cs*Nju;_bZ3G$%$IYcH7egQSokMVQgde%k{vzV-NGnywH4FbQn zeREVpx!Ka;et+J*MFbjtL7tfx*PdD@3u6{ zpL4W1;c)V2lbyqev8Z|6bMdnr@GqV8Q@lcNf*uu>S-11m9)f=>g#4gV-b)*8@S(y# z;UeUjlL9Efbz#4!uT4iPxMF@+bQa|I;!5#aWg;UveZT9`S=aMj{PhrYIx;GE@ptW04RFEgGn0N0bG7)|M4`n&rNa;;&#trJsf8)Yx z-c%G<3VX|ty0f~Ov)S`JEl#s4k!&Zy@`XTp>{2~dDz}~wPsz|?;AjJlbx)r{bhsgl zm!vY0?FX73T69tVY){bXfY?MN2LuI_?|gS9o|r(3M|4j0nPKnwe*RY%3vsgA-lCT# z?PRDZtaD+mfs2#T?a@z4Ueb%BfY-u?_j>$3LZcA5`-G1^dZtl8&&anhbzwYuf4Rib zlUAlncdL*K8?}JI5cOvJ6-9a%eCVYYqPQbu{a48~hd6De2J1@yIPOETIqgOVXZ=`w z8`;?IkYTLFeY0IX8o4J;>ekw#Y1cg9E1?)^3+woW3u+&l@#NcF!c>Lj)!0Lz;8o+?#B5c@ynqlluT zl~?*|+#P!h@p9iT20dnyke7(!nQ8P|EcJpwcp9cpYf9Xp=4Q}R4lX`qVVw$$zMu`y z42CF0Tk|c^g4bH{XB_L4fI?vA!ZnjDYtI{fskR>8nX`3kYzV@N)DSv@%|%Pk<1_lj z7Lkh1iBIun^O8c3%SWKF;p)%8QT;FNto_$RSf#!B72M1Ay;nO2;<)uO$vm10Lq&*G+W&|66|yzNCH(mIf++q1#I3T_c*TPztw_gZ zxNlP%_cCU`SZ@X(1Mx`x)-lBXuB8JnXM`B`S1h8PEulj%W*3J-Cmt$#tA)ogGw;m? zG|;|B0M{33kg4jk>KjK4=(HF(@F*S+*PGG7l)F~w83?w#;pBU5ZFe-jUjhD%a*Qa5 zDOM8#Upae@5e9TCanOFH-42;2ueMdovwkw-CHF~a$|3_Y_AEVyZf;LdFmyB}yI*q< zhl;jHDw-00c4g?%U3l-hh-iJ+Z6(C0CH!O4w7>O+wP4DAd4cqXsxF+AbP0~E3Y z_mA<{t-(VrhCMqk0TSdYb8Xn}1f%^=hTS_atecks1Q%XisLdO?r-GO?xo7tqGq&-L9M4O0$O6p4NnE@S)e?S96S?>k=cJ9!FV%_R|UjoZnSu z?n_XAV`d>Z9UI4B{JQ;!s?f&eWz$slFHur{V%H}XKu{}R=WEksr|Bx9&?ZwO?`&=3 zDaUXGDajGtkN%@@QLXOXazeIiZ+$ntVgyDOyVeelIqHGV)~H=X>nXKZiLb??oaI_q zUo^98&+cp+RF|%D<(9|jbT`v!GOda@>2IBt1mTgL-$7?t7mH^4EQH(ABpeS~y;mUz z`!3-TSwp119LYTMPlSJT0C`dYOGg~*ci*GitWx#dBb@WujJW@ruRM`0R>`|w_{YCB zFkV`VJUx-+VY%AO@tB$&{kgK|$B%4oON!+M*2`um2he|**8ZQ`zpJmz3bc7wEWc+K zOnPlQ-gkqDhF2^qwoWulZl~&zMrHLuSiSsN!Uj(1hmkhFiSNb^%a^cMlDywRy)qAJ zSapS!8lBm5$~EF9{TRZ3Qr{(%hH{+(bgTk5cKYNkV?YacB5@4Zt@8C4!r7>_F}?D> zYI=LiIKceYGAo;&yxrsi-2cS9rm^$h^P|IJK*%P~Q;CAkjv^hGeL9@Of2;;+D+h-KjxOoWy+?$C!=NN%@E)J ziLIwx1xNpF$f>etMY``qjlPZBaXJUUjk{^#UJMscQ*`FnK*o-DI|wJFY0_ zOao1tPM8r|)5d{mrOz=>#jtAKtSe*8lq$u^oT^s%+fBJ;E+s5@|67Y1uKNnpHv{)w z@9p3(Q#)~ZB6W2v%2RT?O}Y`Ot*Au2q^9!$8}MYRtTtWe;`JZG&9m6OY)wVh@4BUa ztO}I!iSE`8ZKV3pw?2UbB|KAfDxVFl%I9Ael$bbq3NXt;xmMLhJgZ7<5tY+zn>FfJ zS(9vU^VmT#fh~y>r0#5n$BkBf?p)+$yFx5iX%kp3Eyilij(-&1Nr(Spe%!k^v zr2*}}BNjt#i*7KZ-WtXf0{m9b6|5k5cPF#WdlcuV(y#f=gPTT#hxpcLE=pA#{h)f- zGCT}hhMDZpTqgb_8eqiqXUXN%xM!lvs<2^W>_x&=mg={i_ZSOM2LrSsSH1Z*<^8rROc~Z}xnLh* zXBA6XQ@|7Um&MT4Ib+?})tM5(Q{f~8)t=r%+rTUWvlEEWByV|9f_B-H#@UZ(Jc>o` z#~|tV|0M|gnzv<%sD_AgsB5Y{;+h8+1h)0`4Lx^;lJIe zXbo7Z9*l?>U`-(-PLR}uEzN?kZON9ZNpy5PY+Lg4)6zCoaW_5z6>Rk;gza2D$^}(} z=p#v1$H7!G&9H$hPwz87$tC!X7kyWZ@{(ovW-TCTjC5F(_3roaRz*wB`HpK7Fn)|w zOO(|U?WuW{bZ}_zEC-hSeRIcECON*cWa%R2kB{LXSGY@oDf@tQSkET{LIiaK142a? z)BlKHFya%8P=pbbFd`No^7MOoDNZDpvx_Rca$l`!?V|4MKg>?khn%;KTd8UF*@WE7 zYP!{2Yvyrfo44tcict)pW62d}i$1-S!Ux@e@^%J>KszOQA46pv zRM}_8vf@)rY!RCEmKqXbW&KKN}jy&;G!}dX94;W9vJ=>RD8_;d%Kp zd8Fa;i+#LY_@6=pxVX)EX$r`boMXVimNPPuD(5>Si(hRr;?G@QwXh4EGY(WS4T1fG zHZ1inj0*PIorlU3I=|GNvj-XYmG?fKF6iFrqX4EXVDq~X_;c;L{^M!xC5y>jX777I zt)4r(AQC9w%G6wP2t+v+XD9V-2t-x1lbJAc26)a`K;`I(lMKsZ)e_K z%dOv83uxN%=bNy9e0n8FF$@rRIfDMW?kX@lJwR5C+2oFw7VRqC3~)f1T^sFQdN1r) z5HUmZXcdZ`%5@!Hnu+=#AooF@s`DtC2mA0>3eF*QxD^3gd}6G47YA3@r=sv~R=%PD z7eYZkOMHUVqG@L%vw(UI;>tl+r|nMD-iMXY@|BAyUsN9OXy7*q43l6vs^S>je+)VJtt$Pwl zG3Ix=2o|`XC2<6!LP=M)MB04qZaYV2dOB;kY;TO48eg;<@^0XAOFLEES{gH3K z>g~gQ6=T$HS&mOrckAPwD=kY@0>61Hv93t)Iso#TZtYZb|K+fA|EO1gjChTr{CC;DgRV4% zo5st(Y+i8ms^$6O(=2k@CmZ=XkbxEeDKMpvTw8T5{cKjF;^j5l%Vi_T+hyeQEVV`e zNOFo!&Ct4%o93=SQFZ~q6v+4x2GvA;d7^(nI9G$YDDW*Bvmg$>dRe&O0K2Eyu#n<; zelN~g0r&DRXEJL^A7*eQ?fbu!l@y_4Agd3IsHn@;N8GX~+$@EZpwr!m zr2J61X``qYZc$cvb5Jg6efO()>dng8?{&r`a~ugc16;$i_)=s@T}0Ns_&cs_P4#XyBdad-$ry<<7$c2EPueIAD}tB5B%O?2 zKfmXfe~@<>xyGl8#IY?l7u&n{p)+mYtk3&R7atHE<@J3;TTmt2&m!YF%7l@A8pYn> zy@F=armbNLMZ1>*bDSYt!h<54=V949_#gPwQy10a92c7>pVzued3H zd@TQjvTfrgQ(#voK4)@{o+1}^(-uqll^Iuxjewxws7bj#eC)-P#m~8_mhh#Sp;wGF zj4~O|$Zhx$e#rE&x9ZJw14*9OME@LIEbpt0P^LH`EPptE7rIYE63nVnc(yX~tOej- zl80V5>o+BX6^paT5QI^FoiC0w`EoH5+&&jPHuNYqB&6G+eU&<{C<*tXO2I#rk*%B! zc5rV@+*K$u9Y*qgGsqZAf7wzcV+bvgQfv%zIp|*Jj_eW7*(I4Jn_Zx|m#Y0?+>qy@ zxBx8{DJF_e)I_)8{z~%FDO$WIIH*v5^lx+v%XEJ87FZbp0nZ9Qwhla3r-a zg)CEz8^7k}U+eLZl;%mrs&M#F>$1`C1vrBw4}|j>@k6A||6N}$>EiUVmjTc(;Wh(`+$$rHlK_^B`i-f%14p^Bl^czInbjy{?1yg09tKGTw7 ziz6OJ_GKR5*BmBy=t89{M+f0$ki2o63X^HN~A3&=!5r3$wsqA?gd5+{d_&tzwq+c68rANrB} zbxI)-GiV{{)iE~4G>T%ThntFdg*XNVKMy>T#S*toai4F!3QgxiEIJb=wGTCe57MPIutS%TSlZmLv{W2KaGq0#acUm`;58%N9a}W3D8gaspxE7i| zlzY=}pL!C#1!g8GVicUF#iY`|w+a7p9};u*{jjCWcI_pYQt* z8}Vn4>F^;IW~^`4oKH-jyKk24dEOK&;KxV=`PDH~8lDl=$zE_b<7W}QtKeElh8kwC z&s7B`gSMuG)IJXyFP1T}NOsXQ^ROgguFJePQZDgXTXLP7ns|PZrM3Q(<+1IJ0%&&c z%|;OghM&wuYX^b>B)`F+VP!F_&m7&|qZXhkxBD2fW_67j3^UYt2Zv;GnDny!|RCwTY0=Y=d z^~v0FVrzEH*vZQ-zkHENdnn_#;ZW6NnZm2wa!_5f=B@fz*yFH?eEDwSlvwlf+u-rH z1(53NisP+`m{aBQ4V}Ky7SBI|r=e=v*3i|u#`WROF2fUBP>xfa-wF=`?`#daLHL)Y zK>0hQL@V`I8(`BfU!LhLJomoi1*tx-Uzlfd5K8uQiy!3Z?agUcXOx+~hLr;G%Vx&0 zeygkpz5>aH*Eix<)PA?JuJ~tgqOqcyg2u6vqU*KaX`1OmpJYT=ZAWF@gP$xG6HUD| za9rMbH_L<}mYT9zNcW0NsiS{}_SB2T9L)ai4%C0#)Wf|jISG5p!Tj>sIlEJWsMG0> z+1PHE0_S+M|GW9V#(d1;c>XUug>0&DKR(`>_}^am(^nl`)PXQjvw}jp{P<7tb_lTj z2$w>hEZm)G8Sxv@?5Xu@3csN}=-#amj0hF@(ni3q)-F8QKYVBYc@Ri-yaQP7h_!E7 z`(&E5Y6b>rFuQwX61|#Baj5^o|~yB7k5IQHoaP1M9d{=OFlFLW{@XMaTiu}!l%Va zh^_-%Da?LK<}NCz6dH5gGtT@hQDKD(_kDZ#z}WSg$ksz7UyvqJa8ve|7xi7q{SvM` z7BVzcEnpBB@s&aIWJz3{;aP1L{$t4nW86T$hUh+azOAaPRm62%Uu=ft#B$u=tlG}# z+yN#lx6pCN=*;F;%C|j&?_&dVc{@FLg!NkO!Z{B<&KB6aN5_-%)E5z`jV;DS)Rx=bP$DU zRpQk9tVdJeJxWG%F1dAgq+yuO&Oz)s{*mRy1=mRN-$hrcev@;@8hn}0f8q*hB84>< zQR(yYYjfha^i+_a|GS)k_ckPy)?BQ`+(Xy|J5kH%Q%BD~huvY|Jv#J;7sU3F$ccpe zGe~*BKL=a;#G0{qi0&OwjKZ39@wsaCQ3(6x^omO^EM+Whw zBJ=b9W!fb7-tJ-}Ow;}+UDOcxBu#Dc3FhC42&jo){QZ{Vy6V2lsH=_5VJ2mgu!nqZ zv1ACQ##GxVHgY2&rjoh*T_;Q5B9`}EgGOZs*L|-|wSH6ldNoU4>g4-C=-{eR*a>t}intuv?DCub)od1qBn0B>oTZzZVZ(Y>fl zPqRW~AD7JsZ=Q|T!2WegIWGkzFQapNoQX9@EY>KkbA;o37{$1VAzXLSYy1s3depV5 znvO4oqyur}t^hq6Y}_b%c7VC&4$qH|o&G(Yh)hf#`H1D7kI~qF*fe#~g?m|YG&9{B z4?*QBD<9f$_d?9Mxtp9&x=SR;bbH~Ncx}nB)OK^M0WZ$4iL8jMbSI6rgf0vMC~VUm zCSm7#C4N7r^KSl$(}9ldi^{rY_(Vij){Ju?M@JBd6O`B1@U~@ray{TQY!7F*A^QFn z#q{0x1(ep-&mB2)A|PAiYE>rEUz}+~HEU2c& z(zv4wEWo88zl-zjUHz&2hV&KS)v~&+tIMrsLAU7L`4Qx#d@;-BL-H@6n6SC-ke zrTm3t#?xzl)6+H()WtiuyfMFKd|phM4;B&;S`^|F(JpeaEETAfAP!-|QON7OayIk! zcARAEs1((QWL0{4OacJ63;RANhHecaAj={nJB_Tl7q@9`73LgmxqzcJt`3n7?rF83 zQ_85A6B9SLx7_7;pVw}Wf>$VWsD)y!Zvp8|z6(B<2ZDB>*G|ht0|!8Pk;XKxtrW z*>ZLbZzh-9+^yxCdqWp)lYlGoYmhshWWW(u2Ul!O=MigWbDOO{$QHD`u_&-6pd^;K zx?!x1idKzM4}Hd&S1b2J*zGr>ty=qQvGl8~@(bZs-4-xw)gr48aC%zShWwGZ*x#5A z*8u8aQsaE#-`D54@qR;Dg4)P@{F8qJ*j3hj1e#LaxK0=V45}pkaqk~+y&U$J%?oV& zi27Lr$FD^0#v!fq_p)t9t-m?5gpVqLvF?&+o1#tMQ1xxg&3M!NcxylZjq3x!?bE2F z!_Ku?rALhxo$k4@?n+OIx@DQp7_EtN5--~X0hn&*dLXo89M9?@o&G9pv}emU4eQ(| zO_ERBSVt+tezB}*w)eOd>ow|Vox zU-riTzRO*tlzu5yHnzVXHTT_g-O#pDrCVay<3(wwPcqw}tMZ@aVYT!d-n)gf@Td>5 z($h;|sHw(bFO!QAsJSHYc^viQ4P7bEHlDWqGo@+uhXe$wnDYVFtCWs?Te=Y75L@zJ ztnlxAT#($i`h||!b%gZt%A3(I*I&piY1cTWHUxa3+Abl*T~(dtV}B2Pk{6-{b5IIT zpJ75caDskESpPPLr0ayI|6YWLx-`vmw!AX4sn--h{6sT4IrGp(JGI7kAHj|xwN>+v zetxsimGfedbOHIp^EP9MW?lhdKcco%>8hXiC79bdz<=ve$T)5#B_(_P;mR1{egM}; zAi(;=%6-TkNRhY>BT3X>F!%lBrIp1nXl(O?{11avQM)JU*8ZC@YDX&AM;@HQ*E@_% z=PDbwFOdwswezCo3TlUab@%;;Hg~s{vjdAmem;-)3q|%K?w2F>X({n*x7K&JzZq7v zgt5|0DCClO52hu@?Dzv}!qL_CmE&_eIek|iZ*Fc8TN)e2Zc-kO?Lgz&8S#U;N%=Qu>ko`(;a9i@4W+uZxmVZZFagKjgrBTfP0$!DhqCS@cP@8tv0Y=ser( z;v1Ep^`rWg;qg|(kYn=;Y(u?#fX9GatJ(}+TMw8GD^#?`$WS|LK;z^2g&c-#ss!+S}3aOwxYE!4c;UYN$K#RTVW%A>|%PVY$fm;RP zw-UHlf{&+7Vv@K~UB6!{S*A{%Cd4RTxE`QTW1&|YyC?H2V=`;N)9(GyAk~vv z_v2$fb+ICY&X^Ubj*MPWPbxd(iedgTDP1HTEQ$hD%)(?%+n!dRj)DoBl4pI1sG$A}|%n`vqOndeI zkkt&t(z~la`;>f-%Fd$bQwEGJVd`YUJR~|M*ivkTA5-h5=P6L&nFC%Gk=zAk$LT&W zEaA{1^+@H!1zMR`SfA?nZIN_r1o=n<`iaO6n;4L!!0CH;8QeLRzF z)Gyx>im1XH1xggaSGJ~# zF9b$`&-8e-<)Ncu*pLJS$Qu@fDg+uY<(n-2gjfjSv#zB97omggB6uyQ*X4mOAyE86 z2#2*3E%*Teq9m2YZte61TrB=%RS4m+cA@}_hCqD^4NazvWPpgoz$t7pVKJAsQGn z0$>#al`PD$pAL`$A`$`FupmJQkiZD&yAY^!;o3&Nf24I8jK+loAV8R;gy!@8Q>;l5 zAa+u|LE`!pU{rK)iIgu$+!t-e76GCzRY?p~!Koh0e?gq_K?4s(ELcs8N&v$Nftc8k z0t5)WlyADYFZs+XINwkLNI(pflzO5I0Z`5uSxvK2fKSlDqJ+LR7E)Op)`2QO z-4Lj!l-*}w8jizc{tL?tP6!~z+KL!>hvhJw-w|znKnM(^1G9!eouqt2#eIcl7!j%^ zx+ELs^4FxA(};jJg&nK;JQ2_)1cx~5DRygZ97qX5wH&aE%eq18$v1>o5~xiGjE;nA zBS0u-jBKV$BcO~SP@Te;SaG4R+?k92+P$R(M;Ihb&seaOl^LQ+>RJzsXEh_PC-jWW zan7u8qUI5>x68>S%570OsgRv!v!Q4W;2YseX)M~2+AktKBW!0E(5^r25xi6MY)BMZ z`wWkS91pioh6ggwo9NfISJb(8)mwD;*iEg64U3Q1wNKkJqIy!RZZf4u#<@=!PCJ2k z-57r6j9A}zuHU$C|NiBjJ^Avu-}CqX39}$f-!t`MS!)qJ96=}jUOda45%@nJC`8-q z!p$ezABAnct>unk_1HS$u!S{Umyp`R{wSzk z2;2gqKqzeEgq{4ttsDHpIP!s-PEJW3q6h^CwMx)y`5FC}EjTS-i;j3Ly|hq76j*cu z{vj2T5?WZm7gP`5Ar*H8bj4n9LutkCBK67?E9g-m6m}>Jh{F6*xPMWIM%+0y-;D_SgJXR)GPnb+Mg~XFYGj11 z<)B+lEo-4E&!(%QYax^MT?<(oDTCX+ zeQbN6?SV?=p(nj>XXO^y>ztiFtFe4wVMj&Zmf*dOC*25Vy)E_F|Dyr4{n;EoNSma6 zXwd_+#D~o=ME$`2>SK_{2R8@?_SdS9p`%WynS{DY=rjpkCZSsqWUZM@d7g=s=b1!# zo(Yuaro0|Qey?%fXPoz|bNo8M!0hZ2IfCuRkNgOd=8KG$sh-g?^)p&#LPpEX$Y_}< z87(s>qh%&#G>aJ)_39SW>NZ?vhVqJqlo_T_nO%yMnWadXRf?1urAS#*DN<%8ZtD#8 ziY;*tSvs8(Kdb=)hIJw=9$BG`it-_?aS=a5`!nn1d1j_O&uo~vRdFw)S|ftcNNbU z{{@eIIf3zjRe%g4Xq^Bf1SkrU5;E_lgv@;@A@g5K$Q+mwG7qMN%!Mf-3yhSIg@qvK zt(+1JO^5aynhxzZG#%P+Xgajt&~#|Oq3O{6yrv6-1Cv$uA@l&D#soCO!qD&qlf$D- zLWC@gjP2rc_Kq+wAv?uW{PTw| zotjxZvar%zddNg`Zfa>|eyWQ5nTQrVqYgl0c6Ms{$n-;KysYbGM4wq)YCeRH%_VX> zJ)Vz$i%`Mu$2F#AmKUav%*~PDrRMUH`IV`K#n~p6DA9TLtQk>lzATGZyhbp;xOfOU zJw#?MX*Oo3jw~Ep;p#iUKfkhk$-%i5uDf}~%G7*grMWP3<<#8VGPK0!zB+WKv9xsM zlz}8b5xF=wzj(O~2@kJadYE7R0W+toIYY;n(ns{(k+G@XZZ3dAPu4EtbyQ6R7mz^d zDTm@8AM&GeH9BSWaEZ4@ev{u{Bfm@OMfFD2*c(-2Z-0#TeWDKo>9euV>wEP6J=Bis z;c}ZO26;WtpeWUAUeytYqk~?(F*pz;2IGyYRF0TpNTk)1DaPxf6yxCz zA(w*cUMDihYrgcf7s7J9<})b9wz}6gsgbumB*d$agm_y+Lc9t~h_}Z|NQ6b+ZjnZY zw>^2p?eqFZp}g*4RHwK9D3sSp3gz{-LdEG7WnW-?g%j-{8tJ~W&3guOvQC(5t z*X?yY%j)(vv_g5?N1@`p8s)F9C|SMU2xPr_qrBP^<<*`j?R%np-xH;MPgLaeM73jQ zl#N|c{;EgSSdZ#My-_ySqfk9j+IM-i=V8JN;_&K>JH@)nnN+54EtEUI#>z z^5+f+YeL5-hapcPh`*WN5*G5&Fys^VdXh}rPudX~pz^68;{OriWa1bbpV&Px+A%o3 zd(X(|z}^v~Ni{G2lsIfns#W`oFy#86X1^f>db)FTMrlBi9bw45Q^@G}g_;Gq?0pCl z8o}>N7)-X(k2!Yjq$8})sVSs(rdlIt0z~$HVaRC&p}&e##sgCso+-314CyC`zb`x_ zEaXoKawpPt-{f$|z+}h7@VWa&2PQg3oU`RaiQ#S;!=8!pb0-FN?;aUDw}URhgo`X+ z|C_jQ=WTNDz}V2h#E=CdNDqs|J)CfX@EafqUU%3tFgZDL!Endq@WjYKevs3&IGfOT zS#@A=Zx}pSO7u_}(Zi)gpHm8bZW%>(l@dKt3jK&u=<`aUM+qH>jNJtJLu%`hv5~z~ zBV&8VCkM4i$YsaE(#FG(i7;d`4B1PNQxKw)9pn4d2;vY&bBt)rk;*n(;E-K6LsIm2;y&qClDfHxvS~-#I#z^ zLg`7Rg+4hf^w|Uvs{!`Q!06~jnqIl=Uz7nqhrsmbURYm8rGXyt-7w^PVaWHxkROC0 zKMX^D6o&kgApY1n9u@)#0ngo*Am}&Mjs@%~SkoU8CVVk*yHt{IW9g3~Ft;a6KwZMG``P9%Wa8h$BJ7a|jXWj?W7U`O6^Uns7DG4?|uMhP*fod080p$}r>~!jNBu zA-^UF{dpSs`mm7S5CnS-bY(X@G3LJH|1IG#(ATORyLa(_LZkD1`W>NY?r~4pHxPjS zJUaiLiUUD)V^GLVguv8w4yC+?+1YWzz;a-avJxXl+Qi^?MB;W5j;gNoI%_;BQqnC5 zM}LL84x0Y|E3M4fB-IeN3=8=Kr;z_jZ<*bS5TPaZts^kEiNO3}1m<=Tm_LfZ+&%(x zhX~9a2@?}TcZ$TF9ErPgBx6^S?OcB|WLFA)cdQuSatgs^gHw<}q81kMlz z1%hFuZ5&xy^x=LQuJII_(QZkiYV|X_ACRj=JGn;;=$-`f`}+U zviBw!DefDm9}ukTfngyJ3Pb*cAkcX4MSDgD-Rs6Pg6f=^BI?eEXwC{Es)Pvmr6(xl zny`@Pr;w`qmgnCP6Cj|c9zgQ9JsakzU=vlZjy@YjB_XY z=uT-Sg>p%Db{WaEwfB26K}!xuCZuv3a>4EBobR(z*W0TV=dxFmxVsW%&%oe$9kjto zH+^ycmv(-JC-SCiZ2w3VkRL0%M$X-(k#pIrNU*;tjs_9aB+(+{?5v_0%Gw;Jwdbq@ zl4MdYp)7{{<`st*msX}`QE!$sSqF(~t1bgq7AOx{m&{Dji-L#cYm{2K%3emffUGAF z1h<}WU;fj`aX2u=DMf{1?(BHkE8yonG2pB)QBJ`jd{o*;gMzYrGkwJ_xCVaWFg0#&ki zlISFtzE5X<#19AoztyYG_3y7!i03ZL^YBx5E{vfmt-vCq!8d1X(bldvy49=2)ecJPQ$I;Vu(|u+c_XfT22SN zU5Sb6p<{~+O>Md(k~R>YMkq`%7tr%GqvPjJQDEht5=*EsO%EU}M#Kwt4UJCK`ujV% zKpZup4|8az;U7*AON%8LB(WnhKxH6Y&DjKjySr%tpmFB1gQ=Lh`At`U5HlA?y!(C2Sih4JPg?rhMXUUOcKNrO`0lu z!$K}_Ks2{pXs<_e?IZ07O_Pg)h(|dT(S+Bn^xWx}VLNj>OGG;$|ap%}Cr_B<=v= za1~Gcb%wD?=G#kr!n9U8NH{UQ&|Tk*JD+=81m;i#hJG!|*6B2@FG~^GJ99!4P2Z&y z(W%}W%IiwoDM7@Fy%tT-kra`=8KxDA?Bj!o%L#$ifm6{dQV})vDw|o!m8pm>vw+Y4 zEEVD2XMI8t@gzGU;W{dntL%sjP_J2u;&{F7{2+Z^CHE9;VkPv|> z@kK!)FAfWNi36g!_I1H}Uhfd1dG1XPh-UKJ91u;)zd9hAwr>wZ-jPB)3+wk2#6LTK z#8HoC(8nAQ&7hAvAeuq{>40bzeVQOxH;)cqFg)t)?VlqQY&!ESO?qx+{Ut|L8ve@! z3H5-lrkKJCHpv8i&4tMzm9MAjnC+zb?^`LvynNAWx{e^0rqqOd*C9kx<$DAPnf;@% zm>;{uX!`zyFn)c1>5`%;{9C&etseZKPhf<(CCwfdRkUhuMF^V^4YDT;IX?_}YZ&r& zg7~$4M_9z_U*d z3VBLc$TP!`7lt9P3Pb*xAdYP%ZJc^z6zWY;s5eKU-V%j+YZU5VqEK&hqms-O|LR6* zv*zg(BY`lvipoir=lPbSLB!QT#4`zjn_;_0=tV%hyE-*K=6+cInpB?q*67~`YkX-C z@sbe;E|= zt03anLB#c8MSc?$^1C47h9KhiLBx%O2>9$_w2kKPn&(lMLMN|vo>}df+>^i4K|hR1 zMIvFN^d9u7)*n*ueMon2N5{avbN5Z|?Wp$re;xH|tzW{5-*_Y0S%C&WLV0Y?$~((b za|h>}%UW@6bM`w_fT1hD^3^7f>!=WR_c`47kC2{CaxY`@0gVmdxChlvWnYHeo-p*=ISGBX+*`5Clp8KTc;H@+Ih1-$A;i+>5c8`o+bOu$0_^>{c zLUi0F#2x+dJ$pxXk35Rl#wVu4&M-I;1%);S3*LXGHv=awni||SFfs-#)@Zv2#`YDT zd;$X$qu=5k92gpoWf6PSdoLOthIF*yIRlf0n-8r2@YulFqa=Ftyeaw#4D!FQ+|g!W z!hOZjJ-esGMczN9wyKR%JkS3%LeW9I$p_@n$iy(c-akGDG{WEhq%6D*I6Ve73?y8I z^Feyanr?~EnKc!+V*eqnpW(Ynn8P53gm-HLH_`lF;c9S1gO>0Ldx3tAd*A53Np^UC zh)Lz&HWfW^!NBM~;X}mMzA@@r)-J{P$w>SiQu%wvC-zS5+cPwNp=i=O5m}H1$H&Id z(ie>EwZ64k=wz_Q*U3n*2m`IhfW_Toz<}hqpCJpy_Xx>xPeB-v(n`nnP7Mn)MYq~L zFj44AkOzj*fwQSo3{OoCj!r;Lw|F>`8f9v1_`)f=pFf%Q4fNH$6Z?kgP)Jzn51#$V zk*Pfc^fcQrbqG{}-IlTOy}Ri7qyk4u_-6`z2yWE!&kJ;P$UzJ+#w^#Wg?&`?c>|Mw zoPY|g;{wdDE9ibY``TAM0|R>Kz>qagG0vjPObqXt7@ov4kUW|oc<2FodTibv;dst& zd~BGWo}`}QX+!DI~2=*xl56=z#!Da^L01UjLg4Ngv-z3-fJsHZVJzn}z`k})|0yV{|q zr6CW!8fss7k8KigToWhVB%DqV!4Em8!^Sg*2@phu3W-x zsDAPD(X0j?F%jn$9B}5)@HqqY#H9vP*^(gwzM?x`a{^WzZg(i#Cm&)Oa*#JhaAq~FlZ`D!gNPlT3_l?saQbq*`kQS6zo(i{N9i5;k*0jGA)EWYcJ`X)Z=?ehT^9h}xV z>fEa0zqhaw1(X_Wut?_QM!iClReJbrX$bQ9;ru;QUgY}>zoHsPQXYVfW z@C%e0&-21wuK74#SS|q7qH=n5iXncLte35!UNfcj(ipti??Ou~R3nqXM`8ins`#AV zH)bP(%k&)H1=8qkyygr`cBB2H?`Ewgk7?_&BaNk%Bg+R5p7;~GR;*lq{P@wk&=GkW zp%UD7;XDRR&SPQ2dF&t{PoD%67xFYQ9>tu*d0dQe9vfqv$Mrtvv0H#V^`N6z$0ARk z{a-E^$1mWLIcs{!DlUhBDp)EI2&nrsQ$Gow}ho$w>a#hsDe6+D8&flcf-5~5M z>3o)sFVpDEGez`Q6MZ3-NpBb=7`u<(bo?kC6O5yL{2k?~sGZi?12pDUzDT~tF&+PK zD?yyosycT>`v7Os%bwqv+Ilr|exK^`>-2a^Dv$Lqd!%)R&?lDf?P4&}z ze%2Fx9_J>W+FQw)B^tz1X`pVm7A{N)w=j-89 z#EwfY;+D&BdC7U-F}(&WZ8xqueRB0OT`$~l)5~mm8n*<7_>ztOJd5hy=sMf=ZG1bg z*5~_a9-#S7Y~nxe%tNoEu&E?Wxa^*XdUJ$NE+4qJ2x&&V_wX67&mr#hv{SJ~k;!=4 z={x@+TNnWlYGPdxS`Qo_efrcW0c z@^+cnh?AEeMVTUYK~9Qgt=5OhOKuq!W%_&;u8$B&QKsa>Wmd7wS05&0krF;;zE->b zmNG1UMN0U%mVDSw{eiRv^2H~$O`T(z%4Im>Qc^!R@woKU%SqqFcb=AsM-`DzFJTj0 z!eBcW_2bBLItYa2F|hnGbu!OQ9nEs{yeyu!w3RN0Cz*|6}JeRZG#9zTBF6*luG2S61_@D=y@nD{(*a~DK7Eu2G0{{U3|9AnUS7~e& zRTRF%UdmSXwU$MoP^2JIy28A9^IoUCS(urJ)DTCdLcyd!kXRCw5P}4w5foyK8zxvt zkUuI)q68BZh{T9XjD{a1YH&d%CjKx*qUSp^cV1r$Z3&ayJ8$m!?zv|__qn26^bXV5ety_U^Wz8U!QPVY==>(py`dN<`%eJ;`S--C3(Wa91Esxh>8$S#3 zI4!2NuAH!v)K?msUR4Fw-SJzP$FKU9{5(7BYI=||GQD7WZywWSJu%g6)3Ghx@_QC{ zQ6A^2TXI%C&q!NluXx^<$Ft(5JXI|fsBSt7j)C9k=-t_LaW5FcN1m?vhHdq%^;B_) zq}p)N-9axgh6c9ZZ)B31>LvqIwbZokf+qUV7$puULR|l5;ZO7ulW731@?nAF2Zlv) zW|21y)5#Dud@95e`VnlI%AX@?#x6@W31_A3z)XiHfV@OFG#_Yq@c_QY(f}60L4oJ! zR#LY#L-#=Br;>S}HEXl@96eb^WDHt&M&ZCC1TUyTrVKPpZBOs@O}T4~u$iUNr(u45xt& zwgayPD|HR4VhI^p5r=6$w_%b_FENP*fXL{sR>vMuFieOEqM3fZxu@&`HWkp|P4$MO z+{IRy{qxu7K`M+$VNNU6qMTNb&22GhJ*P$&_bN4P_0)ga=K&l~0|!t98-8PuF%quo zHU^Gr)|xGJIvE zm`#)^|Ga)0R2dCkBLXLicLcLCT+AT4y|%HsmCPukz_MMl6R?OGx~XfYrc?EvK$~Db zQ#TX3+X*^?p?|maKLmeulW{D;Qc*&vzNhxX|G8+fEzQ+^WLrmZhjB3(exdmYz%n7c zdNNL!oXG?TocPlj`{`?wcf#4yeVmp^Va8z^ESAyy-j%8*h+9kpnnmu5t1&ztB374}-vbK3`@YE_|l}OQUFnqEJ%Gb{Td71mqm9K|gG*oQRN;cBA5= zazPF2Dp4roDdA=_da3f{0>~gU-Z!Wpg-E3=Mv%v1eh7DtuLw0WMjh?Ihwohx;_etx zO9&Zz)C~+PEzc^AF_1=Ll6E#hJul)jE5@LcN~;-kV~7AVV!#{3MXHprDbFIrTt-|y zS=K&_Sjcp7RF^83ue(Z;)of}161v3YG}=6#BkriR4SvX-PtDjjQbu$^fFt_y*~-c9 zKLAk55nV^(LJtKdiB&XLh#%)e7PL?JH$o~pubkQSSAQaiqI{|sGXilUr`Mn(PhZ2A ztj@WJ3Veze-3O5+PciEgd$o&Lk@gN1jj&3Z^=&G8q!kz$Pu%}KU3InkV7Zqr0HK^r7myY9rtKfMFjEe+Osn>XIT7TMl$o?`Iv!wNTT25B%2Sk+anG*=WXiU!8)DXl;(i6o5`K81-Ecp0j% zHP{eW(K3gfk`8aDI^F72U$4Pp=&f{+P(8h_B=QjgZ;9%-s}v;=9F#SUp@`cyrQewmaiICliU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index de57a35..41b8f32 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567306426612 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567306426628 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Aug 31 22:53:44 2019 " "Processing started: Sat Aug 31 22:53:44 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567306426628 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567306426628 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567306426628 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567306426847 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567306429191 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567306429253 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567306429253 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567306429425 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567306429612 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567306429612 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429612 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429612 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429612 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567306429628 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567306429816 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -29.000 " "Worst-case setup slack is -29.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429831 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429831 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.000 -821.000 C7M " " -29.000 -821.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429831 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 C7M_2 " " -5.500 -11.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429831 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567306429831 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429847 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429847 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429847 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306429847 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567306429847 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567306430034 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567306430066 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306430128 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306430128 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306430128 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -414.000 C7M " " -4.500 -414.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567306430128 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567306430128 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567306430378 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567306430519 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567306430519 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "260 " "Peak virtual memory: 260 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567306430847 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Aug 31 22:53:50 2019 " "Processing ended: Sat Aug 31 22:53:50 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567306430847 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567306430847 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567306430847 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567306430847 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567385057408 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057409 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Sep 01 20:44:17 2019 " "Processing started: Sun Sep 01 20:44:17 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567385057409 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567385057409 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567385057409 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567385057466 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567385057560 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567385057568 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567385057571 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567385057616 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567385057629 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567385057630 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057630 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057630 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057630 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567385057632 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567385057644 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.000 " "Worst-case setup slack is -47.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057649 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057649 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.000 -1802.000 C7M " " -47.000 -1802.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057649 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057649 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567385057649 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057658 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057658 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057658 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057658 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567385057658 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567385057664 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567385057669 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -432.000 C7M " " -4.500 -432.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057674 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567385057674 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567385057736 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567385057757 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567385057758 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4530 " "Peak virtual memory: 4530 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567385057823 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Sep 01 20:44:17 2019 " "Processing ended: Sun Sep 01 20:44:17 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567385057823 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567385057823 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567385057823 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567385057823 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index c11307a2f80bc59a96513d56636c4a3a06e980cd..3bbd688f070741fa3917f00734cd5e14e24ed000 100755 GIT binary patch literal 11297 zcmb`t1y`Lr^YD#JaVYNY?(XjHP~5G!I}~?!cXxLv?(W6i9m>0V`oGV6KESiH=9)}0 zS0m$lbk8wm&qs0s!c@N@(eA%Iph`R-_8XG_4yOvgaSNFd~FVQoyn#LPs%z(&u= zM9;*)$V{MY^4-P4$b>+_z{rY#kw96BK;6WUK-Ad63Gimo;-BGwfLf^jQ9z0ye>EY^ zU+o5buKVUlI-;5^1TqRjh(PYo^UZK2oW0UmvZHy~U&@`f$}lvkD~_!>Vd!VWQ*>ci z&1KS+(Q?LvP@Ea63RD?L{PmZZYo7t%-cLbA@F9eK!CtVR<48wNPigbsriW8qt{yJS z-{q5>jwUi+nEG%x8&zHvY*ePBeNJEt0O(YBRwu|&oHrm_&}|9qqZAi zcqef4YD5=#JfH95hlgsOkjt+pmswF2>C`1Ew~RMo|61n z_bwsodp^j!gay$ZC-#V0o2~YQTtD6h=qM~BjWB;oI*V>}p*@HV1kN(7Npg=8tereP z?!w}1hV=?G&YzKY@Y38FxfX4znJo-|xO@kfBH}uJa(TPyE|146B;CY!R)Jt$fo^u7 z!GravV!k{1+X6Gexh^>GiBRM61zK_a+EUbexWYnf*mZ@&4J zUf+UJn9A9G1QIf<5mo<^1nHd$hSA8B)QO49g%$Twa5iAgr~J+X`4$nOz)IzX@+&)# z5hH>JkQ)O?h`AC@Lxh@o7Tuj-BfhIRw}TbOsj#MAx7bUs6y#Uu<5Wr*8tolHo`A&r zc?6@?l0XCgE*`AM*T5l)_8Cb-h81}iKf>b37){NI`eNjE=2PmarUZjb7l??FL~0%& zzFU_SYUep~tHvJury5F|yQ|RMmPL6#gmq#2OS74_7RbscZ+jm+FU!l%UZk7p8lW92 z!qi9ZZ&yMaG2B^&pmOcLv6k=!{p9D4w0!8gQGMd`z_+>HOaZrpT2YU`s3pPp7-SG? zx!$_aW-z3s9dS&qW*cpb^tWg^vT#J$9v zvsNEuC)Yh6Y>-Po5ZXqFkKjJRIVo2qdlm0R!8v(Pn|l@TFU20ZP!T_)kwTPU@gZ;Q z?GSZ?hY1+-j29pw40wR71{y0ssWrg1<^3YvqNFH>*If9!;++_yOBsTis%4Dq6?zeM z*H7RFA)(S=zp_YZW=6Q6nCqx$sHgOCiUc>q2w7s!XBAz4XX;G%D_hx*(kg3&8DjC< z@nRY`PUgR^S7{OcaPosg&}#&Z4t$gJM8~3GVK*Pz302%U=`)Dz_;NzRf?vh^6Max; zLdTOOD8FL~WI3pqX3hrMm_9l;l`s)uZ*yM+%%l^)grHD&!Y{1w18ffLLd-MwW@deF zYVmnZfOW6EIafB|I2`?qZLD!7tM$Q248D@ldJWx!`x|BlW1v&58y1FX$L=HiOw_Nu zz4&DtqAkDiLk6iSV$V_Pk?oupnnl5~$(bX$m|1E1l z%Ox_&sBSHiVgF1D+B-X7BWw%w*T}coU`5Dw!k7{p`^^BStQxuH-+ihrdLshMm8LYZ z#-#7x(z>C2@I%FXT5H3-DIG)e1IRN+ZSGbO$F-5;KXo_1Q!G^u4Y<{&kPMhBH&L_A zY!al}&rU65V#TSSQT4%Nr;ycQG;ORQB64va zZzV?h&K-8+!=Jp1p{uL62#m3fFVrq#30yM@9;YdODcU#Q4wxxs1A@RQ$ZE{Hi>5tV zYMX3w)aFv2;SU`quq^smqQDhOh-$%K*$|{QxO5`06b6NBQFQ~`b3Ig23ukZffw(Zv zNc#1A=j20DDH4%u=n6bF|7+kL`Hb;&stzjQ*7l?p)la49vL`>2fojDwAf>8~16yeH zZ>3HZ(ViyOHPOGB%_s82%i%U|ujN*#dq`LPve8+d;8P}ts3f}0?j{abqlWqz^t?=c zYu~O4DtNH1=`OSF4`{_~YA&VUz)tG%zlzrZbM)^x(xjdzBF!UljFy{^C z7e0}hJ;8vCoGHfyJV6;wg8fve36W*@6OVC`37)|`i{dC0X5Thoz38Zv#aX6E<Jfz)hor<5VSk;B1m~1}dd3K} z4hx;;J+~V0m8M-&|8m8geFuerAi9jb4>Jy3m6jRoQ9`c8a2GE#l=%1Jofy*?tRDB- zh9j46_7Z{F&S+zViGiD7;Cx_!vTwEz(F5g!^iK2~JPGW{KL|M)mEp8UetEVd%jks< zZCCx!QTMDCfA?!PvgU3VTkh0GsH6Jg!yY*l#QsZ+F4dzC2d0$7NXU9Lbgx#!F06!? zBYMg0&e;&5+kVFDdiASNfRJ&Ub6l`cXg1#m1mXkC^R?s;@rUJZuc0`6(DUAh-UscG zhhDyKIcL{)pmEuR;3FmQz64TG%Hnh~ZP79M$AJjn@CG|x3+${U$z8fTIQA4k;nl&O zpf4TwH^^hT{*<=~Mf=bl_&(eK?ipH?-yx}pUkC?-XvlW55Z^WN{f1Df%o|BJyn)LzR^WR;DmS zp;%?*aSB2TLcL!bc6DSu4Kf5|agRV{ZR3cM7$0PMxdm7fb>=J3Ju(z<`bih;Tf5Q< z5q0F&@F$@*G7H~@7;NZLv20IMnirH54vT2DmLq?@iLP)i2M;+Jz)5MWvG##eTm=3&uO)K0qN0I?fYEai#8m$sh)Po>`ZIC! zf>OLYQ=Xd=@EJ(bg2DWoA5SpyBW6RWN-U*F+~BKj4N@Ab6g2eAKWoX z)o+|7C(yb1L8`Zwi;330y|wiRz6t)YdW(T1Zv)XPwxl+Smz52wdU^0e`MTAPd^Md{ zEYxy(m(R!ZX~9uTO7wQ?&3x_HYEvMI5@d2r54LgFdlg@OezZ<7YI0x7BeD19$=>Te z?}%Nl4|i95bApY=gqr_G2_54Zqy9L2j$)|8!^#CbO_)_~atdn*7vGAsYds3}>!45M z_))saiW8AMbs=!&5gg2AdL@mpmWtv1?nSm%pO=8zB`alclX=U7R^yjby!=3JoBqK-1O(r-QFDg$2=C z%WYpsv!RCep~~S;zc==Ha|S1!$oC;TErHA}i@xS}sHi1J=d|XjiwzM3>ndnaBTzgP zeADn6<=1|et0@d+UdQM4Qw?HQ^HAWNYMcr5;p^bK)1Gv>JCaIP>d`--QGhqU@pQj}=<0}Y%}m*~_Z;<)t$ zCXK^cOQ>fKPwag77a@#!`eYmpMRU?`QP74uv9VDGJQgN1?MuJDGroX^vkxCQ< zXZgge$(og^8pZ&fvYvFU1z{CwfZ@i&AZGYl+aMaittr zqn(q4@QD{?1tj_I%|dg~%PghMJ!Dj1e>0*Y1dzEv@8dF9B6AqVzEowHWrm0ESE~uf zqYfQ#2f6JzYnLmpTrkH!vsKzVHM1EcvYstS%RF~P3n3GRg zy4zda${bmtQ*XIh{3cQRh`QC#@gWnj*hEKAx*c=7iIlnV;YsM?UZI zWp3}HJFk#9id4(vX=k5P#N2_rCjU7O`y2|%W5RqH3=|WkG^j3m)4aJZ8j>tpjZkQB zZ}yb$-ho1q8O zM$?vTh&)jD37?dPmZ!1V!bxfeEbAeTF8$9~%!JURa#I%UM=E?46{?-yM4Xu9(S84u zG$uPZQR)46=CH9!D{=qM?bOx~ay3{Lw>Tx>9!{Hl5I%o_*;X7r1Vl61&I1D^S>j%Cu7u-v!X%WABVGTXEfSaSErH`RQJu3(BKg zV|MP9BV6qGLpTvQ`lx(aqmYh~2aeuf{ju52IlyR#PwV0dcg#E{@cP6Zy_#we?lMVHk|$fU*I ztsR(tnTaw9ILsN(G%b$yy5neIRh-r>zI9X;@`J&{)(DpEm;sfls3f=SLZfGWN;>Az z!JLUWP<||MRGu26MQzISKN!xF^asH{In;Y3AM-tu#CM246f9UL_ae&)vfuPO2+{Bv zgn1+7Os^^fKTBCb^cvZEyqsF+Bk*}UDYI*)3ol4ylK(sqq!oz@kob%b$GURtip>wn z#%8GiWkYA#x*}VK$9jl|ZLQtaX1v1gW67E&@Oa)mt}Eo1@Z>;8XolRK#`%#fPfJHj zCyo%U^gR4IR+eObvel8IeyOLa#fNG``aw-8!_WnU!c}l!e%3X+H+le7a$u^!f$qxO z7Ek1M4<%D6>c!jj#G7HpHf?K;xws?%*Soa$(da0P?}Peoo$39nyQrv$)*FnR4NFvP zK0`}fO$IXcMVweLOo-GQRH&}x5Bya^~ zBf#S9kukD4M%&Y3d8ZRrW!l_%;zaDdvfVkDrML36na`hV+yi~`)D|dZ zhI(g3GrGo-e-*&eeb;a!RK~@;-pz-bPqgL)f-qU)r>aJpEZ>Xr%KOCQ4h&b zR`z=|9X1qA0-P6x>x{oE(1ak(D-1^(hL6EOB2RfSGPPnAnFIVGzxa`XM=f8_(n20> z=4)jPAwAxuo|7~*m@5%%9{%KKXPySw4$iqWDc;AXAo6cOYiS0nm ze1@p;h+a--Ia)rLhXz$B|;ukkhId(1jQ%7p@F zcH#}d5n*=g5o0}RR37$C3)0GJ z_uhK~gQdIH%hVzm!35P@xBmM!QSBI){g?imxw+kzn;Dr=WVIQ2wB*$v@wC`-VLMGv ztPh_vZUVjvA|(j z>W=y;^}gUmrnkKr!=EmfER+vKmic_3INyo>7pR+^8(&Dr9Ir0Elr-zg(V{VLgk5Pn z^wZnQlV6Lm%*S`*6%Veqa{3V?s~d(T+SbRV%s?MP$4~1KXNw7}L2bA&&4zT?ue8$w z+1Y%P(Fx2DcJjEEx%|_QI9}qV7O+=h50BcD*vzOfkH2f(jpUVv4}Ch^jV>lu&@plZX0W@YSxyYsS%l^iy^^DuzLZfDKS{Af0b?a9OZ2sw%U z);59h7;}H<#Ep|@iVueVdy%Xby_6kt8_5!jSziQ#`t(vq)A1TNuek20A@jq#`Dlk* zNY*GOH9sTct>Z9?Hy!hB{V+=RXXJ-0U}nY|cD|dPj9zX0fZ{vX8iO3I8 z=3%wUKFG^6-;D@H&sf3DoYmphqQ`k!46Dd1c0waEDK5bQq;le)8b+E)n%wZ+#0xAT zM&urEws)Kza?OW)vz?5W?5`(<(Nzrv(uia{N3(>v#0$m+m}8LXUiIz)*Se88+QoHX zqp5Zm9Hd83tPwZGOPC{Y(WG*wfZV29@3Ti;aQ`g+xiTi?Bm-Tl(?4nHk4C1A#L8mr zW%4e2G5XMqugaD-=ubwb%^s3P+%Tur>D>*bYSy~ofN%-PviWe0r@42o8#8VZoo<7b zG?!DYJC~0lSuSC6KWJyLKh|IhZY_1z`Hn~K?lE<7{xgp_*p;4t@);hG^ck)meiUq- zdWUU~WG(JqFC9b70Xdzdp3sh-Tqh%=bf-VUx)CJHIDj{Iv7E7(2QhCtN&6mCNpWxS ze#NpIo$FbzIo%}Cne*1vuLL+%c_B16#Qbar#sf%Sm<*h!Zmiw2pH~&*9#l&1pVMULX+nXlY@-55RYAZP)$k13{B7Is1Nc!@CI)GD6(bMuDUa(p zbRoq{l|68X-M(%Cy9RM3W8~Oy?L;e#%-tinfUgE=;1SPRckVZ6Mscp%2XVQ-Dwv+I zV>RD9nVu|THxEXdbM@bX`W%KRvqmeFWOK;NHe}wc7AL@omnM{SMIz-`r6P&X=A^Dq z2$fjk zFqIDQyiX_w3}+{Ln-MQ}cMO&9@YPUNdo*F)T`K_e3JOFAFsIgJx9*Pr8E%%`%bEW( zT9aE3aoofacZ4eISwGGy8}961TQtpDSU<)q8`gh~L??8Qb9K;G%6Huq7>2?}6Z|9? zq_WLz-y-u)aDMcX{Uka&Z?64u4-qKJ3OQ0S#(+I=$AGAB5^)vueEIYLR%{GyJMK<5 z=YKI>O54eNLY-Y~?WLJcr~zTpf5R>P$qnRezqyvHDy?`$CEEs0yta5?&%)DOtiRT% z7Fv3%&|9OWk1INQn)-ksv1u5nSxzcQG};9P@tbh-agxcs6bQw-9hJp*lX+{!&Xkc* zeVAXuCzpCo1`C)ZE<%YGI@91R-v*p+ka>8WVLxTf;LYH(LPevB8khL%XmQ6!iy>#WgyZlWM9fyVZm63=Tm%l zl-BQ^YnV&KK&ve7Ch+<_~!`=a2NdW@w$qljqV+j@5R`%4?~p^<+$ zJsVXB77Qy|y9!FokI981u6n+ZqP&)w=(6vv7oK?H$>D@XdAOkHu)?6y(p*HuxPy+G zR`dGY%tiuTi!o!K`TaUWs#N6M;9F=1*P~Ej|kj7Wy zvRHfRnQ-f{U|MMDo7d5P=L+ZkiYV}h=Q|u7>6ClMWe!HX(zZFiWnPth zm264+_Hw%VY)0QTKXLC|4r+8_VHMJoxXHqiLd2QhkdmD4uWJk8QiQppJlQ)(<5aRy z7p^9ECyl98U0v@vrlgJVxMlk7$_nC9hPjrdWm7%L;36P}Hl=+v(m8}ilR5^PIlnx$ zRt*p3v@dx$$fLH1F%Qq$LZ%dQT|!2qW8vS&J~vrzimu!7?m;6AcP-~ugdI`UN`&w} zd?a*R>AEiW)5=oY9TZLO>}BL6z22Jl^X&HxOp9V<*j99Q{K0)R5;w7LH1n5xM_-Gz zds(G`rL#NOFnlVVClOsmoouZ!=?`1J4h`jd5>LJQ727WXu>?0l<$=ipz zf?$YNP?HYUy|+ej-Dr5hjHAsuLNbuQYo()XGEAFnKI6z;3a~QNZ7`+}jN+C;kVSh! zl7)Ce(pk4Va!%ux(uMaAbB88yE5PZjcpce)hb;Gp!M^_IC3}MwzW?i~o8ARv1U$@E z*l3jlI);l87+E64^~9OF;m_DgrIzS)<;c+ny4VXh6y7BL@8cZYX_Z@PWpn#4D z-Sx#$q7Bq2H?Dgq>o<REH6p_kM1guQL?gKWu@e(w&|8+i4(zY272~4S+>RqddGd4BO|U7eh&-Jwr%c zJVR*DpO@)_`fYu^SNcOb`K%36ddSYn*g;;X8j>@VOljOBxpOVSS`R=kleI_p<^9gq z2`Rd|c?0anb^EF0RpFC)1UVDmf`XYrZQGO=256tcJz5WJ6%ksl#Wa%4cz>g9K`!?W z72|){OrTV+B1c|mxjvjHr={e?AUu3a0mfs~uRUhmoNUnkvcP;=Zl+RcbKJzGAJpJc z=jNdqyPUkaL(p!7S3B}}PAm3RgO8TOU_A9)%6#BU&I}#17twY&;rWcOYM0G+73&-b z*Udpx6TxFRX~*wlFcwhlEj4Y1dbrXMTDx+qzT}pLrf=ier>h1dkNReTLzYk<>K|g5 z$$@q$xwj7&C_!iIlu*kp>WI~LEd(1gzTo1`9@KI=!$S4Kt%=lz^!*{ft&V$cAv@~w zS~PYWQdV_7QOO1QH+V7Ns=^jp-$x1x@;nijkybW>d~3@-oVWB_qH8ktQjPI|g~CR} zXBW>q(ku>$tg%qi(WFn&4V2}TPvxiqdYy|ld4aya_)+z*jm`bnc2WClYyYvAR|dHf zz3$eB$Rvx6wAv^(eO_cK7fpVpY|}OC`*f`Myj&Q*9kfJTq-+nurfhdUN};}KDBn#D z6(lyO@$v{g1&ePy1dDqC1P36U_rc;inYb~Qz387hov-hXH>Y>gL&T%%FN;U`MH0*6 z-oM-29$t=qEgs;v9IvEp8!LuTRugO`aJB#Z@f{*oq-=trliZnwz+nm~o+1e|0MAuECS!hd2g`iYpsNyPt+0TByT1uPjq20P%XGy;U2$4VfnlxE;2e@eiZ zrD=s#9~tJ>_VKD1XB|V6*qd@w4<(nL0n{B?l)W>~aR%KT*$1dRp93nJfJ&<#HXiRd zRyo}hMx(J~EUTk2xeT-1WID3&SY_IP$mhB39QTg{bf%yM!PV@b3y)6EEGIr~7uLHU z`}@_|QGS$lChE*F5BFq{_GKH?4VTHlmK9Z zqzJ;2m9qQ+872xK(-(1p-efPt#d6)wi8S6Uqp~9o{ctvHv&1`J);oo~LzcM3W#3L1 z+WJ$8iQVVMBOG<*5sJTZ3nJORfs*Xr1Ft74MSsb@5We+_RzyY8)6*wS*+ns_sIKAC z50QVbYVSVv!sLrec*=zi!M>T^-LFA+1T^!4vS~+U|1j_4 zJD-FP;5*XSK=BD9TKBF*%?7BL(h;p&56T8pBs_IAA<08qoCk%iso+Agx@AoHEcT zq%o|6d%*2kM6Q+PMdf#*EBooH%W7Hl{bcM_?ailNNNjD^i%qIW5w)Y<71dRM%S*Oe z$Ju7lJxbOepVU^Z{BK+GUk%_hQAwBVKe+ynU)c%!m&qzc)b;;o*#7GNFSNgg6{(nE z0Sv)OWHSH%oR&es5rqAPz+AvE3z+0zug3p)g}MHRW~Ax=F%LgxR1%ceUxxZS9!VH* zv=~qF!`QiINk+di{D}lAasFRgr~X6htmc1c<@ztJX8)n}xbi==X8lWRse$R6(e@u) zZ*QsEz`7$-RPArQpuI4q4-Qnn1GHJi&i)JXGZV!4c*Qw# zO+dntl`QC(ha11*tTE8idcW4{qcJwFKJ2VR9q z@Bwhzo`5PgIq(3{@TSNDE-=AWvO<3nF)3$=Uw|VEBANIvo*e(;8TT)qhX3LzBKlkchP0oMa$`4HvZ0;7bs7TwFOUsfxR5RaJnA_ZlH4d5ISP50#w)YG4mU+t^Pm zY$K)NP**TH6x_7g3-r?bH|nSI)BxtvN`?|%0SsD~@mJ}D$g||2$)5t6kBWXbe*ZrL z%}xbv$v_cW3_~s?CItNemkDs@=HBfe@*p|Z*LiwZrw)Lp9!UZ8ow@ln@D`zEhHuDp ze)o`UuPYYj`F{sqcNAIBrtNlXQ!?o;(V!A`3lBCo3mTi3CFbve;!|TWfm?Gszx}x_ zpwW^p;CN+EP?DNQ2npK@xY+kK1OhLSLMNn~Bn$T+`%#tC@eA@qgs%l`gs*!5A!a9h zH3CQhK=uGa>}F0eXb7?L5GWu1c1H$!Je>xc%dgMm_giNa==F{S&=3b`;1}whQ4k

0ZtMB1-iA>S6BPAec0U`qn zrUF#nXllj_-yD9AaDxBuRCt++JrYN%u#3jJ+QwQJmn$}`h*keAGuJIwGEhcyCcmT5kqR83NX6FX15eal z&kf{b_DYjVHzzoST!~)JEZ4UzP^s9W<00xfHE@l>ZwxX=re&QKw((!Xo$FTZ0}+Uw zP=mowdm6i#WTDA~OPi=jUD-WYYFot`ipJf8qeCd9U~I4fzt~9&#!<=Zqo6~NDHEcm zTDWi6E#cyWOF4lcVcup2@i;8ovD3TH6+-0co&Yc4(#>{$6Hcd@$hx4k+xwKL8Hcm9 zJ$n4O%MLNN#zeK4+I-6S=QLwSI zQLu8c0NGgBSb^*mD#i{j=7z=;AN36_DS#9zvJ~pZ1{4xT=1!1E!J9wnprHES{WCx* zzxg||GyWajpijJ!97$yy$S5iq!$JA+^DRuJoVR^w#sMgPI{+ycD*yro$B%GI{Ef4+ z1S0*^Uz~nx?g+P}J9^D^R95D=EllePwqH78&M$m%qR+FRv}798`QG!^meka~)4gjg zX+bh5q4JwwOn)|3RWng@adw`cE>y~V!TeobR@MgZ`@XdF{W`SJ-KEWKwLKRJO;zGu zG>Evp&hfF?%8%dS%QFSZ1F~Nmg0C)~uP-9U%ceb!xbN)+OZUaP`{Q+`-?=SR(ek45 zlFZsk%%vKwF=hK>f2Ee@;!$QA+GXyx^5xWAztQ%rI`2SPe(wWIj`Miik#Fm z&}c!3L_iinGawYeceaR8eRx`VPI2jZt||3KG6lP0#FHYwRHjV!_H2lP7>%!R$nN(ByQbw2ZGszBbK&o+ z+3(y+*Dd$8hzs&0$6TG0LCYVz$jt70UhccAI0uztKQ!BO46@<)gkN`{D4;0B(eO#O zd*$~7@@F0ul@xj1-+TWY!n#+*`ZU1E;l$8RDr_NGe`0mu0uU=r>K?}UO>Oa}g8 z|Kr_*9mgPfq||fwxdUkB)QAC*#3)pcQWA_`_6|=~1x|nj{l{2+t#XQMNFocpx*Mw3 zj;DU*lt|pOePb$`B5vcu-Rb!znd_DvXr7QwPNt}LE>Pp|v2B+e@KZrQ8{8Atra6N5$TnK_7UL$s4u zMhe`!gDE~VdcWWXC4xce3r1#>$P1 z6D=p$fO>(X-4L*8h+D4SVst2ijp;|q=y{{m^~;BgC{ubmWcz((H#q{!Pab?T9t*=! zUE&hU)7Xmze-*gT)iAG%aN6naP|RH=--UXZAGU}IUgdI5rTk4L{bMdZ6}E9{oNo#C z!&;+TNl65N-u@b8W=qLvSz%~^Rh7_v#^`Ea8n z<@2#{9lq06Bm=0m+(X@)clyq)Cpp|2m(L)%6#Loo9lp9+ZF=<7rhP&bNMN>dL6{u6 zCn&+ud_W&AZ(v?Ly4JQA>{qV6-Gx;V7JVCN3JWm=)H`A~*lpL%PioEqy|Wtj09(;EAjeotmb1qF!z4*PlP;BgwVHgQA?HzR-QNNUv-mV($L9 zt44S_x**8+$kJUMKvv9UH&V#brQ=-Dfs%~xbQjH(8lf8d+rRzTliP&_UFvXm!X;En z7j?hO9m*9qPGlDB?R&XduI?fvufF+fqAPQfB=0FH*A6`yJp|#MyCb0ft)17)RYMrv z&{9$5)z!|6vRd9zjL1t|V_bcPA~g5hd>p6u^qXWQdzJv#%TisG*ORkGt4_T~A&ixC z7B&f7@%LfT7=|K%&}yl$bc0cp&m>`+tRcMwXoftIn?Hp}dh9r&LnkRJe+R4Z^xa0C zTdrb|Tk*QC3Za|!t~&?Dn%}J=q}KjwvoFeODrRm?E--{s;b8EMLoSpz>-Z3foteEM z1}i{DZFRLzYaK@>!pK9h6Bd>bUUBtulhDj~#ek+zyT}8)DzvB?DZX%Usf*nH;Q^0` zI@{q}&Zi958Ez6ehe~*fgp{&`LQBL6wk6j9R_!YJkU_=8V`(;3}MiXV@*qslJ1x&2_{9{v=C|?gE$Iugu_kJ2jh@g0=Jz zhPM8t%4bNRYc2_#4ztp553ir0vn}IiLOj$b|d3T zbs$vbmP>u{b!i|gM=zUjzW)Ue%Ak}07X}Ft$pTdNndO_KV{{J!S;R8(q#ko^mo@2} z1*MyIKK8Oe**Ik$2=0%2=O|0%MoWJ6+M{m~a|%5`neFseLrW4-aHMH}$q8QV+}aU*#EB$vrZh!j8*Gy2e@k`ZqR*ukV_>pff7JvwAu_ zUGc=ppb(ocec>?eSkcrWZC}i8P=2O;QKhshB&9N9u-Y(+^q7S7y~el2b5tD9<_@zI z$08OFO>Z5^km7aQdlg}#xRvoxp!UEWX=d=RW-fDIWX7ce8NE!2TJhv8FT>PFRj2x{ ze0SV*HA|Z9XNiU8MPgJ34b9d#!9hFCQ+&N{YmEgw{A4eYN}-Gft!H3a%(n>5Mmu{A zjZ6oH(~Yf_5cNv`)#I3%cuRCWd+mA`?(~rQdVd=Kd^K=7CL{40QIx)_!H+k`sW7}1 zz1}JOgCNCMOh#Aa5w|-(LUra)=jQT!)%cY_xViNHS(*LDZ|ev*i@xLWw@53YG}0F+ zW)6hdsUg#KdEq|U++6xqS++m1=Uz`j zGvZjyX+EoM&B1qcw!_^bm6qNvEpI3>vv`>RPfdol!7Mhb&E8cnTXA&0m~%D)0z+8N zdfWXmZ%|9e_MaOBYl@@!Wywu8eVms4?Mwb`61691Qah+xG(`bbb z)9^woOM5k+==lzI&a#MVYGZ&loJ{oBYGjuJ77;}PL5hF*UI;zsWFK$2ImDE5R zD+f=8qCcVw#?^O8MEyuqkOAjT2hN$78Xi5>i1L1bpPx7ORV6(jCqvWkH(|1V?vF9h zZRQ-*`?@C{n*XebjH1{HJ$pcoI>qdB&{K9S*vF0lcA}kB-iN9eM4?GX>7PMNL0fWI z5pavW3mL-I(71#AQz50HcV`??#BeFf&(WP3XpzL&Gn96H#NDdogrpxhuwG@BOPCkYGDe z$1Ut`znJ6Cn>Y4Gmz<07=_h5K-GkNchXX0DT@f7bL}yg8!9zCZFi z0>z5qT9yY31jycy8Rbe5iVO0)!X7W(VQDy$=FmA+%E0^5J(GMAIW`=kGS$B^I<@;* z=!5;ptIe?5#OGx9Sa!JLr0y(n#RIO`0=`FV({q9{tY`{(k`u+p*QJlRGzJTzwkTT* z6sI;spT3j~iAaWP9!rZH0arP$H?>4k;8Brqad|&^Ql2Q zquf58TDjSCflJ}3>d$aNPCSs8i&cQlJ4AHnhQO$Z0=vpg`rmSPz|W_`t0pA$C@B`u z_wL_H-jsUTxY?s6oDxc?afR)k=56bsoavrtAdcyf6HjKRmrNsCWrx#E2+npKvM z;j?m`4N@;S3pO_>!qTjB7tF&&apO6o5bM)pj;AS0EmMe5>*Dar`P+ni^Xb0e6R)`) z?#Ng@(#=*kJ<3N;>22y!17*SVq`7q`s)j$9TPCL}%-Jx6z{-zw*{%*(*PPA~V$W4% z3GPA#Q{aO2jDqwenZ&#oU|a`cvqXFHwdFKO7VKZD;M9TRE?A)?<{q%h{h4~IXmYp} zp>P~18o}p|+dr|YCl&cqMY45|U@$3=Cfb>VW+ckFjmx#}8zvEX%=mb=E^JgvwT302 z!3fdQW`G=C{DT$~!tB`eSCW&dvaO za>Wa?eKk)FKNC@TqJrXnQHg|NAIxUQcS*NNK{N5YX=j2^&AHDTwlGtx?r9ZgkADNU zbg0xkQrHr(-?%UlxABlll!j+`vmpI3!?qTqKi4m!;G>tD?2u0BK=Ri%BUJKtHe0M! z?~K>vX1G6PdliY707ELceE4oAh$MMpi(vY<8Kl0b4_BmrP01lRY~AgbUdQc+8gP#g znIC6{AKwqw-ddQ( zdb_0EXi37kK@ZOWq_gc5vi+=+DpRdCy}o(_OiQdw7YBx5VEluZIUS=S0*eA$c}mrF zLui_F_pU97^lf^?cXqf&r)NVyrtsFqIL6)pt+KwfhsMeqS$o6!yUYd|72M788!7lQ z{a#VITNF#{>#VtizF+n*zI*?z>#EuRH@RuL-o1#y85mo49b{ZBO8n~L*btDKFApAQtzs{9E+=?WUAZb682VK zC1hSj&`4!7^D^grtx>xhB&{2cu%v{<~(2Hn*Fq#XAV>_*P^td ze<{=*5R=0#kspLdQ5L1Vtf;gkj21j!vebs54n`>|3N)V1Pc6@_*&5`vwW*OW(~z{*vF%DTP}mH*{gSTe0?UG3T#`ipq-wWP z7f>JJxV#w>x(1bvly{(qAz_6^|uTHQlwvadvvQjgi5*jW{LTw6#zKdZ(&X$cDD5 z$v$oA7u%`RNz`nDN`M$z3J(Y>zp$OHlyp==ww@D)-Lz9xpJ|*zMC-f%EoK{C&(~)) zz23y^h#|L7iOYmLP+W+NLK?P@6Ve;aS$d(4!fBAAS<8B}2t@;E@qh zIDECCl#e=NFh}bUb3=YIpakd+O{E6@!pP|1Ioc+09P9CEEn0MqEqes)B%9LTI{3W`8mvt;)ooF^T{+=16)ti zGd#_Q_DYCwAp^v^7{&bhMO)jS;N5FZO9E|(+bC2+g@iY9T5DMn~A#3}G z>Um0j7@%n%s)k{%;+Sg&>dM~bf@&@|-fJE!E|?b5i12+zi~r{{?r)DAtY{9>EMAB~2D4Z|tkF$gDQ+}EDJ=0xP zr-cw?8iK?~5j9ntISDVx>%TF634|bGeyEY$4zT7M@Gqx5M6VK%*?g|>k4y@sB{Hj3 z%O3Fk+)4BS!JH!AaN3rF$qe7(cj!&&ck;I!=Y0XSrSJnH=g#Ks&jye%gfdkAvE9wQ zSnGkS)^fs``sa4PhTLx5n^4)4T@1Z|gD#JlmeeAyeNoCDPN9z+c$=<^nBD{CVUguU?%>@NdGbNf6T{p^(D>d5?(Wd9HaKq zLGJY{!IH+cbx}qUt;OQl>n6k{A+@HWI5Zw{r0W$BvdCOC%o&Ct=w3pj2;?3(0PTuM zE)zMyMrs#&{=F|oXFpwul1MUk7VqLA$J?jQksKLUkDWZ1Q95I23{WG8} z;aUj!)Y~;%5Ufes19tQ+>Uy0Imj9<4?~>TQhD|@dpcrZ&(8sW{p<5n!|4WPZ(#<9? zVQ?;M==mg>PmP2vYnYa0dexwZXQheE?=>Ocjb7y>v3s+a%*~5hjejy-;6V9goc@z3 zqoPkGiRAAJmbnon{j89N()nPXisdwOTvM6k2mLu&!zU53Ezl z{h}B8PX`N*G6r8=XCfx_@eivhN^6bDk~3Xhviht>dGmyp3HU-opuspoL1#&b{YgUb21EtpwsnPbc$D?3d+ z5u?sKeL7%A^O?Kkrirp1^Q)ZWXdr82LVDHjMXsT_f^o$q-NREmA zpw%(ct)VP>HF<;>%ogwmr(NBTn0_C|TSJU5<2^}IBe0&&P`=h@9lN7a5*eeu{k-F&ornr2K471JN@KNwZjgBVY_UFL&$3pvM{RS2HZM)wpaU2(O8A>QT_8j{U z4E?j7vzxj{J%jG+fsuD>}0?X!yHxRAd4x^sd zO=E+ZS--)u5gnmTpRPb3AZQg6C+iV+e_tob~^v`Y(isiOs^ z+>7-kQ%kI$My(bSkNv&#$rg@px;lsm@KT}Mta7Ku60F#*#!ruus;Eo8AuZ1WyU`oi zXz#n#24IC2hLbiBzR1Zhbm;zT$a!hA5?8&q=OotxNf&6}oZY;)>8d|u_>QS-dt|-- zyYFRe8QB%fZD<@HWu?`*kjPbrN(Byn#nZD=9mh>ZZ^rCbc`9y}oCP%JL^vuuxwO^6 zsW^3|Ln#s#%dS(&&ZqbF3?GgW41Ab>0d=)TtR* zppWDU)=J{M#PGS13KJnTBWM6Q7gB&d-Z-)A1+qK zr`Ms@@-Qymh~Hla(v+eBz9ct2#W%3o-vySRK5lDOQGwwUnO9+j75R;kSBUHy+U`%e^t| zoLg?G?nLXX3jT%;+lq;Y=Q*{u7WK^gvGGlAPI$Lp)0G0Zr5}lst%k0Dd|fI>m=hz^ z?aZd@$Xi+J2@f4s>?I8eX|s7Y(YN5=vz!ui;#oOyr%&0fmN3LEja*gPe1Duuh|_8T zaSHz+7C})DrH=)Sx3Ad(Z}_nKP*1TSjnY9HRe>~GNwMvx~Z(KNO$f4q&_Za z&X4ozhA2Frlv$dlv-B2pxXjhpj$2yQ@aBmwH%2JTlsSy?>Z+Yp-73(`@*-KTGrMR! zxm5QqHAaxmb$mU6;0c>c+$^a)BTGpt%jpqPG^Wf#{G#Z=QVqn&F(;fjWQ%6>{52yV z-Hakewv|zWYJ~77)?c*weV-gJ!jSWYe4lc$4sXvJ|2Itj)SFG?mLp1QAenLyUJ$9W zBHBjh4tPt5R8r-7JjT6&z}0W%%@U#SrgTXl+&V4-H6$MpAA8bA{&AVKKEUF~QC$Ql z*t15NBWrq?Uymu7FyxLp20l-ci-!6W8#~~a3>m6)loeF?k5NFcCrAqB6BV)WTN?J> z^NgKIznMY!Sm~irR!B(>3JDk(={zG7g0(n)qSzjP=>NpSuC&*Bt2|VAgeM9pX&Zm2 z7p*o+oX%hhS91E!JfMC)bvzd&)A0jRwwTU6LhX%mjpUzFU?@j%5w00dR5Ofqaj9TA*2=a-CQ#y6Su?`M z!(fAU|L#31tgdmb|3)bz4~AE~075`z&6)7IYQq_7C4U5h1m;9Tox~whFZca4qc5hr z>ix955bO)bGP=Le*uB_>Qtb=I{q*P`=;;pZdgaV_>44tjIki7*J*BWHroZGuhCJPH z`Z1|NAhf5>ng^%Ax-py8`U`4+xd5|Exn0UGyJ@kvmJ(dDqgtKmIFkHmribVCfFS!g zdU)DxsiKYK-rFUM__G5C#kZf-cP<|&Kq?_()QNylX*5E#?<+u(w;v37#2xxE!uH7e zIwY#1LL|;JI26pc;6aR^YS=M;$z&i)v&jsN3q$to9mNnW4Ij)!#!j&xb?%Q7K%Xb5 z@C~T!zeKrziOheA-(z_%r;P0GS{``A9Kq{b0n*U#TX?_5VnNrpvwo45e%1$B%lb%e2@I(O%M36)5Qg7T zE8)^=7Vel*LrxronDH;s`!A8*|B!s;J%x8pEAx!P)#G>KCF7{33dp>N&%N3T0TW=y zKTZL}G5q7?LmZVqPG3I?MvokHuVxm5J`XmB-=@`1s1SBh7=m$RR6QPc4nIMcFvq(H z+w?5dhbXFqu7?FZq_h~gKaMWMxrv83IuK_!z6-9F&k)SPsJuEdtdsa5eT$I4hJOz< zFPHLR_Xz?1mR;^`7gS8H|;kk6u};z@^P1Uc{8~!p>oYfy@h= z)uqQ!EB)6H1x!6<#vzE5O$uK!%O>ip7-`_hU0PZlNyR!$Q!Q8S*4G}eS%El?m;Q)j z?-FGN6qR~^Mh4CNNSlh3O=mc-@+8bZ_c!Xg97dikhhATLgEdK^vA3r=|I*EI(%EgDGm{AGQEIs!J#+El7 z!x^UCLsyUob4)Cm66#&*i;s*v?mlmH-0{$vWnUx?-~C=sI@HO+lrzu3`TId+fg zV?AekZprQ&s9X7bWzE0TDiHtO69aOQcDcycC81SIz%tp_=F4{U^2;_5*=ityW$%cp zsrhv3Ge!D!9CEkU))b3CYBA@Va$fc9M*2%B>h{@VljbG3CW{FGw!3Et+X zs|>4rWNAKfWLWb;VSkDtdgJ`2mOtY2PEn0vkuVH$jp3-1^Nma?Ak}eWjS@lW{*e1F zEq(oKO~;EEZW?uE_*&Jb@|}IEmuZNXsRuSAtV{*Na1SA>2iH8B|B~z7D7?ct(!v6jUUPtJ8u*n zYQ2pvU(xZvw>7<1u{TYvnm%Sjd*5s*^6?Hx`~F~N!?}C46Sl5r{fMt2oT>y@=?uL&5Z-ei^R|}@p_^e9 z`++OAvnv#=l`ews-Q(rpnvMfEDJeQ`#MWXmPfa6x_cn<=ye{dMT$SQ)gbvK=&b{_R zrFy{KtEaB`QZphpFY9Qag10f@EB7`h@?B%5pmzyyP1pJ574k{x>#G~|mZ_d`Q*T$7 z-OYKh0+z5APFSFJ+Lll&SnQSL@zdC6pP4>5jX?i41bRx0x)`mT+ONt1#$uUr$h>CVU^JH%*@XN z>9P7zBToR_ep8|$mZQS55?Qiez0U2{lSJzb+3ZpU^WM{PlB0|kt`&6BV0DdZD`9VD)lR#zoM0ZrMl1B3D#aqx`O^NXK z{rnu#HEZuT+kfVEUqXKHF{x3n0`c_{(wsh@+s zRYC^^XMk{|9R+m=K{6p4RPX}{DP5o_LO~yRc6AZ~kHbojMygZ5(Fpn-v^$j5H%oCu xc{uD|q^zRqm@gdCaEuE+3@+}Cwq z*WDe&nl)>x)z-qFG4S87@Yd@}bW8~5+I9;|vjb)p+kcG>3BSDkpyk2s2dvF44w@f4 zU}3r4^GbAF$fYaWe+#-4w%ua8=c(=9SAO4q>~hF;_|Bvc3UD)P*3cgRyIC`;_@8Zd z;eWOXYkw548+SV|r4sWWKKkhRh%kM5|Gr-bP8=*9*uL}m*_|OtPjuIQr>hmy*-vZ%PJq#Gg(pW#)vcw1?Pa89OsbN$H69N9Z^Cj`T z%aoe|ads)E-clgAu)7yA0I|e>HBT*9M&(Y8edW4$N-L+9UqpjLjok|?pKx(jsBKH+ z$AX;2C2#KT-X#~Qq*l@m;OmMU#sqVnu8%Rn(oz4CamL(Z2j7yfS^4|X2W6~c_c=^_ zFL6I>^$PQC5Xz)@Trg+g@>fx_uDLJeG8n$h64{$xWQ-cO5)#&pl&H^DCvto#+xCf zFV;4XT^y&H&`F)r=A~e1-4v;lb)GRP8=4}1We~+s=)3S{Y7>>(R6M&xHj9s^HaD-J zO&cPDrOdN}$`6It`pY1{l=Wi{#=*(29A3XE85S?^Yc8qI2-p2spZfoQ{BLuBkJnAW z<=|yk+*Z(zXm|RTYRFN!<0K#B6xP!ojvxEUbb`8}GiW>L2`9C zA+l}w6Hyp&v4m*&(W7(p$K-ECMGpI{|tM@1_sI-8oK(c;j`@}iW z*;=UiYw`y3_LhCZ3q_4@iBEH5bp7z{=PmX%E}+&*cLTjNT<&b!;?pM&Pw9VLG)cqn zGal-R*#PoeStASNm5DXH>o0rHjL$9|8yR3@YPkLV-FEBHQ+xZ*@}d3seWmumKETrV z3r@4$cQepIa?EI@t_MedD}nxLydFSR!C8!%_qG?tPWXNc4-AnyrTwI}cHBdDF9#!V zo54X9ln!M(&7>W5M|g>ibV<+xKoznoQJXWwjxlPn2Vba=*Ah2ztT@Qyz#E~aA!rNy zP$4VgQM9mjR;G~45gZ5B3F8f0H2h|79cwaoBi1gTS_xa2Z81wYE)-pCzBzQMWD79t z-xx5%^-z}un9;k14f3q%FHlHivwN&t=~&+KwlbT-!{7OgFJl!i(VF8u=Dh3E-bUbQ zzyvX|)!8DlE+P|e&F9K93l{2puJ1q^G@1uH z05vLtHAo$fKIe!WQ+REvB~9!izceL~!|&Y4I|Up;;HrO<202sWQ$CHcw!+ zrX(h{OOH;DD^M+Im{gVl=Ut=~dP^bSo!?-|+Q^BgVeSf@g%hb^_uQGma46x2XTfVV zQ3w3Y-=`5VW7OqY9N=U$uiTn^6!-@FbSw;0lTkok z4`P`U1~<`>Fpq1KPCNM(ZZ-K*8S@z_G7U>K%d&jd`0MS>Ai+&z6B284X#&a*nnO zpe7UX>=s$$M=WgFB*wU=a!ttcM#sQM69D8*fNI z3U)rQCATubO;NkKM8qAD4)_*@;KhQTMo|+4;)3yH%T3mSjlrrdZN%G_T2!2n7{g^> z2TrD<|KM&9-yKtY6#E<9q0jgzkSzRyaMs275%4PHZJZd+kR0lU_&TaR+9Ia3H9qpW z4pEc55wMSU!pV{K?m&CNS1M=~lp#&KO*2zy&dE3mcnj|$D4TJS?3=y~3;6!!!>O6u z&~3}92+GC)>&Rq(&7RSZezuXU9Iai?5_`8M49tHgitV2V3Uf3Cy_ZIpQSFmxlj{-8jML!~X<6SCNeMt<^4lp%=JyFDR&Q0#dk+pAzUgc%H2| z!bU5}JlXaW@@$p@J#;!jbLSgFT5nsvOF|;>SL;gDc>-Pwh#QvVm+Y(gYo`#qKg;{~ zD^WY$V*!^`LGV=H)cB{}5k<57FFelpPRVv4r*Y9Y(^jBNN(mjlu4;l&qCAS_cGWIi zV=MaTpdRG;rb@ZhYD5G_%pNpsS&KbIupv;jI9Y7WQ6NOfguD2RYcKC?7wKi$6}#om zNyLPL^Nz^oIW3+epq3iEtPCg$dGd_UN6eTTn3UrD-xuxg;$Gvy8&%@CX2YXzP4Dp@bfB0(5UykVpO zeSC6Wg{mj>A)wdd57C-ik?XK)y4(*s`FHmmNfqe!6gD`M&vWGEgC8uO*K}gb%kd&D zqe!<<8*D2JxwFS7W&Z(Od<_!{nD{7cGFPRB4>l7KEpM-gzyC`0ZWGwWuy>ro%*s z9%hV<{P9Jk2Jnu35oHQvpDLFS)ru}BB^Bny$Sut#ZUn1ZeJ_6zT?V$LN*5gsaB=La zt39aHqq!WYEKPQo zl6%2v39mKmDELkoif~2-?22TSXt$dv&W(vSCftOFWR{+vBb*XOpDb}jvS&C)m~``J z20co6cUwUsUsSRcdVa!zsw4Y_O>T~(JXv|H5j7D#3!c@OK1tg3dcS}0AK$-U^g5*k z*=q;uwL&HvyE9^caYli=xh=M7kJhf3^HzB3R{nnezX_!!|z;%JU7 z3g&9xtrQEmh0IoJCeXp=8?`84BiQ>7GMR0{Qh3n<5VG^|%+1Vh*oZz31PPBJa0=L< zhrDRk&=6y*H>xsH{O-|==+6V$ylP@@%pRHc^RHj{Gpw?gXEWMSn&V51t{PU(5j{Mb zzX3Einx*cOwXiGQX7v7etpV+U;n1@Cj;8z)kN4I+DCVXAcD^G(KWVJ>^nsKpr@3U@8L0ddDh4&A0v1qQcwDdQgLdz+Ch zzDk_>idj!=FQrpE#jd8eE$t)GGB4p7c(qwN&Ic!nnn(mEg3VB*8+t;k8K?YXA$LXr zsb^ccZKCkQGZld<-j+sAt3(@p5X|x8(phlO{=7+=Fo+Wm-$`n=0Z_N*Eift04pUYq zPulBrEHOLm6XshzwwD!~i)UAk6qQ{o@`tk`K#`HVsK!8&dU({e8?55}s4I;KoHyH< z-Y;}81icz3h_Ar(s+AfNGrU1*j-0ugtX>3@GAY6U7-^FlKtDX_@paU_G(j3`D<{4k zqf#_<+G+jvPewvlzZFM0!A8Kxf#f$a=*h4)t9pL8oS(ZCMxMN`DhrxxS--=*t2ITE zL32=m+YS=OA@H^>5d@Sv)ix5&lD{8nImVVXZB@f;f#WA>tIMAu1dkgwcZ4T}wT9S( z;5`+*I_|ba|sf5o}w z6kC=g*$`kEi7v4d>L6r@mLvir-CG?VtApgxhcl`CfNM=FwSIEs4GE?Wr17Gn;Bkrn zKcxI+TV+LRy@R>Q?U+C|1vKGYkzZo=h9-Q!4VkuFCXmy$HH(f-CymOPZ)l_j;8J;- zMMHh_*Nh&R(;C`gj?x!8B1(>|{L^UxLD#U|@+`!ca+bj0HDf1Z%85|P%bv3z2u{D4 zj{e)<#hZ=NX+*|1!88hL#p}=IknsM=<8 zZ1RUDzXsY3Z}JFu-9{AYtk4P(phK~z_0qhR z=5iVwvA*o!cguEilmn4Y`461U=oklE+ZEj9ht1hPPxqv;`Lc|mb_)QhJlBiXayExs zI-h~xJRe3w-4k-zwesbXtBYf6oOi(|tl(tSNX|Gev@rw^0bvN75;mGZR3vWZxUn}G zw(Q0F5p2?PvV>cNpAZwO&iiN=+g*+W5+MRHp(OJopcU}DY38XYElxg-cu%+}G&XFp z#Qw$}$I<=Dy=h2QM-im}tmJ4a%#AUugi@HIsvW=y6{^MrDw2hZ=DdSp7vlgvZcUNC z+l2EZETt}G3*i7qOu(sv_FviyfkU-iB%oBN`)J5p@ma#dLOQ~E>%?AKMytbd;J1Vf z6{HeIo72vTFakq>4uot!NK-*7Vze4D-j~?@aHg5L0@%T%WwlE86n{5@O}5aR9jr7r zHgqWAeQQedleJ`B_~Kf&@o}J8$Tb2}5~@|8HMnpBBb9ku_+R`^L#29W3q3e4$ADh} z1cIW1^C95Zp?1=!Y2NpQrNVS0Fbp`1pvd6@3FMpkD&q}Ab>W}z7)4H42p;38g8Ak~ z3<^b4I}ZGZv5j4_cEdBVaDN0b=OS6GRmLV0c%X;5j&P%}4iTVA*-h(h^*#oy6=Doq^stG9{0#F2C~1 z#_3N}yV9Xuzdp&0wTm)P8*j)kh)i*=?nnP_4XFYzQkk*jj8zQ+XC@Pl<}qMMsB8%8 z04azGeH?~>Ru&*RO*YXUY?&lOmmRM>m*dS?Gp@z4X&1nA46QO^?UM>3ZfBCx96xAH ziwi-MfY#+KwKpFF(uI|VU{C^61*ss=24iU^q;*a9Pc+pfksXtAn%%ybsbI`fAXnD28T*&u7sFp_1^Nqed|CuKwl z%Mf_EmXidJG$I^wC{K=9KG0>th1|qZW4pKj!9pV=Fs89&^i+MNIXmMx@Owh33L0iMPA_}P2y52@t95zQ zcA9rv?@qhfnm_p&jE*;PuLP7w>nWWUVHy0$yUKH89HoR$aFPUJnec%HM;r%^H#pRv zm!$M>g2_Ct;6*VkQGyHrjXCO0Mz4YWgbG8MwS^<$P>&|81s}vtlCz5)X4JA7>qf{=CEpdo68dO@9k8Hcu8H2l(PFzAwrs>k5s<2oVRr~I zzpa}1Ic#SbahWtkV>7;i7p0D>&>#$gs`b!LIP7N2Rqsd;R2}R!0`mp~PU8$Xcf(Mv zUCrK&PlLZP=|iv{*~0#O}_CM(qYe{A$*RQKsfKDIkYLeBm@Khoyt*LIm}jp z+Bg+6qd~P%3*O|i!Mo`Hqh2u45g1YO>!ASfB zvn|sFSPh|-L`bm&1s`c>6YKdbG}ixjR+K%AAn-VrgZkJt1^1}`;VgdqmWMc8Bd%*l zw_2;%0dbOI?ngcH;G48f?4W%z09KPUR~l6v*4AeuoytpX^?y*5`K6pndF1q5@*_B) zfGZr6O)}Js$qaROvw0|t+3I%U4tD)>H_L!CUn9yS?;Qs7VB-SaPoHEMmxPVfXg|N>B zmw|a4;?6}Aswx&o@J?sAE%-Te(=OT(>wqN6?P%Oq(ACEye-rho2pLbA@aWf&P0^_% z5)WI*q$oa}EI4w}spvM!M2OKtt#ft~%3DVLBlQRQmX3ML4S3cp3=IGC0GI@DwwrMeNHv|g<*>o_A;R%6{X!MSTajP zZJPhTC003@QLdRA%_p$!d2tiu8z>!)KYO{vX94TK4!?&+hMn1J6lV(OgvmyreFCIH zHNbfgSZk;bGz@|DFIV0cUgR_!1HKA%<(QUsUYLe9j1@7pAJ7**m0wypiA)f*rr`e+ z{3HI$HZCB#!nIMX$?}0tLai4E9#J%3h|bby=!)B{ZnuH{i}c^ei!E zdzF-Za6_6;9d?UVmnp=**Q5!G?zPAL559$ErnBnAK4HM-0eWv_!dR>HoeY9g_5)i6 z&HSE_$I(9e-*pj$Y-7unhn|cuV8M+TTgqEX1UO66;ej&7ndSqGL!Ih?MefE@4%A=?Ii zRDtAhf3PVKdOdmbe15CRQGh8-mqP}v$WNh_%#jJYOw|62eAmwIsX~Xwx(2_@Bgx!y zwX@0!P@HzK7OwEJ-eR*-z&;w2=6V!JN_e9JX$0(~+0fLC?WQ30Yg5eH<)cruk$w7_n)Ju}(Hf0uzPt z!rvboLUXa74eoB@NZ4bBjwmo+#e5B1^ODkRToMpKd@A2T(Tf3V3Kc&V>cA>ABU!Rl zDQadj>xn9Fug3kncnJDd@d(Ec^A|QZu_Nt)PQ})nuZoq5#f=xW0a0M_%1SmSAXq9ce;|c0OkqT;y>@b0u zMn!VE+F4n`O`KZ87BlQ|0yEtsQ>Y}|iy%7nKjow_KEwOAo)>TQ?rMfI{&h1>toyKCZi$Ie2L4tNs313T zirMK#t7?`Ie-ow0@oq!j6J8Tajlc^)tBPPPas$VSvtrny-GU)3-CDXMe9DeL4wwqZ zj2yK~bU6*}m^(rTj?J+I7*#3)HQ0Htu$_#s|4-WF2uELz8DCVrqIoM`)sK~7?W{0i z5sCMAT#G?Aj=v@LT82e7o9SG!rGBE-MTQ7j(aa7{Ab-`r1+%q}0Wm^rgtHup6?Q3~ zn!IvgL)0og9_{)r+oTgwQ$7i6oT70ov_;$qq- z@#?5Okt@y_^IAfAqbM(0?{NUOWjlGxU)MMAy{THc*~re7hiyzj&j^mm(e~)%=!~y1 z*Ws|*mvV$|!e0?Gqn1Ddq`)%n-itTD)zW*&EO35`UjrciTRKA86zwa9gow2*|aoTGMpN!>HvTn5#mTBO?D( z*jMtS65Su*na<*;v&f?frhpWIa|FMtpb*X`G(Ii(Xo3^)Rt2+$xRJA*wbWqPf&jBr zQ0lS^gw^T=P#8B+kqhHSo*DnG`fCa7=I#uxRu!ePvYC3=(y{LbMO|ohQ6?p`J1@4m zDAG+I-@~s3B`1pD+#%1zjQSSA@<~Z{#{^ncP*GoK87>KM6~1*TZt6Q+h@U4DP@7?6 z`me9csZ_@PvXgEkG)qPP$gVVQL9>_jT^uF?gp>!i?>TT)is-pogBPAB$yUqojd=Ph zKVy+x?tSs#HT^2dOBh&0KSwio@yQd}Mr`63v3bfQ)}96c)>iUMQn;9o0IoK$ z-UzR*+CNxOZREuQ6$rdeO90!YX`y8uYL}4l700?o)N4ddR0x+5&ic5s1oMo1wQ?q!(Nu?Pyr@krzp*J3ID+>2@g-MO+tQsMOToYdf}%z7 zCP1nzOBz0%x2mi*gUD2A^z>8$UagDI5R?efu+(dST_oGtJqYN$jov~z7`f7~R@q=E z6vz>IGqqc>DR2qq@eOj8@ZUNET!5fy-oS6-h&i5c!8NZI_LS^)f4fz^HOGGr%PqDn zG4GVH1Fmb^ZdHU1#jke-#u*yN!59=*spu29yeojar<*JF69j^|A!G z`a*)W6YSFb**UPR_OJm~9@wrjIps^L+hWpwkCmb#{=8rv4*huFU^e_D)4Xxu%kk`l zl4VDS_T{gsVGg3?cBz6{7pUt?HHnM}mbnQXbKL$!e0nU}UEE5pq;`%-tn z|KiXIgViqy7;auYiBzFlN7_xZx|Xe0`zOeV zSA)M(vj!KtdaW|YTM=$I!-slswYxCbR&PZNH!G~(3Y+3aJrVNC%H7twVhJqQrpe|yxP!#gyz<%JrTKjZr3xucMnjI=O|Gp zduqEIw<6Wc$cYvV@wVH$Bf|Xn4w)}_*l$vX`?u)k15V!q21y?{rQY`0^_J+UpEj2d z&7bHn>o`N)haQvICe@$VbiUa&%KE0QtZ-+?VDc5Wq=4Z`lj_(Nr|oW?5fZ0sh^|<_ z$K|~CaDUf}!B({-Dn_dk+Gsnja{@_*bhGY0r=WA|>k1q)q2PDs)Wx9r(erPM7OLOp z#Zu~P7wZ>L&x;^B_x0Oc?!wYRS$N`K&UrdB=VOC%t08}J?T)wakI*#s<17Z6x`exG z|GHP{l)ptKH|lM1J-$xxl5D#?=4?HCs_4oN_eAT1=-%`r8>W)4@q6mUG%5P$nSfTJ9Kel=%S|>)nEC0Upqn~^GJbi4? zQ_{4bPy2#x@raPG4vx1I{}O2$S3Bwxp?>EM&yuo}bnS+ey^P^m8M7_zbZ1UralfZ) zp(W#N`=PiBocmRSt|p02`eziifBar7_bz$aE;q4Cq=jDjX3}R`L|$^8G1j%riKEOZ zhhU38j`v7j1GU=#4`m&rYpnc7_n4oW9QcgiQD;|_@I<6 zqR{C%I#U|zmT^$J{C0M(L%;|R@rPzANyEoaclOqjC^KuXGQ97T)EbZ9?*^l*_+Q@V z_`>&Y|9bzr=2blA&kf%jKI*n&Ux>t#)a81OzW6uSqE0>ZVp%^b96Ak>PuLh%Q}0xC zgQu#fhXYsy>Z7+`Zp*yNc8g4ENHG%`z7egBq`l(u*RDf#^O+|rQA1X78KOKg<0@*o$DV=>-*yoKTQxVB( zBL8fY`sFY;n}@;9mETunmOOOSb<@{quY1Xb{VdDdO`UJ?+zZUh3` zO;N=c#X+Gc&4aoPz^Tf<&ZR*A+>QgJ(#~~m{(jifyroxO1uf*CT8-)Yc)M`vhN|4m z7Z#b9XHHFb6_NR_Q~cf{$($j7sxX$4WPzeL%`)js)0*ComL!o__d85|k(8N$F05iq zGOyBX2!-kaUy6p5E9b7ChNI)kxe_|Vv#%a~aUY9W%e&C<*0jIwt*QAs>D#x<{n&8w zLH`X4K5meDV4Z1Rh(d@+%RA*&nW&f%`ZlG98KxmIDooLPKmGUTByH}9^a^w_cY(e8w#r(8)zDa)wu7MwRv#^O52EbO}7qNy9dqnHAz-{n824Cg;l4Ep89&DjLPCJQyw>{9vn^n zr8@KJr4UoH@h#x`u7bW#Q4jO%?EAE}?>N$X% z!wwbFQv)<{9BkI%nXb9Z&Yvar@izA&)kU zT18}Lk-d$6LH?U-;;OjH7|Wt}Eux`oLrP-@&4a&!{1Z#Y{)Bf$aA-T4QiH6~QHQ=i z8BVmOG;GgZcDCTwA7T#FkDtF5;mZpc`?838XW}OvJZGr)lr=%>FeDj#>luBewOe4~ zzct=7?WyO-4umCXHr$`*$49Fk+{UysHi=acUG0Kr{1R|C5k1_Gbics#J~nMyr7Jjb zfyu;9%h8!(T0y{*F*3!M;w1L=tNK;?V?T7* z+(^(!K;3`4?6_ij)onUH*gvI2wk3zRFDJl*k2#b~S~z6vfo~XH-aS=`6F0dH9$zq} zJgz4>USysf8~OIG+JrGY#0Y)wGtvrpzKqqc4mMLeL@Fg2xtaJoWj=l3coC^JxrDfU z5NF1}TzbfTIoJffyL!R=_kfv6qI;4_VunTSlRGb%B)3AXhC`4qXz{S%XG3+YEUQ6v zjI8!1tI|L8R6PUL8ym4VJ8#!ERN{LdY@&6T6xAy>I6PQ>hkLe2Gu^NwFgSM@_%qjT zP_pR+zX?zloivk)UdH;LOLL3I=@xY6choG?QQBqmQ^wO3bmXxMWY%Jk^Qc68f-fFp z^kE$E_90o?$(BeS_PsSTVP112oVSz~((-rKOV47w?g?&3Ne#1@sOI@prWMyD5*v6i z&j%FXtyhi}ET4DMo+Vy^)|TPUN6ctx6E9~kybbTeUkLrHl>X+bE98;9V!d0hG7l{{ zsTSpEQi#4Tein94FxY#r;kY&0HB0%)aeH*g)OXB_um#G%a`N|x8=Wco&b-MzEWL`ZNDXlV*NNzwR`pr;N3Ch%G?TDbcIO6%~0d}WM89cYA5azwNC_G)qO;ms2Tz5wfQ5_;ryI={%{V2XZ7B3YC-{PI$LOx4@}PE9acrP@O` z>+5D$qP-gz%fI(TR@J|sz`w@ZYDV6y%wPmIEe70B-^~Cqfk}*E#b?x8Otiykvv$j3v$ z^7pDf=l&3Fdp5uEKnF&%@nc@F{=LgH>txAvNoimxl;8Hn7P?qKpXb&f=;rc+c2vDM zipabDvkaM@bzQdhfVk4T^@0O_*XfkW7d2<-4N*s9Vcln){VLnV0o@hKJ)y>Xae0O? z)Yk+MEAYB5x5AE;)qH#t_0m+Tr+i3u+;(E_Mj6RbJnAKmG4Jbo6LpjqS(Pcm$LfV_ zo#~tLbk%inj~0i-+FIV8@D9))_x*)yoqbxN=%2|E+doDRWB0DPB71y!(bw+4#s}gj4KkcZd4TiB&7U%DjO!VUF8Oy^hQmWH>a6l-KJ=CQ@d3xD8mnmMCDzPYs}U=HmR#Gk zXqJ(3vP2?iJ(TUJVf#U1OgohArzGu>m;~y$bhhrZr|O+1Yl@pUxWam3+K!W6tm&Q~ z^o~$7mp>Kh-DvA2h}O6s^)^xEc~xIiLH(QVqGAc^W&uO#6PV)5sX43tka{bYi^}x^ zd237k5>@Z-E^N914cp^QLj29mTTj`dP$6}9M1lNb=-(r>yLKofe2Pb-#K#)3 zOeR666Jg^a>&E`U>c*ezx>=0jX3qf1JibrF_$WiNr_esgs@6;vJj3cN&iitI@BV{a zci+W#ihp4DbiL#b3I5z1IrUDVY}szpGK*3A zh=oNB<3VW;w5?%HVkg|P>d55eNMo%w?+TKK>V9|jpQU+xG|pjb=<6+z)^&=r@<8m* zwXz&KGq`T2XV;{5G-XbkZ~r8n3cr5e`q@2{EfByfG(9P071Z)y>ENbqzTXaldmfmc z$(SyTDsRj*C$0O5N*edwmOYg1LX3HZ_gN2o89H)iplNa61N6jDyGlW4@vd*?F%)O> z!A8%u)HG2r?aSZ+DtVUbziFa#3!(?(r+tGVYb=(*VP-=A(or zIb|YH@pFUKZ12rRmnPeR{Q^sEm%c_;z<$COx~+o zkPfH>l#m?rPUPl&AwsY1@O$B;|MVk~530fmMD+o>U9vBSM2`K&h@$ko;8)s8y6n-h zWZcEr#Z*x&`2zRROx0tNZ@(i0q=?oLD@?pB`IBEdcb8ht_A%dwPU-8zb};365A{Y$ z$v-_YF?%5L?OMOYpDn4NlU;z9<$lm-7waOTXI=y zvR*S0Ep>YIHk-3~!98j|!r^bh@;2Jb-twiG=)S>5@<|FWsdxjozWAN9DXViW*WTSN zj-C}3!lfUL_lwSpuUGx>Ev2-JYaQDaCUsb6P49RU6>R@QkH_kX+WQj+F8w9KWK?A1 zZdk=rN*??jduFS3rzA<~#^q2Ws19Cu@<8kvg~f-WhPKb{l=Nag*1tS-Q%9h=J(^iT z$`sd#idF-Zt;nk^`LRM*q&FcU_fIE4j*8Uv>7K(EX+G@{lTGHv@og(e2^KOsI*WGVF^?eDG3|IZ`n4hQS zk1_Jf5zf99If3tsAnV_lm)v~NJev>3h0FJ$BnR}=8C^$>;jY!7=o+ng3J?F$%nx_o zm~E+jbBCnrYUf^PY>vSAtW{YU8x?UWci>6f^qB3CQ}|^{mgmyhk}>~8vu_2TiJvpR zPWC`MPj@+JU6l?FbnY$A_0#6%bXJUS80&e#aIkW>GCc0lfKHiscInmO0K7JuH_OiQ zw4oWq$~r!$|JuN&niN6nPsbk8Su7BV?fsa?Oh%^YOn&6UiZkF+qsW`XZ~8;MxleLs ze#reett65{@`M>HXttQlKga#7GLj2tj8n0Z6{7P*Yj>w9Y?RwGR-H1V?pnyj9+tlQ zr-4~mpqA4$yF#aQ-QI^3&73%V@mfJ{8F$yv^PQPOjU<`+k zlE9ZA{WVQ=@5JjX6KkJNVRf13f{pE2omNAm&GcX^OOn0-OADU43#ZP;`Zp&-+#Ey^ ze#w@r>mF8K!_f3gbY~ZQhQ`$$hVz1TPIo2C_brx}W`)@s3z}{5he$)JZ>k;TUj^4O z)_!>PW3iGUxxT*ZVMA+2!|X3qe%E#SVdx6?NpXw`@v$}Od~{do!F`xJ8aKM7r@UMJ zXR?`{bvc2`&9?Bl4AU5#{>a_!DavU3yyTWP`yE_7kQEl( zhKrGn!8^G@iN&l9Wl^*13v}v-7-t{O*i3AS!6_CjXMOqoP`Ie>E#KnU5i1RMhvno% z81-XOOV^`D{C7{2&9WzVUAmwLW6{n*M4oOj!Gw-{1eI5lfY^>CM{;CxU5n}Xi!doC z9`LQm6)we6N~{K+(?76&fE3_Wg+16n6rDHl7YNu88=IGLc}4-G!9e&ew*HgETH`hS zt}XgX1LH0w#+d^>UVa-DX}(x-GRkVywlOc|apctAZi@=)6PLE(rUk6LQQ_;J`OxId zOWbGllNPIpZxipR@Ay=EGL%95i#2tPD!<|S`nrz}AK`^Rhks&P9pk=04cB`cb8YI= z0IB)dT;sXpQ~GTdA+Y*ai^{nU13fTgBp3#IdS#G{9rcj-S(0xXo@kF(KOK90JMYb3 zBJuhI-9>o-(H70fdS2GEfY#!nZj$-GTgkAzh7RMxeOc&!Nt64~Zb}DnmEm=iT_>55 zl&tjE>2`E)r%~I4Dp7|OLKZ*U7E^uk%j1RkjLK^&4k|U7>yq zc8K11+JXL|^pf_!ZGCRYeU2AL9yrZqn**N3)YGRE0b>VfXF+FM1OR&|rPJI%XV9|< zzXQG^4zwwT^iHR+41jWb=r|00eSqvh)r7Ax00)a9+x;o#N{g>W4wGSe=Po%8N-(s~ zm~W}?C&A+f#JUcFS;bU^GX9%A;eU!uXg{l3m0-SLE+Kyw-kRfO#ZxGjuXr=YkvMu3 zg@S~4l_#k`uqhedtlFk6)OR8VVb)^;3tG^L>!l?v`vB8o*19sm>&MTW(b2L?2gHc5 z3Svw#SvoLd^o33R2m3XDc!@}CM=>PJ;5pW4k`AQEsOtWM{i!$Q zc6E*e_C&hPXxio#EqK%J?A?+J0$#vQ?USTEP>^f0Ev`Wni+*FB7~FO(k}_xV+M`gRxl6L# zaysSIrV%ln$-5$WXtQ>hB_W<68sCp!_rsotJeD#u`k_4VaxneH@)f72341BK9n6>B z98`n42`qW^$}uScZ!X&j8Vf}*RC8EcsoQ?oc$XLgo94S{2GO`PKAXTTH73Q7ez;uX zi=Z4^>b@W03m4j&Z1K+Fj)^YX0JEVcY`YVuHY)X&78?URm2i#~nx#X5O^ zRfIx))E4fs+Ix%u&DKtPsxHnO3=8Zpg4W2|fu*)iJLnu}GT+?(#2!)yGlYVhZjrL| zno6N^>l0h_)Y$;7>63J-9O)zjR!ro#Shvpo5xrlh>@)5{@mXqFv^N(dKZ_=ZJ}{m3qPf{bm^WXzDu&$RbEkPahtC9tj-+7rGe(J835n9Sgl zjWD)9Y+-aR%YcZW%fVk#ONetPmqV~_UJN6j?-}?g8k7H)KVRYoFgX18{Wk$}6aU)g z(}D<6JL;={Jdc)?%S1w#7Q(4I<#W3QK_eF5wlQsE<#FC4ay(4CAQPn$_f{lMYSP99 zj|9`1D@Dmd<@W6BvI`^PZ?S19bKs?*m5;h|4&xFeE$JZ>v3OH-k+z&`vl+H8F6|^E zvV8a(k?|SX+Hd`-=c93#dRX#QrF%b4uN-Qp=Ku7AJBnJCKKMFcxeJ>TAF_P{B#;-$ z%srvzn$4mqsU#+Hzs#et9@d0#r4*|&_B!Y9VCgdbVFZ3N-{XPJ-Dr)-*`r+li=fvX zZj$|npa(J4CQ$8%#4XmhY~}s+QqW7kP98F*C&2@Zm=_1ET=B73xQFUEr3=Ocvh2_- zWP$`yO2DCOD#jEt|(LLPKPsb~f zDs1G2?%SD3p4{{O^0>J-RznLC%ZiN$0l(VRoggr36d@5uz`fnwe!@lG^N1@0ll%`o{>cQMRDqb(u=S_440Y)-Du99%P2lQQ3%}hlZc;OQB5SWG0{`Zu*XW@ z&Udm{l9~9jhf8~9OLV5~c_g$4{F9E(Myca>$dq_U0$LMN0VnAm9FHzEfl8Mo3O#73 z_0*RlO+tSwv=O?B?PV4|mi&6Ig%Wji37iYo659D;J;_<9+)| z)K8tu$OUVfjsvuNWXr-MwNs50saXKVK*>7PZZ0#>H#Wk1`qT26!q@Un-`kx@M`lS4nMYk1x~wW zV?q{P$&C9hEk_)P~Zr{vaXNqOw-h0-cJGGkyfc9XPZZSxo`Ns)iQ8BYJo z2J02ZZ==-VQm=S95f3WW@QcZDi%zo5K6svar+FG{LtL>)vklUKOh?|s`DQY6(mNv- zmXO-iwUE(B3f)}IW$zu63&aEcX5J0GA1ckt1~KR{ zvQ@FLKHM~s8<=AY8T6nZwp@j47J_3EvE6r{kr#Ai7Fw06_(PS~@PQee14{}!yfrQa z^s4DCCbKdwWT8~ya)=cvugzQ@cI7%uFAh1)34RtAdu5*bC-M&gyl2u~c>*Pb*(F{7 zmx%+QE6k8%touw2XfKbb;c&kN{#9<2EUZTBn^QV;PZW#%OE0mDgZ_s7n(;aQMlct} z!)lnUG~Ds|_BM(#(38B@J9pS>bir}_ff?P9WHj|%GB|9O9boik>`?%^L7DmLS=g@Rx}5hJD^wI zb!O@Y@odp6cK=#x7($y41-#Or$5Cc}Nxa~Goigy`dfyY+S6+HtKQ$LUl(p!3W_OE)jiS z2YYzlyjAD=8$&TTU644R+)H)`?dYCAl!NpGR(GHeyjfj`H%`nT_M> zizJbVcE}8+;xHj`2-L|Zr@a?o-y07%f(PIlpSZ+_8|^)!3_n}jU{B8$r1+5RF<)1Uj~C2#+Vsq=KkJ&-oMB14-dz^oa;K* zxz4$s*K!|{Gl=o_epcY_6$P@!N6tyy{dRdR)Y*TW9RUr?_I3v4)+~wr&$3uvs1S>X zOCJFfo1PqxR2oBzWp-_3@SjkP)4AJCDo zb*(SX?uO5Df}NM8Z@1sk1a5*+;(brcK?G#aW#79eK&CL%?TQ~)G6?k~VAlCZjt>UN z{ukmlepv)V?*c>IjbJp*qYWjI>%(nW{!mG`<{v_Xp0%%u*5jWUbp@A=#{7aCmT&JA zoL`CnU5bH5vtEtI4)ed#V5dsg4Ogyl*;YZ7F{Lq`Pe1UQp&&#TvGw&EM4QFT2lDjx zTA`3fjQ3RipeAB?h%fKx;b)6r80pSfRg?^Nn;uNF%-KInuKL8|?Bz5QP$FGMV4A37^LJ5BqBzi{nk|I^Cjfo&WITq)Il;L>Kpg|={l_sO zP~U=Ao^ZGzMAaQcqh0|mbVftrHeGr>P7AdgWR$qBaTTWmHrm(Yv{9;HLzza>#GM8k zdh147xU0ro_Ct;jxM_dkH6KB`lSwa&VW8a{W!F4dCMry22J-YTG03SjTP++P_^cg^ zUki6~-WG)wLPgWbcaaS8{5qcSm8|IiUnXYGdfqTHQ)|F(B0oE{k$eb?+-?m4I8N}= z0bmy=ea`NbB9ZIXol`w0F7{{pW13^CuRZemh=_kzK~RBC#9CFiWag-7lZm7mZ<>HQ z`~~VN>B|1gE`Lx|Jd-wWKt{Ft76X&dfh;=s4Twv@n;FO|C-FteODfyv1-*2N!r<^& zT~~p9h!o9i8Z3y%2F=Y4j_n}({1S;?5*bRcl{|0OyG*dXpPFD-Q{d(PKa|n<8)+@} z#@+W{?hV`@TEN^J_YtTdz`>wP{eyaP>gE6%bVDi2A2sD{xu}CrU(vgcm~(O9xh`}} zr!9cySO3Z0c1qa>BrEyA}4_p-}rgMd$@njaRc zU(AESR)oovh_Y<}?p&ye+JoH&{;MSAz=hyk;P|jO7*Vxm06T5xz^JAz_}Z)7c|?R$ z5f23$mLXHLtN>t7Su9-{qz^x#-pVFUl>(FdJ(oEW>w|#Ui+Fz|1i=6%Tiyk&8RxRb>bXG2qeLirO!R%r;!GWaguUi6Oo?`?Qb=79W2DnAC0w^8q zn!fcN*0$s47p%B-5#RaW5$S8JRcCnP#v-<-h1Gs!UH>SK9~pBK5%Ef87wSnHBo6!> zqbq9i6x_>qKTm$kwX1*%lqyQyj>tGO$x7@uyP-C^cXyrweucuVQpjD(IS*-4wAKp zR@%yU6^_gPwFjkCR!DDZ-!$I30KyLb`SU(kBb`8xA^gMp&A%7Us4@;cdj)zd3->{< zrKfzYcwrL?R$_pAP-@?|&RUpBeas`Zib>S(;1tuDdp^F5Uopa)D?0(hk`+=OZJR6M z5yL+T=so={DaDmdIg>(P!e!;!y#si?mvj|4>MP!43Ufv3H0J0EAK53y{i%ddzi*PU znb}=ryjSkJnz)YT-iG3XQ*#^7apKk)V`Pz8lnM67Z|Wr-!x<}tZk#Je%SK6- ztHp}|JyF)xoQ|bRPq4mfy-G0@(4Ew)Xzm7S+Uz7yl|Z6h*t{Y!^OcILA@n9Y@rsi z;zy<$M%1-Z1?c2kIBB{LZx@2d$bKv~#WY~)(e$T8j9Ih8_izpN=@cv1S$Nn+udG8U zRPnldwxUH z3Mjf>S~ZF>==rI z&kc`NNcFTno?*VoUEzpUYRxfTnFR7~&k)c0oG-)DnR1|)D(PHeo3{DcEphV9Wg&R# zI)%nK+346tQtb>A*43E~?!vsBJdQfgK3=G{^5}@hRIu|nE3RzOZn|lGQkocI_AXBp z3$e-8nGK6K&uaFr93epV+FzT9tenl6+!u5u)V38Gz;hWcoYNI5UE-)d2s_uLup&)X zei?Cl;`F^hGUT9kN;9D{lrXucE~&Y-vV;H#2ki~bb%uaxfN+HAgwXK6%!^bEh#%N= zKYs9F3_Did%y0pl(Q- zk5)>^Yx#R~TXsf=GIPo#;`rI|l&h0TF)4YQPiZ1Do!<{Wn;)P)T8o)HRoBDb9*3SSAip(pRCyj1t92rLz^0A;;nch?f$azaHpuVK zL~(&E&5Gx$pq2PvF&W_jx176-1d9Srkt-t=WxhNs+vlLW$c#8(E+Xsf~HB#Qf}w?#pNCX8G?l=+qS<+s+XLk^FLT3eg|<~;gV{lqyUO<&?!=9 z93Z)2I7ViT3h8Ks%3Kb z9Z@$NnB;GSe3~uUyVJ)88$0YcFuFHGnJ7P4d=YzY46`=wcgI5EC>BZ8^O%kTgw|_U zJEMHoyL*ZW>KQ|ShG2g4n+{{|YE-=mzOfs_K{c_Cy{oo?Uz*=nGRKkDZY~ATsKFrU zU^WyYzY|W7%Yr60>Z4xLy>Qg2ZTfldhz?^h{v2p$>aj~@JM#g5!<3--0Cr-GiNCMa z!F0g!UIe+cy!h0c%Y$tBxQ%18F630>dX2%6v46$TxyviZ$P_2+^4iVeYyznTQCn|U zX_-5jaoWQtrp+lBi_ErNT@@T&BEXct*0(>vXo2XJ@RMArnu`*`LfteEY`vkwTEVPz z8qiJnTB^Dp_;hF5vzlHwIo?mc2$SJ-vbJ*y?t|L8z2yIo&R83c+ZV(r&1^*;8S zkIj*GLC>&~=;c48!u5}5UKM2fH_LkpKGa#5NpyV#GJA3e|HF1?fPAdn8LUOyDkfgI z-KwRH(*e1DA}le-!K^d>t{8y97PXBRqcA=oU5#1;vI$9~z#)JvU}tKrX45=nO38J7Tilz3p9;>HpC zkib+LmL_20F|(4pC^H=9I{pshx$ZKjzq0wBN$7m1P+L(|s+v=J?YhLgBjdfarWsnmD3 z1zb+xH+@oD77$?JfAV9au|Mw$tbG{d;;OH~q$+%381PN<-0cWt`hEQLWf z2a_xs(-ckgLC`2zhDUmJ-jB7oN7N1Y3;n~Z#oc_b-Ps+YGu zf2}sP<^dJdW=ctr3SSV&W>b|*0eq~5jEF0YcvAOOF&;#~wR%sDDD0!P^|2ilOIxL6 zvw?||?F-+yrmvQATK+4Y27!l%k6YV<7JS`sp}7Y&n1f+?_!PD;XrrzeudW8(6D8M2 zB^&4Vpuj_yn1=604MjnjCX@6jqKm9~Gism0E< zrEw4995@QJ!HO^65Bl?*5xTIU(|3_v@3K5d_TdB0JA>3tj~4GX_)Q`IZbBOHYzTjc z^wYvtswLCH{#QVyLgIwPkC}@?tB5rDE=~PcK@)FMo7;ZCS7soKGmy4A5AvI;KWw8| zOb}GiW{55N+=DFFW}}UQeR#8>RQ8pQn)@&SO^k`4AO;IZ$vc%P1V9wNT5}xC%2oNu z?)M;E;dZ$Mrl2>9B8H4Wug9;JDEn^)>dKR7)Q>tdljoK#(VmB()+sl<&StCz?=~iT zik2lQpj_WA*9fiXlPQQ|DCzqFEjZbTVRv9Fm2M4_@3}t=CD?L{phn-3L0F{NJw}66 zT|J$V9bZCxc&S=-p2Ylg*B{%DG7fTxUbjZyIs$&5UcUYJ9gWfA>1BU)8YaF>-!J+G zKT|KrXfW44li{ly^I`k%#f2UW`H&TQ=gr++bh3q1P;SjWIO^)3kiZYiHM>9*Qi`-f zF$YYjpajitO}_dU0cr6bbb}&5+U(;HHMb_-qw0;cHqytnWEx}JoY5dX zO<|L2CY_RpRUCwq{QI5pxyM3$mF6YVn6Fghua2a0K1iEI$ z5yIs0o*E$(2>8xo3E`m}3ouD+n9EEVpr0h$c924Wj4&lI217_GVcp=_&m(lZKGAs> z*F~Q3T3K4rVpFJDjw={bAhR(!^k8#?6ixE9axl_KKKIjSr|-&C&{`Gx(j7RiU=Sco z#!`94_8Cutb&}tH28^B-gAI5aW{%Ufu69tp3%DXs<{uc|FKQ`}z0ZiXSR&o`g8(bKUVy}x` zMQLL@2jH|sU!Gr2{!S|UU-;vCnm$vme%dyWXN8Dw4WA%2R8^m~TZ!7SNT|Y}f=AZoSOYn)q?8HkH0bmhCgpjVj<1t(v9i5*{ z(OibpCjGIa{AgX#7_S}1uu#$N2s{KEU*9dC>v28TQOGA!x{S=1fx*YZHB2p|NleR<_Cj`u+ zj^DO1l^Ry4;E1(8SuU2W>?Dw=$7(1!w<}`_B%je5%78>=O)emgee>rKn2XV$PE8LJ z3`(HG{`(W)x7_L!gcL?FV)}WIaPn>?!@Dh9Bh&x>OS$Q4w^w$*LB=T=13tr)S-B5N zc83Iv_->i+ZN){2Cgu1OGz|~ky?I@hoa2jSYK#v?H2MS&{$14$Z@1AU{$180>lT`} zh!z%7-?~`1tu~s31bPuY+EN|DZ4Wu=l?Q?+Zp6^8;cVNKm38V!X*7qr(k1Cvm zXFLs$L|KJwN7&#^)mYL0E~P!yk0b*-i_8(3oyb-?5V5&KPvQQW3eCtv0wpdujgY*= zPm_Sc2!RhserZ+Z76eA)bLq*fT zYj?K5bI$Zbwpvl($waOGKt{wfB|P#G?Jv$1!ijNdlHf?S)rcVVs%M3GSEon#0i}^V zJ|g+yN+c|4#rA(KvO!&aE4_L5&J-er`)4Y2;&}v30KauPgil~+s$NEWbV}|}UIfh- zk9 zYmAI`_f$h-2lU%J7k&vHX7VsUBx%L@|N8hA<-#wnLq4$8x+9}KFx7w}Kv|ObgO@_q z&^00x(j(J+MCQ#J5hcYDqHx~ierHItQMI&iUQ0qm(xz&p8%8gN^CS{y#rquruZ|Ex zMzGx=%?8!*lR8xXF*L>S-^h?j5wzoYq$`axv1?~)J7v|K=81>xOv$E5y3t|;h|q5p z{{*!g@?cg{>0T#Sn7-jTYvMx6>c!kth;r7K+n$>9;LH{RA1j9&Hfq}BKj*>sH|4LZ zs{G(ssW?7np#&0Fi~nhtCa7JW;nQZS3@2G}hL`jRSWI$1Z>@ZSWuN4@oP80<3r@-Pp`k?c0(o62UQcOs0n9_n zUT(v$sc|jXooZp>iE?_EQBW1A9tsqWcZ!!YO^4c}1$g3>)L7$DZn=|s)eIq?21p2^ zFfz}F%LCbvGpb8yg`WeNG)-{?Ps2D4_FkA$?n+DCPt*bg@fGT<;(xE&R;vl|{&Aa_ zvT{EX$OK>AF|2EBC0+nuJ)Gj`Ln8?iwQx9>(vuvIe-zG*#Pd;y*n4DmDq5QYd57@c z>a3P|bRhDpi-I`k@&-{0cE8$Q7^w&LDU$qjUnI@|9QPjCrtvAUe?z>4&roH>{38vo z))q$I{zp3LsdyyH1bmDu(=;}v(J%8b7aVwj5buMG&-r{si`%` zl;BitB(9ynAJ<^%{v)l7m%73tgMGqms&5JNO8<%c%t$*QU=Q|=QXFaHBl^fx5vb=z zq(Mzis3Jg=M{e+;8o|Nl%cN@AGY=jEIomsJc>nn5lKFI~)NGe5=gGg{!Lm9y=JQo0 zSsmh&)2I(okTY3UgU7Nx-8i@7 z940$h>-o0FZ?N&^cII0kPj1vFsETuB-8qvfD{7Ij zdm%F@t7>I&aG(VqiswfpKq0ACP3p>wZ1NGQp_P-0XR`+E!r3hcwwmOkgZ`S9oxhlVy*!JMjY&o@RVDEB`M3nO;kQ$?x+{U0%7CM1QNqMP8)sfDnTOVn~*(Bho6BW>id6qBbkPQqb? zsRBrz!vPOn#470EYbjRK)Bejx@+Qf~qY7UBL24SL-aZ4r~BLhBks^QkFSOAHiqCdi8U1*$F2P+DbK!N)Jrjazr9re`K zb`gZB?1%!N2+X2(BJ=6-$Vr4`Jolgrs;X;}rLyeKKhh zf+Fbtbeb4w4zp!BnitTjX|(JD1XhW&AGE0))G37N*^!z*nwkKFAC11F{%5NGu#+_t zQS^c5O8X1RC{c^J)h$a1h`6$<4|ro|9Tqku21 z^s#5O5oyk(5OOBXY+`SpC+#m)^eb6XoI5zxFxk5k?Y`-Tj3kF!S+9hevT!GK0KIba z`|!ia-H~LChu@U1+-7xw-6@(^)yC5V#W{#JI`Y9|ywv3oWa?|Cpt4b2Wvf4Qj@wq2 zqWhGVBNB?EeR7FAsk0+V6?>ezay`-wH_L6Krzm>U!uJz*q6?1!Ts~9|tr`B#eqGs} zCLK-Yw%N5!deVshZYxH?d!`DHI<58vBdgHt9|CIY5l~7#|I9omx_!P`&b39j>{(&cjo?wNDVH@XG z)vH$Gmk_H!;ztkaARq8Ds&8`7z(lPO&tN(Wr!RmiXr(GNTde}MPF+)uD;*uZ>5jK6->ee2nm0;DU_hd0ns;><1r^=Te5YRhaxW<)}NSF4ZOO z@S*0aXt>hFA9#PeG6~y7V#AjS+xh)twW4xC1d++mJ_hrcARg~Vsh@|wlWKw0f^ zFvqbIt>zjY8N8Y=-0UziZ@SQ3`*oj|6wHidONjs=Wh&lM6&W^}N}KR(q5BD!OxV&L5xHMj5${+EQBwOjPcU@X&Aa6=u37ethn|=rrRO zC>@+LSlj!+QAdeTeM)Jaw4_sDX3&&5x>Lj_KrU+9d%|^8J5lS}kD>HG+@Y^x-dFW6 z4yhzD?N|Tm(WX!oyoh!tH zrQ9W%$y#O%?mVY;iyX_Fo3V2sS=1gp%sV!VlE%M|`5L*7`q<-*g8iH#i{*uwraaY- zMBPwXSR$mvg9}tJu8JLwue;1b8FuP$Kf1V`;AG0?`v9j$Z1{jrE^mt^Wwm>!U>QkO z87M2UlIPVKmgoS46>2mLx>9W z3I%uTH}Bx^%r(QvU`Emydwx3yd(+KVeyh*&O)4PhEamv&Dp`fWyOR(CK;us7INtTi z;@{5b2=6Tk%kBHoK78h<}N6x%qO?F5~BITca;Q~a)_?zUpx$vd?B|{b)>p8I~ z0sL#O6CV4J<8S)N>EeYEK|WZ#%d=3N3mn{6F3=zP;+<4jhBEnHB6rl|T2bf3=@0MX zoi4M8Ru}&2UK}yzBWh;UUA&l8UFJyc=HJkc2BC-d7tiARz59Vz>!>mpZ)0O04)sE_ zJ^zFXt3A4~g=n;OD{>O9GOC^J0!sR2%I^s=+3e0KNb1`yg8WE0xE;8BWxC?A&NTF$ zPOVEdE|3!(@`04VDQoE-Wgl@7oh$G^6?$p$(|l`_+3R91`>c{m@C939&K6#$4rq zwQc9=2Bdv+at`8aMS+J&DKd-Dfy20T@G59NCjotmASdlKEtj7E)5p_AW+ zUP-5^p46En8;?Pc@vI}sJ2_eUmiu8ft@NgtzWl^!)W}rf(Y(+rS+tsyI^^5mcvdVi zVWj%B`;JVTLT|`bCukDxf=;aGpNcTU!S|*t%t?T@)cFe)5BzRxPpr-x5tf2ZyM^I- zUNn6pKA4YzdQ2--9)tsi)o$Q%Q}`*e8h+sl%T6{Doh7qV*ou=8=QN)kao`g{(^TVJ zfJ-4Pm)uapYM&E2h-w1Dt{Nx7$&7Z(4ZU6+DwGHGKJUR8Y<+H}qr}TpiH7RvR{Bnm z{1!qfUrvbEboTl{{kcDAA90S(QsZ^mM2Y4mAL35hKH&+5TPReEoewUVJd4~mE&tS$ z+{$&}|A;Th&nGvm<(-&u>#ELN}^^_s>kl;?u|9mDp!Wo&Uj3m~oN3 zs_#4TXgSwBfz|wc($=ozlhbk)<4?Uzp2?ZxVu?S?|CSpuGiIi0i!lnyZ%qUz ziuv`Q|7Df(yRJdDX<`bN1YSyWDV5-$tS->kvQr#AX*H#1`kHWtb+16IpVQYAD%&(V zrO8yG^5jSEgHBKJZwh-T+q7NR zP{zu|$Qaa6m4eJz&lL5z(~rDB23aT)em`WWs#j*LbBZmgOn?a*sCQ1)A99zklj=vW z3L&+UI~%_yxfF_XB$kg26+{<|wN6=kX{VE$Qqd{f&s_5|A4xV{m*GyZ$e|5en)>k* zc(8SM2>nA=dt@p&S#Jm({dw%iKm61&*W)cTR345~_`!ZLoT4E6Nd);#PP} zTIHPyTTYl7PZ_gLWPY`v2JJ1Ld&v5D@;k%b1Dy`BngxEH1jI;F8fC4MmXU4~hZBv~ z-cS1bk{16m-ZnlX+3=#@^B%&B+WaBk?(j$;08=QXC6cC4_aXs3VV;~C>!>F!d~j+c zvvlT^VEkGW*c>l&C6M_IEJJZO}mDXQZ1K7YeCeR@sO=l-vslZM|6JAFf1 zDAyfyN;9lmsnEtBrOZs4uJ9e1{Z#&@b*Vdd0`Cx7Ij>|R=GI``Y(uUnovZK~ib>ZD zwX=~8K9Q*{q$$wQJ6@}(t(|EvNs@7MyKE|zq8WiA_vub#B;7t|w^K@=FdpmGKdtj7 z>WzLnt0>B|?oq;j4*piduLTR@EbJ`qB>Zr|q#WR7Et9QAe)=ZV6{XkGjrtL~(*BL+ zgeoz3*_k=oErR`L!9Si40|OCo(rEeU3CFtrsI?mnj=Znoeozdt`eX zTvo9ASmBfL_s7D+`?XOQ#FULAyv|2c1V=-B4rN??H3 zj`5EhjZRmWXIPHrX$O}6I3+dKE#0*B>|Jk>*Mu(iiwk{c-&D3tm#T>)!8SJ+GoicuR(@`6F~R^g z_>;A4bpPBw>evG=HfZ+EBO7>Xc1_ckrBD|`?fEh4%!xrW-)A=^#{#TRwg+;L^-??4 zlc2*~gQ|)leuQ}AV>|P^ysG7^*c%hE-K(+Ci}mTq**yb`TI)X*+w(nEC>_B)Urfn! zD~hndee)vwo*utE%xerFr}H*!$uaap_5RD7Tt#w5iZ*kzo~ngfvahS#&h((X2>gDa zL0iwJNac6c23`tpTOW{eweZy+-(sTTjcZG#1O?Zc)H$C#t3|@gdV^O_7%KN%!%Z)g z=C+J^_RbcrowC}p49_S~lysEOnx4a8G8&J^c~e6^WH*=pdbcO=+CMW5Rx>RKEpl5{8~K}! zR?0l#5P{lh{l<|7hElZ#M!h z*^e$DkK%7H21X*D<|8Z=Z3bc{rDY}Z+r?b5Qj4S>{l!}j`4-_JFY~kJ(cxYQ;~xFS z!fxWrHpMS0Vc0q}0tV8FR_=+R>_fI)setrS_opYO;6&32lJC3^s)FE_E6Yi>d2qe{shm@5~-soJ*2)tCuu|RbIytG)?_;G{g&?gnM`YO zSabcT2^;D`YU_cd>yj<5o+VSr-H8Uh9D7Aq*VUZF@bf6;LAt+Zn%iO6oKBDl5Ba9a zz}Et+u1NQV&W~HL-Q6P)eQ*D={o!Lkq7`+n=}S=m0YRkkNeE2CIF$ZXmt5#-OI$BT zkx8|w;Qw}WM0b*xeOH6F?JRfPEp%WJvALe4f#Sclk9Mw9#9KD0pc4vBEL%J?+nyAn zN+nA^=^n=wAYN|4ygzzayf2Et&Q8cXK|NsI-zB@}28<#;i;tm}e2ed&PW7Lb?@3L0 z=~*aqJgM2IAnon3!1qr(y5Re?^+x=JW$Q`sR1aYqMY&HFenl>7}m1<_I zUH&s-pg?jB(On(xv@J6$+q0=Exvp z_-Aou>>dBUT3u#?$Fi#1+25kckL>*(U0NUETFCjfjo6DvYSIy3uH~fGWs&>$-9gte zV?`_-p|;zpvY!?IP zm%{E1rlybAsk=i`N&>OWcT-0XAx!@`jRXye7MN9EzV80AE`jKIJ`gj>?ua?*=ae!U zuCHh=Vdo_N#^Bzwe8%jScemSZO*i?k_H#^&O*{DeqRKn6P0Hl26AamisKU>Q-~70j z+_OEQsh?Kokwusm)M(P?X7&YTLkYsEvpK7mopVIPqwjX<-rT0PZxzj2HcjMzK!ugT z^v4?`qgp2r8*FI=^4CJD*%>{=P_9}S=KL*}YSYb#iQ_HCfAfAIdNeQ9eCz&z>3HJG zJVRiPt*mL?9M<*TUuo|$;m38#rrgzwq8yDmMQX_+*ZAFLH+e0vI}qo~&LGahhDk|h zNs;*-;@g8O$Fk9w2E7b)qm9wXD!L`aA?#xW)#htrq4Wvm{$r;3TuJd-oXuTrqT}3( z6uR@zWNkl|w`CYNQTimgiLMq%Vk25U1?8#Y*>ka}-d|f#h_HEsKQ?O7x@64xxmhk`L zKG!lyx-VL*v}J|1%r0*3AzDw<(=8wjGvV1dvB!@H&dA48?kdB%4Z4sJrPqIYlPPJL z3*ndh$cTkLdZ>m2@z3Llhz+`h3wH8d%y=`f7?U&J3<*)+KUX$_+`I9k4B{tac6MP$ zktlQA^8`tDhEsXgG_OuN<3i2xKxq{Z%r;_v_$$a=Z4I`~uDX4r*;V7=I$MU2D1UFJ z;pobjMJ*#A+W}-wjBMU%Mr{!)fR=&|-?+O5{rJ9_(%fv>+G{ATyuzh_Hb(sA<-}Fp z981OQmF0aNU`r*Kt-W>5M9FK{A=*aV3c8D0$IqBS?*@l^Y~WuHq({W%?(``Fe}kV& z(??qEc_T&>)HTbFSnV}t&UM5>!QaBM*$wMqF*|Z&qx!dWOlZVF63Qm;>uczYyX?#+ z^Re&EhvZIgLJFpKATRM{a}c>exwh$E$@c5+5Jv4SLLo*t_8@h@_yQ#GK@-8V=(dGh zXkZQZlDg2A;_^(PZK%)jmS6Rd^r57Oaj)OI^;CKCoQ^qdFtgd+GZ$`nJR$UcAH3$- z=Jni+8D-^&Iks~?XG~ph|#r4wyg%?bw$nHsZOI)`#zQZw4+D#YuGMUZS2T* z?!^$o`dVz`bkFs4UQZMaPvwPw40sF~)AU-^(mpC%;gSs+l#;2@Ls&P z>?EOO?_QdvoKW`B?%`${?2$8K$69*bzeV*#;FD1T*i`My}!|3m1->8S8MfkD32+}CGSQ6FWD13%G`T3X3os- zu94FW)XQmR;sN**b3o?u*`J@pPAxg* zJ8>WnEoNebSitU?pUl5EyUtIia|iH5)0n{4kQ>k)EM z&$QUWaR5@RP~Wq1%}?X%y5U9n6B#U^;U>Qk2N7;D(;LF<3#d%%5>R$?Gw3{>EPL_e zqd=qPU!z^BbJ``mKI1OpW2xMwCp+E7`ktXTWY_;QLj0GK_GC}~Y32C{ql<{>XV!^_ z?Z$;p6QXNQLJvjuy2%DexXONV!hYd9YY)?V$c!wzIYtlN*;h-o2{jzORC_0M@@1d? z{{3rV3*Gx_jwo(hE=2q4%J)ay)vb$`GcEoz>b`24B`KtonU0lFX6HPPKMg8UeW=Z+ zTO6{Jx0_R~;8B$%R}n2unTeXYlHC1pi}$+m!Sy@7s*u z0$B-(r#6sDsZx2}6%uENq{T%O?0!vmh;$0TsMxr1vHr0^XYU)eZV}Ou2jWN2eI*@o z#yVN)MJ?T@8)c4vBiKr;@bX4P!-Xn*2+NTL>7Gf?xN$=Gr>BzBUxR+J_OPpU+04A- z1vZ)`}p)}s9m(b3l>Ie*F^QMyms9YGR!GF762mz zbiBlM$d%8d?j6Y}qW&xkN|IKUk-dH6Vb}f_pbh`s9+tcK#$dGGZXxw@6)q`OvKEpN z`Z1A!Z@yH0;*7wNaduWku&{)i!DGTcaldn+4VU_-;{a1*#Kza5w_&ofi0UmFujjFk zR3s5==_44Z{<+S)xeyIK zEvsgJUsvHly`Rk|VRla54JpFU<=Nhwb$v;j?WJZgtIHEtDx3nZ)^k4$|F-eYU+&U6 z+4%6f++oS~Bud$Qvzgv?$$8RJitnGiyPscbHazXSGFQ|SwR`HEJjuU6W%F~{?Jxt! z5v-+166L?=N!|m3u7h#+(HZ|qUbSd#dWE9Y9{V1+`#JM)*aJsK+fHcl<*OFTR8qn7 zJ5>MfPd%zC&4BV?q%>m#`7Y^scqrPTEjjGtMI3F##o3@m2X^5>Ia$-PfIj0#5f>`X zbnCoRPR118A^)BqQaxdzOzf+?PxMaHOjN4RYfTCZU0AVM5})o4c%8zme*EQI$+vT& zf1B!WJpZP&>ky$dvsduW7k)`<$)ei+%99^K7r?Ge`-!f;hl#2D%?VdezC+2WsoOU7 z5(c%AKYHIwT&&&mY3RK{Y?xeCd*E@keaE78_wSD7$gL_odO`aTYgt^~C;EnR#il#c zfZcGmJ0279JiW*+v3)i9UcPlp65yL^7RQ~tGX z&uL;>g(iiR{Os<1X8q;6^50xSW>OH})4yhotiJCEJahio&E>VrR<{p6iE=tW9;Z_L zYsPV3*M!w_*5udg5pq9I z4ix-s+;c6*W~=;!Ghx5v1=SCdR%%U72d&bX2V&l{Mm5{+W7|&Tc7&RkH(uZOYw(ry zWbgFT1enFpDT3Ti)|Od8wOS=<${_2qN#mK^fa8l5zeR;k&+l@V{2;GC+56<}t3c6V z{4b`;jBv#stNBI2+d`}eA#uWfyWQR0_4$*#@yV$NF1Dua8}SVI+_!6ff@5g;E&?|Hj84dZ|J%=EkH+v0V3#@YOd7d@KWFAu9#ob3tGbKuMDoid1iCYIE- zN4Dec$a5c9fXXxZg-&B?@#nzj=Dcd(h4z`$v|EP9!WVxy9z{Peh!L?^cvnE%YZon) zD|PXL|M$IHCJ)`7_|rc33FNjZD)G;}AK?3NvkHp(GhlNm;x+2l_}5UQJ8rAJkjjH6 z8avt+d@W-RK64s=`p)!kS@;!6x^?9Kd^7E`U9gvOUT%J>at99!TrCd&DChdt@8J&I zd3i{aQkoN1-6IecK2)C0n8<{{gG1e zo|FS8Jf2nx<(j@VTd7QGGw--;0@t{7|5s}D7riM5$%>KOo09^swyRIS>w*7{BR;uQ zk$p4wYDN1mgJo8U({a7rBlAyx*%}EvxSnPx?wXcq9G&%_Q}IHp?-ja3RCb2OgWJ2k z0-u+h(!Jn$#I#33+0}xtt$z~~zWwzkyBcQyojzMJTWedn+00%;Fa4-%4p?cw-25*a zZNIaRA2trHG(RWG3eiZOKj5Z0el^cB;U(;se|{Y zc_05;kGUu0_HEEE{gsVx$UN&XKjDU z+>l?mo~F53qFR&+l<7$zQmX~_%ZA5hYjt)1POW~?8gqX~ep5AlM~D(I{P>{z*4ME3 z0Z+G<<+nHdRt)XZu6S8}a9=dcI$Xa%Tzllx)SZ!9-n2E(BFY!A{~pMy(R+lRO-j%* zu=D)*guUz5zbC2i9tC94g6U?oW8|G!rOhoN-3xICm2+>}7RA%9>IQ_p+@;^+Q6RP| zeD;*ZIoHz@YL!+&H(s?rmvc2eG#spZ@LmWr^3e6cPb)&p8%}ZR{~ceG-!u35qRw9I z&VFmtoHCLw-`+B{gXV z)GuY~$Ci$HmGU*bJR!h-9^g{n9tcAauG|PUaZcr%by8T~zQ8!j^Zuo$B$K7Lp=^@%;qc>{OeeyX%^V;WOChV=AV&Iq~wTNP=-A6jQ<~NNuQ}r!l$V{p^aaV!CsEnHdL-P!bgN_#k