A.1.0 RC2/3

This commit is contained in:
Zane Kaminski 2024-05-14 03:06:13 -04:00
parent 2aa9051954
commit 6d7f8ad3a2
12 changed files with 42 additions and 149 deletions

View File

@ -15,7 +15,7 @@
!
!Quartus Prime SVF converter 19.1
!
!Device #1: EPM240 - //Mac/iCloud/Repos/GR8RAM/CPLD/output_files/GR8RAM.pof Sun May 12 22:39:19 2024
!Device #1: EPM240 - //Mac/iCloud/Repos/GR8RAM/CPLD/output_files/GR8RAM.pof Tue May 14 02:45:43 2024
!
!NOTE "USERCODE" "00160A1C";
!

View File

@ -1,5 +1,5 @@
Assembler report for GR8RAM
Sun May 12 22:39:19 2024
Tue May 14 02:45:43 2024
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula.
+---------------------------------------------------------------+
; Assembler Summary ;
+-----------------------+---------------------------------------+
; Assembler Status ; Successful - Sun May 12 22:39:19 2024 ;
; Assembler Status ; Successful - Tue May 14 02:45:43 2024 ;
; Revision Name ; GR8RAM ;
; Top-level Entity Name ; GR8RAM ;
; Family ; MAX II ;
@ -78,14 +78,14 @@ https://fpgasoftware.intel.com/eula.
Info: *******************************************************************
Info: Running Quartus Prime Assembler
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Info: Processing started: Sun May 12 22:39:19 2024
Info: Processing started: Tue May 14 02:45:42 2024
Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM
Info (115031): Writing out detailed assembly data for power analysis
Info (115030): Assembler is generating device programming files
Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings
Info: Peak virtual memory: 13107 megabytes
Info: Processing ended: Sun May 12 22:39:19 2024
Info: Elapsed time: 00:00:00
Info: Peak virtual memory: 13100 megabytes
Info: Processing ended: Tue May 14 02:45:43 2024
Info: Elapsed time: 00:00:01
Info: Total CPU time (on all processors): 00:00:01

View File

@ -1,13 +0,0 @@
/* Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition */
JedecChain;
FileRevision(JESD32A);
DefaultMfr(6E);
P ActionCode(Cfg)
Device PartName(EPM240T100) Path("//Mac/iCloud/Repos/GR8RAM/CPLD/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(1) SEC_Device(EPM240T100) Child_OpMask(2 3 3));
ChainEnd;
AlteraBegin;
ChainType(JTAG);
AlteraEnd;

View File

@ -1 +1 @@
Sun May 12 22:39:23 2024
Tue May 14 02:45:47 2024

View File

@ -1,94 +0,0 @@
EDA Netlist Writer report for GR8RAM
Tue Feb 28 11:21:31 2023
Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. EDA Netlist Writer Summary
3. Simulation Settings
4. Simulation Generated Files
5. EDA Netlist Writer Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 2022 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and any partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details, at
https://fpgasoftware.intel.com/eula.
+-------------------------------------------------------------------+
; EDA Netlist Writer Summary ;
+---------------------------+---------------------------------------+
; EDA Netlist Writer Status ; Successful - Tue Feb 28 11:21:31 2023 ;
; Revision Name ; GR8RAM ;
; Top-level Entity Name ; GR8RAM ;
; Family ; MAX II ;
; Simulation Files Creation ; Successful ;
+---------------------------+---------------------------------------+
+---------------------------------------------------------------------------------------------------------------------------------+
; Simulation Settings ;
+---------------------------------------------------------------------------------------------------+-----------------------------+
; Option ; Setting ;
+---------------------------------------------------------------------------------------------------+-----------------------------+
; Tool Name ; Questa Intel FPGA (Verilog) ;
; Generate functional simulation netlist ; On ;
; Truncate long hierarchy paths ; Off ;
; Map illegal HDL characters ; Off ;
; Flatten buses into individual nodes ; Off ;
; Maintain hierarchy ; Off ;
; Bring out device-wide set/reset signals as ports ; Off ;
; Enable glitch filtering ; Off ;
; Do not write top level VHDL entity ; Off ;
; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ;
; Architecture name in VHDL output netlist ; structure ;
; Generate third-party EDA tool command script for RTL functional simulation ; Off ;
; Generate third-party EDA tool command script for gate-level simulation ; Off ;
+---------------------------------------------------------------------------------------------------+-----------------------------+
+--------------------------------------------------+
; Simulation Generated Files ;
+--------------------------------------------------+
; Generated Files ;
+--------------------------------------------------+
; /Repos2/GR8RAM/cpld2/simulation/questa/GR8RAM.vo ;
+--------------------------------------------------+
+-----------------------------+
; EDA Netlist Writer Messages ;
+-----------------------------+
Info: *******************************************************************
Info: Running Quartus Prime EDA Netlist Writer
Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition
Info: Processing started: Tue Feb 28 11:21:30 2023
Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (204019): Generated file GR8RAM.vo in folder "/Repos2/GR8RAM/cpld2/simulation/questa/" for EDA simulation tool
Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning
Info: Peak virtual memory: 13024 megabytes
Info: Processing ended: Tue Feb 28 11:21:31 2023
Info: Elapsed time: 00:00:01
Info: Total CPU time (on all processors): 00:00:01

View File

@ -1,5 +1,5 @@
Fitter report for GR8RAM
Sun May 12 22:39:17 2024
Tue May 14 02:45:40 2024
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
@ -57,7 +57,7 @@ https://fpgasoftware.intel.com/eula.
+---------------------------------------------------------------------+
; Fitter Summary ;
+-----------------------+---------------------------------------------+
; Fitter Status ; Successful - Sun May 12 22:39:17 2024 ;
; Fitter Status ; Successful - Tue May 14 02:45:40 2024 ;
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
; Revision Name ; GR8RAM ;
; Top-level Entity Name ; GR8RAM ;
@ -134,8 +134,8 @@ https://fpgasoftware.intel.com/eula.
; ; ;
; Usage by Processor ; % Time Used ;
; Processor 1 ; 100.0% ;
; Processor 2 ; 1.3% ;
; Processors 3-4 ; 1.1% ;
; Processor 2 ; 1.2% ;
; Processors 3-4 ; 1.0% ;
+----------------------------+-------------+
@ -754,16 +754,16 @@ Info (170192): Fitter placement operations ending: elapsed time is 00:00:01
Info (170193): Fitter routing operations beginning
Info (170195): Router estimated average interconnect usage is 35% of the available device resources
Info (170196): Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5
Info (170194): Fitter routing operations ending: elapsed time is 00:00:00
Info (11888): Total time spent on timing analysis during the Fitter is 0.35 seconds.
Info (170194): Fitter routing operations ending: elapsed time is 00:00:01
Info (11888): Total time spent on timing analysis during the Fitter is 0.31 seconds.
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00
Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'.
Info (144001): Generated suppressed messages file /Repos/GR8RAM/CPLD/output_files/GR8RAM.fit.smsg
Info: Quartus Prime Fitter was successful. 0 errors, 2 warnings
Info: Peak virtual memory: 13774 megabytes
Info: Processing ended: Sun May 12 22:39:17 2024
Info: Peak virtual memory: 13776 megabytes
Info: Processing ended: Tue May 14 02:45:40 2024
Info: Elapsed time: 00:00:03
Info: Total CPU time (on all processors): 00:00:05
Info: Total CPU time (on all processors): 00:00:04
+----------------------------+

View File

@ -1,4 +1,4 @@
Fitter Status : Successful - Sun May 12 22:39:17 2024
Fitter Status : Successful - Tue May 14 02:45:40 2024
Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Revision Name : GR8RAM
Top-level Entity Name : GR8RAM

View File

@ -1,5 +1,5 @@
Flow report for GR8RAM
Sun May 12 22:39:22 2024
Tue May 14 02:45:46 2024
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula.
+---------------------------------------------------------------------+
; Flow Summary ;
+-----------------------+---------------------------------------------+
; Flow Status ; Successful - Sun May 12 22:39:19 2024 ;
; Flow Status ; Successful - Tue May 14 02:45:43 2024 ;
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
; Revision Name ; GR8RAM ;
; Top-level Entity Name ; GR8RAM ;
@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula.
+-------------------+---------------------+
; Option ; Setting ;
+-------------------+---------------------+
; Start date & time ; 05/12/2024 22:38:54 ;
; Start date & time ; 05/14/2024 02:45:12 ;
; Main task ; Compilation ;
; Revision Name ; GR8RAM ;
+-------------------+---------------------+
@ -72,7 +72,7 @@ https://fpgasoftware.intel.com/eula.
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
+---------------------------------------+----------------------------------------+---------------+-------------+------------+
; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ;
; COMPILER_SIGNATURE_ID ; 121380219419.171556793410016 ; -- ; -- ; -- ;
; COMPILER_SIGNATURE_ID ; 121380219419.171566911200836 ; -- ; -- ; -- ;
; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ;
; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 1 ;
; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 2 ;
@ -91,11 +91,11 @@ https://fpgasoftware.intel.com/eula.
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
; Analysis & Synthesis ; 00:00:19 ; 1.0 ; 13135 MB ; 00:00:41 ;
; Fitter ; 00:00:03 ; 1.0 ; 13774 MB ; 00:00:05 ;
; Assembler ; 00:00:00 ; 1.0 ; 13103 MB ; 00:00:01 ;
; Timing Analyzer ; 00:00:01 ; 1.0 ; 13094 MB ; 00:00:01 ;
; Total ; 00:00:23 ; -- ; -- ; 00:00:48 ;
; Analysis & Synthesis ; 00:00:23 ; 1.0 ; 13137 MB ; 00:00:49 ;
; Fitter ; 00:00:03 ; 1.0 ; 13776 MB ; 00:00:04 ;
; Assembler ; 00:00:01 ; 1.0 ; 13096 MB ; 00:00:01 ;
; Timing Analyzer ; 00:00:02 ; 1.0 ; 13095 MB ; 00:00:01 ;
; Total ; 00:00:29 ; -- ; -- ; 00:00:55 ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+

View File

@ -1,5 +1,5 @@
Analysis & Synthesis report for GR8RAM
Sun May 12 22:39:13 2024
Tue May 14 02:45:35 2024
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
@ -46,7 +46,7 @@ https://fpgasoftware.intel.com/eula.
+---------------------------------------------------------------------------+
; Analysis & Synthesis Summary ;
+-----------------------------+---------------------------------------------+
; Analysis & Synthesis Status ; Successful - Sun May 12 22:39:13 2024 ;
; Analysis & Synthesis Status ; Successful - Tue May 14 02:45:35 2024 ;
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
; Revision Name ; GR8RAM ;
; Top-level Entity Name ; GR8RAM ;
@ -281,7 +281,7 @@ Encoding Type: One-Hot
Info: *******************************************************************
Info: Running Quartus Prime Analysis & Synthesis
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Info: Processing started: Sun May 12 22:38:54 2024
Info: Processing started: Tue May 14 02:45:12 2024
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM
Info (16303): Aggressive Area optimization mode selected -- logic area will be prioritized at the potential cost of reduced timing performance
Info (20032): Parallel compilation is enabled and will use up to 4 processors
@ -304,10 +304,10 @@ Info (21057): Implemented 334 device resources after synthesis - the final resou
Info (21061): Implemented 254 logic cells
Info (144001): Generated suppressed messages file /Repos/GR8RAM/CPLD/output_files/GR8RAM.map.smsg
Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 8 warnings
Info: Peak virtual memory: 13135 megabytes
Info: Processing ended: Sun May 12 22:39:13 2024
Info: Elapsed time: 00:00:19
Info: Total CPU time (on all processors): 00:00:41
Info: Peak virtual memory: 13137 megabytes
Info: Processing ended: Tue May 14 02:45:35 2024
Info: Elapsed time: 00:00:23
Info: Total CPU time (on all processors): 00:00:49
+------------------------------------------+

View File

@ -1,4 +1,4 @@
Analysis & Synthesis Status : Successful - Sun May 12 22:39:13 2024
Analysis & Synthesis Status : Successful - Tue May 14 02:45:35 2024
Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Revision Name : GR8RAM
Top-level Entity Name : GR8RAM

View File

@ -1,5 +1,5 @@
Timing Analyzer report for GR8RAM
Sun May 12 22:39:22 2024
Tue May 14 02:45:46 2024
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
@ -93,7 +93,7 @@ https://fpgasoftware.intel.com/eula.
+---------------+--------+--------------------------+
; SDC File Path ; Status ; Read at ;
+---------------+--------+--------------------------+
; GR8RAM.sdc ; OK ; Sun May 12 22:39:22 2024 ;
; GR8RAM.sdc ; OK ; Tue May 14 02:45:45 2024 ;
+---------------+--------+--------------------------+
@ -745,7 +745,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
Info: *******************************************************************
Info: Running Quartus Prime Timing Analyzer
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Info: Processing started: Sun May 12 22:39:21 2024
Info: Processing started: Tue May 14 02:45:44 2024
Info: Command: quartus_sta GR8RAM -c GR8RAM
Info: qsta_default_script.tcl version: #1
Info (20032): Parallel compilation is enabled and will use up to 4 processors
@ -781,9 +781,9 @@ Info (332001): The selected device family is not supported by the report_metasta
Info (332102): Design is not fully constrained for setup requirements
Info (332102): Design is not fully constrained for hold requirements
Info: Quartus Prime Timing Analyzer was successful. 0 errors, 0 warnings
Info: Peak virtual memory: 13094 megabytes
Info: Processing ended: Sun May 12 22:39:22 2024
Info: Elapsed time: 00:00:01
Info: Peak virtual memory: 13095 megabytes
Info: Processing ended: Tue May 14 02:45:46 2024
Info: Elapsed time: 00:00:02
Info: Total CPU time (on all processors): 00:00:01

View File

@ -15,7 +15,7 @@
!
!Quartus Prime SVF converter 19.1
!
!Device #1: EPM240 - //Mac/iCloud/Repos/GR8RAM/CPLD/output_files/GR8RAM.pof Sun May 12 22:39:19 2024
!Device #1: EPM240 - //Mac/iCloud/Repos/GR8RAM/CPLD/output_files/GR8RAM.pof Tue May 14 02:45:43 2024
!
!NOTE "USERCODE" "00160A1C";
!