From 3e06d30382ed1d1d60d58bb04cbdbcf0dbf2a65e Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 20 Oct 2019 22:41:24 -0400 Subject: [PATCH] Fixed bugs in new PLD stuff --- cpld/GR8RAM.qsf | 3 +- cpld/GR8RAM.qws | Bin 1267 -> 807 bytes cpld/GR8RAM.v | 44 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 18469 -> 18776 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 3419 -> 3428 bytes cpld/db/GR8RAM.(1).cnf.cdb | Bin 2355 -> 2359 bytes cpld/db/GR8RAM.(1).cnf.hdb | Bin 783 -> 774 bytes cpld/db/GR8RAM.(2).cnf.cdb | Bin 2187 -> 2190 bytes cpld/db/GR8RAM.(2).cnf.hdb | Bin 907 -> 889 bytes cpld/db/GR8RAM.(3).cnf.cdb | Bin 6075 -> 6076 bytes cpld/db/GR8RAM.(3).cnf.hdb | Bin 1290 -> 1292 bytes cpld/db/GR8RAM.(4).cnf.cdb | Bin 1126 -> 1132 bytes cpld/db/GR8RAM.(4).cnf.hdb | Bin 644 -> 645 bytes cpld/db/GR8RAM.(5).cnf.cdb | Bin 1128 -> 1133 bytes cpld/db/GR8RAM.(5).cnf.hdb | Bin 644 -> 645 bytes cpld/db/GR8RAM.(6).cnf.cdb | Bin 680 -> 681 bytes cpld/db/GR8RAM.(6).cnf.hdb | Bin 540 -> 535 bytes cpld/db/GR8RAM.(7).cnf.cdb | Bin 763 -> 763 bytes cpld/db/GR8RAM.(7).cnf.hdb | Bin 523 -> 516 bytes cpld/db/GR8RAM.(8).cnf.cdb | Bin 655 -> 656 bytes cpld/db/GR8RAM.(8).cnf.hdb | Bin 521 -> 515 bytes cpld/db/GR8RAM.acvq.rdb | Bin 413 -> 0 bytes cpld/db/GR8RAM.asm.qmsg | 10 +- cpld/db/GR8RAM.asm.rdb | Bin 1313 -> 1329 bytes cpld/db/GR8RAM.cmp.cdb | Bin 31712 -> 32181 bytes cpld/db/GR8RAM.cmp.hdb | Bin 17867 -> 17873 bytes cpld/db/GR8RAM.cmp.rdb | Bin 15001 -> 15160 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 9009 -> 9033 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.fit.qmsg | 6 +- cpld/db/GR8RAM.hier_info | 28 +- cpld/db/GR8RAM.hif | Bin 1959 -> 1956 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 177 bytes cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 413 bytes cpld/db/GR8RAM.map.cdb | Bin 13024 -> 12987 bytes cpld/db/GR8RAM.map.hdb | Bin 17391 -> 17389 bytes cpld/db/GR8RAM.map.qmsg | 66 +-- cpld/db/GR8RAM.map.rdb | Bin 1179 -> 1184 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 13680 -> 13683 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 209 -> 210 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 13627 -> 13624 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 14175 -> 14296 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 195 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 16304 -> 16320 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 25161 -> 25143 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sta.qmsg | 44 +- cpld/db/GR8RAM.sta.rdb | Bin 10669 -> 10824 bytes cpld/db/GR8RAM.sta_cmp.15_slow.tdb | Bin 36644 -> 36668 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.tmw_info | 10 +- cpld/db/add_sub_rnh.tdf | 2 +- cpld/db/prev_cmp_GR8RAM.qmsg | 143 ++++--- .../GR8RAM.root_partition.map.kpt | Bin 225 -> 227 bytes cpld/output_files/GR8RAM.asm.rpt | 54 +-- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 401 +++++++++--------- cpld/output_files/GR8RAM.fit.summary | 6 +- cpld/output_files/GR8RAM.flow.rpt | 98 ++--- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 86 ++-- cpld/output_files/GR8RAM.map.smsg | 2 +- cpld/output_files/GR8RAM.map.summary | 6 +- cpld/output_files/GR8RAM.pin | 2 +- cpld/output_files/GR8RAM.pof | Bin 8022 -> 8022 bytes cpld/output_files/GR8RAM.sta.rpt | 294 ++++++------- cpld/output_files/GR8RAM.sta.summary | 2 +- 69 files changed, 670 insertions(+), 643 deletions(-) delete mode 100755 cpld/db/GR8RAM.acvq.rdb diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 09892b6..9a29f0e 100644 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -161,4 +161,5 @@ set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1reg set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b0_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to IOROMEN -set_location_assignment PIN_44 -to nMode \ No newline at end of file +set_location_assignment PIN_44 -to nMode +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to RAMSEL_MC \ No newline at end of file diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws index 64a4f37c38b74784f67310fba6f43d362deedd9a..6e3b9007483cfd26918f8aef13a5c8394106a891 100755 GIT binary patch literal 807 zcmd6kKTiTd5XIkt1%-tlfP#V;V#0r;#>#(D3oIns*bq4GlmK@ZIAdYx{B9N&+WJB4 zH29l4jD^NTD|a)q^LF37ot@n&>0{(IQdd*O>gYzHCK`ew_FQ?89PCc}+E!KPoOm#% z#1}9EP*n$Hxw_UE6rf|LM;Z_vv)fBhTU%;tS0^AB^^y8SA?Gp3d`CVxBQ(}fm#=}^ra z)0;UdTaYsLQA-QUvF2VEfJGT>RW07))4_uFl1|3-H2|HlhIF*Yizi0aoMG!?tmu$b zk9HZw)$lu#{l8g>{~PF1SAV(5giWNF6cth252eEUQfihh6IdfLqyl^6`1dju=lSU& Z5mN32xl?JmNFN_*taUvC=fU^sb?+TgfLH(k literal 1267 zcmds$&n`nz5XQgTT6D+C%0fg$B(0XBtk7V?1}hu6dT$Y>OlNxtW6S0PqVNRo%lX$u+G zI*|%FHTElbIb=a=cm?7m1zqu!PN(1xVLF` z6Ns-OkFP21@FrB_cZjSZ?-JEU^kPbi-w=FY(-TPon`lK2#&t15A0b%k8q}hWv2~_# zgtv(;Gl3m&R;^*RS!Scvr)5e*8nBdt4n9kA&?p#XuKU{6-cN3_gH47VsLIz+9l9>4 z{S<~eb9XNRc;9tdHE`Ws#R*w_jB%7nvvC}L|HhPee|PH+vOC<0Uvu(P#{=Q3iTQ4D z#-{*AWD&CgUnQwY{0Xpk_>_tGl!IMKnDmiPS+X-Qt{KUdSR#F{||myhd<`m-;>76gD1^j3~6G$ KOuEbGt=<5VdCsK( diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 559b23a..b1effc5 100755 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -40,7 +40,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, wire AddrMSELA = A[3:0]==4'h1; wire AddrLSELA = A[3:0]==4'h0; LCELL BankWR_MC (.in(BankSELA & ~nWE & ~nDEVSEL & REGEN), .out(BankWR)); wire BankWR; - wire SetWR = SetSELA & ~nWE & ~nDEVSEL & REGEN; + LCELL SetWR_MC (.in(SetSELA & ~nWE & ~nDEVSEL & REGEN), .out(SetWR)); wire SetWR; LCELL RAMSEL_MC (.in(RAMSELA & ~nDEVSEL & REGEN), .out(RAMSEL)); wire RAMSEL; LCELL AddrHWR_MC (.in(AddrHSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrHWR)); wire AddrHWR; LCELL AddrMWR_MC (.in(AddrMSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrMWR)); wire AddrMWR; @@ -59,15 +59,13 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, AddrLSELA ? Addr[7:0] : 8'h00; inout [7:0] D = DOE ? Dout : 8'bZ; - /* Inhibit output */ - wire AROMSEL; - LCELL AROMSEL_MC (.in(/*(A[15:12]==4'hD | A[15:12]==4'hE | A[15:12]==4'hF) & nWE & ~MODE*/0), .out(AROMSEL)); - output nINH = AROMSEL ? 1'b0 : 1'bZ; + /* Inhibit output */ + output nINH = 1'bZ; /* DRAM and ROM Control Signals */ output nRCS = ~((~nIOSEL | (~nIOSTRB & IOROMEN)) & CSDBEN); // ROM chip select output nROE = ~nWE; // need this for flash ROM - output nRWE = nWE | (nDEVSEL & nIOSEL & nIOSTRB); // for ROM & DRAM + output nRWE = nWE | S==0 | S==1 | S==2 | S==3; // for ROM & DRAM output nRAS = ~(RASr | RASf); output nCAS0 = ~(CAS0f | (CASr & RAMSEL & ~Addr[22])); // DRAM CAS bank 0 output nCAS1 = ~(CAS1f | (CASr & RAMSEL & Addr[22])); // DRAM CAS bank 1 @@ -80,6 +78,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, reg IncAddrL = 0, IncAddrM = 0, IncAddrH = 0; /* CAS rising/falling edge components */ + // These are combined to create the CAS outputs. reg CASr = 0, CAS0f = 0, CAS1f = 0; reg RASr = 0, RASf = 0; @@ -125,7 +124,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, S==7 ? 3'h7 : S+1; // Refresh counter allows DRAM refresh once every 13 cycles - if (S==3) Ref <= (Ref[3:2]==2'b11) ? 4'h0 : Ref+1; + if (S==3) Ref <= (Ref[3:2] == 2'b11) ? 4'h0 : Ref+1; // Disable IOSTRB ROM when accessing 0xCFFF. if (S==3 & ~nIOSTRB & A[10:0]==11'h7FF) IOROMEN <= 1'b0; @@ -189,31 +188,28 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, /* DRAM RAS/CAS */ always @(posedge C7M, negedge nRES) begin - if (~nRES) begin - RASr <= 1'b0; ASel <= 1'b0; CASr <= 1'b0; + if (~nRES) begin RASr <= 1'b0; ASel <= 1'b0; CASr <= 1'b0; end else begin - RASr <= (S==1 & Ref==0) | // Refresh - (S==4 & RAMSEL & nWE) | // Read: Early RAS - (S==5 & RAMSEL & ~nWE); // Write: Late RAS - - // Multiplex DRAM address in at end of S4 through S6. - ASel = (RAMSEL & nWE & S==4) | // Read: mux address early - (RAMSEL & ~nWE & S==5); // Write: mux address late - - // Read: long, early CAS, gated later by RAMSEL - CASr <= (RAMSEL & ~nWE & (S==5 | S==6 | S==7)); + RASr <= (S==1 & Ref==0) | // Refresh + (S==4 & RAMSEL & nWE) | // Read: Early RAS + (S==5 & RAMSEL & ~nWE); // Write: Late RAS + ASel = (RAMSEL & nWE & S==4) | // Read: mux address early + (RAMSEL & ~nWE & S==5); // Write: mux address late + // Read: long, early CAS, gated combinationally by RAMSEL + CASr <= (nWE & (S==5 | S==6 | S==7)); end end always @(negedge C7M, negedge nRES) begin if (~nRES) begin RASf <= 1'b0; CAS0f <= 1'b0; CAS1f <= 1'b0; end else begin - RASf <= (S==4 & RAMSEL & nWE) | // Read: Early RAS - (S==5 & RAMSEL & ~nWE); // Write: Late RAS - + RASf <= (S==4 & RAMSEL & nWE) | // Read: Early RAS + (S==5 & RAMSEL & ~nWE); // Write: Late RAS CAS0f <= (S==1 & Ref==0) | // Refresh - (S==6 & RAMSEL & ~Addr[22] & ~nWE); // Write: Late CAS + (S==5 & RAMSEL & ~Addr[22] & nWE) | // Read: Early CAS + (S==6 & RAMSEL & ~Addr[22] & ~nWE); // Write: Late CAS CAS1f <= (S==1 & Ref==0) | // Refresh - (S==6 & RAMSEL & Addr[22] & ~nWE); // Write: Late CAS + (S==5 & RAMSEL & Addr[22] & nWE) | // Read: Early CAS + (S==6 & RAMSEL & Addr[22] & ~nWE); // Write: Late CAS end end endmodule diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 4a51f9a8b78acf5c35cd90d5f3c2309531d2a6d7..5074522f9ecfcfbb2a42b59459d9dab39e55b697 100755 GIT binary patch literal 18776 zcmXVX1y~f{_dbG%g0LV+NC^mtboVZTlr%`Ebc1v)3sTY`DJk9E-7VeSol7puvis-r z{rzX2nRCv2-#HV{Gjs1f=L`WF8d@37v!}iD(<=TXHO!oxtsLy%b8@qBuyMW@ceS!L zeb2?s^`3)|os)~5i-VKmN2dP&ziYNbb_2GRywDd_>sUNiFr^;|4c)A;X>!G(q| zyU0ipzk2?%yve!5pD!fcCVuN4+0R<|vGKg+M_-Uam!)2gly*dR`#GwleEQC1^{9RI z(R@R+iC3+nSSh_sFp2Z^>TM z-JsjDE#g!*6_OY^tFU+OWg8@qV!54JUir5Ps{&5eV!ez|=11|f!ETO;ILlP+QV$qiwETR%`8Y|%r-0PLYyLLRar6CH9}L5=RS6W03%25(j# z{?cL9yW~o&ay>)T-0JynyA#J>_@adv{^re$3!pJDYiO zK^Axn7L*ob-196Zcp`+#aJ~MvQsPthS}(Z<`-Z_r=PbEq{MTa#>Pem@K9vfJFO=48 zo1Ndol6sHlS;U;uBq9P@aA$Fk5R7~K`;8{_Kb@HS?pw$&JwCO(rGkTE$9jB#eRpO} zJ|u{A$--3CRrQSae;#7{$6cjK!BfdO%d$BH2G7ci-`I))NmN&zV1tFk$-}9yYuNQU zaOp=aDHN?#eahuhCJ&a=&3X3R3dkaBrRd&bU&9po&GYPYpuBnhZ2u9zohov_lWUod zAP!u$k{an`oX*wbs)NUbRApt@eDg3ak^*n9s5MLFaxC1-Ek7`1|l=0xd=7~ zu61{=9XWbP3ekMb%x%%_Y5Mp*)P(vRs^wp0F6}QhD0#`f$1NpWN~G9!M`ub9ZNE?- zUO~$>r4miTTJQ1n+NqfK3Cm0@n1>v|_?v9BP3BImR-5dLQA7qh$8h72RQ)jKcx24+ z@WLh>t;5=LL6q{CqzG(V$`RMyA&14A4XD?mgqc^3=2Z z&(D$!$p`E$_t=>>JJ|NSD%UWp)hPD6=BpdgZe{uRP!7GCs;O9=N_NjrE1qXY`m~mJ zs_PA5CZQQ_Z$s%$bOw^X1|1R-Q9NpYb38!}kO^ZH;H@Qo+qCX-zDemGd9gO>cJbKP zprGch&|MHIH#*Xx59N&t66Xhg$Ye;1h)-)oU_1s}w%QeKPw zy*2#77s^%ua_kq)uBaHeyJP7rDv>O4z;5vUk#KQHvfyX6T7-INfmBfhG$vpJp8Ue&_i!bt9VJ8~J3d3c$(eHE&Y{y8{&GEis{5Ox>g z)6U)mK6&jsHa3`OPy8uU|7B}9rElWG=!v6qLG)sz+nkpp!kM_pB;2pWG(rkl9b+T$G#0$pAIE*HATiEvcwQ_xjfzJ|qVX0)@UVVS#ZG38@k zYF#pIi7gRrdMLyfrUZB9Qz%I&EnR-xl{eSU?d>^VX}> zJf`m!o4N$^J2ZE>ov&sH75FLyyjJ};Uzwp@?U!1zVThG$dGPJLqH`|$wdW;U=wxNd zQRrly%_zt8u0MOGVT0=Z(a_|0JX=5R{F z_Fc}QOv-c|98*-Y+**U^CgT%RJJ_h7W&6)>#>U{hF)VTsqOpG)Br~#K=UupXT((~K z{+Q$(3v)@#y%C+@I(kuyjrZ(*~Z+=+B`o1yp9$9%tp7W`=-e* zH$nboQ9B_2;*UNNP{BCHl!kG3xb`peK)*>e$ZCYM;NJ|D3*BtUP{d4TJ%G-&{Io|# z)b4>pwP6_;F@x3c1PwkxZO&N{7pYDxYl><00gRIA^#RP1ipyO|v<0Ud^0Cuy7x{x$ z!i{P>GaE{V^Ogq#-^ph();S6}-5s6g=D?+6&JAo64P~KZ^|roMG?9}UtxBp~#J3ez z+QWgU8mz(3hHJ(mo+F8q-Vushp{)DR7?tE6 z&Mt3lldvEl@=4;Ux{;kG_C~hk77>M`dj{Ux8GWFzPty-9#zYocq83ZA{g#L&uGRF{ zyp-Emx}_5#On?~HmGNcZJ7~>w-n;xt+3F^=+v?q#%{U!Dxv;kEBzJkRMW5NpWw|Ar}AfOXZ8>()958XishM%f&^oDW8BE<3FT`lEuL}t z;1_~>k!Nn6{i{?tI<7JQTJV8l*#h6J5L;EOztg7--&&8YzP~$5*aPSNTNS@s_Lz8P zgLmax)w;KE;sJNZ_^`P^etM%%h(EpmHEMlKdfG%cVv}Mcy34ie={wSN+^k8DhbCC| z>$J5^KFk>uSUot-i#ers^g4_jPsp^Pf5RcLz@uC@&>UBg$?!Dp0($=r-PYd%&$3q|;TFfU-3oLls- zUH=SvcEfw_y@NVl+463jHzvlhSkg=erxB7HKeCO-Xl+RT7Cs1I(Hh5OEVlc0qJM~7 zLvl0aCL0lw9kmkLASFIXY?a8+Xr;0eRw|MN{|%C479jw77{1hNZRvGUP0r4w*J00N z1(Y+@0gg>W`9$+d0=g^Lo+}ee6tQZOrc79H zcxR6G@T=}lVq%3PsM{omVI{AkM_nQ58#|E};~5N`)zk4RqD_%byMWZ^ziAPX5a0S% z+QKeMMf4~cU5(-D+*15HDtd-y;?eBTj=h??|yH9mW@#PZrWcj*oV%i z`J_;K%j|ptr`@=(AD8B{hLG1usKJ?}8kKg)V$)}s-qyuqv=wF%ds>-zZ;v6cZ&}?NeI`6i#Lsq$k>(>tzGf_nGUO!PCy5J z%RXZ6Za}x!dG(;lFup6L%T)5QXC=C{@40jmb7IoFEDJT%rQ>;KT2i|n)IVF_7P*)Q zfo9-69-Dk6Nku+BBNgHYRID!ZR?hQBx^ejFsm&_=l3ST0P zZ7SH1w_gvD1bytxYkVHof~A^pP#S9+8H7zQ z5S|L+xiQ=e56|O2)jWVCAsz8 z*j*RVp^Xj-u)@~n{W~860#8JXs`rT>azGjw(PV&fI7)f2+PQ~UcLcKu?Du={D;{6caqoQh#l>*ltAx$N!7Zt$Ug}5j^UiePYp`=&Zf$w(8bj!52v8#fiGo$ms zh-B(jPW;%>A6Tp#8oB5_;-i;tZEa{d;*irgCC)A|I>}H46h{dz66zXd^mPUdS8aQW z+EIY5`hL8W513olHuUl?-DEe$RhaBeVteZum$836U21wrLtbMC9S+8>mXZ^WVH#R!a$DxS&XVAb zT2)C>C=Pqyc;7X`)^xG_Q@GpDx#3?7tM4jYf00 zaE@O%WYL6Mm#?5^NKIZK4NL_E8-IE(nyt6}u##1+5e0O%^^sjR6*Z|WowP`985a7V zlHD@Am0Y>Vt)RPXvwOfjr*D?dqcwNHy{vC0>h&M9vt`&?cy->s2a&eA7b1;YuIa02 z8Fy3JReiawpIUkoV0J^zFJO=eZ`R*m3a^vYGP$AV(*V4kh0HXrE2}(WMXrW~9f8bO zYzhmJ68)>ePloZzk0%48KlAkyt+jFXi53!`{vS=}A0EDIXTDuDuo}|Qym$AAmH)o@ zNppJQKOPlGNZy=lu2X;d53>Cq)SZ^nV($Zn<+PZ1FJA3VSJ3N^o(KUP*P|Tj`+dO(;P}c8VMd4RJ zn>$M?Y@`(6UUY6)(r%~$W>;%oIP&fZ4oF4FyS%P*Yhs|1=NBgZeOH1~745t zvrB{9I0?GRv569Qo9@YyoR3byo4R*1WNbsJJ_d(qhL4W796$=M&C4pk-9QH4%x5+z zCsjYrv;BBnOwb#?g-pDeM{UrTqf(x>&vJSwjHE^+7R!%E&zb7@fpO!|;||ml!~dz} zF|iQ|(At8pLqdAr(&!GM;FnPc(`!?cweH;wNO7J{y#| zQVq81fQ*dOvYMf^t9oxbDy|FkU6vdsfriM)jAyHY@Nwzu((a7RBeFpR>TR0Emx{ND z2I##otXP#G=QkHxhea=>=0a5Oo>mGYghbf0{{yjXm>zu2*lqJ&OvKC+<3zaU$_NDbB{DS77GBm9{S@hA7i z%ZdCTh6wg3pRbm$G_^7LA0hNUlOaN2&7#fJ^rn0&q@-F6qtgkd9L$Knqy~DQZnO}| zbT z%P_VHQbQ(^nu_ZN z`=ffaac_dPG2tybiWk3of`&+~+KmlwtHkhOLDNj0UKYgUr~t7~5^grK1^rM*rLACl_kXUUL*yM0H4=Kt)OD17ewNqq@dt__QQCYBrM-G~dVll0my zs)!U*jdFXB;6iet@QazwfE_npA=KkaYMCCS0~ zqVOq!1E8ZqnN=6VB~0HZ?54Rl*`?NjYh^53ki%zQS3RVwB`8e?@S=5j(Yy_o!BO%1 z9*sF}-QS0?DCQmYY-BvOfxl?Vn`~%FgYeCd;Ho2U_>9Aw?e)g2%i6XgBbL@<`NCG) zr62^WS$c7Yx$uWfX5w#4FAUa59L(H79LL2+AbQx9UO{IO8g#E;mNSCN=I zIF(((&9Rv*eYIMJJR330sx4m(_#<)TcyO2AQAUZ6)JbOjTTptlG4Kxm_PNH3_tm~P zYDI|!*FXPO37ReLue0EOU=`MjylG|(e-&JBBqjYgFU{a}>=or?!_RNt_SI6N%$&IT zTkAhBpK+^Sv$vJj@gRU{;w2O5QL^=Z^X$YHJ+4Mh_nGta@maIDQ3GOay~HXqcB!;> z7I`wu?_H^B4nMMzj4*f2oxF5UK85@W@|B@^ph7IJe!6(^BlVkqg~ca)#^U+shdJfl zsi$+lz*8i$ffxH)IciqTzkU}pZ#>^U_c~ogUQr+_hjI11Rrxtz;{b>*|BYskg+7fb z6&UoCzGf(+@=V`*Gv&W9TjR0uU?aoS=<31LB0F@>enY~9*%v1rQq5h^-xUXH2@>Jk zFUVOG^#tsqc&n&fdGpraCh(0kMFswLP?aAwzv1gc(H_1kU*(y=gh0dOR5eS&y&@=z z^1A}1rpL?Xe>T9mb7q~>Kk~gBI}KojNPTc1^8m%Dv`epMX3`X_DMjBn6nj%(f)05# z*O*Z=g^2z5pL>*iUMqL+a&w-gM#&_B!{S9!qLBLmNxtSE8fqTM4iAr+GY~_uY3KKJ zv=VFiBIiR&%4_YV4sS1}_Gz2!Y)x}fv6Fo>ahX&@L2AlrG!AM=*|>!HRJOW}JP_ya zIIDH9YlElw2NK0+I>D_9_mJdLk?DAVfhSN%tmlxbc*yF{Pw>SKg!;*a-?ZBO zGz0TE(bF{`8)fXh1;`FdAABx$3Z$Gii8f3J>(sdTzD;R|7JPBq>Z?f>@N&!$w{v{(lz`7dv*=&pF_b!}EOMuweq5&HOEY?6XF~X|gqT*Zp5B zfxm%jPT*P~H%ocvbR$7Oh;z5zQm5P%6b#zyRa5f!OZi5l z$!f5L@x4{2!dYD`Rpng?Z@Ex7qf*M=Rk1T5KyJ!6dd!idxL6YH zIse2zJ#Y#%XRF)g9rn#YMtmV}7$FgEd$`&2Z9G5Zi?Y+ofbku)cgr-vc!=<`rHyKF zsE}k!eY*X{ro)9kD;?~yZ$K#PRG?wgnd`0K!O_i%E{D*~FIP{xOHZuhYye}3)=z}0 z6$yA|(790|3^iCt2QLH?Tf1?D=iZ!#pPx2`TzB!w+v;BrAR+Yn>-jUw(?o33UvskY zD$0okTbh(VpI0(RW5?0tg_xAyyUs&xWWMZ8sCIs_zGNyc_EmrCMQ z$m?(*jj&d8;6UwUQTOK*YnsxY9m>|H=xGg`40Yk2&tbl;Q*}Y_RvPGzC-x;54bHj| zUA_6f)gGHl@wgdzmi197!EWnoj-U!raXWJj=+(OdOD(Ct(bVhqr!Ln%?Q5MOjQO^F zHRhMwpBxf(^ivTZZc1cf8UTdy@;`MH^v0OAQN=9e6EC*B&j)ZLd8mkP++^^gQs)DM|bBJ5LNH{ zTd>Z~bbiOc01^yyCsPtZaeG}3WC*KfGG6bX>M~&9(;iAhRH=g@xUph27Vh&M>&l|7 zjqYZiFo1Hn!@>LXr4RXj#RSZ3o95Vy{LYU$*M`r&vM#crlbY=NO47npu7iHkeqzxB zuN5EYaJJ^F3N1*Cm1KGG;x=*9yYib}tLok5ioy$rF?BI)E2NCNa-M}8nhDGtq zCh0`iXY?DXKpcNV`izX|h8{a(Fp43zh4;v6SwA13+p*G;W1~EEcyBq-b73Ni({qZ! z4}nob!4aloQcd-2Q;KV?W#NrU^{y|IiAoFP22kCP6Xxf1-Jn4eSTdvzy_0h#kwgiB~lWy zSu;kH*?sC8f+Z7AxUt?V4Q@qa+`Z~81dT&KGIs7)kYAinqwOQ9g%Qr(`+~Tz{E$3` zq}$-}a6;g?!-mO&I1_bx@yCrn-6*~M)JvFo5K-=R}l_hHb_3SLRx#d2Ju5VeVHlLk$_Wl>XH&Dfkzbd zLm#Txs6gt^ff4LrsPQ{2_qp30N(#19hPU&UM>7$|(DI!9wfzk|-UF3N>&)fTmJuDn z;Se(ZavpKiGE5ExpQ#W)Eb{s@o2m1Ta~0FdKiXHwq#{%W1{pZk*7OM)ZPmM6;aQCW zTP3AGy?p@uJ|5W}hoO&8Z~EO~N-O4~#|!WGsM)WQ!Y13Edy_-X+&eMVyDkbJG~a#k zxP%2hGBNPw%Sh{L;m0cCsMzj!A-AG;(%&=%i2GsY+EyEDX*pg8bD4C-H5Wbu$ogd1+pD@<1Wq^O5<*%4 z=AMIps6V2lq`X{G`7R}B1?50rV9oCDEfSu2H!ES>*Z8;iUAkS_=eL9|_-*A4#L*+> zf&nqxKh`cw>ARpilUB1d18KxHpQ9>rM0CY*cLb-?sfRkKhw@aYop6mm3t@1b=*ExB zqKrNiv@vC#GNzloakW?o)Vr?=4$t>4o0z(qkJyNj7^cCb=g!X~FBGo|vt+?(3KnL_ z@v(Ium8(N*;!U%fP`z88{UxVh@HXLG3`svb=`1E>+M5)P`xYTt+TlrQ(k3jNE=)yR zz2uVRtQ1se9YuZ&;HREI8_LIg*NI0l?MM78vcOD}RSX#x_|*C2zHWN4Qw4XArAnAL z7h`?@c=E+7{IY4=h+S?_cL`X4kR!ZIyDs!O6Gei_d4B_1 zG?Tc#kG7AqX(VCT5lw)67GE>IPn!mUXdGfQj=5I$yr%17_E+{iUwJ;cCD_k=b4h&I z1XaYDOSu$0tlC8KPk$f(I;fk=?F17GF)QdwEtiBla8225_czOjyF`t{{9MZTTE0q) ze?>+=`Ebd-@4Vq|iQA6~s9M}sn5Wg(D8tMJwF%!haJljiDG`t_*l^(x)! zsK3}Q4+s3pY{eh4UlWD41MmNmvi%xjQG;a$ig%RhUILb1-(1#uQaRdIYOfxY20&6f~ zD@F>NT}|HbFQ?t92gmQOy0L@>`WeHW7EB5c)=Mw? zVj!?v>^7)MO2QH=x@(Q~wGqoy8&l$^AY<6J0lkZh4d%+b)N1|LH2%v0kwohg{x#d% zz|DZz=u}-^oQsVWHNqsZW&IJR{irrvIK?M~b6`bR zdww){FE~WXfck``goOWn>A)} zm-_|D6OR*LsNv@WT(AX5nPW%tL5%?nG;|>GU@gg&KknaM0Lox|_Y^AaehHp}h9J=q zi09NOJys+t_Q1LELNKON;PgI|&L=ee;mc1Y)GFxc5W3EQNcdUfe6W0+wFRJdxrALy zZ&-QT;UgdWq}_|_;8X3eS^aN&_uD8Pp`iQWD``juA)+9AKss}k8)3!X|7V#20kxn~ zT>CQl)OKsWNN|U!+6QwZszBRlJ|aNm(55k!y(cmv&l@Um3uK6^mxby%RC4jA1}MvL{(+L5NS%(Nb18- z)aI{fg*Gr!_4H-L#%XlA9kLE9?Vn*s*y%%&j_~|))s9Aa5{qH}mU3`6muz$4CX%uH zHsWSLO1;dKIwM*;9pSZSWWmlnkWos%`Mo~>HSE*Cz=hd^XFpTD_jQ+F_r{6E=5dbX1rkH2Ojiz`PrOK1;&fC{xO`$3{bt@FtoY7?|1{`Yta+f%e+{WoBww|p^Mh8 z?pWTmdFi;@gx4y9>WD;0>TZu0yo{8_qfUZEE5*FKt^5p z;W!>q__g<>rjEglnrX(693gYstjPh#NDQ87$u ziSpYxwC7n#uX=*@>@_92V421gMDK9|)lTullJjMyJq`u7G%S;;N-#9E=>*mS(S#*l zBkw1LI+^V#UN>gKp-i`1|OSG$a}4jr#`ft%&fSC(2{tH4VYZw-s@n^)VG z7DI*bpaHD2By6g6`??JiL|21uO*|wl+8!n!=5Wyv_rssyx312~=U&KqzKybNpy|(F z<5s-!qiUwlob(psw%6jx1x*l3H{^2_y3PxSBQ6!`>$94D-S6*R$_oBU5&TR|N2A2| zqoZ$Tab3vf#&l-#Q8Y`{g^cTVX%rk*@Xo5z&-pwbF~mV7qFz zP+rRU3%o5xfbGmwv6>ZWGXS_OC7Es&c9O$HjW5% zP0b(4eQ=e#GUY#VafpRKH{ez1QVzgnQ$BLRJ9X9X0eiP~NO&*iYcEB+xjsU7Q&bB{ zCLOfb)a*DJJuw{WBcm!+qU9V7ThH6%0lM%J1i{0C`#q2Sw zwoPZdZo9S-p#)@5lmX+$3{C$L0gD$l>B;!>@=JVqh^?o7w;hF1WH@zpT7~965_H0n zzkH?eg#3H!l32nW@lU^IM)xq!evbk=$aXtfcF*S@sozqyic;^fUp0gaU3|_2^OlWE z0r>#d`VAd+f8~uzyH8K85*oI*~|M+q> z*w2MKq0}cBE6cczVLht&t-FXH{`5T2zFGIBs*@oB$iE9mtE&*z6O5fbY!Q__z0#j8 z?jJ0a2oFW#DaDYdGGpjgX!m)sX>FK;P~lGtD)-@ZP5&qce>70-G?M{b_lc2QvCn^IyB1?3?n86J+kFpy z-{hu&?m3nx{1H+hgEUt+^}BR8NOp<*{n^u7Cb_DWq5kA>p(dBZJf|Z|!1L$w=grH2 ztkt0efB*QXEAiNASDFVe)jMnIUJt1yYb-2dhL^){afTIc6nnjr`O}hD<%=aJRvRlF z_52t3OVJD^y0OeQXqAmrv%w`KMSNdz%p?v4G{2tig%s4;!UIkNT0_b;JV@_t$nE*_ zwwqt7{;y-z-`I9yW?ByFXjHh5XGmZAZXGg(t|lyhy1^O)#pHg+D_s?_Pbz z-6I%NNLA!-txfAl*-Pp}zk{cq$?Cvt*4bKhyNeSq*+L9U$0p+EUZwS5<;w?`Vb$Jq zLmC?Gs~$8Q{dz)p@#Zy;ghb7-AT5M2`(AF(=2#^>(j$W+;$FcOHBziI+Rv7IMmukW z2hy=FN7-VlIT|;AtC9qD>cbMw(KLVjmle=jZR&opAMa6BtA|UQB+6JTY2J$~aMqr* z6^`zB9TL{_lz87E*KpXG|MsdyF~(jx&`44yH>vXy$M#k{pi5>lki_snZZuPN<>a6fuG;(RdWZLzs)x2R$ywdm>5h!d#{`4Rc^TZuSW22c-yQ`uREH^MK z^Xz0x-h_Zu%&Wn4Kdc{IftcP?nmQML;O<-KyAvWT()54*6u4;lY%r{{cN%`Cs` z<10Kf;1QRP0Bf_YlVnmlty2kRP?;m>6ttx8FL?mSHZ#pGoZ)LNNJcG_A0(4J!dItc zB;L)C^G&MZznfTp*w6jW)aPJU-p%CO64bm9@=B^^N4Eb}%5Decjc33E zPt+o_;###?YFE~>Hh8g}BKx?iGrs!A2$P*erBreiO)$CLTD$-c{Yt5CgS?aa7(vQh z%#t|RM?S_PX6vC^54qIY;ZGj!EaX87Z&fm>YUBKuoyF=0p`Jg}@AtCojT7MX6Yg{1 zBdmv-nBm2>57&n#xaKW->=akkC6qmLdVH}EJbOHK=2pKF%@zVn^VR}U(ye>|wd#L3 z*$Np*Oy$M=*?c|iEz}W|&uUatkpR@K-PG15Atz^h$~}QyMTsp3{wooxL$_S}v<(zm z`n8X+@-yF#WhP(dY#^?FA^5>=s7{BdYCINuNIz#~I<2djXOMa1A#4o6DA+zf@|s1< z0y%&x2aESG0apH3{=+RR)1>q5L6WIPV`HjXw%9u|~xM~CRto>LQ zNA)5!ZBpv`ds34?&9_pt4BgC}i>KP2;)aL@aeFb&*`uB{71eOM^j}=$zsE6Owk5(0#%fX&0=h+Dbo3p3DGBk*GH9lag*DvXIbKINrA)J4o z`g?}1pJ&#=b{iYNum=KgJtNJnRACTo2cL20hj#R`R=1Tmi69XO+@ z-S^v{Ng+%+A}(}?u^x(kI~sMid9|Jt$D97I4QyqHX0+Q!uNt&+nrG&D8@`EZBxLYa zY38~9nJFn8s4-)ntq?Puj1G!5xKUqHdFKyYS~^luwgE<634eKeqNsT{aOUC)S(H8X?MQT+KhVXKcXi)v$?{R-(d0FH ztG%jgKvO-cKll`cvx;4?DQX&1mMwW0hE_9%w5WWXcY9)}dn61FzE_Zt)VPZuGj)|d zl-Ib6ds6uSQ+~6ViUf*Oui5kehI;Nl$JsNdV<8*tUyfA!P_%j4Ok2{BT7MG0??O}3 zuslS&%_tw?ouV;ybb5P7D_n!4-L{(XzMAoWt!iIx7uZa1+`O9xsoo4ZQHD$&Z>Tlc z>$kMG1AderoK4c=HN%b#LsHsE+oI-b+~+(xop8?%<~)TW2WNX!10H53DUBU>9(A@~ z0m5_rJgGReAE1ep%!JM79a@OG03V67x|dmGMX{cb|LRe4c&FV{)7$J zV}ZZc!94OlcgluM;~9&kktPS-pKxcs$I`AXd*(f5E>3Iyh|!37a>W7NkDKmfSiE{R zHE@+#JA7nAeSOF1B|n~jEjJ}?BAw;5)q9bgaeH0@dT<9Lv~SN`&+Bra7T!lS3m4E) zAo4G?CJDvD=X29x0B3jg5fwa9H;i;>yWmA$chCDwjjSg&KQ^fyPj|rUlWyO6L(iAn zBLHAG(>jKu*>+GO(iYIinoSlNUG@xYU)ppxnvJ27TXu}wzdYD-@Dl)u1}Nx~{_Gel zOIq?!n@fV|tg|6Jfvl~@Z`gLhH{X$>Mp&29nb}wn^(>>Lh;LPLANk@NB}q&-i6TOC z^`T_j@QuuAHEN%10160OKrx73huq=T`kv(gxDvUL{ZzME8Q{kdUJcEM*M}Y8eu*Xi zHSbAtLJT+s&Exh}#YuU!g)w~DXLH2K^TMGJx|yCA>GYA8bbD5U=q8McFP*d#rP~K^ z>6Ok5cng{#phjXbT}IetbBS|2eAM*=S^2Kewl0qV-6LQ+CAGmV*yrPN`q=oMbBj_J z=$l)F-871?h4S9EhtT#K(^lgf%*KI2%qojyLJ4~^u>q;ru>zch=X1A&3?zEsF-Pm(YRqb1m zxhS6#)P%cO;_&LYJtK3`7TAd)gGjLmD~q z;$mHi2l@fti=0hDk>t8(KJe~M0bw=5q|{)l2@{Y7|7OQGm3FB#(u+sk>)vy3NZY~% zL=*1BIVm9C2|UqeUM53@i$Wh@OBma8BIU$bCFCV1?J-My~>PCy;!o>|3~%)`mY)? zCcm`2Ni`Jyr?#O-;0Ve%i?MPVIezGkXESTl_Vsr=g$=Hhu1C-XQV~`W0Xq@Mg_*BN z92whxtoHu&Q<1I#Gb+L0TiD0W5y8YzZB3FZqtM0=*8D}d>z_p0`&nM~hCVoNj0yQz zE}@_D_c_#0%$uR~&2qv`ycI5it)t7_`@{xIIDPZcO6@F31#O)TP^I~@u8W{=ejlf* z*3eeOpJEjG@od~*AW-HM4=)cxaH0_K!NzbJVIm#Ch%0d-;q|aDCG4Q&b7lC|@XQo) zad+&`u6=u}!HC?LHqQ;y@4mrCjaB2AJRf)E7|VUsS;{0+E_?==@@3s;eptx7@&ji8 z{2<4wNq?iSt#LQF^IwJva6Ua}_u2Woq)_zS0psq1GJ~@v_uohz!|SQ_3zKxljF7$# z4?aS$`nS^Sd$Pk?a zwOh?NKI*-HYWV^y5X2ReCt0rFytJ5n(K>!&%b}W~+s9ufDgcwf%XH1{0(&oNTLA)S zyreoRps3bu=kiMp%e$AfB}Vc3Wi~YdNs|oxQ62q4xe9LEr`$CVO=SM~z2dwF=vywF zj{isq1M+Zkqxp4dc>J`1wu>Lxj^25mcvxW776Edr_v#veU9HS4aqgaP_U})3-@!>U z-wp{F!A|bHnwsw_m2D&7-ohw&QCw8d*7g8a$$1+gkiWS$w^0kW2J9~gMH%}q!~Qj4R&>Q#|EtvkXC34x5B6sP>m5IKaY|C2nU+IE?6jrMuEbKNjj5Hi54S zq<4Afs)}srfTEncoX^Yi+&B>Bjx{}}giUj2AXm4GQ>uBe$tlue%j7C*U>-(+_yT`> zV2L<9kDi7{@(YNziyouhiX{9otfDfp0qA=8jFt3|FO-ak7@HR+oz?wRRDh+GVGj~y z)=~N{1v*%G{r$c+X)r~KY)A(>d|Nwukl4*+L!^H4LK(Mt!&P4;b8e>p60GZzodE~q*Tlnvwo+(%OVuF2_+)>7seR1NHP?2n zzz85h#=yZiSl_-Z8;4)_f!-Q>UF!(KeGQ^j-Ci6eyQ#sSDR|_+yzwga^=C+A^6!;N zVE_0`%8v($&5Y;Y0GkLPa-#N%IYM?9>3+I1Cc`EU!?YbDd=rF{b!5&?M$FS562uY- ze4duMUxk6A4cv{|idBu|17=M9Lo8~>NmJE&`)%U*(#mlbV8Ozc*CU*NA7XxoyMFde zZ-rz2`MxvDKJ=Qd!dJzd)bnP9t7ovpFqurs;Bo37$K?@;1)Flq0~MC=iZl{GZNa|( zAHU-k%tXrXmazC7xO2E&xzWTgFn7zxvyFjp>D`at^Zj|G{VM&bYiW_hmXRAy+0JR| zr4#x!>q?_MJ1~)UJ|T4p=1!@>mbg-)f0vsA(ztA!RK^lg?Gu-Md(gbQA2a}8Ausw_ zg26jThFAYQvK`t}R)zQO_dw}*?#s9W#lirMxH_?sDsr?_XS%XK6Guw=TMcS?{`qvi zTqVU~S*nE<4$!sgM009g_wnRep6Ot}?K01kqSX4cStR*zNnjE(n9HYXA09k6^R5J8 zRJtT#0kQ!d1}{5C{dNIBRa9MH90%0G0ZfivD~|JD@|$S5dK%8NNzMp;jE=A#Mht32 z33Qo=lV=fVEtnv!Cg~Qs2{a&4b7Q;fr=t;R1D^qFzJrX@7qjUZ{jyiVC4emfTE2 zwvucZ*ICO+&EB`Q-SL&l=3nDdRs9O7jG>wP1zt%zDN_m%2_~^BsF4E-PKXnD5^~;%?pv+ErPq7)Hl*f{y4Tk&gCGwwvPV zK7LD$GDY1_x>r>5S6SN+Tys>rvOS!9JOO|Vg*YLhuLAv8Le$L{liFRJ zKrHh)*VNgW*4Nld>Um;OLp>u~=`2Q~HmaoB`4b6u)yHzkprKzst}OY;Ig4Fq+fOn2 z*^+Cx+!iAj?)FO=6pVbz1TSj;|F3bU^ViqcCbsFLy8E@gvQuxqI`bUgHUHSc!kBRl z`gV0GU>&Fq{}Zc2flcr&M@pD?nR5_m@_8n$K!2NWOK;3M3Jv;g zeO4^;>8OO)43;#MX6C3s$MpV>sghA0b~?E;J&)nI_`rnahIyW zzaXr$U}P~O!o7xx9(K#~S_>OHS}`}zE+4|v;_y|C-!v&(4@=l*{xJV_{dQ1hJQkv+ zD#+~E&2b3`jTeP?P)i}%VlQ3pfAkEFhwlsk=mw6`5-;K6T z*9o4sRdh8e`ypP+KHj~!yl&sC!8Le*+Z&VwVAT0}+$+*h7u`o#9oAx9lg&;|ON?>* zW-zG$v&QxQ(9GY;Wvx!I?fe@9zE39!O?z#Hs#WOY&lIIIx-ABm)AfsfGw)wBp1SQvmFhf z(0{t5gudU!g$rQw@|GJ!sD9D=^(IEti1%gmgIXk8+);Go^Wz9eW(IuS@=VeziNtWjR*Qsq6+N5acam++>)4EDh) zrzHX(zI{_*&vUY-*88ov%I99vLPG6Y0@XI?9%(&r}40P zs$nh1f!^*P$$3j*Y2k8q#M`Fmekb>4JeFT8KGDcxpUKijR~2n2jyCg}_Qdh<&ryw? zmu=e6n(*PU)|gmol8TZ)d5d8_7i*;+H`&#++q5{lCQ<8B{Mer>|Lp#BR%zgvez4Qm ze{I6!>koCF{eJqZ*N69+nBqA_i7tC+8U55>r@v)Ls`al5h+j65@5y zU8F)V%Bad{bsHJQwAw|#{$=@VD>bbuGXn@KS8ic$7T@7xr(4U!`B11ovjHPq6$T@{ zVtI*zbNoy+zKlF@?Zy;2I5ke;ID?mK`oM=b6AYi$j-gwDe>3raxj`Q}8KJy5- z;;A|*zAGacF- z^Bp6!G6%d4t0W}w3Tp~4O)<5@)DEQurgoUx!CPPl`h%Yrc+O&Ct5Ms*DD(3I&tsSu zKA?HPzIiz-n4Sk!^FkLs@hINRYSG&K!gD1Z%d7Y}y$bOx?AlUb`aW0|pXU*VXDRqO zKIm^aNt(b#U4{g1;I4e8_>~39Op#pMkTE{aPseRy z)WzGsKZ7VeuPDEUvTE$>ZWQ}~n2Yr#7QqVOdPBFA&qWNQkE`r`l)<2jk6j9brRj)n z^q~-5DTy)~>EHu@B^8doeq7UwYQu-rzow$g@b!jmYY*cquUMiA8$ou#^H9EUMI#gL zJ!ZnxM5Y31V@vEl#s+C43yr>sA~4@tsMQ`^3pa}|;-s~{%Dsq_ssSw)RhTnAsOv+# zZg;3XCRAhe)f6YL@M3&^RQ=JnT~M*D`bM8Rh}L4YKy}PPe6>NU`o~^l)xkub4{RVO zO|4q7*>j8yEJn2(eS$_{3|x>ZHioEiVF2kq`H>w}}=C+VK4yr!q(`7!18>Lto^Ozgpe zzf}1Pm0u;=N9daJvjzLA(v=SO8o{m>?DtClR(R@P1$tmFeI2g2Fyfvi*o?|~-4L5T zOX&%M-7&(N>~gkntvBfB@8+K(I=$@}UUOusy`A#VhW1h0kuu@^jZ)*uE1LXlm4=oO zA1HiYQ{^Y_4953HnH%x3_bQ^hx2?|~A-X3(E1cUZUaorFPxauZD&YMIrF$xszH@v= z^+QT;t0>`oD*jHe_b7dZcpR-fUj+J|@_d1mJoTad@B{LEkpsWvn8eO1 z$5)B`I2NypZcyIq`X<4kwaDw6mx#x+Mf;kFPaA()bl_lvdnx_8(mN@=Na_BHe3ug) z`ADSs7q5+iPrpJ3QA_UxOd zuf0g^aHrTt*bN`Iwt9!kX)oZk4WDnNuQ%D3_A(w16E0gY`(*IHqB48|Ih60J$e6^( zjkhSJKYmn^@e@3JMDtjIZp1q)0#75lGOoe%{Yno}ii~5GzCfwIdQkkGQu9gcAC?+_ zOR0VCR@hprFO zHSP3v#X|*qw_rn4`T_F(KL7v#|NnRa5@ujzXkcJqn6qng43J_F0^(qIzj!~_5FgLr zka$n7C_S6M2Hz#C?070TqIMhENUlA4mf1GeHIgAZwec%pQ=4JP;$)I{W(uIEHvS z`MAahxw-=#=^7LaQUwNF3>`o^y*qn`0FVX+A3|eDkS8#3AZiZ-!;h2U08n0Z&#_Zd zKw20@t$zT}`62#6j67gJIz9i$0+eR}DMT0uQWPKHAA}q)oj?`5a7XL{^7v5n_=ZL> zGBYdyicJeIwzdV*yeRUX{*2rV6M#Zi0)K4Tw7|_Zh*1dai;dSjrUO-= znE^^$jI0b9K#3i*FT9Weh9)Sy5$^N{#)>aU9|I_)Sr{CEtbPZ}{XHD$Dm~#Uqkw5r d7@Q;xZ>`P&>4n7!JTb*PIR?8j0ssI2|Np(jsBZuO literal 18469 zcmXWC1yozj^FQ2{7K)~Y7Kc!@KykO^!L5`QC@#f{yF(yQTA*lghf=J#yStO(65O2x z4G@w)-}m>Pd-l%kXJ=;j+`V_t-kI5#j~+cLBYgaDcYC;G9;mjZtDB9JBLklRH!n9I zgN%oby#)im06zn-Fb^L;4?i!T0E4EbtEY{*CBsKkb2|n;22B+P9ZNF?c?%o&2TkU! z|CxLA=%d2_M;@`_{2yge`akOZ_$U+qH;cT-HBWB9L?ElnPgXwDM@)^d%1s48&+}ZO zJ)-asR4f(kbXc${K8Lva^jqpEWkEh$#`50fw~gNdTe9!C)4#F0C@+!zrJ>JjcHr13mZ7Uqc*q(N=Ff2i%IkPj z913jr>?3P<_Z_nR-u6(@bmqm4wuH!FT`dhgzS z_g?++;FbLO(JHbr`*cl3gR;+1rQeQQ_s2|W z3UJ1Q#NfZdGlx0=%~ocR?bs9M0lP34=Z2hS=}hcA8cpj}YVk3^zz1^@BB?j*^dl4_ zIO&rD{($s1I%y=tILQ4?*I54SXyhpQ``pucMpbiv6nUIC@x0=ZPQg>4bB1b>qZq_O zi|jpJI?*|g5w9A{m^bYQ8x6>~Y8rA6p7~XB$Fqo|I=KZSsp^JIC>T#)A?|&+=OO;#r-!Zs0_Y5#{>oHnfBPVv z0|1NiqaTv{4xFO8hR3ZF7Ct)NO|8Oj%zw4IrnXJgF(kkO*~z9m&Av$bk6KrtR!xlc z^r;3%?iH)0<0B3Jm@En0)gCvq|KGHT2ijn@tTxTZ4|tc3<_}IbZY{bo-Q^rMFg&Ve ziv<}wawF`npO+Mxe!RFU#3&EEIfoG$_rvP1WHnoKX*`Z&Y$T}L5@(}59k=U4L~aJQ zhE{$xngkfpw&bLCw0_6_$tVfA;r^AmAqr?O=zCTf)4~THcOd*cSB|r`3-QWa8({=i za5`6&Z!v~GHQC%mGTDc2s^)iJ;1fh+-*Jdyu$htBLFU2NEUf7FhzuhE?}bh{9MIl@ zs<*MNV1?YOmiwn8G%?fzGJi}~-}DcS7_H)d$oRdf5ojJ6V>bn@>b^&n*0rqdLKCs~ zD70^;K*+5qa`P%(%V|@1v)Hkkpx-g(bO7GaeD&Iw(y1&;mN(L$()&mWvT@EmY19A_ zy7ymdhwlS2Tw;!nFHt~cL{(y`s*&jxH3);6eFQmFoC|+^|GXVU5(IBbTzf~}7}En+ zhC*&UZr()6x?cxexjYY`4rOoe%X!b!zK)Ju?NURE;Uz zfqAIjFF>>(0T8sBxC9Eo1@G?eaW-+}{U)sZ(OGyS9T%R>93TKb`qQkDc;KU!B66^( zKSsCi6oAUk3V5`New4k;q7HMjy?O!-{DRV}S{#9``C%5FD30_Bs@46BctAUNhdhXX znE7EaZgQI27O-YUPe7#H)XQ_oOnWfzf z+6~9C)Vx~CH&uGqj+{Q<8jG2GNm=KfGH*mN&EECPA<9frg300;0u`Uts+<<*_+qJ8 zbeGaqrG`utUt+>yDtvRcj6|IgCj|_pShjpLm`Df2@#h;BY-n#d)o_(ef&i>2#ri~FzhYg}l zmQ?*Ji*ZPt#?V6(evL;n#}cZl#AvF7lTXho>yWT5`-$#@dX%r}J~Xd7Pu_>d)AN2$ z_-F*KG-W#eofK6YoF+U;VhdN2`0Mfj%>EA$nGCt||JzS5p3HK~K^HyQ?-s2*6o#xM z)xWQMDZ4G`YCUedcSW=$wts}y?NHf#_A`ftwiom+e14%eL7y}<+!AZk~$pgThyKK_kTu|RH;q^=B*bv;Dg20wsquSbqHE$FPr0`mC6 zRHpsWEib#tBma~Xo_(gjD3Erm;g+d+7P5xt%2 zw!b|4ORPKX3{Y1?3(BRH_2%-+xpJbG>w8y201aTG>H&w&Sng*=)R_n*zDPJ^W7uNUs8PB5_ zPp@$OU=48}>Cw4csNKibzB2Cpcv{~qL2g`16kSM&Mq*10AN`^s6{(zCuMh*Q&no(vc_s4Nd1H6%CDya!|$|9LR?LN09MHm_rxDolZRNCtr!M8vE`3wa}rsZca z69MtZG^Rzujs9x;X8xr#a`@fuyMz;QT^!vM?kK%;qH83lAkPp94QN%A{}?Qh@syix zB%zboaMwgSzeZx#l$|1CsszWomcWwrH5KJ=W9KcI1Z8<#+&xx&6V{e@0pmIuDaUHZ z)d_1RE?3?yt_}cuzOJ8G!;ar=D~VMRQgZaGS9AHt+XJB@5wMzsxd_jyD1d}XED+d= zIoh1=tr1n+Hk(?FaU%Cq)??oFOzWKaW~s8q!b?%(fGQq2OZ}dVX+XX?D5Txj&M%gzwzg^CLgj8ER5@1a{UzU0hWD6D=wI+c|4GT@3_LN7JmCj= ztM%SbGhSFFdy5+Q3SgX#^zD)Mn}B;AyY|o6<4Nv{B&j3DWh0-eg_(RK3`;%XTzy|b zM;+m&@O>C2Hxb#yeS8M%%KB6VCv`~H>GN!IeOa%X+~}Ksv7g=-n@q;*oQ5S zni*%W`~M2<52%uEUSS)DRgfDR{lLi3`?HMub(yeIx`l`0XN!{}PW#XAD=}I|J0kYC^ zqajvSauEb>{Ut4<{`#?kM75(@H@F{&GG-C&i~=KQv0>B%Fp~kY+u-jXiZHn9H@PjY zGu&BNVgYaKfmGnIcRTxS*X=Vv+`YL@lpfP%J+}H(x?0E)<^%)IH%Bul||fUR;tcRNHD{R`TY5^+i}vSO(D}OoK>~k ze^WH?%DExE&bVYCma!7og3U-2Aj^? zsQ57>%S=&SZOoHt^3Zg=k>Qvzhic5}7rh(4617>rV9QIO2>psCd3-Fd=^og=l8}QK zECKsr%2JH|>oWN9(>-1k9?|O==;*xH-@h2ye*cj01F+eW|3d|*zg$-S63BDHucd`u z-|+fhi#~ei(Wg-RrL#QqyXz8z2h9$X`-2b+j%H;sw4>ScLG4}zn7J>tgj;fZU2;nN zKY{(@Zd2{cQ=Mdz|JD4P3g13_l&*_E*~+CjI)YjpJdvr7tB+2mU{8)4)n*4mM)a-F z{zXw1bz7&?U_ry#b)f=eFZm|8FlaC-^lx)M{OQ(y29Urw(b87-{?ac&w-}J_Xg_RT z+lkdjc{&2F-F0-~d2VBufB;Kfw;4m818lC{%vN$Tu3VR%FtZ!rz6C4z)*#J_ z4zPPHClX_2(hRcswuf)jba9F=8VTNnsdFQ}G;D`hU0zG>ZaJYFAa34R?ECtYSdFxvPmx zB@@SiHm^w@dK)~^@pxGi&L329JoPx+Djt_2Ws~tM4jx7l9)kVR-I3^L6SJEqsh&Ij z+*>bdH;)3J0%ctFq;aK%u+hZXHApcv=Ok_rUlg|AIfjIFT^`E(I2J|TA|wabt{_10 zZ-6)WV;gjT41I3$4L%Yk0{|||Bx7f*kR2a(#lVjS0AQ_<{&Nwq_ro8~dEwA~-5xR+ z$=9^zgTYPyD~A5nGXTK7zC{qdpeqt+LWO#U@>IW7E^51yB)Om19T;9)aMNA;S~2qp zJhqY@1`y9j2gzbf8r5Y*yNu7%2L_C@*n>_l`TUA%cDwg-W4?q4e?VT^$#;Rfix#D3 zR?l{JHIc4lap2K4(k8&JCc^)5 z(Q23nB%wQqU45+qxvGtdlq~{jSVgxcWAdCPejmyg$2-n&y3)o!c!*37T}c#8@Sy`x z3qQo03o-qbP8Z<#vt_pNOAYPk$E>aaax#-ev$hV~mh=BbTj*{LI_2J;!JA{dB!0W% z;|}NCxDt_GIx*>;*(ZuBU_x2Imw|1+o<*Q0nJPZrSZw`W4MLA)X%8rn?Ejd+5hh7- zUwK<|AAI)s>UK*H^0xXHkic0oqLIrvQ`fq$V-~mn$r*`);LX}M$1OtxSKN%%s8;1$ zyp~uX$He|!_1l18S8fRF#_57tV9&eMbLS;IMzVre)9F}QqJyaaZZP&a`^CmSfktt^ zNQQP5+T=$*l>IwQ%@?uMY8HVeIOnbqFNkD(2mj~3TpYkN5nZ$;Vh1@WxlGS2SeXpc zKNXqHS3AV{;Tgeukbr708c$NF6=})-d#TnpZY%UUxIjG%JriqK`Gl6qs{%^TO^z!p zoYiN?M3~M=Sx%TFFimSyxdCFz&oPa9lXnZSf6^oYRoHaCbI82G-Sb6ru&YwM3@A(2 z6`lCC4KSephe`Df_`={o7Q(67d)*bOs2#qbmW}g|_Dt}}2{HIKNVWb}QtpaLS)~kjPLP=YOn3wZH#)DjqBmM_6dh z;G~He-5(a1zT3(NbH=&B03Q^5$}K=XXH81uoWyGugYbk^aig0HFYDF|D}^(l2q&y` zj7=RAsl=V}BqQ>yc{_{Jp|s~9jn-_%aYSItu*?5!GMj$rhh|{>8>cje+^#9cCg(Kj za+_~NH=0ZjY+i7PSyJm+p`z=$pfcX_gRSKM&1OdAahkcH3YaTS0ARyl#d|iS3Kn`8 z;v+VuOsZ9+`jU);Qsw3ZHQPvni0dO~Hg2oYEBQ}<3;xl3{GpY7PG#{|ctQI2##Ju-xoLRLvp67gScddn01G6j$+&lNKrY{+{W zy#DiDvYyR5=m7utZ0zxMYBoAufHtz&_T{5DM3gf2Lt1VdYa*7=v$sz=^z7xc$!8TcMdL$h+i$>q*UsQ9X9oep zb=}2+dw*)^s)@E7IE67G{@8eieCE^rmx(SQI~Z&}S^v+ZUTBGaVxs~4S$OWq67L&d zbQ|Bn=0J~*I9*GBPwkaZ)t*}-3f?pqdaBzY?J-(({E`<{&+sT}puuU(ZTsrhiz-_U zgyymPhX-=fq^tMAl=7<8h_rz|^jzOU%A|n{#;u<{ilFw!vA2qIv;QhqnW-zF6j<+j zlcg!+MX$8BEU1aUZuwph_ACqKe`z{CshC}H-FsRBI8O)NUTm*YWW&dfivV_x@OZ3M zg8)|D$qM5SxAR+rUuJ%eY8f_eX+Ro$WcK=?dTu&ejSN@3@dTeS%)sxTI6JD^TnlkH zpr1OP{|SA5a+ATfvc|W=8Tu4t*ML}mUHhEGK(o`}ZN}>~R!}|Hk1}y#`ad!5Z_Uc< z8`nhA@#s0TgrVoCJzGx~b&CKL8J!4H1cFM*f|IV^P3@#2t`VE6etKnZ?NtF+<*(?4 z=?W*|Ba#QgYsKT|(pWapmFZNYX(~rxV;>C$QtYiugKG4(oIaOhqiIqP)B0%yYl$iyTWTUZHfJ% zpLH+RiwwTd-*i+4!6+DwhZWUV3HLNnyVQtlr%vp9983@~iWR2fUgMGrTWR+EQ8DwQZGszb;3&k_%}^{%(Ze2f{rc#X zsU7*PLqqp!JCO2Eq>Z%m6dt%$bs_6hDu_IL@a)#SrEgcn;|S@0r{4noD7qM`(TNAv z^DCQ0I==islLET2^lZD5;7#uNudCs2FP-~o?K_AzB;n96Ys>Wa$eqv*;Y7;r)?;7Uo zf*)yS<6_;U2Ey2JTiX>3Rn&&uyCpw3pLHCL0`0A(d@iRHKWE?5J@<{m_+p#UQ z=_p;tjVV#^KM#oHC*^W^H~pf~Df(S|aolaB``B|kd{>3X30B)AMO^8^?&bog`)@)o zvgLfUP0MBVbSo8pTmb*dJ#;F(A4(iQZXMz7!1;U<+oE?{HZja%4^?LleKu^8^d^QT zv9?}U_1C7bQq-fwzn!a{n%>w$Fx-*jZU%h zuWXupr~%96x7QraaRL<5H%{_rXd;Nr|sl-r)xGIOYw$XVy57l_dImwzs!i$=6(*_iO6!j$yDAIJWJwoU4r}k zZydu|2bq;W5XTs}s`xL(ZMQ8?oS4VoKd5gzEU!NF3p?iCx>{`ER-{ja31x5th0d~u zJ9yu~lw(Ze3H1$Xc;N%BBaq4EokEA$fj}0O8(XGHk;;j{*#!RuYF^- zmH3ex*%|r%g;Dli<&|KcVX|H0+syRFgbaDs3P{AoQU+%9d#k*-hQ>ZjWzZncyhJ?% z=D{`>=_7MHd$LtslJsj_+(dEin0Y@S07qhw{TMVZx3+UxQqK*b2zK4I)O3uT!+3_vIjTr}+MIw4Dz(k!$;4^bXUF<1~ z@HDlU<_?0;*Oqhg@Rd2D%EDnr*~vVO6xls&h4Wrc`NT{nOsX!zqO5Or z$pIwc-#qf^Kxi1G#^e<*V*HBnnDmI+%aOZmmJ_Z5VVcy}#u>lYPaR9F<4vgMF)%dQYtglGh>iUVhHXh0lmA&g_a!wA(( zKXY;8JdL+t3`BG=QdS6i`=j#4=&Aha8PJ>p=o_l!4AA^YO@UT+#1CjG3d%Qu2j$1) z-5N4OKzQGXDmnrhYf;7_Shhc)7}BT#urBHpw$=j;b_Qqf$wC4oasjt+j+$NQFGSPx z{HsNnDQ)VWEVfNFI0g%3U4pUR(sDrh)26|-73HgB7_pD2-gk44Fz)Qh&7#NNcdsfsYYRzXL&Lz3e?yy7 zz@S2;TM7cm0BXjs*jK+J)oCdiKWD=TwQyntx)iZM{3|-km>AGr>RDkMHwE`d7=5<) zPMV9|widMiD4P|H+`Z>VBf*=GAd=9Hc&Tnlz$KarFrj#CFqMrm&9ET1-(2UmuTf^*^wed!iyk)lep+pw^~xYOFAt=c;%2T-zT71#Oro8v(1Xc`d*6b{yy0 zPMy1L;D8+d^_9b}rsJ~(ddjC^Eq~j7sh%Bw!%omOE+ihP)8V6Fz3JwDjP}mME~H;m z2_%$;<%zGs{_aY(6u{5gLjXY3akNpuinscDupKC$zGJ_?U@c{9)52?xTkSmb@cM1b zD@b`XQqz?KTdn2gywxFepgQL9t;29Pa#wPUt7=4jkq_iN?lHV3lbzckfNO34{BpVr zIG-~tIV%j=c#kAQ`0)H&e0`rIjLTB0iBS!THq~_kX_E^NwW^nd< z_!%pmwtm!z{Uequipw*@&LcB6?%LeU&PfppX@JJW^ z&NCod*;^qZob$skg1qau;vf4+2WF{P)ib_ua+Pv_f4v3RXK0YK_|8LyLz(V8HmyLT zF6qBH^+AuS?=%$|SShYVIX zq@?MsUh#W13hDgDmuW{;`<#FF3md|)CxXa7nFE5V?F%XD^#>~o_He5ASo|J%Sn6kz zq*3>V#FU-ou&yu1atu>C7GfU&Ou6oahb0LXzj78W@PfkkUezL~?E95*U&)pyEkAmi zvq~Bgg&NIjsws@7`;)-TuJb*32n@%MmXP5vOC_gUxBM@s!>3E2+W?T*=FSbk!x{|> z0-eE{NS!Pd)pcKAFd5@sqdD>taV?-&f)lX&mMpV%2`El)^i}CLTw|}X^r;UB7=w;o z=p9%J0*RA=_QESDxjR`L02u!Z(|+f?i-BaKKl$3*?>Csl3o9PiNIXWI(pzzqitBcK z!SzWVR6N!@w#LQYO~5YZXhF_kvNM!tC_Zo#fHD5`X%oio5W7KxE_=C3pfGL{2JnoR zm+)1toxufj(;}%FaOd<uTn9f(fRRZ>sUYZhZT~AB% zKHEZ1+>RO6EIcp#Y1|idil2H^5NBFkL?X(XJ{~Xd_kPjpV;cKD2SEhCkK2*i$sXF2G4(fCSlqMa~wqdLBcFg>vz8l(# z^~omgQeD<;tnBUfTlBToH(@I9_IIz*?(ecT-4$xzH^X^X4GMyz{!K2e>-**pGB@xA z6U{tCyR?>uggz}y5&dPY=rp8rcM?HbJI?(*%L{R+=8kbpn?{sx6jItwPmUG|643?z zwae}Pnz3+e{Q>8#gU;K~+GM)t3UA$1REKa{G3A(<5@(d+ z%rh!uVd-6$_`Y?P*bkyRJ%O;M>_#_%=T4}PiZbdOQ4!qE>A&5A+KskGniYRaMU##D z`=w&NUM->DqfK62$^vUBS`dW`b^$%y=#Z>;LqkWca%Co2RpKBE@_k-C^7vK-22A)RDG?u+;M}4SD{MlE<_Rd&w8JCsj$tE zWkwk0DH49bu?P|&!NQ*tPYMp4@D?HnQWwh7tXHh$n7ugsa5y#LnZtNQ>`6a{wSv=c zZ|t`87Ib}diGh-ls-qv<2@*O5E(0x)&Fi_4}MqRODo>yT5?u*9Fs5v}Os zz3!}w`K;XLwVW_#{Kl$~c57I65b9*Qb;Kp(JAOqnT=NX)OvJ))E(kKGOpK+0%E7n<|NB4-9Mdy8+WS78zz#; z0UerrmI%vpKXDW_v>UjMd5iz-dj2x3Yy_##r6<_6a~a#$R87Vl!8-7bt)c0XtqPxc zM6Ukj;u;(8!e@oNSP$cm__M4M^Z2F9W@WW0Oa_+z{`l{2-o5`sRO2F5R6gt)dy@5w zI)d(V+9&g=iSW>OE7H*V;5xxF4jr85$BJ$4$P-q(PXn=U^F zGC)JK8R$Q%Y&Y|Z=n#=Iv2-dix+3@l!lcQe@@nz1@6s88&7a!bOMDr>!OL432Muz} z5;$E{p{lzy>6SZPb(G>?!U}#ygzAzIe1}b+Ic09Pjn}CPu3Z__6DmfsJGUpf%z_7P zak}&D74X?Ka;=yCSn{`yd3-&oTT~KkJ2Bcd$l^KfC^^bAom0_8oJ?x)Tbgv&3OT?c z*!AH&8Of{GSfmHWY^CMO8Gf%XJpBYrb2ZhJ{4|J zQifWr{i&(N9T5}Ui*g~LXYdNB;MvJrjYGHKRAM6`+$kL$X%J;&(X7m4zgNVs!R`ntMrSdjO~BGYj& ziTIR3@c9KTU7TW*_H!-jjse+fqoqiKVL`rnM^orMFMrFyPgM$={OA(D16>Wey04`h zuuE?w(akp)<(HRm;wdc+y3N1d|CCzs<7ov8>{U+5Fn7sO;lRGJXTGB1v53_kfJw{Q zLuOQixLog{$K#`F5~Kc28lVY(0^`^C@+MsMZOYc7r&y~w7|iHpX1xjSOECzgZBH7L zww?#at^mA~ep4;=?AoKr905aLdKbj@AbFF172eEk_F!YS*}4b-PU5)PR@B98>S}8y z-VsPP$bHQ>T0T^IfY9s!kFLo2DJ#a_wZIrh(e4{q{rkMgL^vg9*KSS6nT-`B%WI+4 zJ&g!eV!)*j*`G`Mw>pqMR+Ap4fo7Eqh9SntZmJ`o-p{*s^P_MC{hB~uolW}ry!+VG zMV$CV-6d++xQrRyL9vZ;_VW;1@jQ&?fgQtM2MBgTK0@4)LKqGE3GqgU8Dtn6;&k~st59`h+^|G$8qU|slfnsgB>N}17Y42 zQZ<%gslw3UvBw)ag2)R5T@bXDbp}dz8Qc0(?pN9WCCJor)ZXHKI$n_ZEIhR35b;Ch zWMvGcS&)-LXw<9?F&$I-bnGki+g~zgh@mat^9WSuy-q<~OG0b*zMD;hl7~dfHtI9O zeoeS)Q{khe2);Dmao-Mb6BV63PtfN<6dv0w68jckN=f%J8*Q)ly$cju8UezhNzbW9k@;Y zYcJ&Eiqn-}kNPx0f%ww*?IG_1$i<%KEC~rYZR7Jnt9mHb1>{hlO}n=vk}f-AXjBOK zSk=|+{oi!|P--U$X`PeS5+;(Os zljJ@3u|2TkLHTb)HT2)1YIv{_zGriLE2`O+XXe0rop3DMQii2IPNfyEu}e6sVgDL} z*jo0#A{)67)uBK*1=jG{Ro0(~i&u5METIPb=GWyW`={^l=JQpy<-+?*MZ0V@09)mn z=BAg2SGMdnCgB^+3rQctb&Mb449NyE1XI60({L;|i~5!t+>1jcjA~9lE68}a(4?y2 zFB@|#b;O=`+FW;elYa}Sm@$m4aF;1O|Eaa#aQ55QF|ZXH&l4TyPA2yxa=+h=U@xEu zJ@nT~V)?OW(Xr7k1va0po&b>8YphS3T|EgqSS;xKQt?b-MvcH{sn#<@yNdWcH zj%Jg&)L{^mXNbQ!A>^FTNGhadYG$)UVp-JKx&vOkeC=rC73FC;P##JMY~9+7N{Lf_ zttX|w!d$KB`KAPKghIYM^!qR7d^Qc1GI~z+U;dBG?2Ue>ochp@1DxcvuYYG3f!h%nkYMWr;hE>X(38Viav2Jk%ig4{^F%! zQ&}I^gb+G;EaxbPxR}FVrzDoF{}^jPbdEyoO0<1@-B~Cl2dPHqkv!t|v6ab=&xh^i zLQg?I%%JPYWv86izl~XahYOx!bNjHZabFMKJ@ZQ~`w8?ZZo59UsQ~58+m%Ow^eJU1 z&ZaNcvTnZ|j!U6}R}1|cL@?D^x9@}@w|+pVEqZ^ZgPaC*xZ!_fbbvbOXgiu&wBUhw z&R-#S&$5v9)Ay@$V1IZC56EuZe=!1?16$0YSGlBC4|wOVEBsTHjVUUo4BsEkuj&Ze zqRKb)jrwu!2)L|8*OGJ-oR(m5oY8bc|76Ysw*fhYWuI zgEGFbAo;3Rr{!z7CCjN9hufQrZR}H|_V3rQpU0dgbp_BDS=YO@SKCcrmbP?j`J)r@ z2v*Ot6{Z^6P%l>FS%R(l5m{ePY5W!q!mj-ie`=!a92e5Oi;?rB4Ub~mUN}4jzdm6_ zf+fzf3!vx|g4Q9;yWD`q-+|aei8Cw1^J5_{dU~Ffiq9C+Em)d?fo~$>1CI+KLKke? zV}%(#EzBUhQ9oBl*AhKw-se8+dxCM=AH+(oUm^jGBMJEg4+~BNY#v&B2A4R%V&n@t zJnde`eB3E96}8g8Gp-!G0P8lp`GXsBF-Mm3S;!t79+!D-mU~6boJT4u-zLoZ$2b8kir9WZ#sTnAlp-ayEAC1;gr2 zOiwU&)X-ZU^o0>0aq{h(+lvXP-}$QQRX|}OG(c96KT}d@9R@nYS*yY2>hIIqk$IFY zvU zq8}gAz!{FpowW0hV%pnWoj81aZzBf&x92z+IGvKk)(8nR$hHnH^j@j8dHY13sEtft z7hugvznROcjk7vV_t>SG^DRYy)tO=M1}ciK&-U9^YW;4Edi~P$jdh$Ggxbqro4RQ& zAK)oXQsmBjsby)04s*Pk7y-{8H`C(654cF3AJUsXS ziD4tTp1*5ZX3f!;rusCK^dl-{;ll0O4fBYFZ-cZ7x3PrB&FY z34z^iHn{uOl(kH)Jo0uUbxI>tcQ66%^30K=y!09A>6dU!(BWh%D}911RqdjAY#_cj z`mV{-hiR-K5d_etha?OP)UeiT(N}nLro_lk%>cHu%)Ch1l32)!xWBZcevFFT?`C?~ zq+KsyQ6vkCR_KH$ZT;}$DD`&(R&V;WcAp3B8u=g1K=M4>{?#=Kz2w0%W^%N>}xcm0dfa^-*(YEI|jdWeu@f6MNuRoiM06M+q&-9+}yjvXc6b{#+IP-Je_KyO~UUf9sl@HB~`z)3SPS)@*( z>@-=4sIEgB=PxVSv(cqazL1(jwx4bNcv6z>T{jbi2At2nqOVDzr+75rDv^Q0pIEb? zk*r_tSlxx~%}Sdkzc7T`)g-G};|_K`*YA_z=f6e3EU|W*uRDHx^}G?S zvZBMSL57RfUuu@fvSkevJw%fOGU5(T7t(lKoOT~lO#=y!gv5n0hU!#zzt$h>G?>F- z^UP?4R*9jdFfnN|OvhIQRcIQ*A%+lL@sg|RL%I*-OEF1h1Hk}#2$Lo7R1tX22|=8v ze!CCId#rF4fQoch*|V;VLC}|u^5icxs?r^oGhR?!$)&DR0?H~F=PuTpcrkc8m)FN5 zHBOu672@~`WGY^WGd%)tTOHFh(w3D4rSZeDY%FhwJSZw3yXFkK`U zBG^{d0Tdq87tQMDMYf{81&rW|FR893G*(0K*qAXca=4%a_B%;M9hesn#g-bPbLk%UbNbInoE!_`-M}D)%>VUmrt(sm^hnU?%6q6Vyx`s40nJJKfzqeqV;tR8Eetd3hI z^)uu7|3*Yf!MyCm-$hULI)gZAXvf28NBvlhbOO%^fBorD@m@Jk8G07&YPsQI$7OL; ze#AreWBiwo+Xzo`1%ihvn|(8UG{*c?YEsQK)%o{{!VVYCz4hO3@~OSfy>dZ`ez197 z>t2LM4P^*k#A>PZaE0wH?mA_RZK#nFiVJOgGVH%d!~CIzNpEO-hkSY7@0$P7&yyGH zVgc1BOeoBw5_j#mUbb(1r(AEQeA_*s93J_RwVX4=zj`|DySG2TYg8fG z)>7KQ_Uuaod&#Ex#X>*Swizj@{|0RX?;2yG#G3;(oPq8D>0Ranqi@fQG44L*;Kg5+ zozDa47;gVF*+}Poa%P}F+*=;lV)Ll!PRiLISX#_4I4CWmvBsPb(=xyEZfilXDED564D$QDh!E&dnYQNkz4ee-w!^E~basT{{B zQtsh6l=>>FR`ZB0uT~DkC*oK8*E;sPkDl$rL+WXC?b1MD`ONxvtq50c_#Yy-5_OV4 zJM^>*K$90jtCW41uVY?1WkBu-jq`LPW{_qUBf*e&WF6pj>QHCsyeN`qn+8Z zs%z*a9l*oHV4zIqU-Ol>XC1Uf_OxurxucXIcOntVSn%UQza!TqG%-GrjOpre>Pv&= z+UCDBob&N@ zgM`gEgB=_iS)ungyk`+|!?!awJ%f5xq38tDt=2F2H~2k+;5QmO@iDXtm?)+3&0zK{ zY!LPEsvwzg>&rVQvvp-L)`=a*d^v#w{TA8r(w}&8YFjR(igj6>Z=>{HiMNPF%kQ$9 z$9ibfL?C=qzP_glzo3;LGj;gq)+tMlCPS1HCKsoWZ}RQ;Q+G;btN6{M*M@{ zXmNFexM=RAWyy?GnGbc@3|;v9eoAMF%Y#keG>@K}o0#G<8^P-9TR9QQ-~I}Z%G94K zikJF#4Q<#ed+UeHTG$G1uY4vqR$j`r%D~EK>I+Fs|jHXC ze-wnQezW@AhM3sB*OVEg)cjV#%kBO@4+9%vtYmBwe)Y@0zZ4|6=CXy(K=7`1I#qph z#ji!YG9_xBl~b;CF6Z*JvDt5rJ@XU>`7J+mtG@@xYC zqF&A&86h&8n7_gB}%D3r!BWj}N+<1D~eI^%J`?qzF$#!RM0PI3o9NJ41c0!BPVqP$N0VR-kGzvr)lMM~&Ul4)R{?Fh0NSy(L$U%d$r1pBI6Jma%jux^+9MftH_o8+X53skW!UOLXU8n^L8Tg3^wgY^{v@Jk(GBY1{00A+ z$)U6!g#3p~E$tuI$kT0KjQ*F=jhae(6>kXo*Cx~mr(HX$8U=RhoU49Mp8Z&?Foqma zjat-A?3zJ@(SVHbUKBsTdhW)d@?g_OdwY|(_}**UZ()I5CgC!-*&~!Ha$m{ zvcJ(wk0DDo9x2_&Mm86vzYg&54QYoTPCqRr!iYM~{`2|xa3D!MI5{ij#&joi5=Q_$ zh_iiZChVy5=98A)0GvRdaZ#JFP#r4)@BQ#p;Mah+ zQoR53r?23^h3JP}(vPc4)9DUoLtczDhnsZp*9F#KzV2$*uh)RSdTW1Ee|SVz=g|Kb zatDq0^TecYVogzD)DLFx(jPNF%=}P#VCIMI?g#reVAHsr8{<)`E8IUv@W#yUFY4aV zSup`A0Wr9S{$*bs_I$9T&;DozCE;CuV+_`Jwc{%nvuBAIfo?{ayc2 zTNBcZU5UL^;b8L53|{(V=7*UdN)OEZF!O`=z>N|Q<#^7X(A=5}duS(B43LiS^12|3 zj`)E$e`fflD`tL}`Jwc{%nvg^cn@UbVSBHujQQt&dxdL=_VUlAY69n;A%*K1^1OfV z!+vvtZ^hf^4E<~A@f-5+sqq4C@27zOjZ#g-Wv^@C=dO1t{2p*9Q^7~Fby!@d_fQN7 ze)s^9UfuEhcs~SvfN>2yPY3#6C60NYgVMiW0fOktuZ-+H@fo&PitjRTVvPTwivD+Y4Oa%OzD< zHtC5BzWTCi{ZZ>StU1C&(hI*?Ms;DZwA3z*`0bnVH%_37GsH$Z&xeS=Luqm+e0HBi zcXq;8SXgs4;*aeZf4+v^N=Ql9Mmp71iI9kIdleP1<$&hlEiIvtrzY@YXcjqSd+Le* z(cN%P7NNcI227`6c%ZaU2Tlr~9WKLQVwiz%iByB{pdJdaPHfyjpS@W5jdD_U5sB-zjE{ zzc1v(6=Nawqp|tpuOOjfm!OS}S@B+0XI%>tZ#HOEtL#5v4leSX@CM|qUfFMg4J^ji zjX%~TFb*y#l^avkxG)57oql*~9(7{U??<6=aWDNL+qrr&7n6C;$p@?M3r1(9;3285 zOmO%ey|IXr+at4!Zd>v-y|I)}h;-#Ghb~ADt`AMJOs7M1z?k|JWyWyw+jPueackxO z((zrD57F`alzGXTI%s~W%&)yQ$@qxytWLI9#|GO~v~tOu&+tYEMZ?_MOuff_w_@Pl z!nu>O0y*r83pKL> zi@6=;N0rf!%-rzPI_H<2`7Hpi?>hv;R?E7q&3#*Pwo1mEbo?;kuT=TzNQeIS(fQX@ z?xyk*mBcT4-cQHGG3~(H_Vgd{(E*vrz}Nf=<_pCClPa%LHaIqcZ}!kv)I6b$wh9kg z+5#P!cb%(@ZOAcMMtftFZ;M-B0-EsQfKu#wpq!Kc1t!zhHX{#<(Zvg!aZ4YXswCJwr=B7TK88 zRMHmsSnV^qUZwe)v615kDAT^{m01P9e}o$zB_9eWF5m_JJ(U?ppQH0rRbH$To4=~k z@K)*^7@0l~ZJLRHVg#P&sXR(0^z@@wsCJigG;S2l)otNo4n_0Sslq1NHi zQ(!uxb*m`fca?ZTSrEqgRSZBZ3CX+K1v!4BjpAh%6A_4~{QVm?qCVtkcD-RM?Mr(y?IC pKF+`Vxf#E!wA;!h>|~mT;WPR73qMW!P1?((jl3tt4FCWD|NkkKzX$*T diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index 1f0381536c8081170e070bf5c8a9e622625c3d68..25f603b53d514df44e291a5ea8f6438b17b3c588 100755 GIT binary patch delta 3378 zcmV-24bAe~8sr*~Q-8fW00000005T@00000007+r00000003kS00000004La>{{7x zoJkQMhhvxf6hZ>Q71S9NuFeN{8QckkZ4 zx0Xt!|4>`>`8LV^O|toC?t^FH47{Dr>%Zu{p5{YcOWlQ1>7Uep{+at=eg@`eV15SV z4E%#);@|1>UW$?UtS)y~S1+}9b`Dpz`rB*EDogy;6ZmV9|DHI{<T6h!rW4X2VSMoVgY4eMpbeE zGw~<+ojrg*2gg&@61_6-CTD)`kViNnB^=HF@!*Aq2PZI89R{)p71k^-jo>c-?|i&{acchOXR%PH2Cg+7Kc&{B>8E zDS;57vOUaXKzq2v1KVUECTI^^p>2k?5!!laYoV=%HVbX#CP%uhE|m6J0W=Y{bTbf( zO0<+{D$!7)u0&0VsuGzJ6<#;;F^czXERFIIC4VJTX``m*%ny78$YCN_1%R=V*uh3l zXT*MV#mpglRFV=yB`J4=$NHU(F0Zdct_wt1R%aNN)ft9mb%tSConcs3XBd{%8HxvK zI%w!n*ry32*-T_3k@ZB@5?M`TmPpxfSP8Q`L)l>1C|EWa77CUPhJAu%{Dj_`z?1&+ zK!4heuC!aBZ4cmu3Er7X@R@|0!-|-c6)`C*Vp3Mbq^yWZSrL=6A|~Y=V26fPxg$c$ z9T8gYh|s2gw!~+M`I+2Jq2+E0Eq7CBxtl`E-4xpB&5Yj6=%uTsXNK-VBo`AY`^*!H zSC|y9FezSPQoO>Xc!f#v3X`(R-sCpWDu1F*pw|q|dq`V!h%Lfa?FJ&-#cuXV653rD zjJR?*z7s-NcM)$Tgx?``xq*1GE$ zu{`Kp?yh6j_F%jZjaQEM_j?=Nbyx^PZXr=>tb7=O|XEMrhBu-GtJ7q4FqC0xx{Y#wc}aUt4(NpXmf6o&{&afpxCr7%T=;RWye8$=t3)w16&z*TG zX(y^`B@69hyu_m=H-CzcNmSs~Rn%%a!c510Y-L2egy4LhFPX^067<>@F~8=<>9#5- z-D~AY{)|ybz4>C{TLopv4WX_%LkV-3$B~-~4 z6)h&_>=@<>V1H%sNmZ-RgBfalWaug*Lr-yJ=xL7(y;G5)cP}#Zjz)&wfymIC1rLy6 z{N0N40A<)7%UddXjPh+SmkXTa;=zRF(r}K0fe4212z|e!Fnk>Y7;;~b2&j|(R?I0T z{ghwe$HCNR+0bpK@gC!<#I{H-(5Mf(>yNff>mw$}0qx{+j$dgc#5Hkt>k`WT* zMc3oI0;}1ZfRCx+R6!WeKaN6#g%JP=4{$t@a1^0LlL#d^MVQh79-EQ{hAEX`m=X7C0^b|}s)8}w(g?9*hKJ~`o-hZ8*9k0*9JS%UwJhvJyUO&M>4p%aPku`&YV)64Jw{N)+T^~Oi{=F=pV8F16W*iB#YFiB&2+xo5lLtc*(}qml;isb zeSdPd1iUq(TT^DNSkIp|x z$%X9v&iRw|T&R-x;`?b`WX+;8y-du~>z^!@aJaT^^sl_My;|MA(e3xPyDwd%ynlGm z+gRV;?0qFzZRW0LaxYxMHfo0xxrIQrzSZYnFAS}(zO zPyGBB00960cmY&WF;5gh6n=|{$ce{o5Kb^gv4I9dg9V9UScly)+|FiZjelG$CLtX0 zI!f)dFtM@F4iY;OD@jNwNU(s!!~zmK6Zr*HR&<1f@6G18wfNpl-uJyX@4a~;1@w-H zzP)d^a8L-?ICV=p61md2D4R1uJ-~J9hdsW(o3ZB}z}ie23zbZBXLF;{*x_oLETKOE z(KQ;v?a|+Gk3F3i8q!)3%YTygH`rc!06V?%`5Xt`0r-X3=ogvGn^{?21vx;Iu(RQ( z?OvRtz=E)H*$HDr(?vQ(K|-ZP2XmlrQXRJSaB61uzknqjtEdzZ-1bF35$nI8qZ&|T z?&b{oDS`X6a-uj_!27w}vUU)8}Jh=iAAe`%*p)I-(g+hJP3L1&x~N&;|Eq`UnE&4X+0Q<~9|ApU%m;e9( delta 3369 zcmV+^4c7AH8rvF>Q-1?H000000055*00000007+r00000003JJ00000004La>{`ul zTuBjsUcQzu@hOB5NRvZEA|y0#zC2!mcoWYg88NmuZ%n)}vWydtvm=~|u*bUyA-Hnl zz-ez>xB)_3k@)^6kl=uj5S&;LuzVBhSJl<8YW8K2i`_L`ycYzll(|DxxADl|0emxZ()Ol4=j9O z;RA&a{EOn^Kk4^wij(*aR(pfNQ=NQcZP**^tgUKY;P34nUfs$|`Gb4#*CPL2vHwfE z(=U{;pT62pZ+}!n?}aq8({gsLoLw(xH_F+~a(1hnylXIxpEVL3bTOus_#IU8*#2iRbc>T z;?HF2EC4gyIi9JOXq94ln6(gF7({}=X*?u>Yre{ZqYDo_Fx)*1$yK;(&GU*DEOM$p z9}Ln#<$tN#J|4obD+OT$9~}6TDTfORpKlGE8>9AiftL+#8Qe6uVQ}5xn!%~T)otj6 z_9v+f5mTdKZ@^56i-??^Q7R2OqZMx0p#h?U&S*K5txz^Y*$8Dll(kT%p{#Cm;M?j! zY0e6uiO|Y+0Br@!3R()93K|OP3Tg^c1y!Cm5`QrY{~b(iIG!n#6s3cUb_XdR_(~vo ziNpW|1}hmI#z@_4~_oTHR5YR(BMp z)g6Utbw^=Z-H}+3x`T!;odVQhgslQL3)m=Ny@0g>rUjG*$0#xE?no9GV-#8z7$X!~ z7JnGy6S~S>h4dP%DV?WW=Bq;~H+oWTg|ah*L8drO6|j54;$di00q2eFs~sk(IJ)y zOSKB7%)Q2;rLdFX4Rwdn4{g092#Q7;4edRXWmKUeex(pwTRM)sJt$Bbd9{e@5j7%eqC?D(Z=@jN4ejkjVATz?}_e}sNijHMAq%Gza2&7!syY8wShr){Ko-r|;U zN8V(McmtD#cmv1*S>hPOhZWs*^PAY^Vp_3sD@KIF8{!8R7{Sa%H^jYAp<%DO{|=JG zas1W2$>I3sOvu!eHBZ((+3;l3lPym!EBQ{0&?pXc)EwxjI?z#fpri6YM}O^sj_Ly) z^@lW;4TM(;h^yB)>`R2y} z1o=f2f&efc3``%WKqyf9Pk-SC37Y%X3R|*;=_I#2#jqY9-pbGsftGYYYtX+wG6kjd z9t%1o1zLsAbBfWyPzmD43V&|?jcWY4YB_T5T8^B{;J9O38Szk825ie$7R%rZjb-q4 z2Mk0&^nptb=&;vgPyuU zZ>~OV&C$zDzlZeCe}5E0JI^n+tmjM8JSQL1XYfNX0YnhPR29R3rOgP4*;VRzEElfrCP>mO;3vF#J1u%u#sf{s#fk1ZIEH9o4#tod8yz(~s;C)PbKf6#9|h+|T(kX4OVuV8j15<;q}V>z#a?(UY@k?IMY}L&i+vk5 zPi(_OMjO0f@PD)6E(#CvR$xlniLGLfY*cq4N4JroK{hfp7)OR450Rl!JTf#QM~24Y z$k4bN85%kx!v;;-Sp32T1C2qHl5S6nV;A+ZY6U$PWGNx{?Zr-<_Mifm#g3+zK1zmn zG$2Dd3O=*?pkl#hcT zxf6;jG5GMCN7Nv)USk(RXPC`o3VxvIZlmq_TPg@lj0iwjfX^#Aej+KSO(Z2oM6!q= z+_s1>Ocv3H$s#r}S!`G)3y)_~7U-`uvW!MX1*fFhivbWX$&~vl1pcoQB6v>P#^%l0 z`=zaP@P8#seBE~aIM@7&2CDam?2|MFwO#gkJD(re`8Zn}sU-Wk?G2kqpGYx+&9Rz_x;BoCSR1}V`A`k5*h$}^-=`eYv>6vy@# zJ8I=ZG_$uwZz}wUPwedvmT-RkXIsc*;oRGM8Z@;&_UD2^% zY|d^@kCB=a!_7pW?4}|rvtL_1rs84y!B4H8+$hTM?^;TGTf4<_Gj%nNaAH5UU4K}W zP?;f$>ys%!=|`ZSptODHR_qg2%o+Y?R?I~{(b1)3PUZIQ>}Fux*{{rY4{wcUl?&~Q zC)0Jle(vbpD=9gjp4&TjZ$0O0RDJQiG%p%W+YP-!%;cqyCdoxAx31@xpV=AIc7Lw- z^8QZmnWrg99`-lZcQ*6Stgm)9d)=MQjh)N=%@;rWso&fV=K%_wl}}K)giU|#c=vF2 zd{pW8m*C4^5lfMTddq~kr!a6@tKYYU>yOmJ@1u#4h3kcdG4={^`8kz|wGqk_n%Fcs zwTavJZQ{o3Vd920ar;b|xOGx} zYhoJv>*2*u($3Lr0dH@xk(M4Alays&BdvOS%eJ9EJQ-e*>629yQR!>qD}et400960 zcmY&Wze^oK5T5f_OrAgDVT`{J)JB^`8&e1&>$%=r@!cM~C;F0NK{Q~OQhz&B1Z+|y zokF@mstAS@LQ*IQ77BKO_!n4N*@@scd%Wja%+B2ReKWf=Hz5V|hKPQATwjBu5N6}V zE$B#ON+VD{``wYf6#6~ZsE~}cP6O(sF`S$4UhczDJ1noGXXa&;1&@3K>*dq;Oh2vDTz}(!_B@jo&)#;Q z{bXf-U0GJr2osg7&gZCPPP4%OuLk0gAN;vKZh>d3*w`(>^Cb8_=Hu<%Z#9JZRNE}* zqAg&rdj}4S6u&)ar&(ZoN4vf%x5QbsjbXVjUZQS9U;lpk{tLbj#8nbaH2d5h6}6R(82MX!BdxvwAhcDqaItsab5Dn!_|0_3hsQ009604klC@ diff --git a/cpld/db/GR8RAM.(1).cnf.cdb b/cpld/db/GR8RAM.(1).cnf.cdb index 61ebbcf85fa2e4fa608bfb8f65a12835eaec0d61..95f04d04935864f79945c83cf08fefaa3b3e94eb 100755 GIT binary patch delta 2247 zcmV;&2srn%61NhNTM@wr000000049X00000001|UY%zb#_d$FO9+8kZvm6qKxbGvJ zG5=prmtE7I8GB~fBq%Pc-QCsoud1#-rl;-6WHPy`^kkN0|0ur^lUxgQi@yJskCq}k zWVh*iu(7`J>aCueW$;HE>j!K2hv2__dzS6fXMcq5Q~sCikpHP1Hnt&&X2Y$W;rUSG z3n@=c=sSNTINUma=InMvthI-4Uzow$Tfg}H=FUrIy&XJ+c)0aqbbZpw^#C57y)cbb z+prCWJKLjfaK>z~wKLf4WIW8ES-0EAY}BXQqki2Ub?!EcVRLX{aBBT@r?5@&8$Iy{ zd*Tmu!?TYJpR<+1_E4s#WzLj&!2-80yV?QVF=~H><&GgMz#ZcSc(`-1`wBffjTnJ$ z6K>YG&>ce-(H&zJ(H(;p(TBTD(TG0M3w^W~`dBaY@m}Z?-OxOPnJpdnHG_AYZ3gc+ z-3;Dwz8SpZgfqCCajaD%9hD}#lgXH~6A3=sXgFM&P?Bq%=UzT(o~w3|_ewZB`g&S6 z{o;S;w59tHJWt5`y3{Y!@8uVjQ~k@x`*^M`0HpeP7ifZ{@=da|-Hh@+{`THb7pF8n zynofn-mLL#ibvX(#xreC>nr&*BIWujKIM3%wMFsS|3rb<)pIe$Qm@0W_(in#Fb+~( z#GgAJ_Uyvq%3u-D?sK*+&T1b2&LQ1o|cU#TTsr|8egJt zWq0n}=#+cAgdb(oqpX>F-x{6PX9i|}OuYe9eZV?+uPpx#A5_j`oOSXc;(%Vi3sU-apNCKr*G z%v`?~TGIk45!L`TMiHUZ8oZAdg})c@QCVOj8rh`$Fj~cBU#4!|_*63BZ~&Ule|I@k zw1jU4%9ELT*O~HVZ%S6HO}T3%r~4Dhr}LlP**v$CL+VnPOioYe+EPI6!KHtBLQLM4 zMI6UUtwn<731NZrS`ceMT1(atR@wv5mBbSL!ct=-5#n;y6FfGAMj(K+Z+j0kH@}p7 zHckbPxCY9k+0(y`iXy?pWn()T{EWolKQprQzMt( zrKE*kvd`H;{)c!@d#VERnjU|1eEN$XFH0G|W=o}fU3|WgJe&SwWqpb6YZITQar|07 z>Y+9EXI6Fjy7|dj^6|vJ#XlVbP8r|Jcllc)Q9p_ZEfWh_q?tD@%fO~`C@VP|Hr{E zz3}EdN1~r~@W4;|A)9}V`*kYcs9cSEAwTQ)>`e(fJgYQcYx_OJ&0glfady*O3An2M z%y6?ykhEWm;>N!7dSN54vXSzTk85^m`FIXlT7DV*T9;$?kJLZ87w+WX+uEnhJXdB( z#e&?vYpjr?M|(zIVyfcx`!@U`_MS(XF(jq@I=gjz=0TNy-jRP|-%2J}YsBZ*h|_&x ziPSgtzp$rDZ^juI0m_iZApAw@(t*Izk+ej>2`>qVxn^+Qw8NjIB3@z>uV6|1#_4|e zgFW$wdg2fF#2@L2KiU(2tSA0>PyC6lc(%skk5e5dkSXXq15H8aDQF5h&p}hrc@mm} z=`8dcDyx}Q{HA|44!i1pIBs;d3m_9nyUX12=T?H7hN|tua9P#1C965F>y5zF1zhDB z47d^aTZOA^u-wc8w9Agv08fUwJ`xz)B3l7>Wo;plC^1IG8FqH&ppSB9tfpM4l4iK_ znM2*m1t3+@3|BsLc;Pe>_Ehor5MDmo^eijqR`B^sej0y`ReThR|L=S#HVj?g=bRs> zqZ$kJ%lGXmRgCzpz*Xa}mGgrg$?9Cwk*t1FWl|u+vtA+N2Mw>tA&mD)rVtPM=&8e+ zetN`?StoZr`3x=(9{yCokM>-w@Iu5(j$%G0{Ty@n#^VCm%KV(H6LlC1UM4A9+X0o= zVjC|HU#Ndwr}b&O$+gKpmkxX(ZrCHv_weONZQ%`oy!O%N)Em*!K zyrSuPzOX!Jn+fUy!Zd~WRk_u;GYb6Gs$57z1au!|LPVEIU17r{u;CSbZPU^Xk@GD( zCsy>F=DP*e6G1sWn@*+HSWN8irwroad?L!7PCrlhzn>jlw1xO`VyyB2BY?clFomN! zx*>l*ua~Nj?L9R85=U4_9x^GV%~0Z?G|P37spSSt69h=`_3aTt{wKnl0rkxOnit(jPa6 VC}*=y<+B0L%44VYSWwpDzy8czw)yMR-J()}BBgk>PW;QrI5K)U?c*GA~%*_GMQ)fIELit+3oNWCgfmyZ{e(E_Pp`XQvS( z&~3ua`WCul$RfI9%p$sD&?5S9w<#LYM|z=;_Cg=)g+AU3eWDwhXE3v+I@y~wo=x#c+tPTZ?P+}_pGKryU&W^!kF>TZKKq|25W9LVrdaBA_!Ym1)*i+| zs*Ct@$HSgoSe)7YFZo_KW%^}zOTKNzFQR|3*Kznms%Y$$x_2VM;7@y#I z`N*4-|6PD8dH7OMDX+6y(2orxewZD`54PQl{Y_AdFyYL{JqjmOrw9! zA`q%u9Da2En115Wm#?#37%Lkei@*6{c^m#S66UHc_BXpzWqkcuw#d`6@nj3i`C8*k z6t3*fog1BUZl8I59-pWVM zOf1VDoE1X}AWH&Y8i7AZz+(}YpvEX7lv;!L(W3D80zN7WOhhA_lpjW`xa`Z+ts9?81{@AR)A{c% zXNs2a%|LlFQ|~%czU)oOYPBhMjpTHHBKdUwvpbvTc5+Bv3X{p{30+$Xs6BtUG*5`h z+p>t`SgEy0&^#e5a9#^y4M=Or8p29@0J@S`qF-2Qj3h!_u6lyUhR_HEkoIlwf#&9y za?i%8;1SnA`FCogWO>2P@|eeLIw%Q$rl%}FttIkx{6atRuk-U;`KfEhR9{lRHhya4 z^1GC@&`b6?JIMbK&uLFpKwf{-V~$UM(c@()!`E!7l&_1=H=(=?7> z%SS!5#{SHzE?+l4IZHmC*thtnW56lnd-*PZON9L0Q3q?y8sUSX{!q**!zWQrZNU3V zI(C(G+6lTeuNn#4>q;iyD6B?owvlJ7+Q{44Sf+A((IIa-@u;Vi%BO$rX?-Q1MxKcT{_RrY~%%4fJ!IG5w3yugOPDww5YX zokQ_pd-Ke*_V)+X4X0wRlr8fc(`POF?fZd^CQAKjlBKP!yyBj>^*=rz@;YBEuKxcx z_@x)#oaadNvko5kX+M8tvvI#pI%3C-=gg9DG~*l$qzs zEU8$K+jor>a`b4=$V*IBynf$?Kg8biC^LqnlwW7Jj?X-(($9Z8QtVsF1Z$1>{2Fn( zFD#Mz#{L)fRO!t)10z5g(inulNL@M*SUQrH2sq&-0WsGM&YO1llT^e@Y~mFxiQhQg z4}Y*H{!mZ+;hy*-J@H3-;*a&jAMc4j(G}0uSp0FS;{-AVooApa=sX2YLFYMW3OY|h zQ!t%{enVw7vx=dTmIZiaMMt=eHbpQ+O}jh=XJdin7V+g zJc9u@0)MM;l?|4gd4P7=ks9F1FxN){V_Re^;I6DK1QI32s5ryU&K&en&WzQRD^=1A zS3Yy7Te$$FN}A!yXAUo%M#7#d{vN{1N1L8y<=hHBU&((@qp^yQLh=8d55-(JZ z<8)MGfqwbEJ*A2fzZJM@+_iFkup?QWYdVtEPpV7`WO&vqWc;Av6*+|QKFJi~K_5MJ zSkq6B*fHzmt|y*=;<>7w|wd=G#Z8y0#`RCGsFT@Rd#Q7e+9H}k50g%@|+MIkSJ}reQuUto6pgiUw z`xgKJ|NnRabWzJn!%z^N)YkfHl`6O?*oC;z3N0uiK4>1dO(0Eb5-Eysb*(G?fiB!D z2rAe=aHAU$DT-g9D;KU@NjLt3XQDJ#F>vPO&N+XRnLA07LM0+<-kofLC-;~ zEkBUL3A_y!Cgi^5 zy?%dsgJb8u^$rSG5xKt?!a}%;AsWHk&3v}SnF%2B>Tb2B29{4^%46rRtn@ads# z@M-;EiPw<=qC(5Xk!TZ0QVntUSnkKcX&|y0<+9Qj>XVK3%q+CwE*pf7FO_}4KrQcUDMNj zzJUL8ZQzbi+_7k}hJCCL#Q$==H{Zwnyg$i{aKJRnhUC`VUY2He{92`jtouC6PRXGZ|q3YY!VdCeZ2=l%Z087sazx_(1!-gmsf zce22DYCe_6Jb&#sb`4LXE|iFTKBh1qTXgFH4fd{XjA(3^Xx2;7>K2RU8yXYTVfH>IQFW$%B*)hb^ zAIR_w4gpCpFaa?O0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34;U> zGcgDNNq^;=LOVbrFdKYbgM%I2U6ItP0cDvP6o8~&(!}3Dia`{JK{mJt`G*F?heQS- zE9V4CvVxWW6*;pOq+AM!og9N*BjPM z3CMAhKLb=0$tTQhs#BXixAgA93i>(dQG6_#;ypPBFxltzbd?#hHN$;X$l$qd09Fd z^s*R02q4j!(U9_xL0(O5l$o7+%G-))*`^>o6i)pq>E=L;=fmN}87jUyx_%YkqV2fH zcG6=z4K{pNn12oueNPA)D#t`1$9_T#rO}UZKxTV19Vjn#WKfX5oi;TSwtzuehS~*T zoP@QDKp19ESeQCt?J^LCJ)n&FLvA|`=|#>!CcPy!fmFET^^5WlV}VrMl%S;Rq81di zjHK{8>y!hLx(X13e*$=w@P_HtqbSd*2M~}#YBV3DaDVOK5>mLj7-Yg%!>Dlm4CA(` zdl`CH!6kmuNU$rx)#P?09ksrg9s0cd0ssL2|9AmRkUL5QK@f&(cYTbJvaF)ZvVnr3 z;0V1<6HP!~Z#$J;KlsI#jHu zsj@n#P*!d7F2rP;i4!0%u|xxSw=dWCGTatavpGpoPvigATvobPND;|YMc&|b|L#&E mQZ6NbH~OYK%lSGRXYboWt^QE<2-mO^3?$J!!507k0RR8>ZAZrd diff --git a/cpld/db/GR8RAM.(2).cnf.cdb b/cpld/db/GR8RAM.(2).cnf.cdb index 28cf338e711d3f99e8f0a9ddba3844c22161ce63..84c3ccf86e253478d41ade87f1d4afb384171167 100755 GIT binary patch delta 2062 zcmV+p2=Vue5snd%TM_LB00000007kj000000054WY(IaINO0gS-meVb*WK0A)AZP$ z83%S(?x@|NL-ar~?|H9nZ{)v|0 z`}l+MFlw(e?Q~jeA1HtxY91|Q5eAop0a@hBVl#N9E`wL=GI*^ngUfXpT&c_8^?D4F zOb@CZW72=BsRv1`rXD1%ntG75YU)AKs;LJ_tEL_#t%`bZKMc1!7+LczW68X4I=x=| z;4m@cc?;#steXJWR@5DiyZdHHT59wI7}e0ZbY-fYR=?bG($zW?mg`*^>VmFr&7`d9E%zdtxa zeZPN5NUm3ut?Mkp|G@qESy<9}5lP9@KNflR4{ z(dR!JPxc=|`y8qpL2{no>fN&mIDk}n0>6Juz$MDB;j$Ql+X5*$hl8xl0nB5CKyq2E z5K%6R6++8pu|kZwELI3Mm&FQE=dxHK{LHa}1*#(2!6dF&+)tiYwx|w)X_$B^Ua-iwuyTZ-JPvw`|4Kvt)0!y=JhQOyF^ruk%IUsY~E9# zjvADkA$48_9O3{{r%VcvIvY}e)QOG)q|RX!Aa#180I4$%L8PQ;9}O)<(ys+0XRK4w zrUfHstW(mR1tVvyQ__^Vk<>?%g3N!xoxF_wRuO$ewdX-Q*erv_@jf=oj)kyU<|~BF zvRWZ*maz(9vusodn`N3TmT!{eM%&3Fr4)+}SOfzapid^@V>P7ZZRz zm{){fJde=eSM)PB%JF9x+Rw7|KjLi5`vG9XU>0i|D$Pp*qQc6-COP5-2;+G(Nm~?AW^nYKIc#wc(AhX z1|M|)-Pb8;WuaIIU$ikAwyMyHqVw|cMFkSD!75R+hQr6UAL9&*AIz+T1zCQrx6?-C zB8G8{*)e{#5D!YwE(^e@@pD-i=e7#%}vx41xN!r}q}yTt|a5*8N-*DWrP zm2l$jEGoav`|xZ=$L?4hoG@ z91=W6>=!v2kYQz$c47J5&SY{c>>!nqpSxRZ<}-h{EJ9;#S6hFlY@KhNneD1grtNwo z30E88*>yIOycJ)Pc_qgA^2}ln9_*44_KLKua|^w9(wi~rtlU9g0k2O`LwT0eNw)qN z-e+_e-4_ArREbTin%hnXw}IkjKzMyqdE#gA!zz7}u}XgpI963e3h=53Tygl6dkc=s ztRlcnej(m6hO>X>A1y3%K4o}4kG=R3@_Ef5KA1Uo2J-l55o1@Gq)fj@%OHwy%EUEw zOk7drnsL?V3dUI#l538znFZfsVwZsbalq|6&pEn(00030|9Am(QavvOQ53ynvFmf! zMq{N<%@R(FuNq zb0=cVCMG%e%)9TL`|kUQkwhgTI={@EW-cspyRIQ}k1y01CY1Nd6i z%+Jb>uH=Mki7c8Fmdz^f3(*O9e_=hvrY68Q>L!LnDWH~O=%sk@e9z|)?40YH22NV4 zrw%qe>~1o30e&%7xwEn*=l@(v6p}jXNBnyGIt6l3Cs1iJt-lw^?L=q?vA6Uw*bL?> z0gobu=@7|{&nG1UZV3pKLM9_DDN1Fe&tJ3yP(=-X3Q`TNV&d}X@nZ%Y1N=oy9AX|B sq9vf3rV+QN$8YDsL8Ts0#=IAld_7x(klFyQ`Fr=SBHKdx1^@v6|EUxF761SM delta 2059 zcmV+m2=w=k5sML!TM_OC00000007kj00000004`TY(IYyBsc&8#QT-u`?|Y&dYT^F zGvmPS${n?Px~jUWA5}e7HJda|)4Qk_qA2?;M9!BkTrkze}?E?kSL(QXwEW+TDFd&P3S!@Qc)MfB$T?Vh!WpKGJgDZ6zyk3t% zlIcOUV@!WqHT58A)zpKeRZ|a=R!u!fS~c|`Y1Pz&q*YN5?uX%a2P12~Wh|NZO{dpu z9~>rTJa3_VnROH3+KRfvad+PgNlT4>0HZqH;l#=-FM7W(3okeEM<8?3KR6st#d;di z-BOcxI8-zrheJjCaX3^oAcsRm3vxJAG$DsWMH_!|I8-zus$zG1IIX0Ld?SxP>`!)w z<0H$!eZU(${X9*N`AP>oCXTwEzQm_+0X}x=!&Dh`MW5|O=xMb-pglh}jn zsPBIl3CZ<}vUQzB_#e1GKMU)6T%gk@3jRChADZWBfph;u^Z(6*KL#}fC?%X4`84Wx zI5&qRQ%zRVvK%13C~9Z&k}X!{ceS`Ex3dI9xUaQv?-#Hr-jFOVsf zF#7yQzmsk#UaX23Dw_!Hx z`eCo%>9r3>0~4Q8gl|2RKvkoL^V!wz#|cna^`M@7mE>4kI8Ekujt0Hy-Z?zH@dZn1v8B*s}z#$GGb;_gwsk0#kNS){?Kh@2a>hC( zZCWsL#yTb4Suk?OIweh+8%cdMDad~u+{w$>ZxzwERC^w@gUvE%9PeYZ>{tk!Wxhh# zEUOj5W*MsxHp@nZuvw*%ksJe1W(~>B0;IF=yiDvM z+9tDElG{WVLiE0phz0k=F?Ljc45tTsgWc)6{>*>b?te6G4?ENTsC%ouyL&+LD0&Lj4$s@0}odA z-Qa`nzxz5Rtt=D^;fpp#!&VhKQFLBDzNkO~HdrNU)^PaP_G6r3@q?L_uprBC^mf{a zT*NSrF+0Yu7UDq(+GPP4HGVD&##5mcO8Eg#w)MG!np2Q zGv!FN7K5#N?UTKvb917ozJ9@7bZi{(*vEzX6v7G|>)f)U|MwOtJLeboQePKyxd@fi zAY`NY{`T8E*fr)Wc-u0+XKbI2BgLzz)ZzlU35yGa>=qZuOjukXV7ItHUc%x6;kv~I zvJy_*okiuhc^{t5sGNU?$MQ3l_-xqX#2PhW_Isk>yanV+(o+OPmslQjtKPv;S$am! z!k=$g;mODte zB;jf!JiE?DlDFbZGOxrqU!Ga)!Gm2g!d{VYADZ=I?2`_ z!~2X5qx&Ktohq?uRdd_v;5Ja)3<$4pDo^|jepsbXGFIuY0mrI}NC93Ifh!K5a&N(L znN)mmT2^hZCt}a+<{y0@`Q*aeOo$2L18#hX4E7QAY{H zn;mV63+R6W{OvSdShA&Rezh(13dP!0^$p~m%vXP%j~Bd?wNng#=VN_Up6LgCt!fra zvZE^n;aVb(B86qM%KPeR6@0j`k!DjH;2U)lL!va$NC$|E<;Pp@L$GqrZyM;d)Qk?} zyW87l>IM8{ta3}TB^Q5ON)(bN>PD=+)J{Ou1N?6`nbyyXcZLLA(BA87}3mVif* z!o<&E^W#B@fI9*LWiFQ!mK3D|rq7k-@ng*xxfAP{ybaiq!MzI*2*}&iQ@!t|Hq)`UC&~|Nq>P>;(V- diff --git a/cpld/db/GR8RAM.(2).cnf.hdb b/cpld/db/GR8RAM.(2).cnf.hdb index 3650ddf031f18795e93b0c3ebc321be4c4059f07..d4d1237f75fbae6e45abf147e599d676208e2e7c 100755 GIT binary patch delta 811 zcmV+`1JwMB2l)n&TYqu_00000004CX00000004Od00000004Layj0Cj+dvQw75#zs z(5hT|K=1^pKhR1!`NXw{^oEe-tk)vSVpq<(kf-Pa^u>DN5jazz5Wa8hv7La^3+hPg zoo~L`ne}LPX0zF>2ie5Bh5oVKaZpZVj+N0BPSRand@ zeSs~C<8V?8Ng0=!@l)E2%S*%9rq5x>?WAy?l*tOehCTaX^TcH*)DbC6v)HazynvT< zqDPH*g`ptEMqZo0gW|Gm90K)A<;X^HSfnHW3S602&TR9H^OK`d;`^1|p8C*5-lk?6 zCdp*0?P8)lpMQqSJj&ZGc+^*0L9j(3DTP#mwNX)WsD}2=YBc}fW>jy=td{n^P>eRE z`Wq-HqsemHm*O70KfFR0yoG)6b^lwx$-jj8(0S%#C-bS3+3jTZI+@R%%okx6O2J>8 zudZ5}?xSU{IcaEju43(K;(vD&y|!8PP^28)y>xikpg+x z1p2tCS2I9;q-7Kshlfwlnh=DSJcpOT+ED^v2`WHL)hBpdz?jk<_XIWtkZifTUj1#NR-QK@^BV zHn<1*hX%xlLbuyiu9xX9kAEh+4UctdClG8?NJ=4C%+=7JS&eT0eMa@J%xdY+8^!2Ts&BY_ z#Q_+us+O)Rv<#X?L%*YnwcEslDsQbJy>@l>wc`?R39%UYlG7m?Y2f|$CW}LHC?SCh7`Va4p{&8=Vxj)J@(exN* z+?s-%pgUnoNfruhz-1@sy$XbS>#2Xi*u%}ZjIB`2XBa2~mM4>h1_2+$-h%h7RhR>O z`2-6%=A)?K6BNV{F-&*urV1&Ow*CV8_tY>LtrYVPA6^QIk#GC?Tforzjv7RkQZUAj z01j>++kY&~AUF0x#k_^4kB6DUpOqy$+`{csNg_e6fC{f(@}1;seJ8r&7XSbN|NnRa zOprTD1VIpnYuCq)k+Q6^iW(>w3f{nWwzsFjnQppT*ohiM18-nz-~lu<7mUmd47`DR z0WaYNMDVZnwKRWMQS}w|D5yh+MD%cVaSlU$h<{C!j$Nsub#N?4Nl+J>$0M32V_D^p8`ui+UNP5=bW{_>@Vl+HeM)ioDP8f;>rI82Ks*$O7UOyczT$v9mguGH-G}`d#w9f zcVZlSt@3#{(-US`vwie{QQ`>p&o56eRla+(JPFXuCLmY*rdxFMk>Qbj_>fwytjP{m=4WsTMxQg3 zf(s;WUtIkr_>%MBzTC}mBBY0}`KTH%$5R-C zMh~DJweF8@km~R1Uu4+$ZhREySY6{bC_JzA&V<&c{3RcO;H+7HKuB!gc6RFGaoZOq zGpKfl!`l5;8BMrfL+)l`ysxAAdF4mn>M7xFtY(;}BQJ5)i}vWW78nNe9d=}z zu8y0VorMf3ra5Yguy>7&@9zKd5DmG5&+N!nC_wuEY?GJ_|Z9rY|Nr;_TDc~a|;N)11xvCQ`A%a zw!~)lxlr=tQ&5|vS$L?E$<5mp$b+vo&*{kR8Ir8y;iGner zP+IXQpmGq^p|OejCkF?byTW0O2+d~m38@pM_NL%4AnU%ID+!U{?V#7~tvSxAB~dGS z`c}o^9KDhG!6-zpuxU%pA7!>sBJcx_Cu8gbpFkfc+*a~H%nSI`;8nfsl;A*CNthw8 zL9YOxEAJSIjVG{rPC*STiooUF#lHThi(AVv6WRmlt<;bzcZY)xxTnJ>aV2-3nd6J5 z`Pdbpju8Zs`1UH?XZ2EX08TqjtFC^!nXW_n_b<-L{uCqeR3Ri0}A+ zRw@=d3j}DEsDy*ci-+$fik+2lE5)CBt4*W;>bFMNWx30@?e){RT*B2Sgy`0;7v>YC-nvBMA>LL-e zitR%oMdM}Ll88p@On&>Oa*qF>TEL%11@Fd8yRY>Mb9M&CvkfkuZCj$}ZX*Y0|0g3| zuXd`)qEI&NV^PY?+~HlT+KM+!-Bg=e9v>v+WOpnA33TdRF+#Ub{qrE#tfrX<8OI^2 zowfuoMRPbIWXG{(&(V!FbeeOy{99`!#e?>sweR%hpoVi z>bvu4ssL_TE$hE#ZgZyz$>@$N{X$b$MHKIx;<~jjsh=+J88wbSuj{|hAf;B>O>jVu zlSUaP%H5~PsqQ{hY(UP0WU_BDl?HLqEvZlzldOJzEt64$H=+-*GYl{$F*GY9oOL_K z*v$^-UV-Ph>vn9A4}bADz+r6+J{XQdJ`?oEA;2+J?{alJ-W%)ZZJEapRE!eye=-Jp z(n#*}GSsS{K&cMd{*c~#GEdRtDfnnt7z-*R?z)n?yzxLtnBN!Bni(R;?dN^a04rOg zZ37l-(uc0@Ni9B~wP$cE%(KKe9|w3mD@$Gp*;ng5CMM9w7}?L_^R$n?@|om}inQt; zP#G^cgvrahiM7N8+WgNkC0zs2$;nhZtWv{|3%*d7bf}zY&>bTMO5t_q{kNCH6SZRF zRmPY^J#(y?R*LDSzIy5z<6gJ4Bw@LWFf-~JAf-|kLaP(|9Z0waIkOiH16{akU1aLl z>7brcNOxA@o1Ie#M~2c#Q#HQ;UIAJ9HkYWyzOUtAVMN2#8Jtjfp{zfAr{}S2cOIvw zj=K+mIaBx#&5N6t_5mCo%?7$Y(8G1zfQAjj`%%0<2oG-o39Pct(}{)dRQU8`%!JC|yFW_$&<1ZxBf|uv8 z$xZ@|TY^NtWR)~kq|A0H;G0)zNvV*oY+x^+en_={;LB#5`%<$&BfM{FWvBjmPUA;U zN#AxB(^}^tIZp%L4^+5fyxS!cbo~+g2g_`U4`A|z3x1eKU_&49lY+FpFF+guzhPp~ zg)ORRFWxBO=2G^z_hgjf)hv}eJs?znrP^KgagVt)sB3~ zm|lUkq`1v{gKMQ@-DBBDMZcKKd*ud%ig>RlA8TiTbf;GwI*DIl5|qFM2`e8&VV&Bd zChLa1cCvSw32S?zSA6Ens90n@E8;bu=5rCQ`EIXL*%$wS}2@eV*3i57a}Py zow&f1|HMe4gvmrergLb4B0ygu<;IdW1TJ_0=CM!pl93PTOszZqZS7e<7Gd)!#8*+{gyVU7B%KHdFzn zW(|?o>8CsBGQ;Ah9s(^n7{FO&pF0yBs99tAN2rQf_5uRYTKA*QVZ$(KO|=KKp*jsh z!cSX!KprFSNA%XIXjYM$EjA6v%y6=MO!VF$k)t?`ygN$TWp-{>bi? z@DfR3)jy;FWlPf>feo5@+gI&m02o^_MHJ0Z&+~Rl;2=i^qtT`z>Zk31##Ya4#blO= zcLUetz6hD7l;5;lFN~!*9;r`Z4>#-^iE&E<`RmZaWgEIY`$;s-U6UV@VE8${uOz9& zLq-_MUnlHU8Lh66I7Ca_36VLh|GfzP_o^FA?X*V*wEEL}1;!8bwi2WQO7zC^j1hS3 zO?#U}1%7l#K3Ov(jj!_qGYmgqiE_9TdJ*Yaw|(iIl_6a-m|Mh+xi7}R<6=1F{4^+) z@_eM{&ocF@B@X#!V0o zUuY%Ay5x%(JK9@S$m3LOfN=hjfvOS-X-TJ zFL>&H*0q0Cm$B0azHK61c%`;#dv?YBr(WGB|0-Ze%DubdFQdk1m6n6hZ{CzdciOld z$wJ3fOYm~z7GV#oanb8p&zXa~3XflrvuD`+$B@2TtE>iEL2GL^7 zO8=Og%lm+`xA}6~iL_bU_@?HaYlEF;w3P-!;b&~-veQ=2>aiumSOWJgxn))TiOLk# z(WOYp`NhKdGl?_qyh}6Q$30}0b;XY>={ORC2?uD~nrMA|#@h!5nr4#uJCmpQzr}GEg$>$S6wGQjC6)6sF6dS%`TxInk8_o#X z7J5oeflIgW)^>8bhiamingYblOHEqjJhMbEFW!fZZAA-a<-8Xg{aTwcZTm#+Ep?g_ zGpwr4NlCY<4~ExL!(jeC?M&uzXFU;$qq?cDo8bsxb~TrluvWgqa?mg-3)AVR5p!H# zKzr38X30637d~xZZ_~}>FZ^frBE5c==ror~Zom+hImxKNtt8kjpCm>COcW zb-bV4+kDc*&THtHKy)e~`0~9_Qgw#hrEX&QsWZpt`IVV%XNp1oOYlcwL>OByAJu1c z*Po@p?96s4kDuqqzijMR^Je+%i7KvAScDWN3$-(8<#tS+&qXB<-|$KQxyj8h6)3cv zcO}aRl`S-p$58YW9kABI4VqET27h@jcb$AK%+o@ztmzzB)RjaiX!g;^K$A05yi^AV zgw%&nD-i|)UfQ}59ear;@>l&N|3)g4@eN!76$m?!f)L1|Yvh34IBR=%DS;abE>Z9| z{XL~uKOkn~D!@tGw}tz>)&h9yB&+MNo$dyCSg>4BZcy6AS6TtDP|~#Dg^u+yPdkfS z4fen1o6UYz3@+P5`vJMYS(SfYE_M-2eVWUjX!!?U4wN9Ry8O0<8zfj12DKQ~Dm((- zF!)Li<4C=x+dJYdeoHWokt%Z48)c7+k!rUZ>_X)cK&Sg;N{i}XicU6GqPds+vpz`w z>iPa!8;gIwLM6KnWpF)=nD3_@Voz;ab=>|S@jC^X_Vz@wO+QEoa;~> zDe;`^h;%Z)Q(Ej9Bnc9h5TX9@L{fTCp{45ygN6fIz%57aDz3tUcPV4Eoyh0UeW{X1 zBqSoFotpGBMXm5=Oh8Yf%`bod(Y8fpZC6k}8cf#m9J=nVoGB$D|qG|g&1@qPBc$EzkC3p<;D*c6I zu%q)PCE1v-urE})r!26j0xCqJ976^aFn)^#E$+{Qn90W1VG+)hq|&zhmS^-;UzQ`E zzapGeXzN@iVxLRcN2gPNNuf9lynXT-(y5fE^Z3Q#L+F<7l(J~I&aa4>yZ$V4ul`%qC9ysX^MlT8z_+hUoEOJ9Q!!#phrYUfYt+XIEo4-p>4ehz`AvR$LbYsv?v^ z_QWwr?)q!C2KK!Hnywq9bVKa*7z4pE_-73%7fYZF!H$VPyMvl1=lkG1V14g~5_O`o zmTUa`-^kliK7$RYQY(qcGu)>Ivy@PeFQFfM`1%`%7eyk9PLGvu8cLuAXYD0EAGYQS zYNbcvw`wcOH!wAQ%^Q3U@b9_^m+Z<+*IYs+{C?q_dxOIJhhc3YubAN(;?8SMpwubE z)@k4K`)k}Esh>6@O=)4qz}4VltC?8Vk7$YZLJ;xyDyEcx=^Ph4WEXmy>%6{O2@VR+x0is7~7$PXE5&~r~3f>f4{te3vV@3X?u%{H9H-k z?f8b-{~9Y~!XnVU*=v#3lMt&vD*CduspZyww%tr1z(bO?GPan`*PtNMqqB*3#J;L!>_jv8hqx;6%qbhoicLdF1~Fm#AQO{G50 zqDmoI>-SUnx3ZeDG^H%-m4^L&)zq50?-<^7FS%V_TwcWheCd+!1wI*K-dW3ukjyv- zqYkuH-cMHxl`UdXC=((&rd;%JKz%Z&&dce7N|wR@Xt(ja@}9Fcb1;;r$4+ z%(thIvWyb!g~{Z62k!Xy@!hrQTfV_ASRLR7vV$L0Xg;}iMsj4I$W6&YvR8bepU}~h z&u&NfWaSa736MX{s3j&U+jeh)qva}DEqd)lMI*Dt(3{P4ptC)UXq~U5_sX* z6_N2%I-bLVuxslYGq@~llQ`)D(OjMXx`M&yHvg#m`S@xPee!QKlAXKj1tG-i)qnjT zNmavqT#M5Bs~0J0T63gQ&(d!R&#_y=u7BL-#8PPM5Mjd8CF>29Q@kr+T^P&%YRI+T)O zrqRE>aqZSDt>eSzH&xMC0i$2?^-*Wt`gYaR>2rPbRW;(0jV`REp8a&z(BG4lr`=-u zq1gIF{A!PJ^L1Yua)omtv72(&Nc3L5L>?6CV1_S!vcOw67)OW1IY6}8r{MbL%J0DX zVtZm~tCirs*rv{mV!GEb$<|~r!?^9(yAY*kg$rrHO?S&7Ck^IScOEg_mpT@L!+-xI zQDLLmXu+2l9t3YbLPtNu<96rjYQBL@?XlWPHFMbaIIQvi9uN~2FjQaR+bcb5B5TL&TFN3u3YsQmAYgKdj17ycQAD;Q=Le-n4=ZMy zPCOTajko(^rFQ8=tm-}8ILF|iWGHr}$UoQRlp?a%jH>ilGg2b|xYwp~>nMU}QW;vl ztz`+O2bmj}TOypBw+7ep1o#f52lv2VItTC8@8Jb-PpljFq;L=5|A12X+U9UgNtMEp zxU$c`OL`m~63)1G%=fAKXkutt$4X9nJ^j%9=>+W2N_(OD=UhscB3EEHI&S$EWVvl4-fiL za4HcbCZnBYODmuM;8VdBFN7I1Uf zZ^%k}G4jS67;AxJ%mK|M08zeUGYiFZl4zgSbhmcM1+fMKJIz8$z{ZB7eNGo_F^q|F zdBMx7{pu?!dL}ybJKJxeZ94Hiv1Xw{>>H^*?J|mRM;XTTFn|>jA_{bL_LQ&2*!vGX zxYIiVmY$xpV(2f|tNwW1Fc2K(TUJ!)H`4F%%m{->M}-d{(BWsSqf=;TT$nlL(@2=W zaX`U(o^N4mcgQI)Omm4wk1?um;K1u-z_X$8V9kcQ7%|X9A?zbnR>T47s{WRamrkzQ zk*fM_+7U)|RTMO4oe-oR^*mtPnqQd9_DExjQ}OIyrWgw3G2x~RvLOTv!p(NVJ${f+ z(($S%tCJs}rYEC=DVncwRs{;Ua{D#6G@3>q#&CNL{{-S%#f;a?l-JyaY}esKDfL!g z(F+EYIRUE{(KWr7Klq}n*Y|qoN@vl| zc6m$AQHRS7;qV`tz2Y9J#TP@|&|8a+YZyC}-))656A6xTat!Lp&&X%0Y%E}rTebd- z^@M?a5opbRjQeut&}I+(479n&zludm`OHTAt`#+Z+4+M$nik3a@FkjngE@t8b6`uOR7>wp zmr_2sA30C`>DTekxRLl&AK>>DcS$vurJ|g&K5~$?? z5Nz3jtb3)7i{YkWf+d=K&%LSO3fW1HyPwdcN&gCGT6{D(q5$Ixy=7807B^BP zb5=rBFr%(9?_|Tbwvx%Bt_veW)UD&BOc9=O)(1I$r=)P}wO@uH1!jq2en;ef%gNdl zTj-jM2!-%SxPYIPc4QlCvNsm3qdyR3X5>Xku-sg{X`xk9EW>Y5=B(XnSn>@=XsUQK zR^wjzCK^%T8=s2vWIpNoQk)IeR|#2a@qL_`0kh8(|aX-uS~Se z9pfgLKfd-lXDY1NuQgO&sL}dXXZ||n|2I(Tyig#wpe4mOU*J*)m)JE!G%a~%1~j64 z{%?0byFQU3LIO3*4k|^7sa-aQf`w4ZRbo%UrtGZzpI+53BZcOlg(;r+w~dWlCshvl zX!&*Wt$-p)Sw~WIh%9&{L6s{#ug14$k?|K;XSBw=c;zwyF92S+to`ZrIML!^RA-i( zE9t{O#SzE!dBwzvgm^d9t&phJ8fi*Ff3&WtSH|u4PcAY2PyL?;+(XqBv;QzF!aoMD&vR z*6VlExoeyS}t{ey+p#tR>y#@JI=NGOo>)>~ux({)Cvw)(C=G zXm1N<_NIZhPZWnPVRJWl!)rN_didqte*nTlI`i9BzY6MIV$zVZ=I1xf+ULa{YnKql zp$)JV6v`Zk$u9I(lrIMb+?r<-vF_8+v57QF8{7Qzrbz+F{8Ad^f+OcRGmv7hA1l<53oKN`HJQbWBe<&wye>mYut0%%eV zr~0!{@K08Tdzl0ks6!UBjay}EZD&$lO-yA;Q7dhbzk9K6hO^tbBXlkD@>QKPhw)=r z-!3$2<2%Hh$E)Px4W5Haj=r9)E026gm+bUHNkTr?vxp1z`6guq7GT zr7{plFZ!MK-i<^MQd1q!HX5fb0(PspM#Hb{?KBJP+3;muv_*K1WeZzb)l7{aOXWrt z$*b2|Ws1!;Y()Inh_4te(L=6%ZP@uUTjav1V!$&w!(_k{6mb>E)^GBz$LN>Q+luN7 z8MlvxLVB6H)^PRoJ&R-go^J zJ;DnGs}sT;{rOX19N`h*O(%Bh&U!x+gA{h(??&ZpLC&Y+Wtk=@E`Ll`!K>Q|tacEG zQE<2lo%dJs+(8d8yliT$p$`80QEE;6rb4>6c%XhQDR9=*-QJ?EFzl0}p(vf}EtB!L z%ZZ02KY#*V7aB)Lb$b{A#Fjd7uz%9aUu`kfyBhjb{p2N(SUBME7cQWo(~rddXL-Sl zbm8-%W#mUD+re;V-3L={U2QWwp+^aJUJj!Jg&Evu2Uh-sPKy(eRo&7pm2_KR17|u_ zu^_W7{WRb9>MpkQAz3ZAN+(WtOJlA?IHv|ItlH|C`1X?_&2liH*gxAQrunRK!7DAQ zGiuK>VY9l6?|WzfW4J$-=DZB6QN z_;h4+Xzja3y>3P!8UCQ3COBTqTRX#3kIt(s-jlF;YGs>eX`MM8Ug9e;Hx%<}J2)@& z4i@E*Cym*G9`b!KG2nk_Iz%B_RUJ#-$t`je{39hvAGoa79*C{^LXiYha88Y1y+)Wd zY*=?5{f@_j7Vs`?8UHh|wR-mXwRqW81y^6YCL6!}Yd61)id$bP36eP{$X?1!=zaq} z71hmg92a|Js}5%}Z^Qw42+4c&CS6ZSf6pOHCXJ3@OP!kS?EpiAp3PMif6K*4 zF?1Fo`7Oy6p2}?|7rEx#>puTwb_Q2!{Lk(h3AogMZjAH<2NHt={sodAFL_6-c zCSgJg{@|R@C~+FG=I9!>Nz}(=AA2PLyE}Y&!5nt66Nph#c9#y;UPD@8yEaw#`obTC zwynGz?t09TFMlNI=mo=Q@p{b<5Uq~x^bbCm=5gKP<$C^G#SS;%d&+`K{x{olVL?celBkn=wh*xBc0)aEZh_ig`@ zRqd4sb)dQN)A#!)PPVff=KW1ClYQ`xD}~nY4g$I@wk2=PUTlUkQrWoWqFl_?6cbPG zUNge;CkHW-M?8p{9J}Kk84$E_Hn6j4^7H0A6OOEWXf#&(_w$mp@61f9&{K7d{lj@jwT zm2o=}k-x1`huWy+h8FOCbr4tZ0(6Y12rB_EN$K%RCxQJpo2zNI7pYAOy-D!2=H*e{=Gr~jTx3gU#tpB!#P<}dt?9kG(GORIfTF-7_Q;%yT= z(mrJx0wtB_qr+VZ`RKYF)z7RD|G+8`!0xceKu>-+Cg_s!?U7)^0t|1rI>I$k&KKnahlT@tM{T=K&CZ{Vb9Mh>uq?Y8vb#c^83GLN}W<$KY| z0LaOa!A!n)so)p+XFr%%KqziOZAy+7WC70K2+G%9zcO9Ko`z`SJzV%c58cO3F9=B` zBw(ZXWWs~vluc>~OnsZTnCcdZkNVEl&AJdPVJ}wvo^W+FRM0$?F=;9exBi1_l$;8( z=$U-7VGUA(r16WwiD+MPY+qYn{FXn7%f|%9VlS0nHrp-7tUcUxxI5184<*u$Tad9) z2fNPp6RJL%tDS##4c52c9O{U~XdX!gC&uZ7?jyL2sRe@4j9%^--%uQ)SioTX~M36M2jYqCs}>YQ#pWO zQ_V|!Ie+qi-snP;C3bSqtp67z#p1$xJ(PYhM{BX-W{r5g848^ny{q)^eV6 z`-lKmkKMQ1IneS#4myLIP~9hK|0pkET1o+bl0^Q8b723aTX1D7FD#*W+bQJ9Ei!EG zuz8RDHuCfoZ8dT_y)==uzj^zvQjRU`E9cD3%4)SSpatBloQX1Cmrm>pIo5pKcX!A6 z9F0@L33Ck*$h+;c4-p`!x7$(=qBjjC-{MtOB!AIM*uW=6|G0}t`?0PyH#IbeFG$De z=a>+|!(V8QB1;7hM{G1v_|+txr&0S%XCW_z6CZk~xZ@~5w=p?mLO4C&r>LdNx>Rk$ zsN1y~C{R&LrQd`qaD_!t)Z!%Nh(u*k98+t7oRD8{&pN&Y~xx(Xj zJ?`OlouoP3e3IiKVMdtW1^x)uFkvKN=lm@h`E>ZOzV87dQrDd2IxoD#bkW#@)V8B2 zjz^1i!{}E~h0O*#!ilb)i34S(AKaE#yxyS>m=ZkLq(VD+KVk(N;%8}|Sxj+h(k(P@ zm6D#GlF|}`Qp2(aS-Kr_i>CjQ)H*7=VOUk`$=tO?xK9FdS8~N- zc~TNrkh-!~%ZnSzHd(w*$Le--OF6eMO$4~MNMzuWxy7y2U#qHbFP`U6%|sf-x4tOpM;7=J8peK`?aJ?duL;4*lPrb2>l zRH%>|VP#bMoTFpnQo5vgs1iWjtevwIrNQ~KH2igb4h01}QA$Lpf+9-bh%H0b&e88_ zU&B^#hSyfhwN)AyBX90)RhbOA@&nm7^%0On#J~CuNU7;^Hf44)BZa)UDiK@)>(VTp z_fv$~JS@sr)=()|*k)WE(Vv{-%(2htU=ds7(OpAT$=T%OR}HW8iv_x=ad(PvJbugT zV_l)Ph%gsbdEvQH#xC`qC=;oA+QT?RG~XEc=dzz}u@03N zGgy!}sEj`nqfWm*JI?};s)qhaB6Ob#s=!`To5oTj;T!vn|CO|j!2lc2M1SigJvB|T z%HCkpS!tMJH>+|nPl&w0fgh1Eg)sRO11hSH#2vn9)H;FCBmVw&otON)Bus@tb?$JR zeK^2KrS<9!s!`T{xp9`BttCShPLvX;efgnTw5EOH!oR+28K3Nf*XI7Rk)64k_5Hs) G2J=6-l^m4- diff --git a/cpld/db/GR8RAM.(3).cnf.hdb b/cpld/db/GR8RAM.(3).cnf.hdb index ff2366277419de4e7311b941304003f6f277be0e..7bcc331d0b4443b5b4b2eca43a4153d1900239db 100755 GIT binary patch delta 1217 zcmV;y1U~zU3XBSnTYm)v00000004CX00000000aH00000004La#4g*hN$ zDR$L+Wv8m_l(SeY76;Jooe}66^4?mHI{d}0;8+d+T-G6`e`QDrZ`g#n{XfPWL zR2Uusl1_>f7zU$JQqPB^(rKCQ93_@cs{rg|#c=>tR?nw1QfWD#oy9mWsw__ee%f@h zC!v)uvuZpoUvcg*Pk@T#DV^_FCq+5Rt^gV&XOFQl*8 zdoO*>kbFH6C4UtZB^xuSP067G@z`}PW>jtx zqiTFsG%3pINH0QG710p~ZPBDqAsr7vV4xP85*ib$lYgvQD8rSs2~(@4Bj?fzTdI%- zKvy`)8`EFTd!3^$Q15Jp8t}_jSr#=<;zh-idZLE$*nT)Ms&fB`Db5&FUOxTG#NPKm zN41H^)nC{*yze4R!$+ZqaC`m53gYG}Vq+Dt*(L1M_utVHy?3-k?;R}>-m&s)V=Q}o zrKGu$xqn}$*iR_2ZjB@IhdM@hdCzou$Z)-VSMtFH6}hv7++IR%Eg>Q1c%6`*7zvpq z(v~aw6Bj$xwP;hQ>E!`Pn+M4`kZ{1c)nONK5j69;$3H+--h*K> z*nb?o*Lv#iVFGuI%{@YAOAFL=?yr>#Sb-U2bJy{Dd(SQqy?fj)d^1Pa;2WcmIm|Z& zwrX=$P2Skmb0J2@c7=Ir14g+0UH=_?R!Ipjv$;`NgwsRj~rv7yo zcoQE>+aG>6s3U1vWoxt1_%{Fm0RR7Z0peg_WN2VuU|8OmnF^#Bgn&5M-7nt9-`O$5 z(;vw23=RQFFfaiz3j+s`JR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNPh`~ zH4rl~2mndtn?gH4A}||#U4w%i-CdE?Mge7+85Dq|Ued(hK#D;Wh(R{E2lJY9nvgPc7g;{*JILgGFB-26cbz@A_O>zTBt zb%`TTL=uR7gWcmpTq8mdz7BTwa60t`Ni#5jT*U$Q!D+*p6(A82AO>lHhY>_IBRe>B f#8TcVfmHEBRe8DqL&MVz=pc|N*aZLp00960yB#29 delta 1215 zcmV;w1VHp$Wwk%*b8b#?&(i%<|~=2;6`_g!jt*9Cs%( zt63mnsbklBeE@w8I&5ocw!uJQ`|NXm$IQ&K&4)bGtZ-3+a1-t+1_p`|ZuJt6o zL4VTkYmgoXlI<3!(e(#|UOi1oW#cm2J3%ZPS030K7N-DI!+JWNkjl#GQ4RB+ z$Ik_s>={Vq^I1Dj4kzWL z7t2kcjOUIS(bS}ykmBY0s2Fvk(gh(O7L^IM9Ji{o>K}zB8zZPDG8GW_ z?Zw56#!X-(#<`-Ph*Qs1_^1j|k3^d_Arz#31p{t0?0-#!_QdLBRtqt-q-IPlNj>LM z3r8wQ8{kqn$pxls&N(cbfpokB>39dy@eZWp9Z1JJ5bu5Qa2d-b z)sZk4=1VRsh=Lv$VQJ0F5|Oy5Nmg;D%=FFOY8YmYLlpszqk>71Hz8k_KJK7~sHA}p zBNu3tX3?$=BlBh^1C|$LuP9YQ>1d+AgzyKogn#fEwS@4=7l=@Yo2*bezu# zpDYJF4czAb4#>*cld%-D1 ze;6pzt@80ZReI_(p!artT#h{<5v?azjKM7U%T2M|J z(0{r^7UYAr583ovET8w0EqRY^e$jq-mKgiZ-Nh0Z6jS3v#>&i=+`^m?s@FjDL?tvE z;&ulHcrt8u3W1@IFz2CQs=dP;-b1$b$#D+baz-|6&i-mM!&8%(4%!ioy z1ar0=KC*a>v$7iAnG8mM0RRC1|9AmRkiSX;K@i3#G3s@O5Jg2Sv=3OPaXmMC3*POr zvw@t=LeRoDu(j|3w6hni>?|zu2Id8P312`0eslf{%QrL3{Dz&Gj9PR|L{B$Ymw#~7 zgV=$me> dH`m#^_&5-1{-i7!$1^GeV_<} zpc{9B;=0z?HlHDgh*TG%RPeFYKXmWLe{kj7c+MnuGEJvy=Z+FEw@fCvnR7C^=O&%o zW?7bfpe-j!@{YbP4b!o4{Z(6wxOQ_PkesN6Q#q_^5XfbYZ^8F3zqlNyS&I)$TH?Eo{!F4#64&At`kU)3^f!2g{synm-{2Mc8@xh)gLm|& zhGJNgWcOKi!$k^wDgD$pTjieLa6Wa%`$v`DW_%e7-(C|RUsOupU&N=p8gf5>7F<`~ zKIhZt_~xJ)|8;Yifp$nqghdu%TwyR5NU;8Mc#t0zL{J=h@^~{^OM66_dsSD}RB1fa&|v<|?bj zDyaibo&Dq!00960cmZ@#Jxjw-6uoJ!ZPlVyN5O*Ppbjlmth7q=lGi5CCYq%9f$(s1 zaC30&;LuG$(1H%`PA(!+6#s!P4*meC|HAX4^wENW^Uk~Xp8NHZB8{3vbn|qv527^S zR~_N-pl17l;7;J}%6|YwfFu>7MD2{BNzVeX71RW4IEKYd;kYI*8732cRS$S*E-vS? z*$pYC7%)NwoU8eCTzUrcWyAmTWk!T{aK4^e>vDi;K((`M?&+Rksc93`g6!bA^95nJ znNt7lCj;STqm;qzVP&QxJ+pw)8g6}44{TQB!sAs8$vt1H!hA1Ex8Td|*m1|fNJ7m>N-5X;|x(5x3luvO9|Ysw0p`u#8Tle%YEJ*!JsKX*6cmDV7kw> J9{>OV|Nre>*8%_l delta 929 zcmV;S177^>2<8ZoTYm=w00000002e;00000003qL00000004La>{-iB!!Qs`c?g7r zgaj9ENFdIoysr5Vgb*q{AW)HbT;UJ7bKxI2@g~eTS;tLXpk9L_k*ypj8_&eq*`(e! z%d+ePZ8b@fcl32>n2xpXY?8F_L1(Om?HlIG{uMo)lia{&(0?wD`+MzubI1*cx%LzI zh7R7*!8djAEggJY2j9`bcf;XbS9d1Npna!1;R@XeSLjZ-LU+Oyx)ZL@op6Qjga_(A z1&zC>CsyfkM4vX;^L_yyFJpM&UjH!Y!hDfkoLybYTdI|OjFqhxADFHdADFKeADFNf zADFQgADFThAAgv$79W_j#CIM2nMC6xuEi_#H`iC_Z}1BJ4PK$Y!7KDPc!mB3@90kr z#jqyH?z8NMixl`$`l)ZW&ON{3eCm$(k1D;*_%as0y(T`ssFc3Hh);Pni{+J`QP!GUCZyE-$TAgjLMJ3pGT`kaZtci%=#gfA3Iz*|C4*-?+hHH&m>ATU1_ zih~SPPI~dPA7qm$0vtL+Ol}@b6j>lYC^I9N0n7^89Ga>1+WXflc@HE?aDyzjR zsRK@({p1q>0RR7Z0d!G4OT$nUy{Xo=YEY|V!GhwT9a^Y}v`X{R*Cdc8HAxXgcy)Jk zaCLBZ5LB@Lz{y2KisC=e#lgu*>c8;3D1B5haPG;w_uQ}dbke9sMBV$dJrHGq(DH=G zBi9Kd!M!NhkpW5oe;Mj(M3;FJmS_psid@0ko@w*C@ccTjnsp{Z%ZPY8k5K2}2jZg=CcfNgCSpe&RN_2c2i7G&f zTCj`9{gn)O3Q#iCXWAIa`#<`8VQKKpkbt!t4IXHdW(00000004CX00000004!NYW?*udK|)aAfSgDj z*kHmy8dW7m7AV3Ek_Ba~#Q5amyrj~!wA3QKl9V(600030|9Ao7U|?iulY{{ye**`Q zJR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC^Wc5Hm3d07>PWLOVbrFdKYb zgM%I2U6IsE0A-mO6o8~&(!}3Dia`{JK{mJt`G*F?heQS-D+d|P3ReDCI^9Lyadx8zDXVRY5B_L->0QO(E>4jr+ScS;~t{7_Y%F2K<6bOSmF MBnox`00030|4{a%x&QzG delta 417 zcmV;S0bc%v1%w5VTM>N$00000004CX00000004xMY31eImrnn?ba7mbf zC7=-m^Jy~Fr$2#S)B<{u7aDjD&wv77ff&Sh0McLvCWjfS9xQ{Lk{slKLflYU2Ph4u zsLXkc>`)?5oPq%;V6N6U0RR91|NnRa;$UE8aFd4tBYzMwFr1Ow z5&#mB0b-Ea0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M z?yg8`C4jQb3?Nc3Y2t5?T2UYd+29`J9~uxJ5*dK39Aq>rg8-2HD{^KnkYbPmVkgI7 z*NAuzPuC#FAZL%r_yGT)kY0FCKR17n0cNv2U<@e28mA2*TIF z&K|D5jzB2}uzNVbJ~(YSvjQXn(hkxB465rc>;fFt!>q!R!6~t;-C&KRIId0^ODyl&?cIs z_<`_nb8vHT?cmT&LC}H@?oKWuQWXDzE)M7MD2{BNzVeX71RW4IEKYd;kYI*8732cRS$o7 zXf7`2ve^wOrWi0n1)Qt-bX;f_*0yR;t7E}};-DQ`sED*m^U~KOkR~-r z5k+`)cXM!caCZZixMMR3?KhVX&$w}(J@VqE}R55Vw$-DR5ulID)s76HH`?Ea| zWr5K0gvTS-2_wP1DAW(00000004CX00000004!NYW?*udK|)aAfSgDj z*kHmy8dW7m7AV3Ek_Ba~#Q5amyrj~!wA3QKl9V(600030|9Ao7U|?iulY{{ye**`Q zJR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC^Wc5Hm3d07>PWLOVbrFdKYb zgM%I2U6IsE0A-mO6o8~&(!}3Dia`{JK{mJt`G*F?heQS-D+d|P3ReDCI^9Lyadx8zDXVRY5B_L->0QO(E>4jr+ScS;~t{7_Y%F2K<6bOSmF MBnox`00030|4{a%x&QzG delta 417 zcmV;S0bc%v1%w5VTM>N$00000004CX00000004xMY31eImrnn?ba7mbf zC7=-m^Jy~Fr$2#S)B<{u7aDjD&wv77ff&Sh0McLvCWjfS9xQ{Lk{slKLflYU2Ph4u zsLXkc>`)?5oPq%;V6N6U0RR91|NnRa;$UE8aFd4tBYzMwFr1Ow z5&#mB0b-Ea0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M z?yg8`C4jQb3?Nc3Y2t5?T2UYd+29`J9~uxJ5*dK39Aq>rg8-2HD{^KnkYbPmVkgI7 z*NAuzPuC#FAZL%r_yGT)kY0FCKR17n0cNv2U<@e28mA2*TIF z&K|D5jzB2}uzNVbJ~(YSvjQXn(hkxB4$N?fijDN7s z!_zg$G052i;aWC^4xqBceS4k(6@q<+P!07TNCNCLP6h=aYn!Re9*~GU5F^w&`}+ns zhIl&pxW)&$x&s~Q8Wapt1qRFv3xM>r@M3E=Ak7QJ2#ucpKrRCVClGTnbO6bX*F2_! zMEHRip$rsmjI0b9K*1feFT4PmBQ=1cz#nL%FHi(x6bpj`kk#*CxgS%dCyGjLaBv&m aTAc&ZD-6USOW^?(@8lTl$_M}e0RR6s9E#Hb delta 327 zcmV-N0l5CD1*ip(YXPW{Y$F4Hm>-dnh!3)l zVRvD(tGg>A2&287zhG|>P{I6xm5qo5#XqpJu(A?A!*f@0kl?_%cjnGH_f?059)RJ) z;E)atBJ*P%t2_#`T&p-w599+SqKcsfT(w+UV2RkxBkk}r!T7@#E-& zu9(cQf98{qVzL_Mc)MF|jwG^37_OW2ckDcLBBfL1vq_a^!Ya-Lj_5D;Pd6&`GlUU_ z37D{xC7$=zpT?QtA7&j* ZYPxSXC!(DvB6$Z2?Hk(GcgDNN#&bD zJ3t~Z8+=`ZgB{&n(bO_CC;-*yB~APdQY#9?ARF9+{6hocLm~r^m9qjRS;5Nxikw*s zQZ5C=PL9E@5%C_Lu0f7L&K{BR0scWD@t%G(ZvG$zU{A1t^-S8+x`YiVA_>I4!S3-P jt`Q*!Uk5vTxcWMRbbx)p0rtUZ!j4+tTI0?{D9z`5K&`#{rF{~NVjzT!;v z*};qx7uXq~2I$9W%a^|`E$f3(G!u!JO(n#2q(N(eT&L!=)I<2heQ5kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+` zO`#ni5tt3WuED{M?yhKRnHeO2YV?vO{syTP1!9m5?m_;c0r4S`0m#Z(fs(8Y0zmSw z$eFc3ia`p9og9N*BjP z$N?fijDN7s!_zg$G052i;aWC^4xqBceS4k(6@q<+P!07TNCNCLP6h=aYn!Re9*~GU z5F^w&`}+nshIl&pxW)&$x&s~Q8Wapt1qRFv3xM>r@M3FWAk7QJ2#ucpKrRD=1Q2sE zbO6bX*F2_!MEHRip$rsmjI0b9K*1feFT4PmBQ=1cz#nL%FHi(x6bpj`kk#*CxgS%d iCyGjLaBv&mTAc&Z3sMcT6dq9VPL9E@i~s-t0RR8BK8^eU delta 336 zcmV-W0k8i11^We%lLQ}g;6{;`Bm!)Dk-dch*ONm5g@1HVyGjE=6g?Y_QG+Pa!XjWQ z3rUfHBI1MWW5_IQc6E0}1Yxwd^B3$b1QpC5SlNh3Q2YZc3o9$}Gdy<{2MG?GduQ&P zb6-_B=m6+HoFCAkMr7UC#43-%EH^67(|!3siKw9O09Os47FZ;9^2m6*v9DEN;v`TT ze&Cs`>wo5|crG>Dt=5*1Q6|RGpj}`YFN+!-P*@o|r^Ld;6*{B{EG|n(WOfLp3vafBo_)d88RjNLaDQ$akvIVu@#+ iwWncb_=j0VotpOB&9P{OEjfP|Wjr@i&KCdx0RR8$D4@~+ diff --git a/cpld/db/GR8RAM.(7).cnf.hdb b/cpld/db/GR8RAM.(7).cnf.hdb index 0e2f064bbd2fc64b16114790d2762980c7b0014e..76e8cba0b0669cf94971f049a545b935f4f8ee07 100755 GIT binary patch delta 404 zcmeBXXtmiB+? zOSqKuGUdK<;iJ9Z)kD~74CD*j{1?WznaO3X(Twlc1BJm?U*u1@je zK}E-Tb?-hpqy?yWa5Ae47#nghcs`x&WbL42AYBvN+$?)H_Tm*IV-1F@FI0X1@CIpf z9Z`_J)BMMo`H`~{^Mt9JnN%YWp8DT4Cz3lzkM)RO-@$c)ALZt)bL?|GCpYmU<3C5m zkMrsx^ZqHYCirh?{OWV-@-CK?CKZ9-Y`c%Q?{I5TsF8j1=HaW?9G4isF|yxaU(TBz w*5KmOAhCp1&Q0KI&zlDq1idSlvjiRG4}JQQiHFzUd_l9rq6BeJp#T350Nezp%>V!Z delta 411 zcmV;M0c8G!1d9ZaTYm-t00000004CX00000000XD00000004LaTu@sMgD?yOq-|du zVi(vMc9p7tn5Z@h!r@_$Vs|r4M6wz?ZqhWy7&F8H_#oe-fc}O~$oE3N$MaX0r*h_w zAU-^N$L7w5{ws9#{RE+}hcOsS^yWAjtSB~@l>c+>K4Y{dFf-q-k*zaj<22?Ia7IIJ z$hhhWiAYTZ&a`|Y`iZOrb-{-A*4V|WW&r>I|NnRa;$UE8aFYoEBYzMwFr1Ow5&#mB z0b-Ea0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M?yhKR znHeO2YV?vO{syTP1!9m5?m_;c0r4S`0m#Z(fs(8Y0zmSw$eFc3ia`p9og9N*BjPlEeS_WOLtG<55WWs}_Hgxe z1WG}CzybEbX~UTnAQ6yukQR6tK~yucgF{Cw<((2p6+cv!rwcGNJl%i}0*QiM00000 F|Nk=vj?y+-G zU%A7MBKPiBrsv2~#g{)`e95~a!soszzH+F*#sFwhNwOki;$N?fijIhqb(>2I3$k_woT7Nc%4xqBceS4k(6@q<+P!07T zNCNCLP6h=aYn!Re9*~GU5F^w&`}+nshIl&pxW)&$x&s~Q8Wapt1qRFv3xM>r@M3F_ z2YG=Qq0!SH$Yo&Q1Y$0R4j{Sln#XjI2tN=bl!3yHk(D6>D7a(xg%==m1W*+C18wvL yia?BFVJUC`vicn?_hYK`L{Z5N4sOF+t8+klg@G7kDLkO!og9N*836zQ0RR6){Qs>0 delta 559 zcmV+~0?_@C1&;-gYk!Xd00000004LatWv=af-npnQ4IPW#h-bfB zQRaKwO6H6tB$jkt+xObF!5CwDXsVQY!N!X4An8)61YhPO3G5Rb+76cC84n0C$Gpw= z+k^Fa5EsfAe*s`opNq;9*!NS$*qkOW>Ska}A-mR9dGhROt$$ZwHRa`6w=#O7`r#eC zU{eNbyWy(6+TqLw-Vee1b(ms}(2wsiKF-Jfzwz1Hb?|e>?KrRg3L-Ee4yeP2J$5$g zDnGEJz`eVb={d4g@#T*fU-Gty@VRe_tL!VVF#uXrlB~#>_^cRXCI1Eh0RR7Z0d!Ei zN&`U@JsXWN27ghaWx!Mxk|F^`#0S~OkXhL5>h6jN!f0>jFW6fMDwsd8vJsJ>_y<-N zR#xI?c!On;(DySiMj(2eM&;QxA2l+dDm zKGmDjz&v5g=}@I^>TBEW1m4LwdK`Yx6_Z)^&wTPxOjgA#Z+9z=p+pu4%k`7~wws4u zq)e)MY*M9}u!=K=L;8!olXV$zny|t!;S;D5Q;2A9U-hL#W(Z4@y*XuQ2Jf$5J|&Md xV+jc>_9z+oPBmUE@vOV{G|CMBFl%T~(|Nl&7VR7%Ie!;rJU3I$7XSbN|NpG=6Py45 diff --git a/cpld/db/GR8RAM.(8).cnf.hdb b/cpld/db/GR8RAM.(8).cnf.hdb index 02f9293473e037f33ec62da81c930ba9d2b0742f..71408d267c9d95732d76cb900d27b9514ff0277b 100755 GIT binary patch delta 403 zcmeBVX=a%aUC+qK00yN{nwbg0uV9Svf6Lcoz~i#$M|b%L&KkD3R7nBOZnXgUdFLyi z>lkMjWv(yJ4u8M*ynuLu`&=dWdgu88_q(i)e!6@$SlW=aqg4NP@s5po9KHK0<1R|f z`uMg*Xs`MclSSI0C%!)IIeXEw?2YP0y}N-2>LzjSNaWK`33)z&`C|3{Q~#f@YMyeT zXY$93TZ^Xe`U`Z=|Nj+?j}j76GA45~D%Uf!Pq0zmuF9aI#C$|yE8{xHgASqV>J&d7 zRCJtI_wJ)ZT7ZfNC$qYMu^|V8=hN9v)(%Pr(lxQo&9Zl6FJ3V+)?m2$Le=*VZ;&?E z5e4Zx&3~MkA2};APnf!yNj37|ssCMbBDsU~SdaMi9b6~)QEuKk$3Dk%auYu?{&Q6P zIIk`;@1Fu|g8zobuRgaf?_x=5QW5ygw)=Sd4!0JC8re5*9=>|baf$I8Bl`{Z<-F-( v4K6MX5=&U++yt)nym@dz(7SRuOVCmN(5Ek%czFHI7c@I8N)QKy`Tzd_kM5_t delta 409 zcmV;K0cQS#1c?NYTYm%r00000004CX00000000RB00000004LaTu@sMgD?yOq_Hm! zu?y@BT%{_YO;npy!r>W@Vs{Hnjbt@;+@xs;A!LXPupv)TKwqH~>RXVfn16NkG)7Db zV%^*JY;LUcU!iYbR|vixMkg%Mo8wI5bfp#Yqx%P=bprGA8Zp^1Q*E5ad;)Ih!wnhr z{}XCeV+GE*d?fl4Sp{mG43B3gcWJsE00960cmd*IU}SKU2mvF15Hc{Fk=qgg5|II7 zklFy(pkSaXSHF<>;E*6sKlgYbGbj?Ign<)?nHV^Lr1DLn9Uu{y4Zg0y!H({(Xlj`m zB!Fu4k|zEJsTBockPYrZ{-FW!A&~*d%2|PutPBD`@~_C5wLpqN3W%K?gIy!yJv?25 z9D|%aBI5)6gF@n5J^kGLK?=a0U}F#gvL@|mT>>&e5{P|+-Qz=CBSH|q4tDl%^>qYF zL43dg_Q7exnH3-rkamz3co;!cGqQt2M=a%?5=a$4RF$U-Ff=^ffDQtQf?WUr00960 Di_)a4 diff --git a/cpld/db/GR8RAM.acvq.rdb b/cpld/db/GR8RAM.acvq.rdb deleted file mode 100755 index c8cc1a606a26fa3f9d2d5c7a1321f0584baf128a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 413 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR?gAPD0*@dRgDA*!AealKD;R(M2ZDNs zGYqUfJv>Yf%ZlC78l4>clH%gBCN@>CQ1_9V$)=T>@PqpbH_KOtZ7gE7TnCr#+}Y_m z^~A4)oC#%aY6>6OGZ;9ScN$ohDI8Yas$*;^s>D<@IlE!~&V>_QH}r3A?OeA|6KLuG z{}qf$=?N(h5)u+RjJEi&1@YW*ka_Y*ME;4?19=f9p~M8Hq_j3}hf8{D0h0wiyqOF= z0t;5GU!NS1Qq!?`a)0)o{gbD!Hg@6G4q)VNW|+`(_u~iA6AUxNIxan!px|%+y&_yb zJU-mMMJ$X>Zo#EllU1KObUAW#IBs*;;&9byli?i3uJ(qIcU6j{DBrmrzzhnn|Nj9H50v8o diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index cd64c88..4ab4e83 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,5 +1,5 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571425321919 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571425321919 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Oct 18 15:02:01 2019 " "Processing started: Fri Oct 18 15:02:01 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571425321919 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1571425321919 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1571425321919 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1571425324153 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571425324622 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Oct 18 15:02:04 2019 " "Processing ended: Fri Oct 18 15:02:04 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571425324622 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571425324622 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571425324622 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1571425324622 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571624522334 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571624522335 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Oct 20 22:22:02 2019 " "Processing started: Sun Oct 20 22:22:02 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571624522335 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1571624522335 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1571624522335 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1571624522453 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571624522603 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Oct 20 22:22:02 2019 " "Processing ended: Sun Oct 20 22:22:02 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571624522603 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571624522603 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571624522603 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1571624522603 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index 78ae4cdee902bdc4b7fd79277f25f9de532b49c0..724d3b61254051b8eb30dc26c6638378f393e00b 100755 GIT binary patch delta 1238 zcmV;{1S$KW3b6{1Q-2T)00000003D8000000027y00000001!s00000004La>{i`x z8$}R5^C8MNMFI)L!#p6ANW?x{MF|lPz1mJfh;f^9D^ww&_1&Ix?S1ujH^hD6{{~9< z&8;1u-8fYO4?M8)-OTLm{B~yNV|{*pe*PC8$8qlBaT*QhZGR9?DVdHFS@PWKXuOG; z7f|}M_WS`J&u;*@jn5YV<=I15?AQ;QJi=96Pt?ewejJHPoBnsq+y}sJX5>W-EBQovQ(|dB9pCN9+*^STDA6LePG6|{o|cu zZ_o;gBy8nI7e@aQu`RO?%_5K1n8jIjvwWQ!zMQQCsg#(=wb}Y1)U35lq0;8FR86>k z>{ux|EwoYg%U2-22?C%;CZR3VV@AV3Q^%!FXBR)W1Ao5#tzG^B$T5yk;q>T7kxD!L z7Kq*4%AbOa@?tBIr!t{_rei(5VmYhti8M}TZGcA@(LR|D}MyizdzV+ zcXs@v?#`X9_BV@#Hn>*41>)O6w-f9^Pe#HdnjVS7%sgO=kqD&Fj5Gy#TEvOq9I;v) z&0!Q>B7gjVxqc?l45<*MND?@_NH>v%G>TLCz(}Q;L&G626Up?sEGaZ4%P;N5DK(t! zT<5=7?8Q0)VJc;j!(hz@Tw>jl-JAto=#>aI$1lypSL$$nbdx-IN-8%cDksWI7@S4% z#Gp1ACag-zZqAYWKGU*%n*)q zAwPdn$)Drhi%;VaAeFfb?C~tCxKXsL#ZHaoy77$8$)F9ufL9;M`_S-ZfY>3a$Fa7M zODDY~a8u*dT7PQw7}xnPq1nCUtVvwU;5&es_fi^(u}MTpBYCE)zDgTSt)oraLtsau zoPS77^-5cEWl8ANVUNYHbT7_ulg~lR@l8OF>YxYmOoTFsQ<2aHr&Y2AD^sC2X;?Mm z`CcdT4BdINO3rKf!0BDzr4Fk!w*nD{TrXIM!Fl6WT%Fy(%sP-DH<_j|E@iOjCLH63 zg~h4!-(l(^P`)f<`27fqh>Z1n?yow<*?)2+Ud!1fctMB#UcXEJ$h&{E0{=v$H-KLX zoj|(VPJ`?FP^7bc?oG9%E{cpgO?U3ilX380*{)uFb)MS`{|TusF{d%} z+eQ$knihS(0yIF19_COKHc-iw;=m8&5JeBCu2m(H5(K#vD{?6_;VZjK*UF{;yKU-z z!<8&bvE16|p@%L&&dze@o0*-*^6c#F>`y$7M-pey+m+g0YFFwUxBIIz-z9)Fya4&fBih{p>u$4W4Z{?flQaByp?x5M*^0LU^N^_It=I4O5&OX$# zG+1K*=g;*rb8g~tUiPHcVj|b(<>wHy*4BiMYp-HG;YzV%rMQeRxwc=v0~zL?2lOD1 zs7hTGQr|OF-=+H9eYdgiZd1dp@7e92fedh18s|hmiGNty<@Z6Xa?9TW8Kqe@k|#2v zPGUkcy<|I!cSRgVvx4Vl(rZ53ab0(40eOcFlI6bu={)M~)Em3Qqt@5}XZIilf;Nf(w`*vDQfh+8$*> zi70}@i+{utiBF?2mXC9(4bRY8sF{dp`dTXTbH)5y+Bl{9GtK4sHw#@XBM>HqG=;&2 z4Y z!Ns>%*cZq79*>0#lzf%T#G6tYkU5r@0CNJ=U4QC5e9jP#bI!khQ}AEo-40JeA0UOf z3+(YMtGFf9i_K1H%5~GsO-i{&M7)1+B%eZJC_TguNj(mYghn%)D#TNR0DHC>qIAQ*=<;s2LM%QWt?82{n<1%9Xa{$`aEk!w$qR z^nV~saA(g!)%ZG~M`h55@>KZJ3u6({2B%e2g_W@|o769w@m$xjJVkfjERyp|K5%-M zwUlAC;Z`7gpX&wtFgS1AVpg7RU}YVMm*$BfKUC6NbQ6y8lg#3j=l8I59;l&IA^d&{ zK}5#-J@;3i;B2`PujK3!yr9EQyVIiKsDJtBXa)X-h_3;^6gq))x19zT^`VGodLB%T zq!x;d8Z~$B&5N=3Ur|@CzVe*g3;%8uml&-K8^|iiRsI{S6!)=9?<>B*X1^y^V(rz( zo$PSbJSaLDH!`vUAA`83xJ!#+*FFMi9ZT<}&SSDmpMdbT`cC`K-X8r000960cm@ID zU|?k6lVAiLe})S{(k>=YlMzTu0x?KYaHy}ZV^Cy#h@+E_YrMacm#Z^G3nx$kE5i*S zDP*|D45UQ_s>RjU$<@Wh)di}Wk&QtBC=8NX}Ysp>-f9U@}R$E6~R#RU?OIt%*Q%gtI);r|MLoaXH+a6wivRbmX z7P9uyy!EYoL()LDPWp{m|A^xcM(GtiWJ+sVh`#aegA#cY@bH0rE6(vvdlc zP};Mjlew3xX(Voscz$|tl1{`ZaJF7;*7sXTxWJhs=IdFI7=M8iJ}ah~V6olXd%kFZ z9eYc>D>R*IJpk$b9jLyh4tj2~A?)zEi}mDvLrH!*Puj6zhJN1P}qAWmY|;FJhBH43~@;(=Qw8uMp&u$9e(UR zV^!uGVn(P-x*)pKg3omxTWq{AwlwAPf2aMwLH@r->i_=);*|)u83;f`$mR;H!DeS> z25SINuB_AlhVCkcp7*xDy=d+%ZstX;+c>iz=9A&P$K%BL>Uv++bkKt%*b7=|nJ30O zN4{}v&7ben>i3+)l*aF~@2?oIRF!YqTV&rIZu)0u(L3qEbBTK){)R=?M^S}m6PP;( zsZMSA-t^W(sRh=*$_G}?ZMPR+tJ1K((e3S@&n$C0J-}%*uPV%0zDUsgUCx{*XL^ed z$A0mM0w7{=LS}y1p1o?SfLOC2)y48FP?$dPV`XYHZBfZzx(48xVX=Ow-Tj&pbe`f`qv zof^9q>wWvr)?~j_U)gX)=C0@!YxvzP(^vdcK{T6EjWO3PJtsTq8WF&t&ofj+L{|zL|)jYU(S&G*+BDeHUa3MzG=N`!TS?T-Vl>3$eT=R7b*L(DcY#0{QIxOf{IUW5CmeL%dG#gufEeIYZ?*FI~&{PfD<1cRqf`e zDgUuvbf$m(^n1w9oYCq>o%XfhTaVk0I}mXFkACfPmeTvchFdz$j^d?$_h4o=vn}mP zSjT6LI~Cf=DTPqLtlGr|b=w#Dyq+B^oq;x%S=tQgk~Nn~a;_X;OcxT3*$7kv5{-t1Bxqye=sx2FqoB(M|nb3cYD_HK1^1%9SkK5T!ASqJJwfa)gwB{+`Qr_+e7?`}+WW&#qLU zoUoaoQp*&&6QLcT_zI4E+hN?2^l50OIrmlJ)caZ61Q*cd-1?s2z*@&ttCJHA!)@-O zPJc0hn+>@ttFg-)GDEH@8Fx&gNy7q}Lyzsi-WZiuuZPZy4z-R)$*ar8cWrbPytgML*@I0uId##9M$e^8PzM9oL$)zVC*$sCcj4SRL(qr?MkfSfjnu9EoQ@ zWG6f6N6u#icf#mHgZh+$wZ{bdBZlwGA+k%csGQsU(Ens>sZ3Qw?)Wb})Ax(>2k|O< z=C6M;p-Vae!`=lkk4;WIw;0&|&V>J6zUd=8R6DC>HssUA5vr6Io$FVt)wl{8Z#}Up zljphZVzKH3F-MHW)(^dQ6~)v*9~e9qmS_Rn=>0Z^Fdxhc;YNdJdTOfnbS|opYc`bT zW9-wSm=~B|Vv0*SV)v$-GK_zqN=uTeonxVn6pJW4S>#?)>0bIjx!E&jHi~we z=b?|}NkRQ<`1^l1q-R?AcQ+pk{>E?LrrCM|TM=OqnTGKYoudc43*12yN|Lrd7e`L( zCl0&=6h+2L;-43e&nnSQ)smAdv=b^iW35D$=GD@`x`M$kL&}U=3o+bF^{2UhwudGl zs>ebQI|3?IEW2heyw_in5y%ktYinUi_IrK5jobwC|HyvpKaY3$g*@=gw1#^5&rcOB zDy=>9M?_w7g>J#tfTNvu+v0Z%@qiT4J#XVEaOaMnml-~HywiNo?7$h&l=0lZq?@L+ zR;XT}`=mmBba(A{cs$wbWtX3dwCkY}Fj8%g60Y4$7z)=)HHPRs=)JMe1OzrY^B%(Y^lR-DyWF7wKu5m$63($EpTaKS zLuy;D4l7UX?QRd%x=H;)8fj*9;uj3FIpX}L2+we~F}3A$?~B9X5}=-kE|d5XaoTmQ z9q$Ium?NR7K-HIj#wWmr_0A2O@(Ksjq)1q-2q*p{@s}3Do*U2ggB&?dq)GBPeMuU> zcZNo26mzowqg*Z}dT`z4M=a|rL;d0K3IR>x&@-jf9n1Yr6Pdr!n4wK)?#pv?JAQM~ z%49%^AbR#CAKA~7xN!MaH>26rv2hk+=E!v!Eq>Q=ai4elW9zup-+!$_`MEB!{F{+) z%vHJSKh9z_zLfJyr4pXhenPi>AjcL8#kM|oiJTo@n(AbXxlNcM)c4 zX(Mjk?(1Y;S=wCZ@&SfgDdoR@SaT!}3b%2~P}qT2l~nD{ zF>$+6&C!^d38rMdJoG@TJZkqMbqx6>LO`a1tcXemBcc7?A^J9?^rgBx z;A^Q)U9yVK90JQmpFRFAoz&2OSv6a5rI~Z>C(vZn>wdlyYpC*_5~nLZrak_Pe^xtx zb2y&65)7;S{lTmgeC|X!)8%Dl*AW#G1|ovS*IBh9$0B8cQ!W%Lh^q z5DU>2|M)x3&1(G3>8bjsR8m#ja+74>;@G-w+GZ6SE}60P2U8E+CdZ2Xo%g{b;VtCd zaOA?!4i3nWh+IiY66a|{5K&q)g9rzPF~75bGxK2-gL_K ziCaU}!e)1&+y}w%AyA&?6X9)v*A;s&1UGl@W&d245jVK4g^DueqORJ=$1wZk_R z@rOpXf_q9w?yV)a(WiOV8c%~p%%}9A+<2WIH3J-A$8#Gc%+`Y zF*(e5B^0xDu0V`d`#ju;?TO7N+Zv6nwG&bmiPE>3hCi;NkysrW#zLJGuJ^V~z}Sug z$X92x`~#lNRbpC7Ed0Z*0g3SrNXEFH-1davLG#8$`0HlOH80>$=~Si2DY+)zNw< zwdf6iCjc$sEybBM>s4RsH;$x`WU#L9{rR0!^Y%*K3s9MM?Z4|88BM}_&!I^RPoG22*!PEr)?V<_3 zf$+Be+H8mKpFc*+&*>w|o?m~&O4+!NS>ehQ{U*ah<6XW15YtuP)>>CBd(iw%j3}1b zm^-2YV+{&$aL0rm2dZF!iw1nL;OIT(b&R_^w~j#T6Zb}+fSA zWL@JywAnVEQlC03292u4xA zCn8v-Y-rC)l}z~hz4u%K!KG6r%6l-G{9;PK-A$&mUUhdpBvwhes8{n=z4+M5o}`$B zd41zAnvle$UK^ljj-sPTcpIrHuMe^qB?@9TcVsJQ)k>_*OE%(Z@{ymDHk!EJt%S-( zZp68O@f|ow4oODgAV~7e{N=cu;Jjt!D)NPu_KM7MLDFTz(chqw%$0=bSE=0NH99c7 zpW;G4L>Y#NEckw> zPJiR2_u&oShC`e6UlL*?dh0WHUiF92zBTg0`SYiOUPF#x+Vju7-}d)*mq!`-<)8L2 z=>L1ysER*?`8Jf_sH<hpA2IqZ{Zt_;glpZhDkrz zcgQ-Qu}GCR_2sBZ1u%$EWqC-wII{Xr>Con0$;iTkKdl+@xGZE6mnrK^##mRlwz=B6 z-I?8W6*ecB0Se#wDDHN6XxpO@XPsvSVSJw-Z92a z;)%;MKUn^{`+te`{xxZnPx*y^3dsmM_P(#o*kaA7@6Q+uzKj&_wlT3YCX~t3-oVf4<9f#vXwY63Q;-VjVoDw}?o=bhDTgs3~;pOJ7;L#kP2PK`ZrJEByh!tdh~J8HwxCGi`2;=Kh}!_~XQ$U8TJo zo7KXIr!R>ZFP}q_GuF;*0)DHW>xqr%U)2Tv<|Bvk z?$}2=_|=36Ew2#CvNfa69cAl7oOMtAOYBAW;=9L6*76o(+Y&q%4F5Q@hEnd9wXVoL z?9Y9_63Pv{HkVUl5^F@PO8^}aU4C9UVw?dt|+e<6Ig)i$rW<9(24pd!!CJ@ZJV3cXnT%aVAxN57P0sNJb8zY*8fAE3%AvZ!}iVZ!)b$%=5j*sFzKUEenMM&!~Y5P>?mFq{UCEJE2&qxM6-<+=O@|IIv zSs4A%2k&-7>qJIGNuNLCw=B?B7TX?(c*5Y5Rnl@b`H?$jcU!q-ECFIlQM2}1(7AtY zwdzVDm~{Cl@yEe>tlfCiyAr&W0%$D)Xg;#qi3g7c4hdPHUt2_OJYz~q9D-z6-3EqB zRP*-*G^cYG8id8DzBZ-SHxO-vOIAsh|MvNDtb$wH%DI_Y-8=v3P-iZ*4f^>zFg@1v z_&UmkI%C{-$Vn868gVr=oLi8vZdPGSj=%jaqE>rXe^y<( z0V-df|1tcn-11(m6?uE2xdZ=r`pn_x0K8i%0g`T%U&$oHd+=gEEDtV+Sgl3&O&)r1 z><3wA3ij%xEHo5%l+AG*3~)*HwiQc+#*M#g)rorf9{;+V`ISLyS(x~CRc4o>O7^|y zR&W1mx`rx072f^o-xr*Ev^(aU8F!QRBCPx!r~@IAChL8PyweFFkN4xS^+6qRm!Q}As_N1>#BM8JU7dv@dj@o zd|UmIAjZt*GuHg_wuR*#xmgt+gux;-xFRt2P~;>iDp@e}&N~JV*zHU{N(Pwf{o#cM z3xyqC@m8@FMD2_Zz2?||BtkF59xcv&V{u~vLiws0eb`OTnC4HFuNW$z)JV@Bn&@$n!@bX|dR8wqj-*EQ7m$7_&%1QQ9c4g1Id!_n+NIyPx2s zk+=R<8Vy32cxY{cycaQyh^l!bq-FbC?*uT$<8>ehsv_cC5bTCQ8bOFIQ?UQZ!Oey) zf+fVMMtOfIuDZgh6aJ~@5qyA}ZD%M3I!h3yo3iE?x5>5qv)KUc*TpkN#06pOg3VlZ zLrF_56~o=-gTzN!Y5qd0-k6jQ zZy$4O1Rh#CPf7NUV&JF!Ts0N6!uHn>$u#md!L6%pfLwB^6#KfoHa$zdS2l`kYaHPnfFRl(+ z!b&?i#hcFJMoTVSz|;&9A|p;mN_8PP1-4c%x2!k z-5uj~iisJZV^DDzz8BB)q(J6AyT;b6UXUxvyqkgX{h`+ZMv|d#;(?MIWwU=)2Zh|8 zJ%;fn+H0(BU!Xn48cxN#lsU{^bwq#OO5BVIv@Ll3ozE$9fSKx~?G7#?=Pf=e6hX5x zyJ7HT(=rEFUWYdQD=PG`krNG&2VHz&qKLc~#{sUzpFPas$F`6wckeS8BV0& ztm!ZBqpaI#;d`GIZv>Oiume^pJ?t0lx&~= z)^`Cf{{{`HZx%fVT3|)<(=GEE_1OKgGmG_}b1N^`^AB_D#pu!^rLV<^$;R$w4&dXk zmTQm*i(g7PoTywDw`(3F{Ch#42GvP(TmZE6)^Clp+^xt@BYFx!t4I#WNnmLI6KOmv z_MzP2+_??OD7satV|h05fTH{iE`OTR(sDn+?n+A_G@ z>vY^Fc4^01VYm>g$rka!tp799Y7G6;ddRycd&+np>CD|>=qG2md*eBT3+2W6R=^$z zz4stY_vRd)g{1LHNrQKPlOwH+%f|X?uq8}<+d_krx*r$lzLT;pr9k-S^3!XX{+ggc z%#*4K3gvzgrQfr#AI=b_`Z;r}2HO!CuP2kR<#!?#sWWjpDSCKStYKyBuXZRWu9f9o z?-e*alNQLDv>RuJ=Aib*mFGEFe9diZ*2zk}_60iUFZ_DtQ!!F@Z{G5&79qJ3*ll1F zrWs@6ZZAJMU^))s&SDiKCs==dX=B=25d!|fAB5X-fFX!SEV%qT3$S^FYEVXLaI2N< z9w&r|qI%u0BAfPLQtxH<*Xf7>PJb{@Q&bv320Bxj$iS$#a<2rQ)f&gA5$Lb@XfGe{ z(iPp8k#c3dLetU2NTMykvO8xKu+4WEJo}y{v3F3YjukHbV+;iIBSKkY`rGT+;Cz~` z--@E>$y*vJ_Os_?A!ubmd zza6dhs=ZPJR4Zwr!?htuIe8>l>Lo*j*?K-X1E`DlkRT4Qp2eJ@`(U#Hx^Uj0l$$SN zfS3nAZO%KPGf27Ln7*j|IbT#Dm#;}8g=gj(JGCLcU*p-DUS?7F${}B&zZ9cYkTNgR z`A8wag%+{qPbmU1z{KYAn6dW#V}OWjS)6N+*MT&z%(cfs3NBTWOko-t-;jc_O~i%hUO##);T6%WC}}ZTsOICTPViCssENuT_lus;9J$ z+EQRIFlEUYUEU<*a6U$6RGDO?hnq!)AG9DL{HRz~xjX$34peyG&EieP_=SmJ|9}dF zMR8no=`6-AT%|MT^7?HqbWo>b@A{rxLV)1f0()KdI+#d^kGisWv)^53 zN{o7wW5V)%#z>If$dDs;7i*{zNM7OUIg+A-|6>B}@iGf@Me%6iAHIPr44EEG@;zGl zvk=NN5W!)X-iHlj0Vg8MPcSTq!;z7E3?Q*Tn$CkY#CIE9(me`{lVOD^2)aqrTd;>Q z^bqV3A86grcc}J9K86?gC%ODu7%k-mrdWy%MaZwLFFgfEzK*pr(y!6e1_Y&WjT>0K z6#A^-wjiYc{ppZ(?3OG%-yb6xcs%Z$Mm3qVEzsukJbU}s{!Z8k&W}c(+N|l4sKXuOnn0ycR8Fy*Tf(+H; z^bRTB`vgK;V^|pXiT-(U$s__RPMp$Y!hm4+B`(ZP`5w~@G%x6NO8~LARJJp!y5UXu z>J8j}sC-w}+RY&Hjg*c9Q?gfZ%Kev$hM)5I#*={&KYqWF(@MdKLC=zU)*rZ&qJieV zu*OXdLDGqJbjsM^eQ`m5c2vt~bUwqKC`oqZ&l9jc@IS%0D_P}z%)SV$q|HI;R#s|L z{LxVRKIi$vY26D3NX5EmBfoz=@M-rqX^@r||7LkS8S(@ON?^PW2$fv*+zK5T`iM=j zUJP(4=a1S|1svm>6HJY$$co0c?}N{w3kLtr`LSdks;{bvd3|G(;fUJp&Ow{Z6#qcd zz7qQs{dW-YxD&%~OjQ`GAXzGiIbMtj=<-xno_AD|l{~VAa zs8o?R^E#JjZME$77at2%KklLio}P}5^dwfXE&Q<-i<(XPt0V2HWP}e2o?w%knm`j?s&}C!RbgeH!2|2+PIn_j-{|O75e_rSFwf%7A6=G z3xebwSa~FGrULz4)RXJl%losHouwowfXOd*ZBDW@Q&kH>O_cK4Pz!z`4d(^MNPv6u z&yKNb(+9AIZmn;Tcy`7mqC^y36-x;kt0J7qAB)2XL`hd2EoUsX3NY+?N;1mWEcZEo z){7ZT9ezJG1LF)6^GvKT&}=I$MzWy?wV3X;`dv)Ga<(jj>j(EcvplRIOV{*4#aG*u z%<+WpoX!x;LVeOdnvwJ|=|7Yz&+XO|2@cx^E{YQxr^VwTY*9FE@=(tSETFIwSwo(Dl5dHlfTB3Wl5UhR>0{Xh9ID%G6P7nu0d^CjGdHq!kdHKT zG+0q4Y^ma0)0HVATudmk{3Eq9E>!q(L$Jr0x_2_{3@t^1TdxKigSDq5R)!EZC&@<{ ztkn$nAm`xQ!~w?g^)=fj#M6d8K4BH_*1b6;hb@@*opcRZf#~a7;8BQZBYjSx zX2ib3>eh7(>`1Zm>~9|UJ7*F{brt|<)*Qy*L&bcL!2YNY_+SCbh(f-&C>@S&daZFcqKo=z)dOkJW(XVZ{qm4@+jJA#eLPQ3?0MI^Py2d>OP*bpb_M$+K3^kM997UPVC3}5MlP$X$^ zwEJd^!4{v_`(UqN@_tiXztrY!lqlYfHEG<<#2ZKyQQfA7VGWa-WN`m3J4P!9`P|dq9LncUIF3XwW33fCOUE+8gG-a8$;XKFCP3UN! zsH;q!8f~92hX_oY*y!I~^pG*(qkHBvm5M*Z(#e` zxmYro3Yt3MS`u1cng(IXY+cvr;;l6wXB`-xk2HvcDzRM=9m#=vfRNgvavHsT9m6)#Uk9ltFdsed+A}$F%?*uj8yzyAz#@>@u zL!`L$^^lJiy?*Z(Gu}*Jv^`LG!$^f1m*<=kDMhC>v%XE|Qk@h*?MJ?eN({Zb`feK7 z9(4vdqfzUqlo8=x5G(g`;pLZ#t#Q~G7lIN8qS5LTyI{Gv6Iqa+!0!)3@o9y-+uPVBI3> zd{4vmINFUMb$0Nq4GdaViAqhN=>$#knc}#gq9Xgx*7kbtNV49V#Sd-Zg-LQmhJFzV zcp3K|fQm>6Wu?AO2-o&L)C@}LT`}ZbzqNgX(3gMB>;;_$a6VL_TBYpB6_YHn;x=C( z`!i?|=@~1oJXnn|wT28oUrcJpc5^8RfqL6?rkBw)WiUZ zL^V?8W!wZCN-kI}04?>cY|l8pDaxjM-|S83E(?iVpHYin51!?O{Z7tjYyi}LBy}*7 zS#RNf4swCF9+~VDL4^*625MiA&c((w?RUmmBUs=w6cS-hX%-{{?_T6)*PW zQ0R|v{B;n%-1-~;bqfzz%w08S`@SD)zEQ-*sWj2|Xt1b!}F08Pxd?fSB zx4>3E_TL!JtrrXoys0P(?rWBQmn|npyK~zI-19MjI#DoiMO^%!R9E%7Mn+l`u%{~Q z+6(K#)pJePVd{e7)y?Bwxy)Lh`*wWd&PxxdYP&4>SK`?om$Tn%Yj6E?)ATwOYlrKZ zmRbzf(X05A9XKh*Lo<&AlDJq@$YfkW@*#hGdRxQ}8;BUh8}*}OxqM&FyZE|W-}Nt& z(1)dy#R=5h0F-o162=Ae#b^9mu_Ck;eGS1duw8H~aBV6wO;hm!Fz-H{YN|DjqwRB8 z&b3rbo6GQlLh3;@cPmMD#yyFcp?e#kO+b8AsfWS+{?{BYWmsTdNR2WogpG7Pe@o@! zu^8%Sl_88<$Y?Vsl0rn@Vgg99E55|T%ay0aNXx2^)h0!O6y*r(pct7(LF!}8P&mGC zO6y1_4#UPcFG!F8>dmDSzT9lEgIg1ur{-zS#$PMwu2?Pr36{KkWD-<+)wr^th0%C0 zpcKIM-Cill6}yrdUKjh+DJh=|%&qAJdGJG|;ueCfYD5tKi}Zc?6t)=74jF%V1wnJn zAR^cyl>D3jlo%{TOqUipRPs563uY=gWpthwv&zUwe*;zdUUb7|z8M=;a-;ua$Bnou zWQ)wV_!p@6OB%x( zoj|w3mv{$9QHDiHXDL1c0B`yh?y3QH)3vMUDjzc&tKmP0fiPDTk~tM;e00qfF$r2lTEX@@;EP?LZtwQn=m~Z zILkrb=8<8CX+$v2;1U^z*dtaHruElSJ};`O&p&lcDHg{G2L*wb8t%^>vB2enYHeSm zIT&|{!_o9?tRO3H^urRK1uP6C>FdFbs59}$Rh~@isG~4W`SqSroX6uN-v?`X`NUD$ z6boYF;Z3qa*Yf3L7eODNx5x^-&NkKV4YX-tOiZ^`fl6S3;M?n1aE|ZNL9kFF>iLCo zTyHK5G`aL|Zy*m!ClHkmySZAWMU{)AspO?zglSSO<1{F9608t0I!?OvTo6t`dSwm+ zU~&<~kYX-HD|o6VG$P8L(c;&M(rV%=eXj*kYAZ!XaUEPJ%Wz}7L`XN_inw|-K_peV8@9!2oSB68OML6F=Y0iIU-~6VRUXD8E%+8 zlJJ2#7Dvmr`5W&w$BO&3RRegnTYyu`#*6&~$Sc)aX+&F;D%?Nw6SB+DWXqSt`FES^`L$)sY5^Qq_s7X`5|oCLm*~PTX*`%Z4OYgAJ|}WKz{# z_g#?vmWF_>^zzh56H7^*E?6a~c7DW*ae=N&9pHCZSN#+VUG|`7RD>NTK&lBliq<$X zu0HCe@?qN#J5U$4-MoFE6dX(BilIkiCt~vF=g4esy!15bRsm{JeAUgpL(oEnUOX+) zgqEcqp+-cqy2;e%L)-xL=h*$&pL_4R?YQ79)IktTk7x{#`8)TG4NAUc5_JO9yI*T~ za@|SX=kQba?Z{-U-hGZFC+iJP6b)b9qduB$ABU{yAaKKzV)MQHrvXtZ1+8p?tuQnZ z#@P{5$ol5UX6|^exr6L|PLNrrMFU5DHEabunhp6lBKsq#zhcR95VhOttq0S3y0{y^uQKcbn6;ULVex1e_ogG_d@hn!h+IOlbjMmeD;E z)Rop5U=_>f{HPCyof>UB3)8wi4*Sl}$6G(>t2yh+Dm`05J@N*c&1E*^ z^?V*+#6;06v6<}f??{c~Wj{sI#xK7(9t}V# zX!nO&G8N*`Y$yzNY|E03zy+i%Z09y60c6;YU8{qctYgg}nbox`K;?sS?_!O(aGJII zca!jbVzlP5+GArtA3LTS(o=QKl$>oGrvv!}CI}2PJ0XPO(cN0Z`C>*t)TM6(^*6Hx zwd$FRH#zvUVmby4C5u;GDZ%f6C+Ig{K6(w|M3sI*BOyF6N1|;MT@E|(?Nd%5{~#Aj zw)ncQ%ez|v<0UAz8@s1;*xdT(zDxOB**!HJ3Di+MeA#P)%HHL5=wm(tbcUX%9+&1w zDQAy(sp4_g#NH!up!vd=Wti7%e9A^!^vT^~>xR>t1C8~@ZKZe$3)~b-B47S?8VkDs zgMT-+wlpwJL@g}2RTc1$&mTYY^ZvfXL?{nGy>KZpDL$ddY8T)9ROGcFYAB=bt+HqsUQoEj_7MQj-!ENvygjS$3CR1M$#AK6?|6KNIHRIYl_8fvi-Q= zBp1|Bq9_{mgtHYA6ytT~h9JCHjAC2B>mQ$RZGZe4uV*^sunxdvyk1;}}|qbfh&eDlI)al3$ZHVMG~%r>a>l@%7G9A0V#d z3WFY1ic&Y@ttoSiQhxMNrHrt2ABCG?O4Z);g$WX^FXe|`Jxh?Hzr&VnFCy76QjuTx zzy5;Bdb*#w4)>U>X&Iht5%co7{d8)a*dj)HKp*9>d^0{SI>0cKcxNT@2rLa0eKCDD zvAI+Z=Y!{lc@fjaq?1B-W3r4z&ToA`VNx9U3yA)P6MBO<9SLQT;ehS3;GpGe-OqEK zG7bfTQcnMOUxIPgqF4fe`4B6Z-Yy8?pb8Kr@h1%G7D-ZjSQeadSPE20k$1_E79~ne z`I!7ZK5X8XM~~--Pivq35f#bOeyL}erVL<)=9jU)jPL$2*(g0UsFWoh-jNlh+ZNzI zz>aDSrzl=lnc@n*xudU}&Fdu}=kozaxRU+{kTd-vM3lXi#}jYigbo_$f+KlEN+H1FiX2&qR(z8oauhbHi zAaYc5Sp>K+M@)1cHR#iH>kya}s(;A(U#u%M0s4E&-TX&c0Wpi?aF(D}?|@)3tu(%z zh12%!(C-5nFet?fs(4M~2?(wE>Pspajrir-6M&i4MTzGY!as2A~ zzk*)(0u}L3r072Uaz6s1XZgA;*kEI9F)B&WfNJJ+7Z zCx5$0Xk}xlAuW^dgyPIiR;gC+1kG8X(;>oriXDqZpwRCloni`j$^Pl<4Z$wCA3&s< zto4fiGyR@FR(KU3o`UUgvEnpLjjTMAG;+?L_pME0L0oMA@+Nsv_BuE(?e6t!As*Mk z>1p!XXHSq`?^|6@;g0Hsue5cukQ{LHqqIXdlJD+il3HT6=}F5&Luuu=`B7)XXSh*# zU|DAd=_Q0GwStR)ZI=OnPtZSMBc9BDWGoU9qpmUwC;P8_`6GgL^AWng?R+idvMd3x zFM_I*tL?lt3mw`G6q=swIsBd(hkw)25Fnb)f;dC3=6)P7N9hLxHtLcR1< zK%Lst4%+p+i`K_+ONes8kKsWj5r~!=;Ae;v*G$av@n>D*Q++lo4Jfsv&l!JQ8vyag zF1vuB3>Te-Z95(dHl-zFSuPKgQ|qbH3zSEPm*1L<#B)D3=_;v1v&EdwcOLoSkox*^ z0QdwdQ2hS%{R26weHmZWGCJsw-c~+8UQG`se?FbuflR92JA+P zUWAzu*BY`&)i6HTDIz+OzJ;B@XyPC4FU5sUcY;bb-2hN>oU(I8(1l$;S!8=*8)psJ zS?KL{fc>$;$YcSRdcS-@L@mBqAhLpwmX3OExT+@~srTqu(i}wQ1q+;Oc|FMz(ke%a zRMq962CgCo_hMar1E<>>YrFj=dU8xiiDBpo(vm|&@KdM3KrVG1pz1wc$O$GvYK!^r z1Z5D)4*pf;dn`1ztx=;73SYpaA00$n=i^PxFG-(wt{4Ce8h+J1E>!8caYRChB(J=_ zJVhOZ9_*vB^s>WCK~o&!qDRfu4z9LB8v&WZ$c=aqG(;&XW4=KGNKOG#5*rloF4&3R z|2^H)zuuTE1ox=aX82e8vr9ONc3-I9*?)nZXc`nmk5dSfPd1H-{u(s-dbMBxkpduz zSs*kbV*g8Gdn3J8>7ZG27z@Mgt5hj3$}5fpf=L~F)iNBY(4FeuNHHK{qNCjBQGQKa z=;-pAFHo;0TDE^|}+$oWonS=|No_#+5U8G=sH6m(%|VKWXrs(>Rzg ztr%;S)cdJwPH}zt+1-WlAGOe6!P)e6V?}jUM@hp^vs>Q2 z6U;~V6-4s@W3ap*YH(BO0O-ip(HWQPo7UV6d#v@&VhKyDZqTGs%h??d>wkP@1R2tU zjW{65dMkflP<~$$pF|TZs+km*0Ao)k)Wx<7S3hCo&BXki z+kGA4RI+C7ir2)mF7Kh_9Uo*1)JueSi?kmty@dtb`B?A5N&n18^1lRTuT)u^oUJ}< zj9X+}jHz%rrV0Hev}U&^LHb)N^1=Px7ZEH7fqD?>BVt@7_*kXMs{~H5#nc(Zo666x zJag)+itr+|Iob|ZsZv+tj%7hV3tIR;xuZ)pP{qup6y9>UNV&uxET@yA6bb#S8~nEF z%AK$Ti(YNspa{{^PH#^5J&Pz$Rie0X;RNGoR*FeZ6c=9S=<%fFKec7{3HGF#$!pDH z=Z#w~2Odedg8$^5Mm0ROqd9DFD&z0ROwBJ#`bZbd+1+}(z;Ys6LyTsUF%c)jhuM^p zn14VV4?j<26pK;}I7ni2DREU~LyW?zaTSACUXy*56&8`cCm%cX$5TX8Uq7bnno3yRVmo|M%c&n-F`J8eHqUhX z(3pOoXZ;Vi+M|v>sX^jH2?;>cn1ADQo2&@{@L1i`?Q1t|`@g4H zWi`fll-iuv%6>oPr(eV$-X7*asS?TOosx{aZ~U7n{qxBc<1kA$O5k<7b=p*aGHG^n z^~?a^`*TbF)8a9vz2x2(i5UI+eIp}&$LzXLC!^LIl@WFc>H)gFQF%6pqS~1EqO5kz z{}Y=ducYa2&N?dyR;%11HRD(~Rqjw+{K2k0uy?1|!Q0pP-OZb>U!??^v*oVYb*HSa zc$`j8%{WY1m^`Z^nO0lk>Z^@hTK6cLajLYIUmsrg4eLsOVEj$02G*2(Z&Gx8grDMH zqjipG_=xh&OGP}}`MlTr?x~>_Tt@!OFEwLYp(T7*HbR($FHn`QqM#rkT_W8A(j`bY(kv_@CEZBwij;JBNlSOL zEWI?+y)-KwOY8#6K7Q}LKknz=&u7k=nUixrXU>@k+i=>6g;@`9blj^6@hN-(Jj|)8 zbL4sYI=ijH$js6Gu!L5SqX55l_sHZlW#V$aHvC@}2%q%XbqklbU0!c-4n zNhd?4fI?sNHwPDkm8!?BW~^H~JA+lhNz|J03sTS4Rw)dl18>uBfFLytCnV=g<4s+< zA`{KCLo?^N!?WVB;{ODY3QhV`)_G1t5_ItL+F5PM>|^#Mx-!Yq82!-35S0w$;vq-# z_N2sUOF(PLu(~?3v9L(@^zA*vQBu~vFh}#9qHAJ`gTU3dL&ssw#Zd^;;&HYA`RA(E zqC%&JBX2&RZhC-P0FXUYNIO9Xlk-tEtTf?+51Q`PpQ$JH4wZ6WhU1T|7U}xGiAv7j z08=U0ugME4DR;OYw!;%7kl1G2i=MwMI7O#;qYd^0QV~2jZ0hLKdKaC%66s+9x zv$$>X{St**|F~3VjhQ$blXdn02IF(sY*anAB;9t2-*`jqhjp8idV>yN9`(#=zPKQ|mhRT|;CH>VU`@Qyp@(dTwl$eSv%Rk*I zYDQ`+G4IDGRO{XB{}9=c;4$Kcd&N^u7A&1fS>C@&Y5JBq>bHfX&>gj!Aze60x{7xh zRmoNZ_}a^>ePsCW?@vHp%0j|5iO65QYnG)WF&ZGwqdD9AA@Sq;3IZk)IR}yBr!H$)}Z5?X=Pis)}71;oWo@wBw=6zGWCKP zH_Nza^!5R!Y}g_7&t|oaxmK%b1q_D#@H>twb$s>B)?QCKRt+1J*(D`!$4RHI&dgLk zEfBd4Y2-&&hSGJ$hbnW8HHEl_uzOk{TW}9iJyhpQ_Mnq zAwf*tY3P%uo`D%sTt;D#+VBAQhU4#7Vg?jP+}gY!`7FEYf(k3cE9VDQk7Wm#tLCIV z%=mSS5p<(m*YRQ*J+<#w0GJ|6xNi`4P`F*yk9(Z6wXSpAw% z%Y3hij~)NRqqt<9VD|A1=Au}Lnff-C|4s>C>Do>pynP^faWAQ$QL^kq^@{WykCZMn ze~MCsaw<>I&AeAQfD~X)Q<~D#WW2L=PsPks{Vr`yvMA)jf!D|93$zXmly+Z5TRGJ+-nWJgcml;VSJVRn+Se~zO6=Pm`myS- z175BP+OMG=VJ*C?{UMZ{D>Kt+rS7ZS94tf@5DxrLrF5|7x!G9H;0mL+L0CgF{ALWJ zM$JNqv&zsp!#HvjcfqaETiOH$IU5R0&YkzBx2a7dwAg^^MW5be#`FET#aZCCwf$+? zJS(OJCv|Sh$5~H9wEQBt8pJLGY42ZB^C}Qs;0V+1I3gb) zTJp|tIJ`q6?oP2I6vg2~Y{oBYe;5tb&hU7fbtfBzpqc!@GD!hf%5iBV0O$nOe-15e zRAF%0@j40lD?8fY5P%sj8Jn1kG<0wlEkK4onGU4Fu7JA0d9+c=rTAGq|Jt$5n;WTG zG_9x4H|$XE`b(a}ai=@>d%TAEZI+hMtTS!nhvZcxj^}wN=5~t110YiqZls+2;d& zUv^WK;ZHtxj{7g=YTw1Li;zp#HY@1HUa@srO**50`H z=LdNcy|c58B}n`k?~?)5Kqm zizPu_jC3S~4%}9tuDx1)@^e-6{of)r+=<7>H4kkkPx5za&iU-p2`r`w9a2reB(j{|~CneS?1PqzA2 zH67@a?JZbo1%}o6XM5*}G|P`@rq>@K$wvwXXo9B6B`2fjOGXwZqpdFFnss@PqiI)t z_nSNaMk7P?K;;pT+MVWNjmY!ol8IyXQKb0}B}Zp~Z`N@)Z&Jr-2G9d#-gtaS={>d7 zzqC-)I}@o9YjMW!dT3kGJ-TYm)VR>urY)qdq@C*GDBO4P;`!}r&O-LmCP*K~@c`E|SCureWB``*5P7|w83HrA-Z+=Ui3&o!2VOkrNg0Y`@y!}uN^XACQp6noiAC^m`LL=S&^DkH#mPXI=B3?@5HE{@t&T5q5bJJz_aO0Ydo%_>97f8K!92x_ujN@MVHEw z_xm{{j@7lrm#;(xrMZVAULMc(jHoe$1CR1L|5isj&=|g|^X#Jx2v?lcim?LF6ihQ} z^Z8=LPBFg&HdXdgX{j%zSO%}(MP4|0?p5oD@J$+(s?=>^aT91N-y#dn`W#w?EQz#J0p5-G)vYVb!sTpY@WW{+1jza zZ8Te4=20x5$h$ztZQV<@?Rm;1`&LQidhEujq6X`PrkXY|9PWC%8(;dxZ-D=3I-Jfj zYxnLyH5ds2XUf%{ob*}qOX!E}1@HxsRjMcsMVdNX3%C9%9P1Cp%AF3p`)!C=@;ST3ka%R#6|@?6VRT zFK+d|kzzmwd<{<0WINzpbeJip$=1@D2DtWiQZ#jHVA2RjZfik{ZT7Jm4FMv z4H}nj4KuN6+^{^ymg8S_aD}F-KZF!*doV$aj=;0~ymHGEwpsuc9Xgm~7Z*bJB{zsQcY)Lw7Ns z`xlJ?z+9fASlb)uKcMlvY1yZ?)Y(c1Uq2raG&>bZS;GODGA^$2_tW^A0W;Zdu~WrI zx_>xiTtYO%`y~XoTP!&$M9qBoPMDQUh%QIQl^dD~&>E^W1bH6vv>AIZIlhs15^;Jm zr_szWlFc&$bT@8s?J6GW@Gk}$Tf*>;oHJ1oI^S!6+D%svSs0V1pFbHbOh@Mam$=oo zTtgT><RqxK|8o?2=4TM=U=X!%S-b0T=4Skdu3oef)0tm6>WDQc;Q18bt8Zhi z1fF!{UpW>584-nJ=NI(RZ13l~vx0cs4|nGMPG#}dQpflH^*_`$!Lw~A?)ut{$w9`2 zp}BSFl^fPu4Xu-{8zNK?^kD}WhhimZMx{xT(zL?|S*v5imFlyfLgh&|th0D_w{Y;? z(BOjn^3~1f%hdrtcy0ywOuGhn9qUlP(WKH4(00Z1%vQJ(!#$U^X16`(;78$isVbRn zLEo1#T`%@M&6SmHWvYIqn?jtb)k4O>UCg@^Zzsk%aZXKez$vQsE+`&ASGmFaAv(%> zCg%F}-qgKRqT{-E1>kGtxm6Rm!Px3#Y`L0#>y^`<(?q)zBvU7wD)~(AH{C;oBhUAa zL8l%v9klf<)TgS`kojLt>(H+DyS$?BqLH-$kG^I?`!77ta)ouwG;vN^mlqtvE^sY= zK?1AGu{5ObI;&O}skW4427Z1`rfF__b*+BEefb+M8sJk^N53N)Tw_vFo25{t1UdC~p;5X8+^Dmx}&ZS%CsaCpOr$8BZWaY1@!3)Ba9It@CZ zpPYOXD=l$FUROM_P_4OtN{)&;`GCHe`z7rR|DsY8F5QnOdOXy$0J{wWxmSp0LqI~} zoeg4E7`U*M)RTKiX=p5tg=JqODq$JEqp&1JJJ(vPkB+IF2HaS|*et$FG*jc5J+jx( z{NiG@ne32ROJ$?zlC^V|TIc-|MmxzJ7pV}kPlRaD2m}?0i%)c+-#zR~DvyVFT0r>c zD5dr8&sBF^=fY@s(UD1JjofpwVxa@L)~C@Lam?12N}hvFM(~jv)nwp}e{D<@^|)P_ z|6oi;(fNLblw3fRW3O2Qf#=o8B^Q;SousE)gpkpyXy;j~SR0yur>*Yk6})70_Tg+j z?dukkHLa|S1ihE2j8l;VfIs2%Z+&#eZh9|xG|A`nvZ^DQ)MWz1{gIPp!3u(4I$6K?>m~RC{<;p+DOo0 zeDQ_z%5&XkGSF?Y2qX4V_OX@NFZohBPtQ2R?c~ko2A*Fj=)g{MGd8!C;>dq-$k)#E zi+Yl1#?Io{dOrL~@E1*o;(^x^rdLeWGRmeipTn0%ltT?`@;d~=C6}D$1)EcG77wS_kJOlxo+XV`+h^^%70%cl=Y#xW7pC8zj`uU zmr(oARfEyjN=!oHr*Dlc`AK{j$0**YlM^uvJ0u;UF!g($E4L>i04L{Gd8{A&`7(g! zEyja6GdRcmuT3J!Ma$lsm+#(vd}-GkRXgxYP_fB=o5)XI%Dy4MYe|u%mW8WKc&vsg zp!`V5DC*UZHam)<-^vajdI`u{^kAUQe z21nzgVL6P>`K2Z)c+4@{ajkM@3ZFi4r00vs34CIt*dJEY)hd)vE34I&`=FFHc+4hx zWcrn02d`e8Msy#C^;o>0e}LGbBr9^Dfbs?#c3J&Ujp3M)Fj`?#eZ@sBnd zXW(|jkhe0v3|Bwri&Kl>HHLeQc_W|e^J0zf+@^naK>S<7mzjZ6ZeuwN?`4Oy#AbWy z1W>M6TiD{Tse;VZXQLJYA!oX{0si`Y^@QK<(OTB97>Exyoppn+Bk>ci|H`8&kj7Gx zmWHCw5n~A=PfFD8ic}(uYi+$HKAT(eq~OI7rFp9Pnz}`+4U}dQYtF4m{mpKA_vg=S zVgB2q1a|d-s8F~YQL~9c{YOap6#r8zen44S=`d4Cruco|z>hWuHHT?ik3wA)nKmK; zuH)Ttom5sziJZJ$w_op43dszE24s<8C6>w@MjRZTVT#MuOJJ_?$lISw`MxYg+JwFz zCN+|W-IbpaVLlIeOo;JS=dzl^FAG4nluhDQY;2^$!Gbh0dU&+)bAh^=;tnKhCBw$c z`$;K4o%xTU&aHuHx4?#yaUD+_J#+3adqN3FP&h@R(1#`9ng6g!FRu^cP4Gw6VwIU9 za(11AH>Es<-d*iE!9D}}^YD&mAD2joY>QI8SoLo^GIdplIE}OfxJlW^m_2jNEIU`4 zL={O@0H^CCC-yooVpP-~ak4q`C=AI>|Aar?G+Fu8MfVnJu-(?s@o}c8v&qre*vL1Y z{@>Bx21nAkGBZXoWYg16%%vesUUB}vx_7h0np#e43m)fCH5bbOqnlC0`(|?NkH4OZ|5q zP+*|+N)Xl6?kPxYnsq`1Ejk}}ue==!J1f8fQx)I(`HRG2a~ou%4#}DNroC8OJrxkGOTybEU4*z+<_2 z=KoBN^rSzMRS@uimT`5^QoTn6e^~d%J6Y0C%hi{kpzRj3p{~obWC=fI^Z~d~L0FdR zbzGZH3E1pd9BVBEpz-hW9M@Rt8060G_$lPMC5zkb5dm;21p z-($XQZNhN8fdub{r(mJ1BgYeq-rUcXD^2BaYK?OSKIT|P=V?%7LO!U)mW#|Rmp@kV=hcmhI# zAPaX}biQnCi14^{-vW~RXk2<%biQKD2aw~g?UGNS5D`-(Xy*>kLGH%C6I}NZ z4q%>2;yCsHQQw99aVa~Fl^l}p;xHW2)wT!3Dk78UWc3S1lRFuabZ)QHK9jJ}f@y*+2{lh5yWUeG< zjFbpWmm*himK2WG(y)F@%8u2c_8gp=81R;Q`$ER-d~_)xQs%t3p%VF3hy(y(IPi5ufcwni!Tr1jr_N3Vsk{Yq%=%_lrh zSj_dTbF;X+skdMA-@9}EFe5v3WPYpcGlcJ|!JTyXCV>^f{6L3y0?)_*-mX*1)IZVZ zS=eEBFUxAnJ(!GJYKP?ycXFls+LZ0rO2DktZSaTRZ@%n7L!^brRL`^DG-}mJi#+as zK<&!M?M=9g>NFnoc{~R|7U3Q@p2!5R2dY&(ZxN>nGJYCgkCVW=*zV@Zg06_^W%H3_ z!YM)`5AE`{GtDDWwH})18#PSQ_EltVV^vQ|t5q2~BW9 zNQ$HrQZJ;8WF>9FEEVC%yEnBkQAQ^E>V7moSI>793;8b7PTG936gYiW$+jqtryLlb zlp37TnS)vr+d`igAyakj%=btFkrfg)UAcBFDz0gSb!8TA_si2m|yK&V3eD0*b}pffdxJh`B~)o8Xwh$&l+nV&guP z1dEw(7t-J9Ipixx2^pwZn|s@Y{Gj`xU#7{#JKRkYtq1byawSBhK3&bL**FIK3%^5Np=33nfI+7kTne_xa!n=FQhQ?~^$HvOA$z!yXXoq_w4eJ*K%A~LtOj40C4)sMWNY_m4X$h&;=bOQ^8OVLQx zjJ1GW?sKW?Pm)9erZxO?DPQSJE;bLz*ivX6AmRaUZz|Nfy(^>V{jz*RVVs!Me&yBG z%{|eDo6qAJM-8YEJ>Jfpn=H8Vc7yN~W`MVp_X4;@eiZEiC52{fH=2)%XKJn&J6LP_ zJ^@XHqXqU|%0&McCVCV78<;T8h=Hmu3J<~WiQO9Sj^u)Im!Rsav*J$uX)86 zFRnSmcnGnG{ct@KsL^bo^N)8(dA z(s%anA$Ff$1U7$a?Fy)6wNm_z;QIS+n58adYJc`2c+}emkmS+(u{mDc@Fg49)+4R@SnC)CffXZ>N3f7>iOY zz$vqlIoVwxev*^f?E=}~jqJ&Izy)r`r&;upr(1|-I!(Ln*$j9j(_l;#V?b4miqiA( z;;VbmPa(NGo%!`WKqRM>$Y#%P`bx8Zh{&Ia{-DxaK0*rVvxgiW_pG(?veWu)zdLMP z;8o55X>suwx?E-3_)Gvf5rD-3Nll>9cX-mO5(YaqNPFer9c5Aw0nAS-NA$Sk3fwi3 zDkcpDZrBV>RHvW_feAhb|LB?Bq7ZE$>l_K}|Jp^Rp*kBjduyme!+f6AVxJ&%5=!4G zbJ%5KcyfaEnU9><*unA$j>o=529Nj5?2 zD(Ci}HCw@LCl86l?cCtJmKlPf3C8X{%J}%imTD^J!#!^e*@|6sMXnKjrUmWu#jbYa zo4T~E1>2f)+bSvZ>q^>fi`%zX4qD?!Zf@o30G2^`&;;Z9-reLl(4ndO|8>XzSTZ8i`@9grdt*;?D{HudI6iTQhRzP zP^Hlj4F^S$lUWezgq=PoyV=o#F(c&|+X}w@$D&#(cRnbdA~9s|&CUGw+1@BI{q}g| ziUg|kULwihtHk%`!#i0`qvBR0>cQ%*##I$2!$ScUMy*-pDd^lfx3eb0cLususMb-S zVPaqDATwD8?Qbu>>r@(xjoC88E|1LNA@9nF>fW(ceGGVyACi;8pVD{uv@&j?2FV67SqpC=YI*t zBa0ALyqvJ_NqxNU%$xNI!0IdZP)kheSi5o6AUG^A@$B6VDLU3FvAPVye@r={s<+Ti zIS&0Z%w3-fN}oNmIvN|m2Qg&~k}i&1b&F0ulsLyj9OxaO8?LU#&JOe)*E!Q?A%~Bw z-3FBOoZ@L<+|(bBgYY`H-X`w+RV)QkESb|HqW(On9E-Cue34j*}58o>+bF3nw3MAA48 z4~xwFw61V{Y-vy)XMuHPozt@)t~7b4n9YMT&uvKld>9kgH#;XXAwid8qja=`91wGh zk{;HJW~2aTZB=K0i)lb@b7Z17JGd@;XL?P?pP?SIDO*=%w@+`X$K6?Os$*7M2G8yb z%CJRd5l2b~l`&aYs@^6EH$?>W4>4mv4AS{R6%~*)1DsQ&6`fOj@L4_Hb6e&4-g%II zw^GniN`cvak}7m2zQC+(yTPpPKCZx_Lkl==*=z)D(1`&tnCa2woApdkmD-lkV?Gzr zPnZ$58r1TH2JlC9br^|3Q*J_#_L^*>@W=UtaUG+ot$i>tx^yi2{+dV=nCjrC1{}x( z))A)wCy`*}dYp7buM}sTGDD+&6qTB-%)fuD2>plR z+`lFa$?9C=J;%S5nRC{odHL9E)}P=dKc;n#*WP_!ddZ|;F&&dfjV{a`8c?0%ZNsuf zkl%#3P35MdLogTTaT7~j1v3OUA+_`n%|~XxUaZTMm|B zoVvSJvZgD4u0z|fy++C z|I^*)!rZ~+v&o4BVM{uu%-nj=9P+IdI{oOo5IODxG6+O_#;+*f@m?DGq_vfE+tAr_ z8^x8hlY$PpyldC}meU|NNds&jH+zgC{^;`n z5ra4z?B?&*uXmzz)lCITF_>ff(~$(`%WgNy5}J9vMZVW{NxitZTMp?GDhC#RgYRQi zrPC^7Puf=-i={3wKH32_(qJn8Ad^=4OTNBrtX?>XZMh>%QM~Mia1Iw4C_(<+w_V*B zT0yuA$tnKKNbUJ@3?O#f<7v-X!trcN$hEE)-uG{;xp(EVrvbD;zisU_cZ5 zb1hoz*hBI7@8uJYO%I_#ZPt_tN3YtO4d)J;acH#O5@2p(5hT;x{7!m;aGcWv2osdbvHuR=8V9Zv6M0 z9H|)U9kM`w{ZK_)2%mFQ4+jR&1fK#0?(Bog|G?8=XcL2D`^fWWCoo{J$t;qwDK;`0 zWCW<7?Mk>eIAlxQ!uTYwaIJUHUV8b;L&or01?(31i20MK@z51c|6?8J;HmJ-J(S9X zyA{&g%bzp{vFRQWYYx*VJ^BW)QPeZxyROYGwWFVkvGk8?6a_eY-sn9rP;d6c>(APj zVfo?uEo~Cu$@2etyWDC?bc-|?tcBWgNGTl{%S4YqqnU%PLA6cg1^lqg(NSoT+^+?D){LO5F zo^O-Mo6v6Dkv}ky68d){-z)4>)b@&ed|#YcD)ma1Q@}ocp@V zaL-et65~4TZ_F%AjjH-VE3Q9-Yq3sLq37k2lk28xk=YoiSV|kkIn4dwGW_)vW6)(P z1Vx$t{pjcE*W&}-!s-6~AieE~%^zEB0EXt$`T{O9$ycr2q*wfA@tVV9H}4OmW9rMd zzaeo2x2?lga$n;b81y}cfen6E4-<6y$%~$;#?#UeYC9zoL;pT&GP{xA4XnRRp`EV{ z_+_FEIg>gST8Pw~9+;{818)jm(O&n1%1N6zxvj0lm6s7Vnaxq>ir1|iCd~0J|IdD$ z2u|PU@)(}eJekj^J^mng_yw!j8q0BE(O$R`M(XB(@Z8=%ugx|%;{%HDq`x|tc~~hs z{IhKlp2s)~<7u&qybe&kE%E_;7_XJv1wM?~!JU76 z=!RLGrxckL49Z*Cd{80rLE0FR)o05NTu8QPzx`s^C!A-v7>hp&Cp^^wVuj32;T#5c#7^yy^$Q#^ zt#L5l$Y>*@FiwMdJJNHR#|HI6HV4h)0o-e>3$HG(wB#XY_lYNCdW+rs?9Ij@5dRqU z)_#s{L#XDLY>1r3{&%MB?ap@qXyuw>E$9-XuZ^h#Z(*F1&C;qxK=B>gy3Vxg7E+|* z4~B|6Q?1dt8KCQZ|2DIFf1F;+X+OfhUjihsX-Df&FLcTlt#c!PP5(dATz@ZH#nV{G zENe5tZo6OoUX!-(!$`8V4->%g@9__ANUx>{hR;kR{%0>oR&><~1(g-x8(#mlv;j$& zESGs!gd19l33fe_GFWS}tJ5@Mlx@-kIkR`Q=9?fFNg-{`_aDCP7I@p7x%FUB+nm%V z`Ihcr5c9SeZLXf;eKE*7pr$&#NH#_Y`c$;`Q`(`>j>SXhd2>G_q9wt%ye^0j{A#Z3 z>~-$6X^dw*p7=LsTG0WsWSW4Ujvf(H0YfKWX%vCwH^pQSHnyc6f3~4)6XkuHA0^xI zys}FmAuTzO%ILQzPw2m(Ha0#xyEoazzupyf)v`q}Bs^o5&tpq^^D{pdzn$;rYx($4 zsX=xk#OTb&|2}U}SQ%#DL$4Rh8|SlZr0qj+Xcy|&5CQim#(tHTYxdQUHAL11&9Tr1 z|NRk}wT>f5GWPBOIY5l{UHJv3|BIqagmXDv2fO#ydiPU{2nxq*EWx z)ors9Jdnk?FKD$5yR31-)_MASUc6@msuR9-`(gY$IQev)xu>=`6RWVbwL)al1iCBO zI5Yt<5&FfaZmg?5#Wmy4IxB+7clKdGvD9ZzLB|QXgu>FXs2*PzC5sL~z{Lv#s>O@? zC3;g%lUmQ}wTN(lZVM(HQZYTl>@{u`oau|8 zKltDWsJ962omi-C$k|H8^wjXy-@F}fZqX>1cljSb6Rl4Md1rV_@Ka~aQAO2Z3RgWi zS7e9}5JnoIYp*C5a{TY1yUV!=cccCV$D@Z|oG&CB%M;GbVa>CK(9MMwH##jF0~bA? zilB4}f0*x&T*Lvx#Droe21QG*|jAr>#HBhl3^_X3$~K5@6SKuK?`#+#sZN>MIv#LcZwV z`dJ7^rCMH!SPajIo89a8odu}K(}8w<>J6A&0n?bR9=-k4P6Fyh8K+W-d4E;y6Z0WI z6HuoMgEYz7K?vhowXmOsKgJ{iqsZ+1+RVio!S?XFpys*a>m;Q)t6cxZ+rs69RrTkT zc-y(2pY64CMQk`y*1TYf=4*&X5=f16e7PLcli$TPibL{n8~ z-X8rJ;oRzN;dSStYa4Av`Ge`SIjOsGT+(~GhW7I6lS3P#d3U1?$qlaXQBPM&GCShU z{zTI20>$TC_(G4#uWli5A_AzcOOx4qKgDx6lMi&}L=TuTLk*oojq8mZuKT}UIqL)N zingq=ikv$={a&^cpDO@4-4}Dv60cq)@*zElE}(VNb?yMV5pdE~JDxq*tXNa3a0GA1 zlho|nF3!1t5$cC6l^!kO^api991@Pi2(xs2HSGec-Wu;McsEiZSFR9@kV9_a*)mVR z&>%3D(C3tQ8Xs#I`3rV;f0SOiTQa*Q+bxU~nfQby3xynghJQR!@A-Z04^dFu4p@Oo zE^efFuWhAx6ILyHenxoJ0CTFYf`mGsd#o@fT*@@olhAZX=ck+znl#bba+PCkLfRx_ zMymY%PjetfO}vav+xgWjUa!}vX9$=?yst8?YtYQ%N&!zS zMU}~k%&Ig1VWK&>@5ABm0fbwbDY11Y4$GR*xUb9frU6x%A}nEG)3 zH&}bbWk&Biv+tPz;@KC(k+)ZQw9jR>-jzgK4W~3xg29V-QB{It;>G#PN1*YXwJ$kX zrIsTxADEBItC4g=A*nl)+MvsSS3lw8LSX;GOV_Mv)Gu70AC2~KAQm1X$uAm-Sjgw9 zS^-|&V%n%`V;Jd5h!s~j&Yk|EPDq9Ruc<)ELM4C95{0Ju!ibt99stca{sm|wPfCoX zOAM9_z-v+7qp=gYux)XiD>%|;?C33BGLmx>(5<(l=i1ktpPCjJ4XWpCPW3$EH?Kb_ z_~k6mJMn7O@bB%{4T>>x;|Y6g`hcQ88iT(nBrRoDGBn0IGj0;ciY~_z28m{6BS~WM z2PgN|;Jrp>w6&k~-Ym2nDkzLq>^Bl;iNLRAY3)?-B?IYt6A~__~&`y^PlhI^7WKnTmDBy+G?vE8TXAy`?+?D zm-d@@ZYG9C_ZX!00L{1camY#5$z1?q#qW{VC(cJEr*PrTXPzx>pR5%X|9S-PcMIc*eX+u05UUb zC#t>0H<0pskxfg>qHN7Ob(5QF@@?$dKbjGC2HtiT$5AWO1=)X}w62ERPpFRDNuEst z6@8}O43p-tR>mdh|5aFBau(R$Ft?VQd?vRz@HQQf<->eiIPJnj87Q8qK1i*RID__^ zOO}Q_KC9B_;7ER@8txF)&(ExTy(CPjZ(K8(r0C7rX;%4qT-TU1jD-dtBHFFaVFU@m zhAUds>=f-d4~6)?mjDu1goWos%%5NIu$4>s7kDSG$^2LM`=~zldt+o~pTbM?4C5Df zayyx@+|HQp?*>sr7C9DYp zcztv5jgkX7e%oPeRQaZ;E)RDTW@y?_K^2`hJbBAMkv)-<3A?+K8GEfqwFDghn@t6m z$-&dfVI$iw7fJQg#D7xAs`ZYTFxwoE@?N4cqu#{0EQ3|oSSp3~wehQo(+k)dPN8~v ziK?#*yPB6eM`41m*Ma@nKbqtFv@g3t@ZIOoCre%|&`Z5fL$Bd$AEMOE?L;>h!@Eh( zeV>zC(GsWZCouTid?h0NaH++r?DOtDN$L)_qhl$;4qtOqb|d`hzPoGBE9%1UV&tUl zBAdNK#~QRyf4ao!;+^-gyjXvsK|R~H@@>-Tt8RB)6Gyt%U(3F%YL=AZdyo|g*$b3A zOeXDZ-A}KVHHm@3io8;-KWQp)_*GvzbTzlcEZ6o+1%%1nk1D1sC;mnez)U2W7JlAG zjH~&0&8>VD-j+v%ajO7%LYEF9nKJls6#NkTU_FgqCZLFa0UrE!hmvw*fS31NKp k^7*luB(i{Z9pB5_Y5&6>#*10JsLjLR8~EX-b}aV)01Fo@&spp2S?kQ%b7s%p&&;zo&wu~@SIKee4fRp8K=llP{m=o;gwT-+%YR{y*{G1(yFS=Oh2G3_G<^$nsuVZ~uU#tetQw zt^d`Ml+d{t4#Nk5DH+nTe7!f8%B~qdx@h~LmNg>?tKBJI`&(A%Y;ORHV)L=}2LOwm zJ#`EPTUbzFM|<|f0zim-Fka{QfW?-6Vf1T!ZKO*gSQve77-`t0Dr%h`V_9SCVb$l%knfH z-L2K+dN0`3(b<3Kmw2?^Ds8+=!wNg*e0THr+qsQ+D8bxcB1Im8lq{kj^pO&tzdq$KzWgJq)hFoToUC7!caUDb z!W)!heT(-BY;O67#&|=EGJb2y;T^>&Ut!8&X!-3&h=8B+l?Y^0OVvP#UsYdItA@Zo z*zodO4V(HF*Oh6#{KPkNM87JZAjkamoz1HMH|+m2^8a(G|NjS2Z1NBDoJFmz*I>@^ z-suz6+vp4laR_n|Q6H@aq<_=7NP7$o1t3=;BRbt`{qJV>xa{A&HT?5_(BGZeRD-d z6&-F3iiT#o1+Y=4a>!YC-aY7Ny!gQE@Y!EvfCW|BM82CoD0ZvpG1h0~$7E%W-grm5 z*$0GsUFp2XvBSuBf7x%_jq{KWF{eg!#~f{_jTi$81IA&POCUyV#DsWiUzy zW@`AuYI;q3n+JRe21LBZ(&*#LV9DV=m7fjPCoEZGeH4X3*?zpP4JFE6zrLRc=^goX@7@%;Xb*|1KvE64gEaX4C zNk$4QWKCiE%behCp-`t=7j?d1nz5W`2LEL9Obz9OUMyg(YwJfQpG3o~V{+|SeK65g z0MA{k(mEmiPzH~x@C~Zvua)Xw{b*GHPKCc(ZBb2Sv-gupF*x+beeSb|yk%;VOIexqhEIBDz=4G|cr8bydy1T(^ftzNnA28m^O?a$h}csBWKI1NRS{>3xVo z%r*76yx0=X#?Wy`R;8Z1NS44P$xK}6<;6|f4^X6jkScX!`%6#rEl=fpR(XZ1P(V_C zo1a@x;_-2XTrl7;^WEQk(E13YkTXb&d*wo%SipmOb2{xFT*Qoa4^Mr~&|uLkkX!jd zun9=dO9dA7zZ>T0(1U>a(l5}dsM{(ly1>WK+CU9Yj}%&=3yixT`<-RC&bU^BcEK9` zsJFRi!G|^3VEGp`7{F9D-Rm|Zeb?2bsJC~f1pjt8)B5`8e5k>YNKJoWEBq}qKifqz z8-3Dc(!1u=j7#4KU3I0-In|5K$*Whxe4pA~pr^!yjZg86e<&#QHT=BU=~W&lsdFiy zunTJVSSfjQaB%0F4&%j^R?vSQQGJ8I)SpnNT<-5kNQoqbJln3ydm)*UVUR6y>L*Ik&sc&Q7_-R7!t&yw*REOR;R-H48^JdstfL!;F>O<1w5@> z5H0ssC?U$4HY5>T_t-itdN30fAeRM$anr0FhHezUGE5}Rw8qb6qk2l+QIxS8ix%6rh%?t&<3 z0@M!A3zc4OrQ}menZWmMV|dL~VlX-o(ctrnd7kw`-!AOC+#o!dp z!{Gzig&SiEJGnhto|5w?$S!=9$w!6w`@uytm**XYt8I4Ms76dTeWdVdSYE>`27C^< z8l27g$1k)LDG&z|oG8@fequkp3@E(8qyKK zri_qNk=kO=<}7g&gh#^XR`j2AA;(gCsE3@oU7#L7>{^jRaqVDu$m(kp;NQT@Dz4dW ziNbr+<5`=(lURe_5RX#aysox`#H?3>p2TdDqZ|)6RyKA(K=EPOJwi?Gy6dW1VZr9H zWFGcv3^(P<_$1%&2V;BReD?I5>Rz8{)0Gc~M?9(7gib~3OegfMi;U})?cxeRb$x`7 z*dBSV1fkU-ZQIb~zqFnoLwz51HFj_sG1%YL^0`Alme+L^*F8#i*E;Jw%%rDbw&2i^ z?J{{~MIRx7a{Ke4n^$SFiaz6)TH$;X`;n6&k768Kzg7&Ke>#379cm^x(cqNexUSWz zVHFOt#wTqAHn&Z!((eP-(-LWVv3`nNu06Ytf8lHwCYxRoudlvV7RMDlEPQjw2|X+j z{3}-z@TIw(8)l!fcw@=7_OlXcYt5y&18ix%Qs--AKj#$cca1CXa=_iTP0{U_Ci^L- zs|(22!3EB*KwK>cln+V}g6jqt)6UsgT^3>ByaclcHGDFc^#_UY(8vy1+$<51g^=X1 zyM;}Gz(p3|O!SW}%I5k7fpVZWYY6J0$4OWu(bxDqj*UDv<#Kr*+NzvoO#Y^M&2+?3 zz1(Ol?PHHlruDDdbdJ@{?PCUDbO7cPFPSv@?LFEM01;VD0ud8g9Pbv5ewtw253l~v z-Wk-;JRShrQ$^p4b*#(kq9>%dSzU#-M_<`S?4tr>qRFJJJB|*U!E^dyPe@1;qFD3J zcVvX~wOU<84zcH7h6^>yEdNBPT=$W-AuY1pqs_#g%>uG6gc&&1 zdH4hMiYUL@mJ}??(KaaEV{IWo=+YtWevW;1 z_8iI_@-~?3m_C~UxX^QnDgSkZ=-!hdFDsI&bSaDPkQPDnx-+XL@tuR|{jZf9Rx{MI z+x*ZM0d$u8T5T`V^9cZ;ZBhAvCIS;FpdJ%s>@S|NNGqpy$IyXCPji+5u$4-j>;PsDG&`=lZ`6*I6xH(N&4K7JlQ!6=v44jR7KC8UOubnP zVIuu3vC}VDv~k2L7AMj2#g91jsy5zg0Mxo-Vrw>qwO95^iFaLe>rt&t0ckj;`a-qz zLcS;t5mi^!8tVFv$Vu@h5r$_En`dTgwQ-jQ0(usaaqqZ?1j1bnW+S6Xc zeXo#|y}9Fu416@KJm@Apxw#IDA`-(KnqF?*bFK`NcH3}y*dFJhD_tZh0k$0b{WPU9 zUtwK>@G<&D4mZih_V^4&fF2d5n+0~y{a{~~Ib0JHe z<6Y{{9+#5d9-XogK$$OYzv=wAF^EEyN4gdwb2e8JlWOD1=qL1Fah& zdD203+O0(wbE-$sEAI7SusPO6|L5Bkg4-WDbMJ_V-g7K7&&e!~YA@bR!L(sPT#)2H z?CbAZ=HRtzBH+$lFBd>?5od6b)B;-wBQKZ{6O2;%UU!DGAwsC~)8CSH9`*J8?Ua(Xmo;=Mg! zJs|*#gJ=~Z*@9E1^;U?uUv>I1NRBxJc;2gGbWp4om7NB|cRyiN2P5pV^Dxrbm(|lJd$Jtx6RUZ4VzN2B#pCs znO;hXhKsH8HWm&@SCd#MNT5!G z98vlFQ;cu^V43Ykn`A$bB^KCx4dSroD+`I3M!pRW)%q3!;^#gNbRb1oyBszT9)wk1 ztB2WXf;e~2)0w2j`nl*eU!+r*w~mibK#_Bagtfq9+tSM`ZCQ1&$4^_we%xHl9z0O^ zdmcZoD{z0Y?969w?VJcQsIB}J5x+xtbS@yCd*~dPx;^omU36j7DvO3R6ypgdPz|1r zS1W{l4x@ZJ4FhF>D$sGEes({DzV2Fx2p<_)1mBT`jBoJm|N3zuv|V^IezvDR5K9tw#H1F zx7smEDyine@mG>1%6aJg2@u%{Y`no8ZWBa@wM8>mt|po~A^>3VX|MDPE-813Y^Mvw ztZffN?`jt1SARWPP&KkTnz8|Y!ctiZ_ciLa#Umo8Rla?P-Px{i>}~ zemDur+!FfuhoeWhkNsXwTB$sa**_Wb@Ne|&HBmb6PFIkNS9ROqYJr64n0x28IAf>{ zTM>f*3LAu6*#TZl8Q`Fg@N5yOC}(Ts-Y)&$L5X`qO;tap?}z%ywGQTl2)QOqRM|0e z&47BF3xczx>0j+tj#2WM@5(a#jIuo1mwt^OW;Tw_Vx zYjFVFG~ig2g=-id#;tPo}1(TO1v#X10KQhg4|f!Z!U(?f%&BDqV%JFn%(}Y+c9_^7yml z4@9WnCqKcTH#LfUPaLV`MOo>`$zxyN5`QB zjw?%x{y26R{5tGy*zZ`Ivxj6_*KgdR_gl|e)5XXYh{flrr_Q@gVt*}tI) ziim4@;W`_)aZaAw{7;0=u(slQj{JIQMgo3(I7(5@2j-R2EuC9CxbNU4&~h)Q)#`ZF zVOS_vi>bKa8`pQ#^8CV*&v0(rw)wo+;Rv!E#gIz5k-9pYJ4_EM-jlPl5VV<@Q$`ZY zm(Sq?7%ex3y_DB8v6C^5_SP%KP@`6s)uKv?BA?GVL!#H-NApG6(7x$u9gupJEBN(OlR?Nm=F!)E6QtE>n2w>2+6!YY@Pv0)Q5HHNR z>?k69xaBGK<3V%1 zoWAfjd~X&D3Qm8X;Ao`^uHM}ZO9v_R;`~2kLUaVIRyvP3GoOPM7ibOC)YLuNy%Wq| zXg1gAa+@L+An(DlE6BT{%CcRI+$S?NAaMC0(R(|}rmw1Iqze%E5EN_*(MiUPa!hniN6hzZVF-M{-LXd zd!{-bl@`xK4!HLw(XR|}&gr;cyV!+E&Ee8-?WEGsQx-FGUYBLs>MTFtmiV@JZF<-9 zCAFFT*BDnNU~nrJ0iQdE-9eggu_G5Ea`Q9{TRl%J)+(bkJCeCNQYB&EmXqS%mab@T zoH0jMo`HSqHk5tqRlk=o&byorve!Qpu{lvuxx(9%ii&ev^Vz+P98m}h<&FEMsVQrB z|B!xtxD*RkNbu?+`vQ;Xbw(d>nS6(2^R1L~z+#Va{dAC(+e>7^nO0YDD|8nieD-kV zNWR1^qhTrTh+|LNJfzv_vjv^YYC;8atw^A&&Ta|W-X*C!(AY>7%61}zzkudqNBKhrI9&;q^^ogNxlI{W|v9)l!FR5;o zu0{V_vcq?3pK&bb7(*S?e+5D`(ErvMB^YINO1r^lZd?`NY5wRoz2dIe;fsXjwb_Bb z538o6u;uFcTn9!tszW(9ZAC3>QM&?DwP?IIIn@1n$m>$iuHiYHbc(DK(^hw02)F$> z`B@GRH_1f=0JJCB^OI-nKc+?(-F(rdc9WbsUb@!$SZp#dXurhy*2)#)3Qh}K@Q`i&wLOtOh^nOut)JE91qI@xH*YSiH zM-rgupTb&VgK02Vk8j=)bY_>ea5aB3k7YgUA8Na+82Wl3GD&4!t1fu(r!OOsYL3nq@YH_n8Q`T+0~(kLr}>*50jy(Oyfa5q8($={tm zLzTlA?`n=SJ8-EjwYM4-8jh1Q!kj?Y`*yoX-Ep7-13>xv{wJ@rdDeFT?+11Z93GO2 z{^xFyDhuJouZTb|8+sJcCI&>&Wk!5z&7?Eq!p^v|{w#~5{bbwrpK6{rR~^aT z>v`zqRF!qvw?8#Yh`h_TzoQ(4L(#@F*3?oAny{7OROnX1T*mYSkzhhD$Fy%tw5kq+ z3_RWzL2p8&uCHa|mq%3E6{c?<>X=rP>n!6C(I!gnS8~|4IE@QzshY835=pjiR00rW z2&{8eFDq{GEvwR%_-}CR~#Ms$PlGq z@j@Yrz30E~^RH1sBNQtFnr-Q4v{ck1LXQD8H8xuL0xsTv7Z%>j1Yw%8>D?Jj_)|W* zJsWX$BKcM08F;ztB8(t#ANV{6X3tL22j+7Swew$?X@pr@wV5fvEuK1uT;0+COZbRl-Bkt+x0-)i_f`j8+944m;*@ytw#5HRQV3Ozxj9pa;~_;rVJF_)CA+^A311 zv!#ZV(Wa07ZDjYCB-sMW&G~&{IX}{q?#;bdeQav=q+k zT)N?#5lrHm#+_dazQwiahQj`!X^!Zo+xIH< z_u7@hcOrh@do%f1D>6RQrAleu_93v^^(dtwb{uNhO|fHqr9Y2bgL27nPgkeJ!Ru zHfS@`u6DaacY9`zuKRim40sw711B2w!qwAQi1u&GwM+<4&!F#8@8@0uKTc@FCnNAH z;iXn>?OWvZm}I*}Qt@%PZOV=Ht0f>bzen|j%%#)mTwT+h7=Z+T!7Tws?yuHt7YA{Y zXId`;DE6!m!aE@4=NzQffI0Rb_5nJ4P{OZ_q-8pjSWJbwk&AkA$^sphoy921m!xYNF+vidKle60#M{jJTf8C+LTcWS zL#}pu#>gDTW}sLK>FCo@>V$y&Pxq%DgNkqiD+jLN%?L@OPqDLfZ>FY@QKVl^lGJ{3LzcYDACt(oYJL*B^y7AU{8PxUl& zudKV+>6;k`MJ4Rgn(}GDrU%1din;n=f7OGXjMG?k4rvwnw9h1tzV8j;wlPww**U&d z#LjaC?sY(-7>AUm(mVHGnvv+jJMOB_Gx7I(KNVuR*|i0*_1RGQxA469LFm;FVDWi>WO9CIg$>F=xY1I&*ZZ!rQU{)Z2b$1f6=9)dwz!OQ<` zkwKd`deu<5-C0R8J?wbP3B%2N7A?~IVV2rBE!=48<T#iu{`u_PvTG zNX@MQdN!8f|}BSfARy;lrx=C$o99m|0r>mxr44avDI*A`>4eFY?1iOp$#rj+RDCKW_Gr|yZINcd53h0m`s@3QB?759 zHzIvB`;sX|=m)Iwp?K$I*_m}9F+M_@(J#xd0R_ILb*;d$tiMNhJ#Vg*!9B+Wj03d^ zB7$)rO&wgj`PW2V@jQLUr>MwGs)jh0iPS8+(83L`ar&V?TJYq zWv5@>ttWaELMknc0&NLNJ4!EfJDYmI{GC%j^q+yUl?AY6qKJwCo&lTyc5TaTYQL{4 z;Z0kNg(pfCBgO-dzY+N0I`h3h1yLGQt{}{)iyg5GnfiH82~J>@TE_`b#vtbBx_~bnJs{M;jOQhdUwG zp&5sJfo3^}9RHjznxF5?P(NeR!^70e&^3>IqU>||{=Q-F9M8%XDuxH$Z5($+pMKt}B5T+0YMdMYS`3X9yS|ac z8f`#T#=MVyv%};ufAe|nF5QoiR55>?E;qh|mJ?Cgy4uUMr>bUvjcPUCRMLept3JQ; zKE^o%ZPTJqmyPHwLkHPE1NnY`)V^Jq=(i^s{ zFq|iA`sAOk(MGx^D2M)Ws^yjJHW`aa9THtIV3*}(05Pc-;V-`*OBB?stEW)7Y&7U` ztfiwBLcMDG|9bgEwIl|KPYFOVDN$~2@@vG14n&v`@nH^H;y2{6;Dzq;Ow7r zh$l%#MY~im-%#f;kWf23@lUrKSOAW4CnYUWE}LI=ked{mh5aU-LW9&Y--~w${T!AT zT8*Vz#E$fVg$ZCDEUVhUgkyLHsZdeQO!0Mc`|Qc^%IWiCnXPOm&_ulc$TWYm?g*KB-4d!GvKhFX(W94XJVIbaPBXD^4=XfB@C%JE3rkxtoZQx4nnr%mWN0gC3G` zm9TXWZcnI}U4VZ1k6A-L-V1M*knL*XF}L_BsMFQNk8Q20)}vZuAbds3y`(>#i6(EI zV``o1+*V#zH;B zJp1+6DZW}Z%9%iaWF23vKnD_-DjCiOT9W;#k_AmBB%Y!OxOg~R)o3WMXppb=EG{J{ zJuV^K8m#z27hUf^ml%LPCnaWws}^5|y6ftu->xILOkoZ%)_kdYekeyPEnR^I(S>qW zyn)uHe3uO`qj?BdobBbbihHu5w8>!qH_R`Z7S;Cc-gJvuGem6WHIP(-zea~VY^mpo z&<7?d3h&f^g&}YiS5CQ|Dcu|_PLJ)F=y;}s<_85xSxgh2>E-!-_kK8QW^t>!=J|>5 zc3VF8ec6;^?r$$?$r^3M`7XFYZgh+ll7W_+?76^VQz>J@Q3`g}t0nmUGr%3vsx`tUbDoygA`Wh>Xu~O?5v1WvRzmVYacp z@*x#~5wdtg<;3I&V86M?@22e3Vr;WGw^tF&`rARMUH`XBZi%63MfE5_yyZ@!yCUp% zHeAiMW7wvv!*DJ5*@7*ZFf)u`;BlCi^VmP4KHm)P?{xhalG6xMu;2`iWh!ULo>x{F zED-7m$tVS)A8xS^kKiuerT^Dkx=wa*xmwh?Rifp(+UNS@FF_OF_q50~??LHrN5T0_ zcIQq4@hnve^VM?c*z#(a3_t2!XZ3|8*-P;7n36WlU#2{w=%008_JB>di^7jLaskKr ziiI*K|CZDBJ)YcGq9^FMXw177?0&*{qe2K>x37a8v_c}_2yR|;(Pk-(4yIaZuz;eU zlF`luXfFBzbT3MV0Oj+>#^d}IysO|}PS2RD-&(MVSKr_mx74&x`j80O>;Z0KDfD*_>Lnp;vl803I z(SfdPi_x|41gV@}!yRTBV^d0#TZ$>)`|oCkrcuU2;sfpnjL?OVKWUXQ}5P~<~TFirG z>$Yg+w!VxS5m6%6Eks#UCTcD~2-qjTX)ZTpb_#gEnVCr_hqf-eY2yWm91HdB8U^=R zrKbnhSLdEU%SLyF7nUk9y$$Q&yKBmQG)TY#GoXIjE64XC&9+o*43-YXz^YRSqkwN<~& ztl6S26k};`VktzE1^SInZ6|SJ>xm8!DEo^*H8IMr0C}Yyb~V}lH_hpktgb-7t%gux z{8%W;W83ykP{Ca+g+ps~KVCYRQ(KHrwj9O|6fo)J(4N%{lpvuHgb6qV9r7Heu;lI#Ms)_W^FN1&qDYB?@_4ccT<=f~R=K2m`Mi zD)#Fz`ePwmmI8qe^c3{-A}krC`K@4es0E7G%+OpEw9I{ysBt^ ztb-f;U-fLro)*r*&KtMTx>1VLUZ=glSKHt7QNuyU1k> z3k34hB`_Z&_?3O4IX|Pot=`%;(fkr4sk7b6JEa7wJ!5|^r38*j$acD?sCN(K#%HiZ zLud5gp(Y7vy+U3q7y|?hf1~bO6!pJQ!0&AOT6ce6Ic@X^3O4um8KPmB;`G6@P5j%= zyhZ$Q(^u1%aD4DmGTz|i_&(%*>KP?{U3!S@OK>F6pN~YIV#pGfaMH2%x=LWCi>nZ! zG3EQNo$cPowBpALqJW4*87sd>(EpvLy)O|ego8+3ivjigFdMCMV~R;pgKAYVp%v3R zOy?fpyMX>Bz>6(_c@QcL!)d=_)q04~QEOJRUTpOO2w&pk#4sZ?v7$}>{SX~}cN9dZ z;82p7bUu67CVp}N$m9TPbT|vZ)BMNE@6pu>`5a!Ox4NA9Q0e~Gw)g0K1TZgF{zW`m zv4HR8p3zzK#8FI|x|DWKqV(8dJis*x^1*&4qUd_N(Uo0=drwHZIAKW}W4L}VlBcU9 zAOq=4<;*~;bwtY*s3WRby~fV-s_%0UmSPfOrvsJX75-y|X_8niiRgFa{8$U#tjKyY z3;|J&a_@-#?C-gf&k9!SNWF;4C7+R?`xAuOiD3Ghoj5jG(#B;%9J|-(?-ZB8NBA6d zi$K}|4A&YZR0q*r%V>JEgjIaOE^EuGH1RTr17vcp?`BK9K%5!ZAbS^n0wp3Kh z*%~eDjyOn6mN|fN&aKECcpCe!pF&+F$NTUdl;5|pN zclB2k(4W@c(MJ-N#Ioqw7*gVrm;gNDPRsO3MW6j7X+*Mn$+V-^o}-#!Y~(wtc~CB= z;6-F5cK^U3jR`(qDj}G*IlV~SHgF%e&S>({nL$Vuzhe6r^SbN&LF02WbZ5dq{$c6t z>?>=sJt5NsZqSR~Sd!c3#>nzwAsD7?2ks&$#-9PEDx6|O5-K!A1PP@acsoqO zcIN&xNr#tWkISCgHAuQb7-ySQHIn^{KDZ<}66;kps>o<#(HV$0>W5YxrO6~T|V$2N{73x@U4jFA&6mWOKekgH3oX$?LKbwbF4(~1_vf&jw zNEglV0ge9UsSPU{guRHHRh<*DlGz6d%qxT-R*pVhL#^DWIs`8kRsRqEhgWKSCp52K zi&7%U-lsA!+Y@s;-S5HTgeB>*2;Y`5Hx72ZiI((D zenPw~QNX+(D3*2PN7z&EGYaj!jie!}PM9s)K9J*jvFJ0!2GQvUREG>Ab5(>V?CBW-m>>R{TzonT zM%bfwb_Myqtd=c!A7!OF^tiv8Bi!1<(Pv9gdd@tK+GPXmt7-O-0YQQyCat{s+K^Qw8=Jf6ujG7OZz$5X?J)76y4@O7y5+ z=jy2(SI>K$Bknv6=l}@_4BotiR*Gt11)FLGtP}yIfo+R+2e)=__Tm+ElfN?_Y<DnFl*FfR9;j^9hdir<6x5U(zDo+>X*7E1;Z#2yCHp@_+9-Etp~A4CsBVvdhUI zj?OUO3}-gHpnM*2IEA(iP2Zgj8aN9!(^6#~Uk?-{+_(ZI^8iRJBmmb|V>8i0~d@aGuS zUB-x3@E~u(r(|{?B~D+#J7^W5b%tifsG@I6Mx@bS$R#0HN4J+U<fh3RaB zu#D|xp&0riW_u|W!31@P@xm*}k9T!H(@gFzk8i1Iz)l@2s+xXv>2|tJRZj_cz9>+x zeZ283L)t!C{d#(fRCub_)94Ek(ucM?Q(+6uQXQ)~Lc9!vot*Pp$D{y^> z#+wl)g-30af=_!M1e{d!J`If6UFHnB*J7SO8ud(-X};5=m#1c3U_d1yNIXxvo&=mH z5ZNgZmj?n+_EHGIh>ANC-i?rDwNS@fO)*SO zNzjb@efIL(NKCZi8=Ldu(2vFSv&_~uD0!pQcu#dJ9zo^ z`Z=ut!-GW_)=aWsgYw27t}?rO&Eh~d%Lp3M=P_8)hY)yUrQzI<>LVNO5)JE@J-c35B&x*Lb zH`oc2@tC;%J2(Xr)N{A;CU48&&EOV$G+4P8&GFH1LN=gS$_pRrRtZ@++w|~fWJWZP zhFwkLTe+W&l*z&ugz4md^n`0aS~49ymyhLfiJ zk1eC+qR^~FIdaesraYniJW!3@*8)Dt|{5#<=cv~4zZA8)Kuyehq<+n}g}Y0!>_7+n&MGU#3y z8*WF`VSn0t=Lqu)pSfWnM-x7&GEOAK%*LwWf%luEUkjg{%e$^J1?JG_I2o3N{#F`n z{vy%FYCGxvL_?+I0Fr0ttCW~3sK!S?*d*h};;c-f{eW%4r|j7yyzBS8x|`%O|L$aR z?Z@5%4CxYMpS6_I;zUj2F<1ETYcMg^=Ox5j35FoA#~v|nurtm7I9jrz4X6t-Jx)1v zIz}ad`t00ZxLQWgpy8jKN+(^ESWBX?h9d+q5o%Y36Xt_tNzUOc1u zzlsJaRxQ=_>cmB@R7b^uXmOg_rJ0B%+LdD}6v4|e^ZJeKN%qZA9Am*_-ntyVH-3g@ z8SCr{7UnvBguI2GG3xl!%^GDz$nlg47h`-gytFFD%Jk6>ydduWH^&OVe3+q;vUIg} zOOBv&GFbN}26q``x!@%X?lYe5yV(zvYwnb|C^MabDdcnHsFGPyHG+S!);~G`q=^r0 zj+|{ek+^{VP}tA48-?VftDwRWZk&ZA-8fF=;`|7^*QTrZQpOT3eWps8R}P`7l+tT zslPt85m+PYFoz@8_Ei^T(=zDH~0J%RDWAl#w zi3e3lZtD?!CxzC{o6U={z0mD$+i}Xe4`VzYkD{wpe~$e|PH|Sf&+D0P zsWVe8_)0BtPnBRJ`ZHJ0$?ae3VOcUUp`VxX=vku91=_j0MTZZ^BW0RL)1Wrk%M89r zlAg(7r3{+4>^<45jsct3 z)m3Lq?!a%EMVGY{_>v1@6WX~1?fDR-1#0C!kV67+3 z4ui)Y2nrk6`uzzAnSFs#1VRLwteC3(|0HI(r=u4At^2Ia-M98B5U_*SU{R&!?Z|r^ z(+0VBp9jmSpO<#Ace->z>-k@bucYiZw{r2j`1QHaExT<6b0d#w#&c=m!7Db@HNjic z5yJzQBhu^Di|F|%*_+-|oe4s>W|?XRtx+nzVmJM&GuF)3Fpu?D#k9)g*4m-IDtn(o zAh%F^I}iWr%`7ZSQ47p#WmOQ;7Lpq=m&1@$_p-NWcOMj+)-uS$V+p~&*uExe|7`+*P7G@h^dD8Zi zTstd`lMJIi0Lv;Zq~DF}X~93{UfeLQQhmnlJs>D3ZBt$t^X13owYZl22Q38! z_x{~AK^M$Dfms{phwbDH=3AVh@owk6CoszY^`2750F@ACLKa1?z%4OZ~7Rr*Q z53x_qHp2SL(tEwIu55}_T|zziix-dILa(KaNamWkiF%u7!pjHl3EJ(}Fn*tRh-uLO zspvYxnpnEb-=1(7Bl>D5TDCL+?CQl%ppx`f^dA|OidNLM=2dnY12Kq9?^Kp;Tq zA(W7ikMGycv(N0zoO9;P?AdwuJ+Wc$CPO(~U7ZBYZ3UJ4oZ}`caIONGaO!OlPBmVU z<-mX1RlxMM5Beqon;Xb&oW5)8=QjTb+3`19ih;Z0#W>GhL1k=K3`yj#95ocA61$JV zDbo+~PrrNW1pe?0EuYTglFG7Gm5~sS*uM}HmlGYS-Cx(4F;OO$i2dsLKv?s-vsAPN z`bKi5S0I^aS5NWh4c?ArUnI+#jYCv z?Q&4x&(k3hXLrvs?5he5MGK(?=DqI%q|Egx{iYGWwRfgn@t}+u{VwN49#f+R-sQ~e zHSZL&GJ7qN53;)z_E&Wri2cBM&eK9czON6vfRlZYo&E95cUlX-x4Iq|@IWUq zx58&d-(3wn))6xB`hffXNG?KZ?sVZ7vflN+do(ydB|FKqr@Jqqjvyu>pFzCLPy*ibRmcAZoU|<{^6HANPYn;^p~) zGBy$$dR#};O_vS-W`BJ#huBvUwJ5wR_HHywKV>52&O`F3wtvX^{TiI3lZUZAFOQw; zQ9n)BHzv!W`von?!@%F^mCir|DO=Y)Tg9(r!q#1m@SDSt={kR-QAw}_&eppk3nK;A zN;lxEU{<>+47~9&j4RBlx7;=#yk|svS>pP2RY;9dXFl2EN~r6P=rCE#&DrnQ;WOGxJn2@;+aBWje>uvH|Ct@f~G< zf?rDtaFWs*YjlX2rXoygEcpHt=z2_P4|36FBEw zGI+WKD3mNgt4bJnx%pTirRsJ=9`&MIrmy_xP7U+7qN~$XIVb4ryF>6hFsYP`IT z=a9`@GVAZDMLO}v&3zDj7kjoSd2f0rZ8gbR(Z9I}%$qXbvu$6H;IDPZF8rO=%=1%B zPYJa+uF(w(Ob+q4j!dqA3ihoqK z4@Q8gvZu=orza>cWwMfWVcU5Fn*k}15uUX#p1)i*oTI-?BMh&~B zWNTK4mYVC$HJ>*9p>1v=j8^lz4u1=M=8FGtSE1t_6U<|JdgeF93dHGSv4akdSY_>k z*KWuzkty!)a{#_%KvGk3kMn^8nrGc@%0XFHN3IfAsq9XvP}kSS^;83Y6}u$lU0%FD zP`{IjXTra1E_wA?)HJM|U}kEkdDt>9e#j%){ro38GQDwf2)t!y;2Gku*j!18t8M)v z(4X}>a9L>8-SOpn*E3g;(50rC9oJm4lKp183oTlVIk>_Z^(W%Lv}Cp2PSWIQN#o0P ziNk6z;Vy__=#GBex#A)==l!81hl`uu;V;XI^V6Vr^EDEZ#ymz}B_t$Ywqy`q2fsF6 z=bb~KdCc|kb>%;Yv8`}4V_ZcK=1dpR9iCa)>mc#LU{c>ul6`PPz~0# zJYIuiW(scySu~iNWvSH<2C^mk^=B8(D!FI4xLT2430^Onvz=N$!y}DpE|j9G0b>}O zrTre%oH?81N%hndL20iEbG9=YKO@VB6q)N|Q7gMIn2h96Bjh_(R5F@TKmGrjszNcj zRH1J!V}5=VV*72JzB{47_9bBMr?>jZ17u(YnJ;u)KA4H{BBT-eC)B0NDLcz79>amos@p~IQVioZo`Ar{dv%@=ZVhevO;Rdy{n7^j71M;uG&xT)Pye?)~%h7#b-bTkLkCg(n-KGn+j1A~GT9}NobAl3nz4dv%Z$h_y zRQn9}RLwG=`SdM4rFv2b!MKVn(6zX$>}e-eXVbUUz=~d}+tBaYHDo?g_VO1VtbRec zlTEg-gP)lZ05^|M>zd5EiGwkoU#Wh;-rbj}p2oZYJ+hJXD#%0a86eH(83`IH$aUa&~^~qSA0n< zAiTiXlejkC38jTL1^=4G{Up53`5I`zxI*o7{ESY1`@>h#$?!_TdAT}mvx|MrQzek2AV-<_)Pt%=)!g)=4BlUbIp1B zFE+cqdojnx#U@t#2Lon!1tO$Nw=#vZkW5Y5JGuo)8`n0W5Zd#3Ahzc)g?raqn3>Td zv^|b(S)fq2#W1SAp{|exhXYT?;eN|6e<6t{mvZD}HjQ4~Pr=r#Sa)0HPus zzHMM7Efc?j5n6aqHLuufgJem`$*$Wm%C^Lsb=X_9sfLd~M^ZNr#;=sp+8$x!Ti3xEggH%PJZ8p}mpWQxbgPT&s|8di_}4JvB)Eq}9eev?mjq>t5sBVzK#HoUnU8LbN zhZ{JF7^d+027AAYH;Y^y&l%Vy+Ge(YbKKQS1-k6ME!9(sz&X^dY=@I@D(e=@r<4Ck zJ)E#Elo{Nu^24XbDc>nU{$s0RtS@ur9NPSgvG?&qXY3hcoH9_OnroWHT9LPyfzF&& znD61#cY%9dl%AWlu9eS#N5{jKuGbBq^ufyOhK_*GOc=3PRkjOW#TM|h&|?SI(y4M+?{`1R*ob-S$2Up*sa~VfGmeU*b9nv-v;BtDw*B^dil%bu8@xnhyj*TX zr2G?>54|f$N9~+`^Q5OXOd*e)b){2MCz&^NaR|L4EiSJkD06Q>9GveC`}n>J7TyXG zhNI`(ZtL9%?wBZ_C!mkMIoVnL*%q(flEa7Ew*@k*vQVD9ev`YoF`uy$bCe$w-!C5q zTg!v=OIIl|8Fd*ilY(f3e|3NHP`q z;fq>C^m^&+^-c;f#xa37nNZLpTV*5i5Z4o4BkWojH`sm`v26=9x!$p~T;>5KirVI` z*O`aOzY#fCB%ptCoAI~+uT^OmJ9a187wkea z|4s2e`%P$m-Px1nMwXx8NwT0&-ul#b;-llP4;%xDj^u@;D*0>uy85MsM}h}!VISRU zhX3S8pHB4Gjxkn^ynils;d`f-V!;a-?a773JF=wj!vYy6J7tEi?BsH}NQoR=xubU_ z`@f}K;g8Em7Cs_13IywR#KTjE?#83LF6}t!635lX!W?8oq*z*dejd1M$lPT`#%dt6 zT7lsz?z+Ga%3?8E_+&%X{;Qm|ikMytgQucMWjCv897EnjNRPW@nVD``IoJq@-D zm%XPfuA$RcIRn9wo?nY| z4qJ^U8QF|U-V0J|;zU1$1Br?5Lvp)7Ly)qbX6 z3lL|h;u{q84!`V<-IuBdmkB(9<+ifTfPC}x7PDr z$lxY;v1+CBgvR;xW{L#`OLE5W3rd&r%$(JN&M?=;2P4fo%j!rqLSi+CB35P zI2x9eV%aK}>b}MMbPK`RINqBb&BckqL9g33l`cg}U8eZyQ4A7M>O?7D{Ai)kFW(2j znPX3kEB2Ox_qNqoME53(Z_QTn>0DF!KL9@AHMxPUcIa;Q;~v-aFyb;f92!}@o;!xd zvZ&vyV^=k$N7QkueH)nvg^!r_o&6iVKYqKt&n0a;TXd257IG_o+4l?R;yMPZEOXdW z#-_cx8pZ-MSp{WAz`#wTzBLjP~L}^h8(TBr4 z_)LU#y`Qg7)=tClT>E?J>bOEj*7RWV&zWI>s0!C>>^JrpVtBWo@LBU4+b_gkDY_!> z<^robGu|v$xUagfMBP%y1YF!8BK<)GPTb6r=#{_)Xx7`RVAgm;7vkMggm& zBoL^6&AZ@*hxfHhr>NcsvRSwlb(hX`e?QB4nsoR_X5lkD{A)~hrRZF67D8pLyXP45`~Sv`eiIL1CTeNg3VB!B7B z-~MJbHi2T+C^G809t?@j^aa42a4yFP2O-3H3W(ud~M-t?-!qLXV`L& zW=qr?UOQ&MjGuZnb*p$dYf_!YNv(O!w7k;4Y0{*c(NzWeP^Wv0A%hNzh$qDuUq_D= zGyX1Np|q_U?j)O@u>NxV`~mQVg0;OoLy?FtPr@v;+4nqXPJR*}@i`4@n3<3MHE7i| zjrp}~MQ!F$NjBR>H3V*1!PI`FD4XapPu(3iBDJ>z-gQHo^y$_k z?szQVcVWvWKOGjb$EbqUrN!B@PgJwS9_q*dZfSDCwS|*kZPk>VdoZkCZFZV{fHWcc zSH36onaqRE41S-luI0S4^qSB{pEzoEFMmSnoFR{*PKR#;oGXT7{@UJk)n<0Mvi$&p z^S8fdf4e{h+<%?&>r_N(Hv651yzL@JfArVX_KVW7$WL zBr$&k#WD#zkwW_Rs{X3zq4|+IPLZkq*vEWtrh$44aw67_S$!y5pQ|Iys^yBX!Ih;uw!gHk*BJ;{pabA9zS^X z$Tjku;j*Ep>n1)Dmy7AtIqtRykQ5!e_%_h9?6MSok`>>*3<^nI3^LGgAFKSZHz3pd_a5OcKd(Bn@;9{X z{n0OJ3W>RAkYZfIefO{oN1-<}TODk%y{74zdIRTq@QEiM9v1TCpK1@3)cw0sVXniT z_({pUw)o=r7{5eM$>^NXrW*y%SX_XN$|KbjfyYG=EQS}K9OM2A$0d3w_i#*ZHQ-$K zpVhnbKFM@`hdk0q7I*(NzOppP3*+rMB;%>NOdVe_hsaUTo93nOjxpMf$~ZqXQH=m8 zm2VZmdNCQAB@SEcFf;RSgQ6AGe;e;Fdd<+KM$7p82TzyhI*hZL+X~4Sa{1t*Zx*O$ zKz47{nGCy0v7?h)x=S@OSk&}!;sXzwIPpVj;qOjBKi04<%=!c&crWbV%-zDLU4qY= zG?IGazA*aBBtJt=Wi4dSzEFp7aaEn(G37ig`Cg1l6DiO9mwqQ(Yqz^~D7fvpm+otU z=2}+URKVzIfX>EaP02Cb(`KFVSx2w0OVHQQn&AyH{fUFULjavI}OwPwOV9idA?D+%sZ+8Nu0*xVIjJqtlD?XcIncIYZsUMyBE>k+* zy0@y=9x}}GD$g|v&T0Htxr0we(uCVJI|=mWTx14FnN0lLEbLNN>j;qQbl3dGsC&XJ zY41xu_&DCN!Axo=G(?J-er&&ld2%XmI>hxskpJW7-{1Y?V`J27iSR40o}tkwx_l~o zqQ`ldhg#rQo1vzAvk_%T`O^&a$wom{RmxJRq403=>_JKb@zt!_XA^;G&gTuq89ev7 z?Z=LQT5&&zo64InMrEU94eajOCw0y`lBroc$6Q^$(5M_49o{cm=DDGnA=IqA_ssmc z{N{XRsHzRFMX5LM`bgl|X!kMpw^_5bc;KtlNDdF9f*8ui>VH|J^xN$SG#-nZx-Un6 zQV2hIB>PCcj`I*K+{mStIW6qz7}%g8CL~-&SrL)HF+iocu5yd7RXL?qFhn|M_i)%% zp{CCqV%xgbL@gdy3eT!_BZCc%LEub7G0gnamewfQ1N`JV1 z`5|Pvz0ZLdl1-g)YvGHU-#2xcFQ(j_p$@YaUZECa9pPkS?!((GU!cz9Z=6#c={_tU zc|9qmxqz%IDqT-0qz%+t&FhBX<%LkEc~$4=w^fCQ@UtjOk=ubM$j#np-<9LnK3xC- z1V1)s#a2(86A^H&C3~2dCte$q6b2#(cerSYIwYJ-gvNlrK7rwc(iJ%d(^EXqfAOs! zra4x#kv=0)P-*n?!*WFal|U4$tz3r^8!`L`*dB8y-;GKZFn*-a`l^#d1VM()aS?MJ zbzS~zFcjdFhkfmFkdL-#5JOvIbE0CrRu$3AadLnWFLpsh7dEFtW~);X8PF(uKI&CH z^9>uVh^|{-;zqVP+aZLpIWRi3-c2RRtxh3i+c#Ny-dCEB^Fgko0X}&KhhvYszhKGZ z`gRj0V`*AvRgOR#MTOx^kC- zEZBD(gCI(T+wzfJB1riy8iiD$$4bObE_y7(PNRvZ&$nU?&pFf_2C;7cp=v%4&i|&K zITJ|^ex%WAtox2J7plUeg*GPoy>zF20qp^b+$DmfRZkDsp$u7{~DV3*ma7gBY%fH!(#IMuD-qKut%W9pyS=oD0kuM2Su_(n22CY1dEj| z;HcBQ`(#2r&AW>bC zAkjRbMx(~>%@TAbB7-}I<4YI_RRpQ4*Hnb`7&^KUR&m)+;aiQ_y?ho zhTxsIOs?)wW7n&r7uu!j^$dnK<2vmOuIlxgib5RugxElyw$4+!|99dy2K|f;v(gajcs+23wHRfz@MA#TR;Y8H z1pZ%E*>lLKhA}~Dg)6U-oF%7br~rvA#$tVwP_aV9>(2M5v|R28kztRMJT!keH^PbM z@-;WY;r)1WK#V^(&zyiIWcrjX11(ZkNaP7HveI`R2;6OPX!qLGLc6@~QQb-Tg6&gu z2m^)Via+)e+?|h@5a33R6f_F0#XWpj=B>2+&sHi2(*tQ)ek0;&Vg`!;1^2LE-=$Lb z>8((xWilh0my*z?VW&X^4Z8KHbK-j*iezczkZ)=MjuiFM!S7|Br5ZqW#peE6D0i5X z?pJ@~khl-zzEtQh{qFXUSD^QqL~`eUT#eaX>v>N6oXKc9%&^|kZIFR6onWnQ1m)NCELC(+qVCAXfn_-Vrsj81NXyow?z@=n{MB?QJqq7{ zcSvcE?=ga&3C{iXmYTmYA7vm@kx%6FrT?N>^m&uV^*0#-PTiQy76E)4%c8cN^vu8J=^|EJE--q*u>7a_cpTTzq_)H3k6ku6e- ziWcS4gi*p0e@IdT!<*DDUc`0M<8u-$+bXqDmi8;v{90prCcTz)%P)#KjFrym~= zKxlzJq~(v*sR`_j_ww7XRf9V@De?h9t-5o)ac{>1HD&tXdpM8HeZdW^XI?{WO&n|; ze*t3%1?t{VG)!C5m0kx*1H7`x%`y=eExY+OVQ1ZkA!GX#fJCqGI5d<2Qmz6m4g)9dbM_OR_K^F2(YQ@cHSs>EN#FM~FPp zlTKjKphjMdOamR>+<2iXsBk0Ziabaz4eMdFmtV*4mopi9Kw$o4s68@m@XsXq3D4j> z1FOCq2U5c1LS@2Iw23KilJYW{6gV+WQav!&3F-+nRRzJ~+qG5N+J6K!3sbY*B3p8D z9;`fQFIh?RT=kmGs7mUXObcpIupepOETGl9*D!kn+p8JN;y+>3Xhs0~^3y4=O3KF* zF0Qyx(9Kh`M!su;e~V3s36&;hEcl1o7l_}F^G;UiwOw)kTq&#Wlb&DZ@d4%f zQh_Q}cT)0Vci0jnNWkx_@L?P?sF1_zTbn9qrY5mthD}bdWeVBRh9MU=p2S>_;xk)U zUtLWli~85VDZM0#ySB;ZT`xfw!JUeR0uCJfTzdLgSZy(r-q3>P5$RG+UAF26q0P zD+WrZE+s{J3XQv|x`Bo-k8@!*3FS)y!xkkW*%ZJ%fp~tTGf9}!a~nNg&+WMfo_&73 z%IVh{?3d+R<278@5n9|;Z^&|XaM((?fEb3B7Rq~CJ883H`7tId3F_+F6PZ;V`NFd! zW8*uAWeI;)y*HTaULsD2%FRo!W>Q(;MrkoQ)~jVg8Eu)?`9Fm+#A-5xg=eqY;8vz7 zefb$$B9ij?@3#sy(A-eKD&;33PK19nPhaJBvD6F zgM0Qwu2EQ&!8|mn7a2Nf)sm;q?pP0uVBIggXj5rkDYIUUufHDIihErx0;v=+cVo=& zR-NtV2VY;sV|8eQ0y4@OJ%PGwSWK>IPzuS}b)DDZu(F=9ZIfj#s1Q}+=Xt@mJmLRi z<$79K4u7oE=LgYgBiFF^+do+DHk9&8-$h>?tN#ko-i%(1gkeJU+@4&XTU;U&8aj*5 zzAXfGVX;r8aABkisL8P+=ce+3jFe~cLHE;4bRz%_9~&T=XCC+Hgjtv_rSJpNmhQyY zROY6HKb`9Sks^$xyksE-bc@S*KA)`>6yA7EnMDXLejm~?3NN`X>>7WX4fhd-tMIP~ ze=`X-VStC+1VLzH*sPV*YBFLun^zfH&O$vNDmly&OTAr+86fX7%4Iz}>DH5VL*nK3 zL4N>yp$(o+A>VIocbmV+r_&j1z7=%I5}p{qcezj~A$d6=5Xjk5M7XIM2#IWryRdqchK6=5PWJM({>>rGfc8K<}fze{mju zWODeP*D!Hl&Fm*#B$phazVO2T%)FC*4LYLaIePf}GGN+Y8FYW5B~rL$6fipCf9IT= z-=rwN_UZKo6KO!oQ<39Ub$o*2^|!NOmy06_%>9)FF#buD^-sJLp|d4L_{?hon1wfe zFou$-Vb^MVfD9RC$LqqU_6)3Rg~7y@W?_(BW!y#D2Zdb@bxq-h_)~ zT2RMi%_zXU9m673yTN&^^M*T06rx_;3Y#+O@F|bF$J=5s<|T}uB5S(BGXlsZNR${+-xcwij(K=X&t)cluuqmUdY#_G)PC4el z)UEjoECSwd08)i9j7OB7*KKZT){YRP0OjV)^KqtC+F4Wc%hZJ!>+6!P>Kn|wF|S&u zVU2+U@zIQ$Da^f9cDQ5J$2avdQc)MQy;(0^dM19*Q5eRGRUEkM6MXRv9o`+1nufuK z(8`@CP5U!~CIJrtK*&vpi-3q@3x?3X;mLK(?w1E(=*ohngGh{puNF~zF+}W7jka6s z#Sr;Qym%YmzW%xIa0_6E=_*GmAX#pocVX83j4mxEp9xJc=s*GrB?}C$ypTKfP%7+e zQ$>Km&%s9QeQOz@!fUN$U-`z}tPo#WH*#Px$VGD$BSAYP;>>usuKUg4 zV30}Q>bdjYoF*81y^u`rIhAdFh}hi(6(2VJWlY#gkj`7Xsza%kTZHg8##l6W@oof5 ztnhO9x7D9Xm-?%!9~capFp!(?$_F_9%bzhlzRN#)nnp^ru!Ow`axsJGplY!Jm*5{a z+)kjaA!?~wyIaFtEw%Cg*Y3>VO!8%iZ$5tm?l{S;eEw!sm|I|+(siMA6(+RBBXG>% zN4}=C3&WIo3jRB_(*z)bSlobQ(}(>b)myD!)@i_3SH0Xxhf z3EzOXLAh+BE=lHP16C)po<0}`RNVLik-%*Zae}>QTT?8qTp8L(h(?BziYix{6PFBb zHuC|hg~9nSC>UA-d`bL?@;{$nH0K-i8o?MrVCCg4nLK{c7rT4@y5d9ohVN<$nmRJy zzeOHzgu8~k$NJB4q)n4!9zsUqpWaktWdG?T$^&3x z3~Q7*Ik>M2t3PW_t6t@9YnLsq>3kEEg6AcLET?7T-tB^RGcMCx3e~A#d%R4ZHpdsd zi+VClu)mBb-|DYH_ykjWlfl`!o*U#x5HWi1q5X=>kCk0iZRXpmlnvsEMA53A%Q}18 za=(eg;70Pi&J~0wzbQ*Vm2Tqxno`b?vh^nt-_$tai69;k^p`id+>U<9~$n)l#=WP~8 z*aeuGKclK;uQmQ`Z4dPdbr_N>85DlOa zo7tqdb2>6G5|ru79^Wvs9_f4Cd7&!XUGLi+S-r~F)_&I0in_P&bD8P?gE$js{e^J= zVB~ob>2VKy<)J$RnD@B1!3r?hWJEEPJE>)t?}gKq#_G`}jHeGsU<=(9Q|YxgYg@`K za;*(jGtd$pny^o+Ni&;`7P}bjG)o8ax=%~SQfCvu#KroTy2aT6bRK^#=B=vn_>k9EBJ`f!(EOB~e3SPWzrR?4U6~w6+B9H4`4VDDOqO^IySRY~K~Tac zHU@v<*qe3#JyhR1j%X=^D<&FD`UxO^_$2E~eHDl>CZmQqD zrhIB55x%Lzb$MOgr!4cF2N1(~AKzz;go-mMm%V-})J$2DorMX1RMnL5wQ!zHiATas zIE?(z09-TJMc?zuJtjV)_e{O2axi;6JjoG9Y38*>t_iJ4qxo(X zg39(9xqul^?kxS)E^|G+J5Xu}+WWwzMwlL6qs0rapGHM)mSC0exA)2>A-2akoNetF zi-zK)KUPcm4jX_`7Ng@E3-ogkBc=aY-h5teTgS9pw$AUbE}9Ij@ahWkL@Fj?ZUyhW?e zrS_9?87@V3xomwDo}jWiMukN4HdQKE>0Bz2)Uay6sfMHUQkPYq7<`TTvQeThi`8@k+@sL7$gvV_xG>B zv|ryV1S0f4)AP|UUBe_A%o-Ge>_v~*=Mb3^u!b2cjs}PQ=U&yxgMnn_;~6Fv3GrVR zndU}(vfBG*+OoVGLZ6dLc%qmG_MR2Y%SYwc*=+~LTes>;02=V$6<6UH$-p?46Urr% zs;&^|4>wbRr$2j#D%_q=IRF9@O&$8Ts@XzLjBm*F(Th7a!CS$F2eEtK2Y_V*vIe{F zksF`cI4Z;-Z0ltW5*Ehn8%jLXt73=0khs91L5D5Xxgd|fpqVGeUxPw^S)IDkH5hzo z--IiQV)hR3Zq|98mKRDVBJ3dn@Zc!?WtTC8i8g^H0NxNKd@J6c)uiLe-`W7qz$ms<<~D9%HFUjDEuq4=2hFA+8 z*kECN;QIWcjsh7$XSnzfo#c9xiS@NE_rHs zQ<&pi`pL7R-)&tvkXRL;0EAdV{**($BrB1deQ42Yljv7|3aQD`5NN5+xPH>2=oW;i z+6CLNP|0C<6a1$JL5J49P+fL+OUuRGLj{n%HzBcAS=50+(!6DZS`1M3V7d;EaMTnH z0s+^LVy63z9WrJk>z|e&q^l3xKU)9wb&QF-vG>!hP~GR_p0(GWu%n!pCN&bBUR1F6 zvIF7w{VGsw(}$HN3v*HtgO2eP29)v4+HvfO9OGh0599J?9mnAf%Nc| zm2c&}lV}IgBWuYUNo?|Lu~=Z&s3=oVVPH=1uscfWb2cP^sSCy7qi|e?T!rSl5GAiI z?{}Ab0lRI;z06ri&QR4uU9s&=AV@p&e3KA&H8=2JGbDor9@JWI-Hi6F?QVX(-_RVY zDrt_6SD)c)svwK+B$LSo`5M5w%E`9M;1sM zyD`K~&K*#x=H9)pcM6GVrAB|gMdC&S^99|YFA`;XAmo28O*w-=UnW4b=l+eDh z)*l3nr}8Da$-wHFB6XP`lOBhDLt(eiLGAmlLWa$-ZZRu}jDiKjp#}SOFJLlk#YZ0D zPhS<~Vf`S;;Z^_&%kRwch!vY>LKaW3s}6Sf_2b;7X@a8}4Shm7`oSjHf>?vyZ?UM~ zwi6sYV-6TH<+o32K4KNcp0?QL>}{<^ZtV~!T12<=+a;RATxPr%LHO;O0jm83^fAh) z5fM2yN3$t$*gqcW+kH!M$m*kTIh(eAn9JSWa4&w&HfChITX+*JJM-c% z!Wf)>lK$m6lY6D9h=GFquOA6GOM)#nQk(?$NX8_2C|}NQ;s=IxCUl4sxGb1okm>52 z$Qk}$h10I_R5RbMr)CNLfJ(^g7V~^fSSp6)XyCzR@d)lRk`UBVIE#`tKc`$`)QCsF z)|6{DfnNy@uQ{Z=cGC(Ny$#=JHu227_R#IlOgwM2^{5W4Az!Y}2p(S<3N&=fwY!;& zI~TdrN3rg!*Ax*h&YlL+E!CeYHwqtgAc4VuN1O`_d?p54&X%(Ka@-tp4)i`=1!j~yn1OtS?(F%^9w^SkU5BRN4;bLs%- z*0}_YU;g`j%F}hq8Bm!V#`ogz)e)9SYLBU&43r|lL>1uE#V+IgmcaVFrrwCi<(m?+ zL7OpXwyy3v1tR3sh2s;vo=yZgVOsFS%#zT)4Kpd{%Jwd3{#U7gt9L4AwRe4kOk1{R zXm~NK9@%>FtzgviAJ;HI^Ipb&0O>8-0b%EpLNhiax1K((wR~#v@(^4V>esZGW#z;{ z16Y4^m=6ktcTvwU?`~u*Dk+L%eEMX1!JA;Y_3ZHuE2x}6^J(^eTh~Qm8(hlScd7+J zvl?qP(8y04$k>ASrQR-vn3U191d<2=H+(}|_qFbzhO3WP-=JCJ4_qE5+*f0N-&)xz za&tKq*R@A@1qWx$4FOO}s)sl1=0=rh{qtNjJx);VEc)=#*wtrl>c@j$553c{Hweh+ zwRS1N7(I)_0I}F}8nxx9>o44HOz}4s=K};jC5va~TOVR<#mnwM*MRFR>s&#mGME}` z3--(8avAoFmCT40tw1}a1eUIg4CaFC`{Fq@l!+ram)McX4jNz13_X5px5L!Owu-|? zU%k%11o8A#(Y5gZ$hZWDlbt{ z{V;GxxA%_1rfNRid^Ezi|rz`KfE%QOcS79uugV|DxUZop&3y zAHNj;%?AH69P!)eZC;9g!OfqV#o0mygTzZOx3v?isFG`JnoG=PYss#_M1bw4Ut-P7udo54P}w&HrAi7OxXtYkf=e&z9tsSa zJJ=u6j0|$~MHVWkifm>V-3q@Y(BE}+FbcJ$iLP+w{#=?T}T*T7& zWl9&_L`{@bnM%cmTbO8>fB6sltn~??JJaK$aEQ&$`u6GFwlguGi24Wz%~@r8yl|xP zlEsyD{j<+5Y>(Prsc`S;?^_8yF*N)v=OMjgNnXpAyJGJ}$UtV)9 z1_h1qh1&HD_#|9*w|FE{g7#ZJs4SpBQ+ctc?k3B@ElRjVo&WyJg0^;qPA1hIf4zA3wS+=?T1nSOJn|$<$MQ4B!4#Rz1$u7%=LaD%|he z{Q67VVk;`1eN52=v!qXPG>b*0&h~{`Wl7IOhw^OoEcdv#?0!8z8YkC>?voYGAv}*MKh`qY_bgt*e z`n-7Q@rwS$$AoWsv{7;)&fQ6}se08k9+!(`lJ~(i=+8Ub{Os{OM-#0Y5?&2iYn(!g z-cOhK`o(#6d3{yH^hGU3-jB8F*uvO&?7ue}vvYOGo?ctaZ4~Z)HWL1oY=|4w(i)rZB1<3wr$(V#J25BY~$ql-tRp>&RVB?Rqx(c@2ala zRn=Xqu8j-?1XK+R{Bw2uIR$^Ds)>W6g`F)SBQqTX9V4NjvxT)WArmtbAp;vdBNIIn z10yq`l8J+hg^>xNyn&GwAtRxZB%zv#A)$z|h0{;YEh>4+qMNdZiDz!l~oKm(w;%Mt31!V@#i^tlkWtP2~R8+&VYZe@7j8cNi#W=7L0(VB*@ zdW{XC63SR_sj5jt({7NLUP^A$NcQ;{*SmY12WPG?F!j1mq(qJey+VzdzeEvZ-It@s zRVCn#k(M{4kX&1U2r$zmCunr|9{Wvx<&6R0hSsTp$}fQLeqCG=;3k35!AGQ%YK*qX zkVzQRWy)e>1Y^w?p%f{AaZ;JWCuBoNHdHXdaiHeU^vq-A@Uh4x@qIy{9$D2@l~i;g z0+6Xtsm3D4NtK){Jb+ML>Q+H%Jh9m{@vUy)jOOb#VT9sCyi9dsENk%i%-oDW8O{mP zIJ1!dl9V;d-_Gf8I!jdBD^#wjWM)+8*xwz?Bv#jWy`o7jCA*9yr`*{WA65Mh_GebA zhQ$wwGwB^5km5jOm0Wc_)fif-S-jr;XFp zf5M2fn!Dhf)RS@EGWGc4D9uv*zmEu;0ha!YAm!lyKY)HqU#-2a16`(uV8nk#{U3W? z1K{b)icNjp6k5@a3e*Lr9SfR`Ur}Vagx-wDsR=dN>voJyHjJmUW&0=>%e7~F?NqMQ3=af4#N~Dh$Wb6XzwE_02Z|7O}e?G~+F^{--nl^Mt9H|MpSp9uJV-Z7efbVsoU{#NhMq z#ahl?_kc+gtrEJw@#oUJT1X90xo7r~S=Wf8#6D(y?-)UnWb{Wy2n}I+mU(>Bt@Nbn zUW>Kj;#|E3fR_);s=4UP#ZwGhlHMhxVn*3M^?g#frXB)OUTAxSsRK$ZTR7K)d)O!m zv$z*};F*t!*HFS+rk)8(-*g>Zs#K1#6KPP{edqc`DK*=I-l;j)!8sC2{wF@!-AHew-7$6^vg%4vG zzH0-8(vi53Yx$Jf@V7X~49c6NA+DIj$Tyk+3No;4i*Xpk8~BX$KmiK+yF8vd!kEh< z?GlY)WTJmJ{P89Dp&S-xHE+1Gh%j*Hgz68xCH0X!B%gP`W+^kB#*4ohrdk#-(^DGK zL~m}3^b%xwPcx+(;iIyrWC9PRq%@j%Ka<@oJWKLZ5$WA4ya0}jmYPEh)h!Bl>2hBW zu6HI{r}xqey+ztynnO#><=S;&P={UwMVG$pD3gQWk-^U(pY+@pkYl82eBCtnj$&34 zDkqu}N`?~>j=BimFCi6vc-?b*(zZ-_df9eB$dGdAjoCCJ){Hi^n5roEJV2GC)`5L60{VpNlfJ+ZBA;dS;0k&%#REti z9~L%PNhajeXooV@BB?KYoM1UE&DPs}Z7qcApA~UQ{{}G*wDLL31by1XhZM1<8NzU@ z_eyQ^R6uf-940P;hRn&hZrGsWc4!>Mkyya+1&bpFZ9d87bGiNvVli0=&^^I&om@t%d3?65d_=DgN?M zeol=TGr%*TFN>6+7+?}R7BF;dr+>FD#HWsRi7G&h0k}VcJ*8gpW@d0rHa2oTlU1kN zKec5p^h@jXNKOzEP9}G*&0>DjuakT%an9Zw$}Q-(SKDgRb=s6~h_!&$-|)9}F|Uj% z91}l<`F6}>nty=5U*lY9+qLS^KAYOpH?yZY&N$oI5_nUu^1a`ux_sY>3;jIdrB6=j zv@$;Ys9U@+BB);boCq8)F5&mq%x-^f`fB0Z*LxW;dA{rzU7o=a`i*X)2DAG6>(hkC zQWVRlRo}*N8Xh?Jp1`yI`wHznQkr*bCADa^pDvMe5JRlD8-eL)>ahWiF+iteJvFH| z;*msq7{l2rhCi(5Q> zrx#^xp@#jJ()F@DuN-qp7p{o=eEOY3XQlKdiR5=f=kWXI(8A<0vpS**a!!WHc2`@Q zjY~VzaL)Lr*?Ywc=E2NH?Q<7yaeh5kQ+jlZw@(XC;NykY14oDAq(&D1Uk}~(ES651 zvXf+P7eiUilvhe@k4|EwF?pQieKHl7VutXNPlhmS{+TN(1BvFj<&CFkeVqBH1!B3W zFM?1hbqbn+SLG7j{5H{H4`4@b*ZsdC;wICW2ZO<2A3MbpgIN=LKIFP`|1MI#qIW%r zo$LdLk{-;TbpO~Uq8X+*aK@&lHhekOf0HZg27kTNSl7`sT0p)pLSq|aCQRVM( z@_&ffLfZ=Iz0OSzn(=?|(5(T1(dd5}zxZKsuD$fRFaB#p!PjCpUI!Sebor}B#0o@F9IhA0Z7J-r!k_dec;9|8*+ShJMXb8Yi?6Fot zHfU*8OW6H|ErXOUBfc6n8q((FW?ba%%xKqGnVjh`HCSeiY^>49aGtuWvQjnGY4luX zt;}97T9^+l(ZqDR5GdpoGWrb6mOOOBmLff;m-(#~Tov}UWZaUlt zlOiuto*v?zI!>Z+(=f$y#s0H_(Xs|DqllPAD`_7UM>*^>X}ldNQ=1mf zc)5w$|2UA>DBYUAbh*)B!xeCwqVB9J|O06PPr|f7M$UC{u=|B20QohZ-gl4gbkV)MpVE)SrR!^VqXn;&5PB zVNU^5G}eL2ni@i8O%5Y)q{rj^VWQ^VH8yqW8@rI+xj^=@W^0UILZoPxvaa$e3aNjS zAdFg?p*N`VIfF5JY&85;sdxHU{SzB|_$<{7eM0tX4XN=#%rEgVYk*T@g>%=~`@}EN zIR|w4Ip@urFF?$OU3CNAlHBN4u9$20a2e?2Cvmj10?FFK`#mm)nd>u|xm3kZX8zQ} z^`{OW>F+G&`cXm$0$R^qbY`i;DUs)2F(zUgTT?-sLMY4%jp;HGc$YZ;)aZfZF$2iH zZYf^xihBJjN-znfJ`Usrmz2i*t$KnqKaAqsu8KKJs}lQy^WW7T5zjGHliA_7xR+g3 znOLIPIT^aRpv^2Vvl^u>t#D(}66#8TA<1!gys$2i_!s@@hDg;#QiVU<6FJAn zZ8F&rqe!oF=5p%GN!gG+qMF$#<^orB`&X)%>u2+`gXnqDy&ALq9K-u?qq~!ZvuTsc z;A9RH%_o`tPX3Bbx$O4sKNiTe-DUsEmI^6}rVx7{N%&)WPafLBq9xJCvT^wKMJkVQ zJ=XK(+}08YChI7T5Jf5sdklz;%}EYww~5U;e9BuOGoXor{6h!5x?I2>kHMBg9l6GC zZQY=It79$wceA?BDhf|!w|FRq>L$Wa4Bd^Wz7VF%XH@}Qm+hhqq!z{yQ0r3 zP=fjfZ0Kz+2)#`{y14}P;2+ji^8hLKZ39B;;ZnHBD7FyZ%CE)cHpMmiAVI9TC{<5G zOON{??&GD-(f2S#!Lq-6zm=)Qt2TKLUW7Zr@B7SKrd|6`CZLO#ty=~kB~=d}=S*Cx z7k+6~6!{8ksgqkZ!iw0OK=J;LzF2g;Yr0N(I;KsPk>-L0vMNJS4-}Bxg%?$-uHImi z>r@eLe>NK{suJ|vbH@FGv1dXM0)HnX#cZ*h3b#qjEcvHPzT{>F)gm-Y-c-Itdg|`eSaE8}<9(bOYs-RV6 zpyUb|Rf1i6|4Jbbb!Le7Lh7R2bG2}|p|E?HcW`fCd-EX6=}CTMSkVEooZ8T}KduoU zGnG6A@IyK z6AZhO3$5ZRtljoSV@0a%D-aDc)@LN8i?bf1Q+H3QFpPov_Z2T9dfH~QhPMp1@E)ht zN)9n-E;xE)$mt3yDSk-!m(FIJj%NX76y1L|&S;{k?6&mpCNL%}8Om(7wWqUZLmW>f zZNJ02S>CmN?-PRbM_Gs&FyzTZOqpIj~?=Nj1NDoaQW|g}k zo&9`|EuZJo-`Mi5@4`d6;7@)B`YZDtT6rd{FR^76!qLjWh^3;>8cFP()_}kzqQ%ru z<(2;^B>^qvXC7jZ0}}F@+0{?r6RAQWVfb01p@nORRY>aC}%8P^yIV5NKA(CbW;?B+$#t6&}sAMF1q%!3vhv zPp3k?3NmRefdI%*J>eOBOY~{6Y3Ka>%cZ^pL$EU%RZ5R)^;!os3uGOo@ORvea0iH9rwIKzw2}oEQFj1ub4Y$)| z$(J1YC6xuTZagGm6NfklH@bT3u?cs>VH{p*{Ho!p4Z7vXVzB!RQWkB05Q#>6SIbuu$3AhMd(@(vA+E|nGA7sS2J^qSaT*oZ zbNms%^n*_9sc(H7h@Io>LGl5*RysL5{8|=uRJYFuEfq0Lgi=4$21+#89 z#6O*rysf~gIJ{of_itbATeRQAbb*%s#+{vx)0vGzb1yoC=AqD~WU}yL(@tOt=;DXo zzQCYRPbH2|GK<*gENyJ^eYXB~=yHI8k6Dv5n#3m;qd5k>dYM+!gSR*i$0595i;%`2 z2QxW)=!SMqE>w-=F6i%YoQgGn=s{>mW`@G(L14XZaPBz2uqSci60RqUb#&rMn4ei{ z`aXyo+JdD}&wki=EUV07tE+)XEP5+yI{vQhutz5JJrVFK=&bT=bXe0B7%Gb%gMp62vhcE)Y;O`5W5LlP6p}<6C>-X_9a-rG5+4@(TR_S`Y3`f_i)@WH2FciYQcx%f{OWw z@#uob_#a!a%RJWlb%Xrh>P!(b+t7rz`w1)eyqti^8xIUaEp}bjIM~=DM+f!+uIu64 zmkMI#R^4bWK~Pf+`BT=4*=1XlH(-@hYS%#dCtMk7L|EEUr@*6`sj?ZQu z4Aq_z_cy1;sdA9#d-fLT=Cov}lYfybiAF%P)EG6%iIIa#c1H<=&PHV6R(cDN2pz)imW4+*TUaU7KMCZ;BSW12J z*H*Jv5>1&FPn)&%-549^alWY5YJVvJqO;iqpu2=cq47N!|3KsL1>X*2J&^v%ar|?P z>zS6wjedBP^#J;Zll5R(WGDPKaf-8kK{Uz*$CE4k!7@0p3(c+2La;xAL=f@99u_P5 z0knUB^bK|kGl&T)*X<6Nv?|umbjMnMq-{D69d-PUU|D#A)&E9$)D8B~Hntk|#i)Nc z`~KsW`BL{Ga4KSVly0z1*uRwK#In(fe2>~y>4vkfHTz^Vo1y~bjljNICH&z&_!-vg z*M@j&6XuD$6Z1kd=t_7c;6-5wdrJ~#Pa;-A&~Z0ULyTM_(y+rs^r_KmcDi-aAihU{Q? z3@mUAcSxDY20&ujjK?gvt@$9lx&WFoHPF**H!W=&HUKt^zrKnnM(|J5u-}68ykeHV zrt>bs9UmkQJR&uotdgE^+qQU!o@kNQ5gvy7SPaY7^!Uzd#d`AhH*w3D$KND8fr#P8 zLUTLrhRHEfgn+|g_uA>7RaGR1&j;--}iF_MHxt|?K$>tkDlObVtPfdAEE8)pYe zB+5CLrtpu-_o*Fj(;&&;u|m4?xxTEynA6C)R^28tVy@VkZEnul>o~IJ>A>rD@m9ga z{%diMD_@Gw;loF*+>35LLDoSzV#+81XB_O~H5?`pL0Qdk zvA#(&zw#IBCq3THN&IVhRn!gPnKxwiK+^?D2`WU51lEY&pvZ}m0Acj|@57PX{TuWn zdgdfd_#~L1cEKf<3C-GTC1VZ& zTnmRZ3sgFPQnk?0)W`>51Zn+BpBli0I%?n}`9NZ%wBwv<&cAa!>;-$APk%licEgtFN90RIR~G?Eq5tIw zF32!;Gy2?p7+FF)R~AJeE?nqgx-kN66!HFl_=Eq;82s;cySf|fgCLk4`J7Ex$cU9$ z$EC_YP8oFy`2XP_)V(m=$dup8n8cn5eLE9UvF!l+B*+i<0w?TPq_V>}@h_oJTzY&@ z&&UtZv)^<9UzW4qYyrBU+3Yqx+mxOZcU`F`%&XI)Zy19@Vf?{v@cTH(u|z!xj0CQS zN--xyU%w26zq|0zwwX5%7TYl_@bw(L zjjAA8j^vYfr5c_12}Gvq7Tx<4$ha+193l~DpwIS+G{!rXcq1|lDPfExA6-Et+M@;p z6iqI~;;61U?@}2?6fKAJQ}LtA&J#2b3RD|mbCE0mU)m{MjxDT@>z(^wl-qS+jcjXe z%zK!+cDCZS+Q?RCc%{ z8yCSv^mJdMc%&R`ys?`F}2n;Z5Q85V`9x=n0_qEoQ1)x)G zf^+H#b=3U{YL?Is1Hjozw~;6^`Q{0t^#}@fKnpMYHtzi?`8ZjX;@{>qsf|NYUL4c= z;aDBvB7j?WqW_K*1m%OXD`LHHL@?>xBx6D*9=^0rO6{2VEX}Rl`f2jS3-zX4uc*gs zJUtxk8_>t7V0FZevf~7MwP?~EIlg+-%<5RAT1Bh!AyO46${6zB_x(m38FEAm4P33t z-D9v@r04&hrC#%QDH+>;iMbN%1c^tuNuwz7-h2mQXeg?S9 zebjg)TCGW=YV~T_vq`mh|Dhbizlq3e!K2l8xcqPZ@Zn!3IS%!pkR|Sb<*8tarbX*{ zvTFX8r5aAPW#=4K%lf6YYrV2%N(O7sT0iC~p4T@C8H*OY7hv&NP9E)ByG4Uq&1&n> zqfvQ^ZQ@9>c)eoLVaTgfvFzp^@CEpq7fySlXtAxt0Yi&ymdw{3rto%X_M+ALog8uL zQod}Sq`9>{9if@PCdH8yTpcJ0!{nn%7mRo~M2qbQ>u{arIr4*=N9lpxnzsM&3M&4o^F>}b4L zvQT5PRWtd@Fn^v7BVx(0IqOKx^TWTZp2MC{>N|Ipi&rIc+sVBvPmp@03iE!=rA1Y= z)@8+^*sEMdZVElK*kgizcw4D3b(wCpt5|m z0zF`&mD^=AoPD{ua?P?vp$tQ6$qQHs(4uJ9LVfAM9qL{(XDQmjCT98>A!Vu6+Ol!0 zqdLjnxOM4OKTCr~-R?rBXU#s*u1cF*X`=OL$!-4Y4ZpoJQoQP_ShQHXUlQ`U{!)9e+|k}aBcEe&g4<;vA)6|agD&Q=<+(*t8_ zI-W*&W%5={IKh(L+P3}Pl8;wZ%f(Eh(&!UPlpYSyFkvgAci zqAl{2Z1FRxe?I~Db*Vat=$mUjWn-8N%B&mX=+u{{g_`uOnvd4iOXe&0)t22Vv^S4% zJ%INYub&ZFIA4a|c`ib;Ii&4MmuaKY3HvCBcfDAvdVh75KrDFS?n9t>Lr2Qj!iTCO zYB!-S)qLSu;N5FT!eYZ=S=wW5-}Kp806*8Udf5pnGuwRaR)dZKEQ|DMyYkK`ks^d? zAzANTK&@=ou4I}T`j~FjPQg9NU1MG2kho|@aQfD-_bv7}=EE`Ih@PLwc%`kS{(_tF z)9x9Z%$VkjQ{bUqR_`v$CcWl*Y~hKr)Fq6EUq!Xkw+MJPV-vBK!!Mg&mj#_Q zOlQ2mu{g<{?+esoDSP>fCZ}B85}et1hU$}t#%1N7%nBbDr>08S(%vaGbEfGoSW~82 zvgS=I7X%;dapiPy)%-W)D&Lk&zOqZLdtSGi@HQ3N1(-gSXNXT+ds< zr_aH=7SGjf`LfJ2_o7#?nf#aq@N9Bb%9^|f;|1?*KN)WIuSZ1gqo^Yj**6+E+#}1a zTP`zgx`!5@x&>0#o(8UJFwM@}81d9vIydxFDDWxjIk30{I9-u=>C@e>x*sRK-@DTe z3a2j(`6$@5a(-M=(>a{HW>idlmnF2x(J(O`)0D2`g1$BH;H6Yl-kxse^q!*Htz>wC z(j9BqD#zo4(U8t-D;LExoOzk{mBLYrZ*$I(WB+*3a2?ijoQpcxWgOd!Dbmu8=j(dcz~^=C_mKFP?&r3=@7wE+Z5aXW&cP1qSnhqgt!$x}Qv1jb>5j6GmGL{z zdoEu2_s1CfzgCtB-uEpe3%wbiLeFCF?kBNlJx#ik*3uGhp6^)OUNs+?!pL_2uOyBmC<<_iDWu zYy9}%y{r#%O+MtGm~xzY;n*iT1UgBV&u}v06XP$4N*U-1M^yg;IK7*wEw6XlXgZBncob|OLZ>?(hm%iI?x-k#FwVTTP z9UtY}zK+eg^qcZ zR6aEX0$7;-r6~O-ZXNeJ&F=}N&>X)7!P z?X9=tKHoU7EUyJSx?Nx~vC>2-j3_Y>|gh;eAa7ip^XeJQ% z1jUFx&Pf$k1}bdM%BnS4P|BaS$qZwf@-G z5as;w6Y%uA2^S%qz~4pC@5wi>n-K6$WKIpzMBwLQ==bD3D+@E*1G(M^()2FC%?9X1 zY%+s1e-3!c0=hiO<7EfDW0{YDoAZNTx&yDv0X%*Dezrk6K$<)O8{tM^OR5vM2q9u1 zO@RPU4gmMBrX6teP`{@QpiB8#AJjZNh;B`ruubHqRwala?-SqRW3xj;6wJa3pLN06pW z0Z#+JC!JXb;Pn*&Zce~Ef_W*J`8Vu(BuJB8po^v76MtSe2jCsUycFDg2ki0z=(1OU zn*{ICH3P4Y2xwvE>Az(D|G3eNx>pH>*ovHtArUu;2G{5Yi4N=u@Y)iiHrwlsgbM~}SB7$NvlMKj z7<*X9A$3HcSZ29N)mDsbv@KMg*~6Weg<+NAfJOK+wN*&TX#6*?i3rGlV%4BQ!r|x; z3AgmyDM=NBhD%H%)8B_CNkpK`vef9M-%Y-3GT#2}Hn`2v5FVwl>cO{sa!oYcr!vbTNg=OVkssXX*_rjzdG<42{JM+ z4VD+HMy_rd-2siIw5BbgSOzU=?$J!K5RF5Vy5`GHtlWdpDbfsA`Ym3~iZhDy**6UD z9qoF@paw0gUg8q&Q0)KuMp9yiLXwafHsAK=v7 z{R!c4*1K;bD#Og8rjfK5K|F~gAs*ExA+(}dj5ERa_57x~yptHr@1f}w*kSuJv&DEI+(RCu%OOAp=zY^vn zk)j0#B}mm3d+=O#jm%Gb;{J%)X~@@P&<|>VFIPf6=X)=d-qN+K03zegS3x`hMeDse zo+aLl(jKw~R*>@{dL}PXt zVxp|I{6iiXxzb!}K4bDshVi{TRUm;|U<4#Z?J%L6j6I|-7Y?vmB7}ac@E>&_sjKBj z<;M+J!3oIA*dPL9x!gdSZL$*rEcCzw%e=GwFA%7=Ft(jjU)4nPNJvBh?Nt09%l#8z zk}z14iTg{J_Wq)5%pVz?h{~Ud&m#p4dRRy+gH{4bThw#-<8>R*!yiZR@A+V*)1B+3 zD76^o|3Hyfxn9m?_iTB@1W--vgvwPo?m6oL*tE28o-r9Y8BgOwp03AS0PN3NZ zblpj(PZ%pJ%W@!3gee9hlI@tG#@ zY3it)Y3i^)iN8J*zp?>7d}%dgkjh%f@UfW)dNKOZB2(%Dse!0I=3td>+2$~75zh(- z6Wt0woNAD5M`UPyPJ}EmP5j-fs<~*;t7=V0+4{S^Y?W<%j(}P|z;H_|00pZDZ9=j_ zH)=_;Kr+0eG-(t(@<*UqDXP`3N=%E8oee57RgyQTF(TJW61&!rHdu1Tt4xG9(Ni>d zbH+a%3Fj!uF2)86+tB2%6)-AGEDn1SD>EWjWR*n^{k(0A6oWKWo-tjD6x-ar z`p!!TRjrXVuzQ~&uoEwL-#Lx@!isT{02~g{zEejiz9PhoD=e$BQFHuZY&G)aFIR(u zNuBudJ%X{9Htw8+!?1%=x{RNE;(|781e3_h3|APkz0=XsgW`ZbQgQf^03C3U=syi^ zzI}ywMKGNTgTmc!IHV6I51p^g$wRPH?BW{Y6L8Z}80JG^=0j4zKF$Mn8Mu5|=FHt< zw>ao6Qu-8jnBi9>D^l=;Dzh}VZviqsVPGpKw$l(d2D4bf@XhF{{EWWZ_2_Jde}B%cKOmJ#)$124oK8fl z0rueCqivct^H;grTvjmz<-}y%0q*GTs7G>Zh7Uer{6Zs$2OopBgbfKLc#mxN4+;2B^?v7(@54n-gC6h=6K#aR}$Kn$n624FC3o?paR_{{PTWMBh z)#SUh-k&p91N^OcT0hx^h8}ZERfUD85!~n@1UgXJ@rOwa_$`56h&JaH7v~!5>KyCx z9-S&Zm0~a(I;;QF186Uh(8^@;WFp1G}+WZ65r?&>=1 zaXeuSlb$d*}}MCY0`KdZp}35UXxIoTO^e;Z98Yq$As`b zAr*AsJc{QD@2_sL3w{>};7MsRX6~ml*Fh_w@%nnIb2soYt7&+}{4&FfcWt`G1{D|R z-QY;|?1Y!|%p7hxBmKE=6S94F;J^4kV;;SKvqpuzPsKq_#_6RIA!ZQuvIzN@gz$if zcU@mFF%v-s9wC4Q9guIZj-f)t?-A-xLZU))X9=1`qveE>_Tj=r3H%$Fj2oDWBbkaj z0s6b9-_&dNN1w)&&8;SV#ol!EelqSPlSs#O^wx9~+H@3lG7jBzG~9Gl;^%%UE^#VO z38tqfZx{2zc;Y^2 zWKtR*GUx>RkMRmWR~7%EF9?V1L%a3LtmW$^nOs7m2g>g%-Z$`fM4(;FMUA!07m^5g z*=CZA@Pa8>!ZxRBVfoSYsG}nn;DHp>f!$=n;@`qHO&rDZ4MA;3eF9D;`>ADr)+vZe zz5NI1oh172+<2#uOZY+GoR|~Mgqi)5>^Nq~E+K^v=~@g&KAnHbZA=0~GeDB{;bs9j zii1q%LoKSEE5~g(&+u(6~PKIZiC&|5||M6uDxrkz))C068WhxK<%N z8*uIjgjr!9X36MwUUWd#F+T9#d`J|a$b5l|%$vK z;Ro-W+GYOxVr@*t{WzH$IQ$o$B!#cSfbiUt6n0p_672v7u}j)*ZDzIo(b6fukn+J~m~LveSs}6j9gu_qZKU=R827Lk$V>o{XY*$I+MPW}c%8pujfCHa5Kz zWIj{|l-<6#TS0g{zDJj0EpcuM95%a-W*G<@`!lQ-TN~fGFekcl#8rJIoV){bBDn0~ z0k!GQbFasqU=4dhP&la-($?Pd=`ZgFVuvv;cxVKC7GgtK5-T#uYAjD6c z1ZL>(ADuX_`sHyTSueMrtnbPKv-0qevk;7$)pj~@-pg^nz<*}fVOS6=0CbV2!CPn9 z99y5ThA@1q!-yJP$3y;tVT^_-lE~GEtT=t=#u69BRQ|W9++Q6(6*m5`V7B8UfmUe& zRc8D#;I9>GnZiELA5#>IyN)`>dXtR@vJE#WMdLO!WzgCc(7%yNT@JmLe-3Fj@tBtR z`C`ufzowO5deJRhwl#Q-K4I2UyD-{G>Z2dw!nr|0mJ#-)cip?+us#j|VopJSA5ldI zoka&9MF-&lzpPzCu^dvd?(ly8Y9BhxiJp^j1ervLK!Pe=p_*~G!Fq(4v2I9E>$JH` zSKoYR0K=LA9@7vWgAg8zkP9Hv?Kkd6>BBi*Jzm2bbiOq-S}`UK2(a|ShY&3UsN;@4 z0UW4=5kB(1o|w42f3&e9%s_i}2s)AS%MS1%PzlRtv5k$*lH;pThEm|dj@B5q6r9g6WWA6bood6m9 zc@!W?B!WjR<{kJM_~T(%4a0DpD{xq#q&>dFtkP%;H4A_wh-&t6_h4lE9OPTcXyS-l zc8<9I=C3VYk(GPky|O_(Pj4$vdwQww(>TS84c5a6yi;ZYmxw_%3qqI)Xy(HuQ*n5t zt0W`HQnNNS-xC>LqMCT573nZ5vcYE5eLA3+ky8#FO!KOvwWq%vrjqnFAhI;@Z6)VR zPo=tvK#mF^aN9|!tfy$z^v+1zLiU2FB0H3j3V#UT?#?3;$wUX8n$Uvh*dX_K--^*9 z4y~fYCj55CYg8T_LPe&%RcU`8!i0*|bE$&MHTk-s?eF`pVO-HK{NZXXEPVdM7#HwZ@$+hN(zomOh{C{hI>3}L= zJGDX-K)sO@Zl2&>=&kUV;az^iqHQ?6VZpfW=-wi-6rg<|0y9SY5pbr>;#VCpc8G=E z5~dSypMkwg)bGsTiVx<88aojX-s-sbhJ+vby#NEGr@oRyqK|H0ok7?Tfl+I(Nx0}D ziYN-&-71V-fDO_Na$=T91kr=Xd;r3X3WQdZagI}QnUir^K;bJ-s_>{ow%-N*zv17a zAPU-!PJs3--X1}{4=O2|LhW-`{wM}{10y9KY^4A>dV);inL1xd_Z>&}4L%Q~Mul}o zh0%i=+;sUa0g|r1*x`l$P1KqbN>X?)!M$c+-O>D_-L@UUMxJ3KZUHf<+fWfDw_{;; zZ^XKzV?Ml|iaQ6I%eqnMIDHc?!$HZnl@59{CSH^Ym(P_9dTYWjZu^+>NZNm-7!ZTA zZNNL)MR&9tk3zODM!q#AbR)7d2SU8P&7TjCH~5UcvU7d;M{niR_xm0Z86KR&?3j3wobktjv z^BD&j@fUJ012H}ev5$rD$9ULFGxBX5@+}U@eyixctU<1O`9LOn=-y;S9R$j7xO&tzO{jKOMCbi&-?86;`fV{-czmx-4>2km5LYKj~?_e z9L}%LR>?yLd}s|@TkDxpUqX&I2KgzdbaMb>&VBYYBKDu94mg-MR*xRQVvb^NJ1nP( z$3)c6`)7hO|BT#7laP;2_mPKV`GZg*p*d1i zQ9vDi5mYW`O59M3&@G+yt2J5b42DDS4>zb;la)iQ;&9|upHz9=pmGg&$G?C4;F*Q9 zxORBXAm-q-Nq_teK^a) zekjF4mp;(~e`b^g6Rc#a?8UlP`u;|>1W{>TjLX7lXcAeR88=MEP|j&y zy`3hV+^%FN=(5yzlNGdzH5X@tmVHd^IIy1PniSzqU$>fmLPb+qb7-t7s_>>Lecbuc z$>~mMLfRcYlav?J`#QikuirM4tTs5tZmm7CRrkuJJyPIU&Be`zp5E`0zv5@){h!w!F@`s`8mnBxX>b05IAQD}^$V=$tsL#_7PhQ||nSO84*<1tIkdS)ZNw zTQ2N210$90{1fyPHE-we#*2CW7m6dyug3Jy{@B~*v`{R^Z8;Pp-d*kZU$qDCK_rOh z!Ml(-jS=-BQx!Q$uBC;L%PpL@@gF`XH;L{_AA(|Z?>MVb&~{Y5lku@aZvArW-zNw< z+WK&Dl;D{7{>PvV3K&lM`RbZ<6oh4iY0i5xW%kj?rVeVxuv* zcNib`?>(vryPdqa2;q7yHou*-R3<-pE_|mdYK$^RJ2vGGwXuTR(M%K`k}zy)hb%Gi zGfC&*jmCy<8Av7Y46}@2s3~>#-NrOaoa-wVmJo7`_5~} zp$ZU6MnRy`%E%ijCkaE7|3(T2Cg5lJAXErMR5sj4JVNH(NpEk=##l!oZ+CRP`kZb* zaqjhGr#B7D5e$Rxwf#!qdP5;jlzy?;x^t$sdn>QXsF|D0y7s6@b#<>RD7QbzSc;}T zms(-rs|Patn2fT>5HiAJH6SggXJzT`z^>q4V5csz+m?B3ciEnE;x{qw%zyYwn-|fc zT(V|1)9N^Kwz;}UJ3h;_RMlA#*D`H&;zL@{a2Lw1NV!v?$PN|Vshs$iJ>(?Ijz%n2 zQ{x_D<9zuj%&3NJc*$u-jYSa>ios`YoS1P&>aEng*mR{YyD4B(W?pi2*4pSpOvxC7 zt*YeC$ba%0BI0bSzy{BpTD-Pfjy7=`+G|hji;&M=M6*PimE7RXj92CNuYDic@9)GvRmD~oAy>vRIrMWri|LsIM+Vkic(sYs66}RdCETL5)ah?VKQ5wb z`K>1ORuCW6NRfxH?j84SmH7ye-AjLzog)Y15~(7bAn~% z;lv^fAKoATp8$^paQmGVXCMS)SSVxUlsh>SlYjo^G^UEfseo6M`J$Ah4^M40X*_bc z<3h>;kt0Crzx)dTBK}w)v_ESk9M!4wbE;9Ot%ZzQ{?L{;Au%wN(6Y9+*w^erHv5Qk zG`DWY`cJ8gBGZPaFf7Wb^%V%-D=o8r=|jErB(Q-70>AGPPt&jKUkpIvqe$c^gZO5f z7O^k$*A2af5t)mm4yy6gH`CoU9ki zcFcCb5|JT*le&CtIrXEdA$+E8CUmDAbTI4uyQSAbNGE{5Ub92)o;!BlzmOGws;< zz+@)kfC!c0obBmPotp74;iPW}Mj;^~G|AZ(yEm{M!$P%@9U-cSlQE&}yT{uG>qbE) z1;Nu>3?^(zOUZL!19{f87g45h{S@uIQVB7+e&N_9gTrKlW^}gWLetvbK+7PsL;IPW z>SX}nrx$y;`of3r!*)rL1sMiG`E-u(qs8ylVrVEeM3|2Od1MDC5p+ytKK-GoY7Cf5 z+D+u5GN}jLPBX+DbY&}N^g`vynXp0bc+a3SF+eI65rW7!(uZ>A`U+9^MyS>{did@a zBFZ8J?s~HJ=tMHCv|MXSgn=~@leF^*I(I`olOqiFw~U%Wx0VoaPXu^}v`Ci{Htn+z zkz&N9qZPaj|CfQ-=lib1HOle2CF`@OFIX=jCbH@h*fIY-};irnt_q^#Kq_8s-tE6 z#d?9fidmzvqWml}C|%+EWHJE-{PY*^Qom|K>vp6^=1_GUwT|ze3_@fEh-c|tvt#zd za9#qlcG}Pws4QlZJ>IbHI%MSWj`fr*FF&w&{RT|+qlTzDs+DF&!JsfXFFVUC=i)0# zZHY%^qWNx?__YQC5sOyj=*!j9!3|lb%Z}>lH5d%CmG%>=t1l=m{FSjd9p&4aS3x^A zK5I|ZQf7TkrMuznu3*RP2R(GKzfJbRShwy)F6MZLc$)>9A_b?!INThCJR) zI{55V;dJ11Xa*sKz@NEsEVXM>LlFwDb17F@!tpx&+x``hm*>xo)=(VYzRi1POae4U zx|(fys$qqD9n)^td1!ZX-FhCp?&aaO*O|bMzxc_HH};Zeh>_{0eNSKJ`Ozs7oWAg! o{~hGn{WCKUL>vK;c4(!PnPf!$pBzzaW}u8Xb7Bks4*&rF|1_I7Z2$lO literal 17867 zcmZ^~1ymhD(@<}z!U0kgl9f+7&8JHNDiA3D3?aYW+SXqde zI2f5(7+IK@S&3B5T>#dm=0r-yrnW@PM5;1Gn&u`%;%3%vpD`7vAwQFWfOr=8{|JO4 z+JEV|_39CLB^hwLxd8Y?j3RvNt&q#3?*7njIvhu^TDOmu7i<4ywPZCl9VBHB)tDRmEv~l- zZk15!z8V;CDFgVcrNvb*dVq>=ok^(mx`lJqN`P$2AL}W=sg~%2(ggKqfr5flexbQR zXChXyKqtvIc4#j=R^B2~E8xleJCVE{NM%Vn8Lvss9)S2%PxWQ01bCOtssFd8QG0*M zaoLGFjEPW{6<45oA?9fwexWMaY=Z3AonB&N2^v#AxzdKhqkHYW^-V}M=!eE>4Mg!@ zSSr&$%>hnI=q+qk7Aj`S&9*e+g-#1@vwx`Ml1o#FV%3!jEf$%yIg}FJQ;mC$fB4<2 zH(lKocwR;Vt#WjclQ|0KH9Ubgb8>Ya;`9=4%I z634x6U4W@*wRv3IPGs+=3lO?cl7QkT(Md;I;d53=D{j$@HP zQ{i{zZLN|dDAxQop=r%`rwn!fvcgkfwRBwLt$bB5XOUSh;>p_pylWy(YEXi6YCXt0 zgW+E=(AL#ZDPNl#R$!n#LYJUTR4-%^w4yCkEnc6@!dY_Hn0?%um?b^>Kac)FX2P%_ znqmEK+5d~dgUA=Uuzr;vz`x&uXKZl0wunvYG8xBA9^YcIY zVSAxJA7b&A(sdAUo{zZpQ0L3Hk4~=sRE~z{I+k(F80Cr_*p1uyZ?aWXvy#aIRtf5G zgbd|iJLKI$FKjRn;&$4E)@s7 zAfPx&EwM6O5uF5+v{vhxinndyz%7^FJq7SS15d3R_`SY*B9&^ z9<(a{PtmuXaVLMzR1*C29e0$(Hj(EbUmuXA;WXqMLxw&1y_$UdwA^=R@$_H))3G7G zF5R>9y1ZOt2E;yRo^r?=%yv{!T%d2+z7OC8=bMJ-I96*9%W<4weyZZsT30uPPCdMi zno8TbuCT63hIMf+%CeC9RV32g&!a?Po)q!M^vizq4Jm(e)_)ttdOxJI?b=0wSE;#0 z(ErqWMkkCnwqvgsMAsOhC0waZIy-jcrNWV+ea{VaD=nv82Zm(JT!Eti6_&v0Zrz^8 zSB&V*?^oSVzf`GZU#vn*z|lzalK#6QiPVxGI-!L(x-g+r)k9y`mlZ-BW;m|1k(_aN zp<_rD`h-p+jOJC*v8Va+lD3DO1O@7QGTg!$p@tvn-ifL7xHMWcTbM{MWK}j+u=KS2 zxoSb^rN1W(8Sk{H@1$tsJLA8<>_lVSLV&Ui%aoYUeva(2;`Jd~`jb^I9+WDU4zVhe`Hv6X_eq$CPo>#GwRcM?7L;FE@`( z$TWhm5f#_JP1uk^-!<%@GR8L~*Rc8YMGawHizGSWm3bXE>8&}DgkzoY1%e+_SkR}2 zs4DJb%f_$VRFm2fgjBP2YT>L5B<1X{^N0;HNA=P_r{uX}(h8xvfe7=>k$c0HBPtrs zbGLl!h$+4wP^uD?!lTEUzkaGqUcE>%FZMS4rrT3O3`GIQ3+6tQieeM?aeP2~VmDLu z7{Y8UN;bbC7)pwqWI!m6!Q_@3wZ_0E|C?n@*}7rhJ^5hiEZ9hS)9TSi z*&N@6>5%_IE!!O6#a3|hrah%-?&}yElS>HTd})z1bNIOKaq^hU7pR_EYu5?TBm^_Q z?vBBF=$)J##uGciTkmj6UN^qFYDct9Za+l&COUE$JgkX7_z?LfUWx_#Je%H^ zl3yfOxb8kpA5n!6d}vX~BK=gMC0NMUR3@v|ulC1}>txbz8@6GbNadfG@cg0M-bU`Y z-&gzJdW>SA3uhMxybDYgLll=k_^vho0wPn7(~1~``QKdfqf%~cjmD74SXCV7k=1aPs*l_e`DS)$>=Nim)Q!7EFa?n(LgU$%( z5~n{mzw=Dt8r)jp=y>tr?3U}gLz2U4e&Rb(%za39(BwE=Osvw1ILHMiBK~+dqG7gu z*S6ffOX&~`x#HaUz)&!v;gX}8a4E90FA0F^=d0DnCz1K%NaJdph#G@P2??9Hu z#HVP9zoounFqPO73l2c}@Z{qTK4%Pcb}f$Dy2|%;FuF|h1zm(pzsU_;SfRncbHDBe zZriu`{R@qAl`?)Z{j*LAn##e(FI{G|QSB7t3GNphH0?lpT4R56Y^Wx_Mf~ZSG*F2m zY5G!O)g8bNNd~K1Lzvx8SmP2S?(}Flc+jN3|08;9x{V9?k05^HPVk^(i)j$?_j~cs ze^MP=_7!k7a5`#~Bzftc_LE7-yb12daDTXaP$lAB7F%s~^nhd~q5SshTJ|yOK;jYh z2lZ1tLuGfkgyj$>g4#RfQr#cH3^uz3RY3vFB3S{4@-dpNC_sOqyRH~?wkGP)YR`L+ zyJ_Tbw2 zmo^Hoa&7Hq>O0BAwX-4inf1Z0f2>#+ugt_gw(d#yI>~0LU0V0_)8SCVhoZ1(z44L} zT*Ej2S-8LAar-8AG@fy*+Wyo$$2}uQBT64k!$94`mZ@}VO1bUcg)vO1C;5qk6v6GV zc_<2%1k{Z}qk_ErA1ArmqkS>5SeAN!*>;n1SsAqRoJYrEWHmk+wnOCYtMt;pOHl3Y z4A#9(y>VMBHA8B?_H3QrE zTi5XZOjOOX?GvpS)3b2V6wo#`aJX9wG2crtJp8n?7_VrZy97JAG~bF9;{W5(lW6=7gQ-g zS=uO$JH1{9B@Gh+59mrd-<>=D4=#2mo`+9Vk2pYlKQ|XB@K9wS^8#1)PtiyDwMLu`&x&v+ID0W6SvGn@!z3}mq z-Z*o~_VtNSkmxs7<)TEzXo7RRV^sYlVCwid9%My!-bfh&ABHMrsC+abB28**I)x(N z?-{WlZ2?{%PPi*%LmZ_ux<|~5difHlCO%<$ z?UMr{5gNFyw`wC3%}@-*kgja^8mjJ1N`*XZ%5p`_QxJKugpI2~P|+d21d}f%64HiMok?pP6<^rvo%Guwnf8lSa`n^>SKQlw0+f3uDLXlF>h;U8s7Rk=R zd7RHAZ~Sy?V+#%gLogJ7Y1g?eo$VWRF|W&>94tLe?IG`H>+6KgUri-zj|r7%y(-uqWW}t#1_)|m zca#R&C4^oatg1Ji_6JgOrnNmC+{3ZpStnMp*kN-s@C-UQnBQCYUcFe#RuW&B_PM^S%>z;+ z85F8(EjR8WA;>=TOm35O1&FtzpWd#8;DiVIv=qOk`lyLJo`z4XeGZcjE!pjo)=d}N z1}sx+{RHfBc<0O)#3&yYtUfu}6F;Aqmvh%dkE51#ndYm82TOv;6FN^fI=<24x&x_!T{_2h-Ya^|nhdx-J1JXKlKt@#GU zAe8r*iW{7+MCt!Q#84hY`QG#e+u7Z1Uen(ZP}5OtOK@6JTb7F+LR3M_NxYtq6>>w*!9 zK3sd>_`pZy3@v1cu)+N~8}$b8ZW^4v1+m|bY8_fiJE7yH#ENFj!}uhO@x-U?!+bS$ z#i!lFL@I`Sq6%IR#7$58p(N$h#CL&9KJl!WjFe8LpopfWkp7qrKey7Z#-nzV3gXK? z?lB`GeU$ySykd_=#6v1k*}1+MbMjj)9j>-Y=>FocqDmPno6kVGnO#NK#!Ro1O0tG! zB~&l`UIKA&dQuY>^UxZUH}Z~^b>i*z`o(wkFM&7)!7LfsA-%_N2ZPf;&R2A(X&7}< zc&D-vF&35KG3z_&)HOQl~lV`$KkoVa*x4+>##iP@$-YZfm z_O>rs?G2KBSWx_8rd&3i2K`gD7A6CRx@=P?=scZ-`bUn@Vs4nxVNHjj452Z@npVY0 z`c4NLk^QZ-kB1x+F14vz3f z%k$xozwIhsF@JZ$m^KTT|FDXc_Bgw5jf64F`C=9L$$X=ddTGBGsKK8iV@)$~O=r zICnLk~kYd`}f!5UI1Z`_o)`6C>gVYtW~SiDLGfn!!#&!>c6; zo{v&b-5lhJqnGF&YQ71-a)yPILz^H)%i3=~{$p)$^wr4!HE=go)yngqKd$LL8oP;c zz{S<1Iw8`JgGgSbL&OFi<_Yl=wqqacF&oKB6c3?88AI|zy^xK3p>i}X(&$#hoMUrQ6`-xB6-5NJK-)hxwU)5LUQZid zRNwyG3wJ<^uW~g_`X|~C@c@5vhJ|aGxURj)xzsx!cD09Yt|KJSmVv~W6n3ejQz+Du z+h1mS3Yv=#OFaz#&8^el4V%yYl8SHF=s+zNbI&S9DKn=228pHmp$VXe&4rrisxbX5 zhObcJJ6+jQ|0}rY!j!m|TP86RXPUM77}qK$r(R~9oAdZjBhMj2?oCVH%)PjaLSRw; zBr=C^a*nG&xh$fSiUs5y^qAG~VXyQAd=F$Mxy~d!5XEedjid+uPk_X(S}Sx$u+kcS ze_Wsx)%K+NmrZHy<65;3zQBPgqF6};q`1dJLhhpFdGP?}?zA?y%Vt=hwLzQ6Sw%l0 zv`w`$WSbXGniuN(z#)7=9cvJa2$aP^-7Ma%3?6U!?89m5bzaSr1GP_Fsk&q^%E@i; zZb<@@nPFP}OI zCm6O&BBj0jAy^UC#Lj;>$-7ZcEY151qhcKdFn1olU;4UFi=F^X`o$aq>M% zm(4}%+0zcCE4JM|0P?0K(hm##KN?rDv8hL6s8CL5v!qf17{^cvKP8?C&As7{<413a zW;sW1TcrZ@N~eUMEi!)!J!2Jj2cw?MTErLDh!Wpe4@^n?i$}VIxa0=ri}*6_Y!V54 z1NQ^t#a~SaD2X>9`0fNST(}}d>}n9tpMQex^!L12(%4q-nf^Emx8jb0DIPURKePwd z8Vl{a;wC6W!GysEw`z+MFo}m0Bck ze95)=M~4AF;HB_d^dllLoumuf8FgnE?St9uPFT}mOPs6^eZKY|nkEcpL^Yy-2 zmXIIkYs4FJ=l6uiZNB&Q2q3THwW4o|dH%9daZ9U}rngO0E7EnN6cpY`Rjpgc=UIqe z(k!=aT#^*V$(|K-FX=6pR$I!&n7Ml`xAaullAPO$WTIc=2z9l8ZZ9)o{APL%nsbS* zF}=OD1f|r*XJS(C1+*bQtxb7Sr;2()?{|ev8tdGl&rw4y<>cI=JOBAmL6h3;g57tH zk!lV8KtSxC>oZL2Ib8lgOX0?3uDFN%i^B0$^7YafY|mBu1l6Enn{QX@!{@}~!sl<% zoqu!=-=|Y3*}_?NNe#Ax@`>pL67V{!=UeAaG61+@U2u&5@iMpIQCy5{xr?X$GHXPY ziA)jVnMxxWYGJiR3O z=g*Kf7ox9T1A1&NR5>A!3gTjfyZAMPTVJdXiT?-6L- z2w-hsH}DZ<;5PNNj`%q2tBM`zlqmHM**C(3C*0Y9=-UNgt?0iHO$S4ftbMK`W{Pv> zV(vuxWH$vXE?6Q!X{2_{xpm~B0^?1wYeZO`eLF1IGo-tzwis^<6=`PJ|7`LuL(%uR z2f4n9SmAoqmPaEjpBtcg(?hSC@%+E-0RLx;c!ZAW14}E4#uIt2p%b6aK# zXQIrv@Y5d*;qNxnUaUv!Ne>Krmtt9{??^;%)B_>FCq1GK!i*Q_9p!-z#!yttE8@W$ z^Z*Rz!sVU_8*kCqY$09D!a=N!Xdt1a?(lZFnxWdL!e20&|_Q(~pndr#xhN@eie={6XbMVNO;HYD1 zzmb`55p22K^uu#0qugRJ9w3TqvGs<}WETRf>3A(birANr{| z_#n2{DP*Nvq}J;%;<&#Jy_iiL(n*e5oyp-JHs6!8OHXulsww#Wo+TfP z%I_>m)H^GFI4Y(o))jTDVj9bX>aV66wB-bd;t$=v+nUlNbu@$g5Cp3TeV$&dc6{xs zv|-F?;2dHqFnWH#^J9x_%8fB@QR0Ws`<(fMdnE`8J;~l zzDkP`MFlA2x5uv7)ud!%Wp2usy!Blef#RkMoaTNgDsfcBU4(dMk&8Ru7uAFj<7~t7 z>X`Jkf;OYsnGuY`Y0EiDMoZ#vhj*96j!=83R=4hbnW7Y>&J}3 zv-|~?1254W8Mk*8`=&*3%aMF<#j+V5+Yq|mg`S$nXgAH@Tc8Aopv=Ly$ZtBGc)NRR zg_ew1yD6sXVJKu{`#MFH^XlgeJG_k5qbltAwevT*)fVLjj#xUFVQ(|Lm7W>&d9hpL z-*N9)ORh$r)n#ki?D(_VJ^=kH`v}|ys&TT+lvL;H_>_kVFV!#Wit3cRD0WXS)oF|v zy83scF3g6RRqh@Dz*5xuAVLG(bu>rs720hvcnzVH!@fq|-r%o;&9xbr33vF|*&{MKt2;62T{%;cDjVhtKPwaF?eSn% z(mrd0m0hCnR^Ty%qVMPXd;c1DqnqSR(ILmrC|#xA_HVnIC}&F4(}jv<$?`f~^U0G) zfnMaT)1P2^)$DA%WZDcm#ipzYT>LWiss-97@A7&*8+DtzXEV#X4Q(_V+kh&F+KR2E z6(u@tK$kj|8e*7CE6>Ui9Y^*;&7?}>g7(ST#aYeX(?qJ#FFS#`$e^m%0F$|c*v5&| z8kw51c{;X}+(BPj!^&Tp?KWX9b!&ycv3T(Iy;arw5q|ubleNXJ-BhZrW=y#ry{4@m zbt%ZIb=MeYcXOo8&!=m|U685MVcf@i#f`)JIeh@Bu#@v;(Gle9auML)P4E2m2$=aL z#mDrXhkL2+a!2dqs6qqx&bv;lrl7f^#HB<%#3na)qQ=Cdi)}?It2~1>aCtR}@k1K_ zbwZ@Iy?vf01;Ob`rm<4RPVIWIMy1k#aS5U1>iRsEz;Q?3?fk;m!}#y~y7mP_8WYT3 z<()(&sG=Dm!;&I9R%_{Cq{`6G%YLp@gSK^?Cpy+wvvOJ6CWWUmOrvpm#YPQbLZ?n? zobz;@4}=8W23_J4Eme52KWbAF6^VtiQHF@vNYUO#BY!JWtyd-aug0h8_6UBVI}#I< zCRU?d>FB}+(1Z{>YEiebU}c=nosu(TltOS3@H>as|iO0o7^@A71* zO|2JrJanxVm#^1{N!CP^U+bPO8>>^TR;^%C!oVSu{G`%YALLtye-4`!(Rt=omVhF1 zw$;bBkn4!WQ&~M^=FvH8w|LWdU{+~h2UyBpC%z!Y6NF9yMiDZ3~C zb>$W5j+MpiQofg-dDPx(K{UW#K9WL0fXP6Ov_0u1FZ|o(J&f%L_iM87ZJo5?SPu2n z{6os|Glr_Nev;??{ouIU>eb!yvz+(W7Za9QY+?U~4L08s^S_Malwj?mayl<*09$JP zg7xmIo6D)6qn%)e+qHR=pLRr;`SfDRUE(`YrJC zwvzU{sT42~et3VX8@m2P8FJL_82xl|XMabqDxFCYPzSc0OUnce7oXNlo0r-zuaB<` z4ck5Uof|GDxIR*bB$rN-ZA$I5zV-IM74sDF)aBN!=@ip>U93Gg)|t+-F+T5SAe@Mf zo%u#kYFm=1<}ABtX??X1;4n~}TeGtb>CE3bWnBsDl-Q7asXk(v zP_B7%og?&g+%r?(Eq@ss=Q*=$+h9@4(B01x%JJU@vTse(Iv zs(>ao|jb2=Tn)17?hyY$ZvJ1uBfyYyxo7s&E> zHF!*>xXEHk(>)ZbCiFJ&j??09z-UHn|_^h%N!zitA1vXzCT<=%#*+2w<^tU015QY-8EAx38^DU*P)7 zkXTxx*FG@SUL%+16`3Y`y-t?NaM>1mzm8QNPhZMVBcPi2cTcs3mKXHVdu0jhi?Duv*{9rhwd9%7v@w_LQ%Bn;Xxs_6P4<@^+uuym=VBY1ZiytTdAloNYEw$$o%_44>~+SIORX-}=+L zH&ovF)9xiNCrTwaKI$6-ntZ$cSnK2E0}TB;{0?h;>6-$4p9mXn6+8Sn-mp>fYgy&5 zFY&O=Uf$j#n?Eil^Bq6_tXOuP=2!a~eh5c@Y;ZHapDqaszH{onERVfBOJ2gm*D%^z zxcLug1Pmz(bPwDZUvSse4n8+e#XkrJ_|*S{ZOEq zEv1sNqz!$c6uJsQZ&CpIfE`?PzsN#%!YUd~TtG^WnEa^QH}piCbMXUg^h~3t6J}-d zjwxdiuY%ug%Y@MVq+)0iko(-Ps>CEANRrOtLuCV@1j~Vgy$)Jfp!7o+sW;(x8gsGo)lmviF_(h%ro&TM`#H(Yml;BE z8{j?vv;lshA9ll>NW*0yz#DjPKW*@%@C~EERJ0*K@V*f3eE1gucHtXb!>(upVele) zAwL8No*0BG#LF!9VmPgUFw=z!Dnvtuy`N0xa+p4ECfw+}5(72~T6H7Npg^2A6N7?& z@&7C&fCiZogL;2$hs_0fyZ$i&Cp%@(FmhEc);{RT_ zy*@iRM+|Zm@}Uv)VXz7z&J~1zK@PL>2neu?F7f z|9Vpf-V^@HMKAP2Y#=8Fb%y{ffcNs#*TkT=Uzj2dj)59TGw*~}C1~fHLcDZhJ{S%C zq78<@05M=Vr!kLYxK(^0Kn-}$WHp64aC zpRyt0UK_el1F7PiG|ecRu5MuBJN8p?USqB(~Letqrzq2K#Mfj=X3(Fy;MkcjNU zGtR}_EAT!2L(PM>C|VoU*%hls+iL^}qdO(hl|94uL;HFRtG-cNqs0@+pO8XtcV3Y+ zWn>DXU?+MJ)}?>PLQJ-nP_T}dWoPV&H2|`5H*j%sF2g%c^HlIT62SE4@#2R(IH~f+ zPm`zK!BJ<|j*mpakxrJwlOFB@2uY{h#2OD(5}{VT%I^!Vt}@cW-;U2lg5Eu~;@5`> z0H*SXgCzh{A2GFfE(d6IVzJ5Q<=EHs_S&21q28|>ASX(I#tMEs8naSpPc1pKr#Okv zZ6e9NEO4ixwPqRYK<-a3QWodb_kwbnrhiC{HDgNo%}xG;rm9>&p42qER+pcI)P;v7 z7+9s87>$`Y<7A~3ze+9UxW?&ZkU%tbk~kR`Q`Q_2_VYW17(thfp$6!pPPr#4KW5x(JEr4?COYj84Nd7M4(_ zA?~}rD#L?~(#(7Yx$Fu*S(`&R%>8`M!oM>_bUQ1im)B&UKOio?94brSp1Ga>46c3f z%r?c3ZJFNUL8QHucA5Co5YXEayK=pt!~K~WY5&FPy?-WR=(>rkvMhyYi!`1<(~Fj! z6y6tB0_6Ke1EXs3^=rv3xw}78Dksp1tyt|p9gU4~@KZ;6zvcyW%y@4VyaWO~>xq(Y z8ELTMu#5j@dJkqjlI?=r?BmKjR- za1Pa7a-5gZZZ}W`lup83?QHBHhvalB63zk9HnV!LMVD(~2Y2*a0qZnP}qI z>5~54wwoEENJnN)@`RUNPUHajuI&FlU21?6Y0-FX54e;hli2LJ5^Jpbf$zGMSMAc8 z6GsCVKpaoS53O{9%syHs6f2>PIVfGF%)gPRSL7YXh^{WLZpyA6yKun<@`yB+HdDcC z|7Jhs-2CnBh@S_YQYPpdeXUTr8Y5YSf*}5x{CxHt6p=MkqyhPU3Xwu+%Ym$1VI&#F zjL5g-BT9$4@9F^JNZj@Wu`qq9!Y&4~u(n8na~WxT5eBju=i>8k>k<>v`jJgwrN{&2 zG8K~>ezigZezi7SRck}JhO~;h3@ZR?>TGp~#DBp>iZc1&k84OSyTO zg0b?p-#KG2ysGYs+%v^_29e1*5i$#r`)(TY=CzY*e=Ew@TC>I~Qq?r7i)Xu%#_m(q zK$!K6Q@Io6x~+g5`xR6 ztTFYC(TcV|FwkCIEc7?*uw6*pVpTOO56O7<%y{=Oc=yzVlJIVE%V3m>v(ukX3~T}) zfotASVyqwLUx5_n$pn9K68668n`67gs*m8Ei`3L3Y$snim%?a=ZIT)X;nPUkj8GDg zlce(^!9^#`ec680YO-PCQuCv34c3=ifS74}QbaN5l@qFt4`(o!E(-(rYLN%FyszVT>@)q3E4pcweKH4nJ!7jlj3tkek3)I7<~eagZG6NX zVa3jnsoHOmPz<(Y;Y#1D2(IoRb}cvR{L%Erfu18MPpt&ke+aID1lNeXd+C4?$1l?N zxdZ^>6r;MeFM&>Ld%UHdeMB7ia4~O2J4DpfE{jshUQP)AZUXD5{D1^5WdtrQ1lJ-$ zTzE0}4@f96ixHnLa|A;Dlo(-Z3e?=9VL>1~p6&ghi>>_e3B63jR z{NaDorLTn1HH&IMxzKz%VA*jtA*e!`7Ay}DK=c<7*WE?0V`6I^2hMtsf^y6Z&WCsA5wP@ZxLuAzw@!+2M~{M*v) z3BMt>TfgG3+{L40qdw(-jr;CLyvf`k;wL{^D_xrR1;IAntM{EB;xWv9@t)!xqG_nd7L#mQHDDk(K{Do|jRVUHUu^0~jazbiQfLju6ot>5*hh^e)A_`t}3QZ+)63F790 zIq;%piYV^EN07rMx9D zPe_Lpi5+It;-0qMaOCT+nEVz=g?pL6Jr^|sWn3paNVE5~J;6g-YQuN*<^$rIWI4zJAC99uY|H#tTZU^2k9biQqcVGgM4%0TuC1vzIf}JC6N+6DSyMKuIXmWWhN9$ho=IN{a6b4Qz_#o*Ax0<+^T*U-u;&`{ zORtUvEi|Rapc`KwIBY9A)0u@${sdxp{_lJ`bEH|0G&?ae)Bw0dFPIEF>_9!;@zYzb zu-E(T*QgGxjh?#z7iSooxjqYbo$>g=c=J_S@v;+48J2b}Y$*0`&wc-=tr3+q0+vNV zfw1<-GZPd@zqMdG z*JC;8KVP&t|M)Jpn4Ce8ZE&WPy?cf({@Pbbm8zvi2g2@@a0%??c!r{mSG+#}%;WzmoVMr3&R_;}5b687G4IN@gG+CdOAxD7)(Q%@QLFd752$Wc~9 zhP1>XmBH;kSvA;@eaex%_s<%4I|ga*GsLk3%j|nT_(!b9&N{KREJAFF-vJ|)=Lxt( zYt+Li3R6zCPm|f+GHYfsdZgqvnctRVBHMp({VdwG(<`fsb6k~83bpQRK;f!m+sdjj z&0^Dd7+$0tHag;uU zF}kFKt_j(jx?Xmqt|Hd!qd~7Xx}YLv8Myt}x+INWP+ykSX+Ri%CBAocZXsK17b|JS zQqxSbVJ!aY#V2Ar&Km?=kDXy_C5AcB2eWf`WpqQ6I7rW@cr~kkj>IDGV-R5WQNMpW zQ}Unl|KDa@BAsMG>p&}&3pOD(`^&$g0_4QoxhC@Ij9vIe^0Jfj#jy8LAHzzi;U5A-P3Tupk&$P;JYBzF z<$e$*y%eZXt|?}{`I8VcNBoqT4*8rL_eH-F%RuhrLz&p#Ga{Sd8%`Ec54<8|nE3_R zCKWE+x^-X}rmmq7(Xsxkuk#)#D}?uAKywyh`)~d30eJTqgpwNHQiOpP&5ECy3K1|3 zv~qaj7V^yUpAg!7jDp`aUOPliY8botI&k3mP~7ADsS<;Bo`({&8*!tgmu*>%f%MOR zH6itrz=>oJxkrC|H85WbT@VHmmr@_I9>sZudBPJM+c88gE$C-$(^F_BZVN)AjQ43uWgy}U# zvxh^w^Pn+6SOv5f(y{|!DI}4jPPj4`bB!RDkUz!MrS{sQt-hU}@F71#+CA-zM=hkM zRuT~KSEkkC;$4ntzLyncFuH|c<2|y~)j?JdU=@3vEOZ|5&ge9EwMv`9Ds;XhAt~th zzUkP5J<;Tv+Q&UzN4s%R1n^Umwq2ma!03ji5o;C^uV2w_&2Rm`REy^e`Ivgof>X6E z%A~$uBM4cU>Y)(w7X`F<6f?Tr&!?y1u>C+I~FA!#Y#0;Jkk5#Cfie@U@m=UBb-;Cpsp8PISwrT z`QvjoiX4Hcg-$?qeRec^{M>ZoXd&e0vJQ<8n(wbL_y$C*{=o@3Ro9%R(8O*~~S5$cca)gl?*8jp$mP}F) zQkhZ@5~E~XD=cvtI43-~nPSQPvJ}rN*iiM53~K5)N`SJE`9m?EP2AZ;W2zt**g8F%BvAaDF#} zeS(H*Y49<#$KDz>;`@vUh2}#%(u->pQ|0lxr5DGa6c|I2&q(4qojh;xf(C7sa{|O- zIGa4()Gr8yhIy58c#Hovlf)7;?*@XieDMGN*bL>)U_N|hV_t-u{9X>GXjL44{-Xis zmB3x}<8&!zto->R+1p4zI~AY&l9^g@-yR%o-g#zgO90 za~E$Ps%x&lpbSh~bMD5!s^Ge*5SQCDZgU@*vfo8~IL!6$(=~pERi~p9t3uzCFG+$k zMjdrnG@i{Im^h5CpwrO@hHQ|@HqrlmN|xs;(`3%U^aQc^Cnu6~^Y#N30^;Mt^GmU& ztsRCsMi=RnioyO{6-=EHl?vK%a^Kn6*+oijrnv=HIdP0kP_T565h)oJF*N*;m=KUy zAQ(Q4VuTQrTsasXLCmCQ7!_GiYJLkUHRCbl3Yd5KZ*9c^(H@?&)%9WHX5Dq_Wn&@= zVMY_K?)ahFa88JoSOuJsKTmJi{I@h;s&bTP;@@M~URPj#}N-zn_lM`r|iu z%R}}KKYznCET(?f*m-6VowK#+Y2)?3xqe*ONY1ylB#?jjF42Z9M>u8L)z(0+B}D)N2W@T;83z3@bukw|{1Y#sg(_001@ z;!a9i=5Dk#x>*J7L@t#U8Qu5$^oM4<_8mgx^Ep1I`!09Jm8oCwA#T&MW5yRbfn@GQokupV|7+ zLf`w#Oha;mmR`-b_5hlniIn8+ujD)RLXOBfBn5RvStu%K_1LTylhz}JyB?&}v*#24 zWnTjjy5N9N{=+vE(9*WH#MkVcHwTElMBA`yzYU;KY7)pm3xVE`a7f?zY6u)3St3Io#GEBxEaua%g&RiR z#)|YsTt}(#vzFm0Bg71%Ouq5X=>&~3BHcy=ZcX^_Un% z-}et*y$PIDGv5>%t5;C}DzlfK%fs+>4i4PLa01-=bo&?6X+D8E^h`Q-HV~N$aZm`A z(W0E_b6z@Eaf3#w8;p^2NC=H{1c|+ySPsYywvikms(_^}F8$%Tj>fv1k%>Xj`ir;d zM^RhRE2swYuIao*na%N2u={2uw#oBz*Di??IvZt1ryLaGO6&UmKuaTZLi?$V>ZJhS z){jqEd*$N~A-gP*xjhU5^Ev#WdZzG$1}u%F4pZ0Xl5$gV zQMsrGwVfzbIq1n&#^^=Li*q4^%y`eBJ25~i>@lURpIw!JO&2b28)d}t|@Nc3A0Eas*a;J^4pU^u*?v?qU(0eUjgMMFl{Fd zje*J%Hr?sw4R^3dUhg&(O@(lfesFr^k-!>2+8Pyp{B0s;k$RDNPOlVYaq}1 zno4iO2R*^glON@wi~JqDkBW8wT4eIHu;dw?wRqrjCW{i{T|IN}z)~9J^={I|XD4!X zpWUGugb)IM<|gs3JufsDAmF;+c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*E~*0RR910059V00000 z0071U00000001~U00000004La?0rd$q{($&H6@u+o0f+huC`?T8g4yiS9fLB+9OeB zc2-t*4zrfYtm>Xtn@(j_WmP99E3=r(^fX0`2nZ151-2o;h5(;@^TikW>Z31&;fr}N zU>Lp_G6V|-ELj$*g&KcfM7;RlzvWgny)l1ub;cimM7$;5d+}ob;DZl7_$&PV2!9KB zu3TC81b&L$v-4KFF=%x=LA80_?G1hsv2T^$E-{gQuKW$W{Uvh$1^)g#_V;Ia|DpT) z0e<;MNPqId2fu-z-vG4FA^U#otohck*&hT5wK}Ikq0?wT`F68sfY$a48X&`0_}^c{ z-<|44wXppY2=xaI{QotS_%Zxenx~C+u+ts1j+%H)JXiksBP8Um z-O4TImvYNNp*?8!8bPt!1L1}TS08kTyI=DU zax^+mg6?o|J{$xmt#-2?obul&M*%bDA2;*v_-|rr^8iVF>aNIo_o;5oID-Oul>Hx}_eI+T)_s)CG ze!u-B=noIS**qEqR|j2#4H$XWH;5l~JI5`{4Tq^?^Pa^ir~ttZIDEA>JnSF!TIWXi z3a7nhlfbVF-?ve?yr1D!}Hwq@3`&|@xK7@o=p}4A9Ypc%kydRu3I>VF3(O}pEFAtvx z9jtVeVxq1(UXZttgT@e;^jhC0?_2#ruXQ*abbI|9L9o|uHUO~KJb{Eb9$!@?deRJ{ zC&QkRx#Q-b(Q5Z^Ts3+iIZHcp4JP%{&j;8 zl=){7*oS4H5Z`GGPn&)7CHCKy?;-+5g@(nLvDo+d>yHNqDB5g~tY|j}6q{6mVZ$UTe@yd^tG@tcx2g9=Xs} zDe$B<$SM%oW+}1JKfB}-;gu%|Fic{Ne-a_%>i6MUeJQ9t>A+n<*t-1>cB0%wwbLbG zKZAXW{~P;bob)mNm+f0n>bF`0IH9J*t@3`N#E-fXr8Jfxr8E3LY)QUR*@mCn$nZjL z5*(c>NfgjI?sNwMoL_ig9Vlq46EuRiwrh8c`+kGMjEBtlEPidfH9R-&G*U}JCQmI?>pw|~P-bLq)iEjj@j_Jr^h`>VBrJ^^8 z4(1TO{75Vuf*Zs}9*&hvu1-iyYw;!grt3Po#(=nGh9atH+p-upcYEg!f5f++-i5Og zOmX~5mN-_MeJhX$Y^0?n%96=vIKV+#NXhbeN(QZV>)Up$4TDFe&Y(4Tl0}hG?yPRZ zML7ON;7L1o)`TkAWMxkZlrm^gc!Kig|{7I)#8(y?PrrBwQDL>J$< zdC+h6`Zs^I(P`e?bn`_0n|E4+yTikq);8ZfI&UA}w3AkL#KV2Kao*~%M~H{)eFeY1 z0k2^e2~%eou&)qD-I7RHy6*BWzl{H{wzc^bvO1%^X=lZD%~VrA1D3J(5n2B5UVs{& znD#|l!IX+ntssVkbSt<3u5!)?jbpuMW^qmVvHwhO0IK~p&f@;}F-`Kg4$>_Y{?eL) zBw;U%6`P|TYn|pb7j3QM{D2J&LNLYm=S+CHgL!C9YsY#4Zv^f0v-(kY*g<#U zjcW6x-W#;*ON3F|-oyV3-@_l4XEfhH89}#qY^SpywT_#ZUdL$gzzlR?w3L(W2W7ij z0>MG^y#dBKxFW@jpk5C!TGGIjIL6dX+Sh82Fan!`FUs-*%z<6g@>Rb%xVmOPvgcxGTh}{y-8n|&BKWY@2_MwQS43}`2X~GH67bY1BbeN)Z?|I!t=zMJ9BQ#xuH3r!*khq4!HqKhll2o^P!m67-JvJI1VSZ zG%b^c&4#E+6YF&IjF^AW4AJ2^bLPx>QS_0+7AKmG-Tmk$8s3KwIHtIm0% z59TbGvjCfP=Gro6!CVUFih!=;ToL9hm`lN25$HV6ZGk%@h9kmU4qm>w8`Q9U>A2B5 z?qh-9$ff68{VmJN|G=)6zJ{o9R5_5-Kq}qFaj=C>k6mqu&dz>uSItWPCbHRX6Bp43 zIB^L_Nb#(re(<=3-d6WrEUvUGBAZy@cx(?D!7)JlSO{r4Nd5EXQR}3IlHYB#ae$WT zSG93u5Mt1Y;@Zg&FMAGCI2>iySoZzuMegpnj3o>x1`m15U1NzU+O+*_iYX?u`;XDn z?^?^uVM`@qTryw8p8Q@HhqZv|jds>lDQ8KqaFfEQUZ+X$$D;;lS;9PlQoFwmhPcym zz7*_2PLS>Z7ffE#X(s(7?nG0s@M?9LmkI>+j4Zk z{{iFVkKXtHw4V3;tk?Njuh>2|KkF5T4ad%UH3uP6@2DfXhnvyaqK9&YC#GffbVhze zK0E}+eIT37W1P9mUZ`1%+7AFf11uGMvJO@jUS>#RqAuEnN&ueV4k={c#dcZ5h)uHY z*mSSSWWgfYYe@Zq9C*9|E!+-D?_pOZ`mA6s0ytZ)gAt1i_L`#^Qla|p386k8FQAj> zYw?ft@_3aB=-qA{@ieS8nlnTMVy+!? z?eNxNE(~*FmbKq*(lijzF<0n_JnC%Z!)y#nWJ56!y_IxdopYXi#W-! z6YSy&m;6$Y&%c3xOL>HIJlF{j-N3i(iRMAM9IUM@-o`QH_izRnyg-v;VF^FE4Ck3m2T^m-E5W+Ra@4rnz3Hwio1r+MB`s=3!8>cf#0=^!KY+3(BH`9h^03quI5y zCyCkjPvd95d%oCizKcV5-N`fA$(entan@=-vDtYX<96Zg^`)hynvG!!dlZ;`XFZqp zw%2p{jasF+v9g$3wI7I}yP;?sOH$R@V5;68Tpbe~bG-lBDw3};^{urqHBq+5mRXow>E{lnu@RdGt}_Ve1RQpvM> zoU@$2QCJN44m)^2s* zCgM;7XZGwHS-6dHis6}kXV+-aXwt%h4s(G(;b4EaUMZF;m3n!nR;uoocXwo;<%>$$c3%4+UbZs}HT zjme_i)1>P#g|JlIt?%ybm$%EmTB`GB=Z?v5*e`rcP?1(g#GIv}`b#^dYGJ>G;GVB| z0-mZoP6wCX-meyl`}KRJYPqs|r;cmLQ0#W0c(=S$nzgRD^V%1Dce8RirP3~8r#t0d z%R9$@WLZmqbr^WXL;F|2eNthJt`{BV4tr-Q>yUGDbyW8wJ0qYKkv z9tMtr>qQp%=D|*p`c&U9*`vX1z71z{b-t{yDX+YfSsur~*ec=4DniFo3*FiAaX<(Q2z+@2wBCkVYQ(w@A0$TV_Ty=L|bg*O?1Nsc)rd#~AeC%D(bWTUvU9|8gc`fmG*iIAcJs zX=Qa|J=nf&zb4aD)9ezqtQ5%-*OxZZN_0T!VfmX^5{0@%$wF82`4NTEEhnQ=Z_%6r z$Vx5^h~pi7Hd8N&0`oRTn;sojY;`G9Wr>b6#`+)OqMYDvw?F9kE&wYEw?c(tM;{Oo zk$wj?9+yelYcqnulk+Ab@QfCbSCC?}RQvk=?%v{^wfx740j=PWh!8*%X zFv7ET!;wJAcyD8^zP4h%@mQLOq+D9TDFSn8WltbCmj)~Q!l3E8qv)5u)ZNkS{-d!9 zOU}!t3dB2%RJt{%t^DrQLHiBEv%_W&AMtP|bH}`6b@2eRh?ud3rN!_DmCz(vp!2k0 zgA|4B#(TIm7FW);anqHUbEa`%e-S~R8g7&o>zD_R0@pUeeL?Yije+Zcu{5>_T&cX% z9B|n(V(?JlO%eNEhwWickx~nXxIJ}DWQP zk;u`Gv~X!_0FOK{keL*11OBEs;yen8^K(_vJY8KXF~5Fe>Eca-dO2+dw=32-4s^tF!8&N1QNpR&gO-c0;S z!U}N_?(nWD%soFX?j*paVPsC7etHZXIA(jFy#7CrCl<_H9>;$+FBRb6Z ze1sFor(@5r%*LKLs1Orcp?0_XP95k>hstbLCZ=+m)ic8$*j8)B&Cc`EI?m^^z>Atu!IM*XPYIUK@P_om~~ zZ0&q1xNM9((vvu67stqh3o(l5y#GE4Iv3P=4=aj`mwo^J!^0&BE5wEU3psoiK|CBI zF{?q%o0&VatLDu5MH@+6F%4*6D1NpZ*NcwvvM;yl#uf;NOL+aPvKa!Ep57<%HCzGM zbSnT|ALk?Z=(=Vc2$AmF2{yN3U=_C$AG>}Y$17_O^WVS^9-?1`#L#^7!XqldktM^Azsk0Jf3a4jfa@URq~Mr2;h z+T*Pu#tBt+KZryjcD+M2lEgmacl7jfq;_2!ijZu2*>T$#-lT zR)!;(!6h5Z0b7ScdTyI63eL>=xa--b8$ZdtrSCP&n{^M<#mVA9m74%4O1i`>KqOg}X{Je{kFeFjjk6Ri1gm+%}9dn^nExqF9Y za3!pg848%xfSfS8%IrUfH$~VG?5t3l=ETiPt1y;$t_AQeuY#^tA#bB6(x zJFP(rlRja6*P1CqiK^*ZX6CNFYI=ZyMBcqrc(>cacp`@G&ar*`i7M@Wqt}5@I?0YO zJo3T@vYIL2@F2>j~>AWn3U$6hCQB(fveGLsA!q*HhS4S zg6SDN!I6SaTO$R32_@WaKquid8BgbggVHP?4#5d;GIr+!{n z@oDD101gzfnF{K$**qMco|5zIgY=D4Qr-*D>WPmN;dA7L5`(&fh`@B6G#{hMVpq%P ztE+ciVLD6$yxHoT?OeO(%?{zAaU1pn?D9H(+`wCt$Ni|fkARN6u=^$IiQjOQE_K{} zsOOhtdBliVs%WHyt1N+Q*mAdSEhCI4CF)f~*BU3V-`eDu)X|n8g7#}j;51dRZ#k#I zOn>x-M}su=i4;OdvkYg!wkvITz1FcbB{bk=O3@f%Ja?s00amdz*diExqbHnJUIz!q zj4G2z|ESj;;@lZ)W8mQU+cm3&ZHJzg6CI|wndAY}1}DAl z8Qk8-wk&+Zqm%0}D`;nTKe$7Y-y8NHE#Ah$Gm|AV&nNMD57WQB#e?%;v)lRdASgmE zM`vOOxr${zP>9VT{Fx&Hj4f=_i0QDh+{Hq*`e20Rj)(wfc%2*-3$=&Tl^F}dbz0w&1=<|fs-1f;J@(4#!AeDRxZd8aT1iraV7el8m{=zo#i00z%g-y# z&Z#UEh>e=8AR8V7lj~S%BNsP&%oUCVX*fE}YBjAf8q@BO9SV+|dCw;zJdqc6e`G+6 zCqoe$jJh9>B1+b`&58A3e*Z$O*+n$kU7`05x0eIuEuQ!0`f?tr+l_XkbL7#|6zDLQ zA~|tENu54Aoy~gp|2hKgYSh;UR$}M-^g>yS4+7Wq=ywI%OHeJ?Dc(12scaIlt(ighg z(Kjws33;T4W}@k$Z(n6D&ck`Glf8a$X_2d{VXF$zkRvp@oi2Q3`GOz6z66WZ*i2^aXbfNTuN9FKuXYzv`dTG`vK-!E_O-?dSyRpH3~L5C|wkL@@1 zoSEFNM)#Y2$f|=qnxymG|As`vhe21mi~KVyV7W*e+LG zy5z7!A}2YcuOeRM!n*jKJ5qP7h?NbSaa1~fgKt~E!>v1e z2erHP+t?Ro^J%#sm5x&B@W4imlH;6lfVK;=wJd=>bK&d~$Xlsi!%$liW+Dq~2{m8e z!F~m7I;wfGGpbw_5lQ5u%olIJUB;d_IR7Q=0GNGFi+j*epdR8!_@`_p#OXN2*upk z92;%1o6I?~V->?jJ{2EfZfvl(UAgNog}*;HHgjV$_iW~#4f_GMEn!RhAq_6fjm_NH z%#F?5*gO?u!!Z+?CbE`|ome&7#@=XR$vMXjvQD1jfR2n~_Yua!zUu0VKc{LXAD%qL zv6XOk;~aBCXMJ8qTm?r-Q{s48?PL3`<`60V45m zWGoMC@;C(x6KWwcoRo8!!Xu~6owE~V=jca(KRhZ(Xzm^u&Q;)UpnxfCn!F8iOgnSQ zJ-L_j%=_ga7a~k5{gh{Hr9R;6W8x>DTCb^7i6Yqhv|Z={+ApZIp7kV!N3e|@Oi}I5$Uwtzny%4Y)a|9MeSh zoE;lapFy9TZe!U&!UV2eRl>!IryT$|d}6pOW8Wt7~qLZ*@pyLOWB4p61u7#=u5LGmfu>)HZpA4hXZ-d zi8l#y?o6E9%5tip;?I=k#FD(O0B5uu3YrRD6!3-uoFQKdnhIVN@GWggRAE}Y6gU-B ziKpZ>o6+L>HkHV#(Z+HZ7Qni~85WO8wHhqPpC`jKg2$M48nElCf!s(DF%4ZoR6z|- zheyDs>$7*aywvI-fQO|it09y#I?3lt0iDyJ6$=D(oa|&9qDj@^5o9yjTdsylMMkS} z*(7rku!BVtH966bc;p=vhJ|S2qTO&06AQ{IgLm#$eHxRL>J+%8tzhI9bmuige4 zcns+&Vooqh_rznp7<9VA9iGul$QJfW25e_z6+9Ir(xTBiJVMlH#XDH)K)6=FQtDf? zpW#cgEJUkwzeK=};mSgOsIo??XYI+og1qRr;gHW*|BL;yv~8T6Bj>8$z^wYk3m(%e zt(Jqm9@jx*!JG+QM$4k2pE`!K_Cr1mj^hlf z4|7K86@a*KSLd1bX{hL%k@>Ax1qPly>GV+*1% za4m?VL^mPps&&(pIEf?rsvMR0DKv%SDbbC{T87bjF(}c^$XbTkdNC-`4ar)D!oL`l z=%!>XL*rizN_1l)$K1wk*NZ`kZcgMh;W#DAcYhvj7gq_&YCHt-aCT^~aU9rHswOIB zEumSokHv=UEZ$VhXHCDL)w^NyrFXSBw|TGI9^kAIn~dE$uEWET5?l_*BTVgwY>O|= z1L1|!g=|yI`c<1f($NWzoo1;IYzhY5ppSy3>yUOIGyZc{~(;@q~zz*wq_L_e`{kC+e!Zm|`86v+z(fZzdX4A26kdWC4gm%mhGbN{Xds zoyZb|nTl5?#X-{5Oi{TbTgdrx+BwGmctZB4g~)p4XgMIdWG6s=$;eE|J9k1s(;zJK zWvqQ_04yr!yeUFR@m(wg87iL*f-`>_nkIsUs+rW;U?}a9l@KW~#OIt1hEgwC>k)@R zZQcbvcnD)EWj6d#8kSS=#9|o3wplg+41~#y-j2;+ASe}+L*(OBpf<#(osCmU&tyqX z3Jmc!XM>?MF6{zk779AV51b8#a)EOSp45heAs*UnFqG2e6g+`3t9>HB^QxyL7t!l_ zVA+1z%S_noHCY;(V4qmXH5(MA-5R1mp^@tNc^=x`u2~j?03M?=vhqJPNMru6f9fKc_G z9Iq3qz~~UQVp+A_8j|qnf~70H){vxhisLN4nZaCX*s_8nWn0$kCTM!rD}_!QbhjXM zOFBIWrOj#c6BdMaYo-UGv@2~Y$AXZ}QPl0}L8!JfZPx38Ql#{FSwWJTz6^7u7hfzZ zcp8RUuQ6K_q?b=yBCuanrG+F}`Agu0ARi^uAgrW2;ZLz>b^m;|<^@@Tc_!Hv` z^{Ht*^qOZ4P=HXR)G}$lPUeU!heNMX(C`F?tq57wFk?k1-PZ5~1`)?9R_Wf&2t?^z z+B&KW)wa^L6$M8KAjWzb?u-sr$mkUAjJT+9eWX}1O_{Bl6V zshJUoQoFQ8oDPUI88ZS=dY-oUn?Nq=Wa`z>s|sF>ThhGFNQi2}HNY4UaRO%qq7*%C z>94C2ar1PWg;Ll*Xqmzjc(znAeOzl)|qnfI`VdOqO21x2oVs+19Sh zioeH86{U~n}#PaNJn+^ zp)|F#@k(i3+AOw%Aua7pF!YLrv^jqVLz<4+V5r7i!xLT^4cv6Y%~Mo|Qo(hl~hwccr`KUff2DW(UZlsoN|2eRg(MoJ&yu&&@mIi>B#)MV(R8Z@{l z2yM=%2cdLLgNuUDE#LGYlxn9P0dWx@M{oMyP;dl&mhDdom`Ne@#(oV=Ah1w%7Qoan z(ibRD2f`h!pTXNc&gpU|C1H~-Zjc+`ewijd;Ngj3%Qu!6?*)~6eh&ySQM*2V7Oy+q z0WRHa;A+#uw#!QJlC`+KTf-}!$?_VrFY_6bW#Lg(SfMYstNLp;o`+kao%6)mkH~$} ztcz!MOEiIp+aWkU8C@$wY$Hcwu^!ZF7`8k5q^wW*cS@1H;s*;~juDOL zzA7m*<(rgdHZ8KdTJ8nbCG$Qb`!IKri1)LJ2{UKQtF?;!c>B}eU zS-xr~HE+xnL^p7qFD@Ul1k);xmCLEsdRD#t3r~nJDowShGHL2VH0?8j4WlxL1|(sq zzUeV@E++s{Hth3P{wo4b3&POvO0tFjmh#nqT=+fzHH-^OnLf@nkI48VU$Qrh3t`E> z?!SgG$bk6MiAUi5PgC-y!T6n&bZIdDC?(x{9xgsQ?*3CB@*fxeEG3TXF5}O?h)3W( zEIIu6pD0G=3BP^}KqOXv|4|CuNpa!o(fIQZ;}LidYcTv6%3m1QOJVANPQmZfKM*=X z+ITW+{J97n*HRD+Wg~=?l5F91s8pE3hJJ!Z!8{?(VMxBE*X3oW`&U0q;+Mv&ut^W` z`+qFOS54=VrM7z z{Kpg+X}oHur29S;Bv#zQ$5LSYASK-|#53ak-=!$^o4ySQGXRwY+-DW{J1IDyrQrOJ zQ__VVSxDQ{h)a{9H2$T@?$e0Nvb)K1r|FrLJ3J-rUaxs#xk$f0Jo(&y#Sz+l`}Gs} z;ah-xE-a5HX}gX{+9x*vMuTk5_qK&ZhH2O+F4}ko-KaH#YWckix&+bw3IPBuIp>=n z!<$O^QH`y#37U)*l)2)t*YkUCh`1lTV=qIxE(Bp%gTBY8lVd{?~8{{<1pEp2L)TG%1K4P;oq6&|)v za*;u&TwV1kH&n_SNQr~xgVKAr&wxkC^9J?ak-dky9|YG5xDJ^&OMf+3&fVD9cpd5S zkm~R*1Qfue_GtXC29k)pT?!ThSBatR;fG~>aN|gLa}PhP;e(qMkZ3!+bqgP4XGr*k z!k^3Ylas^Pr&WG(6DP4x8~7wk-lL!LIeuCWBW;MV@+_Wn_YLj7-!IBNIZv$OLz3f@B9&=na`zr{YN99uw=NF_zX*70Red>&S}5Cg?J0 z9bpXHhmAp4gZ)R1UX#-YfxrABqFqZja?6v{WX>fbGhA~Mc4`>us193je)e}quqm~7 zr0`x1yx@u1K-ytzoy=F$N(9dSo3e_IR1U(RF}NPkvR)51AFh7mdQdn%?p5wr>)XZa zK@F$n@c+E|Kj*%hWaZA0Nog}YT#;|f5?~&tc<8gqG&AL56SfxRyq{azaLEKVc1pUK zbp#w3;8-oZf6G-It0+p4`_PONBxsExh{<9EO+W`(YUo%Y9r-vXCLn0TsYsF_C%At< zPLQBAh9D-35tLmYWuasF8}Hv*b7Tmhux2Nr$H}gyhsF}q4^GtIj1wf%vF$}J6gDe< zMu={O1VI)|l&n8%2>Q8iyuY#HJ~F+PK1#3+5fr3oO-RHJJNe|P#cnl;BfXA?cL4&A zCd(?4vpO|=j3|Zc)3%bu@7kGV&Dnp(=~eRffE=gh`;0XEtiuxKMMxDGYrk_#PQH?Y=NyQ~af$@5F%&UbtcqqLgoS4zHc9Pl2{{qR zXo}|65SD8H9A(ad{jE4dUoTS8OEyXMDI3KAA&yYu`hJTHq`VfI z_nh*vz;)|AiP%5P$trp-Nj3&D!VB+sX+y_GmNfV0}QR^&%jijSzD8bqMxs&SwkLbtG5l+8;e;H=P2E=uT0n4IPPJ>7oE>b$y zaB-;L7$t9e3F(*Ak$;^43jtFTgQq;)xqyA;INNzW?O@eeT zj507%kKx&26<0?W?Ew19MzbuA$NLUFj?5Sgyu}GsH53Hj>|{EKQm!<2+VQX~OtMIh z%J}Up7LAi*TG+T8hwY+AdTM3;G!QZp9qB7a<+Nz2vOb0!I>ClP$qx^rwG0UK&^XY zhM5ayNDD_IK^aPSN;?>w*0<2T#Y`Mk7UDzC$fF=_4cbvGeUFSB8{ zN<1+ORNhj6y73qXlqx$RP_G}RXX=^=%TNnc_NXU;$~0%FyBUgMxak$z7XCB%*&4Rn z<=qlHo_JCKxSl<`(oy{%t#0)0REt(?w&}6f;27Zlp79b!I19uj4I4R$A|!VTQ^hV= zXohX*VusaVnZh(V;AJK>?+cn2Y@{uL8BH^V6c5F#Qj~)WSk>`5F4i`08>7z#z-}8w zGrU{f-8QlMR?eHqZX3Z((+F%DapT5iPG!>E*a^)|Gou)8#WzA1MacOpMIvMin@p8* zzCL~HC>>j?N%EG2Df{`I`qB_g2-DY7IJ6<2qy;K(DL`F|=75q@69NtC^LA1^YYSA~ zl0fAM3CCTU{0V`2hs2XC6Al)pyd`1E=`O#B8ucP&?vu@uCYBf;x|A$Yb{&}#jY%;A zZ+artu$?uX5)_4pv+_KU%DMk3MY?8Ve345hrbJ()9`cPyvYg@YDWq3EfoZ;P`L_Dw z_-fq*JFpaXMN*J-UciM)ELrr)<4RHX^O7$LN5w?JjY?rL6w_|YImM5}pjo(Nckq4m zmtE;@64P)cN`q^B*^Wt*<~%ndZCp4i3N09}5q2@5&uW@r(@2Spv(n`J8j23iREj8a z6uzsK&mp1BY1Gn`Xx{y;s2{aO`S%oBoS&BH2NPXVGI9X#4O9O6>*#Q!J3RY1UX(ni z8El(IvDfg~7!={NCEkoCCD}`^n=E3i9G~Sig*VP%8Wjy!%7kizDrQSXi3P5Bs9W)| z2=l?V+YvJ5WQ+vo*{qD^ysg>T&}^i9IRKyq1Y&ITJr2*4h}>o|)>z~uV|}+pd}PO1 zc}+4_4j%bQpau?F4*e8gBDcr|>Pw|4r+j=C>8#WnjS8h+PEm8<i=nK0jvZfhNA}iAF`??OWK#{E9jFnB5|biQ#KkHyC>XjI#8=F5bKpYcfQ$h# zEOTiL+krq!UkYY!^g3aHL>dK_8N~?45N&xsk009ntl64OsLtI^Kot`-66#kR)X8Ry zvT(TN>Lh`K*u#-HuqH|QnCYra;7)wGmeY1m*;Tcvtqqj3$&yue%ej-@2HJu2&<4tE zsFP-SO_n6*dn8yOYCe($9L59-l5=!fL~l5k>DQ1TrisFH(}6Q8sdQ60QC8K7UR2dh zyojtH9C8eZj1}Fn*zptBBsxK~`Yd{H^060a(;CLb@;SA!`NT_e`Q$Y%pYybS7bPo0 z&^|w_A9aUZxBo`9c~b8U+V!RH9G{%@O<#7zXEuK$K2x7Yd?u4aoz_mwIS?F^am42_ zU|HMAL77Zu%gWIwm&LR(=#i)CT={3CxiY3Q$nB@bv?bR=yfjH0!?ZEPy%ao^tt-Fx zlmu}ip2j(AdLzajMn@*Bdiha*nNvzLQm%1&Dah0VB{3WBnj>q&r3dJ2xV)yZ$N9c4)&5FUW*{IQSpVJ%m#qYTXiR+f=R}j-yK*9jtf&#B4+9 zhtI-c%Bkk_Vpv9}D5Knzb7$_QaYY7T*>KCiEE@qCu%)2ef!vz+Y2!mcR(>yQu)!hE zx~~*(MZ5s%AvsBqS1k!HTf_;Fp03L#uR0q)(dlrW=f8=vYDCjOUXA#C8K#+4pjoa4 z<9zSj8;{78VN?#5oOf!qN?b3;6nRZ!itAZ%V$t=BqJskRrt`XbnA)kDz_8BFZdTR{ z=sw&956Qoq^km{9JJBiW(6TGoHa6XPZW( zCQN4@xq!y>zSxHwtEe%{OsFHk7m28wR zKstS% z6YIWc9~I8fq!b{_)qLu;WC0<%X$80j%NMYkEFeTGtpMj4`T|yx1%ybY72ujaU%+y* zfDoy)0-W;|>kGOT!_DuByG8N!x?C_5!Uem-)owAhi{%~~4Z!^ykfw)bMl~EbG&U|i z<4+MEU2zgDRPi`UnC{VR} zi~yb#hNb0pp2U=`kf^?Gkt{$S!4)?RFl}ye1KSJC+svD#m$F&X^T(IiL^(LkiGqc} z5DbDi$xhao-A%IDcuiQr!pcfP3oAi88wE=rKy5@2v9U_w8z@*Pf`t!YW8<0GnZOo@ zVP?MnKbM&#C=dsLjZA(U7l>e3YQeE+t!mlq1bi4hFsA@4wCYoS+>Kx`sL?bGVy!zi zDd^Ox7M5wzmCPd$fjCOecDMeRBq<~sX==0~SS1RJc%Hj^dd3CP7|d2MDzS+}b!t%4 zbq(Wvm=}`F+~$I~8x#s^6sW3FRrq;+KOSB$ag_zQ z{gh2&P;UV-fB~+U$X@MSqhbhyl`Ivu= mU8RFMt}W(n!)@3sVC^Q-&S0)l)J{Oaes5n#)tO+XP$)vo2`b2 z*-qC(=FIHm!UkDO+2ri=SygC z59z@JVs52MLohZ^`SrSc#U5UJM_$z~*TW}>Nc8&ZglkH+IIjwT>i`Jf2K?>YQ~3M> zx!dyq^o1FGqb%Na|9sc&pJ{;HG>!Yu^V;+Z+5(|aL~3TORIk|qn+kh&K=}DhVI?rr zH`<>Mw6`vuopMZ%Z)}k-VXz+n2E{kIe_K{rjC=DIL33=Tr<{NW;De0(@zbi#?cEc( zN86i$cDqweQ&XFsUUCGOzhNU*VviJzN2u#FPXH`5>ceqUU=;*Z5D{>F)GW!fpSy2; zT`6_>Z1P6Rhvoptoa>47Y+PfDZugy+WTjyoq7&iP|HhX(#RBIh)3&iK17UgaLZ87q*2gv)pKoLZ&Hb_1=eT$Tw5*?hNs z@v_5beRh`gkEZY2;dHevL^UQ#>(_oo+r;?y`7B$*XO00DoL((GSFho#xrq&`z^E;N zDaGu2Ja-%)U%;huA>Zej(*@#A&U0Mb{_&<>;m`6d9(yf&Zdrn?R|fe7PUP#qLu=MU zl3zvoSKH7A56x?~sBdluABdHhYD>|r_&%cYDcG9Y{#>(jTQx2HDpE$RdGTGms#_OsaU~ zu_K#EF@;RwXVY~yR*!9bcI}(*7#j&BG0E1=9V&p5ou~WixquuEH0d|`cddwA?I)vZ z3SjOM!VoY>3~u&K1CD5jLp|()H?(BF=PUK;@xmAWSE;~=#hm`Z@B6)I05piO<7h=tuH z6&v7^MMZ&3$d5i4cd$0@-Lb=cSJaVDW!6jiT~zmM44WpNJp73Rj=`t^j@ zJHL?JkG92Hk`Asd>J=UUD@1!GfCnMlzChHz72JMMjuJaHMCB3|7#S0(X@(Nk&#YGT zz4Yt;KD%R2A}*N7*<|v_b99f+AZU3e{yIeTrj-cXg)6?6u2H)_VUkf%%1?HGJAe-d zKbJkbvWzgL(qSJ?euAARbd0=S!=6L#07+{$&8qvf#*2Z(D{P@KFh4lY2iFS_#kbRdHJzOOn~g>Tqos)b(_W;5c)>d6RLHp0dqo*`vjr)}z z-&^oPva`iJF8@qXI9bpV8z&c3L$jwpXx|4ybP30S(HkOBmrrx76LASa-zN{jz`@qMh>7zB);f< zy$DrC=AcV7Jc_lbcr3;50F6#J-dLm29}62tp^)lXd%MkyL;<$1`MCfyS^a8Wp?0kN zP%4tY4WYzACzT}q%mMb$wSTL!p?-fT-eqJ6sQ4cWEjN-4wQjVdX(>XygP2mRMU(=e3QV>rmc~&wU0l^NEH&0FPN*?P0 zW4>LR{1vZ|H}9lI%kIF3pA%ssG+S@aVUDBx zYG|_YePM_}v^zHjfB~h>Q68Amla!=kA5Scp*T1K`z*ub=nkMla32m-=;<;dhZ*uJS zcJBW0_9n{YhOd<;vlCLnI5IeeF_ePT^^g^H@Iiyp86Ex~f6{@S`43p!<$}ZUQowlR zJmToa80x~3J)$oH&yq4{4+Ay3!yQY+5jo?lILsOvHP7GN)#Y$`? za>RZ&Y#y;LeH=9lYz_C#|EODTXwvr z4p3$H`fNjQuuAg!x&tb(Ii7bYE!a z=QCv2vwmNe&7jVg!Wzg}PCN#cqY+-2)M!Arg&*|!rx&=FSd8ce;Vd7Rp!?jcW%4(t zbEyU&^5*Nh;r!{0!6|?G)POG5DbUa!4S>EGGGG0;uAKcItRmRKQ68C7&0pXs%O%|U z^+R+o{k?AY=FmFDEY$Am???menL%P4ndpcJl9|zW0WOx4b=u@E$rP(Nr=0nmxB(U< z{KW+M!N(Bjvt~^2iKlLxw8XaJK!l*^F}7VlANyIq#s7ft?<88eYuGZ0N3WB^mCm$- zWY7nf^1)^p#DgL6*uYyp$gBE8Z3!=IK)Rsx2Uronw;b1}rQ{)uzy5)K>F7Zgc$Gx; z!ky&&vu%a{(kVIPe*5>A!nS|H#Ur3y57q)rm-L}!jGJZd`j(;3B^I(}V=4he!pAn6 zH3GR*QReTzCeJnmcNh+oq}ES1irxtk>MPReN4~h=To^W4f$0u*i*V>+UB1)JgPI{- z?9)MJEXeVGHWlzUy6i&UbHsi1Jg+k}C5>8NC;$Uw`^sEte}oP&`qKa^@dtnc_}B3R z(d%r?M#ok3^EJM`CmeYt%L&WbXTY+409yH-ve6sHv?ViMeKvnF_Ga%rdn8P$H@HWAHBeM@_qRC1Wem2~^8QA1y4@%l>6m z#FJu%#NBk4H##T$>RYhm+qIDj=Jc4v_Mv`Mz2a}(^proGbC`7%6&1ySH-ruhX8oA; zX1u$r#!BV1-YSVn2^p;X`VGEg#>=P4vgJ;1n&w#*a+@kQVh5RFYz&C4p`ovp;37jO z=)tw47dHskZ|Z0_q9x%yC4c9jG%&f;u_575YAvhS*w%GDo}FqyDFtKhC%IT)@!sHu z^4&*juz2mN_iU;kxNJA0?Qrx-X$cKeZeH3?b}#x#jbJe@s%30UKwHJoib!hMaqX&9 zeY_SdTNHI-&8jQh-~zZ&5r)80mTjjSs`9k{oB6k~#rIN1IQ{nxuX^HS z-}ZSb+@i@_z6W5^pxg5CxN2vB8@WZ7d8O?-(YlKGe1h29+V0I(t_WZERmfOtoRv#y zT%;UaMD9K;xS>1TEJ7~25)bRR*wdQeB{xEHHaz*6Jy=z5tyb>QKV zju7|1acmRQt0YRku`=V_3vFuSHPByA*4UU~h!e$`Z3UcQ6dYCD=ri8k*g1>_yO0Jf zkN9a}X>8EZfVJq+r+7?uLAhaEJ7_jg*#Xuy9{=ZA80Trm_ZgU5W-S z?x{4R*V8wHc7l9Pw9V8vN>(-{LvGMtU#--uPQ)UD`BO5T%ANZHzN`dH0IJED*td3_xZTvL*I(vGHRt|t9_{^E zyH>ewC#}tM2Ssi{(jQ?c4!aThAzbT!Y4=&VHPT%&WTtRN%%MRnTRJ+po|Wx?aL zx|Q1xqCrH(bJkB*g3<>F|t5fj*>S9G+P@iU%RWOK-;bZ|{H!4xRWO7RzrMjgLRwl7e3u7FSk zckfFzBO~I%$LAj;q^o=Rtw+70ba)H<7hY&6o)S?|0!tovIj4gYqYKhcmUcx44#3@=XQBB6Ga~RXp#@{%7{G#F=u%3sE z)ZSD?Kt@adyoK}2!o(RfB)W9U zjH)z`EHFm1)<@Y6HgSAU@kXfMBhn0lgA7@suJoc2%PTjlMY(-%iJNz~a-LhMascgI z&JSQnEM7tpYnx+-1$Y{^KTZGoo$Vwm5_eqMM2GN4Jh>b0ZOlN!Rq9CpqQR+MnKOi~ zDO(c;cc)*Ik$pwa#6i@z*Fk9)#Nlv>FO0Ui(FZ48)?tX#h4w0fcYy>b7v>5(&FcO-VmQ^!X}&PL9cHw~$%P zS4qZOQYeNa$}RrErRDUgAl+?oznPKgY_`8UHpPFs>xM*m+3Z;{7xDilWm{5$(eLw* z;66yooYtJinB6z}*a(yjvS2C_48cN|zeX!MbUCa9%(|9On};mcAGY&*d&l~zP*KhON@K6) zW<-AdK3bh%YnZjt1jSnLEW4i2V;eXzIZntO2Jm!oOAzYzQt8v$&FG~Gr8Er&DIJsFakIe+l^aidKEuy=PIy>c3E(L-5ew{?83B`CrHYF2(wKSw0$5yh0d z{TS8jCJp6Xi?*nk%?+m#B$|o~2%RI!PW8XYudBy{vI~rC_E`UNE?cwdR&SzTlFq)b^;N2Rrot0awX)~R6Dymn4C1> zh38w9XBf2t|61h$L!FKsllz4+W`M3fW(&&;MriyDtC{4UCwZV`y3Bzd=VMij8{Zf27iRPI#P-GyDN_JR?W{actxGR2Ffk1*Irq1l$K5_4+b^XRhA%*N<1bM3VQ zkDvn8_G5u1QV%K*4QX{pG94zVB1Kp+9pKe?4`2`GbxOC$-pAZ|fu#}4Mr>0yMl1?o zbXK|qnOUiT(SpeaP6Io>Az*%s;w6w6Lq2!(q%6gS3RIQ1Mi_31uAtXOE+a^3CIyy} z&1Fr$Wm;y}>p}V9#vTqV47-SLtoEv`vY89F2QhdN#yI+*0jqLuyoDC-&wi!F_`;;1 zy}aF1b%-EVJw5zfQIR{=Q$by7YoEVnRd49Ar!g}?Fb-NHSm4SxCG+R`d;d`%je(@jZ`yT zPh|A7_>cs-o5}Agx#9=!dL8mbtfcT6DqgYd^jgr{+(nE`5#+L{-h@3yD&0BA|mms_@ zd3%-yLv9Pu;EMX4)w0v})2a_@gC9A#N;OSh?e8ZknW0utXer?Ocwrbsj%9~lzhWU0 zzIKXcXBpeU^CJMp%v#!y=9iochtRo__>DKui`N8#e*O)ohB$b-Iun>f+}r{P#5`yo zKZd@KLijXo%o>kRjphJ-a0U9@{BfLJIZOzJsK?H(lt9%g&5d6n>-d9tJf!`@+Ke&j zh;&nWwCYtz5}LQ^(2nve6G|1DbQoMyNvEc0H_p2|yIm^Vx$H$1uv_D*ue;%;Q?jGH zm?)ras6D#XTQVcYL#10ZX3LoHV%lMzeC>)HT z!HnfAXOBmF4}QJk)9$m(N>@72dDM!>$M2O@_wX z8|w}VtF9X^M}}$V)J;cZ9&_50?1;Rw8y;FVsa@x4t=kLEMNQgQhW2X^ChY=UFPuGx zi$|vmIwsXbYe1FTxq3izY}NI&1TLFP8+%9c+W?l@obe z!B(KjAd1hy{Ne5WHA?%cfUvzbKmIOBQ8jdebl2jrPc7qWDuILhp~F4n)IkKI}cjld&Pv~@AqMti_&5zZ5zBwvbYOVAs;I3kp!9pd;LU8Xrq z>H8-U9^51ZUim133>&~UB(d8>#6>x`l<)@rNl9cORXEoE(@^{-ZO>DQTvg?rAtIhR~} ze;dTH$?sE7cv)i|Xo97iubg+hl$jtOPH7Gbd}E<&$AaUAuhCq#q%DYbe+M`%w|0l5 z;zvjG1TS|WCsG>{feXxV3uFbnDJL8H9abCCRI6%c*$`l7NZV_v_sqOfF6p8O5tS=o z8R?blb=UDlu7NqBq-RHuo}+HN4!?cmlxF-GW;BMj#M!T^U1h`lVsQwuBKtTxk+1uJ zq=au|XXvoit0!^t1!lNPR};3^N8>UUqoL7=EmnHW;ok1bqeI8+r{2BpzmI}^TS11` zqD3WI0z$xgmZEz$8{)jYlDl$Ay#-_TB&ZkNnhmzK%#f^^5ktZ0RhMRAoF69#le-i2mQe$JmB$^2&3JpA(>v3&qo>pR`IPg{v z^dWtg$=L%&F7=a1pIFMq3L5)U8kijZXkKF*Tn~Vfs`WZtQ34DmYkhsY|`Incu3ay@JLtQ3Y%r<5fV{IgtlGM zrG)@H3>$e_<%%ctm}qA@z000ilkNkl6Z4p`R*p85&w0)P7n39sbQcpB+vbtmiqr8} zHF&&#vcAC`4Fl@-C$#|T&##V#47{uk3$|C=b)@p_ZN+2nD^Au2vqbTn{X&G%|G}LKR%AmFHRZmXBag2 zgJmlD$qw0rPg9qtE3plpca#I9I9SxouCZVjjP?R5W7w=wrBRlf?UFm9v9Ul4z%G+m z$Y17)XO-LtjHlo(%SMJkd2tG@*(1{li&_aBc%QgVqm3-kw$lTQR4{H#nxxbQwR`-} z4a{d{(RyW$*W5ec;*z2!OtS9@CUO(Bc|1QUEGAb)(87laOP zw?wh0Soq9wo2D+XEU<~qS1ZUpF~{@`3fx;fNWabaKKgf^^}KXyOSl2&ih4(La?RPj z4v8zzq?xb{jn3S`D-^i4%m(io_P&(*%m3j}R@BZ)f^%DhJmEI_zLt2qoQCWqKSMks>_J0!wBK&_9C?~fHU+j*-BH11zx1CLQmhDS%wGuL1i<^4;fdYA9X zANq`cF8w-e*NuJQ8p5}&XZ0IzosOqICr0gFHU5u+m6qiQpXQ|@NmF(7`>NaAJ%tvg z_3yKoMhH6qb}cQl!s;S?dcviak24WO?|IvS?v&V1)K_EmS8_JlS>@h%^?S>5roL4R z>dk9AA}-#j?Q^vr_inze%o}=u*7bHPXIJxz|Cj0Im0tCsP#Y0V$cm?hqncGmE%M4@ zRCfa_TNb9C0GTae0TyP_6GId{g%b%zaSTXggM1O7x|%c_3siDU;szMiORl8q{*}?V zP*C0l)p$S_arjhe6;^mFQGML*HHRa!Kp4R(y&s~Qe8lZD0$?mJGZ~_n>7UGXiOq#&9d-L3izB}ilC=p z)=>jo-Nc2M&|_q@HhQ4sMn#o$C!NStT`AFA{34^?QC6=Qc~7~ZCtcuD4x|`#Bc`CV5_PNgNw?PBc4S*AD8}>zdP0cCs4** ziDY^)?-yxSqQ-o3WH9gh&I;C)EWj)H&V}@C*8&t~!dkJ_2tF+FiaI=ACJUX@>NDaRYpw283 z<084LbX!X1jv#xl#7}v{VGlW?S%?|ej$QEPp04NFEs= zO1qjgrXJb${3kgTlYf!ZHah9*3vZ380ypCz{O`%k*SyCadrhl`cA`-j+7fKOAc%?A z*yO3gkjL>AsD2kZleV+Mc({G~W$*L1Ny3)Z{i+=?F3i%Hhj^T0f2oIML6S>NHLX`8=!MfOJk6Bx$@ON?Q@R>MvYEV@dUR_P?C zKo=WC!bozZ5~SK zVq5jdFRXBTXmM$Vq|+Uz<(H21EU|D;xKs*TFlCK^-)JMBHC*PT8swp zS^0}PS$Ej<;>D*5Ha6MrrnCAj3jrW-mVW>PKU53{d|XXVwekEF#LpWqY6Rohh^gon z{$iUNN{Nh*VO;&j+j3QLmciZ)4xg~`U&JA--J>##4Os*gJTuq`CWYuP<6m+_I1`cg zkQ59_*H#;6D>Yn|z~5Za-*CudH`peZqVkxjQLE zoU~B^^(SHsx$v3KhKTxw^jbX&Az1mY+C_mLnn7+!r9k_xdWnc0x(0nINKt<>$88c! z%SDN-B+gM&<6f+i9%s{ERxVVqQaC6oX#Ujqzc^ZBZ|8>Id6YvEY1z|~{H;4YwvhGB zg^n+rXZE4;%Un4ep=2F5^S5EaCH>zDBU2{D{QF>n>b!w1(4+-QY{0GL*6Y`T$gtQA z;TKK!tvgivSL1^9lQnDi5sBx7_U1-a#virdBx?@%EdoE~)v`~%l5zcqpb)09 z@X;rh&yJ*}R-JZNR>1G?v{SwxlZcO$olbiKQ~Q)fQzSssS~P2qUFY-rA0>jD#Sk12 zgI?%C4a3V?ol<@P${hryuzs6Ce} zDIk9%O7bH1M7dxxEPkMRie8^*fOVMN=b_L13jHUl|NO#H3zEg>AI|CTmZ3&sGvMP7 z^Qd1Y+X+-ecax{f$TEWNTJ%&2{R~=!0L8puu8_6&^WmxCrMZ!M*ALhnH3|6?tKv~p zbDrg9m`Lu>DL^Sh6fD{LT$_;1LK1|eo`5LF+bra}Vd!!)<%gAZN9f7GFO;sJ`{D@E zH&y770!j&qqVK9Nl$(|KpKB6|=MO;8;Vm8F=+3NoD)6Bv+Eu}C0kp3#DS`1%+?-)8 zIFbYBGg2aoClWx8~E}Q3&m|Js1xZ(bowC=irt$W$J=gJ9DHS+oMM*K@b8~> zKK#dp!`$e(GW+M1$e&9NN-ypBsgEt$ZD0!+j|(7H_VvPa0tkWiwXxoRtVFx+M7!?I z{7GAp7m8}9_nJ7gO3fCG;tp4=oZXoElczMh+O>EP;r;3}gGR^kd@w}w4@eiQbGKDT zG={WCdL3cxwM}!v%b}IGZAcSS#{;*EIT$(+N8AXiTmJyxiHIF!T@?!33I5Ov0}8^x zg9gYY8mejiEFV3fvVbtFWxsy;6l5U1h4QXQU6dn%9-{p`JfOk>Op>K?GfG&9m$n@- zSpQv1zM<}M+$dEjX?0I%t2AZ|=Bj}HSk!Dx3WYQIVxzWkxX11~snpz^g+usMH14)u z;U*V=@vx61z;#ug^4x`Q2*pE7T^WJGUj*#dp%+OGB_)~9QIx{9G>>PYrgCz|8|mrU z2s2L`A+A4A_ABl zVG52j7T$*p8fYF;QH4b)RDB+g_Mzr+-b=Mg4hu10yjk&xZ6X^n)Z8cG#Uj8=m$~_O znvsdxj4MnX2hn?$zHOYTeS&k|vODeo6FP83pL*)~DfUv7-oYG9Ql{aa*9nLp#?ddP zbB&qFRNThL(S5KpD#0a6tuqs1LjdrbVbjShyYsG@nq^}H7c33($K-t+Zmi0f;0wia z31-GBt{Iw=n&+(ZhhezaLiW#@*$R=LcGN5wnW{ms@`TMr29$%q zMg7d7D0SP~;DRC%Ei_Z1q|R|1FBSEPh7+bEF#7M8@Y5&nVR7QMqQeI#2f#;+wO^U5 zK;^+3`FEe`H&c5ZrB;cHsq!W~CBz=lyIA97{x9Mz=DhKj-5QQGT@V4?1Xc}-dddJd zaD4Q9O@`jGGP|Q^?u0k(L_%S6cmdhee93yMD0pCqLw8%lVSSo0*b3W%3NlzqEpeHG zLLxYf%P8`&DNG;qZ&P;nS|MlXf7XQC=aV{#cWf%= zEq%JO8k*4P%+x(nltCq5k0uG9Kn3?QLwT+W{6>WzEUbc~*~`kWpbye#L#-pGit8}u z3MGV%n+I!tz&~Q5a2FmeuKn-as|t1fYL|#Sl3@u*k|q+3OT4ff^J3yc`$MSXD*8!F zp^z+Zcr*{DVLr*c4RB_V&m=x0_ob3Tj>@NuBl#nY8pw}HSZDR;Gcmp$5j9PW9|OC= z712o!MbBkc^9X7V^xN2toRwvC87Jx_7_tBGR94-nFJe$m&XPiUoj0k8Sdj?R7Xpt= zQihs?dvukjGrKKuYcjSVijqe-RdET~+LexPGPsVn_gLA&3ZQYAYcxopHS6_8H*tyT z9z#-<-wjn!tB8^dP2#5UXXQ4Y#>CaF1co&xLqCuvb#CEgv($m=0`&`9GR-=hUvDre zEb?KuKQ2F$)@YdH^v^MSYsYLZ+4M$#UoN?<>?rqOva07`cZ8xgD!TH_Y!kf^ zj$IwuG|q&6B7m92^a$GIhMe7Y()&G1S%C$t&J*>mxZQIuvhb3CN^F6{A}%Rd%IZHQ zIdYh+V)@qK?DVo?Pt;>AMX&VQhW0#vD6_)`HOe3ee1YfmEn}U z5u^`}t587OzQGZKVDrZcgDie!({Q&A3#6K-^IQ-G;H(&n%76${)g`pEsZ^ZPl>c{u zgjx#&Cyy=foiSFoozCO;Tw++maf?NSY-_Mqqg)aW)p$atF&P}r)F_h(<7E?BeG^@ZE&45#c(DWSn%0Zo^G5c8i;?a z<2cY~N>LUM8302XgL+>q-mzb87HDme`a-D0c~bfm}@#AX~k z-UKeG=7SGk6#gT6QtavPgO(o*2#ao@>IpXkpx}|U3#hgnMUA(R!q7{y?9mK?+?&WI zV7g|fD{&<5^EaTuroFlu+m$D%tJb`Bm_RN%(u-yugU9z}kpJQ4i6uTk_3U}z@CHSA zXGdnO5v$H(FSI^xPDs|Tw;sKO7zpr6;!0p;a9nZ5{An6Ml*BB-&?p7xHkng_CSouK zKrf#Hm1G2I$@;EL)G8)6*H4CEf9JMw`Pkm4r0gS&aEIiIs$k>@Cx+xeSbq^*r6v5B7Qi>2Y*L$C z93I{UkMTx22g3{)wo#Z3>a{^{SxRgGoQR1?qugbA;DaD6IQmm#6zMa~4xr*tCa@KG z?1n*0O68zc+drAdqitgvf1fabmAe256ghp+eqo4vVapbI%3+pwmjp~6FzBmjbeF!D za}cE@?7h%b3`u)cjSy^0MfeUxl%B4KE=ytMIP#ahC1I~^hlB=UOiiHFx##u)a?Py@ z8le_;gB-4;J!Wh&A$cT^RQkmh3~e_U6h6l8)jXij5s6_HBqC;u{qPNL2CCP{RJKEz zZl7*d>b$Ib8Guw!x1X#n7@8``j%ku7sEei2VIfJxI2kRJxzy>c2*_? z;Z_$<=-`%TdmOXqzGA9KuQ%*zdo}SCy z*n^DTf;8+9vZh6f$zGyVO9ZBjNI^ZMYdFpw*T;Bpq_X)HCu1COULEe<@xch11*{9! zOwuVJ+QS~>1m@S=Az;SX>bQEQvVba#{*&EV`&l*!b3RG5dYsR#R1KHszq-`1`1z^c8c`lQx*RD)$v+)= z!^YJgUR(RmyYH-YpTCN*onpBuT`WaeHfWi((6g1T-ij$JQ~|9U7-i^Y`(D99NA8ze zw(yuzy@pyrf!=uN{Db?Y5PK8NcEp05ub4%WrE)6tl*TCB0%Ht* z|H8C@-&w8SslBF2!Bh^q-+Cg`?LPmT#OgJJjJ?+A97+Mg;#l!T`5T*_z{9T#n`~*c z8Be4Cj|Y5jGZg@_x7)nmBlZr@WZy^LQ!LtjV<3&)x6rKd58e@S!Wz7oF5bK}+2HCh z#_2DX)#C#5W0n|PJc=Z7Z!km-FOG6MP&j_o*PBHK3-}(n1{2zW(sA|-Iw^fNY3CT8 zDFlSV2~7Q^4bzh#hxEXpi=x1v|>0)&0jNL&5z84863texoT)7c0xzqEW^9%$Qi22!^ep#NUDFv_rr}{zK@wH zOcJ@O5mQukIRB0j!K7VUeAk)-25nckptI+I{tf`rt`{C#hZ%Pq+qeODY+Jy9I~M9H zv;JMYNe?rW1* zJY=&l@(t$5srAajj`^C{99SJ8_8Gp>>s!3-da6}PX~~gukdM{Awo`3t3tNpiZjJ|X_{83EzYW~ z>T3y(KSqS-t?5ueFPs;on;eh$I5C;%JG& zSbeTaN=O8|uYE^I^Fix4eTmC%kzKi%s@@Pu9c7+Q?I|wp#?K)^Co{@P(O|fTIw*jp ze=*GY?+FK8-jbj;48^&`Z@U>fdx(a5_&2A|buSkIaMUtc`=+-uCy@W&Juv4`7$*nUFMsIYO!$CZ6UHJ9OTs)?*&Tn{opJkkbh=AVL+% zI4k9ry4`{Kxp-_J4H8{pbVb9QaGuW2dscLEy8)ZpWShih2k(t#f=j_f)1gkIPif}n zcO6W}GnlG74U%}mB(P-4Mc3oAV(l2p&$3`&dc?Db&pu_HalSSvx%l*z4(q~}Kvo$L z?MlFS=N0!yUJhEqO-!T^aMo#3%48#3hlAfl(1fDZL&Mpg!NTYe|MgpJ`xrGd;(@># z^AL*8*4>J0Ft>@hL)OM7@gosc!1-yx1alQSa{}jSfs3N!%ROnDOCVw*+mmR8{ADHu zDIVO4`q>!8s?oLR;Bfjgo+dVf?VS-OZ^ElhWwLlhvf*dd*N;;LKq;yEsf!tt-y7WM z>GeoemN-ieSf!ktzs5R!w1_#}#+Z{afh24#uA!Pf*oFJ{d4!)5sN_> zOm%fwPW3={PHos#Kp-dSA7tUIzkwkk;^1(0^q(MBw%I*f2)ZnM^-ozpvnFQo#PA?j z7u%S;;e}e2hnmgGt+wu9pw0fCLoNkMIk%!h0i*faJIvuNr|V)16)Nhfmb)?;lEkn` zp1Iey-wo&)WtPRY3%C{~*wcr8z|(IOg6I#Nl=a~PUXJn$K!pS|VE|&T^E)q|h|nNg zd4Zx@N#(p;Kc9qqR)qo(h+Xy=HQeYoBJK3Y@E&+o>k_MvzW<`a9wGb%F$@~I6J0Sq z0;DjHcD70|g44%!?J&RkXp3UQKznM>w8N0A38N$lWrbq$`8fAZdQ{x)Ll4x5Hj9hH z6@I2+Zv{zmuYLQ#ac($KoHbmwoXK6uSZWOm&cqEIE~+}Ib4aq;#W83u)u z1eh+TVJEz=$&#E=othr58KloH6RUQi1nN0@ey}2&auKf8PzYzHSCXm-*R`Fkziw!t a diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index 1fbf81c8b2f9b5fe6653920b5d829c0252639016..c2521e64618302b341163e354baf2d64a8b556cb 100755 GIT binary patch literal 9033 zcmeHthf~vCyX`Ll0VyKVK|w{LgkAy)Qba)m2}(diCxEmBgMw5kv4F1#qC^A|ni!DK zYv>T9DJTepA|eEl78DQ+(!>+r_dDO5Gjs3#1MYm2ne5*rdq0!C_j;bS)-w?S0H9a^ z#CZ?oyi7Uc70-Y`Uw`~zO>H#|HO<4OLB4)h4{K>_9oEoQ*VIzi($LgCY~vXa?Carq z_>!9k?y%-z8;iqePxr%SSAB1CR$@Q@2?qe&NB$lFJMMo*xWYeUDClcCZ@O(q#eHu# z>r3ZAff9%lv-hL}d-o1%&)qvEkXI7@l*(FM40FNyA%fI6?Zn`g<-C_%0%=L1i<7d=0(u9h-fWhbep!|-TswKPj{&_xvm=$gKYs-R9Jj7$=0j=U7WYKpn@o)=(ozXhZ8SK zzjvb}Ln0hJUOVD*w9d&BwTF|xlSc3BsKHL>^yvyT9~BR*&<)Gd9PM9wm+0fFpH(TdfTcD|mwPWF#cU zKwJE`l4ORPs?y<+!23zcGw%7TC@x@&^whR zM<%g(rOmH@#xCGI3DpSJH3Axe4I;phtRMm!i4`IQQ7qRiLN;N9l)oRsf@V7&)ogTr z*Ix_o|52?$w}QZ=m{CLrVGI{BT#ji^bdbk*EX1va?_>Hd3^Wcr)w|pYtSrf}zsm`!LSmlda6|R`e_gt>kPJ1X_&ta@V*$NwTRdwdv2vfugo_{f45tjRHROQ@zXl%d7W>fw8V?CBd z13B!Bc-!b9y-N#u$Ap&e)H~x+t-eX$85GI02?55vP|iR-iD3vBBC+y>UkH{2fsDYq z67C)qANtGTLb@K86FMbHuWDRZZ_p~U?EzO=(BTaHs*9vBfkr4 zd2Ky~513H>Pj^~bCc!DhR+dtvn4p~L_46c=SOneNf_$Opee${MX;&07^rak+_j|fg zY(~K8{;2a@2XUwruu~EBWa)#jupG%PjZ%-YAaDl$%n1q8ol(?ZD@VtFyl+0LDwo(b zyx?_D5}bYwb(||5i<$$a7gDKdBTA$CcdcqVXM^_Pdl}6Gjrtyod$eCk0{Ub|8sJT~ z)guhTV6ZiP0mt7tgTjC*8?lo#k*$i1eOOqxk-)a&^Ts5y75G%^zjK1KCXcb zLh3pf=aSdwP#G81sReVa$} zkh}RPSuYmT{CG5FYLUPG74=0~5V!LbV+KHz8Bc)wB*tZ61Pg^4mmfT*J`}tEvgB;g zN!t3$!D7vs(zbU(V`xgp^qEn8di+W_fvh90AU1RM`g7BH4bywu?zk-9gcZ~co3+R5 z$wyB+_$AlC6dHw?`-o!#n2W^vL(EAC+Zbp_V>kmLWX2NEKw@M7O$e+o;SiGLLfA%P zB?%b_mJxv$iS;IYL$W}G2}m^;J(zS9WRW}BoqG$&)S;>YWGwVkw-Jz-%FqQI(->{Q z#Z<;HK=y^^&9^(5{k3~m9`!@h&(P|nqusgZ<1ra#ZfX7x$U@bn7an%KEMvz@PeB0L zG{zv%m&WJ>7RiiCpbrO~5ZmUMx^XgEw)nkl@8! zWwCjvl~Qmc9)$xJl~BcYlmUM-!vRnxGh_k(GzJzZOl6RPWh``03zQxQVeg~g;sh(@ zF1rI~?~%fwGJt0~)LlS6l@S2+_(1pkHllYys#WMH&a7zel?QNDq4%v6Bkqm26n(G? z7L^4S9I)k&+Dqs_U=PzJIkVLFz3-(M@oo%I#<*16QNMs#%anENHzTV+rA5lRF1K-+ zioh`bxp`zvYw5yG0T{G#d05LS-&%l)bJ2d~U(PmkzD*Lw$Ps10E0uZfE5z>aYv}wG z{a5>U(nm=gnC_1V%HH28T)Szu9Vhv)tFCOQGyb|1y%aJekzLK%{*cBGYrd!xv`%c2g##A+S1hJ7h=bxy>|?Ln`A0;lY6TTA8AW z_Cj21;(ZcDDM00G`3;A*LMIx}^LmYO?!x*#&*h4u6Ah(Z-QT`$%Ns3tuKfDrA(N%Q z&IIw!8+GJh0TSuyj@4wbj=jy>s`ASpxE;0MFG%Dayy97F7Q;L~<<+<@?ps$cO>-cL zSaC~vqErDdU1~Y-0*QqX>~+MKE5vdf!2H_p*Z4!ixM{J23&W!h_($YA4mf(AcDdp5 zrQL7@8icJ(*C1lKG*aNdz$g>X;_pO>kA?lhN zb<%DIgbpN2fN&d9Ek!>7f$=eIhz?>H>4iAmwyNyfA(s)!fr@)C}HD1e_Ctk1MamW&0B-wR;mwLt)x`aW#~`0NDO{J zIgN1(SWacc0flLd2EgA3T2lJGP6rjZ4_kDn`0~O{oL^*90vTQPCqstHtMjC%7J*U{ zLk7Tc^1>qQesPCRX@DyC?*lX!%3yJMa>e6X>IcW|xGL`7$)nIota+^*od?1`O|OJh z8_^*U_CdN0Db5BTs$MTb)6L^7*=Ea+pDu{x&`NBv>RY}nAoMLomv?lGBF7s#N}+*A zJ1KIYc@GpBe6!zH%p$H0f$%t*Yg@CjddAPt(qlxbQ8X@Sc<1loFm=gpFpXQgX0B&lI2EA^1y2z9{hG`Q1B(Te>ppPZ}Z);d=+0 z2dFQ~gNmf5asf#)LlZcj%1{I(QyJfZ<0M85V5pP)?5tolUY>DspX1B^1qnvJ=P^H3 zf#un`=~<_5$^%Ck9O7t;UvUMz(-;fDCj`rnpp7ihrVBvWU=wemz9`d=$l|$P56{?h zy(G`QBEfeha#PGN1Hm~l<(Y%yPLxQ+u#0WOH@xl{0%|%`QP3kjssNy-NfiYiX;L48 z)L>K((4%47aN>ZBZQ@zE%*0|G2j-@^%X)DQ-6gfBOgXpwWol-$ek^|F0^ux@1ts7R zEDge01j~XTi)39V{6ezy39<;*VFDL|6;5zOuuKS%I^xOlpD>1vdmZ%#7bKp_n;H7` zTz?Ke7(>4H=O$Kb+!ps~ziI;3b*LXfO9Qsh+3M8U%Ox>YAA}qm1~^%{RWGX7#F=Wj zatnhyul?#4Xi1Oi36qCPc;8HS_bNgSpf z9--BKu;Bc5KDGu;PETQ61`|jO7i8`wj)5`S#Bec=Xc{~4M?Ud0rHNzQ7zJdlc(G7f1-uEg@(fH&B2ZuwTS+Npe<@fFk2WR3;-tnEBNHd4iy)nhQUiaF7 zC>WIn6eF?u0Bjn8#e=%vA+aav7lIeyN&!a42N26B#~@A$mAeY{YjKwc_C5I`cZS|%STBrqfOY2)P0(uIk0?@g

KN|r56g*zxmv1=)T{Y0+r?P=wafCQc9%kNEFFUSbBe%N{I zvLrqcKL2qiqQ&$_a&^g_rFzf_Y4ICP@MvT0g3bbiYGaaJcB)9{GLXiY$^4?Rvds|d z=_7pmqOj+4{pm{%x*DvsXK9SZnM+DsleLVjvX|LctqP%yak~AwDgGhFHkqVK79Qwf znWRz-TEL?*L=vi6bHy-Ln0SXkm7*VBrA(JfJ(I>JH8oH zrF(ShLt?l2$>iBLml zk^sedS@2sgmCowo?4)rr14ig)yrWGhw#(R zPU-#F#n*X%({AFfqEUHmiLYDTT7}Gt^k^ICevh8m{fnyc?Ygq>a+y%itu6MgG*o+Z z{@$(8fVLVsvQVFAf2^y66iDQ~aec%#RQTQw3wjI?vCMOX;OjApl2}zgP-T{Yg03V$f)5 zNnf!2fSY@3Y~!pb9;SCd4nqkuo@p2?vsTGM(~IxEr}>VFh(0!@nSN@4sagHh^cM6E zsaBWS9qk=pzjY>HaoQU2V5;<=+q{tGKxMDl-Lhd#ByPB8^+BT4qpHMEG1t26y&Ls8 z!45osmwh;#p7l-<JC3>^Y+h@)1kS3wG z;%4{nG)z+M7I0=|KwgiZ39@7Vi zS!jHTLxp`?8U{2Ln{Z}C2*ztXST!-Iu*dwqYKN0Od!Nuh9(2=Ums+{`x3rsm8> zRV0JWYd(gAX{(3)5uRvW+sd^xbaYnbV&!cu`;bX3hGD=-DkI_`2lPuGL78er-CLmO{qiU(vGB5s0 z90>U*OHGz#$-?no)fsqM+M?Rk$HGONEZSJ&43hXRCn?H>k0YAiqle)8* zxP#-UWeW)&Qi`<)Co9=br|3iRsCb1+=$mg44;P7Uf`_95#>>t zFu3CJ17QEM*2KGi2u~x0EE9G#e#l<^$zhSGC*7he33V63KB4KCe#Ri8^n~NINY+JJ z22`_+Ttz(VJBbSkGt0{ZTuogTVjG5{-rpc{Hl`D z+Ye?f4qG+)kKFp_iGShTf$ZJ74!7p$#p!{?u4$SzwQ&7mm#6QkKG1*Rw7X;oW!)fs zRlY>w(sSZ^+iq}h6`YO_Y<@ND?9#s87L1H)dD8vrXXSF~88;Pm&d9p4@piS{5f#-E z*Z2KWSmd%tGj-)s#Up)Zr^cr<|6mv{xGlg?jAAnm8PV;v^@qd;89v7Ts|!@fvnVTh z70dt}&M}k=l!*~JYrYy;I5Of1?E5hvaYHd(-f(34IrIUZXtQTv5y8y|#U_X8O1Hyp zzur@H%nZz$G`wOU8rQ^YPHN|rB(%^C88-uu>Ej{~W5fkZTK*#-UU22$t2#1u)?FRk zsvC;>16g=V5y{+X^C|y)!PkYzw?;_KzeWg))&d_0UYPa|>NS}}5ya*j&oXmP7aZPV z2k?AxRKmLDZJdB;eXgi9<<-or-$~k)1ph3pDk*fWIou!qJo<6CSI3`1B&-Ge3Y%GM zQ_uLXMuk8f4H)fAurZd|)>Vewq|l-D!wAEn5_L<7w_->X(2jS<*E$QeU(sv{?2j(` zyD^lpu0dJ79|=0>U`aZRIq;8A6SA$>@qfnNBD#vC8@K~O`tfa0ae043trHc{IU@;V zLMO=xS!e~z3)%nm4s3mw4ngox&?O!wk+_Y`!)V{4R)^Fn2#z7xKN8fd(%OOy6$yYeBva~ zLuMt&LG4uV+~a;vhs#b&N}=j>C@ez_mjw|Rf9o!xW7R``nD7X2<3NWT49iYQY_W$4 z3E}<3>)Xqy$7pSo1zLr-+$nyBTp|*4H!HHh|7?s0mg?p+211(@TxXE1iY08**|)5< zDB!ch83%p@sf7?YzgA$t#0uk@_S%$5pz!T#g+p0oedO4Z)1-&VWi{mf`op9XKp@#f zG4EwSVn%O9!2d%m_|YvIXD44X4B}&0w3e%ZJD{p|gfTidGy1GGb8@u%kqi=E(*yI( zMl5dxU?(@WXr&#xT0s;}W~K?YGxNWRSv41r9*oz>%W(+b`SPtwtESRE!O)5-b}sQX za88QU%?yg7br21i1Oo;jq@<3Z`3@V0r4HI;A-;Xnrq zFCi~LI@=s?$u4wq!JNR*Vl9eb|2=cAI?v_+%vqJ8v7Tbb)%pGUXD?Nyzw;}l;^9%j z%w+$#qOHxsoq+7_CEvo|=IEFKhHH<%8rsrk_`|;4E@s(4alLPNQ#IroJRqF^L1IXl z`pDcfjuf==%S7>x9J`*#(fRK12X@Og=~eKzBhufCQk*N?XB3XI1}<^PqaaBz5z${^ zKvyTSh;A5P=-9+Hqq=qu)ZRFkFFj%8-Ms{-FGYriNtgb~%feL#caq|_4Q&d3hubNi zRu=SLfqpUz9+g*ldCyNu^#qJEKa(7CMK>Wb4IQ?06svuFD@Yh~xJmfqxZgBw2u^2@ zNhhxpl{lh3^?V=aL9xQK?-5_8nmpVt{ z_J&4pcrRSv-{pJZ@_Coie|({gl=8px(D2XE@+J<%fAv^01ZV)$YZ+ z5eF3g#hlYtHY>=@sfMG(+WhFwR8pqY+e}a~S{%p&F15N=I5LugS%$dO_7W?0#5Ged zbvOFDDY}vFSRs2DN!8l&BTtUpklIWGqNq524y2Tkok<27!7U7_@*fe@{|6` zO{pq9ZOF%T%(ITUVCPj$M!LQ>ueQv)bO$U3poc^%2>(I8uOhavp+>(CqiKpANh4bp9P4&z!?oe zT-eTZuiRHo5_5iK86-xV2~K}sX~y(K*I!;q##@p4UAiqOUs<`{1?KwHBu^fK22iBw z^ZuhSO|%SP#)^3RA>P}HA!dw}B>w?T3p|m^HuX&#PViV}$~FgmYAew9Gj6d+l7W0` zgLjqm9S>gW)k4Ue!rrzNvb6;IdQN8eD18fB1o~Ruz;xc8n{T*o{S=!!rF8Q*Y1xBJ zu9b=au?B5w@+&5Jw~hi&ghtOaPZ3eUgiji)Fw3P$wmBK$YT6Q+Yc%R1+;THjcv^h% zE~Ov4gcohx8n*&V24R}<)>71m4$vh6t137ybr@C0lk6y{p%9beDJX#<B_rO zIp3`NVS~XZKj?P4?W1SPEK75;q-+YBQ19FzA)y{Kn6#^bNEfvUI~4}+(oB=_bN zDgUd$*LX024CezU!aYR-@qHKz&>tmr@zn_WR^9`^3GBPVM2}*!{-0=oK%Dmlp}qGk z-VE6;iP%7QYD#cL4m)yu6eXRq)9h+V#6^Vn{O=$>*&|Ub#Gsvxei8)6&`H| z2eFc8zhL@l%;~q^g2_RD&pOd)pC(ug&^Q+O5mN8?f%cThA)~DgO7u30m6|T`Mv^MD z=BlRkOn8uIoqS`L2WlbsTatB0%O|PTKeQmvbKdagAmu`E7l?cXjVA()NW=7?A!uIN z_Xqko-iTm1_zxNW4XdhTwu`GxzjM0*97d zS4X2~K+M{yoU)uMu>U6fkLTyPKwO1cJhgmlnN^EQ?SY$L8^Q2C_OUEgEBrhiiMDSe z^&t;#u`J^aZuTZ3xn>Q%9nat&H+R08$;|A7F+Se}i3s|uY`&p1lm&8(7s3y*XAu&B zzi0~&^;(m)fG|3pCoLo-cSbxUv$1&Q#_Zi9Rg5qQ9Owbso4 zWM3$}Z*t}z#bG0`0_{GstuqC*5L-Fpxcp2YU@DcE!=)T#+tZUGmi3#UtZ$ZAru)J` z0oVHkAuZ3$cW}WpgkFnBKMzAJ;KlRksS)qwW5&2loh7v@I+d|uG(E7s z{!L}9z9>!lM9Ttomh_%1r9=NzuHN@X0TW2_maIAtvyOCF$7AlUOT{Trh5LzQAv(Ib zrRdQ~CaHwh6pAwmkxm78Clnl&jALn4Z1vQ@C{Xw?`VXS-y%Px}-E0sDZ?}lD=E=Gx zZ#%Y2i^6gNSDHj#yu*(VAI7OPU4wIyZkv&CBXm5-6z1a@G6|`)d6jl}*2#me&@RJ! ze_v2BukjC4^vRhdnG_|x6q3mSCj6A$3JoN9am+!9m@%RWA7%i2&+$;tv%`v}JC$gZ z8_!&$qQ&V_iZ6oDaMB>pHwrDCTC~W4BYe`8i`h@CSKe{PVm0CIM;-!V9vT7AI7Z!p z$L^i0opVlZ=+}1Z-G{XV61O59O8yY7<1!a(TV4Np3n5+dWpwkut^^Y?E<9bVbYI(i z>0qT=4UAZby5@kwbD@+78yYlBl~2`QGDP@!1ep_6T1g@#gB@)0stO+fi~8Z&a(g8& zmtO94rq$9ri-9N_IbzB+68w3^;hw(2gAy&g6-G3WBtyZqBjluqVs&eH(dTVLwfPT6 zBA?WvhH1lUVU;nzPGXj930YM&+4JWZe)mf1jmEg|xv2nwX$xQBb)0;7`bPzKNfb={ z4C1u|PR(FtGBi*|b|D{Awep1e%+WewxH=bqhC~*o+7-9ey;w(1;T9!L*Ghj%8 zW`q-ZJT|<4e?uOrk=I#}3h)iE(T7>iJGPVyfkEKi1Xo|2ZrpyYJRQ4%h zp4#kk?kF6qlaL17BK~#wYAE9ZZ9<`RL!?Hwf(vGmx2?WgIf5+SdToP3 zYMaP-qfxOr_gc3#WH~ zqIe&ANMYqfbQcN-TU9!Sjyg#C?_j(L@xa&2@(d0N`CmfhVY6r?Q8N=JXbAZ}snyY> z?0y^BYGZxmK>B8ku{Yi1o`!;c8ZB03xY8xmwbPdHtf? zCC8}7T33oNz8>ULU;0dC?iS7$*jf>#WM!+DY4iai-d0srSf`}n(3?nG;Eq*DEgf+R zmxUK@k4FEVBO#AvPuv{YpFl+HyvO=?)$mE`ytKBMw{K9l@Pe@&kD@(U0Uy5Lep(VZ zR76P(j`^yJ-?+!}@-2Zr;s<>FN6^-T{Anac8tw~Y;e`+Lit18vX>DnC@z_?LJq04v z{WgXRw95&;o0d!=)S`4aTBkSnr_6%olBWYXchdo{M3B@JrTvZ8Hf9%Q(OcACE%`U7 zTkT4r%kwG6ZX+V*^5n#Kg)=h!@SSFiU>OSq%O#khZtZr^#s6l z!7mj47qta!1^31bLmNb=Hvq%qq4(-76QVENWkCCfIC;FY{XA*Ttz{gVf7R~7ayq*F zoR>Dqp~`9i^989Yhj||!-?zKEd%_}-C%P`mTly(dIc#bl8vltz6An`o`5<*f*Ld{R zpioy4)rdDmj#O&e^^8yudJw5`*0vL7X&gI_UcVIs!Yt|irr1#s2S6IexP_pD0hWl= z6M?XPIee7H=`UkY=xa*;srq9IvMlpm2h&kyM>$w+8{a$#jq!p|0UbMbECAo=j%iWp zAbmJ%?DH}kUAI4Bj9kWre!D;xJ5QJR8@-7i*p8qs)UAZJR|mUOqb9eNbJk4ly=T zjXl4v6fK796D)7|e4@y>DRW!7ZXV>6fXb6;Tma5Ju<4kGFcCIj4DjzBkYQT=q`C@3 zY;1~EXAhC{D+B8D|B;5~RuUIlPuy<#6f!?LhriD^TX(sKD^>bCz4x|f0uW{Hod}66 zemyU+uvcQd-oZD{evy8=RY?tK^2Bo*Y^La>NN=h%VtDYb`|#Aeh+}cF7JNjWHM11O z4sy5;qoP7v!oR%S2KAuBxJ3WSRb%dU?UvBtuzl=;+XJq@aG2Ydp{G@jYR#TA&>IU& zBJfK?2X=`Kv5>LTSWF7lh zuMwfgg1m+O>eysnoklE#ZO_v<(-VtZtG}2u%|-|~lUBk(D670nbt3WrDM0B+XBG|u zT~Bta@F*cdGF7ql)-+oL*{#C&c1}f|`un5a-&u_Gz{~z2|LrGxTtPae-M#M&+<`I# z8%8)+IFhQBZ|orPiFW&e3OYDjQeu5mG3Ad4)3J!6%n$py7hElu8o|lee_9-qFzusg z6a9c5-=jx_XK#JgQ%o`P z@hd{Hto6F6q)>~dLFhtP4&#VNPlCX^%bj@@|BSO(KbMS+Z3prgN%ilH<6qyTx8mD( z`1^E_qR9NkM7*6#vDje6+mdmhtfW;Jt%1W?zRJ+om=6Kj!h;#QOG+$c!wZwtgwDj> z@<<|9zf7Zw0V&R#%Yy_hv_-fV0t;-0U!cV_dA=dkY)Q|Q{+&U5q^ED_cpmU*5ZAv0 zZJ#&2LmsoGUEibCD4xedn6g$Ht}At3j`nImPSp+(*FOZlr|PSW84=?TQviH&7~uKG z37=i`5B@a5mTz__o9Wl#&mo9b$#Y)sAHpwvsot*Np)2)Uh zw4UnlW@s4k9K941{`dqp8MuUqyppT=tT0*StalsK9)Y5pA;-|0Mf49j@IxLq_|umK zQ>LJ~hHx50v#!$Nmw`hrUi#n4FHq@ZzU&tbd71@TB~zVF*zSW2(q(Q^Y%SCVyi3y=4oClD=_j4a01) zaLP|7!Qq6<*y|evr1-H%`kG9ep-`1JKOk;W%^B)g*iDO;9RI||P3#O6_{|OTq3u2$ z#^@m}*cFU;;MH(kbTV0MGcUlx5S^B689u(sjvi;u)QN*x+y+k8d(B`Zlyq2rS zii)?DQ<@T3mm@?jeNdvy$DEO*6zM%-dW*e^Um7j8Txm>2- z%3FQ)Y)_TLTg`0tGVNjo0gJtsrQ!n$d*BwB@?PNZ?W#Ofv8@F?lm))90)8=KVQw4BRfQ5;lHDyp4Vc}s2 zNmK)>FpN=+F^z=!A=gG6Gg@-2T4hL7XnJtE9Ok#6<0ZHgL?nJjLw6Hr>$`zz#8!R` zT`e0EZ>2Gc;MRX)le_od$1#Qz%E1?hp4ysqa!fa>hwdgJfv*w2Sc*!m*!Ikt6E)`tS!4+Kl&I^OVMehuH0kMfS<7b7T+OQ2U zswx!nMlurJUYbO<`m*cH7tqm`9PtW0XkI%H3_b)O+0|;V9#{(a{F*;IvOO>?GV^Iy z&+2FMk-k53^6ZKo#cxS1!?5sttgpYwII5g%JO2H^b=dS3kY(nI!ntIZ<{z(IL8h53 z&-U2C-qx&2kxm=g%^HjIFGUySi$-vKsBr72VB6?2)$1UVndh zL>IgbNpXX)jAv8u*lxAkS{Fri=eD&S<;n~jn$v+|KG{B8`CGsJsA7y6PaUbuBxq)ww&W_z> zt#pPBz5IQAN*ny!v3WH!en8jFiYPjX+03nv>KxcM~Y42Wp6B*2DBbE3)}A&72Utj8NI|wVpdMwt$aOd^v_G*fxh!LI`+3J znkJWPj^NXNLSta`E1vis;Fq0TH^u%k2)J8Q(y1=fKR-SCrFlK6UCBk_)*!qN7M>-U zUydD++x#*Fjj}@kwV$RlS?;&fyqZHLmPKd+`|Yk2e=1wureo(zk?$SEeM&{OrNwK$ zBFTY=7|JIpl1B-uGHH=Vi;Ke5b4vg2)KIp1VR7{^yK-WZd!@|R>cBcVFRNy1p2#i2 z%FTnlrvl8BE&E-gw^f0tB*~DM9Ct#=nG~gawA~;R3A@YY0$e`zZ9h9HnU@yUy3{c* zshgJpjUWZwpGu zZ{}+pg7L_u?jwT|>24zUg0@jp!VX++8c|c~=Kgido_-&eU4PS@`%@KFwb@efvH3A4 zwv7^+9Ifz$deciR(zIQ!2%v3w?DtQlrZ}uFy0u+}p zja|ZjKcG)7&ujDUzJwLMSQz97D+Jf_h4VBYA!khNFRzetmZ(ysEDoj|)aL1xR`r)f z?=9bqHxDY+u>5{_aFx6&NSo;1^4cqsB4WET^lZF*7`@qha!=fQRW%b_a#yg3Y_f+1 z_W9_q$NXyiHAIRT)yJu3x`ndx3SKYL*z-8j?CjFMShS3yJ2>3h(x^6{7$bJgem%%2MX+g~XPi*~>M6uMQI zmZuudYk`7X4M*YOC?S5xOGmxx3NSR7j9yVClHa&5$hW=_t>j`y5Xg@<>a$Q)=3oz* z|FfyGe`W6}UP)2A?3cVyrD6vfV$En4C`2>Hy$)LToLHFB-q0U;jx6s_iWQ1`b-~k) z2)dBY2wPyiHtkRL#C+9wg!RI?L5==kVa(uPc!OjT_**pDjGCANYlFNeIO&j|4lp=skQT_#9k=+d}xqr2&j74l+-2WFq04P8R0* zA?CUEOxgh%2tD**Q%FPtmHDSAS+;+j@hr8i!bZL@!?fo?Lyz)Cg@&RsF>x`umIh?1 z?X5$kX}PT3O(O=#MuwbYo!i|Vc;Ie4PK(vZes@gxL_T^uWr2&%q$L3g;M{xEt~p@h zibU086Q!Md742l=;CEA{YRA<=2ioh^fT}ztN~nw4kn45pZd%*-hi8W;|6$?NOB4K~ z#j-sJN`B7Rfkg*kp?z|&dCug>wdl5Ed0lKoYFpJY&Q<%#ob+ta;VwDOeHXX(DQzHN z`uIvdW4p&s>XIN(E*kqcASyJZivSoubjBBrCG!w@+#k3R`|Ywa=B)RqAWalsOKY2etA~kB`RQF9p?#Km$;Gbs+p) zdo#D`7dvzSbU(Ia<}K9nKi%J`?qz{He4ahbo{F#D;>!HTA0KW+1Fr4}PV$cL;)X3= zW+5D_K+(H--o@H^zdV2al%9p>idQPqH~)jodnZ7@yUkyJ!dUd_Z-Z6ZjG)Rn#nie8G(z}SJmM)Y7P72ymDzjq@_!JdmFS{NJBlTNw28c+C zHLL-HuHkeF85(toDvBn;@t;0N@X_rnY5_k~zEK5{4rWj)=zftzueXdS$x{6DCiL$= zDGTAkFk|>d8WWRwZ0Mc}E{d)BQA8w);or2dHHsRia2hcmgoB$o^ra$D7H+)7cX6(< zzesuj44zUoSyy%$Nln5uPy$J-!ejvd878~u03JSm@o(}i<$6TOXbue&gGBa#xhZkf z2rCuu=Jp#81vT>;6P&vFpfM7q)h^p{xS`pB?uDs9uoQjIBR55OUU4~Mjl)kqBRMs5 zA$_{tNQa-j5V=T;PxQ=@ia6>}QjR>g2GLOyDTx@aie;h^O^O)&pB6@!^;CenkGLg% zS~h8K?YD;@^z{-fhn|N+iqU9Oq?9`h2i>BsENj&{>vPH#I6`oa6cNb!{QbW}41`Te zP^ZX0-a0`>P^mE>#*+jzIcrTuzVbuHR}=*QdF3gbrx^4aW4+3~(t`!|i-CMCT-Paj zNw)Tyx8}tJo5y%^fzAp+jsV3<&gT`U2v52gh+tt(G02f-#h-g+D%|sz%o9K8tQr&` z-l)mF!WQAl7UKy6I;#K$NH_XgHyUGu&j`W1QXN?`9SPQpwv9{?5Wg5tI1uSCP`6?u zPYlGNP}s1@Kgl|7+Io|GC4&$w8sV8L^F#Xny8&j?T$e&j}g1#1mQX?SH zF`n_(MTB6mly9QU6Cg!2Ywbj}q7no7QfO2T+K^~;iGf%aDqA=5VmfFPy>Nj%Z5ny8 z99m?2VL+@i)^AdcZrm&Q;a}#h^QiPYWS&q!0-smPA{MGaBJz!2V<0Yt!YW1n$<}d; z){EHS6ha44&?}%{BphSSHftRvWw2n)M!8~a(+F4CWmse+)0k%6I2Hl<7{jC5_*Mae zV1fq;9U`oOA`mOh%G->!Zv;dm#`A|Y_axnQxHo43maCh3th23>y!q~z4&h6sL~_ z^WIld)r;!P?^376jcViKHV&YS^S(*dnoY}o+dJRc+?Ucq@H4-_p42`Ke0zQI@MSwRC7hBZ#zGbB+i3$bS8olHG!~?+8v^vlOI%x~>@-f} zZqDjyUuYJW+Q7l`Msc5Q+BC}9-DVmV2TFL765L`>Y7HHAhMjiPoD2`}Q>colSv~aa z3xc;=bjc-H_H=apG)GbkDZi@rn2b=lGBZNG0jnP=Ff%)Vzc$CfH%3yd^2nEYhY;NU zH!E2=oUx+>s$CvtxA3*+MBnU$>s`%a!U|HSFpMTwTD z{srDZx{|cedq5`?Tx`gzB|7BJiT9OCwxhqyG}n%c8|r2~B^<+6pDY~~{V6F1L&k6sQY%jyYo;b>ZSosC~+vWIo1~<#9bTs+j`}&NP z<|@TzhnH)IWuo7Bg7rd(Zv(0C+_?cFz}cPetI+q)XDZKLc%yywuFM9pcP=5bTTznR z;*~CCd*>+uzW1MR(|(JH46U-VTMR&O@QVw`->aye@vg@%{N#8_%$#m3N zk>G|grt3ay8KxPRbSW;5if%obb$xBWXW36vrwqo%xyYX|uP0GJw{GUW2LmqkEjS|W zDBl`$msp^Zvtl!6v&pA zM0$}88_k%Gnl4}t`+X`d^^=S|M5Yyx9!1M3C-tnBt;mrk;C0_^?2 zpZBFkwzK^4B?8<88SisjQvu`rz~*OSfG0ewELy*=_v`lHK7o(;Tkf%D{15^<%H!SK zFVzBFnmKog4wb`cq#S_)CW;Xa&5SDnT!vcBh<8C>>+yX(^O+RfuG4X*SaW&x<8^tN zh7)#?===i~^&lPti?(n{$#Gd@<3|RTY8eH^%EaFU!S(F^0=mWJtC6V6k=6A7PuWT@QVR?>P%{U(4nb7Hy2) zRm^u4y8mSo+&}M+oQyF^tZB;thrY~;^F|e0$sT)_&xs*^3FVHVh9##YSBZ%|<1-N4 zNXbD_E&r}!0oXI`@4B$5#0ufh{7gYw>rAvW5I4zMk&QsB?5w+UT4cO$FQ%ZxWbB0i zM?r3G)ZP{Ke$D|Fwt*|z0miX!y=s5X5&y%ql7#G-Z_mj1dmeA~b>og=nXb^+O#Qz_ z%of}=`WczEwcL1?HMyBt1~QtGYyDb>O8=!?q00Xda&>VGxKtpTQG1$PHM>RqPGb0z zA}&D6xI%0(Wen}&JVI>S85N+T55V;w_`xeAFi%C05TPIP zrk;US{kxriSdX%Yic?&IU8Od1FT6&aGA1>eLj`+~pe)2RdKOSMpU+`b4A(S6 za$#hqQ1Pds7BebSRb-fCrKV6Bj&L||Cn8Pq$!V5XQ@LjU)vxygyVq#;^CJ_7+>>Wn zQ|o|d*)n!=!wXh&L+sj94jkvm(FV##PQNrwoXU-(xkz;*@rha7-Jdz{VvpOVFwHGq zQB6E%3D4~7%Bq;ZEM!xEWV6#cG%fBuTUc%HGo+S5eLP%L6 zoeT5%dAvj#e2gODfiPb`t0zmUludpnkz>M&IR{#)9^FYXN}uqIMG4R1s}gvX*5loI z6bb$VFX3cLuQu3dpBIDZqdoj9l-l@%`w+tu z+@Ctg-lv{fWPq!}nkHD}yOTuvXoQ?M@!_C#Az;7yIT8FTY*rTXxhI_zSt{Ym9y$1P z!99P#NFL_E`nc0I@UuZ^@ zzv^>^>EI?tScQCwsnaE16#j=oB7?B6yFLob+hIb~p|H`>M#V|4a!f$>r!nX8xUK*> zGV!(8SH7NjQOc1n`9&jaNrx9~haJiP3uzga=I8Gk=^t+!woZkQCx-i{3_Hii&L8jJ zv154j{5IOm5hgNx{V{Q2UwLA(e{`^ae9!<9q$dR8&Ly13{rU-lBlbJ{Cnkn>54BAU zjSu%{M=(W;^9X$py6zvC^n(Wqe~BI}B6_Hh=xv42=ND0Adm+)oh0sqdgub8Y|K;-Um&%hOw*=7;Pjh}(VVy@<>F#VmM* zEc=D5_#p?qm62#pI zPbWmcT2|5T8F8_Wh0-$%e+zw%U+D7*B31)zm;RBFixs^x$rls>zmUN6XJ1(Vka9ge z;zxeSkNuFJ_#r>_Lw@Fm{M-+@ksxm0IqDYz2_DPcl_2Oh)wTs}7#PFd2;;w*xO*(g zwQF>b0L(oJ<5Buve#j|)$fmh!~e?$F{hxs9Ge#q&5 z$iw}RGyIT8_#uzUWIka%qmr-pO4Nq=w zyJ)EYg0`KszjXGloyI;%#6=Q9e-33=yoiHd#0v@G>5eb<3VDea@lt;=FY`nG$`5&^ zAMzSMuk7{P&D`0C+yn@Kz|OMe^1#Sr@GxM}=|38Qe({?}=#7TZ3cd`nhL4TAYeu;KxLim>0cL~6p z9Duo70Osxin0o|Z?iql&R{-V|!i4zHy#sNl2IB4$h`TT0=+EUz_oIBct=*IGmS~gK zOD2CBo!B`vFuZMeXs~T!_bm@@PNgF@DIO#;T;JXUGDX@QfJI zVKwbDy@+f5iu{`&@_s+$1AfSLe#l`zA`75ekS=J1j9&MKe)2!!rkC6@idyz zP9jmY_H()(nJGlMcwh+VK?HK!`@w`j?MBDiwhfOADFtMb4rDybkrPck&_)}a^w1a2e`)7uXgphWh3)@R z0c6L@_TlrlE96Y_StQuq6o^ZWCGUM<_!eT_+y?tWV5OW+6&3JN!aMEf7VOF+XIdA9A4|GC>e``%Lrr&R2z7KkEp z$`853lb%l;f47%#xJRemIIXf#YTHX)!jx9pM>sJx&@U4_M zm&b_oM{z=28?v2V+F~(=R1cB(Lxyt|SCzcB`US#Ug6S zZ`Jfdu8u`?>KT0fr?Ck8F6z_0h-aD+5tmV^Jj;woe*u*jdJ!-3B3^7pC~f}|Lim<3 zFAc!F%v_RE8W=8zzNRz7wmXx07PO@5K=P){vh-E@}?Dib5kU#Sad7dBgazEsCe#n~$V%hLfMxi$cq23aNdTS8sZ9%BF2ciBY ze+cysJ1R;|@lHERnG??|5Gzj}i9s8t)|pjS2Rfv+HTt$EL#Q5dGM3$o|`@?-I`4 z4FBy#e9w#cJ|ReB*E8@R_#r>^mh&Sof8xhp#8144pL!8L^CEukMf}2x_@x(dgBS5D zFXGo;#BT`UY26#WLT>URZuTN>@hkFMuaH~4h}*n~-+K|a6T)M&C(tIDyK7!RT?(DN z)^Sd?ZDMEk8N`wFxjME%A4s2S`{UYs9@o{=*4Dr4{9O~1ZPo5SY-_L9`Y6vBfADK! zYXJ(!K}uumRX+2bn%g(uSXQz#TdzN$3=Cb_b*(aa{E#wH?_TTSl22Q1K8T6K0YBs! ze#l!bkcf+^RDMMeYRmk20i{N7qx!mAa+{@~w4BNx69hJ<$Km5=4~-6t4Qjim3U=Hg zE=n=yS|BMx{1ZoykABLsFjXr5f6iRxN2v@&lS&>zx@AI%$e81}vDmXntq0SmtG&IW zx1Antc6Xgq>*}d>ws&>VzDq}YE!j_cJsms$W_OJnF+6hd+0@_| zXN>2Ph>i!rII}Ufb8>jc@ShOd*!YxqegIB#uu5*M01JKtPrnWwzj$h3e|!J%D6m-T z@8}=hl|TIk1}H`^Js#*E913L-PckMi9vOmk3YwJ_aT{IUd=$V@hlV{8L=lWIO%Il>2Q$Q6zrN3FP4L_z=C~VQds=3_9l1(y%t* zbQEw1NSF%O1N1|CdT>Tpf5wpCu>Xg+e1`8JVU`Lp#J{KSdDQB*3KxSI4O+k|Y=s^4 z1aV~71RFd%RmJk}8jJ4V-9NHR*bu(8Ym~<4!5ET>7(Wq+zgH}O=h*n<)UKU_V;6}g zy*H5sX<%%06fM1bc+&XG-CQR_ZCsrU+G;%pEcPA)1_aAfFPSO6e}_qqeFDU+7I)Lp z$*CbxP0_7(^pEGd66Aqlr2jnX6hl)J10&;5(=Hx{q(+$<9lB_WPHarXeFJ@Ua(vei zMY(`m#)0#mG(5GlpH4ImQHMYg*pnL_o7_$(&~h9x;Z5ZF5L~ZiPlU9!$w3S-#w^#W z$><0=0{T2+1(Q86e-dMiJs^2EBVKd=?Qc281$5B=nx&Cr8h}T4NuTmGKyoGldv61yjZ@(ol=>QH#UY+cp|+W9B&?< zT3Wyv#U!**$~rhEM)-R&B@d3xSIRhmILz0q5rj*V*>?`_e;gVa9u?yW<~8HcBX%_U zpCqS3F%81R#%T~n!;rz}UWW#!`q9S*nZsCsd9G!a++2%7pc8u8z{J#fyS8nke#Y?Z zZ3(ax)?lD%YQRIEhT0chEc8Xo>Q959E`?;GN4;zlJ%D+C28Y9+!Negy!Ez4A>oLKi zu2=4xUAdIoe=zA)Ph~YI#vqhgZors>L)-f4M6Ci#k_BA^e0g)c?ij2$?B+=7MhR3e zKWbw7w2zrRbzl+F!Ji3J0@r*RM~ z=x+8#o`M2#uxt5uies*$mdWUP39CqPt}Y4c|0r4(fA)^1Mp&qo@5YL(puB4>y^lQ%_{0OQ&=zef|cgSBotk7HNUoNcCD75 z-~$(|xo(*Zakompd(3$VaME^&k?~i3ZoB9IA@gql$9-=tHILa|?<{YA|GOUvYXzZ)d zHU#Fb${XXDZVbe=FQ&N_V{XNV^W}5-e>C?BdGUB$DH_vype6nf#`UIkLQ8U3?_bCA z_}UjgDlQlOhOmX}ugk|e3UcViRLJ9Y_TuyRBa3vQXN9h)5ZD(B&@oYSs2z9%hQPu#4#bamx35 zM|o1FUO%ZP4)KT(ah%F@=^|}qmWd5?xh0U7nLKTb@_ATPi4bv|>XNoiJ`XojB19ao z79Vbx^D=P*C_=<>TAs^he?W;4ah&q`+mEmYzGiii_B6|sbuic6RR>qyUG3+pLu-8I zI=ISn)xlNwvi-=X4gOiSpUW!3z{oCg+dVcI@;-6Qc zuWTjX!JmN3b^vvbdP&pq#R*A-Qw zzYt>MuUkK-7kvqlNhX4{ZUwrPGlNuCO*d$!6L`Mr=R97lf85-*Y3-V}CtAwZwmr27 z+xVGRz-b|^b?1bYq`utH^tw8*?up<00)F+k5Zvon~rVi zmfyR$3ko>b-IBBFc}ChY`^59X0-n`3<*90^Ky}kOa18urNAJm|3;VzjKJs+UH*BkS zt*41YB-Of$f9`gAiLo@W{UIZp)KoVan5w0wbr&>o7md+kzaqr-Z|46*FEND%;3^*$ zIDTMQ6lWHB(=eSZQNyQN)XE-?S8b|wReHB!(hsIqj>ajWX z2sAN*1~%9ZycR6iHLQvnGO{8L(|m5-WSw4OG7SKc(cP_%J)&s15EDf!{d#kEbm&wWWb(=Pfx%>?PXzT^l~8SM1MDH3G|` zoW@}BD9x|6&;Ml*y`?6h473pHJ)Ohp#%IG|fArWAj_vyRX2}^}n8KL@}+6VvVqs6u~SND-^oy8r_#bm^V zf7T-a%Y^VUWSlTLlL-(w@$X^mr*BNz0cT71aatmU8HZ_zSVHrASL#|IZYd1}M&!P@ z8pGp3!cI05J$%Nn;V0IfIE#JKBC5k8hthbui^juv6`K5(T2OH$23Q>d(7(V`caz4TlGe}-ErGsQHH6X5!X+dnx-Uz2D+&$MgjLXo)$ zhDAsjD=LXT_t|q*2n(qjjFERp1Wj-RiM<0f3(Ye8QT`@4pM#W#P;3VG!IlmoGDq6~EJjrO`A(Q79>8y9~Pk0&*5-@(-J9 zC!umlyHRmbxuAx1l_*p>NbZ@8UaUMb4>HJ%_YLYtAyTP~5&Z}u`61jnz9Lk4j5^wh z58t~Y#Jw>B_beHE)C~+PEzhcmlNewOe_xD2CzVz+=*AEMX2gKw#6_xSi-07vxWvz3$I ze*mDABf5^ng&xRBVg=3B;>WpA2kjI7jgX4YD`$58MfH*5wvg(@j6j^s={5Mse{q9^V3t^gs81Pgh+n6D-y9#jBV( z8mlV4fCr^2e3y$#D7Xw28)#l#dUPkuB7La3uG-A^K492K!?;B^>{_rA%1dC@P8%8n zl?SmslhXn~id z`r3dEaTTp}*eU7ocB<2@PWAN$EQa1n2MN{F7nSAzMl9vZSg~WvYH&y5GxtOjT}cB? zrF+?(o{%k&ALXH&{GGgyigo*1m<3u`@{F!p-0?Y6MH%s~owau`t~9c}f1A|!>{Pz) zvpY0{5JKRO)D+&e=jqlW1YFO%JjLQNeg3xttFT}8pPQYb*qpLk_Ek*>w4mr}w&kma z73Dg}PVau`(z$Nm56k-IhdVx}l#~3Wk9HkiK_-QbOfMby`U*dYyGYo5p}F{$KrWm0 l!n{}G8i6fc&`KFsl5s765wb;*%s?51l@lraKL7v#|NkN<#Nz+} diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index c6ee32d..a8133d8 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571425328372 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571425328388 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Oct 18 15:02:06 2019 " "Processing started: Fri Oct 18 15:02:06 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571425328388 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571425328388 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571425328388 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1571425328497 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571425330310 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1571425330325 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1571425330325 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1571425330403 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1571425330450 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571425330450 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330450 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330450 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1571425330466 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1571425330560 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330575 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330575 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -2169.500 C7M " " -47.500 -2169.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330575 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1571425330575 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 5.000 " "Worst-case hold slack is 5.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330575 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330575 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330575 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1571425330575 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571425330622 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571425330638 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -4.500 " "Worst-case minimum pulse width slack is -4.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330653 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330653 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -486.000 C7M " " -4.500 -486.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571425330653 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1571425330653 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1571425330794 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571425330872 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571425330872 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "259 " "Peak virtual memory: 259 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571425331044 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Oct 18 15:02:11 2019 " "Processing ended: Fri Oct 18 15:02:11 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571425331044 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571425331044 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571425331044 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571425331044 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571624523519 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523519 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Oct 20 22:22:03 2019 " "Processing started: Sun Oct 20 22:22:03 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571624523519 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571624523519 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571624523520 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1571624523576 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571624523668 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1571624523675 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1571624523678 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1571624523705 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1571624523717 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571624523717 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523718 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523718 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1571624523720 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1571624523730 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523734 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523734 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -2091.500 C7M " " -47.500 -2091.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523734 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1571624523734 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 5.000 " "Worst-case hold slack is 5.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523898 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523898 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1571624523898 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571624523903 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571624523908 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -4.500 " "Worst-case minimum pulse width slack is -4.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523913 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523913 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -486.000 C7M " " -4.500 -486.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571624523913 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1571624523913 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1571624523954 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571624523975 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571624523976 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4541 " "Peak virtual memory: 4541 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571624524127 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Oct 20 22:22:04 2019 " "Processing ended: Sun Oct 20 22:22:04 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571624524127 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571624524127 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571624524127 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571624524127 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index a62dbe047b19d5648d838bee9104bbfc64b71263..5690b4371a2fb5e331d6666a3870944bb019c58b 100755 GIT binary patch literal 10824 zcmb_?1yEdT(V65KUtfUuK$ zzkC0$YPV`@tG1@9yU%mF`*gqaK0S5b8Uh3agbHj#xO9ep#Nk@Q%*ol(-j0R?%m!lP zpb>Yqv@xaO1as1Wc-T2O**QTRU>a33CpSwIGa6+h6Kfg{8dW(OO*3N}NmEM~c%)#< zpL7Ta*DwE42rrQS)-tdD)*gsQdi%*QWYs^uXq?ES%PDST$oEa@s?2LhJ#kx?S8^o< zFL6(+apOdq#6Rk5sB_wvc)q(KQiu#hCt7j*PP}qOH80wk{~OuwybRr4l0a7l+Qv&~ zxAN(pQ@{O&^XHYaY1Yru3#ql+)lZZ+Yg$g>E$*jlkD|BS6wzVp^I9LQeE1()vfL8p zqZ7J7fwgtsWO@gE$BEyVe7EBJr${A+iV(IE&+ZLwA7gKQkt`mJ1hDe6(|>=D`#ss> z{v8w5gSJyo0kG+I>0zJ3L&%J`Ul{vQBfol9P-MR-Od7WLy8=D0K9Y3)%e>}1Pu{y@ zqp8^Z3jL?X`PeYaD(@YIHWg6{+=OEHILEuBpyDK)DVQDC(bZCrvr3D53QvBJ%D3<) z^vTr5mv-ul}9YwJjh00&#)U%pc%u4}` z4V@HQQbd~+>zEHrI@cwoOKh)n)_fon7{!*$giqsP=u) z(@4@7!yBT#_={jTg)_fmVq=#r!R?cZbhlLuJyDk2E^GqMEYE=fRsj`>2hCYQ0zI(3 zU zZuqe|43C$`#rH0tqat1@nEprTGs*cSSsbMMK}4-jljr0`5e-(QIq3QAHBB0vQPbCk z+fhy-VO`Sth!8QR=xeD=#T8MNm7VeAt|xO*MDGRaKxfgQu{Ke|_VJLzcRy64pOB<` z44P2<%K{A-4X_~d8-6QxOtubFV~(Y^WaMj``qmfqdm1<*X@Cj9hh4e}rUok)qVMu_ zX8}fDQKO5e++9&((<(lMM4=-rlS!4FK!TImEAVpN|J6GGFD^ z8gh%GD}GyQ+nGiV?0O=2_=VY1xbCN_7xg7}({5_*v@esv*v|%wSgS-h;(;9(1MAA? z_K|5J>^_L0oCEFTSn;8}uEVx?Es;h>UCxT`5YzXNiDM=>rYsEfVbTwUzWd|NpZF&`4=zDL<=O>VOvqWkr#%r zSRSH}#UGsHX9J)eo$~00ryf~#fZS{`#~^c@6T;qOAcIMxn#?ZE0dH)MKK`p}r;15@ zHTNl$*g)XRDLn)=_Lw)L_yJ+(Mi*~T1WtOG@hwSN43P{)131MR?Qyw)0+uW7#MwA% zr)4_W&Cf6*QncM!zna+gj}}!p8b%t$wyp>w4D$UVD+o$bfP&_|ukkupUPgIlxh!+{ z2*y?93Sm`fdQH98$P3r(1bk_`L_Pb+P976eXer^fX>{vofg0@`fCeMEmKjD)n!mbS z22zpYOGN8*zDPxwuV&oxbHGAvjjX(R=_W;<`^4?QTI3_0GRu;Mtx@L^O)7@z*2Vcb z8}ivfup`()>s5=U9A>Fp^W`&t?^Xzveu&5ACh;t?QN}Mi^$f*RMjQ^XoU>=z)ZR)S z2)LzYrtQU#u`JsHiaUHeFJ((cDU{mK$pId6!fS+gMtXtB&^Nx*Eyy zJZI$ZM^yxZMM%RQ7YxKwc)>UrEfcVA7c^B6f=-pATx-is#mj!6V)%Vfk>K=aGFq4L z4phS$Ih^*)H`#&^M|FG;-+4D~|^S@Csx_$DY z-1_eM>chza$I8-yRbBb+TaZh&>T}(fTEB#DH?Om`cfah5(&VgHnU|_7>xdm=xV|oi z8LiUKWEu;zDLdu>!d&^vVMfmZhYngoRNY>jYxsF)I(O7;>$<>sEr)Gxlc7hyMux8| zbyk9#QOg9%N{y~QW!}xrMgNEmVZF9yuWuS+6-XX|5hs!}DupqxuK1>-*bZ{tglF`7 zlqtUs-w~b^Rv|P*K}~XYv;BJ#?Zd0WVW?@hAdz_nxjxXCsBd!l(xivnU7x&v=WX}`th^`wDK-K zHhSQwUShZED1O|?xP-c6Wj#cRZeB`SPjirZgtUWkYT5L)u&W}x-SY6mas17^{_;*P{78=x|*QkHEpj=r?EFKH_T^t)ZF zv>-PIQS+Xcd7mBEZ{8|@Y}|92ifL2w8*Q3!#dffEou4d<5pliDoy7QEWg=b?EWkL! zksE`Fk8bs1M((U4=Y%d=a%MAFd=n+Zw`=@vj?OPGlVsok)8H6VpY6C+5u}i7s9IK~ zVIW*on}4_T+{(RpHP z@Dan!K6v!Z&|6_48oRKw($_zxWjOOKui^Cg8tVcw22ar;68K~jwPlq59+$c49&FPW z%pR#ojr{w$&HQ86oZzj(^Se9!TQq^Mj89<)*C7MoR#&mzBr0b zvp{Jp);I|p2ntzIH8nJpuVA-xB1Zvc4fwy_Z_JrDyL)2aga$|J=_Gft_~_r>K07(_ zxW8VkV5&T+%4&1oO*hoZOWb65k7*4tK(1SJcwbar_E`r?%H2GvR5jDs>cYherf75RnJ$9o@9jaxrZ+BbN(5CEF`O8fLuIgzl5rd#@X5)T=mg0g-OoHMMTZB!p#7 zfFYQZ)%NPh8rr}7{(e|Wpz)~Wn2I{Ys+cwM{K~Qxa~+}T2Evo^MjYD3XC3__a6wTzVsTg{05!l0G>;3iVi|ihIa%n(Z^qcg{dt zEDelhr(X(X?gy8+prQcBFr_N9AzP&)S_#yh>z=`e^B1#07^<0y$NV(M#veqnY{))T zk5x&O6kXFw?=JS5MVpvVb`%=5)RHsZ61EfAjUZny^vr|w48{jG`F<}yh`aa9BinmZ z--1>4##G5#Zt4BAd?BAUOAR$4r5i_0VcyiCRfbxtfvbVD*Bx1;%Dmy;No8!9ycin2 zAMA`{bI@$+OgQuf)s`7xZh`p1j)cYwSY&Q0eHDIS9?(Q3J}dR5_l&)`L0CEhg?ku4 z(SSQM{X~bCD>k_y+)~k}V|)+c3zCC(_k9Q(u#uf*2vXQa?2^AkVZD3!wa~=dakhYl z9#-yqwbvZv7Zu2bOY!Vgjlg@K0)|Yi56wY(i$IsvWvlX-P=Zw@)`jD)ui1E8&bnM= z)!>cT4a5^ttaMR4qm{}Vs8pt{$z~q2?x`f!6P0Ob>2cX$cY94vILR3|Ay#>xa}fGh z!!AAF>ZM#d7RsKBhD{aH2G|_Eq=ilJVMHwkPZj5aze*V*C+L;b+yvz*F1ChA8lX zseyc#0~bAh9F0+*8FN@+rZLaOOJO0{P$A?oe3=Ba*R%21EZiim z-aidCal9Q8&%3qmju}4+WlmWJKGiHz7!@BW(ECL0M)}_x&^lL%DwsX3Yfk`*7*M2_f6{aF-Wc*K`k}ps-4h~ zf=MtyzgNA8xG%K9N&wl`9M-{W)^t1oDkDCGREMi)w;-~piqEvMV6=P3J#u}jZ4q)_Epzdp^&Yu z>GmjlG^^BujT^@XM3TebamiSD?ZNm*9=(+bwkA#fynDLrM+_d25MsrTWwruh^=;pj zn8~XuUh;aj4D7`Zzxrgg?s`W)08)H29p;I;gQIfw<0c~ensI(pWk#(i&HnUjDX5Q7 z5>D}0=cg@Ik})>u87yeA>*defF6F)5-d=H2rzjfo+yBbq{G9uleG@&^%t3KH!M&gB z7q4rmu4%3z5$2Rzp4hi5moCF=^Rj)MJuI`PoYbG{NbO#ouy(lN_z-#B{=$p1iC|sW z6gz}B#yv5eIZ!$lrf1kQf0Jj>;%#&@74tRzm=lV);I<;W%p`D!dS<^nMLAwoS$VQg z)QSxuBggelVwravtEwT1GHVt}7cF^)Er$li@NUjBKx7PnE(7ZqGq-VVJ4(l@_aLZyJd!Y8K zl1e!c4H_y!IaLY6bsr4~Y5a0Ioi!Ns&A8!y5S(AI%$3%UqotmS$Xs6gRk_owvFN#N zCRa%%hNJdse5@TA#>^mqtq1sRy_zubQ)x%2d;7X5l~w}NjIX_9={Sl(K>F3BdSh}$ zqH>zt672p`0QY#K`b2>Yru;}?A-!5RPbG6&;(e9Y7mZw1#=rW*^VRmHVjHxvPCJ##Q*Rrhmm*_EQ^4N|qmMc3@?Zhmjcw`lI~3BP&WmzYFj z5VT)v0Vjt;fThrgnJy?kShfCnt0oL;^b9}obZ;wq#Ys#aE1+EhBbf)k>C z*Kx7YSc#KoxBC|~&zH*1j1iNy8o-t{C$IW%zCdSi@vvDMT0Q+x>%!+Lh8W=|FYJ{@ zQ>#zC1>}W>B($gmz|XjQ^^ochIghONPA?{mlX=;Ng6UkJgHXQO?#w<{rZodLABR!U*urkuQ+HSv6FQYJx1$K3Io9|fny$2y}vsm|o z2O-b3jYQSjK+|`D1JvdE3*ZKGQI$664Ay@+>x(&>8=3a~9h> zy@DLTf3+UHCY1L>dCUg^yWoev8a1$8nuzGyUc*z=d|b#U_T|eX%TmQn_fpaZNXVnx zRd=P1QHOdPNY`nm-(G_q$39|jled)gnqkoD!RxAf%_8LS16-MgJX&3KpWWg6wtiBS z%kmWI0nh3*h@7%Xla-rJrzK?V2z0MmhWKilb(g!uxoW;!4*|1Nd7<=4LHOT?$wd}2 zp4FIBjtvn?`|3JQg@v6G+y!{Rm?Pikv*3J}n5J_;mHSVnN*ZJ$$;#ExkI?S3^G{a6 zi&Br$EJrUGSo+5^qy|B8#3`SR3R)}C+MvlWQ0jaYCDOp3J@4781IA9Q-Ve=lLdu683rR`b(X zJKr>#Z};?t&kd+_WU!g%L1VR$J((A!6=~S;EBOwx8`2y}!L}bY-bCBbY|A?{QDE4q zSFs}7ukOJj+kh${{B+VgF|0N7zq&&WIY;qB?DmNJFeWhITs=yHFGg|zc`{b`xa4Sp zSY&SGk=|@Py=&BkBrx7lZKc~uwq;)dWoKg5n9u&F80@|Ag-g;O5bAogK)jZH*rB>m zo*^l0-3l?2iCy7yEr7E+vPt@J5uW0y0WC06l$dfr*s6AV2l8aKT%KXJ9R78@`)vOr z0yp@+7WM68N3E6ao87w`4K4o2bi(B$;H(WIS~s`On~!~8I}k+gQ@HUTwA6e3`e1>m zE?L=7Zdf`c@%$0+3WB{S^weKU5N2ss5>MUfmUY;(NH)mpp#}Ro*6ehM-wpRz|P}W@BXhK8G#Q?N#H(h*2dA(Vu;yBXJzAwiCGO z6wdopa9U5&&nf)piTl19&u+s1%5w=n7(_iK4Dn)GPY|owvpc z%#=mo@s=R+ho+5I7WxT+BtyVHYq6q`62GwP#{R^93E_BsY31|=1MAojDTE+0v5xPU zb&QUL$L2fqmr(U7@A>LJYpjIN zX7l#_{Dflz;YY7ty(fJ?ccZ1fb4c2G+U5P0L)%Po{^HF{j)xEz+H_Eq9b z=gARwyfl$@6=yQe#nR!8s3gx*Bk`enFMY)9AzpcT=8)NAZjx)gleP_k#!LxRqLNgn z0|Ef5jPUr4H_Q`igaccpi4t8u*sh_iNSzn^H$jh_=`iOc`@1zP1GsoZV6@V&jBYpTT)oN3; zKHB#3&E_UlvIVNhhappD0@ta}oGF$WBWr9|;wVOpXYe^_C1wd*^*(!dQ zHDqfNe^nE-iaKI+x1O|tCpy#^@MTNLHlpfEf2t*2G_@gAda3s6OWSzVl!(vqoNWL2 z-bGOr!O$HiOS^l4%&pa3?p-5;+U+w&MrYet!=)L&}$vRHDE z^s#$n)1xVr&p59U9g+~zHrjDOYC}V$dY6c-RZ)V1^qA`w*I$O+k1P>|xQ=ia zY$PWtX`_!CAoH0Km*wX{@0)|#HC5t8Uu)c_9K=|uwrYOWlI|6F6^K1&BI(scFe>Il zYuoNB33SZbn-L4vumAomDG9i_#aFNYI#44sC7w0Xl^ZXj7!q4eau^@B zr}LE>rS{n%&nqrTlD^XjO|yvC*15!Gej?Z8?>Qd1)gOEg;J?_?^Ing+b~1jTr0EBU z)FXr?C7aq*@@WF^+X*AOtmr-uA#RK|d)v0U`A28_LKIwA*rKBHlplF(`CjH^;kR-P z2h&R7Z=;JT}N~9QEMKJ_L_glolBM7eVcqJ^W=?DYwb|l&Xx)ik+TQg;5 z`XF~BD3mP|j}m8xZR7~rWvGwCLpHJ-EcvJlaPRPR1C{i$g|&P)hIWy#pi$aX|HnA; zi|j)C3qJWqW&^#?B;@JvPMIEMlwRf+%Qlg?GUHHlx5NPpMW-=0w}8k(^#rJS$XIk! z7L9_c^T=#K8bm?3eG%|%S}O1dvP5*DnMiUh{7`NqvvDXEVS1f*+mq{sxDqaLwawkc z(wq+J3SjCtZf(Z7FI(1}?SIDjCZCfLFt@7~`s$*m>sLnS`iX?P_b9n$>?V9Bn0KA2V!k+#*R<4p;PTk@s25wv5VUKKjOt*_P7h{~gC?kn zhoj^eN#cgnA7-lw?OAVN!Jx8h3G7T=bs`rSJ4zWw7&}V)BQmfNO?J-YVgtvHMUdFu zb5Wohk_u<^nae!u$Sx|OhuX4 zLTfM{SX;3~nLvGECv$~u>bH1dyq40wb`f{&@02FkyDFJyrVLe#-EXG!5nL6YTg+6* zDF;QKq7G4z5y<^a=F$L9u$1)Pbb2Uv%Lq`rofwKV)j9WH3__j5{s`$m0{xHZKmUWB zV?rgRcoDU@9HSbXW9^(AhTSk;*)Iac8qHcz*1sGR2J1Aa;@d6i{{c|f$Ap^)tG_o% z^CGTn>@g}Yc=at>kwM*sPS+RAV@W;@Yn7w|{KZ2htRh?vbk)+V9hA$gIPFd1aP%o}A?cgPL1n{}B9 zWzR41!QGbRG*^VO}+F~MfcP7;C#ufaS~9c=uPCSDuiqSEtm?)ExLG@)x%eBsQ)*kn{5>N zg`p81!}68z#Lcqo@9vDHd|#ngY|h(v)XwJX1Om>)F#6M1*s(&TuZ1r1YR(3nhq~$Q znPZrQdeB|GSr+3#MfM;R3!&ge2LFOoRpf+dnqFTb=TWNw5q(Fz^YaMrPqET!+E=V6 zv}$y#z+T~=ewGv3Klf;bI4zv9r|jdjh2A2OT;gA2zFucboZ@Kg@nQ6I$xl!vdqejE z3_DBRn)KfyMvIdf909@aaOIRq6m!NWq#E7IUs$}kz*ZIiA2+i9aij4JMPzu-3C78m z1>(w78X< >IY@68hgvnD9_!zx+EJ4vlse8iU;5ZpboUiV(vS{&qu1*tUF=$M9DH z9R8-B6mkDgAzia?PPvhA$!p#wJ<@d~eUTM>r-FDJr)Sv(rY%fgB&Vp{v6E9`Y6 z!)=^!G75FgNx~0_27NN1@USPv%1z2X8jDGvVhU;>ix&Hhy5e`?$M<$JN{$xU&Q$S2 zQ@bpIGKyM2x;s73Pg_>ov

VKM-9IG{}asZ?Tvl5hw&6JeANzD6>Hym6-S37G+K z8--?&;e{abdFmGCn*;?pH?$4#AfZ1){^ui{9!LTcf~*GfBKfCr1|@`qk@q!V{A}4Q z1ct>zQwR-wV?BGeY3iN~1gB+;>#>?D5|6GXuSSNgAuwnQ3~SUo=Y1cetBF*T!wVm*`VCdi(Ngu^~AxQ?eP|1H`D#-aJIW}uu@+}vgoN7M)qq#_K#~< z_#&yE`oCKbX?<$$S|2>;`_Cf7yiP;hMMuh}_~KI@?8-6zh7jEqD}!L$E+bjzV5FdK=yNAdXexn+3T$PrWfd^^$S%U4Y zOWS($Ay?JmL&NFr!q{-C7bI9^em)EkacctZnI!+05v-SnF4x%&lxaBh&Y{Lw0m}SB zceWZoJ1=hhr+JLbe|qvH{i~-jIQ?JlB;36i&CvGPlKjVS!1>=r`Ud)IkuJ}J!$6cs zjcrNr#i4|DX7b|7@B|XwsJzygQ~tAZnky0y7sNOC)UhusL&~$^US;jQ!ohL{%lVCA zw;unQ5W$*hVKSY8z;2O%7vD&auxkOTwuD`XW{nbuDB)hJ2$z>H_K zqZy?8m*}$p5{{#UC!JY#3Td`}Q!YM2bZZ3?Fzf;7QP6n0So&{G6(S}mC8NnG{sCO!z#JSf zNJhrsXz^oRUX6(7JNyi@s+ljv(Z-xUu;lR-!;H)_xe>G{}bLb}{ zvQ`$Dyz|0m5aG+N92!(eiPL|ZKLPRecq~5jERPa}i<`cnM?&Q6pNd^5E%{UokHaFgxGNULUE-ngo|L<2>@{6m{ zmA$b10Am%QWfSI>WfLL&h;O$C1RnI8cLtvps9U{nPT&X45MS?Ix&SVDORg-IZC||4 z7EYc|)CdSqPacRHCWa;$hNgy%Ubo$hDrjhE_y8xz2t3E@a6Cts3&-di_X{Ui03sF` zRE)?$3PYl45_I(m8;r@yfKZ52rv4rF3R?esH$8cNX>Fy_6jq zYRC>G8>V?zP$e+4)(#4HUneSkF#@8Tp+;X9V@g+)>ZnL6^sCa6eU9st0+xO5I<*+$jTb*?F(vY?<#>7>P~&x%j#LfGpB@w_vj>81H( z%*rrBo=VQ(@8lB`lNg_L+t@7ltFv_&py71643;Lz!OTHGm;Pk^7DFDDFbGZgTXn1s omkjFbp_K<;UELrDs;GXQ{C*Sw4 zt=-z%nyT*m&h684U%x(gq%IyD99%U9Jj}YoUNSJRZRzH2>+D3$&C9{X!A&jWY3pD? z&BMz>%_YFe&BMvV#m!5tVd)08HMgXG2Qs&(=BCzAq}H)Cqkdyy>j7gXcm7ES2dB&Y zmxE(K_}f>r{O!Hrj{^?8=to^mfS+o9yopWoR4Vzn>zYo92|wO0at9_PcF`d{#txxL zpZ3L?_aF-17U-xC7(YIC@la!8IqY#blJGZZVE7FPZ21icIF~c%@lcv&Pa%C{VP&By zs{{7*(!OS^*E@qZN+!6EjT?_1sT!~N7KKA4@g#a@->k3t#l+sSSZqRWU}t%9B70Opg!npG%{-@`dQUT<$&2$KQH}|s=9c8u z2y)}vry3xT7nhfka{EqY5fxB%#+$g;4fb07a;XAFv7;3xxxzs?sJBay_`o1Z>Gd&` z^cXG8m5~Db@kETmGPiifIWe(uUEW=$rwc_rfj_3gqBak+VXMxMlO(~pSJFN)g}12Z z6_)1C`8$HHwKGpQBeK%s(k$mg9tOdJVDZmeync|Cfg5T`>D`^>E$Xp%cfu976gK)c zS!_!Jb7R67G$urbg^0x{>%-rmsntiPo3?c(qpAB6(61jYrJ-H%YK)NRqVLk@9LPjc2 z2pk?!sU9ADZ%<`h#^UVbP;l+P_ed`@pB+fS<9|tOgqM<{)Or_3kt-d@bW~`<+}b~+ z{^`de0oUtTl|Z-~Y-uTzP&oT%0pW3K-3qRfhA;fDBXluoK85{kVd%<@KeeKM#owVe zJK^_E8Hg&dSCyjO>yfb|QiFX#gRDO8kp%Aa&hx~kfh%eQiJr(f7OzRro0F@_?@^Pt zBua5c(|k6x77MNDT!D8{Lu^^r1Wl6ZvMFyP9t@~J0(3`U* zNjP`9-(azWz#q6&QY~p0%uU7z3apkfL&+f&Y<@r8x4byX;#G@nfxbs9caRJK|0EJ= zf(UViPSh*sA2Vm7E{1)oNOflLIu;0qa>^smn=jGtaE;DL;-(q6&naB0Kl#gL%&{2b zu{C+Hlk=a1S~5#=vTT7p(SFovg3CVOYku_j$qsjGxFIBVC9!NaS@}KA_3%>5HQ~|A z^jJ$ht6ER7$UU}SD2ex@<tdfqt|XQgAbdP7xTW zM&us4ulj$_ZcC7*H1&*DPfUmx9IN5-+qFjFiobp7W0?bF7d|ASZu(3S48L+_K|!o+ zw$SPo;C1wnr;UyrhdYr9mas{@#Jg%2q8$2#-rq&y3tWt#BpkZW2!&ttRWAJ6>9-N* zcC5yHZhCid&c+~%YP9W)kkNo2=4}0ak3-jx0C9b-(Fp4^A1F6ns-eEd^$}6AdN{@j zE8X<7TV--F=H!bX9m(N@zJ(%OSCYuqzql{3F>?!g!469n+OE*tP93k>lN_pq>C0Mj z92`lknvhGlQ*N&#>qB`@ zOG#f@)I&+c#7Sudj`pY8k@RNKJ2~K9eOT6SsBk7U-8VafhhqEwO*dik=38RV!NJxml2{ z#Kmk!@R>b67s?%Bgv>g__g9up9XXrM63FGj9Y=nMuKS@xdYxip>P!uA2X5=YDU6wL z^Gz$8dqfK+0ly9<#8-Ff2=Z++i~L0?PLF-uz8B_}_(rk{}`Z zFu$wei(&p2x!6E;cLH_{s7J-Bz};mnG!;jg{I3&? zDY|`;Ymt?>Q6wUaR!Qlyb}{FOx~qga=>}$}?tTHO;}x8K4iIxd4Ac_)Zw<4b+^B6C zg_cX(r+i*c;9JW4BwLxSHLu9E8b8Wta>OS&tL-1XrgWf0^PBaYSs`(HcT4PGgwm z@zQ2BB3{I`R%sC)v!qWYBsf8Ic}>Y_06XWov+fMnA$kE|wF^3TfZH?Lix@>+$w%yhYsPdDOJK>W@|ndZ-f+yM*LhWs_rWn>=WNI8 zLZt=AK>Jk^>nn`oV$pA^9k&h_S|8*5UY~k_AWDGdo*Hh=2Pm^-9g)CQ1mvaQD4!qy zfsC=HJZwKAY?L~f;=t)O-?#R=~>d16+pwI0o{<|(2lAkl>`@i;g8T_#o%h{ zs5JJt_N(g#t#^G78o* z+@#Vo(gq2Mx6XTY`4>owWfgUuM*(U*JFX?)mY0|)_bKqXXEVC5`igt-5o0+6SJvvU zzy^25gO^NoI{P*6)tj(3w6R6~DH&C7O#*PZ3QF!x(UGmapbgm$F%1yHG?Ow`s-~|e z7hv8+=ywNwjGu#81IVuwvXOdFt#xmxt6E(JJCx(r_T}El@(?yXR?L4cQ}%loYBvUL zVbP^=KspqtUZC z;NrOoLcHTsTI5LtmeiMgD7%L_WnJywO7N=(UxmDIir>#p zg^7bi7-ynX1bk}yUzCVD?*_sW0@9<+wdyK)D_-8*4?Gh->u^;$^1$; z;w983&WpduW6=Ggr`V<}soT0j9Xj#daHwiLk^Zfl*44H6Mfq3qQKyQgUtu;HruMVk|l0|0aunCXB@ljFoV92zLlf^u*3lZyl zS|=O-WRvUr@wqZV85sh?)Z4ck=<=#KeUl*Tvlm*XvrWPFY}er5bME`2wkQIWsR;0-BBC8|H5x-+l;{Z0MTRg{#o;J50E$y9w^oV$%^>4y>BuT9J6E4?f6gTyB{ zqKpV%i32j&2#fNw2y4huZnF0szIee>OZ(UzC5zFJ`iVRD?(B<^gjdpC=}8HikMu9r zTkMPTLL}i|3x7)!1XyXKi`r6HYdRYfy8t7fy!~@NJLl1nftR?JBPlvIFzy(I6m%a- z@4vLI@KdysvNGK&z2v#Y=c2NZ-Ez_P3DX=6rl=s78#B8I_NDoW0knw`^WoGg?*PC2 z{tSPLX#Ey`fH0*r&lUQrhEN}5W>e{8T`=Kr=jEh#N@S5Cx)OFj1BVpKuHW7e{qFzA26`9A!t2P%) z))Y|W_m1@rA8YQtx(}7p@cx6O0Ews3OO6i<(+jEt6&zMe9(_-j;hm+pj23ZM;o*6F z^Oi1WKSoE^G&6|cXIeG%?eAv8Vu%u6m|deO9LIX|>gBX<=R_P-+_wGvxeC@Mb^f?E zJ)ylz%+F)pF+eqIHp?7y<^1{cImZG9i`{J61{99CfclkGu0_fB5^Wn+@qwOE6Yn%M7H; zPn9W%@afpl@9bl9bF+AEXr)VJ@{c;5_CWW&Zx2Ir-DKH1oHaEj)2pcVrIlW$lr5sO zqjv!dCtc~=@3F{9U&x}zm`%qB;je}oadxNfLX+ec zm}77|BzHdrk`}LpessPI0i^FZTZMIPs1-l(oZV3SS>{|Xm!fk4zRhCF7rH1H2D9rL zstBAlD=>3ZI+T~3@3e&U^`B%!xMoLty1Ym)*doGa8@;rH*H5mXUjWUCwZq4L6G1B} zFBUiCTCiP|nz}jdGo|HyMg2WNUwnaF{;d3syE3FEq;+;;4yvK`Eqn9#?#EnJW`t|M zWkU3^wm?c!6C-;Nyy@8I=1cq0N!~joW}ze`{OC8S>GSjJ;mWn^j6)mH#>Al6s8IAZ zRjIHY_=YC^iui;X9sUwIF`zesd^N7~3Wmgyca0>EW2ka_5BS$Ma-~6v#i6Q_!4F9& zmAwo}zKXOuyRRL-{hmnNC+BSub+@DTZUQ#Xu`e=%+MLG1IL$E&`rjC}1?M>>V-#>C zBNf~gzp%-m(;B8=?KfFx5ZVM)66v8eEzZU2a2Cl|14=hLf0}+B0%=-qv56Um04jG$ z7F!JQ4neinoomOqlr`#acxg5@HXF)6_p?Yaz!karrbXuAWn}FsF|20)FacS z;u-DrBi*6Mos;W08oK5X^k40d> z0ApropfZ~?yXGTd)Qyo> za1*EB_WIb8Q7*+y%d}cWQP)iZTGXBS1jg_BoCg=f5t?_+`~t6)^_ApAySzzAh$|kyLAh>a#z_2#}Nv?F&B@o=an84r#2;<&YPGAfyxdl8aUiKT)>Qz%Y8!y%q z+;CvtortLjXgj29)F`vc2;K#$ssi2|He&JoXzyAc%nAjz4o zIy%;4IT%gWn)-qW_J$IbOPqY1m{g)ahH(Trj|oyrPV@Pa6VN%10HKK;+~wGlaNigWRf3z|DlY&00uZRzJYp-% z1`FeHLz{R~QjqN!&$a~-0Gfaz4MkR-7qv{x>Sw3y>UIJVvwr34frO%JaZomB5F*Z- zPHvN{3OKAPG&WkZ0#sp7v9Z6$E3-fCyOmP&kYwvzwEfY8%U=~r<~NYxzrYf!3Ffa_ zQm;&=8>yn(K7b6Bt30F3OIcCip`bnjS&!LgEhZu=?}s1q*|MIKt7ZL<^{Eo5V5QM$ z3}K@Qg-*MQAI)kg2bJT6^AAKZk~;BH7IT1@Z-c7%IY6(Uf~xC$OH)fpN9d*<(2)DH z*b6WDIxT`HAb__IF0>zjh>@%}jU}>43|H1l@ee@`&zMvUj%^d(x^>~d9LlqvW2%P) zHP{6NH$ecs&;tn3YO|scK{?KFA_eXD@^n&Z-9}$RyizdtYCb#7;pN2$zKE(ZWx4f) zyB)s-?L?X>b=4BS$W(8=O8l6Br!c={u#tBS8F2P!(?_qGF0!n@Xl?f+y!;cT0gZ}~ zJ1WJ>@v=PHJw2xos=|}z+HoS3ol$}H@R!%DOEf;i*G`PU++UOPBoan7I4G+$GV~z@ z!s&(K_@P%v9{DeA16n^GeBQ#P5jh8hHcG~~WxRn-Q!Eq}MFPY3Em&(^wu41qN7K)T zH@o*y(7v8Vsp6n=Sfstv8(yNSiX)W9_(>in#33xZiAzK1I;@YFFSLn`M|%LA-_|V! zoZ`}?NH{RWSF2;U0mJ1Vq*-J9O#WKKGY&Qu){!Rd=ZjO$S@&v1ECqcOK{Jwvaa+4aJe;^UM+tIGaD9F?6@Q+(S*x!%NjybU${MjaNMg=%gmRp8<^*Xt z>@upHK6ONwx8gm`_n_>zI)%|r%N2E~9gTu_62<#z2~0~LF*r>XgLXTH<1RTYP1l^4RV zb^Ypf^qNUyFMB~pP$TV(qW8e?15bT(&ZBEQdq$OJSOf*O!^@|_A$PvMatXr!8JHN z-G=^|(mRPm{_cr83?FNcVx;ZW8xH>g5vslgCyax|wxMl-aG4xrBYEcL^#UPpkbFG)M-8iIEK#-`}e!>?;!iL z`BUoAD+Kv>;tk$uY&|V?mcBICQ__m-+|ei{Mr4?IAvgHp&BUpB;>b($SkN6?_~xQn z!~|&?DM1m7tOjCOm=R0U(iSt5?3-T?nRN-mL+=e^pR`X{jtcGvw=_z1Md+)309(2#a&$GyhpLNN7q&&RE$JM*dfOG!Ihn_(z{fSaJ=!4$nY5Cus6uHwZ z^K<5w4;|MEL&Ii*43_k(0RDy#;#?D#H(-C#HZ`#(0FC~x7<8CCyquz zQ|s4cF33@UcsC+Up^WC+$Tq`uJ-5C%O_Wv-Hx(@a1LAM4Dw>+ZIf(pWw3$I>K-8vyg*ma98 zaaHSJziIcHGi>mP6?P5&Gj-}Ey$C)10>Para@5L)zz5`F&FNtHv71*hPis8HRqPvw zXHw0RvBHS;w3z?50q~kj_v~GOwC+_-Iq({86=vo<+gW|NL5hYMl(FZBhY|gPluY=g zQs$O#DXKViNh(G(P>wJV9y@VLZhS#07PKHm@lA#)mjDDST6kVRky2Zl00e8=Lee(A z&#Iem=`{iAk=JNBG2wQb(|r;lyvbZh#GF3Jr!Tl(plP_2VXZN_FydE)klA%s6a8h5 zoJBQ;OV%!4e^jB@TyV7=7K4%AVHqy-|C0_p`9cWB4NhK=oZ$`OgC!KXERzW9pEzTivQ36DNO}@fxD>(;l%ljg)PD5#2 z>BRv~wpU3)DS7a%eBScp3RA%bq@wf*#nyf7SQPkj)4ZF$C^sQDM-)q((Xq*_@IjYT z{hX+A=Y_34j?P$tTNy4FN)c*I^Ule6>b;1aHSg@h-o$jT+51t>A{|K;ISB+Gl5{En zKk^Zd%mihq4feZwi5&NX{us4CM*5H8{$ptV7`#6Q-V5DfMCdCZHZRPz-YJNBwSywm z<>&^rzj}oMwbdyf2IT$$&|v^Os@ImQe1r*`820&QWFk8bJeAdjC}EVK4P$r*eKo?|4e7L>yB)!6E5i`> zxAWVZ&B$R392c9nHNi%FjDDs7^9#*cZ|An_?S9b0%u1%{Qbf_I$6`d-A7lQ<0RI@e zKL&96a?#uQvvK>N-%^Ko=qdLh7!9^mCfM4`U~BJ&tsRWfZ{$tB?JD?}`+`LkOU%ugw!=A4I>O?!2fJqRos4C;hxt&&b)N$shxeZ@%@lsY zd~u4GaR+Ahr-Lrtl#M~cLc~l?0`0#0YBz~gv7f<=(ehT2TfC!1@S~hb6)ONEhfj6n zTkFa;9jn*A1+hl8C>@Lc`v4#8NXZNzCZ6Q5a4ogqTy~G)ZkGr?|J*jN^)qN(FH&xQ zoFGzeU5kj)N7KlA=Z)u9^4@HO+&X>&lh{`zwj1pRI*`hODe8A`)J6q31>Q1bdNS$v zkIcJS3ka}JPaN0te(|q^bQK%{1LEO|#Vd5HK*N9FaOg8Ng}7{6GIA6%=jeK{thFSY7oPc|L}Jh zauS;=0H5LSFnId0nc&w7e`Pj@8@=G8{{NzcHHJ(U-IGpR$Gmz>JzaRgA>YA8@H6Cg z$x<0A!CH;BZr#ZL<&mw8(K}y!0r9NyG;1@Ug%UF{Ps_W1`8s0>nf1kxN(MaSBih@m zsdbJ3w%6rGP(coXd|d-Sxz?PG#??7LF*y)`(=hV2rsFV-J$ zM?ptGda7!i7ezi0(S1AVWZ+nYa!syVqELKvgQ#w+E96+V|2TfnyK@% zw&QewDZBQYiDv+UWY;BBDsmM~h;yn_JW9RJ!{!tRg~4Ukeim`B&BXhF+!-UvJ%^Qi z^1Q@FXxOuVi(C~gL?bzSZ}WNgXDiJx6q8cV*vQ+$7uMA$a}jbwIz*IuCNLln20SA{ z4o04yJTnMFfPDm|+7=_$D#K5FhVq&TQ@ApsFHwSYOT?&xUj~8XTfTL9kO;Kz!c^Id znZ2rcF{zXeN*()K#G?TWXMas!{3Y6Xr`0g1F};ZP1-feEz0ffKNCc!G~qhgQSyCKIH3GK{2^=9*lvbLgnWgdAKL!Z8*#oyu*XQS|A(Je_CF{;ZU3dHvA!6L&*3Yc!neG6wG23G9D60?vj+3( znceSi`W_ux&{d3Y`ySbJt!h7sLnZubLQ@xD{wQs!(gvq%YQA!F=NirXs;d7(>JfJ% z)?W9&60X}*se84P$|gqdMv#9(lI-@{?E zCz08gm@Pc4gkA*~w_9m33EUUc-DC4AqQV|JN{W9J2}5{s<_t`^19A8=)8hvr^cx^q zG#cC8E2)$-uA=ZHK6hX0QLhX&8Tl+>CTiBu4wK|@u0^R@8Gr0O?7+!)=y?@1ca8Q0og4s=Tr_?AI>6~qR`8My(F^Pd(*}b} zf@I`?@F@}Yp0|Ne4#aTFC4nUC=p$|WlI(WewE6%nFW+8hm`k-+Q`Hi$u8EV9M<=60Gu&fP@W;L*U(IDY?>u7emPxR zemiw?{S)_;Go8T;N8Tfnyd)sDij*v&ikpcPP@B z76|C|T!wHdVD6BtI4OPn3TC0%g#~VA{6Va^V@$!|X_-j-aVf<&1&T%ixrRoDhDHS( zmOEy+aNisP>rRG<3V6^yzlydNdnOMsf*1uD1{gh4t8Ah?WRO(>CoGIbM!L;xL}W}4 zNrd|j&Ai0?`O)@WGc;%zQKAri9@+;!UT{I-;>(5g)Uk_kzaV0(eZG$C!n6){139Gi zQ1gdMVPY#5zq7Wm5`ufV>+X?*dBOcQCb6=!2xq+0!IVL+SKQqK{uR6rg~NOM*Qjri xUJRfWe6CM4;FCvU`?mTNU}zX-YIjErhWp95CWh`NAXY)`I)w^5I{Ewe{{rAveV70M diff --git a/cpld/db/GR8RAM.sta_cmp.15_slow.tdb b/cpld/db/GR8RAM.sta_cmp.15_slow.tdb index 424e955ea166ab691f76dfecb11f9a2e256ffa4e..f1a79b4c9de0d1477c1dd3dfcbd083dc43cd25c8 100755 GIT binary patch literal 36668 zcmd?Qi$Bxv|36;j5FtrITID5`O2{F@I!VdlRf>cy6_UeU8s@w$$!6Ht*zEXwdc8lN&+l`){eHLaKk&V|-F7{%=kwZg*RJdSc--%g`*Sqc ztXcCxbuI831^gWcTF-!6QNi%=9cC5>4;?hyaU2mGdVPnvh53#{R;Fg=rsjvtEOvMV z+=>al7O>-Q|7#&T%yxJ<@9+w^y2J5$a5V7Fdw16Xw^_3$^1;8&nqh_iw061wY2((; zqSuePUKH`&gEv3Au%-9u)J}hMDfYVpF5oO9RCV-d-Ttb6M5fV^scKL zJ-K@r%;v{lro`idP*08D^5bQ#-k3yc;s>^HE(FH99KyzTJBSMN+_2=tx93Y0g9dG? zW2zGJ@sVLFrE{Wkt0%B#rPXkARAYa7bC5JfJfjzr8&{4cAysw z36r5H;XfhOd@srwFp7dPn6nN>IW<5g&wyp0Ftsvbh&2;4EGlEYK@(X$Im-o~h=$|T zsm2+0j#w+#4=b&}plZi+#DeNMY1yF6J;dF-`&BYZ)F6e}JA8}tUO|O4evo`agm04s zbyt6mtP6>hHcs-0m&asfD|vPxG4}iYR@5zIb=jP=uwZ|IH%3XGKEdZiF9 z%B!7N!|fSt)XRia!Evcb-p4T^GW1BY9W&0W~JC! z8J5qLg`i|S`xx0>=CQ|1`gfcgtB2sZbJ?eo>Mo0;n5wl}?(XhbZe=aiA<>Ru zG@ds_;rRH*e#{y6X3tgz&*Z-ZF=jbjXemaH7^M_rWdjzymYFfwu`$c3vW;<6gQ1o7 z;cuD9kY32l?B?4jkRV4q%$S|cMMm{Pe$8%MBRj|mNhMtAxiGU)ZfURh^bBQQ;v}{n zSAXe2STAI+zx(Ssc1yw_OhIZCvOvXR$x+^zjRI(k`X0og&WvVvjhHW4mE{_~*N(_Y%PE0HzyORbLCFoc9y*z8Mk=rF80Wr}r$L-PN?sSJJ(&*izE>7;=wujRtZOeB|Wr659xV zDMPkP{nPR@P49D5IO&>DO~MY6Nz1fTT!5fS8M4;FnpD<2fGd|8Ib7V^lM|7xwiI$6 zX23a?hEYbDMzHuO>+NpGAr=fwO{6L~eG1)dsy?|)9X_Hh89gR@Q0<{54W#AQFTixd zJMwcRKWyl6=U#NnIVX3Gw7VO8_mHoPIpdKVa4XIFw)+FlDbB)~35zE)%GRjuma+w> zj$idJiGyON(FXycKh?_>IvL9F^&su~oE6AOfwP~RG`9Xb<+EgF%+8$A_*qhv9e$GL zpgv8?bP}v^bmXTGFLxll>jL8)ICeQO7wPlnsk}Cs`Qiyfv5MfIW<12JMMEh)U9=m=X_wema3Y0$Y+^QcH`Vm2Y; z0iSG=lmDfW^G~#@>Og7iY{Mz3!_xwJz9bPMZdXRFL7t$+f9ph=hx-OvME0ggcPvqq zB&L5fX3ss%Nnx|Zy2`}eoKPbFx36+t%tb5ky7QE@vOCDqYKwIe?Ubmv08zjmaaFUW zQngg?6v37D-0GZXLdMiDWz>2k^p5f5m4yr2GKwMA4DSGm?pg>qf-D1raW5ezSEnxu zo}*g2_wWuF#++*P5i5YN^;+i+#T!iUOowzc31_}^$Hf&BuLQ{nGc>_@v?bXc^fv~} zan>cBvZ5MO@(N>>1M}#H7xR>j zb*^=?1-)IUUeNrnS_5#SlJpIle+5!Gs}rXhJ0a2}z-ciKYu_RRhruLNI$M`cY~`M; zKe(gOQ4s#=EKc&yZO$~?{EgjBIPGdX|1WMx8e2_vgrwSHeJajhV4Wgb-oAmlk?u%i z88+<^XEle}{Vr|0bQ`B$crnmU=3tLLs0z~)Xrz?kGg~N%ZdRiczcvq^6wo<6hE0DZ zU8E8G0%)D`xcQvMpB(L!o|wsLWkJjkQYGVQg!Q39(`T9LdvpT$} zvB*fR7~!ee*c7V1&F<>CITf}+Uu-J>K4+8`s}Me^5LN2H@sZ+96G%mT4Tg2kSBj=C)${Kdr za2>|W5{R^p}br0wak8+Uy2Nns+S8T_L}OJV0uBC8WAcenlEL>nr9wFP8yPXDHmuY0z{~LnhFW zW5_kQ?e(C#YWhX_y5*Z=$e9sDZV1KwQ`xOvSdaRU-4m?mz9m~>iGR~dq)4$TlBU$K zPZU0*DmqtkH&5fNznThM0zXeV%o26gdCH9E>tSsAryq;#73eptl zzQW({sX6GNkr`jyJ#GDRuQtzqY@uEcY_w~c(wwCDqzmnf*h|CotpC%<~!yda(Z?NZ*klW#ajg(Db?TGKkrM5q16|Lc_9Q! zz)d0ya^w9PtsZ*0Anay*EiD*24Uvs0X7Pstu0Q9?zx6^T%bRW!+_VmN_YY#P1EYPD z0$(55%SeV4Wk^4~WILwMJigfyv~GS0+lqCJ^AkK)#%W+S)79u&a>Tsa5YYXe2=SM$ zABdT=6!weJ997}13cs#O3#+R{g`{X;aeYWmj=T>vLql<>;BK>bfC#UabO|_bnyR5z z7+Ook#LOj-YC?9;CG28|qM+xtE%sk^%aqohCi3sZsy0P#g@iu3+1ahA0G9VlI_N07 z@P>*z206q)XdDw=ys3fOA7M6WK+ioTsNrN9iW3B>niIMcrkvvki>zBjion{|k=W}C z{|@-Y74f1Qz~XrT!0%PX6U}&8U9;$9oL3xMnXSmy1~zZo{M%lm2o#~Ac?nl^v6q1G z^=g^cPo0Q0%iSJ?&xZ$ zxLHl+<#bg0HV)QDCT_7ZRTk>{HvxGDe~QO;YM(p9d7h#XJ-KWY69cW}e$=nir>D#D z7vgo1ZnT9^iR&ZXHZlD0AGkh-$mLs#iS9}<8*DxLWw;oUh9GLc5zcc)Ld=Z zS9AM#n=)*jDTtm$?@*Y}r5bUH<@g=!^Krw?k_C~O_*ru@F-Z<$OM;~5XK{LHPOE%S zv9$UAy6_I%h{!;EyE%TPz(6*zwXW>$B)zi1e{80{NYP{??<^_aC#LqQF^o1L8?Ve$ zpG3*)4eSjw#8@*f!o|h{2LO^Ys8^L60ILR>$v=+9d9`2=3|0n8xD%{IRAa4HP_p@*f zMxTC>UTxT9D^690XiA}J7&(-21VrkdO4P2;J#j$rpgDQWEz>=V)4{N*Iu3Ru1e?*O3|B)rkXErhhEbZoooX=|W)k0ad^*MSiu<}`v%z1^ zVSO7^#yaNo1kKIKg`=&YEC!ea%I1X7HI(KjlT5@#!0A<$HrDZ3N!+c>UJo#REGh9n z@@ztX!ZHFfF`pj09+-rwxCEG-um$WSNae8P`H@>U5cfrZGIw%JF z$ADa1+g+q)Q&O5~21utK{Hyx!p6xmXyvN&O!rWBE2_SD}$d3hsKlX;mWHXvu_*UKzP z{0!MPx`>2&z>&}(C*zQUr^-|i;Rs3NHcE%CL?UKKblDSfIIXl0dH!V6?!wA|_7#uc z+97^U4w)a+g{(_iCQMy`6-CIs^04joY$pToSwmql?FIIGNnO-oab9yW#51L5ePL*z zewa@-sT}AJ-X?L%StgRKQ^q61>zNie|a zFl;hNI+_-Hn?-oio&onV78q(G4aBdMiEE`tY0`#41tfg6(VhTDp!^y&ktiAg1i!=2 z<`mHRj)DUmhZIrFxI7WSOogyzY5V72v|PSf1bD*I>5;qb#L|oN9iMs0ns-g??+`qI zfR%F8Q$&&AH4_J4qqZRtX&MlL$RUYqo#Ddn4t`lgX|;alR8h;7cH1*(E$YQN&@hchD*`O zPqse;p7)Fxn*;GM^^@VMF^d4#OOymi;43W}CQX}Bl!;&_(=kjIIf=|jgRm>*(5T8fBGqaQ zD`-m*N%hvlwd>iqbDXEE9W}Tn{TjV%SJJkoGikY**7rI0IEtDMx=>RRmc%+Kh>n|6 zMrlDUNYoU}CY$_)(83^+yULgY>Wwr31T~DH!m4Qla*!{>&*gEO>T_kg;#Qi8lb322 zDz_qiX%13QYwkUcJ3Rq5A_v!`7M_(938>hDis+mCa$|&depwE+Al& zR3V2**3B9@95^jqoHo%Q!o@K#-%7zReE&jqqKO#f9Y%*iynj#o0rClM&?J$ z*eZhOs&|2u2c)D8V|5`Afre%gh+stGXTozL@J?dCG?22)ilmXj63IP6Zb@N@l)vCH zj&va*^)JWp08PXl5nweX=Qu{1l0J^RT#t~N z7X=yX?wP(~ca0ciYoBs0BC) z@6*CMUX(lq&v;-EXDKLe&hoGlsi7ssXvu-lvgx9SB_&5h#>FUWPUyDYLKPI46hfjt z;EZsXnyV6+#A-2iijx<7QHHMrf#|NZ$z4slNug)I_Ki7B9>k)vEOpAyTrEyd72_G66P+amUB!Ksg`sltu7O?h5rB5 za-@GV+CffYUXyXunR)|kM?++{6NZdWm1_g1WaTmFH5`IRkT2cj<3ysUaizaXib~S;TqS7GBL7J%*X&R|@ z>wPhP=N~-Yo?55ji-|IK*obuf(!C>YR2e0Q3`k>HWaK*uJUC|!#lZrjGZT4~mR&+Z1?lj(vALd)GH-&Wxu;XskBJ}#P%w#_MtQ)| zpbf~IUSH_I)zPtv71Q+xir6G~^+NwAQ|#7|b@S6_S0kZEIGoqBrp2sanDDqQ1u5I) zX~ggXi@3dBZX^DU3Y`0z1ZAiLNvAbebqpotT@#oAut%ww>d-ss0lSinnl7ZF)|%?k zS#(Fap7zX`WHrbh4m|SfFXCEc1WoDlq)xDhbKq?X&RX zutWYzvFE9+swV}WaRgD@_p&AXm8qNQ=`?-ArbFTaWyn^-&Xy^ZZv6_bNAYIikiZ+n6K;D|fBqZ~_SfuP zkRnW*Kqx{14JUss+sc1l4nt}AB zAq)He|HT3@Ka17*?MRei74QzYP$|U`V&v}>R{mer)vhKpakesC1!YevXze&D@aA~Q zv311xkf3Ims(AOb>^a8^$l24YRn>s!f%`qK5sm7#D!!63%A?j)C{-t5;Rr~Q1tiz7 zeV0%O>L@Z>vE51l%nK5AZN>+aHdPNQMdf9uow+)*@VJD_gHSDAuOYCIVS z6S!(lXiOX-fl}*oIVqeFxw`sN{0Vx#0u5mC>3OI z8gUJA2j_A)(YsAdAD*@}2yRs7Iq}D>Z65yD`&>5@~qh zgQKVzl6t|LQzRcp!JfEIWzhd-lRKTVI@%xEJ~2mXts?x{Edwg0zh*1FZ~j+o-QzGh zBbw6PO@V1dHHc-)luV_5g&4$$ZIhWKIUHf2VkOe;LM^SB-!di6jHZJ=)RHt!a=*)2 z;W%p&HbQrjAZb7%{69E=%2c@?_?Q8#jBX@H?aa=zYdYs>Ly$)5F*WG zwh)aBxbhe={D2$`B-o8LRflQS^Rera5NY{$a1S_DoVO{Wl^+1zq-c@KWlpUSpyI&V zC7nyNPRUjErM?Y}e5VZC7^h09SakqJEI6QZnyqamtNtE8(gv{i(lGzS9<~w57{dx# zC-=w_El-}m5WEJnwLaI~L%`CUSXCqLp9P0AbJsWFL)f|;id-Fg?jbyg1XJ^+Hikwz z0L4`V2*ahDo{9?*a5a&d;$datI%#woOdVwoNZX1uh-Q7RkB{IbAgOHu497v@rkmLj zk*sy7zc`70+bU8an+3<*Q1arG=A~h?EFT>K9)K$%ou9)A=Rh@)`r-;@q5%@nI%|pc zq{Nm+h55`u!C3MKJ*9ZV*TqTn#rHmwRI^&Y-5lHe@)>q1%ua1PC=dKtD%-rp-8iG#yl- z2RP0LyK$`riWNPNy~ieQTjvZtUjyGt2YfVne$N4y6p9)^1Av$Ir5nugkmF01wu`?s zOAyaXu4~mX%%@kzLl&Mp@-C(lR6=S(0J9@O-8bOAZnj{)CJv{KJWdo&0D}XNSxb)q>S5rZ{t6gV8=<4Tm(wx>E%x322nQGP7T1Zsln9Xm^B+iR( zsv6g~`qup48fvRE zwr@HO%vfr&n7OWE&gEY+0=glKN(w+%rq&d(devu9Npbb6CKO297i#~?-h|C|sj7m6 zUxfSa8t^thSdw_~*VQ7H@4Gi)*(O9oaDv)SJS5Y(EGqXA)MEaD!HdF=lRe&5#X*F} z^;1NTm|8`!)Z1(r@EDwh-L2?_ps318jM>i^p-a=FBJLsZJ`-Gg`J+f5me}`eOM+SM9eV~Y^GIn>eU2@}%gu~%KM+K%& z?xtqTp2$>xEmQGSqFVBW%V@W%1>a{)f8Ll}vhyN>_HBH`d^i_TSgvaI=^it{iJBeu zO+c)!-Z%8k;Y54;NX3OiRT&A@#WhnG!{QS`_%}Pg#hqCkshex9?|#0xbaIQ)WWyUq z)57+w>7-C2ZsVB*X-iZW_vv}QdS~$OuAEc*Xw#Ijg|6YFPa1W$>=k=3NWFOTUZNzdg@ky?8CX*}iyl=xL}k zzxucA($ng%1qQ?UvICc(kqJOs?A;xi;FmRpI{P#qUE~yGL1CV&q=a0VSR4rc)EV`)_AH`7(J{ zL4B4-{>ZdiGKN=fSIsNb2FBaf&# zpT<*YPG@cRL+9~#7WTt8h`>@sy$a=BGm{jVAN~3Hgvh$0>3mSFrbWd9j+^N9G~|TA z@Z;`uTOtcNVz|G=TC}e-XwtT4&vUiO%Peo;nR_?k`+NO&8@{nF5)|hqbILyaRd~c!+c9|!2g|zb zFCB~(-g=BsYs{P4i8PU?WPH`VbmIzC1jbpO$>d z+ji;WPlaw!Ro}vHiF^MU*{-a*;?G$>SHQtel*>$5;6e=V`PsKoF+X$KD|m+9O$O-3 zCzt2mpxJlOl%e*~y^lYVxYod6HsVI!$MxWb_I;M4XTUE^PRkUt_}IHUN*CNl`tUwX zs&njcx=gKYCDxzVJ5WOdk;@(b~$E7V`$%n@X^@4A=3d#JEa_;Xl`$1sIuJ3w=BQi5LSV~pD_bes{yMa<2Ae z1SrI36|{f2YWD2yCo=y{IpOpD0PT1(3vpK%xaaH{ECf^4P7BzN0ZUvTi_Q;zW=3H1 z?FWMKPupfg#3rtR=OFrZQMG>X)(65jP5`6FF_i z532uku0Esth*IXeaqiDL>n>?`&a=p{p!lWFi)R(iv=2;Qc-vVOiV&awezWz~)1=?7 zkOx&cIP?9ZU-c=1-i4ZfjBw8}UiiAMF_~wGcX5>c+ws zLMaw+<@Q?*UVQ5I?b(wseDzq4>e9#6?=8g8e1chllnLY~ONb~DMw4NsdR)#Km)Y;b!jr-#a}62nMUKZoI`y`!>@UKlhE3|_JAYeP@%o%|VAu@yXgRMVYdF7qOPI`S#bo3z@7!y@s?zw% zpK?0xPcCAqMII_;vzLiZv2wlj`1U^d@0FgLgfF#+k4TOP_qpNbLbr8CinJ+Lzb(2} zz7qGmA_?>#2cY0j^^Q)yXzi5Z_OrpFygeQ+>~FUok*(jBKa+O9rY0T&Pky=3aqB+W z8e7iFvgI0JDIwbobQ(Vv{^6PaJs26e?g!-BxLygX(>%2QmN4o{13}zu-Z~6A=FP2> zTyl#QzIbej53r?_9WroWoBgoejjC1BFn$GYlN>a}??*Q`l#=th{#yFD#YE;+ zK>TKH#O)J*s!4Xg^Q5Mh!ZdBPxm$2*c|S_=<2fi1@$P!kVPFr0l2&d?yJc>^sc?IS z4q5^|bU#=k^$)@JJV>7DPPJ$A^hECy*T*~rZcXS}EGK7$e7qi?b@mbav}w56fK_0S zQ$peaPg7-AT>Q>?>{8+*FS%^5v~SXHw~Y&BFJjNT5Ic#rZa9jAExb@!|2L28f4?WO zGNh28;_?x!ZPm-1CPh!g=?_jYEit|E#k*(Cuo$(@G5yoL(EJQ9~gZ%?GO2Q~LkJi6Uk z2r*WY?AtnA4!!1qD;~%^QE1S1^h}gam)Z}))!pIKM<#1MM<3T!eTaQp=2`{E9SBYI zVSmk;3F})fvHsKP2}kT36;Bs#w7^ls&ZTd&u-|_&tj|1Yf(9fXOx(~bI5qNCOcsaC z&u&vL-j}@o2dZ5Z_H5)mwJ7`#58JY*WGS(K@?DZti+^y#j#ZbN8=HXdK8IoQ|AE{Rj{EEN2wi_)LqmJ@5sr^cb?2b1r(3?;fUO|? z@PLX4A$Y+tvT?oV2os)p&V@fk-G`+lTepR6Dt|*pt??L}X?2KA7}xR~+uf`VOklg` zm>y}+7XPRC{^;f*e>WWe_U5{VO4GuLZu$Gi&SA07>@~_`6C#ajytAH!h5Z0l>Y~&g zqok5v`vS$+)JH1`*QnInM5i$AUb1%%A74c~_b3vp6m)p>PA}_XzRq0k(a9}319Pm| zcN2%-S2qogv_G1D>V=*nZm`l(xYD#o_r;Tg?h^R#Y?Pz-vX1<6gmm*O7qjGTvRbf& z^4PKnk})^WQ}Xb{7|7?fZnj|heAny2sMsX?1MSq6MzADybx<)9tk~tY(_F8|>3b1* zRQ$J@w2Nu!{_~R81O6>w;d&Irzj!0Lb6KHQrX^wfp9eZ66o91L(n{)9=o5lG$_jUl z!+U(suCYI2rm{!U!Q;5(0)qn3dkx_CN7A}(4ePXL-btToSLn7n?pd#p@*|7DPioTv z$AJ7NQ<%-{K?8$lVzFm!@b<9+MfLI+A!}&8-?Q)^#Pe>%hgCVn2!@xfB<1nmlB{#E zc4ihSdfSIr$j@Zdda}*l96~u+CnMN5`5v;Aj8Y{>M(+_87fw{D-f!824dTus=r^!B zUUC6jOhzyJ#sd<@>+{vrVbm<4h1BcGg>PI&_zBh=qO{R(KFh(+eEHzKOFhQ#*(7iA zoYm6km~$X?P>7$`>&|I&9O0u4m|^+@-cZ(+%K}(^upK6y`iq$9Ouk@zqWY)Me#HX~ zNiaRJT+=t&jd}mL)R`6gC&X4_2Q0Sm!-_Rpx{kN`^T#4LN=yRYsd%BB`VuqGd(s7BsT*u99>_pALJ>K&n%nvf0PPoN;`;D-;vhTC`c_ORGHz37Q}s1& z>+{NXATJM@kv zhWk}Q#Xwq_OFKUN@>E}bL1x*tL>8I zt1X`*JgW^QHe%25;Poh8(dI5^Vw(dlM#@OmITNzLj-1Y&;J)5xFF)ALG?ue0a?ezY z5N0s;mS7ifsbp5NDq3lVBn0Cps>yLhazNhp=nJuUNmjMjtK%cXuAK>Z3v3xOE@0>@Ff!>*^2Dt8^FLOlcv0(ol+;ea zBgv{Y6m=PZd~#fIHJ6A$4jF#=@vFS1OQW$N?(zn);J`)WW9~f3Kmg?wl@- z#8#oL#EAFACI1iA)lIg+rK3;f5(JCclvDh)vKvSPvOOhE0IyGk?yN~tL*&YR?ioXLtR()uDvdRQcCPyH) zkS6m_17b24(QxoWTi;o~ZM-CO4t}`O|37*4QzVdAx#fD>7Lm!CBh!Tj-+uf_Usc{j z{G~$&3I}FSwygRV>uEYaKQdOA1VHA2{Sv~5oF(g`fY z;mZfD&_`tAZD?eovL}_fv_I`vj6vvqa*rdfekpSRA>6k!+~5lN-+bD_bi>7s#9zrE zXPKm!1NrnoMH8UQ%eGOZeca|VuRi>tJ{+RNzf{ZdjEcOZLXN+(HPi}GLRomrZMJ>- z-VHa&GUm12A(mh=pzIVT;l-l`+v_|jO>xE^bIiMEc!q$UuGx#qgia4&EW|MWMvNBToO5SHyQa`+{gV(FLV4#hb?MCRR}#)#z3KET{d5S#-ff_3N-iGS`B6-v->{GF1==EF}u{~*c=7U>6Vo}Y> zyU&@B4$O&CishSkS=c`*Gx~V=%G<1hFl#`h2n-wie+d;JqmkYm?KPIQsjmU zGF|#Xy3QloCTsB$!mGCn@n|Tnlh<(c+Ds6Zf}FJ+CAh&wPEym3tnMSu%b|_T&;$5I z&)TK#TVvgYLH&F)aQlx6n2$k0V2AWiuO}J(AQ`_UOkOb9=D$1C0?~Rmgnn{czvo+c zAv9pvZrz7R!*xquH?nNNb^cz624elh@aF>aM%SJa>EBq&im%z|ccLj8I*oe6Oj`H; z8JW8Nu}LBajwVxclG}LJmm;yC9H`lZYHd5S=Y+eNL{;W&BRS2pB`l|Wh!IxzvIN5Q zp5K{a+uv?2k52eK9DhL1Iw5g=!F!6(zupX-m0ZZ6_>Kx)G zhWFlgqi~e2d_bd3{o&_g8 z=iFW%%JQ4qB0|k1fgOMhXYwKDmyB#<3+#=Ni&_0Tr5BAh+t!qwMOR$AR*2LJRRK2S ztd%}SX%D5?S6kn?mfC%NcQGbgt!GIboqTjDO`5Lyu&6|Mxmgx`~1O|m2? zEbAePH!UN^;b;8H(vto7POm`Ed-*3&yM@(S33zwmX5r%gVrd)y;7LA^=gA%%>34913S6FPG;_H zCX!^nbvV>6u{XB?6XB3x#N{3|f6+sH*2_PMTS;Q2L2%+dH6dXTZ?P1fqu z*j?Ygg#5^38_mX^3Q3MguPzo{vp#37Y4v5ePx6s46uhP}Gx?cB)JuIpFr4*V_HRa!cKTLjpkiEVnBBsg^S1_cdH&Kd+16PkmaWFRPq2_u z8N9`_h!;^18qb>a#M$slUTEVRFY|wk#kwJx$0YH@jk1-x2leCkWl<5ln>v|Q%JVxF zFGgJXC#$urGMqs@NgZVRv?QGK(voPoQ8$RjOA9ik&RCRzD7F~)plBx4ZfTn=>~Z4N z6W_#1w}1FC60f#Kb+HoG%)2nyPE_q=4PHJFA6)Zt4dG4(<|?(lCw=_*xHbcWkXn?y~oM>LU%yhOq{t$uaa<0yL}fq^;0SUQsC#xOlaZC-J=;*Os! zKd*(B-OSu__9^A^=%vN6#rM_Xi?bdpyZsF(-J2tf>~oTHq8Gd~WexL583b)PGD}*@ zbJ{JeGc32yDvH;o&)VHlGxEbl6AptZueI4d$S)5@FNe>oN{> z6#Gi;gX&rSFJk>vP3CwVt%unOTWh~Fmn0C|+~~|F(Lye8ZCp(>#x!m|%$`{b9%Jga z9A-CYow7>YhRy}76MP!<+sM);-Ek*-(^r(Hv;1=~HwNq|%1Rj3i*dm|N8e~DL? z6=mpx@z;NuaU>aX-}PNcW@{s0dJpOM541^R+P>d9Mn2@P>f(6Xy6>>pUgj0Zn|GzXX#@q~JqE#<(eV$3uekVY9?+T?!g zZcCialLqR78HNjss*8O$s#QC%g8MwWw_l=SLgO`PNDm?sTLI7YrcH#o5aWL#ND_P( z%Ae;u;;tjz{)*Zp1ZgGMW2M_0B%6c-mDhS%pF3vZW#Ggrk*e&I=VHiI^6*S-hUuec zpALTcIlA&Y_kF+4LG|N5w>{-hYkjh6Z4jOZ&lWs3Jp-zUjCl%x5qFiC36>NeQky#R zAhQQe(0b7OrtHvmXWL}&ml@r=r5|o3Bs-{)nRggx!O0%H%^p5|xCmh+<6#MRfvt>% z$m1(S)MD5lfG0d$bdI9l0OA{FCins1v2i|sReb-iI=y1(2C@FpFm`wen5cKcwf3pZ zpRap8CqbIletfS@b@2z)J9hRWh?^t9Ep7hmT_}<^#uetgPHSu+y>KT47OVz@^OJNz5waVKO&WSBe|LEH+I+JeHEQiVK$?)dG`^C zA}_tyG}AI#NbW^t684AQArrHq{9pMbJ#g}|XY+0BuI9v6=0n-Scv`_(;23> z60+%_cZq8y)owBqDLYdt$GZ?T8MRFq_Sn5O<53&k&^*46m=4H+BR@cEYc>frYIX{B z8K@obn0+nL`~7YsA+?r-qqn}Bz9jx!LG4ONZl63pq}46s_raZH+sZ!xwjX>uPp4J! zh~yBDSd3DP$tJU|)+q_CDpTBu`VziAqV-DXizV6Vp(2Lq3HHkQAzZ(jE2Fbz`Stw$ zH01hFRm6$j5Fe@enaRqddl)Z5d_y|V-uQpre3U_G#fIkkao9e`K`EsZGcz5sFzXQP&9;GYPcvi&rvm7H3(zUJDr9pqDQE< zoZv1EVy*4)xTfjWee=p@7UMdf_&ZdDZt3#}iyA<034PoKyzf{j_``uMvT!04*JL^x zQv}+$?oSpa#AOdqCtUEZhh4QBjY0Q0(14=hVywliVi0!gKNV-FN{PKpTuLeW?G*|b zPw3G0U_PnLL_;z8*KU;PwNxO4_(QKlTH}U_-C%l#n>}0wls+cH?ne28RQo@?=aUA> z@|O~^J=@p}W0ImRzU!=ZYDgi}tTLXt<6pgdO%n1W8nD9t4Y5u32S0&)-c(EUs;k6* zHyr*nAW;5Q9v`e76Y(&s^@#FeQ?z_ycborq7w*que;r%N`a@l3At`to4@ZjLUaHvG z%v>Ve`}4N?is=#j#N^MWPl~g4KpE@%BA=jTx(t~BehKGA zevurwlPPLFY?`ce%1XaO?u!%mryJwR{&1hKjL!t8O~N~jC0{CHy(Ex_InUoHT>0JA zO^N38ZFX~l-AkB!k>?CK;4j;{&T99cN7j-PHV=Jj_*{_oc@_$kaR!kizbTD-7h`^tT-HpnIy%uY}?^jrO(6wu$4U9YGHp7MP|X;67+&kpMo zkgTTRzCuQ{N>m>U`YF;6g+1r6Rua^&D_ifGNlF@e*sn{?e^BIIvCiiY&*)yR1Gr&h zOd1(ia=@e4>-L8T;VlO1m24wm&*s0xJdnl>?ZdLw%W|cLm(F0>3I!*}KOO?rVRS)i z??mhH)1r+%_ZYcu41@tCC?x+cUIV2f=#q{Z5?WkDbXjESJvah;dNjZXs6){74|k4< z!fXHjG1OmO?VRqn?ZTFcX8;SCq%DJI%EjBl&O`Yj8WAxEU%OD=AY`F=WJ)@72)WL) zmx(3)Qvz-w#3mSEUg)^q(T)&aGdq5_s~<$&IL#(3Rzu85Wh048H@_ zaY>m%B%pWfEnzLPjR8&c@M>i)p!cmzg>B5XC;fp3fbBK=k55@F`Q;pl38dhj@B^6H zKyH1$dE};e`>&GtKJF~dS}(jwU$-mkaxpcpSx>eRID2KaT#kSC$IJ#jIS{Gh=fL%^)^Ya8=TMTb|hlRtVCZ+Q@s?a5*DOFZeoP;R?txXiNmIP_mEj+ z7V_>N#}B=1Hc#!!3!Uua#9^j!%VE=-_liQT99YalU0H*#ysGLAoRt_{^|nnyH3r{_ zA8;Jtl@3P1i9X0}&FW&l3i$7>nNr1>?4FJcp6jM%@UBX;XbAB5Sz1tZ?_DNZA8jy> zN_@6>eReYlZ}|T7{mFUUbKloF=Q`(H=enNP^BiEn4d$=2S((ir zD=n<*!HrLe$>;QP3$|!Jc)MV>VBED6Bou>o)Od6tFc4#kNq7U^@{?)=@`X0g>;6ME z$dOI|s;TR6r&{puP4q9)AjW(Hs{{3!27-jU@Q86ZTT68F*HM%|WFc+i*22ohS8eFJ zgPQ-&2r2-w@O3v4_8)c26oFza#+|os(4bW{255tWM8cdQH zK}Ij;G2ZLFUT;xPM+YaFTsLwbIBDhJ?&n2haBlX81Y9uJkgcEBtIg%{ zuy1zAXo6OMw;}QBCQYWtHH3t&u``06bS;HXj~*NLX2M(y>-UO}_sDR4+qUtX6HtTPH*;p&1K%{sao%peU_}l;1Djn+$IX(&uYUextFMNNc0~TfP)@J*N#T@g2wlQ&OX8Vt%P|Ty_s#4YW|28*i&L=q#m)s_R z+=^arIpy!>1O{Mo+}%9P31_qb%!5k{a+T4Hxm*}OWI@g@j>%mL;%nRG`*;T)GoEaS zp!|J9YSe)`kv{Qc7{D{e5kUC<_dcmn3+ndo(M`<2Ci35-SEPlU_DhhV7~=x`4v+}2 zB`&FLv}m_2;-^A?XhJIL7-jf(h;M-3jbHqueqMf)CPV8bvXD!^uAUTA3IEo002#ozr*Y?u@w^8QCoQ} zQZ11B`)Y1R!!L(G>SLgA;^!xA#%16$Oy}Zjs#I+9urY^YVy?avVKmr{5AdaN2W@^1 zm)YGnNXQPLIme~5cL1F3S#HLzA>svN>=XBR@McdGQ* zzd@dDISzrYqkrsPzlYR!WJ$2AuPe!VQ!!!Mje?!SPlku{whv_baW%w$K=%(w@-I)t z(#NiLEB8_Ao9*NlQ@F-H*<<|7G~i>1?YkGW9o^3xcW1JWPz!7L0OQHp+E9*!{vj2Q z;PlB?t$+{(r?yb4WUT9=qc`@Th$^ME`1LLH(}LbK*5kz5jO!gN3SUz(aV6r4A5%4A zsIdA}WyH8yn0cX>*7mJZq$J=$*wn1#GS6o&Vm=+A^=)29P|9>90xHLGYG7vo56stO zjMq>mCZi>GNS@Eq%d(H!E|Z!Y+rpZuHN`m&2bl_wHMklkHido(K1QFhnA%kMb@`#j zk%swAvYvH(nfob)6;j*g&%KS1(PV{ONwy2<2V~E-|9u>%^T@v~k4>jX zt0xHF05*2yucf4Oy(J{Q(MC$8#<~|!8y!Fr0$W%8_48wv;m8QTDt*% zLv`DTFYEy+&iYq5G2X4C`GX;+_aY_aR9#H4*br- zZ4yQY$}xR@LhS~4WD^wQS>SbzYGMjz1Ca--^Vk$uNqhE2y` zORr~;whzxV-kdL%K_a=FY@AW%rFS+FdzOCZ-jn8|8jFc<^|N8;VAXzv38?92yGgyf zOnbaH&;AF?G2hyLylGp1&yJ1;a4m{8M&bUYvFLZi)M_}`B_vDfByEu5>s5nPT}s|D zr0Mp|oNa7Q&XquYPjn0DGI=672jm0qOjrNekEdlFigVg->>Fpe%(e(yjBdKYO4|0I z;p0yR?Mg|r#oxxWk;IY9rWQcfzDwMdGiUT^T1UzO&idt79UA|2rey^5&vqYA#Te01 zEhld&jc`kSF%Uv2(w@=$x6@SD#mzh@&46~Bs_1lYl11;->Kxfm0+v#ogAgh@cb!D7 zetP(ornaq}Z|A8;!khh*9ZwI`AIxPj-_Re~vjG4?%(uG44Y`XX%OcPj`{F3raR^0U zl0g_|k!vDH(NWM1x)y&!c(aBhR;w*kH>x?!5s30a>2b}0jzXGk+d_rAx2I2|$zKiMg^~k?xFYM4tAg8f+kMfCK}w zfbA$CzR>%K*cK_d5PguG`1Vomju7+!?f3z87l5(0XtC%bsnii%$d~wH9Sz`>sOq~Q zamK#{VWkXpswO!H6xVTiD=+4|FEzd9@kA~U;6HqEtOz+f)v;;zOKQ^o1P)KaFQHD5 z%sRBS7+*F93XtOavEw|qkm8KA8eERU3h_9}@|PrlZ=jqv^1~kGCT6#1)G4kt0MTe3 z)obg^2B$0uTL9u+P~&P_XPXUpcGDZP0EON}-~&2CsRpFRhpsfeBebVqs-ww;!-u*B z!z`XoFMsOXC~o$(>b7Jl{#{QQlSy<<|Pd)FJ+r7|))% z?x@~E_)eN^qz@+Lq0!_b@0$o*{AYV!2yJ&cgb!k`{DRm3P#RATmf~;1dHfqNP9tA) zn=>)@a|vc)aitLxy_-CrD}#22k+wN7X~5N*b0a}b!R%#+9>q+TQdZoz<+KbcEw}xu zb2nCQ%iE9YEw7+Yd&mkKm%5+zd`oD{`!$vP;X3-smed>S^AG-dj6^CfhiTmZiY7S$ zT;yT6BY-c>O;OZ;rM&xD$Eg@uhrmggmoL_E54Tqb#<^Nf|5vIU?LcT10^B*v!qUqN z9Q!}U6&&JR!PAqbENMtL@#6HeQuG1ravsmGY5*?OKr9FAdBg&dP?UU$8s&@<0EL@tqB z%xELeoozM#4L!#GA>Hsy*hktE| z2Y$Q4M?JA2z#8RhgK~z%1GC`C%ml6ez6%)rWU$oo%tT|2bE`b0;_)pjQ(q>IjyS}9 z-_|izu6keJpDi&IKcS4O$ywcg>2TYe`uyWTPOj38?qKeLcL1AF{+l(2-q%VfH0dt= zg2$y97+FiSVhr`%si6)ec#$>RS)olEa(sn$s%bJ+rtKjGw%GxrNCEMxV>V+tSK)?0 zf&s)+;+e6ITPVPmVxSEA6M=}*IC>YDne_z9R=JH|NNUP zFBG?}fSoAzxr-^~-MB5CU;6(IyQv$`=K2q6z-^K_2|M4skPBA}(2?Y41M@I7&?v5h zP$Qk=fV@(4z-RoV@zxydx0R6DA06#1pnWT09&G91xVJ!z0zg#^7#h)Z)6p9bW4A z@rdV#&<*zZ(#7oVT)!i91_1Trqdxlad6;40CYj6DXZBmh-CO1JFr9mvZ9Un`*8i38 zMeGDR#bPZ~N;)m${?>>`RhMbt8w3!vxM{}t=nLHN8GmL7}8k|M3-Fn zzR||PEd&vz4A`>7*#Jb8!@+tt;%Tvw3;1ANB_9^Czk{Ravv&elXzs)Pm>OrENbDZ~ zRBz(-5=Rh#S5cmR@qavsCuvKn>IcSn`cR*r&zp0k=|Eu#m-0e>s_QT;wiWV_9c9A} z?i!>MLk=71m2D9F&q2)hBUl6FO?|GmhyqXeec9|cu~I1op9NIWFuXYs7338m5J=TiDGCyd zr~*#pAo)>=S1an6tORIx-BpOJJ6ivI9!7>lH929#W4dv07vZTPL(0CTi~smUrVf0y zt4=4^LeRFyGxUDT1O=S`Z8@0V=1NH;nYVt6Qvs)StGnq`BCTsC_;k4H0AKIu>>q3{ z7bu<+6!Hh_W zG$B}Xo2?XdM9+K^8Yp342-kRj2xmi?T3ZiW-e=;1fb2vWu6vO z0DADJ(rZ`}S@tSd>ojI%>{m4q%r;|g@#=G6eS z<`hKln>dXP$esX)zs9ng_f5TFZW8VpKd!uBeSxZYsXr}!fs-Kg{v{Y-u}7(Q5XqmG zi{E=9FwjxoJVY_sF28unH*biK8V6`FGm0th5u2Yqk{tFZT{5XXKHkhdGZZ8hfFKe% zGLzzk9PM)*_GkPn)Bu*jKzmX=))4?1WFPc)->GphWr-$~e94bqSSJ~WX)7s(FRYQ) zrpuG!OC1|^7{^Jcq9?x8=;ScPN!m(z5erMCIJxeLHG0d!X7Vk>%1yi*@ctAiE|sh@ zN#is;yMr6JXg0Zrd%+gyq1Y^Dwe_d{TTsjcrc5j?RRS%6|a>nAske z6wh6goeR^4NC-hN0JA^?S>K?ZGSpx^|1o#TrE_jFKxbKF*+~vt3d0%pb|)T|y$CRs z*4I|rHN;U`HvH}8b4KR8xfU`;a1@2Q%^ltQ;ec`OwGSo1K8E5?w#-t3Z-jg-yWiN|bJZ09 zuzs`TfYFDxfn-^TD7_WZKC>^aCO#Kt{+IP;+Se2*F1F5!HU&>n?yBQT7kScA*`0US zS!cEfSPZQn;5Yko9jkEr>EL1JjZAv}Q6RfP)*Ft4n@ww(A_xVC2T^UyB({-(bR9=m zEocbo>6eh74*t~g8vxkwHg}UmZ3ke7=1#ygT4D?Eb51pc0pR_`DR2_uqtQhy>(}=SM^B@mn4G0smZW>NSCYgmGNp6F+D< z8*O3}d;@_S@Uzk?^-gp^RZjweg#-`PL_x1*{~|s!JNL!qhe4!;x{kK;i__3<>wc{Ats!-&p)-@>jl0>=kUm!n9xSm~ z+GaW8b^fTz_mg63wvS%8a3Lr{=_oHhN9Wn6>;gih8)i=Z0CpIknkCNMNaPv(|vftz!22I@2zzo_V!Djw#O?i;7 z&Dw*53T#NBpI4nreg(hGIPm!lx-ZM`iZw|w%*4Hs=wJFYlF-Pzp>S#x(Fyy095>sqXMM$8%3>J6BNW_0<|pxOV|C8W0jCkwvNRVsQHS(8#`Ojk~Q`20x z745R;Gi!Galh$VwQt7W2weyu7eTpj6K*#s$8CTi!8g;kw!`YSuFexV7%RZ3GTKG?{?I*q?1)HgO zVpckwZe5^)bvU+jI$ZaFl_T`z1`T=+MH5IojZM05#@=|>^J7X-(^ox_Ei zI^LaHR-I-)d*&iFDR3i^0e6EIof;IJg^ZzY;Z zRiiY?=)X^4%~m(`xGYAJ!tO=)pM zw>JM;O-8X}HifP<-LCyNg!knjHa}v2^Cw=vKqX(}=uX8AX6Q&Jsxh*`9vE%s^{J2> zE!R?z{Hp6j&w`yZecn8xy@${OM#wq1Eby)EjX9MREbIME-wnp0&z*B{ftQ13>nvBc zVAc3vAqi(RGAuK!#ki%I$|37Sw++&laOf^}R9yd5?zC(Tk{ggPWY8j$f|LT}Cl>68 z_T?X?4R*Q}K($gpXZC|Z-59-Ty_8-^@@i4y!&+<`o zS*+`$n|y3Eg@0B4PpF{*Af5}TD459-Uf+={kPzvUid_|k$}^y2sWT3 zGor+`s=;}BsL<)JQ^pM@=Rbk}%{qtELkyg_B~H!`htoX^3bjJTVWDa%a5+GOD>rB! zck(DG+^E3+797-26KS`&`^;05e$e^nO?%F%hmAbj`JB$f8PZ3_(!-%J4C-Iya~yl9 zFrXRiFD*ItLaTr2f&}W#IQL4WXAbE*r~fbv|1G$yLY;^9t$^{aW`6#@GfQueMiJJF zz*0Q)J#FKxL9^0cka2Ile;$P5V=YSPD9RJlITd)s#Tol_~ zdZR@p1;cSyQ@Q$xCt$m7vi}Y?Sma>~?)i1}aj{RKS-)j(e1Nrjoxa2{eKC)?tFh5_ z(Lh)3=YLoUZ*T7ZralDfyp!$8k5GghI-HkS31v{i=w~cqWJRd(REz> z33eY+FxSt+gKxr0qv&c}!Mhq;%M?@;-H>;$(PH)d`iVi*I`Yc%;5g#x=mD+_**wg< zNaLd@d&jxa`QWtK%@}{aHdy};E1Jlyehnwz*1z+kRyq)SdqZDst-LfJHD`M+H(j2& z4_t1$^S^%tOnxlZY0}m?f8_%Y>Q>A7HppU^g?VZ9P;j^5Gez%f<^*?gE4}tNNUy{4 zgDzI^J-jFa+iJg(-}pzX(VTuNe@)x?`jtBKWi2VE+Sl%#pKJxE%HpWBhCIO$am4tn zrDv^5h)2SW>|d*(XE3_O`>&dA^&BJUt9A)~?9y}Js#9TgVJZhyu-1#?Hu;7xV8JSLRO2r zPc$+8T!p(A7d?+c1Gne|wbbHhn8eUzexO{|?Am(aY0oi!kkIRHimT~2jGX4(k^uDB ziWqfX-f5tAUL09eXtK3N^(niaQ*N)6J3fL95V1{Ko8r@d?CUQO-PoxAO}x#|!T z|6t{S0{cKa$!6SlTf{tL)@gG7P}q;J9!WnGYHAj z1P&p|_Mr@h(0%nR%f2)ids4aP;g9?smTJ0^@LbDXfL68EVV9A$yh5xiW-rm*2QabTa`kE{>ozryIRa&djVvLl zVbx^oiIjl8^=yGPX=W);fX8}hk@rJQQIsr;cLy;Ru%twspgWKGqky;eHF0)!LgWkG zC(Xaj)(a}+8AAtcvcRn)tEL0nB^$}k(aUm2C6BsiG6#u@`h1GE8#jJR3(6uUZfV3l zFXHz6g!cdPqVGEMZcML^FP{jlm*QH@P`c)u(IJQ)yk?3--zTd+ia8sXTp6c$QSI@uj}85LMy+@jAi=E zu(P%HpLx~Ju8v#V1kW6(tHDCOjDL4u_Ek)ZRu48WeDUs?xNGT^jL5r)gQ_2fCN^E$ z2+0oKV0}ZE31j{~?;W4>_d_j=9khJ4yYIv$v`EZ`jv7vtbN2kk&P;@~AHEJVyD)S4 z;8X6bxQN;X(*FiA1>1}QXeHJfzmiyBa4vH=^S2iuGHQ@gEkyEHnc_YPfJO37RT-@$A`;v zUl$9zDpx`fzymL|2entjtqq6SaPCNm&f!qGADTR-^C6QX-zwJn&)w*dL=NVOr@OZf zE#8Z`?ZTU_+j2Q=tUp@~Pz2Me#=yT&DpQ0Xk#lnv~(@+Zo=77V=1y!{u+OR=tvC2g#o(!=Z(%FNXu$gG+~)iQu|+q9B>l5)K*kNRSdhnggj~sF5KE=6W;7qsX){k^iTL&Te z8I$$|(%%Or`{I069FeNKLsTKxBgkWMlUJ;j-Ik(Z3PWl z_#uRckQB;8x!)~kByX38PT4IEjPQ~Z_;DZ7Ar4#i_O2F>i{Fdd!U_!?MEqx@+l@;C z)7IQq5~?`5X#%kBUW;CB{?xVos3e zQ2p2$L%DOFp(d5Smw3_hW7XJ2R3RIn-) z8gFrkok`4F$(k}QK!_8VXxv#LL1~IcS5uWY0W;>1p7P>FV~~-}lK0uHK8HvizA1w4 z-F*n=0lD3!XhkP`HpToTt)8!f}XZLq0>WrnI`R0R03*8 zDy9BOv+gWjtaV7vB%ofULlEy|lH+9#hVSM5k$p$EY?E>AjcmVzmkTz6u*$J2uV9WZ zo}Z5(91>7AAMXv4-q#1e>RJhG?l}S9Yw<7c4b?gsv!r6G|6TnGb;Uaxav(hCO*KO$Fc3-F>qc*ajhfJvm2hG%o;N{Y-B_!ERPz-(+J9^D1o_9Tu--8D z*5uO7!JprJOglta)sJ&!&_|O>mTGyBr1!ToLQbzF*ZyskHHrUW7uQ+;a;+-QP~jlL za{nXJ{H4syoPDe0N>l~e6mF-MAX623`Mi;YptOjs<5X2dXOL@7>l+cH-s@NPH_nRt z|7iX1;=L_wwB@;Kn^xGV^RUzD1uLnuKGS$@dhAxytH0oP z_zm*MBT8!mu}%ZXH0MfP2_s%yyq>qu-;xl8+YvOeVncXnon;LBXtXgdoS{&4#sFsR zS$P$NBC0nDzWxqO>{a}DrXWjX|CI7?{l7Hd&SFrzlgbsQALnAixfGE+Lq7x=vV9h* zqz*~gzKL21RW4rde^@l&b10H@4J=&hHhM8Ix#yznfc}|xyd9an%ygRKU3&-lERN9P z7an8UVJFTg(uN<+O!h_;#6Ks+@>*HoWv`++yZ>(Bm!c$lH%=)V@x%lv40cTFB_H=% zx825EYgd!Ha)BV8Tgdb`aSMNP@ zWHc`izB+SB@Y?PJx7X~KRDXR4jxRoXFrZI#ygE znGw;$kzf{k$=ARkMlxE9>RR9b5jhd}N(Jg0Kqr8vxA)$KmW9(&sSU%ch#P2u^hn#0{1 zgVSC$*1C~h8TP|ZMa2FDWF5u->UjLJ>4D~q(m-*rOQ13M{DNq~lNmZ?NJb-_jDuuiVBZJ9RBdTVm`bkNIZQ z&sH(R`{BBRQUZY&zH&Uoj>W!FbO^pCiu-dfSUNZK?-{{`7s@Csjzo z6ljJwe+vEB$0sE=$)zJok^T@=`W`1lQA{n(J-U%guDJ-0dOUnG-LRnXhwR&r?i@y; zNlXty?KKmxZq;&|GIxwaVphM|T*peV`8!to_~<1=Y_zLr(XWP|*~k|UO9w$4s~_ZJ zT)0#Os-p$;zx&@T|MW(2@p|bm66?0Q%RG1WINT>Yb8zjWh?(&LN3@m}FjZvV+A6(*Fv<1~i8dx6(a zx7ef{gZ^*)_J1zikM#~SmwRZ5dix;VR3gP#@%W#Wl!_B?J@>42!%nf<{_F8S&tI)m zTB-5#ZN*7(I`6}J| z;ppaS-QlI_bSE*FlIstGy`yOS>&K)tw8Bf&{H;RY*Pd)K&~Xh5{dn^omc-O8A!$6q z@_*Icy3sAX{Crq9*qP%-gLSKhO_nXt*JPRN9%_!xO=qu7UY&M`0X=LLdb>xxf61dR z==|jc8{iG86OQvw?^RvdJlbvRM7Q+MMlAoiRP9$l8~=JK<7lF=Rb4s+xO)-+et zcW=U#V1LncAOgp}^=i+$l^477T^QSVJkG!8UU9X?y~-dDOA&XVddpX^mUCHBXRn&g ziXlG?BzD{*+{^bq9a5b0n681>`l2lfbY)t#tE+-bIhvs z&NY<$y>-K`8pb8fybiymkmeB&GX2L^{qyDFpDB2r-xaUN)yX3F&vHq;4(Tb~*J7&^ z)%<+gJG18{Jt^+OoT4PBzGuiu7rLi_LBE>buk%G!eMedjas_+r&(xn4ap10UOIO=1 zRFW7qeUbAB-e&Bi^n9wmW0~NxSS2HTF~3{EC+oeJTUnZR(VT>7%=6m8OCx8}a=Q&X zs$9k|T}ZC)F_B7s8f&iYY~32@&hnJk_!ceV5@r;a&g}CRdb6-Dx|q1uBF|Z1q08o+seL z)Eu_lDq_AkgT~kX@fGW0wY2bfj5fq;nG>(`w)5(9#wgIY<7=<=e1AO*y2j8~j15g2 zNRDlf*!OE0xyG5Z)6#)emGv}IG;2RIon(X?j6%xn|}K z(_^J2x*Q#trJn_Y@Uhv^UQ<1>QSV$H>@0o--{e$@|26$(Doc`JgwboW0FaYoGa`r0yc;=6@3>q%4Kbb#$ zXhg}n*V6Ms9;v0Q8Iy(e|Gs+fn=*mc2D`^dJCvUnw;%IvVSb;K!V$jjfNi1Oa!CEg zZp*QZzZp}>4f{>{#wx3aUJ~~vwe0skABHRdQhy^qD-)jl&}#mS@uv4^>wDs*g24F- zA+U43-JC_g7`AhVdyCH119eZYSicNmp)J61-bE`4YX}nE=$GZPG0OEbA!FM(^q(9( zaBAL>dpIWq8gFo*oM?ui@WRIE%gvt@UV&ngnp{zO@W*uGfpS}q>j)YVy8nBAqW$-% z?8z~*Su@ONWi9|dtFAwZat%{$_awNtuq5zQ%IKqNKi1{*GCTQm?W~CdGs@WwGOdx& zu78iBOa_6pSMqi6RHi=IE52r~_sgr_usK{~J?uUvu$~P3>!e$=J<2=DAq={4fAYKG zjC~}p{uupb3o>OYaFaTs4mStoA9>8I??&t#CI>R;8<#9?q-3IcLYO2msZHuAj$uAW zb}Pae6AeW%Fg(viD*Yo>IT3#wYm6(6LVQ+Un!^EujV5ccnBF4@9)={V0R`7c7c- zH;ng(86Dooxy~_?Ux3lr+BW^hHrDpRA&!w>fAo|(7|+_n#q25{(?QPNMbP5u2kxE- z?}~iXL;HMx=&);F*ZLN<66d>nAkE3379GI(LZCQ*yg$5<7Vm(aJ~xMEMDHs1>@jbC zvK?a{0W8(q%mQ@Sh^CxBuRDeAtg@k*a6V;E$QcD>{qhFNE9;x&zkGHgrMPWb!?ufpTRg zH~e*8kFyL}h#&rc+aFm!F=8+@kTgv46t{gjB7BQc`awE!PzsOhy^Vx#1+q97;B!s6&AXb-FTi-Iz2lpVTax)O1pfa%e#D|M zJm*gN<3cMwZsQn+u+SbV^X9+tMw4AVuz_>*2UQ`!h)dy!A+1=1sy;6!(YN9w=?hJ2 zlMzK?8emvP(gk$!qmP+ue!tW9p5VQS$M-`RL%?)~B_~tyJIrsMZ%g+%02vwkobO>s z3WTxY2}>f=VqjQ|)d2!`aF{3MNkrMpJ^uazoR8oR__yBO+pEnYb3vZH2u~P!j@61I zvOsSAa(jB8VY@6IvMoreZP3KtQr!OA)v1GgPa>kKJt}{1?)*qV=u;4~oeY5)q zB6q=n)%(8}-hnm_?-Ps}8HZ!kbDPGY8cF3gWB3EcJ1Q!9#0rU=Ah>J5f_FMtv;u|$ zSeE4kg-+2^04~KBf&_^!jKg`u{NBEWK%r^$MhW;NN_rkgkz2}7uGR}|JutgjhQTAL zZA_!Xdz2YGT?EIJ4V8s$wNC?0dxu!bHoVu5Xl)quU&*X+J{im5g1}w7?L33#{zbDkXm(; z(&hmKI!Z1_VjAz$S`6|*kLiz@jcYvG?VdD@I|ByFe@~TL!xUh9ZzqFASq*f9-bPPW zNEHT826jfo>@Erz7i8L=EkY_d>N8mdam9B5`nclbl~q~4P~zdnVMC`O(U>rB{@f6v zfs#gCh{~`D1Qwgh;(_I3R>+VPiIKaUX83HRw-H0Yj_&CFlP=}xtp{k{lW|~+Y%Ct9 z;Uhz!4DWa|WN+iYE@hDBdNekuy!xJ$$RxA5Lpg1jCrx>?Q6k>}o$=RgK*}$aD#5zl zi}Ym4GGNKxNQUuY{n|kboNs}3TMGG4fErRJYL+0U-5$Bf3MfXPz%uk>dZ3FC`q<(A zCyXFmzTIIigN|bQF!5+S9jyzd(ms!|VxBVS$K_$4;7zAQNR%{O_8$L{J2%cgWdHyF z{C}>3WBlRew%n%)!k;7ppN+~2TC;yxDs;5Cb?eT_(qhxkJHI;r7Tqz|y!1% zxPoq;6^`E<+w|~img7+ebl(Z?W8Eu z$h#PSN1x!(B5WLvGaOrgf-3hzE1M_o<$#H{;v@OULkm6S7r+gxUtrRl-1^j)3p8w`mO!C87OxxxwpVw7U8LqQ& zAifjg%*VM5605ET={$uc{L=5bEk&Ld?y3GJ_g2X(IB0pY>d3jm*gL;(X{i4k%R%QL zTC~tPy`fbPMqgG(xvdEO{6vv73u!6qIkEPBU8{-t@Kn% zwM$Tbk?DWDsH9I8;?>^P=iIMp1*{6ZdJyva_0#308j7_siD+=@m(JG1u`TlJ_o@*< zd)dDV<_TB+P`eP_QF8W4chW1H+Rxt6zf(GA6(%zGAb;s0~Woq?j@S~tg_tsuro);T!RO*GE%9q_%SR0AR zdEKA?KL4Snw|7D9hfGS@re~{NSFj+@{4acnN$;|$T(kVvKLvccT^=oW_qR*3Y>#ey zL8A70H-yjKri*Q)yWnk_jH_ZV(W}!Z8GTge`S;tPKgDUG(E?JBO%!(TH&*3v4vedE z99=G04|{ORG_$@IaZ$p@Pve2FI8^0{VD%4_$%?!D*iPJVKG{?|E!xu>G? zhBCN&^#Wd)$n})z@VtAS-78`m6=djA*J~Cfn*E*e)y4?x)rbi@Oj-UDZ^7oE>kRoZ z-^=FEM&|X|eEGH*vjHdEs1TfbZ`smyx9hdQe$dn@RxI<5#rB`iWJq$ToaD0ID*^v^ z{;HRYH_qqn*CI2~CJLfG>-YSok#-YW4n)ari)9NB+1_~B0l`PFs$FGwW>+!_);9#x zz6mj(XZw8J_WbbMXCh$!voHilAixAT0=M27@lr*>ezYH z-*v;{Ov0nbJXbIcT^l7anks)x9d#4ft}E=i%vYGc|Ma~#zN==cp0~vJy@{*SuX>3J z8wHhG-rLz~3TKVGZ$*WQ$0ur+o*`D=d@1X|$$$vr1gh+Qs34&3x(~93sVUhKDtWap z25}7nbA#WX^VEJ`n~{mTKG5*WoBTYxUBJb?a`(zHAWdVw$T~|}hCi=>U zk^2G>R)v(Vc+Xi2;m12|4?KG-&aYK|UVx0Z?cUPuTJ#jp5{_!*#YNwMj2EdWXQ{6< z)<&w9WFN$z4~B21w7QLEW;_rhGG9?gaxz}a9NWZ_h2hxVR`Cd|*$q&nG`U)H((@bkH$rmBh!=8aj@ zvU`esa5>ge`H~GtLG)IjX!M$cQmilda)r5&LZ+pt0;kv_Rhhrl_yMk4_C#u8!lq8* zP@vfIV`ahjjiOG(i$d>Xgxm6k8#%N4V6HZGCNJ>Ud-T0zgoMdW7e5v!{^Gkh?|RBR zL^?R_9r2X(neg4W@wx{uq*PNSp3A@; zZ&J%s=Ow0;AYMU1TB`p~0Q3e6`Hx>}XlTD@hKFy4gL`nkFV262^ZRhV56*v#^Luf= zH_rddp6`Y8^Krg6d|Zn9V~Fb2^9uxr_J-~AVf$~OFAM%m)N@cjhk7a0tHI~55$Q6h zPlw$-Ve3se{|#uXqW&%Fsi=R4`i-a`M*RlVzel|a>OY`f8TBKmUyu4x)c=I~e^JB5 z(2_Vm8+P}A-B;rL44m(d^FM*NBK%V(EJvVmN`-zTXeU=_p-$8DSHu6Q@V^`U*XcYB z;xZLKud*@kYIXhsndK@n&K5J}LD-)G*<0=TE;zr|X$F5@^?;-U2Z!jTp{@-+cqWVEJ+u z&JL>B@JxGhHtGW*b2aKMVe=KRc_wTgoUlo?8*yv~*~KAyIb^2?va`XPE%-bRGRH#Z z8>l}5JIlb%9N3u|*a6Fzb8vQmVDnta><^i*qy8vtz7jT%hs{|Dn>7AC93O=25|F(V zvi}yy9uM9u!RHB(IR-LcL;WwXvn=c!4Lc_WcEIxG3pm?Puz4P2_Jz#lsJDX6SHb3C zuz6a-CXHW*V{^z(f$WzcyIUZ8B6vp&K2L(oQIPox>aAgCIoLT6cFqp$faS{7k){!hWMu zo0Pu>m~8{h9zea8#cm$9;~Qd6eH-fcpuQdTMrMy)xR{If#zDIqzuoFg!n*rHV%=RG zyoVAz`db71|H<$d2mi$ke+lrP&+wPUp73wa#(ToWpz~5jr;5XRuyL2QaS52aWb*D} z@MmQBUj~2I4F5~u@0Q`;idfzo^yeO85PQMW3^b}wJ`BMGx)DX{W$8M zgT6lKccESn_1&o7j`|m<--h~^sMke(59+s~z8CddP~V4o9n}9P00000|NnRajFe4i z6G0TmU)rW$wl)2<+SJsFqTb9!Ek)e!PLs8pow%8_#>&(D$EwY+_UBz?;d={Qhrd-g}b-b<-FTMT_%2JWzs7 zIaAtmr$nF%+LnG!1(xe8ow0l?B?3^?#MW+v`_CTQgP9RF2(zB2ZBN=W+V!X9I#CA= zGkE>-k2pm54bgE|DG@YQY@x$U-G6=K927%kMIdxqW;JX7Kh{>d$IST)g*!Y@KO1%^ z^R;l4mpUoS{nDeR1s-S@8(=H1WbMrx-lWN#eyn|Y@&(JVPfl4{W?f(V(h=q|JV~^- zg{Zj-pKcCew+@cFnGu#y>_wsPrp(P*XT z%oVyy6wR?2{jZI-J}dzP}(BBwo>GBm2K-%u|=L)*ghFi&sUV#Zs%^;+^S zfAz5eMMi*y=>X z+t%Z8N;7g|cd-rf(K2qQ^ZpD+WLDTp29xjr?NKnMjc!(kfQ7Q1buyG8TyL;jU0y;T kiOu?0m#ff6gzz5{%gsN)ZBTePN4bVEW&WN20RRC1|7}T<4FCWD literal 36644 zcmd?Qc~}zd|2ABixn*XX9yh=2n^7eVeJdaCe)U zsZd$s3W}+v29*mcDj+E(Dkvf#Fg)Y^d%n;6ecnIcf8WF7@S0(+xn|~?&*wbP&p9*N zD^{$i)>sMtj{yIUfbHem;SqP?q1&yjE%sYjZ9js%8+>c~0qX)(5^YHa$$ntn5g*UPT!+dWF7-AmDKmt%wectdpG+wbOHRsgg+_iBa2 z_{jP4M+;IqJg_;WT0v@$4pRX1y0>UJ zTwjpg{T9HaD|qB=sUQ$JlZ%nb@)h3n?9K_;$I%d>2x~z7!xg9^eUaD907G$;Gt&p^ z<>#_8*@J+5Xfb{_ujvdw;D1Pc&?Hl99{Ms3`fZ5t37Jy8`=Iihr!Yk5Lo@i@@y6 zFoO11=S@g^V1uc*q7#K`aN8^N`K)>OP33z^XMMPZR=w3zJ*EFY|KnqA)|}=ocH;l| zt+>!wej%t<_D-SVMdJzX4(AM^P4+=D#TG&_)kE(OPiGgiB1+p2bb0W3qT+G34iF?B zt+H0znl8vsZ!5b%TCLcnlZG8XE!P*P&>sp?(rZkBNv35OVlh=AHjxkg8lO&59OZKw z?WL_G4cU{B1&*0cAVvmHQoKozSRv!K1iee@@&sHf`gUv@OM;w8K=MiTYAv<4YcTG7 zL0?;^)S2&jWiMsm%I}36&vRBOT=m_~z1c3%NyO@nKh(Ja>ulP~rzI(3GFr(SRt0stfHom#J-|QYm$2Y&VkHPhERTsR_#7kIL2=EgF_WCB zH3VSfj=UVo4a2GdAp@8Z>wN6#j>Mv`&b!FeM5tk%p#U=t-;3OK+mCb+$_Vl1#1uKT zR9p{jG=J@>KEh$4#BJJR>aJE{XWNE4;Xz#+SJ7dICBsa9Kz1@C;=egGb1-H)eIkL7^j=$76J;a3Xq`335qqbAd$kzO6U&9neZ>I#y;#wI$4Rs<| z$W@k1Lmhle(5~^M3xfQh6XGELls4elbT65-60gs4XKh|(d1A;A203S;7h@RjOnz<+ z(Gjl^#h^6MXLbVW;`7>oh3ug!OwAI)s$@3A*ET-6aQ#5GkX zi!bE=lSmhCd$yG7fMG@%b~8+J93TEYnWG*noh*x7G9F1tQq*+Qd7p-C_$z($sTSb_AT5foAC2*a&?*NBWUGw92 zIR8>2SK`>;bMXn;Br$!PZxc_oNUh$!4k-JvX<#+l#q!Qp zOLtb%$->9{U5VU}t0cPEuYr|$ng=`J<*dY zfHkc_9cFe$9JW)eR>!ZI6%lMv{>iiy>LdOV|BCk53Ri2g9y#z>jIns3CB*p-Nw*EF zRd^!`Q*bVS3(JG$r9|j#9{+G3%n&SO-3|rRs(7&_UpEs^(bD{)XlsQU>oc5%TWj=7 zjP$kI^*6|>Yhn^s`0wG1Rp6fv*gaY|S-EQ{ zvjJ3VW0rwZdESg-&t3x~)WN2N|y>p~W}KxLL%d1-X6d9QD$O(_04gsKMI ziS{j9&jD2f_5l}pFC_ix5CBzzx{O0Lpyp(35>~ekLWp1@l|<|)!=@-^ElHP<)+oRC zbCHW)tPJ3bt|?U&JNP$#$$CN`Wsz0`&-4iRcQ=IVIV*-YyY;F>;`U`}=CnWI`g+@l zrHu&bnx=5(80<*tjbzIt2!sVEXJzmSEH@P(Vk@DGx^L-}jlfY5mWws|`ST%@@hek$ zdi+_|Cz!IOP91c%l6Bh%xEfQTs#x!D6owhGBWtXl&60ZamB1U}N83%uZwVz$X-6uU zgtf7sy|N;q6KeCJ8*k&JSUE%lZ=!=Ff0QJ#HmfLd0+`5=R8IRLaID}z{ zT}ljH`+}}TjGY*+T?`U^GA9YHR(Qjf(t44&b_9#JKz2qqIT5KW^cGoY=*X5Gl+>04 zXnHGDZ6u3+lm$61`-_j%_SYru4%;#$XaU)7ReAT2+;JVzIqdN^Tqj!+N-8cnI#o$& zzo6 zs?@L$7TEV#jDdJW6|aId5dXRMSjbVy5Scj0zAAb;-j#*hVVwjUoAEw6-t+Jid^Oe( zoa_u1)eSXTc_y7-#;-`M`D`fK!atRmJsdnnNrUR!x38t46S#{(Rdjs!5MCuov8&Tf zS^#2=x?<~w!!`oZA9KrSvzcGAO7ZM{U0M3zpwbS?>RiaEQ;{~Rev;4%fuLO6_M_Eg z#Fl2pI@f%K6C zB9#A9du*8ik?SCv?AwFUp=IG-OaNt*?@X`rBj5j4YZEFQ5v4L|TAkj~cqardJy^6( zY?CNOIITcm`UFwsZDu90T)-qUAe69XmZN-alOJ=FwVYO0^b4f1b96jo5MSHM`;?i^ zspw=_@R6RUgpiJz72->Y-s=!QN2nbZ>kzJy>?kE)(Vp;4J~d@({DDMTc__-L>Cz|6 zRx~=<^8w)@{|jGWBtwWD5~*5}i|8%nnL5ZXoY#_6e5I8!h4b=cW3?YaH;)|z!@9h+ z;FyfV$}mPbHl1hgd*3PF98=vQM_{$^+rX=Ig_T@zA;Z$VGx&DQ93@c}V}j3K1vUg` z=jvGq$(^|wOos&1rX&9+$237)B@LW6-B#yKg1*|e3+6|m`Cq3==eR5t#$L)WqL6{i)nzI_j+1~1#J;M;$xZd z$Hmkz7fYka$2V*N%ZpK2d`#MoXErB?Prs zkxTyS(^C6Ev&b8JZ4{ow&}*HKS^>}!rGWlw8ds;PIJW5pX1}bvMeCoh;vIad5_WRw z%2wbmGtz{slY-Z3y2_kVoC*t@l+boZ1F{v1=lOQ^b6y)Te&BhRvVvBLIBDCXP?^@&6tAW&;Yre2$sh%Rindl~=EUZT(jq%2tajwf*&6Ey-Lm=U7aFxJnhi z0=tc+$+9+T(niHGqliC%VkHcijYI5s!<;XF+M6@8jb#53ZDgM|lVjp`zSLN@L7b52 z)w5V*kYlAyazRBt2tqBUBw4csCU&l*`x&a}>(PuK%8J<*xwuK+)j166+Sruoe7n09+LuA#%;~Aj3d%^o4}#J(c1_duPgphhdKM_B zlti&;1Am(^Ea%_2i}hcH-^%J{E;)G}!2Sow-NcQ2<5ePd$gU<7(^U(UNvlM$KAM&r zSwXB)a8bOvbML*&{`)ljJaW%8p(Pbrpbe_Xk@AKY!|p77-&MPr0B z1LBspt1>z&8LAAmCSwz^hAb-CGo8<2mY)!7@V$&=$HiZ?feo@(s+eu)8_CpET6$PB zyzDF!XsBJ`Zybj4^HIfbsUzWo|AjW7+Z4wHVw#np2g0DZ%Wd5t zg7F#;e4d`e(H{4k_|X=I&wOEehGmJe9!A^&(;M584&JkwH8FoaRBUTHmyB6yxfRqX z%K{n%xslMa_km#Cf#CID^7*dVLYt`Jls_p{ZkX2+3F4?f2UMvV3LCQMJ~tupF*}Rq z&&PsOH_AwOEn8nlRiODiBWI|rpe4aIolH}qSOlF56E&iDJTns@KvX10T=B0v3r_DdBjUyREKIF%+l~W3g(a6 zQuSEMt3=qeC3W;QrYn`vB2Ym;OcxlSNG%BfCY7fxrajSY|M7}?Z^p2W%7ODojbzbc zoObazys<)+u^DjE+8)x~$vu_J`7k4trPwo(E~Ygsn>S$YZx@%TQneJj$wI>2 zgfZ74?5ePYV(1qQvM^!gDSuTQ&-moLQCI@w@LxJfm<_Dk%*y3DZVPajsrBbMr_2dv z>w9)2GuwEIhP|}i^E=qxf_ngF+Va>41 z@&(%MW)sBNmwd3qTbnC0IIUHiQJl_wytiiPOB{fWd7pY+Bnft z?IZp&-xkl9%o!%b@_vF2S|D>kk+ct9h!u|UG#)5EcGHc;=F4>%r{v-b(8yV~IFg}i zP_r-wwVV}rOnfn>cQuVM!68>Ga*kjk8I^z?NF}+7Wimc%MOXa3sH;3Hg>D?%P7ZA4 zf+Ijx{O!n`)*urHIZVZ*Ie*T(Yw0KeO(|M0)ACd3Fli?{t~aOf?`acN{dbXd+RT(^ zp(+iV{C9xl3(7?!8CV5q1BNobDon$2GYdj?2LV8$*7OIn;MdcoSHHnjY0-+}l!8vi zBYRyFMr%mnzIBL$XBS4#rX<1I+a>ynr^oa|MDF5NZK4_)#9>Y7b}}giBqK(f$RkV$ zZU2fDVgmkAfF;&Tt|DN3I{#q_08iwk^I@!5W0aY*XL32K@tD|R!TKv_>4Ujb=9B`B zQ!MSv%Pg6|1o4IS76^~nIgH0AZK?`Qbg3eE?$X#sq61l!s@Tesl1W*7Ig7EoZV9$F zR04VWOF?TTbqE#PWgd_0r#P*AOJmu3F-O}U)Q!oYXw2e|^RtYb)}oM1ucL~7>;}G; z60}W7+Jp*W0zmaXSyBtKYC6V14Pz3Pu$v+VrK1v!!+8Q(hq2tC*du;?3^hS=9GPeA z`b3x$T;{vgi91=AS|eH6|Ap~Fhf5P(ofZFET5j-_L$`&>X@T)MDqKZnD~*$C4*fXC z*){%J3(1NwYH~$gPPV&GeZo)WZ_^%Ab#);ZkjvNLs86p#^*}Sk7i(uTw%XM^42nLR z2HjZa`?bqcrEa49JhLln3?{nB4?I^Z4rF_Rawj)}ndK&q<$uv0TjgpRhJlvxLgVZ~ z<8Acx$7PzZ<8+i|V&cWWRC%h9d}bHjJgnPi=-3$Ob?D|2aN_7)<9A57 z``q}7i;MDU(ClEju#!LsXxxAXi52eMCUp~Q@_me(?3&(Q{WE;-Doj@fXPHFC*WL#yQi;`UB(sR2wRlF*4L~g-jtFgBmLrJNHjpSA zS$-RQ**{m-Wo$IKHG?n0I4Z*!#y4WWMH7F=kADNMJCA6P_K`cumKl5mzg8O!m5r-n zSHO$FN+3Iot2nXrQ_9#YR`ydsI%p#6-BLU+JXo8R&Egyvv-uH5D0SJiDn=b0@SqZW zuvD#3NoM0@T;!YHBt^B;EOi|F{9#E#>^d}P2CjhaBEyog`Yg*<&&T{+mdOcma7?o* zRs+A0g>MnMy!s-erqQ18Y0Q3O88W6{6{Fgo&*hiSmW;)mS9pn=gDke#knn-W=AVE zodhKS5O`7j_&B$*dfj7wvqQb&bM{Ul%SVS3BvX2Tc}1Tk@a0M(JQk(Ju-l+;6OScg zX2*kB(K{LAI-chaaiF&UYT^#ERtjcQ9f`D84W?sn`el)H5aq!{`{tzK zpYXGpmddVe?GDRSv;;VGQ_u4^CkB!@PlcI@q|IV)3SJeqp^kd=wpdTwe=`u5?43s1 zvTQ_vK;xz2Y6nW>IQ~$gRCX|#`f%BbQ-bj3d%+~&Q$jJz;Xi^wzfnV7W$G1ScFQVS z63~=X&qw_KE6~Y4ttOy;9nf_JagC795`mS=z_OJ9PlM@*zgoa&SS3n4-u$;^<6O}^ zUc?fu#&J|_*MW7{@_tIL_T>0hl@sDi{FT~hOj$O&Q&R7;%3hbZS1rMAp?JXQZpN#)C=w^QvoF8wdy-L1;{_Dr6;Z6RU)2 zVC2haPq>ntl>*acv6&&ps8vl!P-JXmRf9Q&2HgR0<==8FmOq^69TtmTHMTD-!M1aL zai^^6WZ{3v0X)nEXbY?csl&Mmv6FHpCaFa}_d*k|Q%AC>F&lc6yKsP(?#D&Ue!_2- zZT#aN360G;OH%hPy4Ru2_-Kf6N};Xw<>r%?#kj*H=|p`T^D0#a5=3IRl>*syNr@IW zY5Blg%{Nm5KZnz=8?eS$HC$gXq-h3mC6Z!OI($ zod=6f8Nn1{u)L?T>~+gTo?_jE@p4}hsLGa)=_cW~d@Poju_lyqZvxV1S{lnIr0#D4 zZp0j_|97B%{(oLi<<#8Zw=_=qx&pkT- zj$`P55F7{ox9`rd>Oi2JPfqMUKf2rDg?-4a-IdbL>fsFc3k4n>?F)805mr>BMc*rA zMrBjAecZYo4D8W~sgaUX)awM3b&Z9O*wibJOGF3nj(e)mH*?a~can5YBNo3Nldzq( z63U^BRQsz`l(}$Qf=3)Q4bgvTAfakEyS7gvIn>z?2@;6vZgiLibT1jAs&8gHD6ec< z{q#!#iFUq`GW6mDPIC3?m5|G0=Vzrn_S=d(&>$I$rN)JBr@mKd#Py!u|0|KsD#_hb~|7w3Ck6h{;5sw-{H@N3VS z9^TDP^C~lKeqLX}Zrb;3h_UgymuUf>_Wc9N(i+P`wbOv&F4+gcW*DcLlSd6Fjt|B1 zPI1!dwR-}(;VPWS!@DCgU!L)r6rG|VeQCQ5@YeYd4eo^=6!D~-#(RFSJQT4BCa1x3 z#T@iwJiry5x#|d`MG6)AlWu!_^vA{v>c_azXDz~j4O92bT4hfK!lsiqkF%$a1f-V` zgZ`>~k7#ytyZP(jq>8V;9~TMvhs$g4nWSHkPO`U>u*i;j`#6{LB8Z7~&;k7V+b(PT zZ!P-LF1xHbt9|PLjCR`~Nhhzs0mq2^9+VxDCv5bhTS>hJwQR^-Kn3^#!13}bkdb}p?C$jRUeOV;!`9pFZM?+`A1J~L&UkB=tK z&P9gy!h1(1QO&sW2>J?eEOBLp-76fU>iey^?hD0->7dRrM`jFhcUtGeUcB#M&h#|+ zZ^JxoppDC*0we5pN7Vy_0Ppn;Q5OdBwlervww*z5Nnwae}1X>DPVT zi(m(lQFE8P=_fbmHR0pjM$Tt)TSVhZ<>LJd6B1; zqw55`%mXOtan1CzegO~Ek0;7vj3`8PN+cz!(C-$$amG;&f5RswZ`oh4qIAg`_04~+z z?S?+2zvK7z-|l`iGB%%MuLzjhRl)n}oBO`~_t69c}EsnXZnvC>+BQ0xo# z419bA#;N_$r=oh`ihfb$z_+MNWSXRWZHUq?>wLVx6EhrVl@;cjwZl&@&?@?$U?Q%h zfjAIYW~#?I(d9^ecvn9kKjHD<%%c9e+lYuN+(iGU_1H@FY=>db7eA1BxehpPqG4rr zURHT9aBa%bv-TRgSK zX9ID+P=1v;>FN2jbG1#s9bfuBxot6nGJqKrxaZT(+bXQ49BtWo?v4uQDcz)yd}uh3 z(uNmHKev`NS{MH=ItnZR^(|M!U8(PFPiS6F0vX#74)-gQXy=GGp|n zhSU0cq~~lE=&3kV&UN6?`?>Dd+_{g1v6dXJ|8WPGOi3Uo2(_mZZpCBC=K6$U$5e?9 zxanjTesfQwlfoa|&!AmaAV5OOI%$nPjSiSiLTm-3RaPW0xj-Ad+TDZ|SiZtZ6EE}( zU!lV?5TjbC&sD2+X!Bn+d> z`^zR~DKz1!Y@D&}z$Jq4$(7OP^zIqN%bQimkOY#i+pmG-0SUd{XGS37r8tE+6yxX!rn#J3OTR9&(3AtJ=p)S*H8mckimpx!*BUpM`dUze~4%gdCdqfcC_{7kn(vXtOJ{ z#0~8>v)hr{<#Qxyj`P|K?K(Mih@J7;48Zr`>&2EA%3n~reS0P4=e^Go4g^9Y&R(G& zjtuQ;E0jNRoQ|AoApW{W93S^A#dWq@^bAp7w;7hAD(mr|-X9lu zFTMS;Gv5VNDjG*g9%}XPfS`J4P1zR<`1s`OuU%+{3*)&Z4jKI3o<47e@a-$(MSQyj zZ0K@)vQ*Tek=Rk$vPrSJB?+g1x4bTcO_0(HVVY%~ewu?yV1!-DYE*t}OTn+~W#epv zn*>Pt0Q)S-J@%2n^Zsvyw@wsRz-e2kD^LpJpdRPrZLa^R%SQG0moX0YZQ3$aiq?5& z{H@L~VlS48G4|7UnY_~_3%zB+@k}#?yXVUT>5+ang^|hu(tY}S1o4cn0yKL*R0pGa zCbnQr<48MHt*q&{-N7^`%y}Wx-5$M3Y`7*|U{*+96|AYsLFw`b-H2IAx)n6Os z+TSBN-R(~SXJhe7O@GMU9uN+$go^UJQf^%JC5;&3(03YKrYozVY0kf#3$?z{CSDo_ zYlQe*v-=)Ed>+3)s9{L*rqYAtQ30X^SF{(tQkT&5dgg?V+syJrULAJbS?^E`In8MI zNjnkYn)>}&af>Z)g|4NVmn?lHQ1c!_KG!P}U=wP$Jd5=>#I837E`7LC_;J5M4dQL$ zA@=R-j;TwUz5W!KW^at4hTb%)x$Na!6~lIhg>r%Q#|-d_)**?wL|tkZ!j8k$ef#vUnxrP0){Uw|P9bWT4)B^;`Rf%XcvNzyjm@9k7(U8243<^}t0< zz;SDP75-fX=cQw6bfbM&;_ev&u6hg1VIx6Mi14|`xLDg@PRI?yZeq-S4N33`HOm|h z8CaUs6Ci^Kw*51}OXTN#5fbxHMQL~WRQQ5k$%`g@13EhLz&Ek?bZ%FPgy*c|h7bAi zEL1DLM}lwtfM4?9L=?f4PS{j4V$oztT(xJGu{#&y&_TFN{{;%yiv{wnWH>(;r zdwoWqPhMSyIK?dYK>{>Mcyn9*cgaiTzlq&PmGcbamqvdNeaOd``sqwLKnf9)%HO5; zDl??&-|A*a!}sd#@BNEL7r28z>vKR&N>)SKpix7)f!M@azO)%0G->*VW z^w$0luHMAYlDOisxhm>QZv@dlz1OOjr$>)Q-Mdp^hQHoL&wr~` z2vaI+a#1qlqDIrq;N@)t_6&+r*WtSyudl@_0}e3X|Bikj8msa+IpHIqxx>y;YhmT4 z$k<;idt?9Bnsy)Vq5YsJsW^etwcyiodC^_&%BNXmP8_GKw8*@ywWIHudHP+0f}Wx0 zc-pT1#klofp?Rwsb8x4nTF){t$NlCQE2h~?5$lm}es{@4*#go_++5S@+FXIw-?*EX zwHIpyq!JuBS5L;;BvLP0jH}^dxhHOA`z14c zP{px62o9I`hpu-e9~uQX<{7$8@ZIehVAQszQ38e2$d}Iq?KX)AK=?7mz3&gHuPMac zHq`1mzK>`{FNR&!s|L=+ zHdjzSQzAlEG-97+cy7J@e2BrvD_6SLUll{@9EvSV8RO8@6A^naTqFEgN)YeGLAxu= zh-hQT?J7OLnuu%Y!TW8Dx)9MjEui?!uU|l8PW_PN{q~ zhve?Zjy0RXJ1S0s-IwH&VTwv1PfH$s?tyjvo3xMR7X4m8dq)KEIur9fw1)o3ip^66gLp;f%UE#I3}gBvzw#4kaJUKOnv$QhuThy!6`M;B*;0NVh-8S{@(~b zPa#N;PSj-!OapjYZuVII(8z_J=j|UeJ>CQjKL?{*CpD*sK+}TUD#&dFK3vCsAW5#Z z`C(>LOrbv4YF*4{fODO1)6)gmI803Z=lqE)?v2o zA6NE3tZUMHOm~+H!6Ww-XSA|1@bP z7yXun?|?U7quOImf2JF}?JZ*L`#GaKSVN&D)Xm$jh;`kJHGnFn7ZV!yH7If=rak45;-+_Cbj z&+^Nr*%x^UKNu~Mz`!kfztmwt)M|N0Y&RL} z?OzUd=g!FlkKTQ0r^TOqb@nGI$yeqoK3MA0N{k|ok^T|Lb`GwjkPNZ+8k7|YT$vLe znNYZv&XCZ?gZ34xNXH~xzEJ!_@Ft-MPt%Mn#vYeuQ6Q)NZXT!77o*V8Q;t;XtArW> zz7!{5tsX3<(4<=|`M5uOuc1gGlC3MAme79WyScZN`X}uBhrm~9j&kOY0kiGGpQ&*=F)b2^m zS6{s1WvL!%)2wGOMxF5JJU+#fiHg$k>?2-r-ZB}5223H|St=6PsEmY<30h9yB$qyV z44>GjFymN0IPXCSEL}yRanu*;e)TNcIbwF4$x4s^b@4DKH|;k9;WS4I1El+1EO5r? z9UL=AobR2b9#G;|@4@ZPu^9??3ANHGKk%+UN_BdpPN3D3vIWlDaS+IQ$ZxZX;G%pi z`Lw-a%VPGudl*3aP0~E{dR}H;^-N&GHlxNV$*ywjI?G=SoW5O%mtL5VtQfq<;Sw*# z0=k#MT)|f8SA`S9uZi*}iY#%bZo2;;MUES})A(PKJkAW1+uZiwd>f`+ zVgmkR`3QW(t_=QScN@cT#B4mnkyK1uwofCP3K}SBcx_m=fljmHD3*phi=w(Qxg&}= z(1n?>mc0=l_r)wU9TMHWeO=EN^Mc8Boza-gE`rm&W4>N?>s}~{yVfDUa2rxPxR_N68gk$cjl~K z<V?6_ut{yE@MJQdP!t z*Bm?}_8t#<($m7|4Dp^jc;cX(SzFmKJZv)l@UCtKy|umm;mn(Abwx%vV)wbgn%~Di zL`MQQ@(iJR68I~D&FuMJ<(R^#C}92H4L=?#W)Wzu@shIgwgyMV0hEjF>9*N$U@Pj) zZyQ;33TP$u3C~Lp_DJHIDmM++H`#0{>te^-}-a{H9DYG|tVU;xr* zcAt0X2i8op>%I6FwI4_6ZN#gJ%j;nxZz%gJ5|trH-)i< zq&Izf_zCpbb$#I6;C2odJ-5yk-cLzejVIt8K> zl*Z7FN)hy1e(b+Z?3U=X*(9_F-*iVxUM!nGPTl4rx)Tu6cy%~f-upgb&|4676Ka{{ zeFJ8Rh#gdK%&l#Ii?GmQWOEij_^l1%%H*o{x(f8xuR~1>pLmDlwkVI<(bi2TSTHOf z|B3gIX35g}1bKon2by>GkN=Vif(7cDxwV3TdiW*4; z$PsJpk~Po4TQF&ht~ls`rMXbI4xe-Y`Hs{j-J_Z?}H6(2ozmUL@uu+?xU)I)h>$Q6CBxH}Ie*(TPQ%?FG+%u`v3UQ;4UoJLjP}N%AVf zi|m4`ME9GSsAh{-@1XioAi+1R?_ZdZiT)ATj71vgt2+%zbm0x_qLP|_qT-8R>lgk+ zO|%r75T{WHkKw?tpuDlFq=yGe7zu{2H!t=t*(Htqa*Ww1y*fIUf+?W3G_b_8;8wo{Sb~QHm2+uE>87` zL`1sGv_w(wH@DgD12&6G<^o$XZ|QZUdELoktY0h^aM_G>s6kYnAdn49WvDI^1y0PY zR;-#+kECRd&a%6o08R$}5F_Y&K9GwfE|uE4xcRYBQdcKG?<>0+Ve>ZIY8uR=pZm-z zaNKL}-r@(K&AgMm(wLOYE#a}>xU}4-Nms#Q2t-~Bt92MhUomt@M%S`yBQwtn5S%6rw z5r`Fg@k#FDES6m>LyLstLfiWydR|P{0YT7%^jo*hL0Mhw13r-pZLz%+y8iM3;J_2y ztHAePt1X}!zeqSI_&DeAe$oMDN$2iNUd3ClJYn$=%&+k7r*E&Q8-JtjBF?sLrg87y z=m@C@on7k<*^F6vIBI8R{ndN(?m^N(Up(Q?a8LV?6#0vKMlAU`+4ya@C-n+4c%h*i zC|oOB?87xokf&-F=HzkowsJGoY+*YdZ}sxGJI%9yLjRwQTe3x$6#9gxT+*T)yTvsE zG0FPzY+(D|X;cDmQYvwlNctp8GVP-~N4Jt*3$j-HQ+9cd0gCpTfVE#E9c1GaC}@G) z+XZw|F#52YrOt|+FkqWlS5Y|!jRdU47kh8>-NDMa@mbPGiubx{V&xOC>b#4+*-6Wb z1wF52hK<}6vDO?1do#_Q&)DV-J=m$#tc@p>aef#X`PtNoJ{rS%*F@8=W)zb6>ibD#jF`i_;ypc4(+5ejStD zu8Zo~TW7x%FDUq+xs!51hqH5BzdI^kyS%phC_+ZyYo&nVS-cv?a1d!%;RK#!j2`J= zaHb$|9Fjp=a~Y&?Ccec|tzbg-Tf9{8)$tD{4n!?p1qux=6MVO37+-lDqgh1K$K8d5 z|NYLyGuu4lf5T(st{U@nZth-bgRnb7c(nJ!Tl^%c3q94i)DfYNjf#Dp61LjmUK_%> zcj7RfPD*2_D@-}uC4_y*#yM&f+I{f!@N5TmTy|=|e5O_daG+pPfnPRrZhS~Iux-JO zaq0U8?Bq0X`4cVr(nYTadBLRT7xtu)o^CDn?og~aXUwwfuzXBfq4?vk+lWZ)+m)nk zKtX6Bm-vrrh$oW}E~hoq%Rdxp6r3z*|K99#eb_MRV-&D)fnz=VqHVXmLjUrLwAV@kX^Urf4WYGv(&bJ5(jp(S z%Q{58egT1&l$hF(Ck~%Hn|n+V(%gt>{1G7Z8#2k!_%3Sn)!+4J*+O8jD4Y|W^s?-6 zYpN4w>yy4xXd*HK@IRCq@WwFswLnv!(8W)Pqm zpxtd0{I?*N?d3u0!FW3`aMG^U6&y2_s8LdfWcI<;2zxi)rIdOtsYBu!lpN4kY zk4a2Nzm`Wr8*zAvwjM^4vj-2sbJKwRexlsAP(YQ0F(zUdPj$huynLTNCBg4t5W zMJo>qDRA{(B*wG~D-aIf$4PUp(HvoT&0h_qtpf~6$+d=A??kZB%>)P10gEF6au53$ zO%Bri6+_oDz7mk2M%oDwV`er0X=3OP+cgTOt}UFvb%U-K&$ckKqSz$uqd?^&vD9eL z3P^n~8AS+(=A&c3{ftJWl8L40)mjf4hf*bO(OKr1AV0WHtJU-KR67|OP<8NW%I}mgi zUo5yDjqiDF81@_t-2ZVs%|*+>>%*|Tw20*9a)yh`2Cl=t(zqSUuTiZCX9gIsdR(V< z>f!njMC_hJ{tE^w9%_R>Bn1zvsU2rZ+p-)!7<3-Umr z1k_V#5hK(&Q7eWlapZBE2cayx2=w)(a?uT53iZv9On*hM%iOgaF}Qbf(-4=3EXm=w zMjtdi#IV-Oy#tzO@G|vP}?vNMetxG2XhSF#fB;e{giF^8Mq6`-;W$ws<)dC+e>A}0~@DZ zhR=G6rR2=NXNHm$O2OMg%2}l2`I!7u?6*f=|0?dRdw%)(o&Sdr(B_}|V%r6}ecROU zx{$D(`QCyCTz4b+UZZSetBkQx+PDd0RYPP4W~N7=2FIw8mucDOJj^>UK^MpEA8sR* zE`|iwEuNYqtiR(no);wNy9arVgv_0O`SQr;{8+&tW9lM>Js0z#yWBtP$Gh)bmYMHz zX>6{e2yPtn9-@V~P|Z|Ym&Ow^)I279p_g?0Js>u*FyVo^AYa8tQut)s=|+3xef<}a z9)njo*tNarsI;7LVC~kIKaO65IARRgsTa#mw4JsmZ907CG$AbkWDLUE{=Sod*(@pn zlGt(DZ4l&%M%Y21v*ocPjG=SLW;9dbiX@Ka?o~-C!s`zv{N{ib&Z~q>1K7>Uq42=^ z@RFGyIXzY%>i$!X%D+V(8uVtDZ-j|T3GWjV{0z&;k+3+WX_efxUHeCK{Wa*FlALP| zmNP2SFA}e7j#(q?=-ra9;4jVVKwnqd2KH77*5bgFdJ}%zyoD9QHkL_$tX#HrSAYwZ z*GLW|vooSnrR$9jiqO1S_xR=9lenlH5|vuAgWfmIxE&Fqzi-?KWQpTQzowJ%wA*D? zC%7zn(Cp)KTHRUWUtu!c4?f_hX1SPHBed6Qy^Z(_wlJR0x1jaNhY=6btyeD|6xM(G z(y8$~lv zrp*!P$+3*u>_>`60wm9No8tI5_!zqx_SzvtXB-1QNr3%2FH@Owcf>$sTf{mnd<4m0(}?s@xA?&#vlQ;QyT~wH;y^ZUSX3yQ&xo}-}(8^h{NwMmc zvl5dSas-Yc%DFZdT#Q4nd0g-%2SM5HK!^r$G<5EUtk5D*oR z-djjSsz1cgQCdJ0R7ya4OXyXpLMTG$0Rn^&LV9wx|8vgE{oLpKbYIA@_Rjk3HGA5c z`OeDbw-eo1x0$X0_89dJ{5|{&fqUd5I;-a3h71yFVOnw!vN{eV-gBlLXL}Mfao5;59dJ7qms(zfv@}#QLw{+Gjn;I(O5&|9U zPedN0sT@a_E#{CAw`hp+pGGNxGK(Ifo2>qOuyq)vh5w$d-VXjJpTeO8!q|ta4?l%s2nzDXe;JY%wS^_XzVf zf#4<3pw37VGT7S5!>F#JUA&Tm%K9T`K`7>D^=*(Jr$S>!>|}@677!H7h2wPwZsZ|a;nCmOK!at-QJ|8eukl+d`X z_?jwR*@Tswq(zE`(uWJ&Zp>nsBJJP zi3&Jts;cwh3d5kqWVmn<8c%L~NW5yxzmk=Wa-+CRZOLRw94R~+*6$f@ zH_x(gz>fs-Y^dQ0!E2dt{gPsGK$HJ(jBp@=430GfkXq#f1L_CeOs2KUlLkOHV1+~4 z`^Jaq%{_yYH0xHV5waaF3O|j;n{5xa5!1FIE$}07?>4XZIB^Ugddrxe@u}sz*!7!E z)Bg|uRt9=5`y)%H+gW}Uy)4fOYgxu0h6b>;y6`vEz+SwV(C5(HxA05k=qjLclq(`L zgi~P?J{2we09C$|S8$p9zy3|Cd`9M-ljl$HbU#E;MO#3(QilP>T{s_`!X33UDsBh1 zI`rM|YF;(D>FENS2s{upS9^&dk6BfrX*!k0Uy}$$ow@nfT9?Adq!!Ri3`l%XDli7Yh{RI5L3 z_F!NM*{#JNXC$;&Lsn|>mv!B*KSdV!%n6B!Okzi`beb#+CvGyR3-0 zS5rPRfW=XO>4i-$hDmq(z6rn?o%)wg3`b;b8LFEBKzH(D!d+U%9LNkZy+EKy#TO98 zY_EOg;TTs*VVOBgh&vr{zSgd6d*@4Bx4E##ddE#sniAl|P1*Zw*#+qREYcl~8GZX+ z=wXkpj0fk;r*nQmfXIMAGV<_qMq;Mq5V{4gFDJFHn7|v+ZZsa_U zTr(6hbPUtu27H7$KR@aoPgZ|h`qksy1t1C!*?d$L5XoML&P1XLJajIg$N?f{_~4B8 z8a)8kLFELs@91Fo0K(2ePtM}^y-?Ln`SbD}*(mJD?rg^W=d6k$))S3p>dOc)fsIaz1ffF2OXU&XU&*dj>gW z;2hFe25K7dL*CEVC*93J;Y!h z7lAnj4{yVqf?r{M=I2_mN@<7I3UFHqKwAeU50P68#w?26p#h?3;iA%F7BPzea%d+! zD>W$z^RkbHa{CkL)9s)lWajSdVIucVxSj_nIksADke;}dc57*#pP|OFh%5S8==Z(2 zgevvTleIL*O$J&>usV}Dd+mRHyv*#@n|~1-IR=Nn6uX8OZh7Mg%#yT%D<5%wXmn$4 zq5sPj`5Z9+x3EvX#@(97NC@y9{)Y;ra#tPyr!E)fOcAM zrB;RxU=1^Tt%bCw=?(aiaL)CKcLUgjWHPF&D3cMBX79_{ z2bIZU{i`gay0L8U{S)y6ShC@dwFH)_-(H=68y0x>D+mapXMlM7$LieA{R?wXPCw4+-vWd&sa}NSjr@1 zjNSSILBA?~4tYq5zk?iL^opbC>`X2s9#J!G)weBUBeghNa_lKQsdMltzqCl$U9VTO)=p@{%Ftw zZh0Z2yGVKL`1n{S9Q2JXd9bmYKC?Z>&2q@e0Sh7vcYgzc0v4w-#wC8z*Td+dyLu1u zcDmqg;bThwCtLk9JkBE^98uFXJ=THl@SrLmaA~5%4reDoL_k^rr5vZ9I)2+)vkV1j z&Y;$8nK`YJRK4ZF4;i%UAl|2i3SXo7i?QBSO$G*Vij=jEjQ^*-sK+JL`M)vT0Fvyh zb?;+yh%9H4Yu|1_GFdgZCLbuJxF36N6K-(LUz(`URj&rKwHo(n65Okc_d-yQmHTA_ zANMudUe*>9<8PQ5=5ar|HwwH%u^_42lxERBZX`bhXZk%r{T@vZy&$3h;CtJO)LY=` z+S8s=(9B1Omiq<2Y3!@Z7=;a8{FN4K4k$Wjot3fe+Shc7{`UVqgMmUvc|$H%g=>Da zAU__3r}V)!0gMS6U1Yw~=EaagA-NuWJ>eG&n?(ey5+|$uwH}1D z_L}i};}61eB};kSF7 zk57o=tp(`W!o{nsL$t@5)V}^~FZzQImjM7i>~GkrA)pCqs2h z63GEzV+)4PgxCO|m~`>w)-2|hzUPFDS{Y$w{XO`x!Rvph7-9g-Zt%>e) zty!ac(H3s*o_4wfR!8sDM?_}hC|n6~v+qsiUeCCLZ(O0ABS2Zd0rbF#+=})^Z}GX@ z@rpR<&521IG<1{#S}2zMG)wZxRE4-5ATcHYU@AX$;Sn0Z*(}upz=Y~(#c4>h%?~IH zxlNwCI|H6q;eSerJkWK=m*kaVQj7#~hf>iL)%JS+D zsCzoN9xC%Fq^u(l`!6s5ExXe_l19w){8HISQ8kw$zs-C2GNb ze7|2G$EdzEY|d7_&n{^R#0B{5)?O;DygY2q^!%hEx@bYPumt+75&DKZqIheH5m%n17WQeSwHk!e~nqPF&XcAqwvSB7}o{(b0aIJRrs169Q#iRmVPO*YqMi+<2IsBz9?$_pn`iI7)Onm#mykO&phoAZo#sh$( z)Ze2PjgF6x(52daPy%5cbiRerv|nz!Vmi2;B5C^KLj6COm$6*USQ^w&d4wOw0BQ)*l}4_(gK_dA zp++N#;+Z8#(?q^z@N3?S25=A@bhRJ~MZNZVW{sbF{dpYn)3#EZ%N=kuu9t#Qy+=2c z(r%-`4el*^A1R3^pG2A!4MfarLOYdCu5~+R=wlAuTiiC7@Wz9WIAKRpfCz+p;eiO{ zRC)e@SD%TNuu^NN4g`~Cyl#PS=hcT`j_3K%G=LZcyI^5fy?}`rP>(?Qe8b$dTZZZz z^(FnEO{4DVjv5B_ti@uvP=!~}^o&Tv>rex(9kGTf!2YAtbTBZBOdd8*XlFWH(ZgI9 zkKJ^r)q$X|;x#I@I(Mx617L9y{0yK;{IAx=7h=*8IRJ9*W6`I4h z6*Zhaqt2FnH^384(wgMtzD1F*5&%t(o%n+LeQXOMe(+;{Fv*Pc%X zZZ_#13Ct&8a;)`RWPLd*|MYMx+HQ_Db#D zk!$5x>;`7!RHh^yFKiz#`B%3G=&w&5$Ay^dU%}amw;3mhJ#D2F1+2{V&fU1O(ov z%0mW-u_!|S3mXqS+e>3ShdGMUnx z4UAD}cXLoU?_s7lW8T`E;|=s*m32b4pfc*s-Cw~Rp8+gsgy~(@Riw)dmWW5WO`mw~ z^0PZ0hIKy9ne5nphQ}XxQzQz&Ql3(OMZsk*UMNJ2B954SnozOhQf8%NJYSs$O8eMe z3eB?pJRVg9cGuI&W~<_%hM2khB+rg6YrtcC_HY5RzzI)e6g1Z^s=xZ1t^hRY%_0*x z;Jq@QzsaBPfJYJwl27t#9KCU3|Ki*JzQhwr<#TF>ll=zHX}&qjFM8wZ&!~Xm=p?PY z&!^Ajopappn6z{z?(m&tji_2K&aSB82gEuj41&?8MvsEb0&<`nJxjY8>Of;B$^FGh1^*;?iv$M=- z!6cFJS99sxr%jTjn4+kmFY24g12wxrv5=249}i;Ud0|iRsh=Jet85`}!=vu#bO^(# z0*=CJB2sVx;7pUtT{~x8;t%ej_9rZ&Pq+*o+F>;xU)eq3A^=dTXFo7S^O}$Lz}pl0 zOXA=WS938U`z<29kJM~K_gg4@_e4n9-8WpU}aoetlR#ml*m28kQN(( z2rY>m5Sm%|sFDblJrVWDK~p}_*^D$drRvm$o;!beP19tD5TIh@9AtD8X5KTp7r86Z z(M}ayJ%!8p?3W~BOEQCBS1N2uM>|}-XWY;se>q8sx5_%h`MrUC_it1G3Ebhue&(O+ z4}yP_Zee&^Nk$a$sd~u;=M6_z)gV*MZE3k>fJY5!{j5b4e<$&fo404rpx6XPY~Rop zPLBJ?!P)YU5+TH~NBw9I=#V7gQ@20_Yk0LXSGn=QX>|^PG^*|Kha@&F8ovq>3>oin ziz9z(Au11aWykpqw-D_U@gJLn=@{M}=M)p!B{7jDI#ohP{nh?W^$1o-UWH37 z8^Az4aQ^UdrI$Fdo_P3*imruqvH823yCQEq#_?_C;ns6hx4eojuJ9Be52i7)>**80 zDnKCpt~rGp2~EWwpl@DV{HVHrigh#^5?qZwEp9jR+x!&icG`fL}@-mVt_2V~05);g~o|leUZX z!5xt|U7=|HDi59zPMJ7iJ0;KVRFApo#qE$3Ts=-Lu^Rww*m5)Urjx}m>fQM9D;gig zcwx&--%9K{ml+q`Kxo|8G2nca2gr+*SKK{{_qXOsz1{oW!ofdda}QU|90-9KsZija zr!lGVN3zHzSq z(4NRr?STXeM#2Rmu!I6AxRwha?@rd6uBU$wR9W!QxxA$l;5UKZr!lfi!!k5$7IEn+ zClr{^IwH{JG?#zKX3#b=@X_f(3?jkvB1BkZ>6)4_+Tt)?;KqN8I?l%1OH|({T*QGd zsDpd-=4azv-&>6S2=>=&PHg!8DkmPg(mzU|>U#vs^y0aa>CJ2UdWm@AHYvPczXc$j z%M2QF)Gcy6dI_raYuH5MTf5#}%f`J8 zUue{Ki9NJe8yQ}xUHA+?HMiDGTNbO-V#R(B>=9Ns+2>9(w$>MX0V!RpkNtU}P8Fcb`ib-wuv9fxLWqXh3-B z%07TPJ+vpd1Q(RV_bcb+9PdH#NH*}q&cPe`cCJKp(RVC|1_YL{2NJaW#a$YCcWNVJ zw&vc^aP$ZRcx4;~e$Yi9yYz(H1hNzFDX^7>Zp}>`9(Ys>@z;*x9Olg+FFU?z2zP)V zI_HNj*_Ws$v_U4m^+n&~@o2o73l~czzm#;z0BnBgy>a@*JP)ZJ+=u6j%-)wRjc5L` z&L-ZG^}oFfMt^z)E)ApSk|ma^E$4!sh!_5vb|VuAiAwAj9c{)N+qE;tR_0pENi(Mh zsF7VZYWqCmTR4V!KCsP$Q)3aVM!@cfMbtbw%{}Up4bgw2ZI}o-d1D~n@+h}3g4OKZ z7vck2z-TApaY4&Xb<$O42SPaE)&ub#SDCQW7=!oT_RsjIiU5JSnV2oM#MVf3zF=^5 z-R0S8$_|C}*-lnU2l-Z$+boKo**I#W9v92?j3aNEx}ZcBlGrC-cJgiJ3P0%z7Uk4> zIyeUn>42~|!i*hES>zdi7t_`2DMlWZCc27le0#bpXLxE*poQ@pc-%#|&t8Y0A8)p$ zV-z>kbIUQe&T#OeB=|Wwxbt<`?Scuj}+ZOC@xvw>Op==#Hq`1fiHRT)B8ntrWKaBDsq^Y*EtPQEE9e@WPC|Zk#7!J z(`4(#`9+V3?B~x=u&;bkcXs=!@LsecHmB+{s3vEXVqx-p(^*g%_uedcJ|IW2say!9 z4BBfa=%HqdN~GJIkXT2|{p0AKTNux~t7ov^a~_~>*A8UBTp%v(uDV4uMtt|qOAD5T zFYH&JTJ8HLp`B2)z0rO<%uW&cq>S2_RZ=bnCl$iN`TereG#_O_I`x6 zQ)a`Qo@y!H%k!?PUiDQ9rS7`o%<2!d{AHcp&fcm%b3b+J6c**sefD&&;?o{{Kyb0k zdVKis;?HgZg1@!8_KjMIcYo_5vQLrD1?kvI885_cW%idY-Mv?TVYG!;2fk5;?WUOj zrJQ)i{bPrUC3ycDO8Af^XKGOY8zEjT3=&!A3%nEkp=3V5i1|~iguFDo99G_n*jYYI zI?&(Uf!gn`aNUKD*M7T|*nczj!FJ`54}lJO@%>+NEF|6k+U)7Z6rapP1UN?43;u*s& zKiWf0#Iw07a?#`ySCF*?h27T_-$q5`KZBl_QA>nhj*~mh>|bVC2IQCCu77r3gb+v!(<->@lMqLA=h%2B_WSZ#k6Ax}LPDP(i`^9lKpbH2ePe*M{5m zLD;nokVsbd<%92rOS~P0X4^z*omzY9!JkHec!d1H?*GiP+Dg zdDfF&{wvED0)DgAL?)-xn|B7|`vc0-49)Cf!j}HZF*g+@sCCmF=UtNB&Lw8bpGhgc z-FJENgk4Q0`$0N3AEU@J4nc|)8W3iq5HXS5a0M+8d&u9M5KWA zN&Bnb$D`tc+S(5 z`=lmgL6Ck%9e8qW`p%#aO$jv#nO-xyCcQy6_oxj2Q%%rkh`DjFKB3pS-{T|UpEDWA zpzv>E?32Nb*Y1a#3{l|K&1dor8hY!5jI}1vTcjD{VZ}l*;_;b!z+SRlY?dHk< z8t_c;(~xS~)fBJ&{k4~Ny9=PmwqyI;5|fvq^I@$&W9R-Wcpshora18eILgT+&Hm-f zZ@Jrd4A;^CDd6ddpw@K<@xFZVD_!&ZU%6W^mh~^})wO9aE1gRV9Z{i76sS>NsdkBo zMV#ziHRBFju3am+Olk93HBooA2>vx60J;l=wC`W;Jr!;qx$Ag}QS6IaSel+V@d|2u zch=Sj^Cxa*q2!lUmeWG>Zi~;HU3Bx2N=0IYJ>Kwp>&Po&Sk4U-;OwHqRz2hyp~dXS zk9!nl#XfgX`cxZCf1g9^v|O3qunha`=^@;i5NCX%|6;7DDwNA6{)>Td{!dX6yKN5HDh``I=5Y);$v4@uH;tfn&!6$d2RguCTAY@ zH!KO;=;Pe6`(VCp_QE^MAMd(#igZ*o|6_u{a^D_YFY3vc$}+wf8F^-42i8A$O1!^V z>(@wVJ9U7yWY2n<1Ok_?+QA%!mtk+c@?sb&&L_Y2|5!|WJpSeL&$<-FJCwd7hJv7s zi6ak_=?^~pUpIgF8KYjudS!L8N4De_f;p65aOqO;z^UJuK+Be(8qLZhwE7e5v~#4< z@3Z+G=MyP@uPh@rg8Ess+fRr)0(hIo^AjG5))6H)6z)K|fAv#s4zV74znVGr;&GjG z@NZni5B5QDz>5pZDgEA7UZkrkl_%WjfpzDd#~#cU3_ys1{QbJb%|QO2SX3^kkG1f= zZT8u)9_?*+!)=7+zSBpR^r;$`2$Wm4jxU>6D@)JEPiRnSoW#&V_v^|xYAe54RP>Ph zvTug(SU59^SK51m5v3aGudi6lw^9FFvNV@Gi6G|xkV*cls@HG0HyeVeczo+|g|}*6 z+K)2jCo}cuZ^PQ|_lnNQWZS>2B-@ynTsn#GJl2ras1p|Nnf>tmC3H~Xi)hM&W^2h6 zaiV3abnjRe&MfaYCjsJIPi7t+dp3VX{(1AKnZ*h1gk!i%m(u@Cboq?*^$W@WRyuJf z-S0ha-odNt{X=KnZK<_o}%%4*5G z0q=Qg;Ey9oMXjIeuXp>bgnqABp8XKo{yk#?WZ3lQfnm3)TkFLEoQ*hjU?)q`az94> zBq3qe{&mOF@eg}i$ME;zW?^11vG>XH_2}(Jrz+jO^%BirwC0-Eo4R8!_4Tm1I`Yk8 zMqWll>(o?PWTVZKu@u*8EWUQP$1OB8X~w1AbUui-I$ym&KKW^Xnth+XM}~okYGctA z0TtE&C}deloV5a(>^D>%IRe(of06cZO|A%Qh^V`4Na|HG%K2BL1uX{v!3#Wc!%cX$^>itWS znGnn4mXzID9*pzUwDgkDEm?&RAOAdosfu)Kt55doeCbNxon1IH`Qe0G&I>8)8Sk); zLXJ^XLEB*y-pYBCQ-rSzFG^;*Bv!D$XU>$2d+uhMYFd^yBRdN`+!vF!!Vx{(86v9q zWT;N{AA=e*-7m#zoDBu)1&f(0Sq4!Rm%}R`b~R67hwn6DKgu(p?lexD&ALSNtq$4e zL?6`CuT_7Y-~ng#5-#jn!(xTKPZpa=KcOhrHTIY&4oon!hiRQYRz#iY4&6%+yDK`p zo-KSU4sw$hqx38twZ7$fDu|<9XkYr5E*nv#2gA-&7S=Mu%Ga|*{)Rj@`SuX$fuC3S>z>7>5JGyx zrl>0|C!JIkAT7ycdt4SDL}k(WcUoF^v#eoL``wW~WYZEZ&$}7lECZQ+I!NgK@n|ab zWZC-*D~2K~m=G26ne>-XghWni`EBBrklUePoax?$U<@@h{w=kfnABaN?vy{vV~?nC zU-Ce|E9R0z1r}8P1ML}@1V&&Bek;!^D!g;HsJXFiJbQ$qbN=gDKgcBcT*!aamf1)4 z$)UDPSwC7$#tY$R5~trr>XyV*_U#qfCm;5dCDGJM{>US6ao=~vui1oj>E6pCrgwP!pN;Fy+-NQNjz@f zce^c-)-MssEbW&me4%{(FLxzNq~|E&l;gQq)XTQE4F`l_eq}o?aFX?&cz>YoPnh80n}|Aqve2bg;(x4ZtQX7Jb8 zXW2JggHYWKWj|u;NAINJ&L>{*c!c^d+Q+J*Db7ZCSDS7zfumTh&L0CwXlAY`e0o^@ z`KbBP?4X^xz0?ZtqaQ6N3r^n2FdSD)S1s!9`JsQ{D^308o!%!y8%varo9MZ(8j(+m zOgH=VD-HW?9dqpF71qLGgHBrny6j@p$X&Fcisglp9w$R{UzGy;eW7EF>sex9w?pxI zkd>B9oo=T5+cfY%MOL#c9z;y|8XIhwwSU&s5MgE0_;u;oDhVf=VOZvDStCuwH}S2s z!21)gi(QCSBbA*0Wc4UCn<)KzL{Yxjig)}*)1~sjejQ~AoDe-tL7{z9dimgihMJhf^puj05=dZGB;nHJFqPR{o8wN@cBC@q@br;h@Hl* zxz==Mxa^_GIr8bnnpBfd@W}6568d7ZCLNEd1Nx)qaGR-#-q9~RWN*O!-YRkV0kapB zgmn0H<_lsBddsX|X{mki^9pJ>_A;$5Du!^&)rJ(;(!u#?iUgyL+j4&$s38VQZy6)GT5HS5u zu+QOL%1Ta1zK9?h=J}!3b9)KCp~mO1WKD?6h8e%Ktkb2LK!R2UB4QVc6bgJwY||%q;_lr)Rus^cIdB$vEjU=!=zjLxy+=>(j|2x_yCi&bVMN$* zR@ox2tU8m-zV>4-?%vOG*Pj(F&e|!u&iD%!F90nqyXbqwtm}4R_6B*oo_tdtd`c|h z@m&eoq%%%}CxaV>nN6}kXNTk=a5IyBTkYuCm8l1xE}gcP{WMtg`t1feu5C(~rYi8< zr4(i+A#0z+Of7wAz57#mpy5EsY3n2JLn?W%J^YgYSJ~I`ZkPe|@n3b8)b*43e;+*j z5NrMF4&2+~reKt6NYVjf1!7S!dL6q&-nRd?Tk%xxPg)P?bB9u7gTsUpJZ{8lzj%K6 zvlNiBkE3JnyEj!|NO3!Ll5v;$<3;I7q7seXsWbDXK69{W<@1^Kdsxax{xzoeN@@@O zSV&X8F(NfSb?qT6S#CLvC3i=+>Kypss{>@e_ZKkNGI!4U_k|!1zU#|!5d7eaJ#$VK zb5I$ZYFv9D&JQp26?)^z(?0UK2P<0UM!a$RP$4wO(~FaDA9DsZ>vXo>e!OAfM*X}` z*z1kcWtoobGjs!J(~XTY_%v+5GUgDkvY7JmiWKkM(L9?gXT$r*+s8D#&@EH4MTeK4 z_U)V#^nQKp`Q-D$6Y7!oa>P7Lbv+MmyWSc*)NVvK_`F@~Gx~$SCFq*YvovLbkOma} zO_wLS>CJ-f`|D-j@d+0Xg)$`WA6xzM)j$L(3V!{^Y9pw{w=cLVQ=pvKcJoez|4s<) z+{eE2!V{?rxrzKCsZ^7?5C@OHB8gjN{4saTuzyG~I(JGEZr?ewL_YT@&oY!-pL{(f zXg%1t>Dt8uA%Q0k?S%w;ZE$N&r*X-*ezvX1nuuSn^EOn9`6;RMqOaEoaqfhXX5YNA z-211lq#}cmV7K>3jF|FP=9KB_(Hlj%S4`S3cz)PYO!Ry-!Fby|KXFXhbHm!%|GFG*UoeC-I*=!!)K%= zXVJOxMSqHQdN)?S2#bKUg(}J$E?$4KzP;`03)7bP@8I{_*Fy66o0GfRV@(vjzWd$~ z=2o2NSsYg*`?h zi1st97v3c|Via%H-yigjb5Zea+1*-gv*}az*xpW3e?L(C>DY@`%1!}#n>V^7w5kbj zXZ8emG?oiq%``urx-Y4OjI~~HCQLb9t4(@n6q-7B+0lQ>=^!8({(sv8B<+@xyyr|qWM>a&Xy?v|=U)g24mN()mYH62Ur^);Cpc(f@||yrU_c0M zHO>fIt~j4)@=w!#y*%=Wj)@ztLqBrby(n%dAExc0Zn7`eM%? zJAq=NU7fwmX0r)Vjq%ox$ zIU#E-OuUH%!}>vGUp+VvHtp-WeizYvhBOe{49{)4G7;<3=W}p3BrI4xJ&<*UcDfAn zCjJ2e_q0yR=vA!9sXi@-G9C$-()06-3(-# zd!Jn7{ad%y{OhFe_@fVeSmzyV%-r*GvdlBD_k<9i@Z)7Sg)<_X9zNJ}*(P>(&u}9M zDOcsat_|tHP>}=B54;Iicw{pogQQkjoLR@Yi{lDITkrhtZi_1g)VahciA4+L817^Z z5U;^61*ftbvOLY}KO1aF{NXJ_`Sm}(g*V%*;tffR4fxfz6jBmRzH+O4bq?--SwhK4 zRN`4WANTd7lg<+}>5zOxZlL66+*#0oKkLUNpT>BQm-)9#TUV#N3U`V~Z zd@D*Bzwb6R_~yd526rf5Co#t~FW~2E#{8bS_iptwz4XC5vm|*wsbz)BP#tx@ZcoBW z9W5~aE!{}je|2*uk(<1`!TFB-eaD0y*ao+zgIlX25*R-jHN^M4J+T9moACJy? zoX^0VLav#+v#J>PQti55l%jRjbz-03%}Q5pRia4DW|#}zm36}NI(B!u4CO&F4TE}+ z-HN?vOIUmj?KMM^GsPGlH^Mrr#z;fPBOYgsDwxM(uyi+)D@Q9l0oi7@nhC>@q&8_) z;YO~-s03tJhTg5}OxQFYQ^R-)JuU;;q+5q^zswhts;Qb&G`Br1^a^AYJBxA|T%BT! z5hwvAOl(&XmSw+K^xM3wzuq{0a05@p#hAlMYg9%?mTk`VBc@`$n|2iw8OR-3uia#B z){s8I&L;`;&17ROw=&ovtYZFT3Dcg;XAC}?%orVqJ zs2Go9>EX|QjBV7~L8>SgOqi)Hm(79Qnx<8=eNZ^KE9K%aeTsdv-82j2K^2=~l+A#q z#!+i{m8-?b8d|jsmsExX$t=DmM8-Ur1~}7gPXtX3VBVFX#$vV6Y&5sJ6h|sUB@l1m z-3ZRpjG^sK3TtFznvuhp*i^lm8UeGqqwcHbX{BHV4awgpdb!GSxB5L=Y`O|mnl z8<)$iI_cm}-lVHzU=y6@?%1H|RS$R)7iKlh%mNnhXWZEqNj`b0qQ1rmcpN74ffJka zd=P#-ESwOqSA!{M-$c2&Uzo0CM{lZ|kU7`0Y^ON+oA~34Y_<&wkBLEQqmSnRO$V;= zUhB9+N9-zbd-R)G+-44I$Ot@Q66{W{#`BfJOvCn`!k)6GSOhBDS_-5JA04#5iXx5f zi8Q0Z9|F1b;frNfAj#_m;jC(wVkm2h_F<2Sy#WHN!abl$0Fo+}#O5@5g!lV}0`JL< zTgZG%V$lvFg?l#u+GJ+bP$mPR7S!;3x4lhzcP_k2QlcMf3*ISE zBHZ}#+f78U%8tt@MJ*SREb92}Mr+w_vU1aym4D)&ju@z8%OZ!jFdor8dRecvm^Hw! zS);7voj!r5fYa=7T#7yy2AGT5M+7vmt|^Xf7`V6@UOfn|I;H^=-e1b8V*H+z6*5o- ztD1OlCdp2+tuUg<&agx+Zc%fVU0bR#>HOvCZ?qwNid^(R^Uh)s?>MYKL{@hLx5iID z0C%k66)qRFb9j<$EQ^Gpi1gL>(?rrmE}iW$eIzZwXzVqxE_tv~K{!1BpX5(7G=XBN zM|k15A+TgqCQFt`DNaCiW%%5$&P4CA3c`)@!#NH}?*A+QD)CfUGg%*zXvGcj$WaMMeH|bW!Y_q0Fw#bEZc7j- zX@mCof5Hz8zpMD*g;^XdDE50PZBhCvA4i4%#+<_Jaqd;(yx28#R|dNb_#t{oJJ3VC z@)cNf0(hLX8N`xAOG3IXj=g5o7%d+g*~75QKx8BcWAA4g7GCumTwQj!xiq{OXYjV5 z8C^x{cgE)GPmx@+@_$u6E}jH!QmHU77qDYb!(GThRf8y4_x~`B{B#vyF7b+y(+nmC z1xU#~KuWqm1lm&;{a6j<3YVx-3S-!ULx_4;s?`9)qcKaZeadNGK~UHg^C_kdC5Zo7 z&2*UOG%F0`=w-O8&#mDwOL5b~Yix}98ikEfHDb%+YBo}+T!AKx*Dp&UgNhbe;w$XYdM`2 z5%D>;|CrK9;Jl;v_-AEl%Z?WP&%Km06q`%C8h1Y(5$!)0wZ473_M+&W3-vmUi#5yeQB-e^^B`$PVB!QF&%ZCXURwSo&CnSt>p*4$rU~K z&M2OC*_Gw{w)Z@BUEbP2`PL);@N;pm$y?s=$?>8y6^GM7& z8*QP}N(=5i&2B1cE!WPSx_0?;f~($VTVoT&mS@`+)%WlrLamLg1?hz^eoet7?@&9$ zD{0R%yoJQOic*pLJO(gv0sAt1VqD~4wA9_e*<$yBl8`@%ji9xom30qTso%{e9B6;I zkyn|SQ9trPyR6ACAZPK(@BV_@&kM)Xm5S*xjp2fJo-rbk&RXh~V5s(PP}9@!=Vd(Q z3*%35kG}JW5iE_hwB78DKldS$+gB-HG$OynCv`A>?N=SRH1HW8*KXGgq@J6^3QiD& zGVrBQ!DlRA5k>{&;@$}>#712if3Q)%&#Bqw=X!w7vGdWs9c>Ao8|Q`vlDz4iZ{k1-!dvq&M8O_U(TCDezauc(=m!(t10c_ubcW4wnba7*db!xYw9cj*(RG(B zyXCagR}-MWm87+zvQqq;>S&Kn>Xtl@Ywot`HoytA?!5dVy7)X!rqhu4E#Lk5ZJa>7 zoE7yLkM_V@#JnzHW57)|7WTzcem&QEH^r`Vt?lOpt>Q`Us3?2Kd zXMabBS66nSPV6qj-exFwLSv+&;s(mmeQ{@iqIYgpr{%^`q1NtDA9d$WlA`bJ0AZ^O zYhjKNoi<@fF~{N+!ciKh#FC`4>u#Hc4JiYn;pt}px{)tN^$pdvcURb2-ql;s`ehRP zNDv|4(0Q@DGVPSj_m{^-oc`Vwe5P|-^o)?DSk_*1j89Ocq==6bDw5^9zsmsh$auSA z$vaF}FWwtjWM~kx%bXze&#LoV$#D zQg4ZW@8FXSDcJH$I{r>JG8gSnOJ7`>l-VC#b-vb7Fj$8aWBcU3x@-_QCkCHSwh>MC z3GdP@o2&Ph!YGOHNQA|O*ijcU%^gm~>ThJaKrbc4&GVhry}BUB`zk5TNQmU^<9aS3 zI8L9a5CcDG*iq5Jc|O~->njV$WwTOA)Xm55ZUl^L%%z>}%kV39zkVBnwR_+9ptho* z4nK2Bwh414F6xE&X)CrpJ-*r6kWn1k;r=32_MHZp@7Dam`Vle2gR@&92b~OZ?4KH@ zwLkEeT6`brvhgW)qeb`EqjPDCvl=%Ep=)v<_y+Vvqe&Vgb_JI$lr+1L`@1Zvj9@|* z(;}9w#i8MXvtLJOrHC6jV{g@y&K+s+0ZG`p;-o~DXY7P6^IdGZlQ%00G4?j^7JpHm z6Dz(f*1N8%OqNFelz#m&M5Mz0+ty9Vm0j-;pYv!j-iPKFcDI5_Du*zohfDupzrMwf zNh$heKXiH_?B=Xz6&J=IFa}teqJ>bQ_1TAUkDRuQXhR>V*gRQsQ-RLUn8fFXxOht2 z=_c2)j~Vx*$ecg#pZ7+&YMu2`kV(E$DoIXub()1_&^tr#5d?!f00$ z#%i+5O4M`AyE)CjZq^^)rI+FEPl(Gu2{%3b?%m1Cqoj8U%vlafP&CeKXGKTDyMN=R zUyqaAd@?4^0{N)Qm#u=n?h)U{r=_kAuLB0CrRrN2{_M%6Id%wnRDxRzdTuf?b`_KJ1k`0^29N zpmA0Ni}A)X7Z~o(i2QzH`7N~HKm@S^=|0|^iTKRo0Z2ukfg~4A0KBmF$KF~Q7 zw39%43GIAnR{-x}ggZal;~}#*WR}PEBcLsZ_EEHx(LRQDS+svdyA0a@L;Fs&kE4AD z+CQOP8toHkmqPm_TDTaP8`mep?q0CV;yD4=d*b>D@Ro*u%7n*Z=$u%i9}e0HHCm|C z=9(3`V9oYIh?7|CfjDXVS)6aH$&fC`O}MxZ^1DO+W_P_Cu7ByScg6Lu-1RQFzQtYd zjO$z7^-j3H&0X(^>$f@FcEB~rjmL_RdBEY^D9}KB4YWCt%e$fbhc0zPb62CY0cvg@ z)ZAI9x4ltsF zbwFmUDEKv;`$Og`v>U6Y#x-bNu8hITo&l4eY7-YVU zc4OF?A9jw1og)G}VEN;8T>L3*#|L)6^2eFD+DEZ@7G(B@%(u{P0-FoL=0UJ| zO2Q_MUyE}JWaonHd63;TkUbf^gB72rK;|IGT!Qwqu(L4i>;pSz26n*m$JcSSmtxZk z<6=6_^M=VKgqu8rd{;2$*;u)h*&=9Vu9$uZ_!^P=${ALt{MGv!QU;zp9Qrz7}Vli!0Od5uwqPeLg%$E zbryr)rvtyVvlM7g)@bK|zI!JAZ-Bo?hR+XH2D^-nvE+__MVwdF;tb`t0srz0Uu3t1 z++`ieQGO@LUeKW|<@bdA*h4u{?e!_cGdanL@qg@>BU(miC?K5b951T7P?tZi@p?v`Dif9)F|F7ULhW2T+cYwY+=y#(1 zAlkdoegN&=Xjen~KWN{N_8zpWqP-XG`_SHpb``Y$F8}}l0RR7Z0gRM8XcSQthR^1m zn8dt|CNUZxL_5={AqbP1o82+9bJy9siEDEqHiC@^!NNj81cQQ&bsDh{L5Kt_gkU40 zAt-`~5J3g4(%4u9^!z8gJG*8BUN|#5XTJa3dmgjH)J7ddRKGCa&NmunGv1^P{7Ds= zymfV$HId_o#-^RnNva4G)vz^*@YuzZN8lM?gD?{W)(x~fZT)acZxc0BD}%SM{~Uy< zzaTp68>6D?id_Ws;hQ^`py(?oB4txLV|l}WkF}ewFmw58{sH*zO1>Pf=T|K>%Gc#5 zHH&CQ~Q`@T1K+DYK+t$ADjYbNZ zNG1I&DxUV;NLx<@PTpp;4s1FZH@KcQ_~@&1_f9}ttmF=Gmu$4Ulz$Hx2gTvaU=$Ir zWVH%0c4Olj9*bkZ!#2ZHX9LH$DV4Q>P6~}_>lW(O=bOjjYGy-x(&fg{zYdQ9@+`u7`D15Wr9G*gB+TgAKRFFdJQLK+zFkaeKk` z3fr1H{fi$;Gm6dxemHG;nlx8CjhC|egm;&JcWQ=FqP!*I#J^Ire}0RR81;B2k{ diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb index 42a925deb75e79279ed0abcc68dbb04916b2713e..91bbe104745429a84af8ec3fe7aa1f8ec8927ccf 100755 GIT binary patch delta 12 TcmdnbxSw%C2h)UviCv}uAQ}YQ delta 12 TcmdnbxSw%C2h)SZiCv}uA+7~1 diff --git a/cpld/db/GR8RAM.tmw_info b/cpld/db/GR8RAM.tmw_info index 56b0461..15a6255 100755 --- a/cpld/db/GR8RAM.tmw_info +++ b/cpld/db/GR8RAM.tmw_info @@ -1,6 +1,6 @@ -start_full_compilation:s:00:00:26 -start_analysis_synthesis:s:00:00:10-start_full_compilation +start_full_compilation:s:00:00:05 +start_analysis_synthesis:s:00:00:01-start_full_compilation start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:05-start_full_compilation -start_assembler:s:00:00:05-start_full_compilation -start_timing_analyzer:s:00:00:06-start_full_compilation +start_fitter:s:00:00:02-start_full_compilation +start_assembler:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:01-start_full_compilation diff --git a/cpld/db/add_sub_rnh.tdf b/cpld/db/add_sub_rnh.tdf index 9dbea30..9106a37 100644 --- a/cpld/db/add_sub_rnh.tdf +++ b/cpld/db/add_sub_rnh.tdf @@ -1,5 +1,5 @@ --lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=8 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result ---VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:40:SJ cbx_lpm_add_sub 2013:06:12:18:03:40:SJ cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratix 2013:06:12:18:03:40:SJ cbx_stratixii 2013:06:12:18:03:40:SJ VERSION_END +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END -- Copyright (C) 1991-2013 Altera Corporation diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index b5ab602..9335bef 100755 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,72 +1,71 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567909235870 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567909235870 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 22:20:35 2019 " "Processing started: Sat Sep 07 22:20:35 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567909235870 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567909235870 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567909235870 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567909236067 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(52) " "Verilog HDL warning at GR8RAM.v(52): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567909236087 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(60) " "Verilog HDL warning at GR8RAM.v(60): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 60 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567909236087 ""} -{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(190) " "Verilog HDL information at GR8RAM.v(190): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 190 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567909236087 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567909236087 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567909236087 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567909236207 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909236207 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(133) " "Verilog HDL assignment warning at GR8RAM.v(133): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 133 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909236207 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(138) " "Verilog HDL assignment warning at GR8RAM.v(138): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 138 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909236207 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(159) " "Verilog HDL assignment warning at GR8RAM.v(159): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 159 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909236207 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(164) " "Verilog HDL assignment warning at GR8RAM.v(164): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 164 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909236207 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(170) " "Verilog HDL assignment warning at GR8RAM.v(170): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 170 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909236207 "|GR8RAM"} -{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236297 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567909236297 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236297 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 164 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236297 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236297 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 170 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236297 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567909236297 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236367 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236367 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236367 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236367 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567909236367 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236387 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236387 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236387 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236387 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236387 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567909236387 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236417 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236487 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236507 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236517 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236527 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909236537 ""} -{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567909236674 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567909236674 ""} -{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 131 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Quartus II" 0 -1 1567909236681 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567909236777 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567909236777 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567909236777 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "8 " "Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236968 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236968 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "nMode " "No output dependent on input pin \"nMode\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236968 "|GR8RAM|nMode"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236968 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236968 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236968 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236968 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909236968 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567909236968 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "168 " "Implemented 168 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567909236968 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567909236968 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567909236968 ""} { "Info" "ICUT_CUT_TM_MCELLS" "105 " "Implemented 105 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567909236968 ""} { "Info" "ICUT_CUT_TM_SEXPS" "2 " "Implemented 2 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567909236968 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567909236968 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567909237078 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 16 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 16 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4586 " "Peak virtual memory: 4586 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567909237128 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 22:20:37 2019 " "Processing ended: Sat Sep 07 22:20:37 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567909237128 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567909237128 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567909237128 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567909237128 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567909238337 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567909238337 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 22:20:37 2019 " "Processing started: Sat Sep 07 22:20:37 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567909238337 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1567909238337 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1567909238337 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1567909239087 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1567909239087 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1567909239087 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567909239177 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567909239187 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4709 " "Peak virtual memory: 4709 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567909239487 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 22:20:39 2019 " "Processing ended: Sat Sep 07 22:20:39 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567909239487 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567909239487 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567909239487 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567909239487 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1567909240407 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567909240407 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 22:20:40 2019 " "Processing started: Sat Sep 07 22:20:40 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567909240407 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567909240407 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567909240407 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567909240527 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4521 " "Peak virtual memory: 4521 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567909240697 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 22:20:40 2019 " "Processing ended: Sat Sep 07 22:20:40 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567909240697 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567909240697 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567909240697 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567909240697 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1567909241307 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1567909241748 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567909241748 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 22:20:41 2019 " "Processing started: Sat Sep 07 22:20:41 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567909241748 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567909241748 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567909241748 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567909241819 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567909241914 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567909241914 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567909241924 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567909241954 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567909241974 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567909241974 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567909241974 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567909241974 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567909241974 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567909241974 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567909241984 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.000 " "Worst-case setup slack is -47.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909241994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909241994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.000 -2056.000 C7M " " -47.000 -2056.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909241994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909241994 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567909241994 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909242004 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909242004 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909242004 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909242004 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567909242004 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567909242004 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567909242014 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909242014 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909242014 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909242014 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -468.000 C7M " " -4.500 -468.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909242014 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567909242014 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567909242084 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567909242100 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567909242100 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4530 " "Peak virtual memory: 4530 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567909242170 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 22:20:42 2019 " "Processing ended: Sat Sep 07 22:20:42 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567909242170 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567909242170 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567909242170 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567909242170 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 21 s " "Quartus II Full Compilation was successful. 0 errors, 21 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567909242810 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571623531253 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571623531253 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Oct 20 22:05:31 2019 " "Processing started: Sun Oct 20 22:05:31 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571623531253 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571623531253 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571623531253 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571623531456 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(52) " "Verilog HDL warning at GR8RAM.v(52): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1571623531485 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(60) " "Verilog HDL warning at GR8RAM.v(60): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 60 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1571623531485 ""} +{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(197) " "Verilog HDL information at GR8RAM.v(197): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 197 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1571623531485 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1571623531487 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1571623531487 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1571623531521 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571623531523 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(129) " "Verilog HDL assignment warning at GR8RAM.v(129): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 129 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571623531523 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(134) " "Verilog HDL assignment warning at GR8RAM.v(134): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 134 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571623531523 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(166) " "Verilog HDL assignment warning at GR8RAM.v(166): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 166 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571623531523 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(171) " "Verilog HDL assignment warning at GR8RAM.v(171): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 171 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571623531523 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(177) " "Verilog HDL assignment warning at GR8RAM.v(177): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 177 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571623531523 "|GR8RAM"} +{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623531597 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1571623531597 ""} +{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623531597 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 171 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623531597 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 166 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623531597 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 177 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623531597 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1571623531597 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623531626 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531626 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531626 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531626 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1571623531626 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623531643 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531644 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531644 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1571623531644 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531657 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531667 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531668 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531680 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531690 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571623531691 ""} +{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1571623531758 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1571623531758 ""} +{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1571623531853 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1571623531853 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1571623531853 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "9 " "Design contains 9 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "C7M_2 " "No output dependent on input pin \"C7M_2\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623532033 "|GR8RAM|C7M_2"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623532033 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623532033 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "nMode " "No output dependent on input pin \"nMode\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623532033 "|GR8RAM|nMode"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623532033 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623532033 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623532033 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623532033 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571623532033 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1571623532033 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "168 " "Implemented 168 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1571623532034 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1571623532034 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1571623532034 ""} { "Info" "ICUT_CUT_TM_MCELLS" "106 " "Implemented 106 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1571623532034 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1571623532034 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1571623532034 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1571623532078 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 17 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 17 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4587 " "Peak virtual memory: 4587 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571623532115 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Oct 20 22:05:32 2019 " "Processing ended: Sun Oct 20 22:05:32 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571623532115 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571623532115 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571623532115 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571623532115 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571623533057 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571623533058 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Oct 20 22:05:32 2019 " "Processing started: Sun Oct 20 22:05:32 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571623533058 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1571623533058 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1571623533058 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1571623533109 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1571623533109 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1571623533109 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1571623533148 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1571623533150 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4708 " "Peak virtual memory: 4708 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571623533356 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Oct 20 22:05:33 2019 " "Processing ended: Sun Oct 20 22:05:33 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571623533356 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571623533356 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571623533356 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1571623533356 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1571623534188 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571623534188 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Oct 20 22:05:34 2019 " "Processing started: Sun Oct 20 22:05:34 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571623534188 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1571623534188 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1571623534188 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1571623534305 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571623534439 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Oct 20 22:05:34 2019 " "Processing ended: Sun Oct 20 22:05:34 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571623534439 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571623534439 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571623534439 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1571623534439 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1571623535072 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1571623535392 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535392 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Oct 20 22:05:35 2019 " "Processing started: Sun Oct 20 22:05:35 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571623535392 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571623535392 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571623535392 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1571623535447 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571623535537 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1571623535545 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1571623535548 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1571623535571 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1571623535583 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571623535584 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535584 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535584 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1571623535586 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1571623535595 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535600 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535600 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -2078.500 C7M " " -47.500 -2078.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535600 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1571623535600 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 5.000 " "Worst-case hold slack is 5.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535763 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535763 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535763 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1571623535763 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571623535769 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571623535773 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -4.500 " "Worst-case minimum pulse width slack is -4.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535779 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535779 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -486.000 C7M " " -4.500 -486.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1571623535779 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1571623535779 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1571623535819 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571623535842 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571623535843 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4530 " "Peak virtual memory: 4530 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571623535997 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Oct 20 22:05:35 2019 " "Processing ended: Sun Oct 20 22:05:35 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571623535997 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571623535997 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571623535997 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571623535997 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 22 s " "Quartus II Full Compilation was successful. 0 errors, 22 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571623536620 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 3982b9f4a51f92d14b7120e0d98da253547aacb2..87e67add24b5f3d473bb7d24935e46643ddf2c75 100755 GIT binary patch literal 227 zcmV<90383U4*>uG0001ZoSl)&YQr!PMfd)Si}tlGJA^J`Lj$EG5Xb}CrIGD16|QAf zk^_N!e4PrCmZT86ojd2;ftj`16?F+EI?C9~_Hp~P3Ok{TvSc`Adoot`Dq}q444;EA zZm^f>#t*PqU^;o2ONUnS% z(v2j`17OzDq0qfl&K8y&+(D1`8EVLos)*rTk{J`7vB(3_Z{lx>GeTM4^uu!ekEQ8N dNA;bWGa*jh-gOP$*vf;^_x+|k_yW-Xk<%y~Z@~Zn literal 225 zcmV<703QFW4*>uG0001ZoSl(fOT;h`Mc?}?E_t_YQV<`u1s4`sLC{^$$C9+OZ0I(P zNm?lQg}6{U;4wzvMVhA^>rtOth(aL0%(%!z&I|0xO}Zi6%ccXz{uWQ9uxWKz zNLD4ls-wBKgEqm}o&v&bm-`$Y$dPG?;i$ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 201c803..4719c36 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for GR8RAM -Fri Oct 18 15:01:54 2019 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Oct 20 22:22:00 2019 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -9,16 +9,17 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 1. Legal Notice 2. Analysis & Synthesis Summary 3. Analysis & Synthesis Settings - 4. Analysis & Synthesis Source Files Read - 5. Analysis & Synthesis Resource Usage Summary - 6. Analysis & Synthesis Resource Utilization by Entity - 7. Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 - 8. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add0 - 9. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add4 - 10. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 - 11. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add5 - 12. Analysis & Synthesis Messages - 13. Analysis & Synthesis Suppressed Messages + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 + 9. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add0 + 10. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add4 + 11. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 + 12. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add5 + 13. Analysis & Synthesis Messages + 14. Analysis & Synthesis Suppressed Messages @@ -44,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Oct 18 15:01:54 2019 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Analysis & Synthesis Status ; Successful - Sun Oct 20 22:22:00 2019 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX7000S ; -; Total macrocells ; 105 ; +; Total macrocells ; 106 ; ; Total pins ; 61 ; +-----------------------------+-------------------------------------------------+ @@ -118,12 +119,23 @@ applicable agreement for further details. +----------------------------------------------------------------------------+-----------------+---------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + +-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Analysis & Synthesis Source Files Read ; +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ -; GR8RAM.v ; yes ; User Verilog HDL File ; Z:/Repos/GR8RAM/cpld/GR8RAM.v ; ; +; GR8RAM.v ; yes ; User Verilog HDL File ; C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v ; ; ; lpm_counter.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_counter.tdf ; ; ; lpm_constant.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_constant.inc ; ; ; lpm_decode.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_decode.inc ; ; @@ -153,14 +165,14 @@ applicable agreement for further details. +----------------------+----------------------+ ; Resource ; Usage ; +----------------------+----------------------+ -; Logic cells ; 105 ; +; Logic cells ; 106 ; ; Total registers ; 54 ; ; I/O pins ; 61 ; ; Shareable expanders ; 1 ; -; Maximum fan-out node ; C7M ; +; Maximum fan-out node ; S[2] ; ; Maximum fan-out ; 54 ; -; Total fan-out ; 866 ; -; Average fan-out ; 5.19 ; +; Total fan-out ; 864 ; +; Average fan-out ; 5.14 ; +----------------------+----------------------+ @@ -169,7 +181,7 @@ applicable agreement for further details. +----------------------------+------------+------+-------------------------------+--------------+ ; Compilation Hierarchy Node ; Macrocells ; Pins ; Full Hierarchy Name ; Library Name ; +----------------------------+------------+------+-------------------------------+--------------+ -; |GR8RAM ; 105 ; 61 ; |GR8RAM ; work ; +; |GR8RAM ; 106 ; 61 ; |GR8RAM ; work ; ; |lpm_counter:Ref_rtl_0| ; 4 ; 0 ; |GR8RAM|lpm_counter:Ref_rtl_0 ; work ; +----------------------------+------------+------+-------------------------------+--------------+ @@ -317,20 +329,20 @@ Note: In order to hide this table in the UI and the text report file, please set ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis +Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Oct 18 15:01:46 2019 + Info: Processing started: Sun Oct 20 22:21:59 2019 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy Warning (10230): Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(123): truncated value with size 32 to match size of target (3) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(128): truncated value with size 32 to match size of target (4) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(160): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(165): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(171): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(122): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(127): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(159): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(164): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(170): truncated value with size 32 to match size of target (8) Info (19000): Inferred 1 megafunctions from design logic Info (19001): Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: "Ref_rtl_0" Info (278001): Inferred 4 megafunctions from design logic @@ -370,23 +382,23 @@ Warning (21074): Design contains 9 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "A[13]" Warning (15610): No output dependent on input pin "A[14]" Warning (15610): No output dependent on input pin "A[15]" -Info (21057): Implemented 167 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 168 device resources after synthesis - the final resource count might be different Info (21058): Implemented 27 input pins Info (21059): Implemented 18 output pins Info (21060): Implemented 16 bidirectional pins - Info (21063): Implemented 105 macrocells + Info (21063): Implemented 106 macrocells Info (21073): Implemented 1 shareable expanders -Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 17 warnings - Info: Peak virtual memory: 308 megabytes - Info: Processing ended: Fri Oct 18 15:01:54 2019 - Info: Elapsed time: 00:00:08 - Info: Total CPU time (on all processors): 00:00:08 +Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 17 warnings + Info: Peak virtual memory: 4587 megabytes + Info: Processing ended: Sun Oct 20 22:22:00 2019 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg. +The suppressed messages can be found in C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg. diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 665ef80..be27b32 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,3 +1,3 @@ Warning (10273): Verilog HDL warning at GR8RAM.v(52): extended using "x" or "z" Warning (10273): Verilog HDL warning at GR8RAM.v(60): extended using "x" or "z" -Warning (10268): Verilog HDL information at GR8RAM.v(191): always construct contains both blocking and non-blocking assignments +Warning (10268): Verilog HDL information at GR8RAM.v(190): always construct contains both blocking and non-blocking assignments diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index d9592ce..e37d6da 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,7 +1,7 @@ -Analysis & Synthesis Status : Successful - Fri Oct 18 15:01:54 2019 -Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Analysis & Synthesis Status : Successful - Sun Oct 20 22:22:00 2019 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX7000S -Total macrocells : 105 +Total macrocells : 106 Total pins : 61 diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index 1c847c1..5e6034e 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -56,7 +56,7 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition CHIP "GR8RAM" ASSIGNED TO AN: EPM7128SLC84-15 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index d736123cfb0c1b96bf95c8ea4d75dfb86aeb3e07..7997c843e1f0c2daaebd034706458e758c88ae76 100755 GIT binary patch literal 8022 zcmc&(-ESSm6~6@;2u3K-D7;kFRfR05Fo_)sQ5E6DC`ce^T_VZ@4^|*nWlEgNI6-Z2 zM#{%)`%oT`@(_?fkrFBC3vp%RjL08Ac|eLFZKh5h+{#MUQL3z+W%u-V&dl7M>pOdG z$5ncyJ9FmD`93qdGkXVq`C_eB`$6qKX$R5%YX9!hvHkDWUwWzj>@(f{!(;V<(S5%e z-Mx43&}jYFL!<8v?;EN2Y?Y6{lo9>srPQ_t#5vIQ%~=v-pxH*>br(U4-CIO zR3F&=_HXMw^<6L5-xzwUzH`s;7$j@=)Yiku&Vg5->*?LP>y;O_KGWUvv)aA2b)ddJ zGB!N+?$DlE2iO=Msh#?v&N&&MEr~v4XLcN$cl&+K$R6Eh!t(bBtn>~(pzCoB<@zj zMRE|jqfi`7Ri^|y0E^1+QZ43ft+Ho#@HCMEFq#=grEYDl!7U4`icQV3 zTm}=9r;`y@FpZyPgh=*)MHktL5){_cgv|r*fGL-fLY->2xDv$y<(xAks00L>;)e>T zq3fkF?4T)ji(SA24-XbWA$A5xi5TAM(rDHza9Q<{Sm6xP@* z@VJv1WtdJb=2Z-Fu@quCC8i|`SQm(k`Pr592mvOHfoB2-7Nnh@jIGG~h-kN;|NdeHngcN|SWnlq~earf+_>`=2ae47o_HIqCb}8G? zhzzm`i#QN1fuI0OX6|TsopdSJVyt4DmJ&-D-1ew)@^WA;u`-rw(bAf3Skp0U!rYuQ7PqkWa%W8`;d*ISZS1ybq=fcO3l&Yah5|tR ze*ntrhzB31N`YI&G(T-4?{3R!+XAn~2H2I-7&a~ClKTI^`0V6>&)Xq1r6fnlZXT$u zHXi#4zj=fXJ=$KFWR2PjQ=kq^8aZPCIweThG+EJKMny5y|A}6R|Y=RA**Ukrw#0TOlB>Qjzo%2CZ9V)gpg2RE8XCTP_>!eu8m@9OQ{a)++IZp;rwQNX7^=h?%O=hcr+P!8 zSsF2{mbc~$9@iVE;&YS2nGPRCWuc!l=mDDO4~CJd*g~y10v zSjbiGgklPtTgh_dmt&g9cMlGTFIXOoMHLuMojF_5-F$3!d(kQ%Fb{Q-I%s7~o*b%C zH&@seV~yy-C`4YGIMpd*lOaQCEu@ypn#x_2bt~G}?NVlL8)$*6f!;!Menojem9cB& z{$OOFeNtrPuX3RJRV#F9Oj)S7-Z-_C3Y{#~R!{@K;(Fs$(QDU9oFPs{wA#OS6m%H; z0IK0dg_v-m=uHK1MDD7@5?0L+X9%fE_C~< zh0gnCy1zJfcz)x<7v5ax9GQ8t=s3C1_0bi`JUmnXzT?RJV-H<8{!g%NTIiUV@A~ip zW_~gAljqlA=BX>k2L>LT+4NTox*or>^MfBgdgIl9b)1~<`i8X~`m%f5x{3Ku|9;`f z@7BL_qx(k>e9nX82iCvSzvC~*&dqQ9uK&oM&b>3+Cy$-`y8dndpWo`-`-w6*Eapn8 zh~4R`6Q077d#j0cP=~4*#=pJh)Ba)1JaJVwRVh zfdVMSvP*MiWFum2Bc{Ah!u*HIu`0o$!6KZ+ERC}On4u*}bNtN)Ek=kb zR|o|k5(jf+!cZTq;gxALye?q&1i?`jPmycG7T_m{7-@rMQ5l2C5uajlIAM^wLCuM@ z)^!fWIP4>EsOI-CwD3o5$|ev!`Z>yRUE=!y1|Y#NgM{||?Ped{+(tK~1y1y*t9`}Q lZ9s^&7FYYe5-ezbes)gdg;wB>HRr?;k9t7pX6$%bm5 z2gD~8Qy-)v*np@3uel5R4-{qw%1kEN#G)c0Ig#3)rf1Gs{?^*(V_$ntZf{eZ4QKCl z)?UB$TYIg2_PzJq!DpVR)oRz(ZqR-R{htr-A00dVTK&l<>)QtUo*x;j4~{lo9Nquw ztHY!9zYLGQHqtm)@89;|)(89RyAF@Ma-cr2ZJ@sO;Vu0GTL!lFZ>#Sc9({e}h2i?( z{uf@Z_t*FBssDBO`TFhyBV$0;epkBT*+h_WWk`%NnYCcHC8EHB#hFZ; z?*uy$QD*ycABPGO>}LEh_Q;zPB0FdliAvW zBU7pPRcF{G0)xxsDuGu-z#1J2z|BSm<7uJOTCjn{)75q3Rsch1I}?B-h0&U@DP+(% z+Xh4!XE1n}u3`%U*uoOFR>dfXHP?W#+EVN&;K3vrTQjO=Q&_?_gT*W>h1O6rN~WuV zQT-JO8@nB@l6)>hH7$^nPd?rQ)Fj|8RlQT%R1PQUl#)ya@b;DE#Nj#ad8RDr_ zywquJ;#!!{{IxkvwU$3XZ0XuQ&Be%QTE%0zGZZ=V>>G5E;czq>uEuM) z2_@=wa?L%d4qTwVUl6akC-d~TSEv&#F5>msI%zcn&ZsMxLP+|qU=$Ox93Mz3HX_TR z!Z`MfD|Ur5u}Ra?ku8L$cn&@Vgx1m?T8^hc>dy0ciG@-E5nE{6T7G{eY>Cg7s4r#1 z*$zJ{F5$e@kAV`l#B$a;vSo%{j));>4^pb01}S?v4HD-rhuE-XDY!MLmz z=xfYo;aM2-hC+Jn+Om0$yA%;(3`lu6zSf4E%oT@%drb8klzU7?q$Ouyr&`!r?4$L;#qbWMII+r&?GG3RNc zwv+@#kbxiMQlvRkThc@o&i)TlteoB|yco*0rio7E3x|8)DQ#0&wy!=F!fs-EVh_(Cx}|7VzBId#Bk>_0-+_O z>uA{XlzWH+n}-?1g}98T1Wk!uFnWJAovzI-PS=)-SQ~x^GJYD!+O#EnDHEL{@6*8A za+Xh)YqPb$%r~G8b;Fti7bbwQO+PqX0kot^kjI-x@LLJ1)-f6EFLI%^M08s8bGgLl z*IBmIS-KO&uM>a7u!uXO#Hu44+60wr8iUKeCQ`)&uw)U|1|vB`;vf@Ks0LrhPCa)WX$$A;wn(Rh{L3K-b* zx#|wK8rfvtA$`9sq6jg3QRU1Oi=RB%TEs7p!*G`@N_a=c)JU%aN%X7%*Ll-InJ%xJuZyxGdNiH-Fvi9znAMCRq&gH~E^@@|%L zEn;hjwWlP9ctN(h3=$Ms3~3rV)=g+pIl%mII>D@<(u**Y|7+LIH+p*(`hMC7RRZMoPpy>k4WQ$vR~?pe4ek(md+eecl5 z8)ZgscK@ySuAkuT#LA}so_g+L@5DEKUvHRR`NRCF_XhVqwb1wVF}->2jow=qc7As3 z%R}|M-g@)E9XI@A=jILfeRuEsZ@oSG&s&b_zdQ0UNkIcWWR?u$%OZZb5`N^#?~O^U z8v|}JBDazj{J_J2>RS8`v@$K0v+n!b8NLv%!p;UVF)3TcBqRgif;)nDl^Di>=g1l> zE>@u-jv^ydk^F9kXS`w?0$XgEqmWk(*s(P&2E5uJ5=)$oHV}AZ`Foy|&@Kd#fk*k% zC7y)Mstg>jigJ<98w7C%aEss>Ja`2ztQHZ)n8Tl5v9T5}z+%}-=aP5=IEg-nuK>!^ z97f{?pLY0z3OLwf+9GEL84JUB95CF&(qbY64h?sP^+^xF4iPwf>LUgiz!IU%VX({> zqz`2&6BwlFzhMSB0Er|JuXYHIV;-{NUs8wQ;ncdSgh&WS3<`9B<4QyToUmLNl7&j+ z;&VGrpWDIb9_633hYZ8eaQG+$`DPTF(<*u0_P?Lqk?{89Ec4kE4ifWw{oi`;^}hgm CA^jBq diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index dcb81ec..f4ca695 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,6 +1,6 @@ TimeQuest Timing Analyzer report for GR8RAM -Fri Oct 18 15:02:11 2019 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Oct 20 22:22:04 2019 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -8,32 +8,33 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit --------------------- 1. Legal Notice 2. TimeQuest Timing Analyzer Summary - 3. Clocks - 4. Fmax Summary - 5. Setup Summary - 6. Hold Summary - 7. Recovery Summary - 8. Removal Summary - 9. Minimum Pulse Width Summary - 10. Setup: 'C7M' - 11. Hold: 'C7M' - 12. Minimum Pulse Width: 'C7M' - 13. Setup Times - 14. Hold Times - 15. Clock to Output Times - 16. Minimum Clock to Output Times - 17. Propagation Delay - 18. Minimum Propagation Delay - 19. Output Enable Times - 20. Minimum Output Enable Times - 21. Output Disable Times - 22. Minimum Output Disable Times - 23. Setup Transfers - 24. Hold Transfers - 25. Report TCCS - 26. Report RSKM - 27. Unconstrained Paths - 28. TimeQuest Timing Analyzer Messages + 3. Parallel Compilation + 4. Clocks + 5. Fmax Summary + 6. Setup Summary + 7. Hold Summary + 8. Recovery Summary + 9. Removal Summary + 10. Minimum Pulse Width Summary + 11. Setup: 'C7M' + 12. Hold: 'C7M' + 13. Minimum Pulse Width: 'C7M' + 14. Setup Times + 15. Hold Times + 16. Clock to Output Times + 17. Minimum Clock to Output Times + 18. Propagation Delay + 19. Minimum Propagation Delay + 20. Output Enable Times + 21. Minimum Output Enable Times + 22. Output Disable Times + 23. Minimum Output Disable Times + 24. Setup Transfers + 25. Hold Transfers + 26. Report TCCS + 27. Report RSKM + 28. Unconstrained Paths + 29. TimeQuest Timing Analyzer Messages @@ -69,6 +70,17 @@ applicable agreement for further details. +--------------------+-------------------------------------------------------------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clocks ; +------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+ @@ -93,7 +105,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; C7M ; -47.500 ; -2169.500 ; +; C7M ; -47.500 ; -2091.500 ; +-------+---------+---------------+ @@ -132,50 +144,50 @@ No paths to report. +---------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; -47.500 ; REGEN ; IncAddrL ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; IncAddrH ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[8] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[14] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[22] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[14] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[6] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[7] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Addr[23] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; IncAddrM ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[8] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; RASf ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; IncAddrH ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Bank[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Bank[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Bank[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Bank[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Bank[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Bank[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; CAS1f ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; CAS0f ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Bank[6] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -47.500 ; REGEN ; Bank[7] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; CASr ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; ASel ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; RASr ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; FullIOEN ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; ; -46.500 ; S[2] ; IncAddrM ; C7M ; C7M ; 0.500 ; 0.000 ; 43.000 ; ; -46.500 ; S[0] ; IncAddrM ; C7M ; C7M ; 0.500 ; 0.000 ; 43.000 ; ; -46.000 ; IncAddrL ; IncAddrM ; C7M ; C7M ; 1.000 ; 0.000 ; 43.000 ; +; -34.500 ; REGEN ; IncAddrL ; C7M ; C7M ; 0.500 ; 0.000 ; 31.000 ; +; -34.500 ; REGEN ; RASf ; C7M ; C7M ; 0.500 ; 0.000 ; 31.000 ; +; -34.500 ; REGEN ; CAS1f ; C7M ; C7M ; 0.500 ; 0.000 ; 31.000 ; +; -34.500 ; REGEN ; CAS0f ; C7M ; C7M ; 0.500 ; 0.000 ; 31.000 ; +; -34.000 ; REGEN ; ASel ; C7M ; C7M ; 1.000 ; 0.000 ; 31.000 ; +; -34.000 ; REGEN ; RASr ; C7M ; C7M ; 1.000 ; 0.000 ; 31.000 ; ; -25.500 ; S[0] ; IncAddrL ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; IncAddrL ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[1] ; IncAddrL ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; @@ -185,53 +197,53 @@ No paths to report. ; -25.500 ; S[0] ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[1] ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; IncAddrH ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; IncAddrH ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; IncAddrH ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[8] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[8] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[8] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[1] ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[0] ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[0] ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[1] ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[0] ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[1] ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[0] ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[1] ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[1] ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[0] ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[0] ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[1] ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[0] ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[1] ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[0] ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[1] ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[2] ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ; -25.500 ; S[0] ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[22] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[22] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +---------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -265,28 +277,28 @@ No paths to report. ; 5.000 ; IncAddrL ; IncAddrL ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[0] ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[1] ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[15] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[16] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[17] ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[8] ; Addr[8] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[9] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[10] ; Addr[10] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[17] ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[18] ; Addr[18] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[10] ; Addr[10] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[2] ; Addr[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[3] ; Addr[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[19] ; Addr[19] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[11] ; Addr[11] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[12] ; Addr[12] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[19] ; Addr[19] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[20] ; Addr[20] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[12] ; Addr[12] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[4] ; Addr[4] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[5] ; Addr[5] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[21] ; Addr[21] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[13] ; Addr[13] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[14] ; Addr[14] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[21] ; Addr[21] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[22] ; Addr[22] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[14] ; Addr[14] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[6] ; Addr[6] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[7] ; Addr[7] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[23] ; Addr[23] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[8] ; Addr[8] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[15] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[16] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 18.000 ; S[2] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[1] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[0] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; @@ -318,28 +330,28 @@ No paths to report. ; 18.000 ; S[1] ; CASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[2] ; CASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[0] ; CASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; ASel ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; ASel ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[2] ; ASel ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[0] ; ASel ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[1] ; ASel ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[1] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[0] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[2] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; IncAddrL ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; IncAddrL ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; Addr[0] ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; IncAddrM ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[14] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[13] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[12] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[11] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[10] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[9] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[8] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; IncAddrH ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrM ; Addr[8] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrM ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[8] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrH ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[16] ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrH ; Addr[18] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[17] ; Addr[18] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[16] ; Addr[18] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrM ; Addr[10] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +--------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ @@ -456,11 +468,11 @@ No paths to report. +-----------+------------+---------+---------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+---------+---------+------------+-----------------+ -; A[*] ; C7M ; 46.000 ; 46.000 ; Rise ; C7M ; -; A[0] ; C7M ; 46.000 ; 46.000 ; Rise ; C7M ; -; A[1] ; C7M ; 46.000 ; 46.000 ; Rise ; C7M ; -; A[2] ; C7M ; 46.000 ; 46.000 ; Rise ; C7M ; -; A[3] ; C7M ; 46.000 ; 46.000 ; Rise ; C7M ; +; A[*] ; C7M ; 33.000 ; 33.000 ; Rise ; C7M ; +; A[0] ; C7M ; 33.000 ; 33.000 ; Rise ; C7M ; +; A[1] ; C7M ; 33.000 ; 33.000 ; Rise ; C7M ; +; A[2] ; C7M ; 33.000 ; 33.000 ; Rise ; C7M ; +; A[3] ; C7M ; 33.000 ; 33.000 ; Rise ; C7M ; ; A[4] ; C7M ; 11.000 ; 11.000 ; Rise ; C7M ; ; A[5] ; C7M ; 11.000 ; 11.000 ; Rise ; C7M ; ; A[6] ; C7M ; 11.000 ; 11.000 ; Rise ; C7M ; @@ -469,7 +481,7 @@ No paths to report. ; A[9] ; C7M ; 11.000 ; 11.000 ; Rise ; C7M ; ; A[10] ; C7M ; 11.000 ; 11.000 ; Rise ; C7M ; ; PHI1in ; C7M ; 101.000 ; 101.000 ; Rise ; C7M ; -; nDEVSEL ; C7M ; 46.000 ; 46.000 ; Rise ; C7M ; +; nDEVSEL ; C7M ; 33.000 ; 33.000 ; Rise ; C7M ; ; nIOSEL ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; ; nIOSTRB ; C7M ; 11.000 ; 11.000 ; Rise ; C7M ; ; nWE ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; @@ -510,15 +522,15 @@ No paths to report. ; A[9] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; A[10] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; PHI1in ; C7M ; -12.000 ; -12.000 ; Rise ; C7M ; -; nDEVSEL ; C7M ; -38.000 ; -38.000 ; Rise ; C7M ; +; nDEVSEL ; C7M ; -25.000 ; -25.000 ; Rise ; C7M ; ; nIOSEL ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; nIOSTRB ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; nWE ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; A[*] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; A[0] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; A[1] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; A[2] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; A[3] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; +; A[*] ; C7M ; -25.000 ; -25.000 ; Fall ; C7M ; +; A[0] ; C7M ; -25.000 ; -25.000 ; Fall ; C7M ; +; A[1] ; C7M ; -25.000 ; -25.000 ; Fall ; C7M ; +; A[2] ; C7M ; -25.000 ; -25.000 ; Fall ; C7M ; +; A[3] ; C7M ; -25.000 ; -25.000 ; Fall ; C7M ; ; D[*] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; ; D[0] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; ; D[1] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; @@ -528,7 +540,7 @@ No paths to report. ; D[5] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; ; D[6] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; ; D[7] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; nDEVSEL ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; +; nDEVSEL ; C7M ; -25.000 ; -25.000 ; Fall ; C7M ; ; nWE ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; +-----------+------------+---------+---------+------------+-----------------+ @@ -550,10 +562,11 @@ No paths to report. ; RA[8] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; RA[9] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; RA[10] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; -; nCAS0 ; C7M ; 56.000 ; 56.000 ; Rise ; C7M ; -; nCAS1 ; C7M ; 56.000 ; 56.000 ; Rise ; C7M ; +; nCAS0 ; C7M ; 43.000 ; 43.000 ; Rise ; C7M ; +; nCAS1 ; C7M ; 43.000 ; 43.000 ; Rise ; C7M ; ; nRAS ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; nRCS ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; nRWE ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; D[*] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; ; D[0] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; ; D[1] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; @@ -602,6 +615,7 @@ No paths to report. ; nCAS1 ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; nRAS ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; nRCS ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; nRWE ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; D[*] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; ; D[0] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; ; D[1] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; @@ -642,8 +656,8 @@ No paths to report. ; A[0] ; D[5] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[0] ; D[6] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[0] ; D[7] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; -; A[0] ; nCAS0 ; 54.000 ; ; ; 54.000 ; -; A[0] ; nCAS1 ; 54.000 ; ; ; 54.000 ; +; A[0] ; nCAS0 ; 41.000 ; ; ; 41.000 ; +; A[0] ; nCAS1 ; 41.000 ; ; ; 41.000 ; ; A[1] ; D[0] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[1] ; D[1] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[1] ; D[2] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; @@ -652,8 +666,8 @@ No paths to report. ; A[1] ; D[5] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[1] ; D[6] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[1] ; D[7] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; -; A[1] ; nCAS0 ; 54.000 ; ; ; 54.000 ; -; A[1] ; nCAS1 ; 54.000 ; ; ; 54.000 ; +; A[1] ; nCAS0 ; 41.000 ; ; ; 41.000 ; +; A[1] ; nCAS1 ; 41.000 ; ; ; 41.000 ; ; A[2] ; D[0] ; ; 32.000 ; 32.000 ; ; ; A[2] ; D[1] ; ; 32.000 ; 32.000 ; ; ; A[2] ; D[2] ; ; 32.000 ; 32.000 ; ; @@ -662,8 +676,8 @@ No paths to report. ; A[2] ; D[5] ; ; 32.000 ; 32.000 ; ; ; A[2] ; D[6] ; ; 32.000 ; 32.000 ; ; ; A[2] ; D[7] ; ; 32.000 ; 32.000 ; ; -; A[2] ; nCAS0 ; ; 54.000 ; 54.000 ; ; -; A[2] ; nCAS1 ; ; 54.000 ; 54.000 ; ; +; A[2] ; nCAS0 ; ; 41.000 ; 41.000 ; ; +; A[2] ; nCAS1 ; ; 41.000 ; 41.000 ; ; ; A[3] ; D[0] ; ; 32.000 ; 32.000 ; ; ; A[3] ; D[1] ; ; 32.000 ; 32.000 ; ; ; A[3] ; D[2] ; ; 32.000 ; 32.000 ; ; @@ -672,8 +686,8 @@ No paths to report. ; A[3] ; D[5] ; ; 32.000 ; 32.000 ; ; ; A[3] ; D[6] ; ; 32.000 ; 32.000 ; ; ; A[3] ; D[7] ; ; 32.000 ; 32.000 ; ; -; A[3] ; nCAS0 ; ; 54.000 ; 54.000 ; ; -; A[3] ; nCAS1 ; ; 54.000 ; 54.000 ; ; +; A[3] ; nCAS0 ; ; 41.000 ; 41.000 ; ; +; A[3] ; nCAS1 ; ; 41.000 ; 41.000 ; ; ; D[0] ; RD[0] ; 32.000 ; ; ; 32.000 ; ; D[1] ; RD[1] ; 32.000 ; ; ; 32.000 ; ; D[2] ; RD[2] ; 32.000 ; ; ; 32.000 ; @@ -698,9 +712,8 @@ No paths to report. ; nDEVSEL ; D[5] ; 32.000 ; 39.000 ; 39.000 ; 32.000 ; ; nDEVSEL ; D[6] ; 32.000 ; 39.000 ; 39.000 ; 32.000 ; ; nDEVSEL ; D[7] ; 32.000 ; 39.000 ; 39.000 ; 32.000 ; -; nDEVSEL ; nCAS0 ; ; 54.000 ; 54.000 ; ; -; nDEVSEL ; nCAS1 ; ; 54.000 ; 54.000 ; ; -; nDEVSEL ; nRWE ; 32.000 ; ; ; 32.000 ; +; nDEVSEL ; nCAS0 ; ; 41.000 ; 41.000 ; ; +; nDEVSEL ; nCAS1 ; ; 41.000 ; 41.000 ; ; ; nIOSEL ; D[0] ; ; 39.000 ; 39.000 ; ; ; nIOSEL ; D[1] ; ; 39.000 ; 39.000 ; ; ; nIOSEL ; D[2] ; ; 39.000 ; 39.000 ; ; @@ -718,7 +731,6 @@ No paths to report. ; nIOSEL ; RA[6] ; 32.000 ; ; ; 32.000 ; ; nIOSEL ; RA[7] ; 32.000 ; ; ; 32.000 ; ; nIOSEL ; nRCS ; ; 32.000 ; 32.000 ; ; -; nIOSEL ; nRWE ; 32.000 ; ; ; 32.000 ; ; nIOSTRB ; D[0] ; ; 39.000 ; 39.000 ; ; ; nIOSTRB ; D[1] ; ; 39.000 ; 39.000 ; ; ; nIOSTRB ; D[2] ; ; 39.000 ; 39.000 ; ; @@ -736,7 +748,6 @@ No paths to report. ; nIOSTRB ; RA[6] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; nIOSTRB ; RA[7] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; nIOSTRB ; nRCS ; ; 32.000 ; 32.000 ; ; -; nIOSTRB ; nRWE ; 32.000 ; ; ; 32.000 ; ; nWE ; D[0] ; 39.000 ; ; ; 39.000 ; ; nWE ; D[1] ; 39.000 ; ; ; 39.000 ; ; nWE ; D[2] ; 39.000 ; ; ; 39.000 ; @@ -754,7 +765,7 @@ No paths to report. ; nWE ; RD[6] ; ; 39.000 ; 39.000 ; ; ; nWE ; RD[7] ; ; 39.000 ; 39.000 ; ; ; nWE ; nROE ; ; 32.000 ; 32.000 ; ; -; nWE ; nRWE ; 32.000 ; ; ; 32.000 ; +; nWE ; nRWE ; ; 32.000 ; 32.000 ; ; +------------+-------------+--------+--------+--------+--------+ @@ -771,8 +782,8 @@ No paths to report. ; A[0] ; D[5] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[0] ; D[6] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[0] ; D[7] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; -; A[0] ; nCAS0 ; 54.000 ; ; ; 54.000 ; -; A[0] ; nCAS1 ; 54.000 ; ; ; 54.000 ; +; A[0] ; nCAS0 ; 41.000 ; ; ; 41.000 ; +; A[0] ; nCAS1 ; 41.000 ; ; ; 41.000 ; ; A[1] ; D[0] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[1] ; D[1] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[1] ; D[2] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; @@ -781,8 +792,8 @@ No paths to report. ; A[1] ; D[5] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[1] ; D[6] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; A[1] ; D[7] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; -; A[1] ; nCAS0 ; 54.000 ; ; ; 54.000 ; -; A[1] ; nCAS1 ; 54.000 ; ; ; 54.000 ; +; A[1] ; nCAS0 ; 41.000 ; ; ; 41.000 ; +; A[1] ; nCAS1 ; 41.000 ; ; ; 41.000 ; ; A[2] ; D[0] ; ; 32.000 ; 32.000 ; ; ; A[2] ; D[1] ; ; 32.000 ; 32.000 ; ; ; A[2] ; D[2] ; ; 32.000 ; 32.000 ; ; @@ -791,8 +802,8 @@ No paths to report. ; A[2] ; D[5] ; ; 32.000 ; 32.000 ; ; ; A[2] ; D[6] ; ; 32.000 ; 32.000 ; ; ; A[2] ; D[7] ; ; 32.000 ; 32.000 ; ; -; A[2] ; nCAS0 ; ; 54.000 ; 54.000 ; ; -; A[2] ; nCAS1 ; ; 54.000 ; 54.000 ; ; +; A[2] ; nCAS0 ; ; 41.000 ; 41.000 ; ; +; A[2] ; nCAS1 ; ; 41.000 ; 41.000 ; ; ; A[3] ; D[0] ; ; 32.000 ; 32.000 ; ; ; A[3] ; D[1] ; ; 32.000 ; 32.000 ; ; ; A[3] ; D[2] ; ; 32.000 ; 32.000 ; ; @@ -801,8 +812,8 @@ No paths to report. ; A[3] ; D[5] ; ; 32.000 ; 32.000 ; ; ; A[3] ; D[6] ; ; 32.000 ; 32.000 ; ; ; A[3] ; D[7] ; ; 32.000 ; 32.000 ; ; -; A[3] ; nCAS0 ; ; 54.000 ; 54.000 ; ; -; A[3] ; nCAS1 ; ; 54.000 ; 54.000 ; ; +; A[3] ; nCAS0 ; ; 41.000 ; 41.000 ; ; +; A[3] ; nCAS1 ; ; 41.000 ; 41.000 ; ; ; D[0] ; RD[0] ; 32.000 ; ; ; 32.000 ; ; D[1] ; RD[1] ; 32.000 ; ; ; 32.000 ; ; D[2] ; RD[2] ; 32.000 ; ; ; 32.000 ; @@ -827,9 +838,8 @@ No paths to report. ; nDEVSEL ; D[5] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; nDEVSEL ; D[6] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; nDEVSEL ; D[7] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; -; nDEVSEL ; nCAS0 ; ; 54.000 ; 54.000 ; ; -; nDEVSEL ; nCAS1 ; ; 54.000 ; 54.000 ; ; -; nDEVSEL ; nRWE ; 32.000 ; ; ; 32.000 ; +; nDEVSEL ; nCAS0 ; ; 41.000 ; 41.000 ; ; +; nDEVSEL ; nCAS1 ; ; 41.000 ; 41.000 ; ; ; nIOSEL ; D[0] ; ; 39.000 ; 39.000 ; ; ; nIOSEL ; D[1] ; ; 39.000 ; 39.000 ; ; ; nIOSEL ; D[2] ; ; 39.000 ; 39.000 ; ; @@ -847,7 +857,6 @@ No paths to report. ; nIOSEL ; RA[6] ; 32.000 ; ; ; 32.000 ; ; nIOSEL ; RA[7] ; 32.000 ; ; ; 32.000 ; ; nIOSEL ; nRCS ; ; 32.000 ; 32.000 ; ; -; nIOSEL ; nRWE ; 32.000 ; ; ; 32.000 ; ; nIOSTRB ; D[0] ; ; 39.000 ; 39.000 ; ; ; nIOSTRB ; D[1] ; ; 39.000 ; 39.000 ; ; ; nIOSTRB ; D[2] ; ; 39.000 ; 39.000 ; ; @@ -865,7 +874,6 @@ No paths to report. ; nIOSTRB ; RA[6] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; nIOSTRB ; RA[7] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; nIOSTRB ; nRCS ; ; 32.000 ; 32.000 ; ; -; nIOSTRB ; nRWE ; 32.000 ; ; ; 32.000 ; ; nWE ; D[0] ; 39.000 ; ; ; 39.000 ; ; nWE ; D[1] ; 39.000 ; ; ; 39.000 ; ; nWE ; D[2] ; 39.000 ; ; ; 39.000 ; @@ -883,7 +891,7 @@ No paths to report. ; nWE ; RD[6] ; ; 39.000 ; 39.000 ; ; ; nWE ; RD[7] ; ; 39.000 ; 39.000 ; ; ; nWE ; nROE ; ; 32.000 ; 32.000 ; ; -; nWE ; nRWE ; 32.000 ; ; ; 32.000 ; +; nWE ; nRWE ; ; 32.000 ; 32.000 ; ; +------------+-------------+--------+--------+--------+--------+ @@ -996,7 +1004,7 @@ No paths to report. +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C7M ; C7M ; 95 ; 0 ; 362 ; 213 ; +; C7M ; C7M ; 93 ; 0 ; 370 ; 215 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1006,7 +1014,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C7M ; C7M ; 95 ; 0 ; 362 ; 213 ; +; C7M ; C7M ; 93 ; 0 ; 370 ; 215 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1031,9 +1039,9 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 33 ; 33 ; -; Unconstrained Input Port Paths ; 487 ; 487 ; +; Unconstrained Input Port Paths ; 479 ; 479 ; ; Unconstrained Output Ports ; 33 ; 33 ; -; Unconstrained Output Port Paths ; 266 ; 266 ; +; Unconstrained Output Port Paths ; 264 ; 264 ; +---------------------------------+-------+------+ @@ -1041,9 +1049,9 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; TimeQuest Timing Analyzer Messages ; +------------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit TimeQuest Timing Analyzer +Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Oct 18 15:02:06 2019 + Info: Processing started: Sun Oct 20 22:22:03 2019 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Warning (20028): Parallel compilation is not licensed and has been disabled @@ -1059,7 +1067,7 @@ Critical Warning (332148): Timing requirements not met Info (332146): Worst-case setup slack is -47.500 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -47.500 -2169.500 C7M + Info (332119): -47.500 -2091.500 C7M Info (332146): Worst-case hold slack is 5.000 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== @@ -1073,10 +1081,10 @@ Info (332146): Worst-case minimum pulse width slack is -4.500 Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 259 megabytes - Info: Processing ended: Fri Oct 18 15:02:11 2019 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:05 +Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 4541 megabytes + Info: Processing ended: Sun Oct 20 22:22:04 2019 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 1538917..86bcb8c 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -4,7 +4,7 @@ TimeQuest Timing Analyzer Summary Type : Setup 'C7M' Slack : -47.500 -TNS : -2169.500 +TNS : -2091.500 Type : Hold 'C7M' Slack : 5.000

#+z=gI*+<(;^U5A9u7}zm?9hPxzscbW1jd88 z2Y(0FD1;|)OW{%PK(o5k8^Bp)0gV2YWcvR0jJ42`UR3LFBIk;)T$`o8a~ea}D;c{j z@@l`L0c&K22H^-Pu2pa6P(OzkQmksQ=0jRe7ry%6SgYo+()U_`Cc(Kf9;PypB!mfD z7$DnfZOED!FT`!PnPrcWo15=#jsFdHjQ#I!(7fOLfFdGtv(i1vpJ-l$(+ z-A;iEVJsq%*gb^*;YpAzXM!FQD^3_fuv7_p2<(5m5gl=5niy|G%+GEP8}MY{6N*dG zH`bE=aBCaR1Lv&$Dh1@CLyZBg>rz{R8WJNMkWFQ*0evLK7C=sA1OgX*_zanf^&haj~(_~tH2{A- z;#@Ab4z9JS*D1C8(Vp_@)z(-{bR$jnN{~REb&vO5hggA`MYZv9ym_s6Dr%m!8@Ou# z;I*mO(gylTaSv4eo39-stn#e|cE1~~fAB9Yv}06z>eAm@$gwPllMf((H;o|#jHEGk zf%{}e0)QqljsW-57%%{x#&`~#CozVA6f#2uIG@H42U2{YUbb!G+Zex$b1xlhm4qUU zCq?xnajpw_2k#b(zU5N~)zT@Ccu)3I)VSx}P+g#OFO(!G-Q(XL0*87Dq`RShgHQHQ zta#_WP~KeWRI1%I^JkVBAQufP$4KCyO3@JdDNv$K& zsYcVbei?q|p}KT4NRU4L24skfX-d==VTKax0p(wO=g)cn#`Z zV4ntc5ro&Ja)H)iR5F0qr2YcdHL00^aGEWea1F`QB^V&E>I6e1E11y0k!2|=YYs~> zP0*0G;gUZ=TbA09>VHE;*zcQ31R3+Vph@8!+)Fj)5=+#Cl#P zoX7%~QQ>S*Xgh@l8ttaY@r1sj(0E1>Hs7y2I&X(b1%cs)n>y;ey=KFnvhc=<5;3R|*Cx(kM4Y!Dp2+m9{GQB z1q_E~=D*8)UQ+Bst}1P6AE;S}x(+-{wKXP8BCuhE4?1RJPrtNSD@X2GNr0p&zj<2vC91g1<^fxy6+_`DpM)fo7{#lIrVi^seNV^#No@+-FR?BlVs`z?P4o)81#>5+WfQELB><`=rZv+IdM0$v(a zB|sEWAWe^lz(g>O@F8%O4iyf(KosnuzlFdgFjaXuu_&lF*vAv)0hs$h-x&P6-2~%J z{YdEq3Bstu00)F zp>MVg=`|3TI{glWeTY5+sRrn#5VjB<4S~th@esBwy#nx`E!nE3 zjBUR!I|yF?bw53$ukZG9 ze5K%OL;P@?+f-DH&ZD}bc@slI>0Fh1tn6C#?b-+H{LPu-jxz~^n{h2n<%7oWHo>{C zQ1@?--BY?2+X_~6oY;VQFI9$SFL}BoUR-RXUAQ3`VQ4-MrG-jGTr<1(@x7;Qak`L< zktuiNd`0Kv&*8xh>D)|RoZ+jerZN&>Qbjf4-FD&EP7DEu1pA|DQ?!IfDP7;Qxz6 z*wLf*pMw}YcT!vPfpksJ)GfU*@9gUMj<+C_lG5kjzG1XGc&l`FD#?w$b0uX^Pb({Y zNXuhu!bWR~Z+N-ajbC%O-)CBwb|y3L!u$u1qJxP~x_rWl4$oar!EGE;JbwO)T2b;y z%kt5jR`Fpd|CiIrwFIJ5)#Dj+*D~hh%~som=UlLtamimLG(OD^`&}(!*k?%{L2F%b zJS(?gG%uRLGAPe6I@0xANRrs{W*Qx~gVJotOxHw?EC%Y6cBhSA>em`Cb*r{>XFQU= zYJs4kPO((^KRDoC+8C%1EWAlGk7X$2M?!GNz1O{ z>>pQRuYT4<$d#uqJ_)FsjL%JyqbjMYZ{IRRK|kr^c1cQm3gEZnMzW8phA(TmPFNv* zr*?xPZv;(jFUy7n%r<6B%J$J@N2<@Bwtu+B+O`V{?EdSkv!~*`z1Zc9BwFQYTyXfl z;y$ZjWZgKrLeGCQrnTjK8z^fi_nDvT$g1Jl)sdZv)CWfLYnL{~vd`D%G>i0RCw?8~ z{W9%~`mE-y>T-6S^#t`mSSrjlf-s~oT%S)~%SCCS$ zJLo$j1I_u|S0%GPcy}xGug4N20h(QL(k?|){ia_%N_LwRIwA!=Bvtz+KHkaqdP=h? zz8HT+_3TxJnN_CICe{fWGaGa-hTwipFOo!la|0{Q$J%`=#V#bS}b77B0#3n;V&wHx6*hGx<^sep9eVhuB zj!N`(4x8;Nf=n$sL${g-l6#!m3J0MEX|ioN^RV<2lg9!jv|PFh+YY>erBHFN{O`27 zG{zQ&jjN8>hUK#gSB{zuZ4|2LZZgx|$r3Fqeupn6@viCXkG?TiPZ4>P$TtLCQ{V^6_n+^@xPm|0ntK$#cK3m0n5$Dq%_^g}#$QJ? z^D^sNPP;cYj=AK_Z7ym&R5_0(K1sEXzey2DqqkB66#GVcUO*D$?4+CQ&8Ms9qa!>G z+uvr-oteeBLBebUqm88tw!TDN`S!y$IH%9I@~)oTW_69Y+5q;}v6u881}W6*9%g%7 zet!sj1>tXo8rem!c04(enNYDSWvKG@r0lm73J0UpWt*OBg`423?#6osDi)6>T+^_M5LEqT+FY)kzO?g`^KTyrzb-7ey29uW}aDPhRsm)(@2-I9cNA!^k$r* zRXnR})`v#NN@7hPj-QB*yr285&U&I+>Q{rxW2&u=7OP9g!@Tk*b;m&a7Ej49jgV`! zmXlg~*wTl7$D>1+#tM~#*S5ED&=>c=lyn%gy1F|=zE^-xs+HK8uPN(ppH50ld0ne3 zFWul`{$aUOq4E3Ds}sx0L;Zf&>XWQR(ORsPklCv=-L>VDN%cpf6(cY2_F7B-Qql|e z^{m>cOG)0iShL;2`A5R<-=Uz0r!D@2m-crpSDTRYd-?6n;`a>-4Pjyy8W`< z_=}MZ^tPOWN|hP%{Q&HoX;uv31L_L=c@Rb)N&BpR>k>H z=gJ4%qt39%?cE3WyCh`GGM!-hI@Yz|581K-kN5~p>!XJ1YL{E4Z#*h4>mo*aSKnLC zHZwQUk&Mos-;8GFwcW1zk#R1B`?66?$keH~>w1xcnrG(W)0xOSawfOFhTZzwO8Y6A zsrxZ{9bAqkvKpfrHut^B?~ZjYZ$H)UA{+mCBym6YNx`uL?I#a;E>}P7E0OpZP~rV- zX7lwihumvZ!~Lm|(p_02T^mnzemTZli#uHUTHzomr*3((9N56~#}9b!^Yeq1D12aC zY9-D+Ro*-mDXOafI%Io9`1C#AI&0lH5gQucVsCsk7+@mWfdyJ%RYcvq|a)9g6u^OI_`4Z3GJU7yO z@(>ZgmtZ=I#vja^u?++gx`A&hY)^h$3zK1<%zCg*PnxyF8OYUzV4E1)uLHt{h81r> k+tGx4!2^>;?N(xMrtTZL$CNZm3u?4V@n)|d`v*k-1^2QSO#lD@ literal 9009 zcmeHt_g52Z)b1pqC{@tV6%h#1I|wMC9?$?07@G7@q$CKaG^sfPdMJt#YN%=`35FmT z1f)cys7Mh)2MJ11ilP*0!WWO{{&MeMaM$`)*2-RcuX$(hceiK1Ga~>10EHXE`oF>Y zonuk^E7x!M2L&Ei*HlqcQ9phz)IY%cxQ3?2aWx%Pbq!SwHFeG7Hdn5P`FmYCZsp;H zJ+6M-#_YJm70=@)-u@x1mEIqJ-wptlkN-=6%WQwBy7V6!0a?oD%z?M}-|lTqXM7je{6hCV}bYOp_{kNX)J3Y=dG2?dJLG_0?uJp5W)bMSKB0|BK z>mloJaTP`LYqiSLXOvSN<$jS5J^1SADUkpMs z8-!|n44O{XR1}u(>XE3PtcB|ExGzYs6lv~HHP zW>$1;$2Yh+R`O>|zt6o~%Jm%ANR?4V&JlgfHw+s_$&G#}1G6c&>h6nnb(x^x`QDGp z?;9MD=hRP*4n<~_=48zLMFkJz(}Sb35P7!R#@u+pYmr$U{t6bah(rcYaXk{nCPF2Z zcSry?av5y6rwDC1`UGNKkuHKypEstaTUb-c7F+jU+NAf(-~>R~QHsbkhL=63b8Wpx z3u2nr?DWaU5Wjs;*N9*%j@v?EWa#_|ZB_aSgf6Vf3)7ae|1NX971 z7!P_P)(e0)!FZ=5=xg|GF{UCObFl9vF%}}?4VnW_-Y~04spt0unJA9z$>dK?U^)2; z%H91yCXaTEGOQ{e8N;=bgjD9OdW4MOSos@CwO;|TZb(PtF`Rv)L_-b{Kd@SJLxB@THPdW3|?zY}|8$NDbQyjg8)L*Rj4QCQxaLAij=ZoOxIASSR7|*_1=3-}s^= zCtt*$;-BT~V7KG(3ZF1;M<$7V;0UcDO&9tH>$fs5jyMB>8ls?M_?~9Vu*q(_>k=Xz(e#?1E4#PasOK=t;}=LLbG)h=+Pl*0^Zd;+It6s zvr2uuT?~>aWMQ>(bNxy8lQRlT(X-5TQ=d7KA&^v=WFZ+f)+uz z3eLQAk`MSCGT{NffGuIb=goDw%%!)2f65 zsZ}FgfE*jP<%u=Nb)yypaK088MciG~0uooI4L^}j$k2g}v=IxT5tnS#TTzgP97YY$ zo5wH(#0iYMz$;?%{HxDcNn9;Bc&aqHQT1F?z_ybl#&uxW9H8bhA^~h3qXMAjG4ug! zE<+Ir@>iJaFan0uNV>q@V^X=P9PS=s9YHrh&=7PCVqJ&+0zuQKlL^T$`Pnu{i2AT+ z11#gz=sO4+7u^i8evEzxLDQiBKxnfurBUrn2f!}~lmw#5B$3@@peu(V2Nd~pxt~9L zbD`wY^8AnL5vO#s?2v&9ts^>3z1LPtHsJaxk4vg(38K@-aqdKgN-7_)bJ%k(W%1#U z**LXmRipCTT~=iV8xA94_v~C148pX#b6@tEoN%#?mgUYBh)tnhx1+mv%)!>{SO85!T0+WrPzLX0j3bgt z&#jC`e_{47hBSAeu}YaL>)pfob-CwvxSmBwq*0Q561pLTT*fIJ2{8yQet<-AN2nALUhK$)q#rvv_>C}_6U0A#VtwwAysm%@}%Hw(7xk|-Ru4GdlP7L>5A zO*vOexj-$!3Lc1J&j|nr0c9_=>6KLb5e(|L0or1^My!&|l6wAfXxAq}8WO8Ux(Q*S zh&3=pVB7*y{1o0@pWK-a9}&C6y1u6IWT4P+^T+!w9YYR23Ca-ti+0hmzo2Rt$q|OB z9N9Rhw`=RNjQLWkEF?=iCI+HryK_RH~cXCVp zBTfPp4a6Vz=G=Ln(N4j2 z!C4+V?Q>>Xkz-%K)=fj&MHn4}j}&E^;4zBEgN6ug3*3w;3rmNaBm@7UsQl~v-j8$g z%fG=S;Hr=5rWPHKpsCaC5$im3X#`D=o`qOHMSqN-u^a26*dKb|DEASGew!Kc%P4^Y zVXe;=cfD4V!U~F`nI(ErMYDAo2vZ|*0$(*r%aAY~(hlUS4ygcWz$UwcJSgwnG6M(N zF_mGG*iX+zdzQgAUJesWm8=%XsDn8_&lUK}QI(CH^7xF@m2BH`?x&##S=Bc?{k=}llvRBP zLLs%4I?|^_nP~h1cW^!OD0^@M(v@Q+2(K^5w8A$CG8ORpJj_G*254~+@+eGK%|^9>YSK%PDk^dv5@BT{6*2nG*=yV3M$B>| zOX%Z5qO>%~?VtwX!)L#H!)k&^ESih}wQmh~N zbGt#@`6sJzbFYXtsCOBB^f6#n0w9M!X}|a*VVR zkFiHg5Np{+Tx_NtVW!t{OIQ853dX$8;cO%9eicMaXRjucXPL~3% z77LCzVXgL=68EyW?i2osMSe-Lys?r2v`huvwr%^jDRnm;cew1^_qIh`hErE$al;mv zGdLP*!45Z!!W_lXEEeFn=R}3rpjCdH_P>;a5%-L`5Q0tz3X1g!%^%nzhSz8a-05h= zl#||!wd#rRyeWF)T}pTr*<4@V=1r-dpNhAwZ!}F)S|XM5lht??0L5HJ6d*}pyal@Q z7>96a78rKi24WpT_XXkeSQA`Hi9*k?oK+FVUFy~B8cl+sQA&LXxD%SQ*zbP|H~Fv| zJ$a>Pcz$FX%4#92nTAFEa&~98_;^SqqSFo|+XS+)GGA3Wl9zMS-iAkJ5<)(hD(72C zG@zdwak_7oy&Z-N3f46H-K$;LoL30~dw^O$agNi^3p9f6dV{NwXF8)!BV4L3JCy|9l&J#OH95ZZib z0+I%l>yX|6HYoBzV`)4Asy0a!gK4RerT{@a=73cRNmTFUMytJLtahn6IeILF&$9j2 z57~*`(m1RTEAb$;VtFmtJU+E{q8LO=okRlgD6&{_A`%4?@ddj9LLTEU+;@aFC*1|1 z4M9Iab|35mZ9JZfW)t^$sBKd-b7l<^2oEe9t2&l-D8ZU(zX4)Xv(_|Epq3+NiWm#s zJZmGh+0Y`5iKlFphaltUpGq_*sd_U`PDDSBX=e(z4Ym3tOu?eDAU8zG2b_ZN=#oqz zLb@a>q*;Sh|1Sopq(MYv?7r7GvN6C?s_H|-;pP#OE6(O-=1?W<_BIq<{sk*q9!5w` z3qX{Rol@t+K5Ow0qnnNBWR)+rA4H43Cz1lvsPJ;G=Z39AhsdpzS;)+AFvtJZ|D7)3 z$UTVlB;buP-U*Hl#YYM=ui~9}i}A=N*vjqFk8{QAl`duYegS7J$O7)|NN!!~@2I3< zJ+MbLTZbWT+9VoeNRz}mRcMexAw#;PY>1l{sTSDDVW{E6wc%P%cFNxKJ{?T_T~Ycy z;(yvdrtV;^j;3_97!!#f6G1EDBRQA?_%R8zIX+T?X^kI)qOtf$9;Os3@qCrv2rpV3 zA1Q*yl|B-4g*2GkP4E{1bvEQWNey?|EzaeV<>D~4m@`0V(HCqK&eg*GCv2i5CJ|*B zJu2(!{^AG!+prF@ihrO*f!pHhqT9p-#ymPn-gBR^Ish$=M` zg*L6tyr-duGgbUoSTnE4cyb>3F^$^ic-rt}ZMvaP!VYvzjU*0i=P_adP6A^c7$z_v z0B0^E6d2CQ*q!vI_6r~e`I*=8`fN-!d;=HLjD;fUpYmP%k$Oj*FR(m0dbJ;Jm6M?u zJmA2pmo-BXXSqB9H{RgCkw;;{`AAo;;HSu=+`*5KuF&9z$O0fZ9qG!}H%`3Ad1{il z#~yqSSpW?tAPe||D@#GjEcf?*65vZ<+ys2F3JP%?#;PcfV80XYc1`c5!{YmDz_NoY z&{~~h)>LkHQz&qc^!p63(KaONli&->_XT~S`515>njZv~LGnGpd0@ATc!~4W2Vx}5 z#T%4^Xg0q>A{HpEmkk^ zsaFl$yJ{M7q?S)xy7B)-2c#=3xBz*SEf|M%D#$G$Sd{s&r%%=gLDQg{P_!$qU(hO|<`S=Jx>=p-NZ5A|M(Ac0rW9!1IviKHJTt z#d+d0C3-qyU5}nkNUmu-LKj46A7BdO7l6KgVl#Aej3~r;+15rTEGceHUxI0Xcj7@y zu?`b0?3*8mLL8U-h|Lg@D_{!n4htFqsam8h$XE^|4KVUo;Bnl0=4FT*LTHOIeNpXF zy|BKwL{q>*gTxLH2n-RNI|>ts(?emD=xlfbH<}MG#;;~=bC!3@tW3|Ch0UC5C>!g$ zLckzGTNF)3YD4_IK^ven&(;gafmjze_5^t$WDAUlvGcDuw)Sf@b`gZTPbcSvLuRq= zj_iGX#F--Zx8GW{;JiA3UjX=HU*OHR77MZfZnx~Oj#aDz-5XEl@bdr%fR0>SP23zp zTL9gN?1rdiBT;}z1*!PqZms7fTs>;R0jEw#7SmzBOeZ2BscIy9U^R!)3p_@Vwdv7_ z^~3Zu#2^Pc7B6;iB_7$$UG)$0E_AblD8zR8vdvkyt^O}jxF8fp3fHa$pZ&#$u0tww zRn;M5cvmu!G`9QugKuAda0fVY8G?X$E+ZQ#%44JhT?B?Oa2|yb#m!nQ*x)QJF#b5W zHasKy)v_-jnPVG;gKNPv_Ya`|K`QfCRU=~#tP~2(6>U3SKHVH|oVi%%Nd`Y>9SvG5PI#KOIL zTt?P0ZYv!;zoH%N6GKU)-YZqT$$S%c*K0eSx-%n;(Oks3Mql;WPKTL+>AwEW#3D}S zBKva6{c5AMF4&i{jIm?7;?q@X?*3wTT8dL_Vf4xP$ljPhkq+;Vf zerP((R@6>8+=iF)&`X%DANje5_UW9wy6aEGHDEI75%t=?ppI#kr;&{?iQ;R^U7V)Z}R>fcUsk>Q2 zuLgs)qf6Q(R%Q>C+~;<9HMr6(HHTBZb22fA&xz|_{_H%iVj8pg=0CYhoml1VAU<`@ zXuZGWqi^(qe|UXP7hZ=~mjB6TT7Td7#y@(@E-AC=y!fm?e4ic@QzsUC{f}Hqxbk+z zbl&-Q5O+Rnt|^IUNB-sGdmz8{;{TJ^2Wz|VPDyz3ZC?Ke+?*>e`NN0s{nlIbpZs;= zZxiPZ-JoZm&uG=nc{Z{r{a+9dTu1yfRDj$n+JA%rJho zX|BR-OfGSw5R+jiTmKZI>m`5{N2?zR{N5Vaj14Q>txWX}7aQuZ^_Z&dC?FyGZ(vRc z`;#zAK?AaDOWM|za<8$CjrN&QbzSh?d-%MTECc(l`KjR~zu-h#HB`@1RzDbjDaAQ3 z_=7UT6~jrgFtw(;ZX&*BBx7f%VzQp5hD(ulYR z!@WPYNdd9v>%9n-gYyAeXBG{8Pt`ew&kCKp)ziVFA&YPx)x%64sixHuFD-{aG86}t zeh%Hwt{1VVE}M3a1wL^czuS_=pQM&Gamus8Wv1g{(7TaSTGNK0_S#-SSH-@n(-+9x zoXgbf+05qezB7Y7XJi*9e5>>3-X|vQj`PH$ef%2Z>=`xAqUlM)em4`lU7pLlDMpl} z2a*&*@2@rm%4Y`V8%+5|{*AFJJ|}5QWcxNL+%ry6pdED?5N=xi5a-@?xO+7$Ht6(t zpwj@~cW>ELEc0>ZD|zMXVVRl57p!eVBTx5uDvo^7e57OGSR^BQay0MxZfn)l?JOlB)-{3gu;}^N< zz6(@1KMA$goHsA=eCImL%=~FbOs?=ZU7k@EUaq=cBUt_Q=eoRt{SC|4jR`-QDOidJ zsS1{1W?~RGGqU;tTbUw5`cgrDMt`>3c<1}fa!4#|e;vzgSSbhdk_tWhY;!A~uB|Nm zg~5P&bm5Z=K4o=s5@+Im#Vk#{t~-==RgP-f9lh6tIr3@rLhM>kr`NnkGrwj|kVjUH zV29n3O@PO&26(YthE$JFIBF22x07L=**nsDX#`olfvy+v==QZ4aV}N}!*t}!EcH4b zl)kD@EEUrxfeq*9=Cx9M?PK8c&qg$)Z#cbMc~szOUds0E>|IY&IH|?0XxF6*Hsg#b z)P3T6*sI5e-!S(%UZ%Dn^~nsm7B8c{oMEuZxbA0-z#;#$h-By9SkM_lu4_7WsS(0TQ@)uoF zE%M$jDe|8UO^?REbA8>HW_iaX-7v4dKVgKjeQMdQ9H85z5rHCX>JH*7s&@-?Q>QAFYf$kkE|=2oIZ1;vTFQ-Tkd3E_K@TA zD3j5z57jxAYE_=!ZaR_TQ8~(pM2@Nueoc0o&aIvSzQxbNKh6$p2%h=&YQWlCZw%>l z&h<2|L0;mwd#Tn=STkc2C#o*B96O#kL>qADmr3q!CXL&W%sho3VTI>sY_-_czh@ zOKa9)w|aAH;&t7&Xv4h1*Jx+*ZO|K&UACVc?EmhKTg$0RxSJml{@w2L=)evnW9rh4%YggcLQmP-QNika?_b)RGQYmY) zLH^4{*k$X$CaK!=tx%667>+FQrE>IuSBk=|WTkA0V^n|qCu&npgd-nBZbmJ-NGtvJ~jyS-ZZ=l9;x+?)cH_a?f`c3+JDGGcvtu)iMxiOy&V z8n8Ol`HhySgBmC;DUsssJAptLKR~z9+?n#<`RjX?Go8*1sVJX2@K+)mOwe+h&LlM>UPqJ48FzP=@*EZym3->t#$0RPA8~qjHZZ&&j z6DYI^h#SzodN`p_>uaXWXXvx>!ZMEi1<8mO=Gl+?PuM-F9H^CFJInkRP3?4^B+G6{ z-Loz@YQ4L0@Yd#;17m`1IjBgl)%LKb%EApkCT@2v9@&iv1s=WIe}xD7fd7<{o)qx&G>dNK2j-K<&cCCf+toXoa$eA@KcK<{(v zp8a!HG}Wl7ku$I6{HH!&HVdrPDSUi%L%?;0huhv~AGIoV`eJqsvrRzEYpQ4QXnvF< z_q9|(s6F Equal2.IN7 A[0] => Equal3.IN7 A[0] => Equal4.IN7 A[0] => Equal5.IN7 -A[0] => Equal10.IN21 +A[0] => Equal12.IN21 A[1] => Equal0.IN6 A[1] => Equal1.IN6 A[1] => Equal2.IN6 A[1] => Equal3.IN6 A[1] => Equal4.IN6 A[1] => Equal5.IN6 -A[1] => Equal10.IN20 +A[1] => Equal12.IN20 A[2] => Equal0.IN5 A[2] => Equal1.IN5 A[2] => Equal2.IN5 A[2] => Equal3.IN5 A[2] => Equal4.IN5 A[2] => Equal5.IN5 -A[2] => Equal10.IN19 +A[2] => Equal12.IN19 A[3] => Equal0.IN4 A[3] => Equal1.IN4 A[3] => Equal2.IN4 A[3] => Equal3.IN4 A[3] => Equal4.IN4 A[3] => Equal5.IN4 -A[3] => Equal10.IN18 -A[4] => Equal10.IN17 -A[5] => Equal10.IN16 -A[6] => Equal10.IN15 -A[7] => Equal10.IN14 -A[8] => Equal10.IN13 -A[9] => Equal10.IN12 -A[10] => Equal10.IN11 +A[3] => Equal12.IN18 +A[4] => Equal12.IN17 +A[5] => Equal12.IN16 +A[6] => Equal12.IN15 +A[7] => Equal12.IN14 +A[8] => Equal12.IN13 +A[9] => Equal12.IN12 +A[10] => Equal12.IN11 A[11] => ~NO_FANOUT~ A[12] => ~NO_FANOUT~ A[13] => ~NO_FANOUT~ @@ -79,9 +79,13 @@ nWE => comb.IN0 nWE => comb.IN0 nWE => comb.IN0 nWE => comb.IN0 +nWE => comb.IN0 nWE => comb.IN1 nWE => RASr.IN1 nWE => ASel.IN0 +nWE => CASr.IN1 +nWE => CAS0f.IN1 +nWE => CAS1f.IN1 D[0] <> D[0] D[1] <> D[1] D[2] <> D[2] @@ -109,11 +113,9 @@ nDEVSEL => comb.IN0 nIOSEL => RA.IN1 nIOSEL => RA.IN0 nIOSEL => comb.IN0 -nIOSEL => comb.IN1 nIOSTRB => RA.IN0 nIOSTRB => RA.IN1 nIOSTRB => RA.IN1 -nIOSTRB => comb.IN1 nINH <= nINH.DB_MAX_OUTPUT_PORT_TYPE nRAS <= comb.DB_MAX_OUTPUT_PORT_TYPE nCAS0 <= comb.DB_MAX_OUTPUT_PORT_TYPE diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index c0cdcfb84f610faa6bf965dd8b7af96c3d45c4dd..f38e60d81c9abd23b22c3b42042edaaaf9637acc 100755 GIT binary patch literal 1956 zcmV;V2V3~84*>uG0001ZoaI?dZ`()`z7yF0AYd-L2YdS6>?1AFHg{x7OG@^5FGN3W zA&TVDBeOwf{`)o`lIV!?+6gj2u&@n&-Cb2|Ru#XhrvJ5-tIc-ZOOhTVXBV?gaBElV z*>cf?_#B+W-oVy z%7W45<>4=_em%OpEy~N#ez0>Cfad2m%Wtar+v^5^;OwlIZ~XRp!Kxj&&dh;NgATwF#s$?!h7iGJk(fc(URwF2lx(`hvP--5+3POnOz zhF!Cza5|b4SJ5Oc#?$<|xQP0{l)>znZCOqyMVtotO_WVeIV8I9!HkQ%Kg>#d-BRA8 zMH=4~w>~e*m`*b9=x)*e#ji=RUn=)^oupSMg#WBx0#iIK{VV+`SnK&JID-KWe#6Zb zHCNbNL4DQprGB@A?+Ux9vD_OdP0tp3zBTn5>aCaWs5GWnZ`HY93LqhpW5pl{p+pj3 zN{Mq+YE5kz64boZi}gk>HkF3p&M9uOvrcF$oVLU|2SP%rp+?d`&Nn+6DAt>m+|2${ zDPjwaA_zDjnYSHEND+0VG9-qo=loGBXsVsH(zAy{QZb+~q1Z90lqN_K*eJaB>|rYf zr3|*A7FhZnVgv*x3<*n}0uWNhDg|Tp($w-07|DbTy&e_7FvhvCTtb2z$6SCwzkjNp zy^?m8C}*6c7y|5FgP{^aGr^oi7W<@?5A&sxbBIAD&zz=^14%fQUd{oau``?@t}rkd z2F8_-SIGhgA%~Drt_?xf@{nNe2quCE1~92Y`CjVPvRK>SwsxUyP;t6>&g@NSyO|vw zF*|w#v+uH|WcH9VqZC3^`ap0@nUnt62(cj&0Bh6D><88iU!cP*9%peLr<16r&NTM~ z+(~A~JR1#8$&J#uNYe3iQY3jvcKIYqC&7JuioYt7OvdprN#m2u>^U&GN&fAbV;uV( z(i37?e3j&WG9DCBNk)T{21nybZSZoGy)d|#;GDJuFVJp86oQ10~ZzOJR+ zo6INo!#KE%@-Bw1Hus!Rn^Y`Ti^mfRKSC4nIq|RTdOP3nn!4)&YY%99K-vS`9-#IB zwg*kwFmfX$Z(-KLw1r6v;}%9O3|qKmUsd*DjaduR7A7r>TNt%4tg)UgT9eg{P*-{L znW2|WHL#EhfgQxqQ#RuvLxuqqVy6_95aX&)H3jNXdZS zI$J8PA7`#E3siH)eOK!l+^FhyPKP10o*E@Z$^`1;lU%QztE34sAasaeg9(R@BjOB$ zRw5{YRl)`Hy*o4VEl0W}tc3WR;30$dr#opR6@UTqC~bIEx{8EIVDT|)3t3Bj){ zA-l*_m2sb%{=6YdB|&-r}cQ% zX9*w$#m2{gb1e`=25W#hF@B7wI?=qo+_G3!g{sHm#Go42)u>;UNjk^{sChz<}Q;2}DEkJuj3JtBLA_XzC~{DK(odWG%)v!ejB z*B0D|UzG8|p)?^u0v%clKFO^v(d-KV%>I2w(c{ZP;G qdx3P6b_yX1DwjRZ>`JcmWBGrsPr)BA##OLOD65rFTk%70MRUXp{oc!N075^XapQwk~B z>s(lP+N#k=UOgt6n%(~%@F9sFQI74+?ABDucCi688elj0b(8+bR<1VNbuUSJ7@euv zCb+e$^=!H5L3|F*Veeu)o0}fOs0Y}O5dDY%#J$|EKFzd$?Tw}W(1X4F@4Y*#da;>p z{LBE0fH2B}(dFggFRgyPba`8pm!bV+=O_Tp&uy09RQF6%qYYdVs?oSfG+;sec+RbP%9Zqw96pnQU@nxkG-A2QyUpt-V@!$o$ z{FQp+Q8p>2<3ZV1$^B89mYcmzCif@i4dUCR9~YO=O)|U>Zla$#03bhcW32#s)pQyS z|GQ#;F^toz(x+ipZ>gM)CdE}WiHq?xzb-DK{!e8vJ9=A|(@7DhL4FfulT!|fE_^WK zBJU5g(q6Zg_h^yEcg3wg7iCN*nRj%zYX9Qrq}UIY`@2rks}st<)enIwo|gWR{t~S9 zd=;F*00+O|=8BptY_6cb>iJTC*ohwsyQpPcl%WC`#yA(2OGuF8m3P4C1t3vrv>eaGX+h4YJ zp>0qx-8?6Ic^2)CMm{*$YO?4VF0S=letjPoe0W zaQIEBpxo)*eVt3YHYw)k^dOP3n8r^k+ zwHvhEAngWjH&D9)+l?k|7`c&ulDA~ml4(mOEg83D)RJLKZrN9reOSw^CDWEnS~70Q zs3pT%*0V*cvswssr8l2c){iq+W1ecxxUV;T<}wO9h@sPA$gGE!q)3@61vRPXc}8Kz zVF*QNiGxO4q(b9-@#>Ux(mETc&rfo_cCOMU$birxf(<4dI*y1l3|fhQpafP4_x9wl zTz)9`Q|BrmpvHnwfw0e~fGgv;Qx5vXrLAG*!=RT<8nKWHQQfJ}>5PXA83s^@ol;an zj9-&R8hhO-1Rtdk{K^!v+h&zMGy(<~<&YMd1EGus08uJ97KmE-{k|CdYi2f&C)3+k zsqJK9tE%mNQuD27Iy{Ac##iKlZMDG&Uayi+aSsTS{4i+8HUJJsTyYVl6Bc&Gj# zo;K{mfqE*I+f5@s9ONzz7J9uc+(0sB9v z&SAsdaqjEf7QoYk)a1zKy6lQM|rgT)3vbRBPaJsF`g!OCz4iW#o4y8v9rT;e$ZJyRrWCxKRL~;=EK|}`;9>ha*_+DariS8w` zm+)RfdkMZH#=AnHJHYHH!0ffJd%FUpjIMvb7n4v16n{Dq{_bq_rUdgn6bN6RMtwLy zZO-pcf#>|Q6Y(|YziDat8q72WclQzGM@NvqmNC0RzB+=MYe_;y0Mi795CAJetgzw` z34!=~05gckezc1%e|D|XDkWN#M5~Z!)e)^SqE$t-iikHPj29yr9z`%++Le(V0xQGWxy+c-;xqpSUj)i<|n+Mm>D+zszO#W5Ab(P-T4hkC~E71B}Kse~w~ iT=qD#E4k8-<^Q?91i!u*$MTEo+@Go7FaH73CjoQf2E{%A diff --git a/cpld/db/GR8RAM.ipinfo b/cpld/db/GR8RAM.ipinfo index 6ff9cf3b07faba4bd9cf4474e826bcaf9509ce4b..fa2304dd52e67aba538ff1d8d0aba1434af3953a 100755 GIT binary patch delta 11 ScmdnUxRG%}2V=m*P7MGVLj({2 delta 11 ScmdnUxRG%}2cy8mP7MGULj%wN diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb index f46ce48737afd49e50bf75f2fe0eadf783b87681..adf85893697a16a10def32b9c3988ffe5b83d41d 100755 GIT binary patch delta 80 zcmV-W0I&a@1Dyk~Edc>glP&=*LP!9~nv*5vAQ2%TMreVmWn^S9U}RtjW3%)HQVfD9 mYW$tNT%94tF##o57&w4xCfz*B1LQDpLzO}lF#-Sp0RR6|I~+>@ delta 80 zcmbQsJePTcE+eDBWIaY*rw(^b diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 83fd4b379d8b7a26888e563ef103c28bb3524cc2..22c5954ea7ac0b66789e09c69fc8e640a906b948 100755 GIT binary patch literal 12987 zcmeHu_di>2*nd$~6x9~36{>2L*itKu<1JpaJ=4|vY|b?=|{5#W1hVG)O$?{{QfrgL|X7fI1PtF zOwUv45+~rpcnubA`$t!LXstp!7fN_ESmLA#%ebFjv5HpzUiN(Smvj3sKbnd+*CAsO z3QP}eUMjw&;}&|gv$sonJ+ZDlW;A9*J)1vl_9LceH8su`cwv=~UVD4P=h1cNhi$pZ z>`qM$r1yG_onv_@pQ&5R$Bc4p_>o2tbg7jk2&z^c>V>qrwAnJ_Fuk>)5g1xt@^QSij`tY|Nch*8SMkR)Fzsn0aSDI~LeMM?bQe7sgqXpV z>o<=;j&BsH-qjkI;S9Ht;g4hJ4Lh9oj&^Iro6T60+{WEY5DOca0PsK!ja{LE;4f9CO>3yYQxpQdG ze0%q*=i|GGb99_-xBhVo8hEj(bB1Da(!P_zhBk0By2a# z2cwO4w*Q#i8rYTJlH{a@ZZ;giTxxsrf_8UA8ARqx!!ZtW?1au9JtpP3rLfQ1v22Y` z-fJJyyj78LRX^0Z$p#Km4Ya5NJ9ELvJZzm|M_l9X$HmQl()@>M;|C9MZ4W(zw+~1! z5}r92eyv9@@O9fkJZ-PwO)ONbEe%SDmcLcEQ#j2^Ne`H(d(s&2*|>@Gxy=tIDy8*i z-Y{2JPkGs>-eem-PGeRHQh)YmtL@gdUr+_0S541ma-)fKTY>oUYE|LxwXt>Ei8T?Z z_#0l~_GfE(%Ny>6`(I09p}@Khqe7g~T8zTHeu~RXAWC9^Ed^my2(v+We>u==Ig`TM zii=DKq6WLPw%TY_N7wo$cH7V)Y&C_R)lqD|QEWA?jsSjEfYjuG`a+^^ve8Vg)Q&g9 z-lE82+x7CE3N^o*HGA8OZ6yK=ncJD4eJ}F&MKuZEWK)r4E3#A=Sr{O-!HY~L_K2bNAGCq&J+s&m1`>TRjKDbVmaTlZ~s8M9;G3MZsr$=b+vBBpmW z_8#^HZ<|kXQ}?eLB?#u=MMQ)p{>90!)rCa)8uwy;uJt-j5vBZ!SDoj%V*TwA(2T0o;v?^32y;DoyoWVV2UiDaI)*%0NP)hn zRg~8jZ-v(h{z<-d5cu-WMh}3aGdAvx>sNF&D&4U(Q^_rIg)<3kc;VP_p#eCV>g>RS zOS(GqJ^tgn)aBmZs$!n{qWnPel$EhV(H+6Nu&M8^XIm2wN(3gRJKIFwg-pMeG>`FY zz!wU8+QmCyY=J&f$&!?RuKrj2d&WtX+!7?uvmyV|Q&Wfh56**g(U-Hm{>)WVP_5%D zgVSEC-r~3WJ1!*``sfn{z;L08iDD26%k5^VmAp@?TAk|q|?ZCfPc#WrN zt_GN9=@l87?ue?-vHP24=>=*DZfmEd+BZtp)WjO8AU2Ay*iRJwyEW#ky*9y_PDUe5 zMF&e?JNY&V4&td3Yq8ufuMBO}Ee08a>0)f>E!CojGeIvSKBF{EQ#IJsheq_~Z{Bl8 zD(>ZdT@P{z%R+A}n4v!1UIEoe;y*Nr8Nan*v8Tm!iogHPdUe0LDKkGR z5AwY21pZi6qiHA%qOt~^31zT2y-vUk~o?D}wCQX^C0AAD9*3azd{N_1!0euz%3l9Z2^ z%YK$1sB)X?_afd}IP6f7Kd`uzf2t(Lek3v=PQmq*IA}7F>$=ZN)^p+}2Q|*g04U$C zQGPXT@WywB&FESWl3xktZ`?;m@9NYfh>VX_PP7=D>Ha0+iQ2;I3;Awvb@s!z^6pKp z8*zcfn)!z)(X*c9>R(><4&eFSmqPN^uPFHGuZK)v+Uk=1i1tLn7spiGCeicLQLmH3 zN58_&&PbG+OFg6`Ktb_!?8z^L>X!70luYah$& zU#kv2Ofw)YE*11`cb*mLRi@2kFxAc-G^A%nI?Pl*PKj8@Wpm!fZftufl*k0}7#(Qb z{*2}GwP8bVH2CDz%B4WM{2PMWILOTKar{p=xXTIRi+*Q|`(kpCH5+|nL^LT@j zVtL)S6$jabP~VfGsGqgDT+0!!+bXs@(SJmIepWoYG-M3%f z2qC~%ykI)l)SRvEtu3CgY%YL)(Y0A36)T!{aVDEob0J@zC7GUTzCk|AgX=iwZBhP~ zbyI#BqC|TkTopqR@frvR0l=|GXT~e-yrDpt^l}QFmax2>j7A%a^B~Q#1dn^Lt%u z(amIVK2Yoh+*cxK2vDueJxaB`FZ%8k^}2AkKu5@x)oGt) zY{Mf?#kB&6w<9iwiH5}#-2sTVGIl-M zM^*7)Kjr$Hu#z_-{}Sn8-lzwimiY?! z_Lz+qLK2VXr+t6i`>7ZFS}D_D?}t~GEkkVJYueahyOXbQQ~uR3V#4g4`c3eTF?Al zeu16s(zPi*mQ+muB10-`!}0X9w1MrY<`^lQK>x7m->F)We)$pew@+0P1!`GuY#-XY2XTI$a3*hk zqP=&~87Z@);KJGc=OEu>PLhrtwQ>LiiMe@~Ebc$cOC*&U2FEoA*tOy!2T>}#SWnOs z8&IH4*xz-jW7>@?MojurwZm`vZZdHT&Pw+G!amb3jlTBkhf9xkg}hT;eH)M6R&LC! zgS}k_>lC|=7=x+kZf5J#j;laf|4v(!r>U7CEstOLD3ue{JF}x#$Eyr5NbOVUQ$4v5;|bYF4H@N9)^9P z0)>-M+B6X!Wkc`*&5yDi_^SFA<16Fx;g?y5xOfxmvrMfv)NYDDvf#b{b1zW&83XO} z9lMCA1$u1^;?D_G{@()}Z>z6@lKTaWY?#rS&goLoPa~yAMNE!@zhZP5+!tMtc@4K7 z8R+|d4-_c@94<1yx=vWWGTDFYV&T@|Hy62h<8CL_`%Ao*7sljkQVgx4=eG;Wm@VkT zNv8;uCL}0M=Pet%hSShxpZNG>%loG{r*>)ud$CGZeM(^#X;p9*>*W zn%tOCv)T#9+yHg@vlnVxZ_5uxiS!*x-;kB(bu0%D>HzLm5j~^WYM~xVFIwNctXfyK zdAqNgAE=zQp5Jr-O`eHQLd4(kOBDeshu3?jL-}KVkS!AXq^nn7Nk%?a0#_Zawgy#O z_;~pNDlB$nLw{aa34OakDKk5fRsFuUqPpP6gyu44gx{)aj&bDKs2ma&ZMreX#al6P z)VptJVSU2H>+nd0-lu2xrTk=#y9SQ)hjZPTf;#bJ=Cu;~13jyatvd0=$>)QE?g2|Y z_4EUQ2R_XB(V2@Wz!@C&QSmuKLpvW)smQl5@CIMJ@L3JWXZ?DsNUtEb=!Nb0m!72B zH>a~dz5jsi(0Ag=%fCoi;ZyWyKDWvsY&3d-`eRC<3_h{L}BooUj;Cg5vDwqezJvM#dV z4`Iew3C*ae^hh(gU*i6sxD?%l5;IFRy{R*1Ds2_IFA&VCOhrP$RsD}aF6X0s-%4GA zZdcXbQnW@+pN+lEaLiYnzmS2+S47Ua;6E4iU$?=t>1PbSuRcvJ=vQi8b2i8rbg4cq z7+w2VRx4I@D$%ca#8#BeZMsY4P=tf4PUGHYAfJBgb9vUj2&5X%{^HTnxM5nfn#l+^YTED*LV9SNmI4_J@6S%{n)V zSKeRSdbe(V6h6%Pu2aX0N}?u@ua8VRs!TI00#F82#=LHnyqPjg)fEre&!NzzxEQ3C z93LS+b-dH~QL-_|uo?DzMf8|41J$-2<<_{(cxNq&JCVrtsdX#7-v>OCNYrzaD*Qmk zNu)Yds^zH29O(QJx;kzL*d9)a2WmkEL%L2N;SV zk7odmcbtZpO_#pfV)d*Uzy6}d-^!q0gO~yT)GiBB>2e+=TO8$3uUs3DJ2n`L3lH4@ zo2?|GGinN`?VmJiI2bIYh6tMMl!K?Lm!9p4o<1MM_ ztk%SS)J%t4lo61~_I^P%9St6L|M8400#rS4X{V&Ke0)L1h^k&&?qfZeUwjE94nAE_ z)dn-LTYkQM1aREza(+cD92q`BzS!#$VkdQr9ne8y$_{twPLFS#TOVArZdBctZDsda zDhJcLCZeAqL9~Z$iO`-_+(<7!l@)-a8T~JQa?E>1ZaeS@F9*{HQr^a_Ff+av^c0zF zFVOwA=5{v+-7j=B_;Wez&uLH*QdfI$))^UlrG+k?6)@U+dUN!*{j>$m!7!!7!tz#- zMh8;pVEMsYtqCxOMLuzUK=TKjcSZ=|HPU*Oje#=yiyNmGi8QH$0tbbTZVdlrMx>~&GXYUDtoSEU_ zBfVF|VWpfyU|!ddp5fm?wP1_q=@VeJO;`1+U8V9AS#siMQ0PC*uMI0{h~mG{DbVqSKJ> zI@*KMgSg1cCI8K?3AoQI8>aQc_b#=Hk|GcKGLgg zQ6;a$Se68I&+@oEX)HYt0|TeB2T;VLb*}t!`=0kj-hn@<@i)>x{v#aRTLNvS!wm49t)7?3edn**&!I0gc8|PVZ!j zAd!!@qJH!NioxST=$y5SqekS)N7$dG-MZPRjCBX5TcINXg1>`#U%YpN{~%!N){=c#zLnO`=-mlwZ{o@T(-BdNvXc^ z)6!W!C;&b#F|1Qknyu9eO2g+}*g1qvbEeRT57E&C{djC#lU~}|J?GbrMd2i;a*H{k znR!F%sayMFW1P?>^yIy%<(oe{vYMhdt!(?vn8P}*#o_UC)HgNr|c~Et6mW2+cdXD z^XvOo*|Paq5^nfJ_s;GMJ1y<;AS^v?KZJtbIp%5)2|`fCTRET8>6V(Eue%iiZ+Vrd zch;G=mT%1}z!Gli#L6$4k@5DfmO2%Kp62BG+~tH@tLt=EB`1btSJwGWo7f%DA8=6U zG9??$>#}ua|5q%-WqQV(5_sVqZ8(N{iMblq%K;umx>slk=&aaxPff@KJ6u5@DpG%^gCwJs+>oPO@)R4hSeJQ zKR}-7xSse`$erotL&af!bih8aPPpru!LN4S%3^EfHEZUC(}_@fO4WkyQIHz=+kS{+ z3pl}kePb+Wre2cDkcqT65A>qd%o^;m;(`iNr3?PLwrsHnnOA=<@jt{!5}JUEJ^mecJ8*5OX$m?Z`s@A59riZ5ojFjf2zAh<>A1x-(Z8crlzw=x>(mxAruxLtDQs?+m#60tzXVf4I z+~-ag2~|r_MJqUK{(Xp?8ng&?6r!+5(VV!7rji9_KEWjlqjM?ye5I*+CsAzmH5Q;6 zLJU&^rLmI6x4Oow^zas23^OEJ-94oL)m3)ggC<3+UtW!utfxZN1ip`WB3a~1r-wtb3_k+j+5Y zLOoF)l1VsLX^5GKA1HV%9U9TVi*xN(9dam)?QlrDx6R%bwx#ph#|)yWUemI>H&RuCEo-@zjHDo*^B^NB+;0bp^a_djWibhgso=>|#DD=+}w~dqD zG{%s!?qk>kz5~NRHT_wWmJzG1y9ut3Js`YouLvT|9=dFRX7v8GrSFl{>#rAu6YT#Q zO(d9oh=zESJoM}CpmDEb4)K>9_tiPk zL&(>R+~VSwg@N_{P)Gx$X<-vVS8@Y5!+?82*gMwRuYi@2*DxJ|tkrB-D7by5we-UG)`(Lg*Q?rZn-~_AxRP z7x-|z0+wxSL>zPsK(-M_HD-Y?7XFPOTgLOt)Wu`#{ksaemXy6!!Gl+qR`H#jy!i6~ z0fnAo?o+1EWS2l{RAtnAcRL+(grFj|RFY0D-zbgy%YTWxMN4uK>P0NwF(}`Ug@Wr{MOMGa=ox>rc=u zpkvKL_uUw^T3~CYHP_72D|bZ08LJD7zVKhKL$v(f5mWH!wM_gjNL z9hvur3E7k?BEy0$;3ec(d)70VwXbS+2@&ppM94asKp9{iRdkivUr?8b7qgnw16&T| zr9(X8U5Thgp8m8AU(RHLOO|)a*}sK7Yk*9_YTG^3E}~BAcgz|h!?f^o4Ym+fPhGu3H~7zOePuG5h8_ zZPJ2QVVKRXD!~0CF89oP4ZdJ}OglLG-Joo|Q#dXH|5kz5pTvG3j(-8Z!QZpm$kX&! z>0g20xI5iWHw>v^Tb)jpDS&k1sjZ4`w|1m*V48EUGE^m#-%FOhwn5B} zdTh<7qu2|EY z)u;W%@2!d>iNj(5+}TUHMfT*t>~|^gcoZ>@TgE#Uur21TAQygaB{~kVq%(Xxy1!;R z7^br>H?zB=&ZebmfGmB|q>4%cj^f;DYaHn@0nRP^rlP&ymLiTM&c@DFzkde+)9?m0 zxZ=IUA(^aK=1IzLXfXj$j&an^(JQ~*$n6)_9|@14P%)3xT#IzaXcEG{C(>yos@4pz$bv&18=vYd%dzOb~%-uY&pn zR%Wp#hViZf?OeJCIT34=lKQNft)6f(Qdx>@V*yYqCJxpS{}OO{L>qXJ%$C}Cs`+n( z(Aklu?nzX|9Mmr^cN|`({)^$jVwSY6lpSOW7)6Wm{@uB+g1HtN>(97si3Pla8Z=z> z4G`9Iu<%gz6if)w6l5hHBYMy`c|0WdmnwCm%ub+J%W$nVxYq|Cd>8&*@5L!v1yJ|k zgeMkMv`JoLhbo2~>gFz-1*cIowsD_l8tg-}(GqU%I{sH8y-936=xYh57#}G}vd79} z%&XQ!954(QCa#LNI=Wp{1xm|r?>;Ftlp-lD9bZ!K+!Gz;@m>}$8b)^!;ziAtpdkUDc;0-|WzcBRAjzhvQJyjA(FS8=r`nM=^<y_9r?e`?4>An)CsI zZhLQ&&7D(M638hh|LZb7@925vqQ$iQ(GhMp-$~NdB|k`>dK#T|t66Lq{;XY%e+G1k zKLV6y+|Kv1TTL@~r z20V&6{xO@@mIh9!bOv<>zMyksTfoMto;(v~15rrQTVqQL^~UXtz&sF$|Mrg3T|e6^ zF6fn~Yaz=4u^4X`K&ZqR&n_J}%x^!pkPjv?Hsem`OS?rL35TDcMw-+@3da~`fSd7y z=O5ZMgcBV|W9L!D7Rk4|2PcbogdI^*NH&9ogUR5BIyAZv? z_Fmj%`?h&Yc@R3r8^M@4y34t+(sa9IzG_~xJm`r0x*%u@y-%s>WIl>n<{FCEZ!B)+ zKQderT})#*EPYz)2l#>nM<4z6rUaub#RH!5QMFgbD$C-}gR0BmAcurFF9dybb5h!% zwa|pz@MZFX*TOtfafMtfTF=R_OAih?`lQtm7Y1t5W?RYdNj*}1=VsF~=fT4Bg%P`f^w<#-Yart?1<(z1HqIJRUn0Kehv zs@jhG0B8?z5@zk3IbRr!d8~xjwW`82s%JgGCww+HHT%H>ZQp1uB&k*x`o5Up$^Mye zGo_ygddpg;1oOS!-Is2~*k8`@h^ld7jOPoZz z+Iem)3+$vyfD)ogTI-BUi3#MokDOV`Ca9@Wq zsaLb~{;0PBF24l&BMWX1+Vn^!4QTOB(0Iv@%SnJbA3_1Y7SfC*LFI(#7`3&rJeF12 z()X)cR_v*0>WQtq?x?a=kHk2Jql|DBpea@gat{#n$PnMJK(3*ltkvFGB)WEik4GA6 zzJRnq2YsNQM6ZJi#+%sG?h^qwcL=!Olm0*NA_@B`ev2ooQq5zXwkuB&QCj=5Y<}(# zxV0N2Ce52ycoy5d9d~@(7^7a<2$?mF4I^j(T8L*c@VI5Y@(OAUZ246eT|%X~NAd9_ z+xS+Z$}z*reK0>0v4Q?(XKbXxEe1TyeE};IVqc5Cbj8a%7t`U-ji@|2a}(2>0-gaU zKNCe)#b$t*!Cm}n1!wEEjWBCgYw$ z16#c8D5gz(Iex}Qd-;yRxr?5jO0&S2r0PYrOTdNoXK(eldD6G45Z~f9{>MFAow)5yb9mv%S zCg}FD4OhvdnIwiH>!l^os%U#JF%RB={&Kz{a85iDUgdlId91d8yQNnq1kDa2w0e8C z5hhmOPj;?WFE{}MgBm7ga^acI`HeC;VAgq_T(u?Z#3~*VVIZe5c-bo~FkN+K*#z5C z_B0Dmxk~U}f`aJgZsXj^x@~f{rd0RYB&Mw*JIXK~g1)U9oYozDJaf zI(9^?`3~24Z7n@M)@tyu2&DP2EsluYl-_G4!7SYv&k4VWCt6@XWs7`!%QAe|Xvh4P zdmtTUJF<$C0mtyMzU~@u=00S;WZ_r6B+6kXSAC+#!HTc@X4%f-ax`9?-`(XEYS_;n zJb9|TvHYq@UCX}%QdDjH+j@-yQsoa=;~>F?;*%PUY(Q>Fe%hdcN-E2eU!ZX5B=8fu zfMk|DM5cXR?Nti~e(8nwN|aY8%s7MA=8wxTXN6yS&+M(+iCyz(^5$<`H<^>EwtG30 zb&mDQu512>u+^qZH&aM2w<_a6yUV1SUJf_$U4`@WBOVg3c;2m2eOrh!yVh5(pwJP; z^PK@`rUZXD{kR#0-FOqR5KB^#;H|*~b-&s}_zyxWr(w4?Q_K#JwRnr>jcwJkL+!Bu z#L*;E!Z9}P$X5b1t-`UXDSib=0 zab;a--@`>=DU}sDoKP0xsOS>a>f&s=c569H)pTz4H$yQ(rv}soQ018pkD|elUKZ4V zsG$jRR1^2mj2>|O`MI=2Ov-)fo(ag$YPwu#!7>wEf1!Gy*{qi?u(iKNoA-bAB@Q&L z6kqqiWJ}y>OB4Z}`&XA?ZXH;0X)2%d_Nh1oXQNhbhd3pe6*0W__(Pa5u|Hn|?zNr? zMR^KiFY%r_w`{YTAIekiB%dRb)st+~7*H`um5nG^w{+I@Qizk@0` z8$l)Msfh*VdF-#W7=_@kSSk>qYdk9;-9=HNM(m6JMn;Z3X_)m-1hPEz6ay;R@Pz znaf@TAnGTT;ML%%x#8&LdfGE=JM86ga--w2@{IqsdKTUTzS^5rfvIjOw=A|eID-`_ z@M4VejnDTWGnE7s0hstgtRtcC_p!QiS%PDeUKc8V$pQAKL8Qy~LYLPznWY-9N1K|` zEhngVKXNtGq#t}RdyRpV>nooO^&P}$lNFCE35cVId^Yo65K5}Pi)yk8vAg9R)qXdY zR>@5}#`Z4FP?2h2n6ZLNN4<6 z5#qd6f2W^#U&?{0a}`Tfo*EvQBJf{viRW5-s9lR@FbC2pjuv2q z`Cnp8(L9x?z0CDXHixhWE^^7er*s>zK+6Q$gl#&_Zx$bGX#QKk%2=Cu*p(hzF+(JG z(bCq@y_;{M!|+$~X}ByGO7)`&H|%%5{^}~y^?LDGkh58#QA`VK= z@!pof>ea_b0;=XpM!GdWObtKl>xjLpy&Lg>u19^qu1|8{Q(h3~J1S6{Hydi>$l*>|2$#l%;?FR$>OVuZwZl zcq=6bjMxS8z7B#9y!6eCw}ef{~zFm?k)fT literal 13024 zcmeHt`8SmB|9=u*655GjiiB)Q7|ZY~)l?ECOZFw%jUmf0W-JL|CRwH;Q)J6tVeD%L zW63sTXD~4gW*EjY^YMOv&iVfQ{R2MtT^+5P5GL#>cv|D?q1I?URS$*@tTH;>UEXt*HqOmn!5M}x;wjEG;wtH zyr_E7^ua|77pIGNp1Z$1oGBf4^ia)_Bg)tQD~@RL{I8t3{=YJqYbA^y?{g<$AxxMr zL+rBK<;S!Ak#bjfpI<}grHew^UZ#A$5`MYd9ro|I5U4Gtd{`q+?u}rjLA4Rzb`UGXK7d)t4%e1TTH2b8@ z;Y<%7UV;h=3RSOHl_uzIJ)_V5#7aE#X^9grXP=}BT5h*TQ(KwZUOW_<-LvX+Kxxs; z9KPev#<9TM-i@(Img@`-zY;weLpzO0uGg7!GqezUSJr9Z>3H$Nizw^!#%Dq-GA7oV zqPBG$INZR>X|5+tXJE{;MY>lW^p2l@<)A8=z(Lx#&U&wfG{vUKtD?f~1q)hzmJ%lneDEE$*Z0@=LfKeqd zn8ni4ELJ-Nar~3}K0E|H(Dnb8`9GumU!?ti<&EoPSD8v+ zLsd;pNa(ZeSB=wlwv#6&MJr$0nim1XRX9R4ugP72P3@yhTt#n&`@$I5Os_;!f9T5p(GG4l#lEn7hUP(v|I<7rE z*WQ^!;8Vejoem5CbMs-ka3()_7J`YS6VNu&h38%62S%zqst9@*VT7TPF57+ zP7g1^u*=fKpTcABm=QsYN9FIJTc22F`nTRO#s~N$qMzI8l{8rW`UW3`yI59-Y(?@4 ze}u+8tGu3;O#Ut$)V5H6EV7sx0ZwBYH@7HLoxbVqBAU)3on*Egd31l$9S`(Yc!54< z3tj?1nh?8kVee0!<^jFK+*&5@;9D$gz4hz?VpeFF0r2{LaF z2vTs!-M_lm=Jsd0Gb?PaI?cFB8&f4@zq#{hPPEc<%jj;#RGS~wufCz6tjx24A6Sey zlQAs@Z6PpQ#c}_Lh%8$@YYa{kyF#>5pX1H5&nmF;Zp(7>s79m}<040_8n$gW{ij9; zr(UeOB%gXl604e^RGA0PJ8O?5GAc0Kx>W=1wSL|yXRo&Kj}X$nyTBWAnP4|A=~kS-ERls}FD7LCnEy?Hj@iBW*l& z;)4c`jz9b1CErz{iZr|RsHlA9#!70fdDnT=rpD~8H$m)-ngw=I?$sXv)XbwKoZ0cc z9`@MLQ_i|ey`(KdYQ+^4DN{kkf@{g)Q#^Qro`DfzdLnIf=o+da=?#E zJ?8?~-{I!I1BjKGKZM)j)v68m%DMD@25&Gd{I=`0_fMio-;4K3rdjUOEXN45x`Z=* z$H#|XGNTv~bIG3<@IQ<9Uf#*EbFY{kd`&%#`QRFF*eQ}}xPJjW)0>pK8=&$KMsea_ z4xU}6FFu6uJ+^!T1^FywGz7UURL&;Pov0nJGEOm!3n~tvtR+*lwj88*2^wl@$@22$&y!KF`Y{uL$H~*gr2e2J%L&xN?h&J5|=X>)K1Y=*qKPWp7W2E zvNut0V$rwS=IM4Xof@&mmJsEM{`vU8S*1J!CXRlJr zRFxmFo}p})YV5ny(^RgWSWzx5QO=+DBaAA|e|PKkLr6ie1%aQ|hk9|JoxS>=CrF=i zAJ<)!BA8l-Bq6UP2EF?x;wP&Z2OY{b`DSB3vAZkMKw|55?E&ZQE42sjvp|DaIWZ9u zYa;q)GJqYm4a;5?A7b75O8JP{uCk3+1-$PVF$+kAy1vQ!+7pyO)YxGgF1x|Cl=@X$ zzD|wp<4?)0F^GQt9|Q8#R5t`itO5vo&LZAqfa%p5r+EQ21aKx+p+-LK12Y0g$}YoS%3B*z8i9d zSg(hD{mY?KO|M6@Wf}s0@*TkQi@&&M?A@yIhBdIhe7%it2>IIZKxDdcj5@D zy++cT!ALkg`{}}5OV;@F>Pc0gWcDll&O8l>g5F3@LuFhmrEo8t07EC!XuU~GtL$F<%UP1UNQUPhGidmYv(dMEzCKL>RP0}Te*%-CFlRT z(*^aWvil*=exeEiDcSz>>QlB>oAsjn=PoKWZf@0JLP&S^{vNkU#=Hw1Gg~$2V*`-O zd3qZagsMB;fQBcnBG^0nAsEU!cFpKKHVqZf_*4B;dhkvl(dqs!NPRrk4T;k(Fy zw=s5{F%bdhdgS@!V?(eS(iT|BD>j6>rVW@lc?9Og6lwj*c(EK2xjXKDBHK`SAx7gh z&kvubvw3{!^Cg8x62aG$**O^CPJ+iBH=AQEKVDsK?bxWb z@>8E8)c*>Frd#BZKQXJjd0hb=X1fGnBGU&rpFpemq=!p#Ri(e0+!#NhOC8cLFYUpI z99tITe|2^+T)}PS%w*CUWa(I~NyBHl1Y*U6&~{eg8}%F|EauLUHHJ)6w4=9A(QiQ7 z+v7g=x861_Yu(`eOP-s28S8`BpDOIm*J;)VsQtcsq7SlgWRADW`DSkxU-gq6Km^v@ zoIvlR~jh2vPSZ#JyhnA?PvZ7RG#MkEPr`x^=3cRm(8hlq?7Qe3Da>67_zoSLd=ryokCm3}Xi5?dYoL*t@! zhTBCNJjNw|zE|hCRLiROTRE`)<$+d9boQr|teTi}ypZp8E|M}w$vvlf?#bf5M&pY(3N5@8i=%ytx0z^Cx?gqn{t)b~L%v*<{}Q zeEmc}{pdLDcLnPw@&F#h?VTupp?*Cy;YG>`QaEF3l3@5fp;IM^@DX$VpnkPu$wZ!R z`Rx@(O&LMqWpv%#fut@gcS+3I-);v7VG4E7Tazr&*E*Z#G7-)f8Yo_8&(2Pnr~f1M z?e@HvqO``Wo;KB)Dz7v(D1LD;NV}=dCga(oPmmzZd9yFy#;e~lzPFJ6I+RQigrrNO zElpHZ5b>kUhL0ZE?cBO3@nwKlz`}=M68h$;=4m@QKd#vZ&EMis8dtBPthAJc#MQTh zU#%X{p69$EJ^gwEdvDq)30`d|4LR3kdHnQ+Q!5SPS~o&IZYiTrS1K#t>^R`stp7sp zkG@5^Q&L}Ks1UDNKJ+{H6KX?PV)VK()|{*V0KL-ftdQGfDR73qK#jTwc?y}$NO*Ma ztKAN1BOJ;h&EKT)_9{ZmoI(QTpFgq%%R+EfimD24eqIUk&lIPj^)UP+TCZ}d$R{;4 zct+mU6OZiNx#1L+<~)Y^Atc=wO;OA>Jb^~XiXTPuR%0U}w&Zg{f6F(7rF*Y%+CpF> zcNuW?t6ytXu~eQ{d%Oc5ckL;u41+A2*W!qS#z4)x_GJb%slH1p=WT5grY_9j1QGra zJ|}Z6X8~ib^6+2aG_*>64EC`bG0Oj+>C%ZhE~7h==R_o|Zjcc$y9G0ma=jC?ioCvxX0UK`v1s8NzYq{m~&yE@Gwc`Zs0=rpcfGRnl`N zbPP@1{s{4GsJUu$$7#Z%bkNQ*8ks-mBq|X4k94J*jkUA1OVG;401pyt@eSqzW$1Oy zgTF^FW}5D}o!0zy^Ucp!qK$>c8^7{3d%h8}mRraR7lM*LtJ)v&?JWL7Hrkl<-Ly|& z1>Wuoo9zZ)A>6vw&#g(mZl0vSrt9F_i}vRZ+DYq->`?$qp1efFKX=5XQth)sNd*>>=>D1u% zuC8UCc#(hQB^zR-X5HSzOL#kD>E18bw!0aww{*e+4g?tyr};8t#%rKub z&aTJx{jTmvJEuc6UCwtieecbvHlzM^J+ET?Zh47<1N^+u~_+{^r1&)X<#+lc=4?wu}h|$Q2b{e?;jR6;olFmf9E-Q z>7#3v{$I7hUDkEC4k$c5>RYyg*3XA&HzjQIy)w_~?E;k5rtJmOOS`<;M`IE@ujfm9 zGg_;AMw%8C{L_u8m8_(RMNdb@LUm7Tjd$j!aRk^a^Zhu&qpC;0$Gd?`10`JrcdP2b zENYhl zrRqK6_*;Ixpw@FBh)O{&502&`C1W!hr-g_TBM01iPN->_aT{M-q0&bLhU2lz7F&TT zVKdIgeF>0jSy=w`;Fe+IGiUB~69c5S8tJdZ&uHu&tU#7gcGX1#eXHpD={_L;eCThq z2|u^_8cJB(cSXdBfRdjAw0DUWar$E$ zVND#4+@-BX9{zg`6Uo&NT%K}T(c!vhvOga^EivL$dXVWe-~2C917piEd}E@m-O)qw z>98E&C`w&aiR|f%KK1#{J&sOH`12*~e2&OnMf;meP@>@oXW-(f?kZ`xM|S?+K_Bl? z`iYAw-AU0QDDYcC;3fML^8z(*UAb9{fKIJbcf_p6jU!|-#D?5y%eW7OOG(qsyX=Wv z_NkrlI<*HJOZ1R_kK|i~D_S|E>5JW_a2{FLkR!L>R{P;*YZ>Z^Wd9wxgO0kFcMHys z)#U*8?((!MCuIm+z5SA_q#*iU?`iuaN*?>qiUZ^1PTb_p3o|rD&)jsy+ne$5CB&cHLq!1RGW`zexsg1Pw<49F#vStiy^?jHXl3K$IaI_)H`_GRhrH4;ka<${!_A09u ze~VlD&N92b*`b>i4eWPV+WEJAG1)&oT04a2Es&U$-~DT>4VrjDxXaLfM~f#7sX3~v zUiECov`3{t`#w@mt!&eB^kDVSF4bM(2+#upE!<3H7a{}8L{3R;`8NUzP;}LcLD4-_ z#()5Vc61&{={Sn(qupg+Mkpp6JCD2=d1_TCU3MWySD=6em+rIQ&F0}2T1hHsBfvDn{nyRsv`d`ULtL^G9W*#_|A zHF5v1?9S_!pX>RuHyb-yZMTQAaNYBz^BuQtbBY1A?4)9o-Iy;70tJj^!wbU^vJdnX zRBSnAPyhXnsl9e+$zJ)3#yoWV#EUO6VM!Ffro@nbeg9XCc?O`3{WF6_-t?AaJ=^+P zTC$8|@oN|u2_646c}vB#^JE4|;PJWnmZuAqkeaoSMZ_}j@=dqR!7v9o$lN=-%msf_ zoD~ZOfDIeYKyX)S;wAUu3zO)nn?)N~`Xxwv{Iw*XPNKVjx9D2xB*rJV<1yKMy(K(s zqozM|r4One8V`o{NP2ILk`vxAt6yM%$7toU?D(FK zV6(lD|L3DA;VZ*uZe(Ph~wf z9@yR2RS%6kldK+^hFaL&c}&#rJo87Y)Z7q$btJDczS^SobkNW(ovTaAFyK>fw=PM9 zq{qmD?&`T0$qRJ64BFq59M>fT3ocq|+1jnjSINnGM$4j+eB07Wmi*fstiB42Q0+xM zsZQU+(#EON-V)n$(Ygoxw}}Cc7hJC}73qm`2iG%zD@sgZwqM(QyHwVn5PWhJN4OV< zo^?_j2_qhJ-9fkPm2mE6%rkQN?ZPFm#m%qO{cwZsa|B!O;E{5qmWL*DxbBmBfmt>a z*D@}2WeaN`Z3L2o|HY$Yy6#V8w`_5Sn!>bGLLEnQUH1Hz&P=0nMmGC*YCq6y88PAB z8!h{-w?`Kz#n`HxN*-EIb1AntOO`y@(k=7Po2|u$Z;@&Pv>Tz3(tT^`^ID5QDo3pQ z-fWd!%+Ps^Q?;USdFpxebgp&4cDZKo3^e~Ru^G>=nDe=5en9mUPnBNa_x6r(u_XUn8;dba7#>Xd_3Pz zCbg&$#9e*(bQ?9-5q43o7wo~;W;}I?`z<%mfanOna>+K2ZrA1Ek#(PW_8F!xR(#El zI~}6w`!k5wmk>BJ)o^>_*_S$$=lVlVM@#N&R7Rr|t*<3*4;IJT&+CD9x0VPHeo8epAGKB_KCpQ(UP#!DwzSiwr{6K@Sy>7U1W8r*?4+L z<_I1en6|giOM7Pm)Rf8#NC?3#&=R+B5lVVT-&S@V=m`{1USkGxtJs!qw0Gt$8B!0(Bbc#%~YJJa>~p`(KVL~Sk*`qMmI ze_`!P!3zuMZgRQlzPnAq)JzQ7n4ATjh=;vxlmxJ(OSW`--fKagk|r&cVa0xNv%&tJ z>#~T48w5HJ*2ftzU&=>dXK2aPJpKW(&MOvX|>K%9?|fl80jiveW2ZQ9=v3aL(j&gl|O4Fg;V7W>q8e+5>G4^*W92pI1ZI2SpLp>rT{*`4Hq8bOYZ+3s_9WMast^%U0Yzy? z*XExSTRG~IPMrR1aR9Ik=aVS>-a-jLUZ8|+;=v1AOyH*RcB8y9iSK~g+<)k|y^WyH zD-@^nw9afx+GG6GVCnZMD-gXUWbnYnDzKZI{)BLc(_tu#uG^gXe?lM+E>H3A5`g=F zp-CcoSffP$rh|X&tjL$Er?mm=jW zvrS_w5mJB}+N(XkdIxY&E6KD1Ei!^4YF%3;;SJ~UpRnQ5DzXj-z%neMODzf8_zGow zl(~`U2juCNEo4fmAb^-sxFDbLXf_H#K+3Ol>h7LO&2$bU>`6uD(u1WV78SWMB-!saJb~k#v~N3C$<0Wj4z^L zu#aL&7TllzwU}*Ct!}qt(;np8c-mKnf%IwT{4~b{w`b)>{<9I3-83tF?gYC3ZuerBNejHm!R-rIj4q8E6KhHnp5 zU>20NT-~xJ>cf#Ljm8lcmVd{k9phn&3LMEJ=?L>AR<2l|R~49X6k+GmFd=SDc}XV_ zB20Zs?o&;Unuc@*{!wF-5k->1IS02sqQoqyB8N_++XcN5sjQNpbf}w;CWkHth$ z*fsD@JPmCXjQTrv7R|a2HvUAdtEv)ar}RAq}E%yIewjL1g+_& ztGj+YcVEKxvGk&V{Iou3gpnSBNb&a6_0M2b#gZ>2f=2D>{{F(=GoyMTz5vR;#LE3T z8M_WOssTYKn!JCPD5)RxYd`dTAgGKFOr!)} z!9=;?jP^-vWfd%At-W@LyLotQT(9g0pRXogXoYUDP>E>_^2*E;U_A;%+3iw@@Z1vc zg4S=5qMS4A`Be6dhxiW2YIKUu9A2%g4SZt!hPmXg-5oOrwou{U=T<=d+X?~kg~DJJ zlS1KT;NGw~XV0^_G)#8ipwp)}a*JdNTrmjQ<(ag9z0-1q-onN*WSmqMT)uING4ao6 zwFUO0Pg5SSOkzVi#m z9P%R;HVns%w-f9SGp7$a58lJ@T`Zj%ijC@n%s<;#_!Z$#^H(aWI*(RWv#pp1(F^9PD0g6*uLnu6K-xhwYU%nf&;AkPH8~{MM(jLifOZbpP~RFg#mt z2fj9ax4Jm+zJybw3_GWM2juJXS!e@Z810<-#Mm0Nd;q+JrrcPL((>genFDm1C1Lvr z6ESX#6QGJWluy%lNMX#H7Y+Znehx7jcB|T5(gyqY+@4wUFg=`HgW;0JVe*&G?PaSR z*-kL==`xy+UVX>>Iw6MMhh2LXfZu4Aw#lkIi3PQaFCuQq~=4Jr; zu*MtB0?rP5GAgw^HdfFjJbKl@w<3hh&>$GBdHkGqJ-RJ6x@Rs|b)Ij0ZOYPmtC>j* zA%nCler3Ps^J>&apB0CtJ5X$6$v4leX~9axsZ-(_*03^;G|gU|U2c1NTSXAS_{lfo zc}N@(jylt?w(Oc4Z`dQ>{`3d;mMjR2vql`D7>80)FQ$DfKHRfH7;VTt?`eqppyJh7 zydl7dCS&CR@LBvT7a~Y@K}G`Wn<0&tw~N*J+>b`z{v&(ISWEAwp0c7Uj)nM2Fp$4C zCkj<5`6bXLx`SMdUN2o2=)?nvE@Ab2E>&|5B`1R(O50Tm&t<~B z7;*=k3i1Fgavf0n74#sf6yYcJ%b)Zw((DB5=kN#F9fbzvM$R*jhq%!rFF8q0(rEbS zaTh^?&2QL{Z$_UUfc>dIX`7P0Vh%u@-~We{r#|NbXpH%t$IuIs{3pg2Xk6GzO}1FN^+X*H;d^A^l01&jn41P~wkxiO z>D~`DCEKRxUMtm$4Zt|`)k>qB>xzV#>f6v6QHFZceSlLZG#lKj26$b!dvMpTeDaWL zz^+j^|9E=pzLdU}v3*6q0IK_jtvV$MV3bBn%dV8Z&ojQ7CXVC#qjC+Mh6;-QWE$?d zFs;JvFGKP}WiH}Ki3R(EhxMympOSIEa4LTr*D|);SFnx9Oacwm#BbfLhc1ax4chYt zRKBiW9}WeVq|Zg;p{*KWg&TB58%k&|P8e?m08suSD_$qFi}Qe98#RfcNRn5ZlMa~~BPTi;(T~4jKR^jP)G5GV@XxRWA}Cf30HF!{Rstv9yNe$) z^TB?C(XZN65qt%;k{1dq-d(^y*KdObJ0oM)FzCD0P|vnzwW5|@C*M5WAaa--W3$DW3UNe?J3&#VDAi%ldG~P&X z0*P;srf;_M_U-Gc6AwPU275Fslx0pesoM4SG}cBq*MUKc{=y|Al8kB>41f_f?DH*^$d1Ap)ddI>`)r*d_e!fH?;TNrwuNzhuZwhOT~g_i*v<`?9){6FXI)E zFmk6PfLg^z*p)$RD@C_?D`K~DDXmhkL$yj$`HJip1#s4;)&*da%J`!DA*aNW2jxQ( z7|oWQ>iU=rtT=W1fkUJ$k4*x0HPPy6r3egx?jt6vNkTZ5z@OWZQhG?0RQ9JgW{O|O zEtLE>n@@ghSSO;WXPvK2H-|qYpy`x2 zwnIQa?=vw`ly(OIUuu3V9OBE@I)z;aQ)4tT4H{2`);ibMoZ_SK#nt9UIO|B!#03EX z-}$P%Lct5yOF>t@&}bLt?lG=PKy7k-E9$h51U9_c9hDt|D3yZH!%PoWOPy4Sx_(=o z6H58;XS3?~34+mSsNlBmXzei=;CF`tk`%dLu^E1F6lXfmsxw!{DHYTgZ-5v%d<5OU z%}V2T#?{^W=<#R31xnRgF>mI?wo`}E1(B_2*!myy&C)hCBKVYO8gYBS?JFFo*SG<$ zoXMrzk#p&p_#qXoH!xr1s*ok;#*XYijfwcaCep0s+F+CF8b{JoffGypP&K1_jbKq= z?|1gVdFlsxdSo3Fu%}?j3F0Q~f`zE!VxYq^4hxS%+Hb>7GiZ24f3fuZrR^hfFR+m^zyXv@6Qi7Ax$W1FLcM^+ejiYfqXddcLDdaT7FvyC35`te(oa0R=LUAMhdmvz_QV(^ zQD~Dm9$PC~IfbrB<-@o3R2c&L&u?de=@2`nTgslBO`cuFV(X4w;)Y~@83DoOE;3$i z+Nh=^9yWVSUsucxU&U=ikv!1vEZmWuWKjLiM;g7qHKWmdKJ4LYM^e>cVDi3zc*RkM zZy0mH{r5kis6B)Bx8Z%>JA6SlCsuwi|6%tN@P}M!C6oNYq8P5+-VL&1b?=8tyu6;4 zv+Yz}H0>%1{xQ9o~Q z%Z!(`v+`-an(})$<>w zaqhE7uF6Ny6hmbYr&-li3%vtAMc?->N^rd@MDNa6YVNnIp0I=hTHjGf6f<;$eNpX% zW@a1{gasWLr(<-t+nP6k<2Hp8wa2Eq)?DjQMHpODm#Vqe)J|rc|Iw8}E&F7w1bLw@ zS`zYgG}gIZ=?o!+S{|gkj}j^SZOg$6PFLu5k#^!t_Em&)8w{&BBrs?-UT)( zdSwlkKo`y&#h68l@)vZmjfa)#g)CAn8^L(H(0ULFYFv%sRskN>STI66)y=={yISjb zxW^_AE1J&qD{xmiR3`bQZp0|XaGDmEx@FE(K}yDrb-TX)B)f7+d0S`MtF?GrtO#@1 z(?9=*&WaqC8F{SspTXYFjpYAq=N=BXqsszLl&NAj?Yb4v#_)xwGwLmCp#Vm>cJJnt5M!SOUNr0rCf||Ujz#;eJuMapSs}_a0t{00qaNC1h&U)?_ujh+hjNoY z+h+D)Mc3{+SQ4~eyGqgIo4}>qRl>fqK`BHEQCqXzrn69@nWBBy( zS$$)|b$>wP6E&qv^5y)y|DOC1si_u}IWk4qnG*lx?$7`7)M$%F^@TV2i8qgVA9qhZ zDSGy2Z)*JcOD$e+*wIq&&zC&Zp@7zwK-{*VwTYnKHN*ie40`fW^T{K+>s88Gkqo)P z*IKGcFq>Hx{}koTqp-tx0~wNza^D?jDbWqtPnB2EplnCy{3_OB9RO^w(5|Q8iLe z@KSEPOsfc}4Sv680G9b?7!@7R8h$Vb?p~T({$zWsdExlL$;t;0xYt5^pIk82Jne{h zvMV`Gc6R2&83`ylxK(?9dngh3big+zhUIw+B0G%@@*LzBt6II55;7=t?N#O0r#1Np zX1|^V4_T>0JDV98;mhh7Sm4v+EBwP(G1A8uHZ*hm$*D*L@>2{D5H9!s5kN8s|06F2|3|t4 zUwA=gY6&NON%X?9B7n*D!GVF{^aFHw(Oa{wq~~WPPOgXrLN7H4kT_x;!B7@p&RSs@ z*{m7Qw$*U5_z@QoMTOCZHq-1_ex)F~*bbozCw%$nY?gbRS37N=Ulnq0ymh^opDn5! zXL2}|pIy0F|2(|SF#SYzRe0Ap*H~`Y^6z|?hD0IzbvVJ7@TKqOgFP+m8fH{fnd=0P z;L(kX@G#yt2;*(szlPs%_J%g_3Gc}*V}_J7%rCO5ugzLCI=rFlh*#35hNrctjl)*$ z)`}GHr7pJ=R>Y&SJc>;&{#{Z1>n#}5ADO(0XlXG~^d6bcN{NNMCy1ZBQIooZdWn#! zmyVAYpEs%!+FAnhH&!brZg`+iBVvdFminbnzN`%_W&|HQV*9;wQ%h)THal53D$s02 zAx!A7_w*6rkN^ZzcewXbt*n+-InCjho{w^Rh;7``-z$ZG z#jA9s2Nd5cf0hAsWJZ!2BuB~{^FxN@n6j!%#u8qOy61{Xao|xz9tAN=(8Q=?)$yD7 zp3!72SeqFI zT6D2qrGn_9>_CQ*$nD}Iw$Rr*%!k&xaer$|fAo~(zeUK-- zafkl#9+Yu}V4p-5%w81SB~U3;gJ%#@hXaW#rm`MXa7m_*x*iE-q2O>bPCtwhO}`|N z884AMO4@3lDRZxkz;`WW`iwEVpqZHmPb0N?gI^f`Em`4A7qzo1n7%>vM!L`l{+VEw zng6hJT2lD^`wvOPpJqQtBhcc6aS~-~T($7%J>g>+pAxR-2%Z@xFX<-e96mcoiFKM< z2*`sEP%)3mI59e8%-OC?GAcB0ywmHe20UVeY(MW#!h7zrCj4EQ91B#b2PV5&x zSS4W2=s#m=8;ZWXV>XWnA#(?9Nf5-)8b_%~{r~j0TzW1F*b;K87<+1kxIm3TpEG#< z_rBC5>t8oY{qODY(QKpP)_Yq75Kf@c?w<#8OYxqB@y;Z8n>j;Sikp;XlhfD~Vp*He zwDw+^5I8ppSv6dAu{97XeLh3TsZ}L?z{4Gq_rQZ4sgial!^P~i#|mK@!>=1e%f*J# z_Dk|XeIS6(00MXW3RLpSbqkH*SHHr0-u+6&$oQw$$ONO~cR#)5LY)p&b9E!s@gG8c zK2D8l*vt>(EbmyB0uv{jj_SG(J^0YsZX>uz{kJ2TH0$sG{Dhj?*LBbdHEKA&@@ zHEmjSXkAR~Se?jI9A+M6t?|4jwt3zelbpZr!~}n0xLK2uIV}uNK592E4DhPfJ|_Z( z4~u!d)N|V4o4=ZQ_H$jAI{42VcaCUZ z^l%P+5h}zyJdpf#uANYXs~eiLsR@v5bLgTFjMPmzeIhw@(Eo%;t`qJ;9KjRX=3#Xa zCv}KEh!}+vK&MP_Qy{&UYs?ZO16#jJG_>^4*TLY*fv#W4KG28E&VfBNTfvGhd*0>a zR$(mOz!q+sPqTLbFPFR~`uCmKFzoR;v^2TApaZXjh?{M6)!Eu;9-iM0UMrxGg6ZVd{H~{CPX0?@Dsn7+{S@kaPm`fxP^ zgx}K~{D)9JrLD|S;-YnLWs>N%!w)yCJ~7vq5#GYGRO9+{h6Wqz?6g{DWay8%g%eh%UXy3>hz}Y%ww4X{rO*-L`+_mr2opAD`;Ko zlOnK*8$R9SzTYY4Yp$hsXQ#eW-<0yR+!S_cbK^bA0;gwvI3L%j5kiy%X=gpbPgIq% zsT-}!zGUQKqTC?`hgUeGV`AZ9VPN~mtE*MHeV!gvZGqNNt#;OK+;*OxRBiF(tktk?CdEPRWvX(XAI)vr zX=A8w+o}+TErT3p=%oQiw|wF-GB6I~;*V%OAY$(V0Bwy6)-7ymrgws-cV4G=+NO8b#MnF}*ultx!m4i5UF&YmUF+sJY+dB^+Io7!%q+5h zJKC%Pr}gdOc`$~`6t_(9rd`qSsDI0P*)NG@gzS584m#Khd3f~lw7twB4vxUb;PZHU zg5BPN5{F*b`Z(Y`+0dwc+t(w0rB!<1xYlnu?Tm4(_>rA!fM-`){1Dmy5z9Y>$`7G| z%wfz$8)y?5EPatoCu+1z&O4lJS# zKbcxGyy!Mwe~nhW?&7Xc)m=fJiY(N&@(bvfOkgD7Hyrr6NWzXGTJnw|=?_?bAo2s{ z9~l3@x!C3jl9wf8ZCC`JtV_bu@<9w%zktjSpT*r9Qoo?2`p2UTqhEmD{^NoDiApiS znUKB$U^YC2_$EAN9%NRY6SJvpi`&*uC+wVTE%Zo;+_YW}yLa$U8B%?nDnd$I!`lA9 zH$ek*=U^F#Z5@*UR`O_a9&@Zy%|D^70gUY4HWVuYdW|X#W&Nitogkjxc)fcM6O-ZFO(m=bSagB_pRv1Q&)E z_5+Z`*&5syh&VH=C8{A(iXcY z1*ySvR`g4O52*Od?5$=zsxLMbohvMR|Gvd9>JI%7b)ckWo2HhwNgq=!v(PVM$IxiI+>W z%SETYxjGF{WuAmC>~9i3R?7?X%dG~3;WEPUOb78 z>C3b&9>HgZvf&6y`KIM0Mg>lD#;Vg{C56kUE8?b@qU0v6j_P!%vdDVXirRXs5gH1K zX9UiK?hHBX=UfmN+~-d>rTf>Z9An(3+_YaOoKSks|et@^)W_HF`?|9O-|3Gb<~ z%}miegGgrT4-(NZAem6ssP6g{LHy(PK!f9YlPv8f+UqTtsSoZipe>anKy|eovk>&C zgLdvFEML_ZVCyMdJ=tjPiUW7zck~8qjV^%lJL&9ZvKDC$!o@*@CGBH5-;`4az0 z4ygPIz*BM;Ll6UEx?=NXl~CSegx!Ud9ewogm7rk8l$%1dACUfl@yx{HiPZ%E+;tx`qDe$)+tq>+_ucr)3rI8vcOOpX zSv92>0dVG79i`Udw%;*gLIrb1ZB!z9;7YSrZI(8oO0!OFn)$<=;d$@&ucOQF;L)k2 zcX348*WA;Jogckv;ox~cOLB<|UY40Imte4(wQ z8ZdVH~Ue9xsZhuTc-D2bok!8 zgII!0mGY+}dSd!D_khExGh1DiSBWZIyrA@$=SynzMD(grOZy{`pe99up>k!lrTLs4 z+1ycer53dG186iCM#uC8@AkQvN2FqE-oBs#+I|zdE~sN7H!V{`o2BO$SU)+m3Y1M{ z%Q^P&#={b^h)3g1bz--lFReEqxRiX7Wfk zY$`2y68J^`Q`csMAXBn|Xho>F+}zln$%+y8Q{sHO0DX?Cq}mGrviGjl4sGm;pxWw} zY&ig=F0eHX5S)W=`}^E$wUg~AHLgFH^*wX@r7CEK50Np!FR%KVW`pH8E!8QgLQ`pp zULgvfis6#)17^7=;HPs#Wqyfmp&6_W?MXzEOoeqHH$Wbnlfa8Z--j3VHm>Xp$Ni(( z=A_@)*5D#KR)a3W1d*0oB|A8edUTlfM;7LR{L5^_jbTP&Up7M4KeWoyGuGqBQisE! znnF~!2#7yRp^30nkR}LuxB?!bED`!n?Ls$rGG*4+1HV#rGLKL~yzg7-eJ{hbnRfEt zl5_vWJHstoI((G%*|feV6Fu>OH#FybM&seD(`Arq7g^gqpA`r6HM>GC4^}MPDG*-V zqK+QflLah^e|w=i<>Z?8*SNZ_lxcf^FcLj{=#1*?jOk{D2KHR#W&5yL67fRquXVLy zHP1yiR<7{CSUHqFd#!g1dR%Ike|m5nVPjK-EwE@F*F_K<;X;=vWa<8;_`6I?EM&+< z!sV@$M3p@$*QZ`3AIfd=NLAI#%||rHp+s5V*a)ATj7=P%S@7KKJbA+IvU_f2wIb>1 zJLQCam0GInhJDH=EMf_JnwH@R+vp$Jq&A_(dP3(Efd{-y?LJ2P7}>mKOeGWMjkL;1 z$CQR~F37;@o@=Dy9|H}%lWda&8L z^H30{Rt=ZTg`x7E^dl@rh2QC1xRi)V*BCKKy9U|{2S3kdAxPU6D6sz6RNV=}n7)xKbp&C_CM(9$jzrt?OVtiK*kJlbqra&NZxL9QfMsw79$={lSNu>Y)bYP8 z%I(2yCf}VpH8{z30li@>6piZ!J|&99<$vR5;EsIoFnZH0v`IX5i1p5qgeUMykhI}@ zGcM%)rLmyR8&FBqcTX@F!`BJI-GOHmBl3a2M@HxkbXymCE$RufXG5eN^&-Dh#tL8T zW`ehBoE^je#_L*ti#6)t6UMagB&ch~Nt4Pvh$mdh^$;if}uE2#?K&%n=1mEKsbRld; z_=cjdUNZijM@hRwh_owG*mjhZyFyXu6B*V0YOxyPZfCTsa&yu!xe%O*b=o0o7JZL% zD%k_-?wx=UiItoa#&j&3VYpx`DCIkxVgA|b;K|g7>bVxPa*e)1O~prL2(Mb{cr!E! z)m0n9J@LRlJCTpXpxT|fCR{Q{_VUXN%3vpB<#O@v{aAC-_78`Akf`Zp-zT;7PO3bA zfXP<=F8h|EtOxm|kCeVCB}sx~G%xDlbkL-&WLfUQV`DYw>hCw-dO937V8La`vt4if z#)KG*tm=D{oQhr?PE1Z%JSo^T)rSI8(eO;NS* z%LEPy%^OmTXGOP8v<<2Xc!>zgEy5J78@$e&oJchewuOFp<(v`^!c3I|O_yvAF*`bH zq}wHz715}=cbIXUGtP%>51IsLZ1%=l78p^F^2=#9O+77;%CvF3H|Me)76}~D2Zo-^ zRJ!+=@Q5FNYcu{8NT0@1v(@$YNmrZfDuJ+#ul2sFVw6& z&z`rds(pcv+<=57b3{Kil;T#r785Cj_36j+MKWlKbxxGN;G)j|Pw;=Oyx4?jJMF!0 z-hY`wI#w2ie_c4!LUtwlTgl`6|1*B8dO|-4g4qy;t-Hd;tW4)OqZc1D>18qfk0D(5 zRBZ>O^nu1I`bzN2nYxB)D}0bQc$O9{t42hH4b?_)4^QC4lYVtOWVVFwy941Zg6|uE z;127!<>^*I5FnPq!1|t4sN{h1_m9f|oWp$F<)Yvb+e! zie5$-`8#9cWii5$B_8WB(*nFTJedx2S0>t zmcXKoeozV5NGx2{@ea8H3AsNCm|n**@mSSq`Q@vWIf_m(aV>%D$?}xHJ04VpxKoxe zQySB`U)*Ib%NLw2bF3c^COrN5!Is@3B~1oBQ1j0o+|SrzoQIbF9&$TncBQp)99EV@ z%TFywy$f}yW2ZT%+Gsar#xO1xV|J9Bd#qogb$KW2IOmo z?s5!I)`@;VvmJdrCFXKrl<*q9j6oP9>>V6f-V2DQ!G>)b)#JB_}j6XCoKD0tQ^i0$xoZs{kNUb#MZ={iD(=UUryz@+00A>FLlA++^n;?aU z1v6p;IeQ%>_tba!>Wh+-m|jKhWmdIBAt=%L&xlTN3O7W*_tGWihMru{v@c5WH;;F| z6uM^-n2t&AhsI&jHABzWDwZ1%b#`x_PQ|lI<#eU%!9RLwc4eg#e!^`_l)E+aw#1pk z^ELsSBCTp>^;fRa(0|N9pQE4qTpwsNV%Wd*8@!7Rw@P)|j>aWia?3<7VpPj5N!#r; z!`b=GY9>^(*e_Km2VeC`671lcEz6WAj_xkE+zS$ClChf1>r|@_D_-p@WM}T7QMYXI z9tv+QffqVV%oi9fnq^p(BSPlcf*)rCB%9`~S179lI+m(g)R&wKlq{P7Yg_tdi&V@O zo&bNcS_+29jm1EM@t$N3UK#TO5K?UNeh)uUy-so>n3yCT<30OT9fl2{W2hx zniZ@e&#uuGS67f>O_CJK6pAQg`fYP1_lTf>9jfHY5li;TcoR3j z?}qmV{VG3v%%3N#*C(GS_kW?Pw;6Y(&NMePF}OFiBvT$rSh<^5uTm{(XwyGsV|Fz< z&qZfFu19MUV9oSwSSQ*iSyrk3U7GE>v}~3$Wu-jyEYcojfsT%QX!A{AGx5dgFi|$? z{(ASqnE@K_qW7qpe!%W#Smbr5JT&gg9`Ov^Do6Qu=nPwq8d0_7$*f_@^htdTga6cg z0PU_1?n9|kt_*#tNdOK`tKvTKYRsN-P2G6@C{L|&L%Th_YDc=Ix>9Xi(I%3oPNj7J zOA>hPU)Nf7OZ5tz8%rL&^5+^`+>4#ifFm`d`KSqU8PMa@?$tgqrTBVGh~6Wm8tDB=@!r|M$eRE~QEd zqsUZ>SK*1_X_nL3sf$@r`wmG5h+q6NBOiIq1qbJwx!7e zD1Smb3*y)s(5X7g+9Z(*T)qFmEZq4N@|5&q>5SY;u1mI9QxSgm?iUei+)e$QGmqRd=ao+;Yg0tkFcI)J*|L#@bAY45 zqSGdR)&QUX)~E5E(I5Sh(07-dgHmgu2~c%*k^BaD@k3%n_%MX>M9-&ibx=o~dE7O6 zg_q^h*clx?1>H9SZh~apWN3>3K&Bo*4k z$uzEXf}aEvrD&bZy-cM=AJV`aJ6?-OAo;}YM z0Hw}TC6iYw+N97=eJX>VkM+@S(U-(Ctz2{0`eqUtW>WaCHD<@y!4)F zNq($;W4a`97{^$4M$SGrw!G5DvSiH*M;g$JMrqv7pBg8rk?H80?vieg1V-N70|}Lz zHz*^@Xp|GVmovfV7|g60>$+DZwr+CHdNp;G0Q-OE$|XZ5`)t{AR)$#JRLdc@04G2< zn_EQRy^k=KcI~&>%OGfaq5q9=M`Tx4viLxuGvZhLTOcMmHdZF^d|mvT&>3<+$f>K? zu^5LxNoU^|GLPPMPw))#X7bv*MAm)d^K1Y4&}}4TgoU7Hm-WQOXWtFWV3HH1OwBVw zb;HdU>J#G%Z^y+uWo&b994b}AbHV~c&lK7W&F=oS8pe~Ht6J;NcJ*;rx1Ygl!ccmm zHsN|B8T9#gJ1%xA`;jd5ts$11KttxTMWG$564MAK$ZFuIgmVHd~v24tssF(^y&nd4yo_P*zq^*{=G zqt}sxS=PJ!2J@Qrgk?wOpLORBD$krg8sr$YUzS#Y`@~s;YU|HKpTXDtX)8398Jdoa zY9!MDZrp6t_22iZuQRgQ%~K)hkZZy{n05X=K^`Yj+sXXVpb1gIl+6|Yf z&lNl(T2HMyx4R3S*_6GvQES0iGG;QFjB6)9mikPm%eTHSnTcZ?yr+y!mmK&9%FFZQ z5I~MYJvVI%=sm=F$6@i??jij1S5D2RRcaGk7!$Kk#4YNvAYVmC4Hig$Kc{n-!5>D26G9n>cq)pdK0sex@xa^*-2)vIRezydFL9Wace$W3sri|SGc-NAs|Fr%N z1o*V2&^G&|UNo%U9Zzg8`K<2r;Hjg0I~~$Se^0IO6u(t}J$0FUci31z-QH;tr_AO< zbg~)H6Qr+V@MkhdRS`~)oP`4MS5nnM+;$d6Lzud=|HH^^zR8M$fg_L&qOH-lMeu7* zMTN$nu5(+DY-*$wKJ62zkQl^No00qc>+R3LaGFeo5~pB9@G!eQfJ}2JB<0N3KPlKm zlG`pQOFQidA(Nty<8OYshoq>1{r8x3IeR^$6<)6>r_5c#9$a$hD`5}0;xBZSst{jg+xQ+K2r`w91gTK}I~8dnI!AfL zBiJuE0^d;L*qk9=R1hvz-7@*Outg&I@Bzmn!vlo&6R(Cuk{ASIn5Mrv8{<2F$Y+xm zEPW#oT=XgOBZX0>h-$ZZ#Fs+YBFvU(B{e=22+~h|Btioq9G!EiJx{R$6ok8!7YS~v zoMGVjo0bVG>w#$mx?OLW{tJwdp?>QwT=zf|3tnU-3-~c-F!Bujqyx;AoNj(2&Un{`p(1=^V4+4v1=l@#+NHT(2p>I1bd;n}$2 zr+hQ_1>2Y(^CUd`k7pg7kMi9#2fS*pzzeQX8}G6qSIMh!#`o!`Y74nqrv+WV8!J2; zRRWxYVxH7zNj)2}_yI=+pP;4*JnJ6(fP;cA@Wv^gb=X|1+qo}{M)VicZ?N@Op7jp? zj$Tm@bW;tlMjx`iQyXbp8wp|L`u&XSELtx?mbBescN;yKSj~4kkfnA*e=x1Qw7C?INxM zsz#Fr`7@8nI>%(##$+JEnF+V_guqgRkulTfsz(&&KK_K4h%#0%BSV$x9yB_OBihCd zRJ=8OreEC>*)p%1P1qC#Vf#1MMIeA(khvkUo$KZWX5dVMd6xF=-fH%&-b8~~l#CBR z0|!LRn%(P>l#J`SX0fEP1!`xMNVvMLIgT~6*q&LAnPbXUYVa;?GW0U>L0|{ags;AT z3>e4_OXN0yjvOR-bAvpILG}wx4>lt_siLH)LkuND#rW>0qe5q5(qp|wO{}~M@9UzB zP{*X8*+UpdkO-Z~drxz#g4Lkl1oo=+01?)9gH=Orh+2s=< zQ+Nd2WE+deapyt}g!V6aB_ujTSO9{r92G7Tg0Q)-H?p`)c(_N)6eSrki9xf9RmJyn z0~_my0j+`#&JiMrz;B#H9Xd2%1X70{|G}PM@FrIrKGTW$)BhuUoA$GxVTxatP>kzHL962#3 zpV+p^g*U$?bX(k0P5$1iu{GfOAg!b6fl5MuM|rqIi0v=J!K3r$)|6fkNGzRNGrgi+!ePn9%o>~|&M5}f@JffXd^F>zUHV_5D_#2eS;{a8whSDS zT{xFg3LeHf`wzA5-93iiMP~UiqgQx??95uzBxn_6x@+U1R!YJ4 zS`3f{?M)ra<>h~2hpvq8i_beMO0yxB37z%@$X_>viMkPs+)-4-tA?HFy|{**$@Qx$ zlEe-EBMeJ2N4oSFQYB%ij_RswKGxj`^>)0ff-@-Mt4mhN6)Hd0S1Q4SdmcHPVh4>S zPF1am_k_|V6HvV;Azcg~l*h*FJ$rTBjU*Ra0qv0sh28Gs-4XTQWj|`@+a`oS5_=lS1qP|r9 zpis`Ypb|%Kid2>VJ?d8~Ln-}RY z<)ldK@R}f*m;r1Xv~Y~AfLng6p0rm3-(3Rh8Uu3q#~Z`2QFe~= zBFUWKO?R3~gY)Ir2t^)Rf`o*6*VG zqUKx7?0+uhnx$l{bs`~rFwC`gj!zyCpP0)&8!{R;Vc3Ub*i+!&!n)I^L(Ar7toV}- zkc1B4yR4#rhpr<6>!rb@5W?-}k0V~Q2pR*0*~}&xld52)X<8WHsS_ddfZ3SdUQfjq z9Ed%>76zp=a6v)@WG(>jzNogCt#T?h@k|QW)+J_279#=AweEPik{aD$awCJ;956aE zZpoPI`>*h&gcbJEI9YDWe`mzKe&D^9b(KuPVg!K_1Xs|K;Y+~ zB)GENfw@`%hp_p*y~;{Y-{@9rqHpV2I>Q-Yre68$4RZAPQ6|0b6Q>UMB=AvJv~tBM zPFBhB_HxmaFJ2MjpJIh>+n?D)5`4Nk8aAXDn zvhgS}{>Xwbnfow{l=TMF8Y3M~V;ZQ<=gVsG+$eq1*rYon{;k3o8a_ulnJv1o3F`E9 zWB;!#<<)hwAZWSWKY%^K0;ZRPmsyO|eo}CvsL%fC3Q4E(lY@_Ywxo(%IK` zTY7U0k@JYDSP7somu(!8!6IY&1rgGYDM{nWSZ)z^THyXh1;?Plhvz7G_ai212NJr@ z=~07i#0w>n=>QerQSb0IdiTHhw^=s~_(&HM=5JcmL&F#3U7ZHSE9q(7a0qp*xfk=S zT%O&h9gfD{QD0kP-KCA^r)N7fmM+O(PJ^AdmqKZ0LK@-M*O> znzX!eWUN7+j76S`HAyAlHXfy5JRoK~_`jz?ImVqN;{g-nfg|5d1iMk&^rT@IMm2B@i=9AwUORY(!Uj1@+Lygc{p^{Ku8ljP>=1aEpF^l zWbk}S|EnLyEdb-rnDL-yDz=AFaLXw8V;$xd7XM|)@h-rawC7jgF{Z5{D;p1{*XTFr z4%^&uJvR~7VoOTwb8v`n|2lG)?l&&bhrZ(%XgI8N)6A$LFM?+KGJz|zqk}_l?cpF@ zD@iv>qqx6D96}2cTj;bRAqj4B?80vEE_!QW?&&wg-$|F>ih^A8lLvBfl3xni@E%ME z#ab65#J$*YK=-X+sUu{)Tm-;JVUTp9q`la`B$4pkvN4@aQY%oFAZ48F|YLN_q-+om(cb74^dpMvMX zzro!}gO^7nGr5h8RK&INrQRhO6Bd4ypGH8NRNm?`(+iSq+a!cSDD`enwAW-T%wrtv zxdGEQ1=F?((>4Iph8+7ccMHjwAd^nul17ljAjktN*4dmx&-jXs&jn;Jeebp*G~u~5 zdCof-+X1{AHQ(XFR6_Ifqsog%{4>yST643Bu?hASaKqq!T|PHbrTr^&kph=Mf^@FrzF1w1nTEfxVE82A#0(pfqEa~zi#=tX6V*fxlo;$ z*FjW+Thf?aJ-~!IioGnr2Ich`(qcf7u47w*h?wY2_R3jB*TuG>Db_5V`wycR)vTxc zIV@WnUm%}H3cl)l@g=pGcVx zWgjr>x=@j0y}!*|_CZQ(J{&&kWWHixKeK36JXu5d;xJ+bFWbzHW*=zNMPG-j5$yr* zQ$sn8!o<}tD;4<}riwS=+KBK`rA!Qo*~^(~xvfoWF)B!nI|U3|aF*)rY1$fNn%%Xx z(l>Zu%fU|YpTJH|y7s}iH zEgLh;GRI1I1@UK%DU#9e_*ryj=Ng!!kcjE(nwij=iEztAXag*;&)6mPzkYTBMcUr+ zn3TL?7VI_(-hhWtvcogyA4c{L)#Ta}<(svBwLJK`wjm}y;+PCD9=)E74VjGf@*ULh z@nJ%Ca-30#`QsTR!xA(hC#ej?PugZWPz46&pmmcgb;~vh#-<4cN#C`1OU}nuxnC{J zMVbz49)9xz5lS+Fczer5V>E-c$-X&{CKTHkc4L^^3Sl*j!#ZGaUb3UQ^*&04GHwf1 zn~BJ3^XE_YxKhP0C4Bv;Q#HhOvzKm&&_n%Y5CMgx+RhF|n{u7{F;P5vI3T1etnejW zHUW!S_p*PQHLyVrb%}|RN13*P(4(2qfqhFMQIaBrsiv10QNE_-k!b{2Q?d7x2%Zef zv%Cq2l1vNM(Y~gz>-vfJAVhnfM0@@a!c6-%NwK?9aUCA$ApLS-oKL@59{07A`)(1d z{$^*%b#M6b?3DP%6uL^Y3^TfIbLt8;0sxRWgwg0LeWST5}2vo;Yg=d3I zOm!*N-((3dT?EOrg{*BD#r1{k4IreWcrNq67Ktf&(XwnpU7SYobJJOKH@!>Uldan+Af#+rt=LI6} zro4{O%`da(#VD2Eb>eeN~AIm zIe36f;ut$!N%kHg_YOV}kVS=dM25-$>)mw9WDw-g3-VY5drrf={w&NWf2g;tN3alO zmM(iNN;1h-XJarO#vnUigS-0b#P= zNKy5g3ZCScMbwogeWEbd_2`E?s17#cm56pxh_{&dt^^i_5b(FRdGlp;b0=7vI<&9C zI?J9Ja(jTN&}RvuhrgHbVnPp}n0UY-4gwE-Yg(yKZt{AL2=JV6BD|cBRy%tgyPP6} zE>WS^z`#-a)qXzPFt2`c(_SKjpCW_sQK5Jkh`cO>-uglxi=i)Rh_}9ow+=*m=puu( zesm94HIb6uRze@cp{}!)qc%~JxD15eHbNhLp{w9Oi-*ZBvD(Kh7rJ100GEnt!e!d( zN!RbX?XK$SgiKN)0Es9Ez1{`3;< zON(xW%S%r6jwbz?vX$!sUxgHx9%T(KZ(o7t4%WM)cv=x`Pt{VA0V6~Nsp%y|1c}>G z!=D-28ag=x%&+tN8hw_y3-2=Odd$g~e+E2|W%%&smhrdf`^axk6B*{BVWL$vnxhMd z&6FUUnU7 zmF0tfNt*0BREOnlmP_0LAJQ9{Uq0*58P+HUI8! zl#5~(=S3|}$;O!4jh^Z-KT*<#&y32LUKu~lEw^6>HmHnf4~Cm7q;8emd@9E|!#=rE zSI#T!v(S>4?I^1D=(x;^?@Ha}9Pbt;B;9Z_h`I5+uKj%~)pncJZq+aTDAX7n4<$D( zzUZ{rM7LyzKkZwu|7sbCVE(cJ`8GSipiC=%0onP6bVU{2Z85h;`TY(oFsc)={v$x{3AI?-L%D+3*`=$V$7H;Hv#KZ*G6@K%7lr}d31GK_L%!m-O0LxUDt!XO*zPxL!z zR~K46eB-3zmf!X9>-xtxC=k&1w<~a=ikT&<5=!@g_EUXLQ?4?UFk0MvkkMAB+qIM% z<9%vc$l@OnBg#}12$p0pVF3hsQh&b0Kd_|g>AAzDpNXxh5h0t3rv+NDlNdv0Z8aABjqqt$}k6m9&s5hGgDVP=3>_ERP^oA zM*K|EeRT2rUm^hPJh*;gL_6VL&c`V z8je%0KVCIFB`!w`ZJYQ)k(yffFo3i5GjaWF!ohZjX=QooNEl|%(NR+7CGodn^D?u| zzRVMTt5(zEtM%swAJV_{ewfM%ZuGpzuff7@#&S%sj44HHJ7p*nCm}s%NIq}{tc6rd z#FPj!gWSQ1Q)T%UCP5eaF&R{c9H!&rTlPfEo8Sr~ zM};W*XC!d5r=)uyxO{0bYDHG7p(fv~C4I!M?-QSFm!!pfUnlO7XQW@y*XRZiKCkN? z)Qe$ri>tJVtT*CI3iOoDa_0p9PQ6^+VUeU@@_qwzhLpZaIKdX|Q8kTKjx8!xk?q)x zT^L)AKyyJ@0602=6$&fO91+Qh8xY;F3O*L?n@${d+$njAj>(~6YqGhKdQYS%pHcP} z+iy7>|H#0O=a)N1azSU|<3*#2o<3)AQcm+hjMvHzkN$PBBQE~9+ccAeg(&fF&!0pu zBKC4sFA(34>%&2pM+?OUmj6D~0YUg00m=JPBib#M?#fY!60oK=T)Ycu>4XjI%L`0y zY8vV`M$cbU$t-Al84;M!+=mh58#qfWs&TP3>K+MUM#L?khzMR# zco9Oj&T(!mP3pgMN)(LL+*Dgg{F0VfR;{ZhxRi$)yn|DPj9wx&4rIYgzmCL7GxL%@)_*m#|C^*hoRxr;9))n zi) zH{wIObAE-W`yy0p8y>#QLqKbVo_^Hfg;24Qz?ZX(&8~Bi(9k zMjQ(3(}ln@Qbu@5fm%I9DZQ1WKYl`8q(^uqiV8hy$T;yTy(`7V8IT)DjVncPc~UZ5 znon&1yEjdU;`po^5n*yX-$MEwdhQCoWOXiNlZ+q<{Ka~qcnx4iV@3H{L{OT-_sL`e1pEvX?^D0Jq;)&OBV(vK zj#|%mPX@s=gT(XnuH7-`5g0FlSvzfL3{)0#kv-n9{sv^^@s9PBEH6KJz=n;O>Q4<` zbyO?O6$OLBE(xXz_qWeNN1^zZvu zKwh3dH(Nt-c>6Z*nK>EISkcvN%To<2-0PTjyN*Mm^5wD!s!v6yR G0RR6#hjg+4 literal 17391 zcma&Nb8sh5^fnsX+}Oz`8{4*R^Ap?L*x1;{#mUGiOjiKtQVCLBC7q??d<-)y6wUyT`g@)iJ4iLi5b}$ zn3x%u8JSp!Rm_~+EKSUa6^%@+iJ6F1q=_}mjETieEnU7_4v~O;n*jkK=KEg&qJZ+h z@k-=>qX+1@FKm{cSo)P*uig(VAqSKUwONvlk4M+Og@2dih+V;#@*v>s?je#3u>WEM z3^Rn3(v8G7#B;mT9kU#9er!N;U|~LS*kc{XkkX%&5!38N21spv8I+!8aa(5tW#<27 zy>2>JoW6ZNENK|{s+CT6dp})ncGQ}oX`spg{77jV3n=EU=#UD z#?)|%EuDEp+J@H}ge3X`@QnL!o!Q%BwBBg87_0}4nC!HyL46xv;ccQ$v#vDBWY}VK z=!_LxO+e4H)v{E*`JelCboX11#me?W6i2AXyRl(L7bqjkD#E) zUcDf5N--=~V2Lt0oQ50~B2S3cd=gqw9h~&ya^!ibW*3YiWfU zc%d_dgk0`vhq(z{NvQqyO6GNYWF)QD)>V#{6>Dn1<|?Qjd&RLxgZ((l9XD_Z(Eb`hZ-~rnnJ?^qu*QG7?8^k|@}(8`3`!F7 zF=qGijT^>$WyptDRUS;hk_q*XzrgL>WhU9T7cc#r0b?;T{bHOm%b}UZS`S6@qw zM15~Kk*@i8ZQ>?DtKW-YcMSpS*i#DQ{YlGDo3aeii>lK9(SIS8;ft^&>Hd%2{|E6$ zYsV-nHESlNG6f^~f9!dWgJmr|w7+`}=|sBdQI)85FK9N+5&h!g=8G=5dm6cSY94d4 z1Ad-Vcy~-?zp9izcWri)KQM@AzB7NkkbAiXYT3MGp9tF|@fS(l?2Xf5#W{Wae*O2f zkDv3x^|NQK$vZ`Iiz}xO9aI4Pg2ZOB#K?$N(0kg<%a9e@-H|e%tSJnIRCG$7*b)yJ3UynhR|lUm7u`+{hw`|2&i_3p ze<6?TK&Q8z8MSkk=wuv8RakI#xVi;|L2(Hq~i95l67ba4`0C_bgUll&(e-m{?|g(Qqej* zRp)G`gTK&pn}0-4EyT!Zr!Echf%y>Ra*AB5Y%2kc0YltJ^-8I=PTYD5nd=3nf0?5T z1OH%R{lc9nXEoug@=#(8F`%idzS>%h@G3HLq|NhjbSx@W{=d7h*Cft{e~R&wZj$|< zg&(TxmC?|Ty#w(%y8``* zwf%PGmnl@Lobu=hIkcCGUKaO`6+)^K`>6&kcFX|@W1tyB=3>G_<^tcGuq_X>@Po>b z))5U#TL7joCy+Dj=q3q)0}AsCk3XvS{pvZ)p@e|TC**F#AYg;>o$t>l8nwi~U4~p% zf$dukY2Rh{GGy=E*!~%+Yiso9jgTRQ2*C|n@4#k@j2{PdjU&dmM9wG%nSwpx3<}p3 z==a2XFBEWmpXjrz{62AFqeA>5>5YhIygz(O{$Wg3u$~!fpOVfmUJz5qxhijOlbG|A z!M{ujz+0a7-7coOR@@uyP`CA@y4>a$=BZqgFw5a2N%1Z`YlaOq*H#ss@rNx074X)< z6c7@X+xZ47@!P$(D7b!EU5%+r20dS zaPL`+eJG)B=s7;QU)p%fy=SM8TqUQ9rKd=g7o2zdqd6|G)gLR-hM%K+#|I)DVR}vo z@A(l0;x%ySoxxBs3Hi+l`JtEyNFa*0uucQ$Nas{hWN*UK+k1gP;n^%;)p0k(*FmZD zKMeaxrztA{GQ@>5uzy(3#o3S1RqR)6DN@Ulp&(g56Y5Gln;g1(m${b zvK1TV{)uM5Bzy`$Rv3rOrnYZOf=--Vpid$W8shhw-~DA*PZYJ(wRpLW9ThQh39SZG zcX$OYaJ5~xuk7{esgs-GNgh42zI}aSM@y0hcS@=XYsr(q)rY|FG_TjOW6?aE$z~RR z-!w3xOaI>Ce#Nibl*`hIb+qZHk(1qzJCiP?G}C$L{UN)sA%BVa^2&Q0i=d$*u&vH^ zSg{3MxhP!!t@%u(t3T~(mBba^wU;Y@5ykrX{_#XKt!v+6aNN<*nyEeQQ!StB{C1-A z`M8#w)%cbwfzG|1{ji}r?*5pNe>c!=PV^Q&d1*lS+u7)#GfND8Pw(9=A_*V!uheWz4 z@J*-#zn%srCmVL0{IJ6K3-zE*Y_kBjr1N4x$^EE$vx8yC5~e84Ik0SI+;l5r%m>c4 zRF?{3{nCNi4X;)`bP_x0oNgqG!qksKwL~wr-Q&S!Vw3Ra@p5lcriog0k|FR3VnzU} z`{aDT>vL~q$N?U|j+ney50MfnV#W@4+!OS%bvdD7vj0qH7_uh! zjDXs`8vGi|>Q${xQ_QiLu_A;_Ma&%;oM`hsZz_A#LpajD7C^+S9M$bam%^l_Y!z=O z=$uGH$3&b#RJIL@`tsKk^~Hi&%4XcR%XQlVkqnk3c=jyL8EBpkq zupLV#w(T)M1h~JOoCh2yI8izGLxAjv0u?gM5Z_d7V@#}Dfef_!(uzLs3YT-(G3(uo zv@Nj>RP^}E;!(%qkJE87rbcE<7<+YzGSi28aUtZpCn14CB)}W^{CtBt zID#Bc+&%C)<+|F_X8JKPCjM+uf9bk2=C$&h>P#0pr_!FlqrUn-V)>iU{3Z;(2|L%F zxvudb=0me!=OvOy&Lg42-bA3Dq=KD0=>J>dnt5a|Af~T;GYjgxG*&gs`+QTVG$$eJ zY>bp?V^T3D{G=kyQmKaKGyfQK2?d)xjNo{1n=GKRsiULJ2DrJU&>6y16@D|DyLR@d#k4(l{qmD)3Ux ziT-H!xBYTJTVr*((%e2Z1>o9S8G7iUjV)^Dc*BFtVK+ceju;J{oxwVxJXO{CmZXqXtc1=LF)=3G^rXR_d)^wI>>OP z#NuvD94#&twrfUrphrzBtZVsG))0c0oFCzX7UKzZjsIM>hJ>$)e~{_8#lXa!iXexl z5c9aNZ`5GQZf^yUX-I~UZIH_b=0c3`@-40OI93vg%vM58F|jfJL7@u<=i_hZj&J}H z@{L^xmCBH6{y^T*MXRk6vnQpqp?#t?Uszi;?b>TIO%rWZ3DAJ!q3MMWCcxZr?TdxG zb~2QJ^uVkw1nYcWQ3BP!J1YZWcyLt>(0KlXwF4XJEWz`Wah)X?HLVteVZi~rgWeId zd{A+O0*XvVx1#DU55*uV0mrt9s(DIQ-VmXNeCp0dB=`Gmr5o(mpd5bdW1)InN?`dC zu52T*uti9e2qE$q%Hw9!U)KJ&q8IZ5B!YlSs zFlr6b9i%FjvsU?=JVTLelw+`Eima-BSx{11vsC>ZRztsdbonzcp**qPY^xy`i~+^4 zOhan}bVLd%_BM2E4ZLblv>W6mcrpy_+b3lI_ivn!rl7@jpyU!1otk4~WV4Xb;Hj1& zz4X#34tF9pCB{2^pyy?h(4w>SH9NQaZtQ4KBQdxCHx7Idw>#`2F=bD{shKjNiefWt zkSs&NQJO?KqUg0dNF4mlBX0NEjjh*+j3*@NaN0%Mz^IaOoO>N&bL(zx6%PsK&$_} z=m1IPPPl}E>i~t;Dmv}aeU7ViUPJkH2$FPOPq~AfGb~|3xOm}&lU8&;Qe+;`Y3(vL zGVjc(O*F3`*o{OO<5gZx2BjjyLBsFK(}Cl@kWa{9)@{X^br z{?w+4N;3zaS@c?YK9+Yl%~!BSh?b7-4}GVVK>i-6=m5MTlyd`zrruWP58OgNo^j7Z zv0u!`oX)@dwQ2H=n^TLW%acBJL%_7Y&(z9+bdd1Rftuzgtfe34Ct)r~3n{rf{d3N{ zENS||cL|--EREn${L&W81ZH*8eYQhT{`q;@^%u$II+5h|wVhhtSb^Cc4R+MN!E4u} z%9t$}$B_TgrPWq-h04bL|N64hGj*7zu+dJ+tR}3Xc8g9CL9vbN2=KhU+n#@5Yc|zd z5Vc8#UC69aOfLDK}{63C?ta(^{SYi`9^i_+)w`i(VU83J)P@ z%{yJhnu$q6?nYjlnKN~+zMF`hKMVWm9j>0TBJ^Q)oFPCjynR(5Z>wZa&=}yF;Sy6n z@G&c;qp8z*Z}n%1`s*3Sdn2s9ts}ATwCJlltX@~Ste&^>OqD_4a}b8_N=)zk7fz)~ z!gZ?N<;!s&`}=vMzmGq3^IU9gCElY5koKut0oc;{v?RUyG590e@xX5Zm2}PuNl}oZ^S2Ab@tCGu42RQ^(;PIehEH8d@VezUB;-zT~aL zV}762FseCX?Ms?cLUZ*%KrgFWyLf1eR!N4Lo({@)XQT%nlTgPF;5x%1XqGzOC~@{H zv}pXW9lmwAPwd&E1pHxH9&xoKl<$u&B~-w%t-5NvVXQvWutekB$=;b7wUl<~!dFOV zD0GZO0arnZ)G=IbAV!m3bxs~}M^k^5SrT|6yFr)SFVE^j`+6s{z{qUJ`f0bXsBypX z2*NbMvL0^h>cJ;fwM!dkCm(9I6)JFp`4eCxmlr)7kSOSKq?8)Moo&cPO-{Pz4}R*`N1vr#?8yuxDYcUJ~Je~T>!5iy}TKdMi_E%N&QJ@yz>k3N>EP& z=QIr})VAgp?9sp zkMJwOjj(6=!LINt;ZEF}&2Vp&FWj3b;tJ&UTUB0C)Kf`+^xHSoJGdv2Rgb@Wu&ZHS zbLJaSL}9FVov_L;^s4JqH~}st+mKvOOhHT{+x*~`)4A+pCA;0}ATdwVuZ|Wk0AZSg zTi#*0jzKdxcog_Gu{gEO?li4@DvU7hK~)S$ zu5D)g0C|;NDQ!H5fgp5;Um^gHj?fi)d$UGo+jM(rgp0z{BE}1+ykoosD=pz@O;yI< zd8KU0L!8a1mjY+~@$O)u#psL9PlJGC^qT_tjxWiT!-ib7*=bMrI&WJZ)G-1~)NpD!(BhzaV z8uc?nLXYr_Ke!0n(vW3x= zW;*KRo6XO2r-p!H~r*^_o3}Gj;k+M%kwH1-XhJI%tZZmV!{|h z4mvZ>P`>ui!ni4+kgG!H%O55fLHKsJzsjm@odKKKVstIJjHTG{mI30saJo z=!$=ue$L%Mz1Xbim9-(?SfD!J9v}E&?Ez7cNj`rVbJNWHiY4jByb&L~Kj$Dj5_;4@ zRUrMu8>|t0M;c5e_M_Tk-}{PbjY!*`DI@da*o#7O22&3*qQ9XaNa}%l0L|f4eB?16 zU+nwhx!w+XJ`A4`efJzB5`GsOV!^hNlu0%bcO4LWV3 zJAieTEU#PMFT=ez)orR<2UJD7w)o*iuzfWy67 zvV`P+qPQvfkgWf!-s6HN}v;$6!|O4wQ}Vm|NlbVQTCwv{`+i`Wfwy`H?LZY z>*75ENCM^reSrD>bwbxb;brTUj6uQ!?GbpwR^ z%GJ{hCeHPpqWJ=~6R$5bV&?39~Is+eSY)7jFt8^1*HO0)Re^Y2$>)N40A=gStGj>mjlHlH%4WjVx80X?24P z)z!9&joy~6<2oUGU9D8!F2~CCaKcAf2qMKZL)K!@8l_;|#e1AHZf!7``jF4eW2L5y zrdpQkjJi;@!F9ha!nP`kV9)2S{LsfHpM5SZr`+DYMd$u}jc6~fWI5MN^`YEY!HDUN z+VS4KfV5J|d-?qaDQXOQ_6EVu#NIFr-Jwy~)E>XZY+Zy}2YVuRU zXLW93H<9U40>8^eolao&#LQvz;e?#mfJMev{K6hm3d?ZM$nu6j0y{QTJ88CbMz1k8 z9wl#HEPIBhT^R%`MlILLg-cSaApX^+FqJ|(ieW?Sf* z3sj2Tw@3ir-!8yUAi5siZU#F4S#*&$*BJZvGPjf&AmU67ie>S;d zYhKc9r;u{prEf*(+7)8FM@|2Q{%(Z-&YbW^RH^OB%IPLLm6t-PzyY}qYwc5N2eW^ICXBLvXF|WxL)txqI za=x$C;d;q*2b^+TmyujTmNo61)Xt~&7c&=sxpCZ$CQ_`LZ0NTyt2v}!Y}%A6u%ec< zyE7O>CU^zNcM*|=6>w*&4XwON*x4Ga?o{{5Z?17hY6;up|EjNFconMwTGp4uE7t8A zBdgqafO@pa8O7!?_A=KHUeH7h=7>}3;JGUehv*oT0;#sk<4fA^U0bwj4O32S%72?_ znsk}At1)QaJwiDYyO|Tb48j2*M){Z+QBBhM`oqu+PE9^^IzN*mFWmkv1Cq2iH^sgN z$=&vR@Jk%mmWQ!4f9ij@RcP9C0GpIaQt1S>Ni=HI@eZxpHpg4w#6FB%X?_;{dbsfn zu43!lCRg`eEuKBKQ-x=95h2N~!iqffX;QOVX=u~^i+^IY$v+-q{0oqTd!b$d1#NX~ z{ovHB|1$;d!l_E%9^>x8SiY?4qYkWF2aHHexX$CS;X=3i&qT6JvUo$9eG|>*kZ`dc zEk?zV71x9Ufd{zR9gZ%7>ERdp0t?WJC9@{Frfhbc?QF=WIlC%(u41!Em)gK;LB)B< z{O^|XQY{$N@csPyP@>Lh)0i#^9z)NDU6NC>O_e6~(rnj-O|ue!8;5yKBC{TJHG=EarF5HC&GB6^u2+Tf&txE5 znL2HA``waF{+w#Y-!zs0P1~{5vq7X!^=j2Bto1fwBqaT6m(;r{C-!YUz{**%cJJ&C3r0K5*^N#uI7Uwhz+$!@jYiHBtnsx2W9J`kNj>}<)(%VP0j-9AxxX#3@mkoB0$ zODFg)#b1YKpbcj^bbRZcn@TofZB7k(qle|2RmMCkHjTFrm*#j4J{|hjE84ar4Qe{i ztbez9`aNrVQR5_NQ1g<^e$>qtyYz9_bc5=Mn*EhpfuH9My zQ}|?K^cNrMRb*CV@3k965`y5_z7Wgu4BNxVWVZ&Ts#KjSujXZ|#I1+y+!sTTWvQR(s3F5B<4N2fg#h%{WTYrYn!jU$kJRC?9sIZ=g~s zMNzEQb-o3^6zz%+*532@?+!a$q0L5aj&$t*+@1%O`CX@cb=Q)8nbvl`ql3A{SmSwa zNB;BgV9*p9-pfTOh%3A=o@~1IOi(_D>)$ zX9g>D6`W7pI{GaCP)q6Lk~Fp}}vRSs@=aH{!@#xIW7M-m)qX4797hh^nw>GW`^o3%zsW!!s}yOjJeb2HdRz zsTFW3o1(5$7pWEncUN~1;$xE+sg!e3up~!BxFzOMX?GDHbFX2&KXCaKCX+2{Qn^zt zYhL;%hQ^}zhnh&LH*`H8y%;+Y9Xs2WOH;k^SF}97;NH^T1TvozF(q|oN8xVIU7g17 zfHr<^utX*^Gqpthp1YrDhaJ$uFrKd|V_6wS0M~fcz3;PSH{j0zc$++);C?D@J*##;Tyx`MZ@ge<@R>5a z8!S`>)d4>8a^0s{SAX6b?BP2evq$~1xEpM_1+%Rk8MqSx8WR5c5_*CkZM0>_-_Knc zN`klVpmW|nTH~)HS)^~S62P~@?$}sPbK32;zU&0h~hNs^kP zUP$pa$%{E?sMO>C$#Xcf&5y71`24D!XJBcNN@V9hJ@(kCi!@0_dvz;!IPmi3{WY`V zS8_}F*1mt2o&ULe%aF71>^kAkui9NPf0urg|&&)%`-Y@0sv7IeB(G9s#}F+*4%oyVatD1p!e^74N1+tdarMJ*miy}7_)0K-HvQ* z@&7VbdR<}t{L_-VKKzg9lBoJ!O5~*lXPwBWIS@DfeP;3V<#zLNYKouoL+@qxQLpv3 zY4+3cum?CRu=|>RZ@}%-?Z-LYv)w`tyn0k<{djWyG=2*kIvP0TFo(sfHk*AgD7L35jB{#%$ZCypoNECrN$zb zl4O5jp_VP{nmVHXhE1sWG~COzOk^kX><50JRh0~f6#C*fk`P^(!cF9LNB(tWL7ruaHxhvVQQ-$RfZ=-XlL#>LH1~ikbH*?(}>r4FW4|#g~gZ)3*ZXs zLYL?wSBPgu;td3F{08{motg2kzX<{l3cIiy;e8tY!7hY}E{KG@+u|MxXDPo4kPBI& zi@Ut8@5#akc;qdz9B&|M$Hlo}11fwQd4$d$<}N|1zU|QhKKR!y1ih=`+z(9~ak5@3`;+#5OF>jQ~({GpCQZe&*At@%zz==z=Y;YfGX7u94#z zpb;1v=Edjs={(Cgqhr;LtwHG$xGFPKih?T-uom|w%kepaR^U~i%qn7&UxX8qw@$?X#pdcP?*S_Gy1U^oeGwz>p%h8! z1rr-;9f<9a2SFln@T9>W_o7>}?HL@@s2}MK`pF0Mi8;`w%n}B0i6Qmk)l(0WxB!{; zgoX3lqZ`8+3rrS5Y9)4L*Mwmq2^Q>RBzJzbqSkq%$x8h)2+zd^>Ac3(v zjgJ7HD>SfFH827S{i%wx8;XBt!nH|Mq@`G9{l#&LgcwzS>m^wCvbBl}h$TBbT zeX@s+X+#k7UPcuOS&49tmT5{e73kpe|E_0FOCUR2KM@RWW;E!qM#9W)QBQ-*oY#beWYaB9Hyn>Oy@m3 zvS^8&F|YiHfdlvnV=gxZ(=as2Jo#Vw_VW(Fs55yUVlKC0=}XcsbVy*p0o*55gQ_bo z=1F&alATEh8aJnDiASQy&;Ev{ql!YbBr~io?6Zlxi)ktYekZI}5FTlb`(RC1j+_Yt zNo@iwckx<7!U9<3FKT<9L4El)mGO%B<6i|&!T%x`@z>hF1vK~S{gHarSY5Z0qJb|? z>XuRKQLXteLlJ8j3=fIZ-Rwv(=gek#rcBO&w~4ecH%0N7eXA~U$ya&#B{yw$-gahH zFoQSdF&>g$oR61lSZTI_+M8ry!=o?HLWMpGdWbJLD>wcL9nMd9PT?mPM2EUYNw&M# zx~E1#wmamyXHRFng5OZ;)#G7>d=B!)6Kf{W5N64)n?oX=Ri~9z!!`A&{AzxKvX!+3 zhknX7vM^F7M8wJ3LDCKqX)O6FUU;x$*h#Uv3;&NbbJ&4)OsbC8uRYZ!CjwKdK_0Rs z`v*%(vziB6C!pE!rudp?i#iutU>x7g!v+z$14Tkn#t$cNor;HOA^5YyE{e91A{hw8ka7T$!rJ+NSMdV#GIh{= zG9GPjE!SJdN-ei3b4z?P{|`~|Pt|@#Q++MoD?VNYqJ3V~;A^<J;Pw;-^lqF#VnOt&vu1aRaj>GzsB>&{| zP&`FsyZNaQlTbe%iLO)V)ydZ_{?KShTmGkPSh@iB*An@zFnW1ud0sQlrrOX9zVkyQteTqX2V)@|OBN^Gs2y)70VKnqJDz^m_ zwPun!TwuIrh^=I0H#_<7_BwuBnFs=5cJgJ%q6_0q@hPdzx@Jekx+A4BVVgSw)k*>a z)p}fX%iOr8lyW8_Yd2_2pg$5#bBxvfh%lT@cj;L$+SYRVcdKNMY0MPKXAl6-R_ouQ^W9T6^I z$3kEGm0LFKQ^2GuwK(iUs>*~~o>dkzw8~ySMvhe!s>qZsLyil0kvx2vrnsD1Mt+PW zgQMr+FR98*_s3%7&A4zw5GyB)jStT%Y?wBCRi!;^Ga=Aw7@kpn=?spJiwfcpTi%}v zP)uAPfIrnO5uigQ+Y=n0G4uNYhLB+c87%&9jgcRXGO%%C%2*#B#xEV9SIq&>1=^0g z$Tx?;HHN^ohQI|G{|wayHU;@}cB(=saaVcJD8A#u+DBAQTyQjTmwC`g8H4Di5r-LE z(kG~z6~1lw=K*&^JYKZ$b5=+GkU|aF&NiJEtu+>n3=pJ}7L7V`DTY-UP<0(#LimtD4FU>6hLze=PseXp2yAx3F7gZz{Fb= z)If(q4^u&fjz|o9u_;`WhfU3kPsS^;m`)<#Kpz0wPc&CXLvJ4NhC5!xq1l~wst5?y z81cDE*we)9A;|q``h1bIr_hgGwRhg-;X5lAz%se%96;fCCz_vo84>yUxgEGmYHvOz zO?o=-NYS&)U6o29t+IcqT{Xr+!MSuBv_cdk6h2^@j}`|Ec3c&qI;OW}P#4BqHpJtw zn0D0VXn+}MW9X4Eb@3H`w4fr^|#BETj> z$SmS#9{Rq4@DhS^t0TDQYtfU4z}~SQ;gQAHj614acLeQt?tJ}S+z6t@QQ0TaNF5!#VgBz z+jrn~mI-zb3#N}jd<}=pxC7OZ3?+2;c}1MWo|V*&S>uk9HRC>>R3-{G_JEG4X+nDB=5j-qP`n$|ujECm4! zzTa;dxQtJQKgloC0aoEunIXIs%A@|HXGRK;{f&cu+F+9l=!QAzAkK|-g3K!ui=NAm9aRpNu6EC&FV11|H?T(JI#pSP8_ zOdz#fb8oZz0i2lOJ2*u-yVZyTCTDNn(8~z-Pp&bDl`-j@9h2jP46YIE7k87w@+=}b z_Mx59<$GIv;P4*(Y-md1tQQqA;dqmgKEnuY0|;#k2yGJxZ9s$~L*kvCgLlKpeV?+#8AF=%b$yEK)!ag-;lG?JnI7*Q^Hb>e{5=~AZ> zk7Wy=jaTV>Cv&lC)!?yeWeOoyOha0f#Ftdc;G*j^&)95E>--TOILyN})kB0~kiQxK zSno?%YUMGl^!LGE0Vm_R67OB}tneOwMGXnf6yn1NYR<&Bk{JR9h^HZPw(?kZL*cDU;m>)fHzdRe>>WUawh@FEEaDr)?iJbtKb8Y%^HBxRe46ig>8MA)$mkH6 z9~8tz%8Yomzdr*B(~1${w~t^EA>}(##NfzX>o4z{>y*DK z%_0y|nJ@|vkv#b^t^4K|Dk+#@`RZ+ogJgjI78PLWG3dJx0i|3NDoGKIirkFJ=y5OEEijf5!)Y-mg8iC4$t{+7S=n!p< z^Rtvq@F_-hghbhBkf4dYDuH)C$g)^^Pu8Un!{9@hnA&Z)Fv40o4~d%$Bhf|0@j>E8 z$X^OXo(Lmh#o@q3^*0lJcjXkep1}LfNM~Xv9pI_rA*UU+4JNuuucUHR_3c|(;9oLf z!p+w+V;(lpNJLd0MNmQdI zVuGyH2H$FkuTL=H>T?_*yBY4a{w80B2Y@e1MVp0k7S?|`YB%nr<@49ukb|sX-3&~> zF)I(@+6CbDg_#B7PoLkU8n)xe2mMd-m+nD`Z}eUagTL1-7tGk|k%4p&(9WABILYuk zV&$ntNx3nD5I(hl&5{Ep6z6Dc)jPPX0M?TR#YmWS)QjZ+pXH#?eAG5z(Av90Ym{m# zULl<%7c{u^-weSCo&g7{=Z`0?h9VviCa$e)SVnh>-VIyzV`tbwAHuX}zvn3G-2n$@rQK5(5^I*uc5H3%5qp8+7oRBK7R_#!wn$mFk=sW?x*dD7md&04kb@-SY?{G zig`@9bxb%1NFThG2)IXh9CEUJG=jwTiCJzba|L)ygvl zN*a0r^7Lh2<4hvlz=y|boxtnbUm`H!MK3Hfj_GyT5+lqP< zjCvzMW=w?wm6;upXnG@ZXM;eEbgOHm)@*Il^@KY}|J2T{5X29y!~WIpa~M)At)Vd! z+JrYe+);lha5iq?tadF7o=X{9Dfp|7z%s|Hspdt#kwc-mgsu5_@l2t2XYo*P#fm2m zy4SR$gUp`(MF16=2upgbmJO_6$yp9!P??!I9a%z9SM*3DAdeyF2_C@a3vm&1HO6)n zsSgHY8$GdGSo(zg6np+mk*l9%2tMW({3Sh_lm0n=ftIDUrfIB_cqINej)75Puy z18YQ%?zqEkJ$bC`tQ(FcdxG0ZQ8XAO`e~j<(N9)^9xo!{J5d|@uYiPTKk+qeUGPPP zN8A?A=7+rM0^jq`c=23@oDN3U382q>XRQCr<9c)Y#X=?r)uaQBx69Mz8W{>p%-ZZ; zw`Q>?g>12BQNeuHY5K7FjUJM$Nh<$Dshe5`bTy4{cnZI+3=!qRNy?f9;6Bj|_l-iP zm^%00ipkp?k^4;jWyr>=TN8B~%~c#`PvR;=kY`ut-dSU9W2}lLX4tM2%T3|9*c`RO zar!McyObCI>lXMIr*8<*oWi=!M7Aq78@r>rnDVQ}?ea*jxZtBT*{$!w;VW)1~<2iv7fA8>}-zu(Qm zw@c>K+GGU%sZKN`(_1&}j3g(y3_Hzpg4_aCVUY^XvPk=&vsi z&>{^>8%#BfZZd0XowXHh6!;KQ$b|V2v#n0g8kMa{Uh^XowqPY{MMi2An_vivVB)?s z7#OBOiZsLTjhm7Z8bw;3AUTw-AK+Oi5DHt>cn^61jc+@>?T(wSkwVtVVyo-8<2dJI zBNZL9pasQv!Ct4Q1bHgBOosBk(e9-^ndL)OTyDk6eA%6EX`-!Db8EiSb94P@DTd-K zBiA6@_I)zeF+;*So6CseF9Qo}S37QH#~ugOaLrN9yDrZ$pgotFna}XmBl_RoWtvrU zdK2B|Z9BHRU9|H9A6G@SbrD^I1aEHmO=agV1vQzM^2F)ig;#8*57jo@HP8sVWEv~H z1I_I#UVk`cm8*IVY)a#PNPz=$S(&BeT>o_c)wa@h7eq&%`$3QNQ+VUmZ+hk)6&(Ol zeQV^me1{mdH%V%bYtAmRxbqj|?q67sCz?Xo99{?Q5_wi~y)O$v7RaqnLh;k@*A-+# z_DHB&7$msPN;?Wy85S38QNQxECoN|oq=kIe01n+Md5)zY$6LmbO53gP$Hc_N80DGG zd&+_{R!YKG0#Ld~m4B2E>Z*^Q^+{`XO^k_mYqq(!q=}d^pnw#6h(5{>s)|E|xX5*7 zBj(G*vx6wOtA-Z4Z2sxhMryi6Oz9Nq-R&3A!YpHJRSg6TwZx6K_UE`r3G*p)kcK2& zcnM8);|f(jM7F!b>{^Uy=p>W?9*8!m;=9G+WG>Hb5Bsj8j5$!jVru`+J$Ht1>UTBg zM0>V2_546Hi4p9}S?b3J&Lb>)eB23(`r|PcG0lFL@8WXNg9VO;ve*T?hazA`-F z2FyZ22j5ym2N!Z9`l++s)-{E9HQxTUy)qivaR^JPYoh2jKkiynIHy<-)x#4gRWMC= zbA_8IND}wIVeQ(s$-c7|c$Jm|!vT=YzM&%h<1s)C+$WPU9ScfpIEWh3eQh!vxCUw_ zbW5K8Wb>P5<~2)86Hkix>UPGJ#7VFN59FBSYSqLcEkW7TUr=m_=w{ZZ1v2q~M5W~? zwmVu;fR4-uyvT0Kp2$O=D29G6tRFMJFNrNTK$QO^QLRyV+xhZoTunJTA!P3EY7OGj z4$}p2!_~@;YkCCr4q3pN^!Rx9BXR1q$H{@f_b&sDH9~=L$JKpJ?`6(ZulWX^7Ofxky7m#B)G7U-UOo9ZL&#at; z?z=2=OjA9taSCN}K!7Hkh%aP(MVSKb6l6;-5l&-h871TIQQcK{X>Mwa!s|Pvb6;52 zbb_f0zGlsBr)2K*j&a_t6=8e(?gOMy61FIu{J#RD0$ly*l!HQCX<6SFXlaBFXumG2 zdUXKs(}z!3d*$N~A-gP*`8^B*^I3eMdZzG$dMpj60aI`rkS#mtMBp)lGx=&u)i_ix zDK`}tm5X{%+lfMzgRX34j9#R?I2$s^jQ0%ci2+h6j}W~GA^9QPIle;F)Ckqqjt}4c zLPTkVz+F$q9(5$cO3SmRM;J&WF-bd@puQXOnHFKt&85{0y0wIWM zBE^X7C(AhvWV&Qkhbot+J4%|>Y`*yobcxDoxOogmT${BOe#oCs7Xr^n8Q~=bV)f#) zm6Jby0-%&5yb?u)9yMf~SWfRs@zX5qLks6h5nP^>I$k-m^Y1=H5JhpS8!-ZLJf~Ox zqc7jUOjhT7MEM@Yi|&EQk{itW#2)PuR;0ZHMMJDo&-ykNJ-Y=M>3ega@T6e4k7vz<{5DVk3Rm6u0e!S)>nD$59*j?#UonW)QdN+Ff&3KzRww z*l9y!pt6Kbce-iA9qf_q9p@<-K7MfFMsy&m#(`BG)kb&S}X?MO8W`b)fblJ|H@cg8OwHTSp)7!eCD30 zC(rttN_WEtJ;9F2kMht-{&wC+#kzkjvN0_zc}7Pq9{8MzqJ(%?P2bxeR~p&gO=^60 zDqr{69hyN1A@FBz0`J=MLQ??(uIpW{vXsm8`QHz&!hYF*?skUaaLR7kS1}pTSkcvN z%To<2%yp36?)}iAbKSomyq@{tfzK)CB;Wtpt|R+NGuX&<)4r!K_xz}f1l<>!^S^@} loAtu%Ls5;umJVp8ge%FY#=qF2NM@jnc;!S2{|^8F|Nq!j96ta6 diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index 9cf6e44..9804eb7 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,33 +1,33 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571425306699 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571425306715 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Oct 18 15:01:46 2019 " "Processing started: Fri Oct 18 15:01:46 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571425306715 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571425306715 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571425306715 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571425310715 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(52) " "Verilog HDL warning at GR8RAM.v(52): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1571425310840 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(60) " "Verilog HDL warning at GR8RAM.v(60): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 60 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1571425310840 ""} -{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(191) " "Verilog HDL information at GR8RAM.v(191): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 191 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1571425310840 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1571425310856 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1571425310856 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1571425311215 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571425311215 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(123) " "Verilog HDL assignment warning at GR8RAM.v(123): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 123 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571425311215 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(128) " "Verilog HDL assignment warning at GR8RAM.v(128): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 128 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571425311215 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(160) " "Verilog HDL assignment warning at GR8RAM.v(160): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 160 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571425311215 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(165) " "Verilog HDL assignment warning at GR8RAM.v(165): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 165 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571425311215 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(171) " "Verilog HDL assignment warning at GR8RAM.v(171): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 171 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571425311215 "|GR8RAM"} -{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425311543 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1571425311543 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425311559 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 165 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425311559 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 160 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425311559 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 171 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425311559 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1571425311559 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425311965 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425311965 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425311965 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425311965 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1571425311965 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425312246 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425312246 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425312246 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425312246 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425312246 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1571425312246 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425312465 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425312653 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425312653 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425312903 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425313121 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571425313121 ""} -{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1571425313559 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1571425313559 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1571425313762 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1571425313762 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1571425313762 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "9 " "Design contains 9 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "C7M_2 " "No output dependent on input pin \"C7M_2\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425314121 "|GR8RAM|C7M_2"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425314121 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425314121 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "nMode " "No output dependent on input pin \"nMode\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425314121 "|GR8RAM|nMode"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425314121 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425314121 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425314121 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425314121 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571425314121 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1571425314121 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "167 " "Implemented 167 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1571425314137 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1571425314137 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1571425314137 ""} { "Info" "ICUT_CUT_TM_MCELLS" "105 " "Implemented 105 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1571425314137 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1571425314137 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1571425314137 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1571425314418 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 17 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 17 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "308 " "Peak virtual memory: 308 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571425314559 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Oct 18 15:01:54 2019 " "Processing ended: Fri Oct 18 15:01:54 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571425314559 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571425314559 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571425314559 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571425314559 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571624519346 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571624519347 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Oct 20 22:21:59 2019 " "Processing started: Sun Oct 20 22:21:59 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571624519347 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571624519347 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571624519347 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571624519549 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(52) " "Verilog HDL warning at GR8RAM.v(52): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1571624519575 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(60) " "Verilog HDL warning at GR8RAM.v(60): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 60 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1571624519575 ""} +{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(190) " "Verilog HDL information at GR8RAM.v(190): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 190 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1571624519575 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1571624519576 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1571624519576 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1571624519612 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571624519617 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(122) " "Verilog HDL assignment warning at GR8RAM.v(122): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 122 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571624519619 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(127) " "Verilog HDL assignment warning at GR8RAM.v(127): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 127 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571624519619 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(159) " "Verilog HDL assignment warning at GR8RAM.v(159): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 159 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571624519620 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(164) " "Verilog HDL assignment warning at GR8RAM.v(164): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 164 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571624519620 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(170) " "Verilog HDL assignment warning at GR8RAM.v(170): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 170 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1571624519620 "|GR8RAM"} +{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624519747 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1571624519747 ""} +{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624519747 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 164 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624519747 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624519747 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 170 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624519747 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1571624519747 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624519772 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519772 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519772 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519772 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1571624519772 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624519789 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519789 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519789 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519789 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519789 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1571624519789 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519803 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519813 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519815 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519828 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519839 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571624519840 ""} +{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1571624519917 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1571624519917 ""} +{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1571624520013 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1571624520013 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1571624520013 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "9 " "Design contains 9 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "C7M_2 " "No output dependent on input pin \"C7M_2\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624520210 "|GR8RAM|C7M_2"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624520210 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624520210 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "nMode " "No output dependent on input pin \"nMode\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624520210 "|GR8RAM|nMode"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624520210 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624520210 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624520210 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624520210 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571624520210 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1571624520210 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "168 " "Implemented 168 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1571624520210 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1571624520210 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1571624520210 ""} { "Info" "ICUT_CUT_TM_MCELLS" "106 " "Implemented 106 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1571624520210 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1571624520210 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1571624520210 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1571624520252 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 17 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 17 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4587 " "Peak virtual memory: 4587 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571624520292 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Oct 20 22:22:00 2019 " "Processing ended: Sun Oct 20 22:22:00 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571624520292 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571624520292 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571624520292 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571624520292 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index 3bc96c8445032749c2f9498f35bbf15692e91cb5..5b044e56103540c5ed49ef971da174e684c6ce49 100755 GIT binary patch delta 1033 zcmV+k1or!z37`p(TYriJ00000006K700000005u_00000004La>{Ux|6EP4@0D)K# zf(r-4VNM04wCtv+P)>vj5Qsu(Djp}~Y`j}nUVG&SWx2qA;zH{Vzu`zJ8_0e`FS(fT8-u3~AVm~5=D zv_g|cxji1_iLWYVnq~XBdpKe_tybBO^xphL7^oaSA+j$VnWB(Io zUlB<7mN)*({}coFP%-C`@l#$hNzb$sIX{ko{ugw@maZEk$~{Ndw{B3jxtXmF(`=na z(s9FROB?hNb3#I^9LjV=wVs<5OHi>SP8 z6O3Rt7L(NhA%ETHxueACHX0CF**5T8tC@&wbdh#6k7G>q6agwQoJ{=G=0?;(nMS4I zJUAcBdEds-;%GQM?C4!sE-53*$TzENDRMTIoG`W?*aGv2p#dca!tH@ts8u57IzSH0 zQ8Pb$T#87%P0VTl)*clSiy~R3HXdE%+)~AqFIeup0e_d%W}ZhlbfC0LNykOt7InZp zA~E7a;9gi~#MC=&>>ANnat4Qn7pRbq<76qtqtx0!3vb$*n{mg4v};MfcM;vNs~wu7 zV!6>dmvV8T?uS+huSnFWg<9-=URN%YL{Ux|)G!d<1q31> z1Q!m7!<=|X+mdW)p`2JMia->WZpGt-yjdqTa_qmoF7F%{|h={OV^DN<-Vh9Th}Su+{{*nX|_fq z>9}FEr49OsIYOaT@=AL{-g45#5!+WS&CoKXBB!;q1CqKFITc>z!P-*7)QmLx%8=EC zd&dl?u+*ssQ8-JZo$;7zW}Jdz#7GW7lbZn^9E8fHp9Oiw-+~FMjV=wVs<5OHi>SP8 z6O3Tj7n9WiA%A_tb4Q8OZ8RXVvTfkGRx=UV=pyZC9>xF;PdhY6 z#d4!_F6H7v6`@taD-tzop%#0e7Z>aAUkuRZJCiGbnfN?MT@+r@3T+!fPf;4#ZOYc~ zq}iSIn}39M+YCN?y-WC?d6d4l^zb3A4OgbZ(Q|Grs-V^Ar5Lic)pRwZdtQLJ;o6YW zjT_nUM%%WNF=aG)L@)T1?#Eh-hT#d>MFRvVdcvyiMhK7CgWcP^qn)UB5yPIYS0sPS zCEZs}xaJS)!F-!lLUu3YY0P&zmu1%Geiq3 zPyrjm9w6D9oA4W?MF^_J-7(bNH5jUvk)6Q+C~mfK*M1k+8Beu`scch`CzYn2@lsvyrfHF|)EU zv$3$UlcdM>3!hD>p5ji)LW6)1L_@QdZ!-h--mxgBo3GztkVxGfvYZ2D(F`iaj|iDz;{Pr3 z6Jz*23so}A@$JZ1A|4ed#aE@@)Fs>T?pCcoK|xm;)kLo6vt15YbGYSv?#ky9-qEX3 zS2^>X=RC{%xS_M|d*5QW+2M+q3TBGU5RJDPWA$mb;)V5>4AF80ds0y|H}K-M-cQ@= z`($&318z7Qad}yaXWv1;+Rh>ycE1;zwRS!3R6kmrsy{fV3^@M=r$+)#Fq{%}{xox+ zcC3vA>2z^PUD?vI6jab$eTm#JsIU-R)F5;hb4FMc@MgY0RaxV~rkIufr+uuD!IQ9X zuX_P7nsjmCIxgMFP})neH3FtaU8XkgFAeP9^}4N`3QKBkSr*Lp-8$O{ZJF%Mt9DdF zmXxyZsM((5p2(IhJRzIGCx}J7F%WC~g@;XbPDuoXIye;TqF1*6`035cYhhozQyKY} z-GJJ)?d#H~l6^92+%7QRU@~sn53B@goAzYZm3ilY zk*daIR2p*SANhYoa0A&OOra*={v)v5!x3;D*YozzFb5T0V@lPo)!!UMs*MhH2P$uq zdf;ee9IB24I2oQj|FZi3RR2HuGVlTsgAjuZ%`-Lx`c27CDw z$oebgHVuEd>;)HgqoG;o=@^9OA8N%;;TpN>FqHpNECixd+2@G|a^$6#|A4p5GMfk_ zraC@NfRcTZ^^uuIi8G@|mm-CujJnBa8AN=@#*e4$MRP-?4g4P2dN^_y;~;fP*i0gEbIm-HmUQ zn8zb*G}V^8#DbLgq}S)JE8&9K*QWa#*K>i{U)!&F`POxBFCnssb-;4w&F>2xJ~>kM zt7v+iZ_O^h{L9{!4=lRH|Jld9bafTRbWH+!`xCN4-=)+eTz?`=N+miK)pvc^W-q8| z`c>ZBBYS#ZPOdHb$i%$bkHv%Wk7v2;Sj)+ycDK~m) zHFHGK{SGmF;v96{KGcl3x%71MEg5+aCR_x^fOOnCR(F>1aGzBu+w!{Twe1J(`juyV zFE-wLTI}cPwdj$!=iB1DkyLct#ciYSDg0#Y(e}fPK@PQM^lkK_K1#NEr#_;@$|?P& zf%bRnWUYSwIvM_~6l*4?y*B#&jG60o2yc8s&`y8+k{?T#Bq@wPiT}!jd z$-h|*Cfj4l5y2>qy7e|>(gBiQ2?O4>-{wue!-v7ue8Rc4ZEXj-_qO&qOgg$k^4!ch z=Hn z16b{O&7n^6XB-suw6+lzyB+{ss88~@M5mif;ZPW^;x&6}- zLSh3YUkxmIhvZF9`->#%X(rh`-B1AAz8GvK9UaqJkvq^ylHZjE9e_Tjpm6JdlZksc>gy4KKytUV|&@<3oOF{=dH+xMqIe=PAh=EZA*ZvUmy zF_NI(yV(^irOLn%k=w}$T*iEhn5v80ytV8UX;$zK3PUaGkAOQ3X?(NSx%iE9_7Ia$ z1hbCn3d2mff*@X|Pz0(r47&79)pA2CInubU(pG?~w@ShDrX1F?j)p=eEs5rchJ{VE z0_87SnjfwN>-yzX5ZpsDH2`p_e(dNPH=oX%#RD0Yd%}e^dHyr*m;v*83<&9G0+53q z5wx!QFP8C?pZyFPs?dwNGMH1&l4n z7>R{N4<`1utI9QX@dSiiROgV>lZnI*ag^Z2eM4J%rbdyYlW?x9o&n$p|0e3^J}TpK-SJP?U1kw&a=~+Pqz{t}d6f zx;hQauc6mlRqK7}q1Uk=7k&0*f{Q(550|@8H|ku;+YI)9IP|u}?D#3*_rGg%1-)|G zKs{P7!(A>{qi$?faxk4%Q}EL(*+pl5=@zbhJ;EE0H0-bZweE91h5!{)G0^6H!+#M=nQr;|u({m{}R~ z$rVyvw7`$VZi{E+^Ug4KGf5SE7+v@ zYFQImGK3PB?;AUSaIx(46)s=l_7xroHui!q>*9QH%B1xku~+!tynL=wMrE7)Ir3M9 z@xWfCAPv1a7TUq<4cO>m-y5|8-xt2(Mr)(Ufr`UUTneO6v^2q4R$I@>eHbESjY+=o zWYrd5ciM?=y$d`{T-R8dlC-ORyke zJVz*s+(m=b#hvud2Rn05F|<}-^j992+Xze@K|!b73vZcRx1EeCGh6*$i9Thk2E<5Z z_l|6{M#~p>&k7tH(mh;ZSTFx#0slR2`OHQ#K3?((igzPM(LG*Tau5$L+ug%0LQ8Q) zirGz6GcG*n@5Yj!0Fx@j|59r#5DL6)^KXm5^lZb{Ei6@W8ZUN-VGd%{ajBMiH2c~_ zEvHMCGHd|6Tz%^;sQ6%c1rZVs(}kM0_;MF%E06xw21+(mDhbTIe8ZJpxZ7 z!ZLtcKjtWGh*<<_`If5u=L_OuNvf!P@8~#6k6-dDa;Wi}Nr@STJrd1~o&#uY(%lar zOQtlMmVI^(^0WPqg0UtJ0qn$Wf24rIM9D5fQIh|_9*BIY@dfpNNk_lW%up=VsTYo` za!gN*4oU}@X<(w|%czG!Sum-Sf@g}Ue@Tj861>`%l>75bBKnUc`HV0J8GQIn37qc% zNx|lBM9oa1snmy|FcQthuYRQyfpOkIvyhpzz~4Y&(^aJi|Cg~iM&_&pJCgE%`_8$g zCnsL2wWGTJ$Zy!$@YfyUckAJsc2SyR)ySUsXR;|#R!*N&=M@cQS83;Am%1gh{*>tv zlj?Xc?|HvJ4I*#%VM~^^r-eu1ItK)tcyEovZ&%-5mXTxfEr6+a?&@nD;V)ht$AtR* z!{WNh)b8IM1mMr^LJH&jqq=-|=Tt zVobXa^W*O5k-eNw(B3iaDaMfi1|oTza*vurRT+V9Lu^EEkShPzA>OZ-|iJOP^o657k zyH|!tu3b5e^+?E()t%7gH3jd-Xp!LAuXV((O2nVk0BvUT-GK{;JaoH{^52QhB`Les4(960T;R{W5YOWktz6;j*i1Q6mUh{UnQ3olOQ+W5 z(;XR6LzdI)S;gpm+1+6$_jDBiM(Ou|k%$c;VB1sa2F9QY~z6kCf5_DBV6r zR-6yY`CJg|A>FvM7aw>H@x;zrSZ(af|Mt&TE@7JU4m5@Ex=7L!8xmaG8Er(?p*PGi zC->IAQTR?XxNu5n%qDNR;HTKZs%h}!df5+Wo*WEQ_$nBC;&j+c=$W&*8ny)fOS0=o z>;-%frn(y!5JPR3pG*%0nMmgv1*J3_x=Gko)7qJJ1C*}inD0)0jcSdi`Q+1EZ0w@= z%?F;DuLu!O0#~^1*IN9)F$^bMR>%H+=d8XO&NR9sWZ-J&ct`KE3%YPe94mI6^W#r- z&JVsptST1>W1QerXhT?cHw=F(!#?VPw@xgpRrF`;?p-TeQNEz5y<=kH6GK((PNN^9 z!n{u36TeCIh4YgJfSRcwmBp?J>!4%a1}#Bm)h$_qYxb4sUrYPiob~nN!I}LM^f`7! z-9U2HPbjKC?{emz*%oiKa{v2viXagXYXBZYnAfkjpEt?MB0q_KRL{XmLPrRHi|BX? zFXBgfS+s7UPlkqn-3C^L@S=!5Yjqk12a$dg;69Lx8#2xIE7enK{&CCIpZ09}w~w_Ah%$!&Q8S(0v+D@B^bJ2gvJ38H^6Xw4P{IdEWMeSIv!P8gZ8~Uaz$a+`}1JN z&V_9mZ_IgsFlBUbmNW@RF;Z?sfA!(J!S1&GID9m+w|&E{S=f~@s?fnY*?T88)5;rv zzzr!y09~PN$%d~40;Zmg8Y3IEHk*?(rqWH*gM13{at;u*r_iiV>}dt5!%uPOPr4^< zP#Gfg5tz}|;X}R^M+jnG53+(2dr_4HG)(I+tTN0lJrt`QVc445I$nEx-nhyV_vGm0 zP0yI&!zmVR&AE>hnLvOR{p z^c?ka(3sMKrM1pi%Ju3zCf=-?*-%C%es>e1W#Q5VtVZ98Fp^?sb+J4?z4qO`@fNfu zCUHH|r3$_#u7o_*E1byCyOJo@2Vd@1rL_^sh0{F+#=4=LKg#%#&GkvkG8)@jpEmo< z&pUhVXZ!1s0CU@h)8b#``b|ESHCkD^pp(5-n;coYH#k=1N1#|+akRy!*}L;9FCpKj z+>^sbs%d(7DPf=X6N%^FHA8O#g(7-HjipyLCbDUq#U%0x$R}A<|3sgD zkjxs~jz*e!-uP6sDSFnXGarB5QM-@BIOk;2aqzQHo5%E$`2^|ksk>^{{77N1+!my> z0|V0UgeOt2L@BB9#I%^zyWvoXT7+hqe|Z)h+9z>m6*(N}=NQ7?wwcNPWX|t72hmzc z-{0d{Z#ok#;XR`gryA;POGevQfWu?LxN_5d9S4yQO#6v>=)O7&?<6zq*Yt5L2DKgn zddruy->$fv829r4lv8&~H&5*i?7~az<5;{*n5~PH+=K!eQ<*ihB~1s@Z`tYEozdfl z8LSd##ai;K*CnejrAl_SgNoS9y|#L89WTM>TRqXom33OVRXrI))=Rx+hh~Xa{6UP< zErSoK&ckcKGQBPk2+|-geaaGdGCI!H$G1s`db{P(ytZL{KLZ0hWBGM~V?(~P(@Fp3 zUywBfp*x!W`mJ=52rfkI?q(xWn0*82_m}tM}<~C08HMTF|!N zeclY<3bwSwxBcVzN?7k3)Yrg5LmM{8-BLSB{G{0T|9Hb;`sDd6n}~b2XA;VOZ}@oo zXa^mPI;~SL`SUIcJwG@;%o2P^*M2tm8l)$DjDnJgKUZhs_Vcc`e?IH_lvet892&pA zroVODY2R?y3itY!PHg*KCppg!`Lm7jw)E3ts9dv`%Q70!Q4Iz8i%pki{xHC7izK zU7(7>W&{+7MXQI*U6WYRXr5JpiDfrgH0ToEy;e7%#XFm` z{d-PyWsW7;L-`_o9yB&pI3R&lulHWqNpWh3f<~662dEJ;b}~j_f9j7_Awkxmflz2z zQ3scx@-oOlwzz_uu(?3?as^+geTT?S9`wLPMi9SLVDNxL$_C^Tox2{RDOnRY4bsFE zF^Mhp8S+?UFH@wZIcE!#gsO5zB~t@|SF4gbbtb3^dt03^DNVr-xbJ^EGz^m!{|7#& zC^ydp0lLEgz31m76m}y6*wp}!L2kdI+(<3TN-cB&8g`zY9!jk;h07O-Qvxv7+lpB`?cBzGV;6n=r=r+HbT(T1a;9hEx z7TiK6*@*0KJePJ=(Q0kxoHLegpu&DxfvM%{i(C3_!06a1U^k zi~0o(TEh4i?B-z{wS>54OS?9oznM|kjSHwNv+#(q@z98RpqlIXdKjpHEL8x}9Lvlg z1@BfgH9D0j0cCbbHwMK*0`EE~NFW8yv3es8K2I9`yF5CZ+r==M&?Fg~>@9pAYmghf zpD4kONXE%;(P0P0zX*~Et?W?&GQ~2BI*X}GixIH#^#uD?0Lt`mKW`dMqLx>cv}#E@5FRMRLUDL#4FM*BVb+lAJF;jo=MfOxX_8`mCm{as& z4dpTUp-@YS(gt?HSIAQ^P!^R5(Uu9GY?t8*0CE{fR!UYYo2O}N#CMCz7krU==COi$ z=im6>IR<*Kz*#SXvqIcGzv5&Lmjjcq!+XW`q_~OPgK&L7)t4hDim1n1UvuKK;CS7D%WWH zyl=aTpNQ)1XF4Yra(hG1(XxLVw~8K@kvP7-cNWsy$f8IPeB5|==c_B5>%-qNoPw1X z>LcJG_pIHb6nnbP^pJj&dIKk9)b*XHU+RzWkL&KhIGnuDu9D~q(Vy`5Fg1wTa@~vZ zh%q2Cz80lP+vt`<*JQW^C}=hdsVL3fdB{xAHy?pmbL;t}DEO6X!Z(`X?a+OYhkY$G z84M2ANpBata_uCKfWb|GQ@8E}PBO3Zkw^xl>Gxz_mRDzyyaQDoyJ*{*BHgtAI$(xU zF?~7CO6m9)@{fF@8U(y8yT#+Yfu1^I+v`uA=Cok;8Y)lVFsn|heysUzi{J3AGR~yW z*DbTKIwJK5D*#b$tZ*qD1&j(7O6W%=@Pw$MOL*tWuMM*8#MWOBYtLI+&REz^S-VmM z&Bi^PmK_1FukYcYS|mtLVpxMrhR8~XOGg1KZ|PW_D2qniM%ov$CbGJc5>eI>(vHxm zv`fSZPJc)Hqm&)H$W#d$BQT_5h`{e$BG=3K)av=^wo*l)tV;CBi=BiYm}{P&;oTHa&-)VG;N&K{*pdh0auW3h+DE;Ke}F{5X%~{An-4$OcE1SC0SwwPY9bFM2W%5-VaJEz}eYf z0`r)c<*iG+``NYZa;7@G&MvEq%qwHx)|09bm**AGA3@gD(QZ%#k-gS+JG zcofP6F3ug-6pUd=gmBD%(F%f;sbzCt5%!T126)JeS!M(hYWWpXQObtV_Bb@fvL9q4 z!rN!EQ?;}8q{Xr$i;Rxt@dc?|`Hj&FvUlgp-O653CvZpM4mFC>?rmo*PVv^Wf5$1$ zJVYxi61!fx|0)-qxmzNs>vQK(w_H5ttyw7lUUzi*PqyDve|m8j$`gQ?$m@=cMuL8P@vMNiv&(UwVx@+uNq<7})UTfH#9QD+{wz{osn65&0^?Y7A z3e7wYJ-fSc%w3ccOy?2pK%BmngRhHSGULqE7nP7|qVyHqV+&T~{{VXY5`FcC6i;j0 zRLPI9N=>7epln<{Sa>Ml!7YP4-x&zCOx?0qi{Jh2C|Nds{-L6CJl{b!hqP*}4M?1Dw{f#_t!zLEVNQuC+1ZlAR7m=yLpJ{a7Q z|Bk5*QMoi@o~|Q1C`KUS$hbQ*JbOZ%>tB3KKpP=nj)oIg)q#)xxMVfjizpX*YnkU* zZe`h;^Np#<0T5lRoh}?)?A>^Gp~pm{o6~PhtD$_Jul{n6)C1h0mNg8kt2Hio$JJk+ z-9JMk$X(v)-iNz4m!;*w`#Ay!<;`1YAmYLwtO1(>1_u8f*pr&u|3P`*bLOzQRqP)m zp`U~Y6iP6t34B6C`5RLs&g__>ee^H>9kbZppJZ=*hv@hX|CnvsBb_f}3&?A4lJcXIUxAl##V!O)+NSSot-#a_Qj?+VGn z7?_GX^x@u@#7>M63SI-u*ouhbH|Lh_17H4G_!@dRl#h;u3>|^h*~W)+c);m@8_y6# z8tX|e6lV;U3r}Mpv^%x^o2D|V^A|^#&k&?0=Lz)KBxg@%fGwQkanw}_+>b^uoDpG7 z`VocD^$o&%GGZB)W@j+wWfx~4%zDYNx2SE*LZxX->!)FLn+ko@QUe;qa2z7Fc+_dl z)gEaX*q#tttr=?B4N$&Omg}D^*G;^Fjo=kb5I-%S!f&t27;PhYb~i=Xuw3?qW_#fo zx|;nRTrgFBUd2B%P(zz==HMz9x(_z$HTx2$*#ok($0>ebD2`nD`>LRG{;dcdquXG~ zK5WQ8aqmMfq7E5E*C@!I>EUmg;Q|BVeuLtElj1~>Lpl69o|MIbksHzA1%8WbY%<(P zPLpSa4YUt@OPo9kuvprN8_kaKI^W z&@50K8cxtB{{4XT|8{rJF;am8Wg;gWk|!QJEvADMhCR|rFkYPdogq$NJYZv&P*w~?~5N;4Uv+%NKlJ}!c%nZA(B2Jbw@=42gU*iNdgCK zL^sF+2Z#a(vjPW>BL5sYZqU0Dd%?sW@f=JwtdUljpYxz%VP0gda8leu?KZ;zRL~m`SzC7&P-JdA-?JEN`o1=B>q^aD<5;Za-uf~OUA)w$z zRJ*4bd;@DY77pvdiVzPR+Y+t(4qza}(N;aLV6I;F(g{0@CV(_Yw7&qK!1A_0-c2DG z5#Q<)pZQrj)MSnlD8Z{kx>i#l7%r(hgn#2owiot3=={nYp*FNw9Ll{#>un;4fhtt>7L>04vG*K zto#q29|JDp+UAhJ1ei}P|Niq1+qK#*2U)tn)zjr2F}D4MV9gEMwg?RA$RuflM{yV1 z%8p~HwGJpmrx0=$Kg+NXTRU*?FCtTE#X!hW~w zT*mSi_h{6T4oO4ejwD?F<;wD`*8F|gH#ehOf%0|OWJ+MJv9?1nIe5j=u9o!PzSU*ioAb4H=RG6N)ODfqc$ZS}0kKXjz`5oZ3Dc5b(x~)W%8Q z5zx!(^qTzkPk4a%*y77{%D0u#{rwazGRx!iQp+}LZEolaG82sFBBm}m&~Sp;h18GH zFEH4KZez-}9yqg*H8Jk2JAXY8E5$jhohDQAFGEes*O<+q?wCP?Ieq)y7vxX9U^$B@ zVGqoW5mlN)X_`Yo5f;|Yl<tsNk?zuNkwj6Yrk)@)S2KSYt1Y<6GZdmN@n-rvlWu~ z%GH#4+e3zUQJP)cGlBYbQ?-i>q{zEV)A*HVc>eAhWb=;EAbV0H<3ffgPB;ds5uP;6 zc4_TK>YPU6KMpB)>?z(2}Z@rqnZfTTF?Pz0={W z(XE?RraQ6}^NR6rGAE1rj5SAj!uEo3WQuO%Ax0f}@S`C{g$zPICjSGuM=9b^#!7tM z=flxUHMkZBncyzX@#k5h2W_xGNjn)QUg zvN1n}dn)t52krw5X#SidJ?JA(`A!f>@xRN8E~F8Kts_GuE++pV7cfyV3OW5P!qe(M zS@oO~p1BQ~WL)=q%9uzy*QD77n#?|gm2M4M3b~b*87fGnF(jN|QoQz%bQyB5lR*!Q8+4*|KFsPHQL)bHGpTRXenPcKL}81qb~C z+uYq~r7Q~plJjk)!R!_`O~&>))r4B;^Hwl1){*^xBfHIk zx&8XDn~N}YmllW=f2xoe<8e@Z@N*AK8g}1YagSm1`^u@t$dnxmlOrT}%v6SELU$Q8 z*R(t7Y->O%50^77&pCQhKlwW7a%^w;=x*t2Zuj}e@1M9m~fQC~^~{KVII`~c>T z%|arY9b_kU-x;Q763D^XkeIJP6%R{3l0&z#yZf(J#W`Wop}>NvUnDArIm0KmXKG$qwbyrCgcO$ea?-w8Eskt}jEgPjfC__)xawq+Gq4wndP(LU8L>gEzP4Ep{-%8>xuT<=8bES7pnY1|~U6 zqOYZ0M_GU_TlBKldp=|(j#&Gorg@pX@%;yd>e+{%7v1R3^Fq`QTIZRUoG_0xGmCo5 z@{6B6=B*0=on7IrZw=G?EWb}ay-y56eYMZ|+V53&>LB#%Y@bYj2;v2+!KlodBmONj z^<7q1XRiH;CbX*(vhrD|^2k%4_WP5fnwj&YqZTvY;QI?-33}YMI9}bc(c2JT@wH}M z-T;@T>1r%$-xIb#aNFXGY;&nGKFHacK+1B%)G<4kTHTjt2Eli3^)KG&N9lo!Z!0ao zgCYy1p!PO#ict4#4YJC;>NYsn&Od^@nG9)UJ6L$%4#GY~xR)O1zV{aZa?VI@m&{e@=!KdX^YzkHiXOSs0 zsBiqY4GPYg^SXCCoU8p6-{eb+Kfe;^(I+t&*ypDQc(I1H4Td^Kj~bEcL{*o;5;P_) z25m&L5KT9~x4YZKOmK#lNsQx9It&hIh@r%MX*jYGDCG#eqJ9ZE^uJGHGRcNP5D7T{ zuEhtD(Kw$(D5*YJBnywTGx!%bAsBxr^1DB5U1fW39oJ4C)qnhHaP75wL8JQhjXX*A zC6mSX_YsnC&Y^a&)k@E~E@fAlLAlMsI1{1BM^(;i`%7=$S~Dl-y#p;(RyxO7K%BQhZ^?s|Kwnd623lz)A~yGF1^L!! zOepUgF9gh@^FI1w0C4#1CeS*;>^i3ir5AXWf zowY3z^_w&_v-$6EkJWH$S7c6LH|P4YAA;J!tQ{vr+A0`MuqJhv!DafZoVx-9E{Zs~ zH@!Dn50gJDMhubGKnnq~f08_R)7O7Oo6h(TB*YmIDu@4ql~u74CJ>kZykNtd=9fVI zf)qAC%VkRpIy&;#7mVs;v)B+A(MZR_xt(wr(4xP&Uk9TO9tIE;!D-I0fjKN-$6W+_ zLLdPeN&gf(MCFr59B&gsJ`|xXOUozbM>2x_>?QZs{v8!O!KNLf&QJ;mP`b z#r>FbH9%QmRzREh(@zdRkMd!`85-xTZ7eXi;pa4yZ`Way)b67MPI=jpX1j< z7*hLLzdeb2)IOQbIh+P@vGR#bumQI^a^4?<)QvF*a4IDSf1)h61s66oHQ3nLip)vy z!B=i#Z_M*gB-)kb3pZr0)jEn0b?}moM{`gm;B#VtG?Gr)>up{ng(av_bABU7L}A|l zkVw91&j$!GhFqaZsS0J$qb@vzNi#!5+Pzg4Z0f~S&P)%Dc(>H z<^gWzzYTmhUflVmE^{I|vG1%5lX0E<-IiX2u89mPQ|@Naq3j7k#a*I&#?I%&3yZ8& z5{{$%kDvu!N5y!PnFLPq4tt%1=$X)Q%_LnG+K zba`}voKTu$E)DIFDU;~2WHbtE9X(=tg&(MBanwrgnOSql*UxiJf`T>Sk8l`O#BzN) zyl?MPERs$kt*Pb2Bu-@Bs9T&DqpK?|DpZvi{qH|y)S!i1K+HC9)m;wDF*v(N6dg23 zeEUh%KX|Y^X=cVn(j#533AKMNsS`rl^-~KIBoLWiRqXF zwd5AnA?WxpSBq+_naCr%pm#iF*$IWKZ9se*cu0^nXAWvbQ9;o*tk0%Wrr$=;C|Hf< z1mMtt?oG-D`0*r_h3Z^yPN7)3M$oz+ZPa)Utp8GvsN3oB(64)A7}|@RtX~lhVk4C{ zlBRdG3=SQ9JLl2=Ks+}H+{O21JvJEW^qF+3o5MAE**7c zpK{&MU+5%`;#J+o^tARr(rksv=yr_V#=6ID$dohFNYpjn4T+WPif{~iQw6{_=bO&x^9?8eUOr(#(@UG>(T5M0X!Sc)vrf zEa`jsmGG2+=z3L%%DlIw%5Q~-1Hs)#W~{&O&8(k)G=ku>-IHIiN~95&dwLorOL#)v zas6Edkk{-@DgLCFnv`_0vWr2HWq@Qy!nd9XIjBP$pUsgeKHr!U8Mr-pR8>J&algHe+vzFP^&Ae*=g_A=YpU!%g z>wrlR=x6o~T>7h*_Y8+`)`Xz&GqJhbUf+eC*>f5_@%&!?*!DOm@~!fi>w+Q3244@4 z{DF&iiKOCUGkip3ILT)txX-dn1oXOgDbU7kR2qg<|EQDmR`)WlfozI3#a7p^noS3b z_J?TOTP2AOO65x#yfQ`!xq^63xG+w@e+pATz#blPSBdL3MUMI2O9H3Omi^(s6{XWn zVf{<=^)UL0Q>6ia9u}~7Is5<9_5Y96EfUpj(l}<>2;CBis1aEr~mtif6JwZyK{H_WJWdN05A`zzvwnuRPF&jh?V8YSwle%z_@Frj99xF0;4 z%VO8-thiO^cv=(UEZ1VBnK5>w9eAA-&Xr<>cc${DcC%;X_EiffS!}#dSfzH!A=F4I zL#y)0vry>|Pf~=#c#lfE4xOF!)F7|e(Os`xh5KEcnSu2}(f|=P5cz8`9>7E_yzB-&*=Cp5>e)5o72; zep;%FmRGO=tez=`?eq>ly;^u`ep$~swub6?WdsVF_PrOoGJ;uqfq!s?Hp?4j7W~ix zUOUzay7=FVk3v`gWqaB9cwjB>URJ;Zb9Uaj-TTGGD~HGLO5QH+M}tOSc-4|6-T7TNWbK(+=){@eRl2l9bOl;UqWA^ zo1aDtFWP5Y(c%v8H697r1i8?o3*mHq|PbOgt%QcN4&) zS;m=r>4(lT8=&T8?s!DZ2@;>_k;YxWXv;^hw#t@e*3rG|jJEBOi>9sJZK_djW-?BR zBWI&b&CKdE^MM)--X@w%8jb5uQO0!#F?JJW) znS4VRWzhhKp$3%i&5?G|^=pgZ%nq3e{hT7PqZW^1CZn`VBV}U&RjI8;c=aAem z+t*x$5%nMuDp3r?q)0KEeGK@vK+#+h9#4(jP1T?mO$<#sq&L=$7D6uDy=4?2dj=rJ z$8+{n@(hU!lFL=wJ*PB(r;g%9pF+)5VdyuN5)Upz+GMT%NMbY$u*iksIBxafYm8^U zu{C*1d~VpjjKsHidi+$WNeZSG4Nb>+$%`bSr#S7ATEIvW(L2A{@f9&hQivuDlk%aa zFQ3Br8y`^m=G?G5SzYX}RioL?Ub+GHus2M_@>?IRN4FW`2x8Lszna2$3zE;ujY5g> z?+_8HdOmErwAI{LN!>ff%5!Gisw>?_#~Pox$V{kk6CW5=9hF9PNoiJ)gQi+(GQ4eB z!s;|E5e%TzQs>F_pm+jF{NAm*GSiiaYhu={1{t!zJKD9GDF&*FkUni+v&=Zzsx;oB zs!-;zC7CYm_)}42MH{0$b#fSw)ofe7|F5!+(@s@D{3t6k)0OzV`AdbVHjO|f9UkmA zP3e|^FjYlc{k)_^!c=prga1p_OL1_%k`$MHQXSKnl71VhEJ;gC(r5-tU^Pe}TDb4N zoQhARp&=8Rur76nFW9E%3SJAIYtzu z7<1=Q+mhmia!lFu@N9Q4#cIjP!ZAlzEW7y{MmYG)>35+~t`j7074L=<89{b{ z(|TooTmzwRIk0h6>xPz7R&ksgZQtC7`gkA5$JhxDbiN*!?>=b3lnNhO&tF*wN{Q9eyhmLG$bF~>>3QtE`U7>BrAI`kq8{jgI{bkbPY3x( zhM{vLeofN77u=}M+TKRwEzRSu#^Y|r<1WeL?nRc-Vd5FBH2alFRQs2#;f5(??Eoi> z5VESynS6Hi7y*DDd3DM(@S%P+C*s04&&17ntz~ZN_Uo0ISL9>8;2_@G)DPobk{!Ne zPjSJ)$E1EI1-zKq3W3XCnFDPhF1S}DOB&uI?-w*HUBpyiJhuVw-4SU;0jt}yP58^D zHjMRZCyHjhEeZRss;F&W0m7a)Ue4d(d#f*xXN&N<>*X0KvZ|PqP8==;iEt`k9%I^O zF9B{apZFU*q|@`ZSGE1_pmx36Ofmm!CoY}~E<%DNpeW`E>}kln*=(`)4^}&F|1sew z$^xENmde&IT^|Rc`cuBKa^}m0ikGU}(+DSqa$jkW`vLrHl`S1zpPQ$#vMbu^PIuhN zva6)|wXUvxj$d+KtS@Y4tk8M@E5&D@_6b zMO#Xuh#NR(CCX@yZ;{id8`;%KzhNivfKdYyF^H~x{qL&x<^1zn2XAnb^EibF0GM}u zpD6P9lH>A{1dI~|OvEh!eWq7YtM`qX7g&z35xI6=q^n}6YF(>yN-PR$^8!9v7}0{% zn^N+T4L+8;>*_{3V5ul3#W6cw&yK>GxY^4@xcY9kaeBGwbngP?aG0r$7IYI3BoDX8 zVK=+_KCDx~bG>NbZ6l}l;JSx-db(Q6Y6m-w&e(Le1T1`LT4n{=1$TVr(PXtecIVApqG4lz(7N;{&qTde>r0Q$^B4kDi1 zo8cw|`N)Hr!Ws3rvq055je6F@K$A$b&&?NZyvCq34lOaQ8n5EH`$WHae;lqdF@%1? zd)t8j^!-ME_L)Yt2YT7c7OnF5v5vg|B{TDndY5k4qNIaKQcOr31fFsd<~DDcB9ykE zij04Gkqm-;tuifSRU92vcj2$XFU{m-i4b@q&xqG2y{o1O0j54*BLoFtnq__$!_jyA zWx?z#qzWFD)~__2MZ3$lL|w+25PS*?r_+A#he4p}0p7Uyx!({dYq?H3@xuy;S4HrM*M-J$iJC==>kT?A3zb!A)F)TzKDBUff ztJG^L6yH`fXj!fGi)0@8$?DeATqug12HPH3ClqBV`UF!uZ1nxm>ph2(sL zf7zc04o?x%&!caTpYxQB_IS1)g)1PpEVGS+a_kv2!4u@IGcUv(=^D+!VVzL6W*x0k z4O71<&CY9Olk}VCI9?1L>m+`A{9+QvHF~o0G>9_`ncz1sMU3nDkqnX7ubYh>o($U4 z0*2LEI~*8Ed^S+e_lr!ieB6B8Yt9hbfKvOv>(br6=3br|RM@W}6Fs84C0{3XQGC$Y$R8PqMVD7B@&>>GigfCadbT3#dS)Y#tBsw@U$>Ug+~1-WN7%L^c=v;Iol z*PcU4Z3qfEJfH^SlPnoEB>kpzLxR5sUeqbBZU78I8dhb8F*?@8@m@0&pT6E7Cy7bO z@91TJjh6RvXTJzZ?FX3U&-4$BtzFX&hQ0o=vPbGHx@o}&M8`2(>XkMnp)tp}^9=S3 zRqSF(EKd1vp4ArK{4);LyHmdmy0n^Jd=?>G3&_oGN3sHSiM(lhGeNuo(>G-pXzomg zzkgfC#$`wxj^dDHb73(x9cz8ICpXEwX>76%6|9*{+f6)R+y>L+s$0B{Nxb zKVDO2sf~aFkuZ2lf;v}sj4j`Up1(0|-jd37CD98fi3?P_`?#YCK?srBbT(OkrBc|s zQJvxLWRbq=HQl^m@vUR@mPK$LuwLL^`cT%X^^J75lx>t2*UxYKi1uNT%djd_{NEQS zE=BjAYSi5@pZ(gCP#+JA)EW8V6ML;h*?kS^0Ch?X#4S?GLuM{zxVG;t63@VQK+A)? zd;;(ApM*t>IJ_tutzym$j*eOz%QaBxUCdg$g=uSLJ+)&h+e{y<%THCxxs=!)jcCga zs=1A{*sw*?W@FD)EVeQfUt0VuyB!}53=^ld zSh{~=m5)(j-qBLu=lPP1>dIioF{<`{V!JpERIE%Kl-##SqfT9!&1gb$s>B# z&MScGl*dTXq<$yj%gI@PFl1xq(&k=ovm}}2qcD#q{R}AQz6GX`jtKE$sioNM`)tj8 z80gjf(d=f{Q3l|x05dCZ!(Xy^`!Wm}ts)|*!70_>|LqXAT;QyvokyUgbxPgVU%Xsf zsQvP$z1poGAlJCnc3_B4SWSG2|7psTtza^*GwCV5 zqw?h>p1$tBr)I$9=zTn4aLD?F{9F&Dfb>)d+@33<=hAMksh+PJ>t+wNDVwPH`S0^- z5wG>vYgKpJYH}Pho@tlLWZFExmDw19kBnQU<1@)@mz#J|WB-_i!<$;jT9=>CYAlqZ z_KIp(eYUPt`K$eQyoqcVBbonI68!_>o=VMJS-@$GwPq#!D&>j15}5 zIv6Op7_3`;1V`_Le0XO07^E&2J*}Kg6})z&S)EhrRVv#bcS*(yr4~``9TS{fK~;5WXdYmF1Fge>UT|(D}smzAm063C$-BiUrDd$p(F)rzrfhWxdq8w zG>y!L3|`R=H4|^j?7CcU&SPBcacuh5CDpg5V+W_W3o$ z^J9wBH@+Qv%iX(^kxR(%9iK63OZ|ON`>odJt>qc#t{Q8<@U`sdG-a3i#t2;SK=pp) z^cJ!A_*)x%ROK_$>5~8$y4q{GiP~FQXWkj!!<0*9o60w#4-CXOH$?c|YITbJ>(R(YEI22cK`!V; zr#Na@-4nH$A@0Px6ay$W9C~e%!GJ-&7h$aqd-GettYo=tsPZe{FtVmtYSXPm(H-Ep zR_qIwvA8nB8xGlFFRII=A@&id*YDgwMxSn7!gZK8k~uDvRe-#tu>&+)d|E^Buq=tNqVsLW$Lpcp;`~hb=xyW1(=E8|~6-gNE z9nJ~AT1vZW_JdGvMi6DyJp4g=S`IiPh`OpC{_tyBwb&d0Yhx4s@MC(h(EI~_6;H7N zC&!0XTnlwosL3@Nhj~hY zd5ZtT5-mZ{Z=g~`#E&)x@izDdwL){e-<)xI9e{>UhYyHVKrGHcE9kimmia7SgJkV#ATY>(B5-G=f8qRP4#e(~+Q`3X%xa zJ1i(+&c>6jUSw04WO7K4M!j0ILHTn*k;EZkp>E-!k+a^tYZW;ikX@KnZwPLGAW)p4 z-P@`TGRWiq3Nv8$y3H1KvHff&rZtf5I-8#AsCxLd4i~6Y+hp`2v^O(XyB6KK5!HM~ z@ptP;qW#@03TZtwq#C^GV%s0$`_x!%hT-iJe{-;y^zrVbNrcg@P)Hu+)7CuTzFg`9 zq5J-mOlL>ry%E1W=)#TsDnh99ca^ zN-;*-#~}8C_IgEBfHN^+Vf^%Bwr4 z1PYuld$|OM#0E96pobxHnL?GU-5KpeZ3vDobnH6k>pYiFR(;DW3N(LT&VB*iQmr)I z-hc>DMnVM|*g`)PH$I8C<_$QrkU#MwP7W^QdK&7~(T#BRN8>))N$js|RHHd9&9m{6 zg+yp8iY-YU2}Xw?BvJfS_cCJj68O48Hj(Jm3Q>t+jD(n9x8|`F-^_BYPh`rOmAO*i zXqFD#+sB9X3Dxh}zF%&h@T}nR7}iB2=EmoU!CuH?5P~Fx%kzIk5AoLG)CZ?_t6s#K z(LOU}SPzvu`TSz-Zn&;~Nt0|uxk^=YSpL}S7OWCfK`tXIam*PFpo1$TT5fXc&e*O4 z9OciGez+#6r6d-TzuX^Ie!t81Osat5r{2B%+jZmzA;E+wNi*ITULBuk5LH**AChX zseZjIzP~T{_gM4*Rs;j{ecsF3J012ozw|y$r1a6m<3yz5{w0|+yfe}~7m|CuGz=v}=MDhn*%eUiu4*YClUJmKnzi9j)LLHfoE{&s*qUbtz%HlLT1RpP* z2o(eYuZn8#AB*{eoy=7f%TVYO#IOwIKS3PJP>-GL676TGmng=$y_Lx5Y(Q9IAv6{( z5bm2|GOBC=197U91}{rogL6@r;G(Ue6ra>F%a@|rabcqgb)(_fWtZ=P6!a5;VG%S%cRHh%JD6?WM~mt5VU%W;zD;}ot37%BT0ZFivp9{rpAhI%9prQ!v9|IF9^ z;ST}?9hDr6BV98(?m5|r4lcG!XSlf8RwB0GL;e^=r_0v$-oUkFYY1h zx3Gi1VOdI>%f&rHM=u!mXg?(#X-6OLfd z4)61SB8)d8_wLWl{U`ZpvKge`gOZ;LgO-HP7}&Fzad&5|7Zkc$^R_L9iYtdO*Zufe z+S4y0pv22`P?tiZhfV*Ey*O~=9|gELKi{&Cox52MKQ*_ye>e7OLqOMb6v6)S>43*! zsH`JZ*s=}?fa-~_^k<>DU)am{pp)X0RK24UzE-0L9XM)?%+#o`JmRzMr?KsS#dl;N zwGGilb%Pvr{_Z)DSg$Y=_*D?}*JOBw&A1JD1u}Fk_s*CKFI5x+hpA)$K|b`FF8*(|;{yUCi=Mxal<>OC z)Xv{^4QOb4)+njqbLk&&DsXQDQ5`F-WEA*XwR z13E8oQu{8Y3+Elr6PlqykUa+4-1qVH4Run%{69s5C6+X!sLLdw$bU}B!v#yuvPdB~ zSQY;S9nqkiaI^9TYa%M&o8#Xi|2Ot|hl<4=Zo!9<*h2s2qxz#^nJlE?+!~jA_54b~ z#YO81bpd5N5DG2{qI_^SmQjGp#0<{F%k}m4a5(4s_%E{2XHSv>_XH>Fc0o1;JJfw) z?33rLW+i{D*=khyB|vpqOcY9$MMD%vdf@#&vZ+Qb^6`*<*QO9tAQ)ImLX+S6OXmJo z@fgPh`7?EOuTT3ANhVjG@ec`<=5VBeFv*`z5+Zz}Y$76j0P$H98`&@7xW=f_*dpW} zLQ<;wAxNIzw7iR)t)AWsr#GO0!)*f8cASZs1&TjLmW>qzb}raO@r}ZX>D0RFsu1!o zV~y8&bx>F)FuKSALm?OdB7^Rszk$^Hh=g|7u;9W2@o!`4_jPqpN(WJzdY~v2bQ(Wx zZY;%KbDtJu9whX~IQ#lgZY^6=3FSH+)jHAObL=$_81z}`nHGXMJv&ZJr}RcA5*F`* zVXG~J3>DnTz$55kU&oBE9hm5D)Y=eYElw*)F7?q^g%L9J9m=XNn!@ud{$W5LbMs7` zCb4@LlWsN0$gW+iv$Y-^iGE_XeL4JFAiiS?@^cdMb0cyK9J<@(d0di0AbN`=RIkI_ zCTAZZY|Fu~?qNKvn8kE$w*7G5-LqzpGN+vq; z31pI^vBhJtocNB3$UK_C{G!49O2I@FqR~lYQ}JX&Be8psJ=uH7|IGDkxw;$_JR8LM{+eF3Au`$#j$&iPZB4jp6$v0`Z zoEnim5|BO0kv&q7J*ttP{gI#T@NXrBuaA!Ry-cY5pn@I=>`j);qp|gFKk&Kb{t;i; z^wCdrA@};$t;1nQ3k<-WgCV=K`wqMO87UW_^WryzucsS{s4NWHo8Z2B${_>Cr5E(0 z&}`$VZCUNjTNkyKcOkRVQNN)2rAZ!XcR z@Uz6+cJr@7-+e_JHxgipx$7o^ij+qsJ`Lj=$9i;^U*GB8nM#tDhsd_j%8>T{Q-XaB5klw)IU|Ho+8 zIw49xW=)Z3)oes2I)!X1nXG!gsK49{JwU8Qfua>r)GIEuS&?%e$hJ>_?^uc4A{VU9 zENTnUFTiV?n6yqQYMV^fG#pzEu?u=AuZypS?nTNu=F}tqS&^w_qVqv6+KC3gBxbkO z?r=MvdB*q_c8UhEC(GC9G=z(HY1=YDVK2r9U&hNTe=hiKCbaR+7%;5_;Wz{?6`pR3 zn*kjJilUSUh}~QG_t-D-`$R4;*4D+Fjl_Pyz%!EQHCfhlJ+ zd{37)1cL1M##IjgW~|#)@1TWoY4_8KI8JRp13ND-uzCe?QUEaWH6YJmD#r$(`{=GQKq?VRY zVFIaiVUG}r^hd>ktg?qfs-WFjvJKxiuDXq8^;XV+^d)WNs)-yq9W&e1^*X1a#Z2w^ zM6F3km1!49Qg7}7>PPxui4+5uVRa*KqA6%3zAL)!6_2q~BNS`Lu@GSafj;9vl-FaU5Op)2=n`=WRD}Ua1aiXer~mxPA*ei4)86# zdYlJMMu`kizh!vCp_{&FQd0ku80v%Asc{vE;BHn&p;Ym3hNPVK zwtni0b*JI;FdiFka1s78k`A8@iH#;=RkLeq?mR$=N#7F90HKs(+RYXp|0JR~tBy{iwkDFj4(eMi}y#nqILZ)J(^bIiME#bW{h^VxYXEH+)FO z5OR$cHK0p4zGO(ygZr_`Q%#KIK!+|;h889hY(hoBW~>}s5l>b;63Z%lJ5+@*-dtfE zg{(mjx)Z^ul@n{1;xrZ!4OYd=y6pIh9xYR`OsKvQ)5s8YSQ94UIrhlSVn%eTODFox zWO&SY7@L%zb_hYvr=ZLIV8T;=9aer_LVmr0`qEi`9l!gAfY@y>JX2s!Y31AYd@kga z^IWF&gWhET&lq%INH7eTj(+ws7{GuH`^Eg!W4{% z;lPB>Ux!EFnWZ{|@uoUK!?kwT7)})&yV2+)M|+=znux`kKsOwS_FUNjAfF$oMS75VEP6)BpPwfPYI?n~63i zBd7~etNktu+3)yV4}(6IJ2etJWfi(t@bpc1UGk_Jr@@HF+3M4JO!%MGZY=f+s@G{V zcQAcW!LdQ>@D)zs-${Q0!$nyA?gum1J%w-q#B3p}E+9g&_wI z7ykRsg$oF7*fh1h&eWvwYhB3;uY;l!b^#-`OKgWEXpDZijpvZ$cEPm-yzr7}O|)om zA_{df!5QB~1*t>@;X>C|r^aO7rGveH1bZW(Zx-qCakF$f)?Kq*j@1=eLBwHRX`+q0 zkfffOIN4q+I8m@%`Zi08tuv6@LW&HxSAQ;bqp{T^vDIU-S|-B@=^s`B8bu3q}UEMN^DyMwu`i!a|#&;01>XNcv-*p7Li$X5x1LT-wdQgafQPe_G#M zknbiC{PG5Zl?Z;d>+T^5>&ww}a<}2n3EI&`vR@kwN!-{K`yytv5xxV(US-8ziQv`G zJz?LG@EzNhCyB{-K#Vy}?!xo%e&Nv}dGTPe{x|YnX7XJ~(x6lU0N2R6=$$e$E$VG_71r9?pej}N5A~10tfn8? zk@8+gPP|^(a~o@ms(KxU_si~DUQ-{oXVzEhh`P2r)nQ14HI%M-lUmg~-?v8QxNNdE zZELL>NjJeTWf`sUM~#a;d#)@eZhAYr@phwlfX}=9hixAVCKlrGqG@4+zis1ni!Xk_ZVNk9?=b6r0y!4Y(lpGprtwdTS$ zI+3gE0>=3B*dDkfPRD|CJdfw4+xNZ%s(WN{`R9a+EW0Q1g%*-b7JYu|l`rF4|a=Azq? z#*5}xv4>@ET^~J{p+f{JnuN4Hjj2D)5JY}c(2*25_bjL~g1F#?ys1N+o5!gb5;g|LG3$h4ehN_-(Bh_`Vzj~MC^wj&zs#9_z<2cWk+>zQ3&zk z4G0qI+;t4>lMHxtu4$UTRJ-e=;my;1+?a;)#Pppczjp<`rx;~x%@sB1K)*`*bFGoT zYL*iR_Rt1e9uZTmey{6XGilY)4y5$%6@_j5FetyU(BBGs`(EqwSDeen2I6fa$8L`I z23}~}yW{H}H3;&^sJ;WurKYSdh1|cT`T?&$V-4+$F~({=1;icfIEmvBpCxqmU>~XS zl2c#E7!76|NqexJcHQ>YSM`kS+_Lg9)ms$=HjOzzTmS3@dS@~JLbJW4@hJlMR-F`e zOckE@KS%XCX|K8xm7eg!k!KnuuL+&LHEft}{bQ~{wA;0M3XXQ-8{h)huaH2s`QomM zXEOS6%d=LGzZ!!wirtyQvDT7Z@K#(<|KZ-yy{r#;bsCPY)6Pb%$GEqT()F%5`!HfH zvuE=%cY53RFS|PY2%rq%`C-7F{P=Jr)6mhhRGtxiCnx5@t`?=saAbh4am`@9hW zwud}Q*K$-9n3B>mjd7o(-_~$Z$|_4nROZTr9_qGp{Q zQmkw_wk?*9EC{%W`MOe2)H>3w%*avMmJ_DS9vtx&j=t2Dd587W5DKMRMUcV%wY!F9 zlHs<hK+xH70_018Br%_5_dQiQhtA0@*mT(m=YR4m2djmi(-y9-hJEz;p znZ8sjJ=cr$R;jOjDiz7`iAV<8y>E`KwG`L>k|G)F{s8EQ45cWO>uFK0fOxDyKYIKI z_6KIDD2DSd-feWt?{j2O!D7Cj-W%Dlht04rGqKujCzMtHrhAw^9>^of)QAwq5;fNk zyy&*-QPk-xYZey82pOI?TZ&w=z}&Nd2#vh7bRkD{NZ$w0r=KkSZKIzwQo=ylOk^cG z&;|82WOwnvDLRNDI%$?-B{g_DOGHSYd2qBgt7&wr%D<&}L3XpU+e3)g>~O(qQ0$c1 z8f}+IY*yb}=MIrU?L8^| z+qR5_aQ*O@$DES>7X-c)sejk_J;4AipI7AhMBHD*xIBr2b2|U12LqqYQQNDVGze=c z#ls`e_RxvSzW%ssLesVwidU|m#zs)BF7aiqPsyV1wjY9l^ObPO(*7_9n^~QB5uqHX zxn5|~uq}LgH;}GqcOK+(KLU(uu<>B@)syDr8J{x^rme z7C~~yq)T-IYVTEs)qC*coFdSe#qPs z+66KQe{UH?lv#F9!@^yi+0&S!PZbYtUDReYCXyejbAanYc@sw5yV2@eJ0Y*n^YuOy zCSs59p$mVfROP%s$ov(fj~6i?p-G1vT&ggICPmhQWv}gmloi_@J&*Lv;qwY&PX{7} ziKb2#KCYdXuBpnM1oNP>cf}un>_5btE)G4KKA-Gr*EH> zm7Dw?@a%NQ`7UWB{tV-;P}Zx~SrYVnOt>~{lO7mzF6NXhsH~%Avwud$a-RQVedNq_ zLI?GLip8oJB`P4ir-*N%{Sp>5tOYTtMDX;~GjPV`&+ZRfaayLDPLGH?U^sZrR4u~r z3lpifznEnPiWzv{h)TFir@2$3G`mBkT0EA0dgh{z+>wNeSysH1a`0he+`^W8wOog} z9``gZFeA*hB&>Au;@U)=3Uiy!?;O_^`1q^#QX8pU7zvm)qY=?2XckXHvAHm(1*UK| z_TnnNSt<42Fmp49CF@1c>TY}B-_V|aW0J3Jko)pz)Qb_ p*)*!p%6^m4rl={z(xly!VJkb42hqgi)8yAUDz;&LK>xQ_{eM^*Admn6 diff --git a/cpld/db/GR8RAM.pti_db_list.ddb b/cpld/db/GR8RAM.pti_db_list.ddb index 61ca8da4304b6742ed6ab43c2fd0dc55e7764c60..89aa9b430d177793e191e5a385085595d88cff2d 100755 GIT binary patch delta 12 TcmdnbxSw%C2h)UviCv}uAQ}YQ delta 12 TcmdnbxSw%C2h)SZiCv}uA+7~1 diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index 1414cf3dfbf07331c8477b6f11384b616e457126..ecea7f411ed338390865827d737fc7e424d581d7 100755 GIT binary patch delta 66 zcmcb}c!_aBHshs)u;+>r_wIdCqiK z^~{;+>Fz-W0RgG}3HseSe?KDMT*J)C*~-D5h?$jviGi6&`R3lS3sBQpyl z3llRdk*b-KtCfivk&=;#4G}Yustl2)nK6;Lsg=vOWOU7c)PaDcGyD$&8AJRp=VJda zcLzQ9fyvZWPx2*aqJo8nP*$o5Fmi@GK2=~84%R%WA|vkzK2;Ebo^_dp7Zb6t2<0N8 z2?q=Rv=EEix#D7tgk}LNs0)_=k>Z%<=z@oix1y(nY%0yN)iUPwME{iiRz4?9+5T2h z?zY9f#r>4&Jk{aQrruyRS1gZv!;p6#{B-VV(J|Zh(;8Q3;*J9TZMy?}vs&m8e7Td3 z9cn;BtQjC0hsZV?&S!YLO@4jCkKW^ntm9drogV1MCi{Us&-LTn@M!j|A5YAk2Bgvp zzR}5?6xND@o?mYvn}7rdatT za(OAmGO*|tug0UvOElMN;5wdFQZhY6vl2((s>V!qNFqaf6_L`8!@eY9ZE<(Ek@F{m zxaGp}i62?Qmp`IY)TTp;fY1xS<ej4&896gmIL42FlwVaCiC*9 zv;Sd5J{mF=iIP|@KnOX21WX(v9Qr>1(-IH!8At}EL2oz&+CU$N^_k3m zpg_=z%5JH6t5_Y=JsWM|e4q??*9}R!l)T42MzB%5l@z{h4oC6(r=EABKgz4wo9~AV z6Q^;qWc6EAWu`xtPg1+dU9{j$utOVExz9P-w&~CK{Sf4F9a|2s={IHoSMS#!AP$e> zZ^D^+5GjFnCc!L74We~F6Wc`L$F~WaJRDJ=bJyXSGF=}iITm!;a=hi!c}(8@xv4N$ z{IBUI+Jm0h?28(E6`H#xXX0)1=WDy*#%4I=AK&Rb)l%T{iY+kZ9Lh?s5pl?F9ZSFM zJ!!{#^^42dYo{7V?C_ei zL1Xs5tTmhOPv6vnv=`=;J(K;^8iVK-OGvrfgpaPuyM&Lsk85|5nBT>IV@HWHOF=@+ zum23aIb1J9n%Jv4gKmY_w~?UtNQpcq;?~Okdb15dCD8l25H3-Pe|5m#KCzg*&)x`f z3l4izMf-W`+B)3Cndm<<@*AyezOT)`RNO)6IQlvw@B?U+y$hHNJ>pGw;!@?Sw#$|Q zLer8+;!7xsj_XP7rqNrp^QL3?N82!q5Rb~E3Vl#3jn8PEZRe3yMk7Nt&@Dpe=5Lp@ zDZsni>r57(oPTr8h{)RcuUA~5ek9}Y{i_E-wt{PJn!379RgaB8g%o-QLW=a1@f0Zh z7vt6VL@)Nc{5@CCNcrOCOq<9jP3Nx>0puLx%>lL0v%~Y2p#?uD$f@MJg*4!R?bN<< zv~(6Ey1JF9)K7_CYc*nSkBJ;1aFpqoS8*kegj^9?6H^;4?8ZW7|(L}X02U^kcBI2^ekiue4vV}p}nJMoR ztkLcxxDd2a?4Q($tY|7!7G#C7l0@SO$s!lt_p>7SQP6)lNZ5ifJq1=;NJ%R!&qq-Z zjyh@-v)NuXUiT?-qp&e~rq!l@Zz6ZpCy()#lJ;bl;lUir9Z4}+$Vdiq&+(w#OW8R` zhIYiUa^&ewP6s=2lcJ6uz{yb^A*YPfO>m#~Jvt%0D={1`BOW**`0-dApB}SI3R2ag z^gq^!N=gpHHSEXd*GJf^8pE#W(%^?T*@PeyeIP3hoD-(UxA_#u0+lA^Qs@uloWD&N zDpHz+ND<+#*MU8dv!mXRTe_#MoHtNAZd_gGZa6G5F^7dtzCp(NqpWUxkZC+W2vgaj zP4ZhWb6y7bH=1`xX~XVZQVxY;c=?onqxtGgbJ>wVP!W>Q=#gdU*)7v{d2Ob2RiTr4 zOZ;fsp$v^hHf>pE*nfc5_*-nwac^>tHqvZ8KH&5pDiWo zJ0ef{5-L?*%B0jw9i?%JXZrI}qkkB!$qrgE+2fryBKTA$Gxex%P4toi4s}(tlWC6P z^9vre-2QwDHBhGD;d2aH`#3wn%VbOCaurJVi2yNlQBsktZ9Qufq9^XSM=;pF6z|d z;lzc0r>lI(?ty}H58U8z*pkgzeo56?5C%hCQn9 zn|ojDz|<}Dm4#REQ@ud%+C_ntZ|#n-NHx+0%dJep$Jdn;$~+k-vy)7d)G;jMvTZta^d$Z}IpIMGE!uCY@{zgURYmf+65*^84vUN4myVxqy)c@^W$5}C>Lj# z9ie{$cj!GQbNps`&hwv?$;C+8;OZS+R6~gzj?L8v+u~-N)xQqKS*^Dg3mhB4O7_}B ztX*W_l(JU9ho?foN9#AeeiM&kz(t3opO+LAC=CS0)QwMpaLiT5t(Mhe3?$o|B)H+1 zC5UZ|1Pdp~qpbNst^o&ajRDU$2}F3IO$HCz9oKYOEo#@j{~Kt$EY6&^s5B12#kP9v ziAP^ZvI$K&5KVDDu^;(6XpC9!Fj9Y&d zIJzj+z^Tj6Hi?N^-9vPkb$U+oxtT%89e1!PT4IyyWv+j)NgB0i^1NC}VAQ%jOrbn1 zpVr{=`X?k-4#; zSU;pAX5uBDO?gj?wCK7Xf~VY+J`V-OPfFH86LfFZUukuWjlo*gZmp#Czdpz`YlSEO zdU3~sojxts1p}7Sq<~Zwjgkw=mO8;qkCuHyhW~*QzM-*#Z>XHg0Myg4CijwBXec{i zhJDk0H1hXCVvIOYDR2_;(48}n+|yb1zMt&=sluvxvdZn-SBvF6$N_sn$hm$HGLBWu z#)yc?i6td~N{zDpLg;(1sh-jOhvmpq+nn|ry6xTf6)9Ks5VhyD6f zvx*_26zj&JIE9`%L+sPf;`e6Fmjs1e-9(co#S`kHE^};apFDxmpA{(6CW{hl z!?YF=eP5ySF694YgpQUy{y0CY(%m#8*v;)IBoQfoeej#Q&BYJXwhA1bZ$Fo4pTD!M z=69-)FB76GEIkeq3fo>w8kF69{OS)$b}mEFjUIhG^x9E${3odmB~DJ!IuR=N8db{7&W*)qY|PsLG~pIf10w7%s|l zg}kpCN64N0oe z`nkw%I+(s|-YENq*0rBO(0a;s_8gDs@fvFFWvUcRI8b*@_l?_~0n zsnKBI5!senVry`XBBjs({9Jq3moijN1?r+{*9X}}$=3)q`ADficP=D z1FDfsQ8eq5z*De6u{Lj6S@%Q}cnmGh^$?*ATCUa)9ui}VW&n-YjRWyKCq4*Gq;K|q;q6Rz^#=o1e)*F-(+ zFnVem;f8S^4~y&o#@Rhyi-ZRMq3);SiM^jI@n!uYc1rX8Btw7glxshG*E9B#ur8Yo z9`X}p(f>cP77dlA@U9}N8n9)_Votj@9weVzL`lf2=QgU9E$-l^AicgPHa&ia>2gL# z8EUJ>RG3z`Z5E@+W##rM7M^+Lex%&RZw-L;AW1%y5X)q%B4zD&AeJ;${z)ZiIb!z@ z@J8k7VMifJR}|%Z?5)p`5j9t4)gZ<(nVVRaO2(3S&g^F>z2DsYK&&w-@tcb##H=ap z0J>&AHa{G_?)Hd?6yF#CZSk=CD?tpP?;;-?lbyzPoop+Iq_ z`N1%4Kkb;Ye4Aai zS+k)IW{np0tecp!Dn7_ZC2J2m33`ps@$C_c^sA;}N8ttX(KZQt&Kx7IUk2ua%eLVz z_EHa^H>O|oWBWHe(xTM4i0b|BH3!%)iWe^ja*g&nU6Gnk?gs^0=4*C$X$c8F*S@e0 zLWJc2t}m@|P0amM-rEG1)z{=b=SPmy64^=fv&EVLv!loHy}=>(SCL1?1|`^I(WLGY zc}@Ff_qytS*fAkafL;CsP=3E;o>$KJ+Zy9>pREzRghr1zmsa<^F$N z_c*S>tme4R;kP{#STGUSY!!XDJ#(ZebWaJ`5 zb;7=Y%-Eyql{>KlK99~sgAzW?@nq){mm6Jy=6#%lk-HvSLfv+WHQC{?>JU}Ixf{h> zf}B84kO&s{TfUav)<_tP@$PNqqJyZW`Z;+5C(v1!8r~Ln zHe{=c-V+)j%5J0`S9n}i#yEH>p%f=&U+f%52WjqJkOXv z#B>p+2pZ^41>qiyG@s|3wC$Vauu5e=Glze*^7>SHX)Y*w0o7C(4YQ?)S zBh)NZc=Z+GNzwHo^N~6u8pLwbo?$AFKEjTga zq;Ib{K}tq=!~J6WS<&$|ge$0!9pS(xAefX!i2QXQGU!0&XHfh(+*vjL9{&8qbgS#D z&hp@Vv-ce5qx**U6)pFb|J*@4_c^g7V6oLW60_^(Z0=p~ywEiEEb(daWYDv8L|Hxg zEcTLf;n&~A;`}alw^u%XgL+f{;BfU$<-79vcE>mt=||>gWd2V2>AvP5=i7caw%F)5 z*5Jo5*F~5f`*|>!)Ai-T{y%HPpX&4WKEssZMUm;#qB>^hHs;Q+{eAdraJO%T@MMZ0 zCqvPftKN^~V~O=6!H&@4Yk!Av^j@&;>MmjStJJSpmE@NDtMsV{(;b90(w`7-=T zK;h?*5&IssI(33HESxBs zP9gYtvLsCES1XDxO&;TYkffT3h>Y0Rl;JE~j_sl4U^GUfp|_{jhb9mTUv z<;5amGNvNXt0^@rdmR@H++KShuFW#j_$A$C=Lf&q!q^Eb(#s&O(@`I1FM zu*t8`$kauGawIBQStu*y)cIfGM7H8zhUQY!n<2sA@jUl2{2>6fdUzxkJ{6g=2ca|~ z4sN8f0%}6$&HMSXIqA{XL?hy!Q(b@*yBA1>8erX`aDGaE6?l?PU9@fcj>DZAFst;6 zWO+npnG)n`ANVLdJt;da0J8c5v-&37MkL$@2dqZ{#sqOB=lZ|_4`5aoax0~#tHA8c z0$s^1E51JkHu4C+L0j6O0BMDEGID*efK?C|4AbUhmRlf|tio>;miREMqPY!}mWV-% z-&%eGPheJ?gfr4|H{i9&h1;Omyt$bcyUv7p8HC#~fhMTHHz6;JKvzM_Au>xu|Ho*E z3*qT#h3QU&)eD%_Hla3B;fjF8gj^qNU?;?d#`GbDWs|>#;&dnUs$t;ckLg27OU^)7 zHqxL9d#@tp3Q&%FOja0IH$@afNu< zh1&>#12C(9a-+yBb09B(5ErVsS#h}^^p;oB(*iK7J}|4bxf?mT9jL%IRN%MKvAJ1b ztNbw9KY$M~I20G#lMt1-Krd9_o{$%jFfSyqn%wdkdu6)^;sQU{hhLZ%2iS%JWSC}} z>LcUcil9WL5XK|V47P$rIhD$~a23jy0dNUlN(S4+2ZJXBC*sd(^;>9($yjmh%t=gF z^%FuQ{mzYys!>__tpJ1-&udBuzwRqMnUI#Xk?!9cM!pSwcQ>C}6Vz>m5v+`EB|@rY z%l%|loG0YJhT+^zW29^AiF!V+F2`&}uGV`l@LC7p`n^ts&H_9_iV&w>riO-hr(4c9 z{T@h)Ra}&AA&2EY@%51-sz~v(R4l}(@;8-YagQ-eq)vU;8|N6K4$D{xsGn3Z8&PM^ z6G*TlnK_B~$QM@1etz^6;+MIjK_KV1Cls)rP>>ZhmPE^tGhFGO`u-%`k8x-lVaya& z>IJS#ic^tYBj1UoR=#H|M5vb8k$i$~F-VcBkWd7ChR4WQdY+Dwm{EUGsjhc&!oMw# zwZIpA(G{ZLB-fFOOKr%=5vvTP%m;<2QCtyE0M46}5>qEX&{AlaWvi7}a{BHQi!!1N zwLL9v#ct%$SWq2*p~Rh@&kuhpu?W49!IXt^mPMloGTE*MoLM8QB5M{Y+Nv;Ty+daS zyUPCIJBNO~($WLd%d?AiKi0)GhCiJKx8&n-fsB$2B_MFc=Q%lt)*m{oQ6rQeGJ$e9 zX$;bGAoCIuM*i^_nzmkqfYA>Pq3IJ0-Dyya>$Jktm<6!oO}_o8yLhhS|D}B&k&4j! zV&ZkW)|=wKbvv|X%+^o(csiQ95qx(`%5*yOGSr9~GZH_Nv3DBWRmY@&C#zF`bnl}p zlWoh(F_eUn^VgftUG_zzQ7-yqjo~r%Hu)A>z_=ZOphq%NP~xT_AOT^mB6?oHvnh)g3GSM-?R z;k+9)dD&&(`FPHS6M4>B+KADKd;>TUZfQ$t6zzoI6KN40sn|*ho&(=Pl}kySZ>Drf z`OrXl??(2>i~7de>+@RfVP=F(DfBwNi zC{|%rux%{hO@vqjBP=!Ul{-Z3NtA=w{HAz_dXo>P?L#xsudb1=Nr{Vz@ zniyi#(5DzOF36-~Oj3ef*s@F$a`#Oy?8Dl)s|#m{5&DU`XDDBfC2!H->Zg`?SCqy z#exqqj6B2LGjp=Yy0Gi%^%{IKmXa+Pe9UAvKBD+`I8xwoY$wL~qaJzHhJwY81>PwfoUg`EZCP|XN@R_}jTXeLRq41~N{wIw}Vm>*bGcM~c_ z!jr=`u_-5JB`1lY{CWy)R+Aw8P;D<96nsf(Z(_a0XMyY_uu=KwbS zhH(VzIq~RQmMCeA+kGe-%H-K$H9I?lr30>7O;HP=Rk*J>s){DqT( z6Y*#T>e_q$DSGvZek)J=Y)c<)`Gvl+-BVrX2JPd8{$i7tnL?YUV58>?*9NUVy*_=T zdsH3*BcV>a(p-nqg}#7-+iNK$Dy0-+-ia^u4*RtBzri_0q?s98d&QLI@!Yv+KlW_( z=}-OcX>xlDEUNtUgD6;K1UrrvH9GU3FiKS2d&WN$&j;Y*vv z8t)D&pgK%}!m0?c@P**OiaiJsI3W?aC;j6=Q0BoK8d4x&0Y`fBc4e#H$M-*n(K&)w z%b)?5e>gq&;7{^Yo$#dMg9LL3hd5k(v)HTBcc^r5MYTT!Aeni$qpG+ZIUCl@F6ouI zSqMR#Xeq8cb~B%7f(BzyOjW|c%^zxJK$bsflM4i4Ym7s9a-M6pLVEnxWLVhnP}6iS z%TD^q&l^MR>{M2DAksyP`TDGTOpu#4e*D7}^Zrj|H3!#sMdh6En1zK{<6^Jj;Vza3 zu}4wV$s7@^4zcK1NcF3|r{xYZqxG!eh3(pW>Fwy@*ASZTSez<9A<~mt9SA`8u0PD< z2gV_KcY1&~1^p=PZx)Ehc;xB_t#PahQ}$|8c*I|0jm{iM>(Vpauyg8VnkLTawayGo z_nC23K3awmM3)N9AOP>9I?Qr&d`cP20}W4@>U&XtKs_jaij3lv z2&sMTY3QAQH3$~tTi65YHVf&lG{G$zqDOitU!SP&gs5+yXf}9%7H@_-d0{}<`Wa}R zx%1ScHJ7(@3pCTbgvd{vu7n}^{h2%O3NC7&rG0ZUY9`wyga_|pX$MZ0ch-1elU z213M@oY3~DP_~;`aQEQ=zWx96wmymL1JL>#hU`iHBG;?~R6Ltk9TF4n z5$9|ZFWtm;9TDdo5&P{DPk{%f6eJjIC%t1MwJ!P7wpn*f`Hp;%{AQa;$;j|LIu^w2 z^=)s5K#S&o1b-X@**>UwL9apZPaeen3|56AK-KQMFHC%A%Sa8R;)|-Nh1ui~KCoWW>ehF}W}n_51gV z+HF~kuis|g^7DyqYgd3P{{lk&AenRxA)4Lz{QL~u^QX|Idlx$NZB=!bl}2TWH5*dJ zB@`B%+r%7Hy&Zlp?f3k@qZ66lZ1=V_FuZx}A^+o+q!Ekw^LJdCE*~43g5ly{e1$u? zCaqNcN8HgCXdU6M2rbBUdtrtCz!NqkEx%}E$qZGDU45 zj+FZwE6@dL1=sT#650+2{n3YqhXGQ&)9KgXjI z?U*)uzy~Rg5T2~Fb^Q_6zSe^=m8;tT55^5$o0t*v>bRwux#=j1TD^$%{WIVPikSAK zP!a-c!BLe~r}e}%bOSEB=#q+3eR@Q95%awC61Hqea^b^nTs9>G=FA3 zaiB1XLap2%I-XUg_?N%!FbzI|$;;lyKE`sJ{slG-B) zK5&SE%Ays|&$sqKuI#?{Zc+VxV#b?Tc>m^tu9+$^gN?ZX%WQ`cXM|!*Cz^vkt>}@wr+hhBmm`>Mh)9bYL^N*tXN`>k=M5z4(|cJPofa z=*M$)%8+JKc7gDoZ8F5#eTa7VrXCZQ$*K{_i($$7>?o`B!Ke|ssH$Lf%GUQ1b`-3Pu8IB zK|l*n8+qSq40zlhDYnQK3Z1Z>X-Ya7xM50<;V4_)uU^`g6)(~TlSTzhBw>_%+@3=_u>h$*jQbv%YIzPG22 zAkA9`zI|H0eH;I2yVoBLmp$B~i(}2a9`bIWz2uu41gYOceCFYN`Jm)X$aLx3oFmTH zO+o+>IMQBy7wd2x>u?(z>OS1Zw?6@$&TIcx1MvCPZ%vfitk+A^lBjtrOmOQ+-~^7? z0)sRLPiR8(mXCRA&Nxr6U&`o_Xa6AbQGt0n=BkGDcb9{Al#7BO1kY%8-}pLjtd_$J zKf;|c;+Y8Po~WWJgz;u3MJDw1?fmCPwaV*x47=b!qdR@XbG*p1gVk0!(&Gb;0VtSr z;K%McsN9>2YF>3XBd^BAR@N)|?@Rk<1B@G5j2kSZn&k($cSnL-h_%TCx?O6F8@34d z5b^hP@%QgGS^Pa(oNtW8cZ%e*o8%K3Vy;+4d_05S!LX*R z<)b=7JAI6`dyMYh&e#S#q+fgfWU<2gkk@5()~uic-n9%(%qylqMpg5@eUZzZ50v6% z!%9#0*6wrH!V2U+g#bF>6&5gQo%kW2vJuL*AW@&Hpi z%vJK?cb(bUrlq02!%V_!DDI#!+R1C|zVSBF=`QjZ;r6J8>*L5efX7D=SujlJtQ=vY z{C?3BgCGEyyF%ssfO34_oT}k^KZXDAVNoGa%g;xO&x}aA(1@D7AQsw3m0*jwk`eDT zUA621Ik^hjlh)^M)@EPIAFeOv$yL3zqLXCTV`#fKo5rf-#8|_O=z&Of&ETS9{5;?^ zdoT1_mI~{%_wJt&q&Qh{l%_{~P2o^IbUS6T;TnyA`4gl-HgmhAHwEt|p4wj798c)? zeG1LWUu~WzvyNAWg^BvhK&uVh+9Dpv-$EA5tqLYGOD8yrOD9Cqf7-!u*3^bZrg?B0 zTNS`{m)tl{*?cT{K5&Aoy7U*A{TJ~gU!kr)UuZKH0|;#=IbTY*w5vB2?b!vVILd+^ zuCHD;~)eLNx^i3v3|9P`BD&JqBlS4I#VZWEA7S(efxn z{>U1nzsb>jlWu$Hyfm<@j;=nm;hDS&7U&S%q(9jLfBaBcm2AcJoy+`tCz{@-;*61% zvP;%vw87D)AyOTsInC+UPDxXo$jh-YJQFLasmqZmt+~V=AbnRh_cs+WnyFY12oaFb zw@$>wcG!6T<^=30TBBQCyZzwXO#LGKz9;_mo-+&zQ{ zFDNy?4W5+x+=mvH?d)pN7P3&GO=zW1Y46hxI&z$ru+2ue*y`My6sc8Qk>7~% z%OGZJ9ipq%=GM6~umD!D;>fG#{t{wtfS*~NzOPZv$df;Um$9eiF+H>8>@?l#KKzIu zCVN5iU}WLn6n>>Yo=^1%#!~&syFQZ`VT;Iv%h>s^vk}{X-sSZANerauC|L4#%_$4D zOH=Ql3|&-&%UTpHQZ3we20z{>%3Nbqqiw59ggd*bC3yfVYI_;3D}ifJNjiNf;b+Lm zJvW|tAxjG=7pu)n2u7y=+Rx=6rYjq7BSPP(kN_<>1ZX~;RBWU2*58f^**LpCy;@q` zQ$|ck)os=aSbRM#jJzOijM;A{Ozo+Ofo4zDaM39vqLq7l$xv-p$FTpw zT{&NirbL)NW8LlfPlEG_=3yLC=&`HU9wPtJ9Yn0=lKsVl|KfMaNK*^J+aKaQf@X)i z3@K)^LZ!Rp)_%>(<@lzW`kE%!w^qd+^p|?ssBW`G#6gfn&^y`-XTOtjX(DiAD#MbR zlAWa_M#zF0QrYF?y&cYxd7)N1UEW;--8ke#=CjShuI*7ZIbA%C zHZcFBo|LtXVNnrE0!*(E8Rj_(n$ek*>Zg5_*(GA$tZ3(g$?hXfsoi5LxV^zn3dNh{ zNuXw%dwT{&f1?@0y_}v+975{GlZdPT{eAo}V2d;EaM3j#CaW@0NX@mdFdK3N=(yJf z6Rkw!o@PxSoj-_a@_xkfjtLF$w2oGX7Aq#fLL)Xq^YB_!rL-XYkvR_^h0IG9Tr8~Z z{`ilQTcTId6n6wUUuFawUA4;#SW#PLZfYu_=*Iz9b8vAmCBhzd>dhR+lCWFiB1!p3 zLopSjLjXYG!5xc~amw6kE*8u$LJptyojfE6@w&bzh1bmby7o!b!{|&6Q5yEf`1PDu z_k`nU1p&wQBgt@uA&9}BuXt|aC^U+FuhvPrC9nB6O{uWnU;M%1-al42d6?9Slfu}h zgI+xM1t4W=;?Qg<`=L7uH|Y7r5~a}$-p8BhB$ogrOC@ZtX?Pda1PgTutV9W877b!} ze#U)gi{PI3WR1CItk8}(QtC%UhQxCNhX`s6id-@wvxNWRmXqVX*upL-i?!Rpx?| zy}pqw%0EZ}`MZ z_CgaYbz*eDjdIoT?XA_6f5)-M8@Q@zn9$Y2MHUeRhn=>0lvY1TA*s*M;CAZf{b*7J zZQ{8M^VEfFFM6@M3=dIz=QM_CDR8n`li80BQ(T{m+Wuv5@SCx11@#u@rIybnp)2C4 z)_9{CLqg=IYPRyf0r{MSd?zhdpD7SH{FP03OK%O1s<(Xvbto~UUeqw_B~@#9MIN^Q zMOV;Eub-KEVoA?3hKie@O7?w_;C(k>?otd&iSP5D@r{ot?L1+1OT`H`gecrZ5x%tc zf@q0KN-t)^dMAz_Z8zNXm%IMl1qYD@IV=U7)P(eP{X2Z|ohi_8RnIN@?WZ{3jUYes;6 zijIl^wZIBa)z{uQ^&`JnsONy086)ruhSmFkKLBL@JK866#RRn405GcN7 zU>%!$E$#CU9p`s6=tk?bO}phs)rrI9s)Q4Q4$FhdzcL;x_KWI+SN8Ti8+x17>O-c1 z&GI>SQ0X5Y9|cw)yfI$B_dK)LeIXk=)AuYI+@&KDDSc@GtoMvL+jTAI4d!lo(M#LE z)qJ`eeCP>5u{0hX@F9!dLDsJ=dL1qf!^)@_W;Y!|@1{>_4VaVU*`_98Rs3pj_&>RN zU(2c0z{{akkxPjc#d5<1(fz1@{6|dk{}`d;wow9nj|k2!zM*p1Y}g$?t|gpr3mRS{ zZ3fXyovRG_axuPpR9Z{o)&<&(d?s5zQ9ELo&G5u#+x-{& zd9hHZ^whCk8`?xxYvf@S#C3%Z9BKY4tKcGWP^|H%f#@QhwutcNkEK8EYPN#=48C8p zB2r$BR!UbV;)uT~eS#Dk>E)I7ef+8+RZ}$KB_KCopRgFDq7DQr+OktsE5Iuqi*R3r z9J27q6#Yx~3`()~=l+;Fu=-P~HO^E*rQkQVipzKxUlLRKA&hP~&4|=eTteum6A3Xg z>%JxLsT378>`QoAJ;~rc1mP1bW$pFMdM6Dx`Y1KuRBduXhX!5inC^HUnaSIf;=(+T z%The`sLJErhfY(u$VNDFWm_S2E8iVETpD$ie^YyVULqJ*^v8#~VU|(@xe@HG!yPML zb!+~XGnP0oMRT==x2PP^ovmqxoO-}|brN;w?9@A`thS0X> zg}Tfagu%T_PS_P3_J4YAyIixJ0M~%ctYr@lA@W7_z0>LyU4JV@F7CZJ-Bzkk-wxf9 zAGE#`CcT1uL|Cf_D%2+?RKImHYqis3ijgi#6O&^|2c#|C9zHp$N*X_`H+E3(huR35 zvyVd-T|d-6C?y;pjPpiD#+gigIFI+S7^f7Tzqh9qo@ZPN4y0TI8$LHW>(xCFp(E!y z&=0o4E>O4IThC!9p`1_$P}G)u?}JfoFf{J(a0zG|U+(m))XKj+zz=UsmtT(V1o#93 zUlsAbCtFun_EDo>YkgkPObs4v6;5i#P_36RgFClm`q%W%6uj2h}*ofN}}U_ z>(0Nr77RQm;PDP=``%5yn7`t$SAIc722b?7a3_tHQnm?R1>Z$-atnAJ%{%KOXK|R_ zxIAe-W6xh@UEV4<&EP)AP4vt*f3$*!r_DHywYk#kqyuWSvgo-|5Fe&wPS&cTc^d#y z6MXS*cT9Xd>=??r(+$UA5)R)%Uin`Yuh=T2)nzBn*LClFTly@#$C?%;Meu6cfF#55 zbtbj(pl2{;3y>e(i!&f?WVO>tOuwx(k)KH8mnK?dL(|u&$&L+r!vSy@luIKz2KWp6 z*mbm^-**?f#kU`A{M*MwrZfx600$i|Sztu_@E9j?p)6!;Sg+W9_T9msT@O2X%=8iJK=ZK_)@cX6~!XW2}fl(#Nips?2>NdGTv_ zFiEmkilZbougU5_3|QN?D($tMcKcy@(4qP-2z5QRCQThFre^y;5@pHI_(~K_dGMSt z{OU{Gw>wEW>}sEa)K(8>O9@WbYipJ(ebF+#e};qR7s?&-Y&+8uFK}$h zkKTqeq}sag%#^GDFN#ljI$ICxHEsLN!m5mFMzSd>eqYI>xTemmkx5ZHIwUIXD=(=i zkn?rw5itcIvj$yP7iU_Ou7ZrU$;+lEE$zmxOaKrrZNbVDXAvHVBA?XJkq@OvVDX<+ zs5C~~JvVXqGqPr$V^9%gze6t+(G|k|-sIzI;#$ju=7GB1Tp#DxHNedf;R>t+xVLY< zLfMiD)dIXO1`TaD3;8sZd%Ew?)Ca!fOmr6FujFK$S?SseEyt#d$vV=LFKx8zODxBV zOv%z3Cy6?RdQ>5qYPLxD_>%Dvf3hW$H_O^&Wv}U1@G;2_zxc2geo4fLG%LqExYf0# zc+j1aay-8p-_ulrqLmqGA0>`u2-pvB?i{!9Sv5u6T2?C&a9JR%hSU3%tp?L&WOC8` z#`LIF?|{rP8Xz5tbTo)wcJY(fpOav3v3-cX;tDsOf$Zgq# zjUb!6cFRbpmeQ*liLcF=K8q6ls^N#vo#PxEz$sg+h;ebLoC$XSEUZkn%TJnr(Fwf` z;ksG-ize75Ie>u*>V^`^mI2C^2FjK$u%ShE#$FnG$TXBDT&i*-lC*gh@;Icg-0Jge z!CvdYI7sMy&3e{b9p`V|mO|5Iv<*+oyB7Yzr*+Jo_wvY#(K}bD>?VolhH}m5$V+y- zE5iA{QhL(}UwMP;bM5s0)&X|}!&(o3?7gMRtehI`KX?eD}*`aiV$ zZl!7U*+kzIxQ5ZkbqVCA=2gBwHk=zJnSDg=F;KW1Qo(7Fx9vrj@)lTIRP?Gl(s}|M zEn$o>RdjcCzU}KzmETa-baRf>pQj{h7-ZgQJ!Sptj_Ij zV8#(GZ)?D_4`x;?@fM83uelwMo-0_|KhZTNcOswNGgV>iDl@`M{8&c{W1vCxw*^tV z#GnoB>~0QMt7{Re>graSKUfDofW5{JwL0hUO%uiH874ek9GeFFWeVU%IN08WYYOcl z@t&NdcFjhKWltY}+oU6)@wYEe3B4UF8mb0qSm`dE;BUDr7ghx@U6zyi-JJdQ3(vbj z?^*#?;4~m+>JEE+U8SaUu)J%y%k)*6V4{giUnx@VE}hb1{2niZ{RuH;%JC)*g2t@b z-Ghs}YYZd8Npai#_rMvyJ6E2aml~E`21cp`D{V25-T$K6tJkygZ~2PdVc;;yjyNw< zvP6owu~;>t_LMnEloA20G4H^T2ESo2yiAm5?xbgz;S`iS)Bu?|zeN$RuH`Wp;(^k0 z3{=9jL>&x%ufC&)g;-4rI??jYCGFtblXN40I8B8oZzOnYZh0ceic%8F?tPi^4_!7j zY2Sz<>7RB{s#Ku#QPgC;=t@Q4tw`eDGm!3$>$AqT0eY$o2o1Vw+@xNHr9dt^Goa18RqgCkC{V#lry z@7&V#9EtD{r}(g+BL^?MJ>i<&oc>f)$QZ80gKJMSLJ;e!v_!=CB9r|2mJB@m z?x%Rmld(gfMofuP>sVrqdaOWD!7Pac8==w1jZY&M2xamJ#jhP?V-f3aG~{;`_$#nA zKh;LhM;~$d24a$9GIoz3solKxnS#FhuHPv2?ez17wRw$wd>nmfkH8SM#?R@e>z0~& zOQ4UK5bt(Wb5#v3xar%CSL{)lFFk0@BpDCsmnDRAH!7g6=I>7g39U3#OCZUru&B({!$xBjS8Jh}@6X19FC? ze@^h>#~iwi9TA8PFrFF$=BAm7g8|A;5mR;NO@_-nVdCahRmzM|dhyUk#Cj;1ZCh=d z({pWVS+m0lLL!RhhjY=NqM^nN)ZIM1AuM89iDEoJx$IqNkzsb%=&l5dMWe+Z+sBM# zjOaEAJU>@stQBIK0{y>hxm-JOl6G?d2-)3YWG{tNZqlM55Hwd=fv{n|o}221VT=Au zRt#7df&PeVFv9?>i~js%*1uiw9pmbTS*=U{0;`#Uu!e(YMpP9LIdq4lhC@7I&M1r* z`AS=!G_{08j{Z$4a7OufUbq^DP?uJA)1zF5kit%ma30L6>AO&2ytme@>8-AAIQ%}G zemipTHbXs@o;H0U#)mTN8s~uHfe8}*X!~2HiWlqHCzjl2NNY=8zO=m_H(D2==O&8_ z&%7u=@2tX?V%^#o%#-6?8^|Mrl{-sa?xY6cOsb)ZX6+ym$1?8NVqa<+-No@hSfbPL z%62``Td%x%xJq)1uTSWk>5C_d(|q9!gWu7N`~yu+$?tbEYd4r-O)pdesqNbu$mB6# z6$-QX^0os^Hzv1h2V_R+W(4+4H%>o|lDX`ufDhaosdZUM-R?rL`Gr^a&hjC}PTr6X z{@~fOB}8d`DFwXkdQrC|zAr&6`;HEKBueS`o%$SSH>1?epxO2nqjx=>r|R#?M}?e< z&m2N$qLR0q=-xyz*AsnAifJ0Pvi}V=6OebA%7@(!^;*R~4(t%%=G#NL=;bQo{_tT+ z-i;kyeSHX5dtp$95vSyQ2+QA1N>qPB%oQRy>=inR9zqyRB#*(H%PBO;+H7&Vebr^K zPs_G6x`-jDkh|CBR`-OPxT7%h7ARG9m~d~PGV^+%nzn1BGQ-UHl;dUV>{Y2F+c&?P z9fAJ~VVaacduolQSuvx&hrc{Mi8&PWDE_F~$R0Mxrsr*Y;wGrk8AjVR0rE?6sLV_O z^b%G1a{mG{fdchO@-u7gnrJ!ZRA#3PQ4!0SPh#?<1JEwz2s2|&$v>LFHLYln5Nu}E zCQf$GSK~?%c;ur>Ee@-PKYm(D5iLyQm=@Y@5jQ-uKPv+N(oV}SsG+rzH;2w8n+4uo zPFcX54Mcf4bTlQdg{Kp%?;`qG!%inMJ=!LaHrtx2b%(qXj?rDNPT04I|PTC$d|_NSWl2;6`|N&=)u zK9&2UKJDOAUvX)z?VcntE+&s|l7@*!R#8gLim)#V7(+DmDcl)H=udo3!CXVy%fB!b zfD8uarvn_08#MJSYZy4pUU7%!8&7)+)%h>V+e79NV)ch@`@5W0 z*5OY4iDzK<{a+i26A4brB6Pa~dW)`<<1BA8zz+v<0~e*9N%d#zqXW(34ZXt#QVd|< z1?INz_g^LZFTeB}ddel2#{}+(H}!oPAp1p$O!eq;$iT0ZtaoMwY=VZfh+3aD;s0#@ z$YIxO+uINFDoWObEEcj>0hj^ubMm&O!XZ9NBG{h2cwA(EO+_r8?N8CyEM`UaVun@K zt1ORQELQr6JurCL-RWM9eTrUb>4g8SUm3MZo>@+B8e58?*N>}e7>lx_6Z5`If0{8p z@jU(L<{s`c!RUzzv|$oEvKuwF)!@ZTrKYDgD9>aB3o;I15oA*@&n$Hi7R$v(T*4g_ zg;r$`{8p6@JgIqo5N+tZ^(^b0zSU(im@Zlva(vy5w@SvyMm&Ds-Dlg-mzMY9$o!l< zFc^IwB3=a3OdNonNubehjF%g+cDAn@!DQLnVS2tDAP6-#KznFd$&q?}XI@#A>eepX zo%N|9^dXd!8D&BFjql~gu}-}kboXNsjpmy=`zK7Zn(iVe=4ui?lzp~6{N?6R+Qr+) z-riQ~g@J`kELKkB#DFn{&NOg9xSlLCWqji-J_9kE#%!wjWM1p;m$&?6_0E!ijW3ug z#zkv?lJuJWhPB57Ma$~%l8BU@7J44NgFdJ4?IFje7227lZQ*^k3xfs+*s*7?zGSoa z-wG3LMpxJi^xN4$AsYp{RX9$pbEB!FqZ977t}dOD%@%>0zJXqF)C~xX8O9pK1Lcj# ztm;g}ci_9@d5-5ym1lQr_AC1Y?16)8^$iijcP7zc*$s|~5#I2_>84e+*~nZ^*~P{^ zljB%WCjny-3xD9jo?9FLsPR!p8}~peJlFjLN{DXvP2O}@2FCH=`DSZi-SKmJgBRL3 zz<%`Tq+XI9~>vqIj-KD={(*>-rZkbSp*{tJ3c;NrfqjIM+}ouK9f0s z_o=ZNXK%OGs~yLy9E!&qoZ}*&qPvqDff~p^pxe$JuMF> zJOYI;lP>QKO9UGNy^ZjHugl#XyuUU(4{bNUJ`Q)R4@kyFfFV{hFC?%E&^tDoJTg!T zh;gchNfCs}gryzD^2kmC%i<=`=cD_gr8iFNp^-%=z7r^E(<#_>qJfdn2AyLlM9kfz z!KO$I2u{J_RqmVNbpeyPQh{z@wkF&@$I)cOsf0B7MrS_AM)a48C$!@BHZ&i|h$=r+ z_Sox1mVbMOiAJ6w3sqe;CGo3ppc63@KL|5+i%wl7s!~~Kc+t05sH|*Hins|k4@o~pNrR~LR1Bgh_=ECfs9|Rmv_v4a5#Di7G&1pm zH7Yo2Or)}DH@gr(nvhvSh0Cb^EKQ{(r5G%6PU%#4I3?6BuvE3lp3}NY7P6UR|PH0dl7b zEo>nGj^WPe7COp}Exyk3;Xt$5=3)!m9{`#zdk-MbQcp)^9@`>W*24+D~V!`1L%tyN-pVd#z--270 zqFSfR?IINGKyN%Lu`qxDScU`HX8CjSyD%FW3N1c!v;J8^XzW9~V#$RsvB>#xwTO0w znj2MYK>!AD3I`(3F8{0k0O*ASg=V``^Q%CvWT@61X1}P!1|lQ^V3ivu1UqQOIzSp> z|E<*DSt;C^$^xUrBE>h@U`*z)&maJpj&{YC`{@)8nYSB{c4ah6FE>a3WFGxz?VHPzYDQ(4DE_97a%#e4YB?=9EdPGEjjmv-xx);zT@w#5)LGuwUM9G zhhBH0T4$c!&dAS#Tz{g{#%sh;W}Tet(@<{YpS6*llZU)YM!O=N?as{KQ>*K%qFRR) zeE$;;^qj4hn{yW$;6+U6f7RzptNnRSxkrkdPZSqDxn{$0s6{+jw&yL|$}PvqEH}Dp z9~$bK5;{sbMbWthv!3Dd8~H59UIThoki)m-LVKEuxb5elFp(z*;H_dIkS7*p_^|`= z>jTG%V8Dx!6WxQS+y^2iJ9^vu5YJ|Ci(u=~n`2*2z)E*loY#HpxyFTr|D&MBha^H1 zpyj?X?(@w>7o8c9P_7zSYm32wg~-$N?4ejy7ag##k%FyjKiw@J^d3xiC?qHU=6^k$+FqHtD?L&G@rCltsOObj!wynTT5d@R5rlW9D-tin|l@ zb||}E-U#k`@|zFF!(KkDUJ;F z<9=mF)~qPJ`W6qweMp)$Fi0`^Wwta1@m@3pwiR=+k*g#$0WS|{#o)#LSNfbhw289W5f!MfMjER0>CF_1c;e2RTZQn-jJfQY@aU&pM1+GD2i=^R<1evy8tV`J-iZNwZ+%l` zDs{*m_oId?$1Qa*yqN}d^q=s~jA)-z0#y9Z3s)_J#P0FX#jh3MN!N7Q6NS7DkFlB3 zR5#u4Yrw~r2weZfU(zphRKU|33zOlbTSIV{GJ&lZ*Tu&zq_bCN)zi`HdSEka9-rK) zBx-Wu&n#pjYqn*q>k;aKPfg=i0vfpIg|~L)w+@wezOv7vIsP6s{hajma6!zTR|ib7 zdL!foqXlDaYj4>Iy->?K940zvGG;OxV&Wk>(ZW{0P_&B}Nv`t)L$Oj$ePk*GEz$6T z;f?REt@GtFDZEShQVf#R&GQD~as3ONm`|8zIB~{zopgPuEoY-+=>tzd-uNK@pIYi9^W;Z7hT@L&yiC|3Mh}VD&$U zARqk9`)O}W>5pe4C#kj0kzL ztD1ghRfz?F!$j$@DfY1_S~HjUch9W@O$I)`I?4>D91g{yAcx~W&#X)!cl}%p#3}pS z6G!P`UpM!k8SG%q``?>v6H-q9G^35;k^<5LZLit&99-SKtGd& zT=8ltdlJJTl4%O~cxUiXlyswJX~l$HCSCfCU55_qj=p1ldzbbl@K2pbSXQ*Gf*UgNS2DmY=lx3vKg-^`(R1q zOyqe?^X$NC9ytUe`3RBFglsWxFtq=fB({*lS0bhs22*6wYsAOEmg2oqwG?iX2-sR69EJ*N;rSQZz0*IxBDP z$1~|`yf1I>?ZY%W*SoZ>pRq-@^!b}T@{(Qi+B-dU_aEnE$>n3Qgk?UI`>k@DFtBGZ zVDBuN|Kw`uDcv?6uq+$@ycWR1)Sm*1`VlYDhx`%MF=?1G{nX5ieCXxw^mI!%1#YmJ zSj*~lV%2wTK||AV@rURB8z6(tR7qbruX~LsJ@-3Q>>~2cUQ^E< zKJ9JR3Eo9_ZyVOszCGsq>5l>YxfQ8_DJ^}=F7>Y^dfbFMxcueFPYk*EMMm&j3~1oT z363H%Koaoem%P2#r}hc|9UTj0bQ9mB_L zLPdD}dih!(j{)MqXsyS$Zc!G~9LcI)iC-Gi_=tfIqu}4GV(h0zGC(7K9uV*;LZfZR zA`wJ+vI9#rl#y9r{FK-ICTV~m8mE64#)HL4^m*=U_jy%2jAP)ag7CIihaGc+$(r0X{l7(e^G4{vd8o%rus z10{Wv{7S8oB=l5T+r_tffVHpS>p_27EQjhVP=y_|ZzUhpN- zh8sH4ywqG9_JR(9CFwD%)d{cRi)7qNcK~MvZ6?$VRP5&=CzCrH;kA4#NT|a}J;u2i z9)&bUlDd0XKn(^)SyV(FR@AF0%fuSkkvAjLsdQ zt3<@r;KG>EwkS!RTB4m|HpkRh_v%;N-Gs~sGVmGO z&U6J6i@N*p8`duKbVFMQQX@SFuHU+%ZwTrV3Zyq@;25g!SNu7V(ilCV>#25h zd?Vxb20R=eMKIZM;h)-JsFqRG7EDf7y$gD4djSQxcd(G2NfL;Oub%lR^c_$>LG}Am zVX_x4s3*282{588BZ5;uw@9dCd;HW955w$TKev3SV=4U90N=Z@yZ}D?5ZC?e%P<}^ z&W|?cGpbz{Km#v|$nWnMp8@y1Mk5f(fgh|BG`S&M3ei?a zjH>?g2xPdieQb&Z*4W8r($&{VSm=a{yz6R>*)t!yF&{3iC%Qht5yw(u{1KJ-ZNY$o ztT7twsTHhCBT}6}v@#KkV=@}$+bS_4S0A5YI!ecUsE&27Cb+rmEvJ4DFX#%2wskC1 z7}n&NQF&otGKvVYmwpP!C?=oYtlOT?Dg8oXoNi3uX|{FVyN=W0g_CFm%Pp_^44f`N zjnd>%cn0MIBXsG^`3c^IgKeX=EihY)EvcFVfeGg_wIC4zz$2k?tA=|u@SWYSbEN%LhPR~iK74)l<%PB1g8|`XIK#8tt$;Ot z@(ar^nFH-@@6>DF#e+b)pnB1OA@s8>R`2+QuPbg{;uUH4k~gaApGl;6*hR z0P|fLdhIn`8(md8IrCAq-LmTbJ&hSL z4$tE-t3zE{5!_M{)Ka_QD}vzQyqis#@v%QCVT%8|h4M~_Er@GH^R7|ZI2v|7qdma z*MzlLb}>qR#^2ePiPN1LA#DL1?B9q2Nto z5pR&tjXi>KX>Vc?n-n4!P+^sQh9*M_CGRjkj(r5DDTNuM3OwU^4PP9@zG&Hp(4Z^08AmfTD!+K=&Cu#3jdtBx$4qnWU zz=8EA+WlT5wpi7pJBV1phq^smqB}+B`Pt(%%L`xVcs68w2sA`ttJ-}tL)Rg26q>eZ zS`d|#0>7ikRx{I`UeS&DBD~?XP*km?xO*IjT((bAQx7dl%JO|(&Q zLa0%X$v5_f)0~T4!ojkmu?r@nq=dXwBR}Q6N?TlyrrZ@aAr&?gDQwnJUD_$G;dWi4 zqC4z|rnAq=tV!(7XMN4v&*a(PYaV+ukNo;3v;u`(qQwUd!dci;uhgSXL%T6eIL$ib zM6EG&*7A_=GhfqW$Z4Ii_(00UzYF1&H zdL%`6S56@CG>%F9SGRk{BnExgGwzX>LGPvnB}WPHIE^NE0Oz5+$2g{49!`gh6Zq7Nu>lrMo*_-QS2EMHyTxln zsVN7N8%yNUEGVaYtDGAvl7GA!^Pwu%y(QMY+kZ|ztp=$^9Us&-0&Inf=c84x=~~zIeJKfc3dkJ=kU;#(9j9L-GItIZ_lt81L`bH zd}{sEuU}O)FLlQoL%(*2i1~|Zb_%9)qc-AgoHB^-Im+T;Yu-43ANkdmxK?xorM+dip#l@wK z&iwY8-#b0t6=3eO5Yce$)#sw< zBkBne*n?&?K!SSy3Q87d*fgmtgzOE4zk`6M#WB}Wzbzn2JM#o}AyUy$u|p|1a0|J; z?QSrjA<8#~slzdw>nsmGaZ!*ap*s9Etmw4{qKcTw?B|kch(t5<0EvXA1dUVUKvB1N zpoO-LDzmyBuCV$Ui#r3pO~Mb0XNy?Aw%F#smx-64({i)gY=2Pc!l?Y``D(lCLD5=k z1O&m=+vprurFgo6L*-;I#>w+XG+^02y&+*>d6BDE?o&!VYat|$zE+|4Z;Qtd{`g*mU~1%)?ftB3FJ-OR$!;f^!!sjUqs6}1rrFJPp42-|7dh+AI=j)< z*z3HZ?)lfD#C!g#d4W}TOX_9;=ZuREtlakz;?=Rg_L0Cg9Mg$C=hpnU%j~!GrK8n) zVzZU+H|4FFPN0ERlQAQwsp3^OUzi4Xp=qt=JK*|z1h zxC%bE2kNm(n*x6iDzgT|_-l}T*VDTBxd1_34X6mt_`HNKI@$Iax_#d|>}45YOnIV< zOmX%aVS_lLS%k=)vA*BBlbC6FBMyL?hB7M}IeqV1HU}16IXZRVa`Y~fb`GArqCD-A z$IP3*?VY6CtiOAX%V+LT@{SY%M)uO96O6=8Qpvs*+ywwFYaE|emuz=zo+2Y{S@Y&V z^9DX>=TF+k2=0t8yKE!W?G>T@q3;OyC+*7)Jwa2!YXV0O;HkCr0HiA3X zB(=bXvo~$>T1$Q>eb3k3(7LOA{~uBaj{g02v^Qrn=oTIdEm}=2C+}{}OyA%C(#5~O zT|o;qtgO-0(7H(wsgBgPXS9*xgyZ}r&jR`{FE6hXv!GZSB;oBsG06Us8INJ(%Krf=w?yK+Zjde!=#?PsU{ zX+B#`wt3}?;HmL-+>+y}$TlyeYtY~&px)JT6v-}6hEe6n0vqYIb$--Y`|Hhs*)Ne@ z{Td8-s(doB_bt@+=-ZM{z!U@9AYiYTl5dmN^hVf$%bblEE#LgCvf$oaUv@_5hh z8C48Q>mTrAX0EEx#xnTYZ&ZQqfgeCWx#x%pzYDK0aaye1+g76~w5UP<#e^wI6?9%y zB}|oTo>iLrd;`Ydpe{vquQ}raCJ?uB3(6FFt5J=2l`9pQ*XJDO29~4hg|1o`GOR~? z9;fvL&B~Mr$-auv`HOaetup+970o_Y*30Y)Jd2#k57Kw^^je)FAE`w0%RWEJyQ`_= z9G5+5c2^E-KxuppX2Iv{m{zg~nQGFqNMCi~JT47DUUjl`daPgMSC#$7@J#G$Y`F^( zZa83zP%qxEwsqXA6xC^fQ6GfwWwNoc%0#-JX9@sF<*vEOY}2iWHG8iFD_Dkqt`&(J zYCRS(3G#~HtZ*NH&8sCSLJ|jp@&pZ>yeHpi7%A!p8a(f^xWybC_1D8j;fi^QOO_l!Zq2~B0pKgk#*=+R-bRsn`qut#| z0CZ%|_f>XF_S6RXFQ&-%^{ESp_BQc>roULezoM7?WzhG-oRLm?#rtS;^*+L$5%Z(& z1(C0*uqzg`d}`(xICSRAGc)jkgZx_1UGmvpn>Yw7WJRzpb^FGk+RCr>h&5O1u)7s| z;6SKfo*k9XQ@nm}WC@=q%4?pue1JG)-nBhqc)%5!DVXH^0)PooPG|l-+my#KyuNIoB zKoot>T)?CF4K=;UhEaT*2${7HnRK0_9yb=p+L()2^{0#u|5b;RUX&9F32MOY8H0Wa zfhnHp%yXXB^6Ng`IBj%J{8rYfnl(pej;$N1^r3YkR+NKcs7%HR5K0?64;s$|cWDNs zS3!XP_frw#cEDx*?a?zM^rZQN>G9pXOGYiLzc?ifS&?uS81ak4A% zA+aNW>}9olXr`!YnFXvaNjEbDlUS@9oW&U7LS=LkL;5kqz3DsjyyBtK0lk;oE*jxY z3=%^{ERRKaI2yW{@<^swaie%=dLcfBeMg^2A&Mk*meXM*(Bfg=fV;h*VkDLEW?nku*{eSy9|fL$6g2ecP-*8LhAI-d zuxV&!1_G4PyC=lR&~zcg;2-tXyj>~Us~_{u+yAiay!-wpfIt4VRco~kL&@N$#RIT_ zQ)RYpPpG=6F^;gl;Z$IHc_AvoVfSZ^g{(X;S5K#b`6Y&G?5wd&m@FDUten#?3foD$ zv;t`zT49kiATJftqLO9fR9?ej$n>brEm@kqtr_=?Qx`~Md=yPr(Tf*0vxzGAmkgdY z>DtuAUAS4$J2AxO-sO&;dil!g_b-dzV=UoKtp|?Oq7Zl0S#$g#c_bShQc*MVM;H7Z zA}r9z!MCE@O($o|@!2kJ;X|fxm$vU3Mm?7T9NZ#xT&69TRoOo*gyxp4Cp6$`#+_ob zvOg6U+iZ3aWjszoc=*jxC|+d{yS$XOE(y~ID0dAg7LUQtQLiZJAWaVV!?#s#xnK{1+baQkTGPQT~5Rw%#GZ3v7 zpPdoG@w30b~Fsl==EY7A8utDah86QRRN*qTdx`G z4|yjavVZPdUV@Ho8`WbWEpJe-|H{$&Nsh1V@ouV(ArtVA+`Fd^D4?63l3LH&-k;gq zU22p}J<03Qd0ib+z;7lvD!TSU8&TZW!)+5^o_gFoHfREcrR>&!G}^h=UUJn^_Z@dD zr8~W2ilV#Q7bZHFg(1$cG>2A93hi4g<2pQ(w-T+jFqsYIk_95abp_%@vwbQaKOyUe zr&ssnEh?pN*Le&JDK4Sk>kQxio^rxa?XCBghf950MKN92u6Fw!*Zf{A-clN+<1FwF zRv$WIdacw3+)uYh`Jg_`>^xIX!>IKeK6{l>^rY(uG~V8TRF7N%J_Fw>V{5 zZl>G2e0vVDCuJIb3CuhV^o+rnKtEx*D<|!0r2YiEvCaJB=DICo6^oTO%rrM@(zc(9 zHOzc|0iI07K7Z7!TA`h2I^3+C_|RT=X0oElkNx@BMZ%A)Hq&*yz8PlMXGvSmTo7&- zMDGQWd0Hs3U_Y~-3jK9j$CUA6#>Vg$SMsw%zmE^VXim0%%go?>^`29RN=mWYA1N0_ zs6I_CmiZo-v11bNS)vKQu~zvu-q%^$yhXd|?k4;4&l1Df@^opoDue%@NO|EIpT$>m zf3kGFUouyD@Yc_bD^v9vuHpbNdau=m#%cPFSetb2)8#V9Ghdlse`nl8YyKs;7y(th zfF*j_>52*a&y4*<)tog2-O9Qzmg<4RR`?zMt{*4w8lad;hnO#6}SwPyyvRoR9}>2zhLoJmjA7 zrPM6CrE4i5?{-BjLtDnLZ<%{PXQ~`pjh@%^_gHe-Q##oC=pIhw`<9gQMxcK0F#^zM zl8P)H;(UH1JKef(+j-;M97Z;<0`cDBX175OPK4wg3`zIcKho;RKl1CDGE9mW({Epu zax=Sqe=!PSHpMKYC3B_zUC>hlwH#Mg#7$OQ*6Vxziabi~s!y8D{xn@>P*>x<)*CjF z#Jk9I=i9v;o**o^c3x#o(ca{J*aU@k85=!3n`_;CHS*J$oEr{upRMNj#ac~6t0XQs z6|0PhIKN)~^G|};W#w=KpQZkJOA95G?k*EDr>w2a;5MA2M6t|h!xXOGHe;v!7l>Tf zmHz4?+#AAtM^jDll#2?XL+yOIAaOo(JzarT+Ql0lT~sHgxj9egBD|aa4`Pnq#4#zY zstg4nHO_9$GJl1Am%Dx2A>GVt`lKwcuQQKeORkTl#GzgIbW4zFfL-u3s%iP$j}0 z`sxMv`>1M5s>Cywt(n2#*hO(?^GjC?)A*V_1gF>_gHnI;R=e^N^!z-LVhV}Kf-PQN zwzZBtK*-PRVw~0G#srHs??T(w(SMz%Dcr?>-bgA(cFF1?dS0f^q>F-Yr)J%bNbgt4 z0S-E589`Tn_m!F)zObJZ52^6k%22z$`4niQ6QyqURb$iII_Ra9(wQzg)&i|I+7lMM zE-1{H%I=JNHISRykP*oAI@GlJfxusJxlilistiJJtyECJ?^se9R-AnLkgDv-qr(NZ z=X{(B=;wYLzrLEh_4B35=IS@6OQe;1oSYC-E&Cy%TR-U5jK@@VpJOAy{GCY+dZs0y zw@az!CtBYErEppPf}QUDZPbO{h`#pp>s%MNQF||SGoArBnQxUJI+B8^duAo3b{F%q znvRTz(+c39w_F-loj}krmCVj|I7Su2)fd5mP*3=G0XMoyG`)I&=dRq zQQ-0qwihyDX@A9!=$Y=<4H~ZemUdy;H2%|fR{B<7N$w3Z-S%5iak;)5W;M$X zY|0F8?HDY~Ibbu3ExZO~ze)T~y8OXqJnX||4W-!pK{*gpmw}?)pQAYMTl9(5M+PF< zpLw%h`g}OQGvjVA*qj+x+w4u>;eS`;i%i(3e|Js8tlGalUwZH?`ba%HgkiR!AjI(Q zQ>MF{a#OG@+rRBzUkmrOIXyatU6pxvLs&;^tEx`zalLN$UVGDh<#y+)7@Jdg$ay0j zd-g2SjAtS}|GiR}bn#<|T)@yOQ>$xCf%~tbm@cVjg~-eGV>B8Gxswu+%|b={?}q~O zwv0aiGBhty#JxpIO5o=#xHwg)!u!2V$I9!ABTd{F#cVd~aO zHY390)`ZjNa=#x0*5(hV*dK!J(d=J-JWAeDR&JxJCmA*B;Pf+o-=|B^_~NE(LQ|#(ElOZ)f1qF*RbUp3j&3V!KYdSXAZ113D`f zH!9hJ;ek}gB?P9y4exW=Y0%UI76D73ixoh2~x^_49r_B(Ro zC8urqvtBR$&PFbTW-H(R9t`oPSE~*vHxd8?KV6=jM5kFL2?Z=f7q4Yp4mWUJjVu)i z9!SHj3oPhpW=mLhGX;(9%u!|cd;GZB_S-qbO_I z>8+sx^-DLS2G6qF5ARhD=4QLo)J^1jPCYe>a(OV@I$Q~GVR$~sVCPmq_oEw^hkqQT_LOkt?FT{fSYlO%vE8XG#=89*QLV0 zyVGY6-9DhX)L6=5IO310xUv*L*G2GaxT;e?9oggHt4Is+{XN>54X7)#l)q-S{VKG& zKOmj!bhHhu|J*NUC}H?+Uo4U6Nq>U3td}=Nr7CC&6rRkJ^4Z zTh@`ABCEVIn-+>%X--8K#e;%Bh7h=d|h<-=Q*=(_Vcs(M9K0KCGHDBLFfDTjMom% z(h4VsG%kWDljV~?$z>L9=I3VB6BWc#+i#Y~ChZ2bThP!fm#M{21#Yf*QTM!+qsj6X z25V=%eaO{s6_PQYuC{M19B}!3Ly2~kIUYixZ#Po=h#8YV1+&U1!apNp(6>cI-jt#%pBCl|>#Q1|09OmmI^td> z`-_8Ylmh44y8peaH3R8np2o|2l%%jBn&BIZrXha(k!n`cl#CKHw)qIOI=z@Cgl6Qk zR89D&QXQ$Ys@S(?E^evKPZee0F5mCzuz|e=)DVZixrf(dQo6`Dj_O3U2qiMU+>D{d zIrHnfz=^e=vp-e`hIMm6v&JFcA9skWd))|HWgO=A*sD{+!4H*Ya}Z#vRUOKMBQLUZ zckGL+k1|IGVkFJI_2|!w!C&8fFA6vs0A8=t1O6;B3-QZT{82}~UXroeOMYM)nndkP z`~Hf_toQHZhN^+xT#I}no)ilhVW2I4rIw#GLa8~qFUX4*eaF+D$6RHU*k%8K&iN_y zuJzS9gPBxle2|J2*7;IH-JKa&ucW5&NuVtA53 zFOLG~zv`8x%HL3bdF1sUH2ELs{2yffA87L*M9BRo@caUvJfUyk(Alm$FM5=C^IBjR zUR#TppzI+HYL+|wL{NLoq0oAky!c+tK1i{EL5$_g>Q5URru@41Uy?^M^FyIX*|E4{mYu8XXxAVKA(YP7imxg%UBwWZKhMpwtR=){EWY3D zeR{4NCBI*!d+6*RZC_~pD)8s6@T|Quj(|aoi1pJKf1dj!g1Ct^>SvllN&|G~P8z8M z|7axp@0k3A><}wrzTppRTytzwLa--*6WoJ+AKU>uIqvvsuFAq*xz!Hf$`@{VCaM(y zqSCL(Mq{`-)wK-1OBi z&qkNfw3Uta^b9w;I*pjc3)d6w7gh^+7R!A~(hU1m+BcFGBdi_l!xS4Tj@A_(RCNdZ zQfiz*ba8EKuX5A~WlMjUmi%rW)2QS;Fcs$KXgZt0@+@`hwAkCiarhe-srY$>WR1#2 zh~$qXt#$QlE9~P+f{8K6#Dk(nOEuQFzrRgOvh*;kbll8SsOtE5cP7p>fK;caB_Z2n z9xO|bjFWH`n>lR#ywera*F3L?)n`!2+5N$}+Nry@Rq4M6k8)`I688cENV_adj}gtm z#x3jla+DDVoWE`Nt>x3kt$3dA^&RWgxgl+jDlH#X((kXvO!{xN(_E&B+_YiAC4&eS z`+x44hL+&&-EHSRlz?^?-p+=qKMo>&Zv^J7T!UE$oklG0e;@~4RS17-$}OaLCyq(cXM)IgIU*J{eANMb*v;9escD1H;@Mj$IUXlT zLm@JwBsA^ba`|`iBQ(OAyn&~_uBvQ zCwt4CdT%vcI$Y7J zntSPcJYJ9%gej05Y(4w(Gc{iWY;W{eq2qg8%02QY*Q*h~2(Dh`(krFE*VngN(nv9* zi-FtzsXy(&ia?`tcU;zvyalux5A6 zqDO}!jjZ>V%tcGI1G|Io1{ZAQTA_f8TT)!&62)TYoN;e1Zwo#8X*768eTytHN%n{Y zn!8_T&8K`P27ek32-`v>Gjlg77_ADXNa;fLM2?rA`CJ7CyhHzM@g(8K3I;r&)VFNu z<6dA@S^SLeQ2_s+OW`N^H@Tzua9hT1GA$b#3X2=s5l8sA#MPzO-s$gF1c^}G>Z{f1 z2TT-~Y(We&2Lbw!AmB>ST2Z1FrdV`>77nkd*NKD9f~2oAV?U8g+V#oX&)cwiy?#ti zU=gqpn!OS%1GF1)6rr#LE295_rUwT~B?myOPzk&8R1Kzb9dbbyP-jmG zE2_!l+pJ*JlPXo609&l&kcuZm6nokNHPe$ZEx}ZF`2!S22Rn51J%{i|IR9ma;3hhZ z-~$Ti35w!j*`10sZF__hd{4YZWL)54S2-EH^3jB(9jqzvMF|ZPB!#_*%rSw>u0M{@ zC266m>Os3VOw6&8w$-S=lq~SpxkzR)x!JTAXE;2yRo&}01N6Oh9nU`aw=pMFFXApa zly$UTjOglr1Opgx<}{h4jR+H3nXA{US2$=0GItNcUA4GaO}?IkX9nJWsgj-Qjp=|1 zlpg)Y5dw%F;af*PyeRgDW+X(%b6hIFokA~cDg`C!sC5qe(dXk!8^ViTv1m=Nm+IJs z-9&@F9yM-%-a1I;9l`JF$9!h292a=ljCB>YwH2j2>ePc3&iL=WizivXpLHC*_oGQi zh~hT1D6+CV6AZM;vM}|lG${c&9xK;J0m~&K3VZQG@z6~KW-@q1*viC) zT>I=?z5ZbYcL=(Fu2s%A=8D3*&YD#Lk{_F>q z%IPvz=GW3>zx*QAER=>6>0(OZ$Ac2iuH^oybSrLevM}l#sC2tKRK2px)B_t8odG}U z>jB#&yXLE>et^gbT!J_HT!Dq#y(8j6WRIWb#q5}@p7zv2c_ztAcCOcYQUOsX*W(c! zl~jbp3aF)FeOzWVKhqyp0zaL$E`-!tb3EbRwi}2O} zJ30Qi_dpE6n$~nrvZVP7dM2 zHn;Kbd%gDE@*KnSN|3~vjcr0K1D-|%O56u-niEl0uiCy~bADiB#unNo^eIrYYLoW% zA&@8dewB^><1Rn!ap=x*r)n?J3_ZQZ&9~(*zr*#Po}K-n&=V8mJV~6E`zrKg&d5R3 zf=5IH1Eu}Cpm#a$ma`T~x(Xub%(?-!ZvwY<5#=A)m2M*~4=~x;pd<48LIjMd#Y7u8 z@`g5}`iBR661?Tlg{H)0QwUM*_kQ3B?eU*u?+4n>ZHpEdJ)_X&C7@K`jgBF+zr)e3 z(sc#9)CBIICq`ARVe$Tl`z?=czzlDIvytLntUl%a)rkzrAX#?Gv_8p18;xR*hgpe{ zN7L{bB3Pe<9w=Wt*inqUM|W^5|Ar65=ilF>5X;uPNayPL?(MOTT`$5~ODmFudqVFi~2m8ETU@p9?&Vd~hnN|c3O2#yE&&td+N2dAjLam}<1-;ef8|DvjsH@~b zHsU`<@*Or3ikc!Wiua+S)G?B`yI>ZVC`w%*^>-9TJf-b688k@D!crs;{DzGBrUG_L zGp`VuqqD5;KPUB`XXMrxy@U6>XL2X`%dhwSDg>@IMqUp>YW%8bTC~M)-{8~i-3Rm( zMo#T*3bq$&^l?>XaxxMcpRGKe=sW z$nMQKbFk1w+MNUQZ3LepDR&7Cee#EvcMo!2#MQJ_J#fyF5R8GCkm5t14peVD@;lmA zq@bFugSOB}SwQT!_jaVmVa?>r-ufE}rsr!4w-4u=F=DqK5G=4~{B;BIVBcE_wN>pm z9j+vi>moj{H(Gcw%2n%=6Q2{N0VKUu%xwhsRusSNp};$4C+{x&_%6s3flii7X2-HV z#|zso6h6c996o&x?k<$Ic(x-x8XVG&_SyONtrzr5-l*=BK(m__oJ`UwY&0h|lb`%c z+m&h1(>X8Omr20e<@m5gE})ie6{17`y?bj283e-b7x-&NqlJWjC5O(arg9Rro! zS39_OyjVi8>#EK1+9ghn5g(3HsHUqg!?^i+Iu2N)$qVLC5&U@1q-sq{kRBekK)TRKvkD-Z0coPy4{YWl+TB>DbVtkl*WElw z-;%+4c#PsUIHXD{GOhgtA%>@%v0-sc2Pn2K*gZV>S{FaWy%E|dEUmbS4cnn^yXaeTrFncNuvotqv79_QYcQ-bQ>rcFM~ zH9A+=PeS+6o{q=A&us>Fjv^`I?&P~Au-E$GTc9B{L-fTE2mW@Tbul|^{4zbLB)@6) zHX-SC$)GasyrZPj5I@@VU`!H(R!XG(S))lOHb4H5#*{A$0)+$Z!^1_}@4y%J-UAjCrIBa+;M__R z-kWu;Ik3N&?WH_fB5m5-NERa4>eL>JhZs2^4G&K2p}2^VQKH!D2eSm)e0{I>^mJ9Tbi7e9z<5&dL124Fp_>))rC@+^iqd(I%RSbTwl_T* z28}D5ke|*+;IY3aY?!Vn@D89=+D;W%{uRd8N#NOt3*KUb8A=ODoIZF09lwr&;bilZ z6!w)%!?n1Pc*JjjUXs|6}5pnzPg(0 zk*|E)%lks^vd5tuZm}Q%6a#|2$yo6I;k_}Bt)N?p6@rTOgoB&M7HS_H7+KU;Zmu4A zeoTAC{Q9M|=%N2J$*+_2;sOj1viFEPrp%#@ORqJnM(d?saL!iGU+ zZx2CNBXsY0I;4Sh9|}&Z{yP<0X)&!Pod>43AA}b^5_?Wh`S43e?oJx*9_Z)XH(0k)$v8`LHaT<9h8Jen%_8r;4$$!X> zU$xQ}bin)G?`41AO>huQ5%A$0XYhYy3t<*LUe4_;Md+phf?Za6H9WNmaVu6^-8JJY z;KHz{=r3uUM&Qo%vUx7FK40^|1={pkOrLo$Nz8mc#S-{fe#{O_(E%WY` z_9n8hy3QzsRfA9B-0`^LNQN8Khv;1qU&UN&2S%nephRle-PG5VEYCDc$qtmYPIfPS z=w~19)u$)S_k?{-$osQ_x~S3&@*ovUwcFJ$?6{v)^T46%%F!hcgX=Z?zC_%r5G&Ac zM~SlEjY{y{C8{;AS3KT6BAU;NJc>}i4|p-ci6gMxE0EFX+L#zzz*0C5V4cjJ zEfSyFE1@pf1nng>#rP}u!c#lH*i5=wF<>avn0Jhjb1C>V3;cO!^L-i&Rib=f7eQu8 zGNCii%THGoU_paPXM`wUcg>9Pr}LGjWf|5*Q9kU&C{n{&4scv7aOTbsy3=uvYak+U zqjV|jO^*7Cy`JYA>9C1owSw>4*tqX;_{6bee~D5`wj}$UD!Zcf`plWsOsgzOS9!P5 zDAVRP(d@@n3_AlRbSY_QXxzyVbwe~i^dcF#y)xiXj6@a8FbcXQB z$*2O5@A@#4dulW5M!w{orEprne5kMPgDyfwO!vv|O6QljfDo*55jJ$rc+mb(BU9BR zyXYKAZl(jE9zqZ?kiJ>PsM-&`vjSns{_?(ied|QmR(b$y6qv)6ymfob`~a^9f=>DA zM|`aP9pf+h`d+ASgHJI+mjxaaU0cK88b=S%GKG=RnaH51+Mf)1jl5MhJt2c#1VvwS z+)|P6-$Q4fGhgz?(ys_Be!wbn4X7C9pH#g41~k+Vx2Lvz#s!{Z#!*tm{dJ zKcvmP`M&w3Tv<8@3A`H*ehKa7v{`{%>$J4OdO)=P!2+$8dW2Pkl!m_tV z8^!$^@}3$JKuh#h6D_(Khp-FB#qu%OMj1u=BZh7-bb|C2S~FN$ITm30z!`BLNB{lk zK?KHL0l1<(;ZgVRiH_3V50WXNE4b)TYmH$ynmRttc3g+wK_VUfADtiC5zGtv5?M_geh)*W!j)`)IQS?}A>l)+q?MpZ9va z{tHfRCdeOpMa;<@2$V5?-lcqQKKnTGsRBGZloy8pOvL|RtmVLoNJ+}_`jU5 zL=Uk_9cfj4y5x5Uu@E&Ny61q`X|a_jZSaq}Z0}Bl7kz6}$AwZrb9Y8M2<^ z=n4g3-fWG@C-S%aLe4u?KO^)@n=iE-v`1BAa0bW!s+nv~Yg+_I>P8#`HzUpaZsDgR_F8!s48Ak69Ak33 zZ5qELU9Wcu(>ETEpKc#N)AA=e={LU_-{0+^x|%(EWusrgIC9~MKOdQ;5m7~I`MM6k zkM46~H1weVb91@Tg9mil;q_0@kg1KtoAp(k51DCD?bVKpq0vO#=WL00Uym&Bv*HjszTdXHinw!07RpsJg>x9`h) zJ#b5eFpeqpMm<%ygYvAeLYuB|NBKp zSDx$vBTNO;JI3x%+|}uYYXg(STiVe__yKX;){>D|-Q@ghO?>&3F(!*U} zT&@4lzL6zZ$MBM!wVv#8s}4h2&k6ULamhNd3umagcpf+Gk-u7lWp0k?mJ2K`6G|Dt zN(AQE9&^tr1B@a5%9R^^9RIKCz5XKTeohCiRm0?g9R)j$ToYC@*C#^uIx@W0o{|yE z0&92vnK4}_C{w}(r*V>g#2n^lh4<(?YNb%(V#bZ={XLrA5^a{Ie)T7z2ITTj`^f`2 zr)t&C-WvudUCrScb2VnSLoV~Kna34+Tsp9`m7z75NZO((zl9YVj4UInRyXcRSIz41 zzc-w(2kwOOuMPX?HwR)18cJAQTFsefdiUX2-fN%TbI1aD(lS+6+fJ=VE!tkloBZB6 zWaEzw+cp=#7F4wR@=N#b#5PZzO}ENF>GlrI`TFp<`EO?tUKSQ{^Y!*cl&xO*U6}pj zy4xq-Fp{{TpyzpwK#*6|o8{mYjpGIV6b*qtCs*3y&VIaPU}nxMq1OviZ@Bm1IB>hDsQR9~tOflND-;xs^0S|E<24E3X04bZq2g=V8aY=go? z{4GG_)&R|EQP?;1?uJG*J5_mPpkAgTl?p~{iM5<wW>-QM>dr|(sduQdNYN0W;U@F(N-n^Tgv#2iRPV`u5byYuQ6W6k%y8I#i++?xJ zj<&aTJQl{9={Loa4yMDm(vtQs;o~mhb1vb(WLgNG1SuSkupR6D2qnz!pZ&)YjI;$Q zR@4#M%o{ia6>PupWf`S_n!HAf_qm8jho`VD*$H=2LZc`))MO+LiKLj0fL7DvEiNBW z)H`8sqM#l(Sf2-cR2f6e2m9({*8lq7A3MgKPN_JEpG-dR)uU#K#7ylIV@lNL zvaAvEW8mE{J}g8TuJ#V=9?8ZwdKsHOf^}noo7*`83&K3LAqqaUo7cjqDuzbVy>U}M zw8>c(AfuwmY^>*&W60AnDE(&~b&FjQx#vPpE6kVho@3fRHiF;m@M=}tk;`HO2kJCK zoL%;?PFIk3Sb*GXQhQP{V29iMXbD1-Pg*x%iy!;B#FM10(C!O^lxWC|TzE7%sy zyPlx{XDKq%gRgDk2?_1h;q-5l})~SNTp< zrQtW0NK}9zWk7)yB#{qd7~LHf!0mc(=^r&DjE|4NGHAgM8IJDudzVxlnEmIr8}Fog_9B1GNX~M16!vT`Hh`^8KCVeUSi1kV>_cK^PVAhUeSt+C4pi z*c1yALqgvcHVgflGElG)Q5YJIw+ZyGzr)I{{&D zsL_ze6=5wAE8%pTP(R;Y2Fz$66;+&cfPLZKvIlEQK z3T7CM!#$+JcoYdh)NcT^*R~&#>Kl0(uLl6^qzcfScNd-c{=*YA zsN&Ak5LNr{P~4zK2HzP$g0J`0rGM8u?ZK{Y?Wv5J$^uv=E%%XfkwID*3IA z3F6YZ=|IyOnQ3fbxMal_bO4PB$$fMK><%%B28;I8C0@)Z^48-DERHAqp3-pP1iS68 zu-dPFp)`OB43HA*3(&<8;_7Zd%xD2|I_O_sxK00HG58=ggpdZ{3nLy`UW@m4xTw_E zNl=rEYK#=3$Ka{pi_{RQhpsmE6Mh4-^$5^kQNI-Cr5%p=ZWQ=vvzxe$Ga0D*N(+z| z@e8hA`s?Z<22Z1gL|jNQF70r~<7TPgO;m8svA;`x=(y8|K*|nhygVpM9>|V4pn}Kh zL%L}Is(R>yML0&VYW$$re*ghxTH3i8@2^kg>LA8~dHx#Np6d_(j?|_nwwLf0^u*gD z&#w~~K`WL=vKs}e&gZp}s4Wb2>x&L+WCVkv7t%xn#ifX|Tz_SStlUMP=U2Dg@Dc1( z*xH}##T3LWRM}nHaUccN*rd|$I7I9?wE`$hiQO@(|Elchc2s_J?-Bz#t3vp_kretJ zbjwHMD!Wv=o&5RbKtF*&r1!|CZ!4gBt=1zjZoW4}5o*Kmw#mkXerFEWy^B^Wscv%# zXtIHDAJ6s@x{bXt$|l>j9w?T^L|h-R)g`dW20_1*KfWAzuJ{UBs`9T2MYl6o+Pxbp z{R(-+(zs2CvIz@nvVpPA)L)oR$C{m0>KFN)R?gwD)EXNs&`Qt)}TE4FC1~K2%fOhW&vc;C*Vw>MFM|#hh+c% z&E)QqrqVncISx*Q>=T4MI?B@}V@99cNia^L=u+yqr{|ggf{$TqV|(;bUdkm1|vK+{m0MX z0VVy4FFXitZu<7nr2B*+bN@0tY_D1Z*dAj|d`Qm6pN%P;1oLQ7cvm5yyEp~S>?3L z6X{OfIvu4RZnsWd+V&bboeiAXnLq{E@C0JjW%{xW+zLj6_&KGL+d+R8$65H*n1&;s z$H89&xLubaT=Nys?QqPzN|>z*-C}nTt-j(na4P1@(ihgR9=zY};Gg5k0tw*bImD^b zCz!Bsbd>f*ZuKg;BL~6vePbW(W_Vj?_}f$mw3clj-yH}NuM3f(Ht7c;6BkpGw|yD> zAr+U~xit_^hP-E>qz{4iQU@7Zs^UlUCm}$;=R|&|1*Jn8g5};Rtw%wd^EMoDZrxTy za>QFm8_m__DZfAa_uzdmH~sB%QaBpK%VWrL8!=MGZ_f~?YY~B!%wa)wz^Oo4@+N5L zs!Qwim6>DU2IWS>@~^J?L=>}V#Qk{%UZPjhu3G8B8O>YQy!!zK{1kDM7evW$j5$~d zf7yEFG`6%{mSb2UwmZ;~{{Bq=Ysjz^+?LL-@DjX3fUg5#81bE}XL$yq*i5u`_rn*g zp+D#b`uz)zVz{u@yHTbx?{E)%*Mohf8vrDuQxU+4RD3oC8w@=l5I5e((?u{1v#MVH1W?~#eXPFpci(+3X5I7TU4~f@KAz4 zofcdx0ffKHT7>V7gsH057L)d~Tw{x)x;;p8RCoi46lHsX#5g$1?{+2XV9ic}5(K!}{G3~AE z8<=@K=#>qkrD&}=?Vg&Mx|LO3W9|u%}DPcB?(0h9T5q+X>3a%L(39l)Wb>D|h#vyr8kYr>}#pz2I|eTPMMLg2qn; zU)kFTYTG$@pO@rb_)i@TjjzK02#r1c|4}QY|Dzviw;-1q`L&ZMeZmi}UgJ@m0z|RX z-nta>Ng>_j!K1tEFN7;@)3P(s3Ec&Xs)S3m0T+S=nR}asd&s))uJRwj<*o=ZhdO89 z5e^NNvw1yFB^7QSsaw=+&X+bc;$=1hP|!KZDWc3EUB##V{McDoSa=6R>bosW@}IxuzcdgeKjLMv|fzML258W1m*%Y~Uu&Eem9pLZ<#S~3FRjJN1N!bwLb!a{ z7d2I~iPAadEj0cfFPG(1aKCkbyX){}vE>rD$vhU8vxkrUS#1XY*PQ&^b;qhBW?SGm$^lrVZu{w$BnUZs)BERT(|w)T_dcP#lN^c!=5o2yT`iCw0ll+ zeLsoS2sau#wH=`g4!djCZ4BzQ0bV20Dk%xB!+i+vDA%>zBxDxG>~T3zh2K27H42YR zIZTep1~-J?)fA#@hrF>qkQ3_vfqCRI_Q@>2IMw~Kj|r!1R-t2C;ja;v@kd(utFw=^ zGViK8yIKwdpA>!&o3qhZ-QX&kep2&#!l1sh*LOsEygI?PyguFg@^KTu(eFxir~G@U z`+R@Xn<RpeukG8ANa|VXka+(-Ol~U)K6IAqB6Fb)5*u8zCQoV>N}tv<`k9PKpS(7YshYIUDnd)2yt%t% z^7!Swl|tU&!L)@Xb^Metw_v5gy+!?2yH8jHm*qF_PDlNh4`zGc>ucO}xtH5rbKB)Q zaz1s*^y6T^qNnLxdI@2KHh%RDx7Ei6TYKX5u16R~66fNImOB?vf6E!Xm zfQ^N@>cy*4EwcqQdo1&MKT^C62tHl^ekv9!O8DG*mGQdDY4Ib=kavoi`uj;N)5Dw? zqFdPZ2j}~pqn|H3u{z&@T?j>mtXT3r#Q(giX(LX)DYR|Xn#P-=U=tNZ4=(y42z0D6 zzPfTfmn+(tkqARQF*sl ze}&VutsR=+US;Q-H~o zwimyAIv=Z!h59kb=2b2>IMDgFmcDt6I+#H9jPX+I~ zjnNBQ$of@%Gc4QruRKxjF2gUzrRRu-jh`PZQhVaV7ji*ThdF5_1oJNz?%izkU>`-`!8;&^MXk z#5!ag8fCDU4E8Iar&eYH^z)+f)+k(dtu==wFBQRy1ve9l-*}0K*$FWG6k&ggpGo!khtMRn(9RrZH_8wz&X zW`*XG(?)Y|hrbt&M^?YAH2w24vMT$R<OwhyZpsc67K4ZC$qB%EYU#m-N)Qdp zd*bj9dm7)OSNg2Gx~;F|+p{?s2d512{Mc5dmoJyUtLlB>efMCC-sYA5mBE&+QN{j0 zVcdXn>TI?3nn8NZhMh}mF|%QJP>3!5gE4<=%G@nPlWK^(pTUp@pi>{=p=(rGiq)^h z%G=e6%lH?291L{)HH~$~~_tY5)E-muCW%zUQ~{#I7X2cjY_LE7!ffR!Nh_y-VKWjo`G5 zIK0no)m!OaqxUiKPP$%?4lDKTz|>#1bl`P#k|8Y4^jghy!W#a)NYsz15wG`_p^k^! z@yxt$M4#>bF%aKzjB>xFWX&CiHn<4zZ)+9fS7MFp9%-qSRSkXWEwt}6yv!y2b}OU8 z$2~&aUBy*`FCsOsqRSy8HAT-(yhY9XX>v(Spgm1?>vwF-Nn*U~pq?^2uWe*XN`>um z$_1!}-B+^=mklM2=>)~zZDsL+j6^3pLq)6cbZ4N#;op?JG($^LZtL@-Ss~?L*F;R8 zyF~SG~N=ny%b8*JT+eoToq{ED+mA-e|&IwHR8g_lJIr!^P zf^ccA$%;cC^1<@%2Q`E7`T_Pf5brR9S*+1eYxcG10K*@3%OKg~C zJBXG1B8A4B+KaUmMe-Y+ri=+RRsK}N;mj_Y^%$x?Je=W%OVy@Wq6tQvp0qEPc%mwI z+O&T@d*-+{@7$&}<;AL_1KvsSYbq)&_Pu~I^0zD+HLA=Iw=ou`t_CHZNG7ovzjWK_ z$FG@e$|*IxH)vIwPBQ**k%^yV@UUnckv%$kEp! zV~-2|lE#a_Isv7^Pww3)V2_+0%}-AdW_oa|PQR08GJQ$GcZTpnEmV=yMC=L2ewB|+ z_q5}u>qYxbr{z(sUe_KfkW!PR>c)yEd2)-R$=BpO#L|0ld@G&06aI%|*_;yX2CJHU zX>o6F<`fb0eKPA4+2$N~vNf?qj7s$gV?6G0@95dvZ-{y`!|rSyz2r0{h>0rEI*-qM zt)WiFHnxoQ#~9{<6GMhP*8Fp9Bk^>eATSQxCz z%&cIyqxg-(^kmFuzI>4}di$iit0p#sr>9?Rr7t^fcL_L28Y%LNyECWpgT6RVSl@i5 zmB6-s2O)Q({zg*kdg}%-|B~6|Uw785DxqpA^HNJuj-8e}z4IXf*z|{|NhK%agA5FF ze>LD&hYahoWjt$A|Gn7@>>b&~8m>dja=yR9llZF|>oJG_R7dDmS5{|H06I(ElIw{0ANXLCb&8_#f2%2eM&r_KhzM3bN)J_{tp= zfB9vRV#K1~Aou3~4}5AYq_s3{;sVC^bp`6Mh#gjegA#?qX|H{{`6E2*pT&CXSYPBd zbISvg_-84eyR}PUSLOK<{U!Y657)(HVin}BdR7|Wcsjsd*tw`<8?z!8wrq^`_!w0n z`oVyg)#RJ7X!9R^4;*_;BHlA^Dcxv(bKZ06U+3js*{Xw>UIVE7Z=l<7JhU_EM}6BB znZma7IjnSj3V&6t z3H_{o)W~cgDko*lghuVba-T;=obaDiw#XH(x{U4#C!Yx754^buA|vcr=IviKEvo^Y z>xPyWtYx$f{eNBaKkzfk@b}7b5UFx}zx4ZQ-E&G7-7mb|&@Lx0Po!sQ=JL$_(eSUb zHv+_;fnzLxU3~OL-M9DexU*8UK*v5OQQy&voB`~=2&%tYVwe_xhN11|;T~8|YV-oD zfB*9DOBS;gql>~8aao=J4HsN`E|_JZWz7s{*LD2v>+gVf*JBK%(6+~SpLzcIb&-p1 z10nFutbRsiyA~;QfI|9GCb0bNcwv z`jC*3X1`qq&;5Ivhrfu6QVJJiqFZ`sbQ9LX@9b z*dXEiQux1I&5-|uw>?t5?<4~C4_;R!G@vWg?W(mrE3P z^+Nhbi^(gmu2%AE9fz3j%RA2bEU#ZfZv^;y7moUMR;4-|36@`>yhb3x&CN^3h4$V1 zKiNv&i$h)JHpn$lj2E(+-&8Lff9mYt0OBm|xcFCGevx%|b>Tr8-r0`VaupT2MgK7V z=@Un;SH!wcp$k|JXI6WV_{vO3JkN#vq|5ZG;rFDpm0-%E7yHsjHlH8025&f~D4>cU zjgGZVQ#sZmHSB-Z9j{?YeOxM<-vUJ}{dQfsc2(R|<`}ni(2I2W=D4<`*DHb|UxwLv z+E=!HZ3tK=mg{s=l3<}i12z0buFu_^;IqFXAs~OEU2dSb z_?mybOkl#dU@j!@eKJhDco^LABIv-fsZ3UL(t=~AN3TG0rRA~p2k%R{Ip5lX?jKtJ zS(#VcFoX(caQiI$UZaz`W=Q+}wxRZz|0NNLI}gUQ3s)Y6F?+5SzUW_9VnrO+b*wmD zc+$tnczGq%hF98JvNF%$*q&XcKx(m2_8E}-JENw`v*1}lZoM8!hjMqB-@2l!nZL5_ zhi$gkM$Q6wf|q!sC8i%qfnJgVW?mGDTe7euDSnIlV6yAyoNzP~_LmO7&Z`g%OB07E zz32{|*j9hJo@1)O#@8}c+MXF9BmVO4+cC5F?Z_9MY|Ck(GDZrQ<(oHq1o^5)zAY>K z(Q-&?i^uk-%6Ys6=7aw}SEG3$p%cHDZ@%{I7$^BrDKAO!^tnKkXbyCrn;>hhar zMOqmV*Z-PGv7mDuR~*$P9Ph0wCSEIe8yn+l24^DO@oZwgET12>y<$^JD_8C3G@!ln zO{PPvy2Rwdw-?T`%%h{X+oq)jSF{Cl3vTMg^nTb$&AQ-To!;Js{vb1T=N9tyC8Le! zS#fX2o~i{`&cPpYmMH(96Ed&dI{m`x?^Rp1N6tDGX92k&QKo!#)}aFh^O436e*#8q zlCF2U<+|b^>|9q~?_YTi$N6ffYh$uyV`sTyCQz+ueQTM|-ZZ!K4V8IGE>NxvA9QUhNU9@EwUb? z>VoC8hnSy8Ijj7{Y=&9az8fL`GDc)14Mx1Y6&^}wqh@rQ0R){3%Iz4wqS z1pYc#zXr#+QyZS30f6fGX~)O=O-mEw`JkO@h@$(O5!j|zS)Oxh560z8_a?=n5HeT1 zV`X6YLP=>$Ig+aL$I86tAc}>RCh_5)A~Fq{AQ$4;u{4GfKci+IExw|^y3F-#Erp4@ z@U2?lt~>iFNz#EMo`HqJBAyzJK=lGWtv_sQHfT8k>+V z#chHVW?njzfCBK!xI3lxfP$s9ktELJMJM>RpiPF(x?^Q3*7|OIvMpV0Pl-bzfj2F` z!q6Vz60$lBh&9^RpAqubBY8Jaf2%FvDCo1}eYI(7)|v7#n@@X*gAhpf6K0v>hO84$l*+skI8*CeKwNWc517aR8l~x(igA9P>UKy%Y z&|lGC1*x-B?M&w1Grvfta1eqDTD2&FriGGj>8QUzUZNi{umlBuaTCwhx4!Hit+B56 z9*7;k{{m^uu`NGBUhL>N$e3DQRTnL9{8&AJlucD;IJ3?jD}%yb>QFB~pZ9S|8E`DU z#ls^8_LqG?Qg=xykH6nhe-g$0lhCD~cMZb#be#8!o-zca`-IRPR+R4y43j?Mx^UdBKBc>6g zw_!gI0Ae(r_gdFPrXCg zB=n$J#AdS#mSo+KyKk)qv%5MNUC_KQtfJqn?8Y%UP-b4jg8wxfjaeb{HE0ufJCVNcs`ykx&midvJ_F%-^fZcN>Gb>o9Li zkUkZUO!#FS)Ff@sX6tz3pcy1Dr9D8gP-#!(%Oo#`ka-icI`@MvQZC=#OVOfiY*Id! zMcFp@Y)CY-NI;QjkXt9k3U08&uL*Fzt`|VL1ib@}*i&7r|0pRq3Mb1JzuV?)pCG$+ zlU)$t+|ISM{nTeq&Mx*OE+rp7$cMdaq+4;1+=Hh)gvjp!zE29=t4_&UpG_5=&bxv- zL4${&sP&~Z98&2BopTjqE^yGuH5PT+cm;MrQ%CfOC3~_l_Syj;P=t(#=}h2q47LmCkeFu0DyoXFE_%RalZ=?0#*{Xuo7y> z5H&;e6tyn(fP~21L6tU5Y@q5Z(Dyqs-c-kuy51t{3Cr;AcKLz#!o4eYAWrQReiDv zyE@&gmxt=BxkYg)Y^ud)_z>!Bjuf1MSX0p$AO-(=#?C(RqT#D!Fr=;-rU_y7>HP+C zA+*I0Qc7)bo3DCxD2MFNK3T1oLyE`~)8ObE&IV!>_ySd&>^D{Mo*|;j&(c;1nnFrm z6;LLFRX*oa#lw*klXCbvl7U5_+OtkL_2HSu?h-1o4?{n3d#NmpP~Crw9sVBn?%@uhrsdwbhj2}o~%!TF*G1j;)=K}bvH+y85Jjq zy$QQRi1Vfh6G?$3XyP8y^#H(14opAm>wxoHQ%M|Tun=L_Z~;j}xyV824xzR(P+VH6 zQRmn~b*H16F2FkWpQ)c7Hw>|_qjAAhq9`FiV^eLmh`XL*opNdei$mXNW<3oO@d3TX zXf)iQye7SEy&iq)RXjHkzv;CvZ|dv?qh__mZOGQMBTo%mblzT@y!4g&@H5~arkod& z+0KCgKXUrR4KZ3vu$3{71^me_v2eko&n60d6rr56&Gn*%b55 z%kbIkC&~CSW{|{!%vBVMp?TpeU{_EM;T0vC0)9+ES})71rKD$sH4C1S&m%Bu7+T{_ zE~osMj3IXy?thy6cwT@?8(7w7{(V-t%JJH*ugECU;#1Rxn;;?33^(w_F)m=sL!zgD8HVK(g7fxLi0mTPKA2S=ZV}C5_WLC z?<2wIxM-j4X$MA}ow}e!{u@Dn-(E6&+F|uI9Azs+Y11O}bL?}AEFD71330q-PU|4J zM39ok)DVd6cQZnHVzu-ZMG3bI)+EP?F2N+(VNfB;&jZtN>t z8GJZz+0MyFg8T9zwlC$i6~5qv!)bSlSJU(1IjP0Mvi~+C~j=RdCEpS?ANp3F2KC{UXCio z$dON>ey=aVhVW&hyGgz4wk5{%8rNaV6dik{QXZts+1lwls_2$aF+N)M)I3(QzN+KE z6>b8kjMMwmzPqSdIos&CE%x!K4^@`G-g%c<(`$^CRnJ#zFu1AA$Hf;V+4(2k6EJW$g%mOL6WBot7 z@Qd4wYm!bC1Da{FVe$fUR`eGzejoUP!mNWDrC296Z?j@N)bqFYyoP{&TeL$?o3>JO zow+U+8jU(<*W-S^4%J21=>X?UuJnFxZZ5|bFY)P&$BTzyO{yv4eIHLk?*w4GJ=gDX;f?I<(aT$mM8 z*;_Zw3vEQdsYtCifc74${z2YRS*e&B0%y00auESB>+Qa9Y)Le#K<@OOy9(56ff7@w zU(i#{0Qh@ZFmL8KvmL{J1izTJe58sXeXZ&8rw1+vThI7-@%5W1oDzU#VoTAn3yt5y zD{UCCV_JwLAz&YehiU8rS)CoM$AG;K*F+9^{Ls+7nNXtb^~2irVV7`eSRM{?WfO6v z8=U8{o|Y^B*}eL}-Som>Sj*n-Sef!i z=QeYMfwmmSZ*GMycRkb_esn5z*gM9?XG2_Vdz+m?8g;fwpZnl1D;cHTsTFe_z@Q|{VsU&v8Yu*HwRCoXScWg` ziK(+NQIfQ6L@n@z}-8bgRoL^zNu}AUdlAwY4)=KR;KWt;4>J zHl)@3^AG3=IynPZhHKp8JK@nhjxDtpC4L`<-?)X4=J2S6K4j9xEA_6o?=n0B+HxLS zBSk(PmTK`e=CCYf8YFD>Q>2fr=hi8x(WDx5qnEP&uRbqitR#*6nM!E3!Tt9fa|t4d zTs_vtCSA08TMk>~5C86gD(gq5@?WYoWy@fChA%4LX>!Vh^)OrhQYfA#u{hmyCHZk?~qlFAIb;l-+G}vb@dUnRn zXDP3@32?Zj^16bT4>b!C*O_lJZ)W}ipAvPQF^PE+PpxADVPz<_KrZ_|s!|(FnxOpt z)fPyoG#lAc`*LgdorV%Yoj)dHr=dz?w>hy%p$&F@9mLq&Jm}dJ9Hcxb=#_uTAi$2* zQS|IJ&nr%fS#Ss*@wxUXA09$C<;^_KO{{a!@k3ZJtMo}hbyfTePyqB3kZ5Q-RMdXX z&tL66P$>)wrlBq{89X)|dE{*u51}`Uq#$EgaBHX&|Fh?Z4G_ZzR2vj8&Pvz)QGntI zm2Tnpj_nEljA)l!7VURaGt4(9C-AId>(;0+DYT{**M`Pol{5QNxpIvSn_;)+aJpb! zWg^LAz}h|8+Mv>5hSNmtz9J1~=4v~aFkn&4!u`|Qxupu^orxYp>iKG*{>9>Qz{xem zgOc47HBqXKh+*n8WeR@_hY-;x=$guj9MUpgiZa!LjN5ihk|pB16#uSTyjR=4vMOqyp{h;9r@Wh`>MBB!HAcU0HjTi6(+NvW4 zLcFx;So^dg)qC!W^xK6D2r>S__X2~zTIxh;vEp#~g~cm0*m?$pl`0}e9iboWrAasV zj}@%R2DV+8W#BW7fo2>}R~FSZZv`x5{wx24x#vKgy6^|SFFm(YTk2th*6o<$ky8o; znuS$g*g=iMoU4zJezu$<#jjH2bJ#pw58SKiT=W;VnCrwYH~|y*28ot|e9P;vX!5M& zfJCm2CM`d_Q|@}g}Y2=+{g2}%}M$84zU&JU`$Sm?~#9UnV&Q% zj|sL?(^F}jG#{$1ip*d7HB^pnzOe|p)dqX}iTaNnN^!04Me`XUmxak-EduEJB1pas zX8wu#j2((^YASQ|HT`4-Rh{ST8I0Y7$z`KaT7W6$qK z?;&{?v9+^qO-{vlY75s0av~sv5*L306v+lHTjZOcs)w5AvGfkNMy$*@AK8W}HvuhO zddT1<30U9y1=-SO-qp7=ohJO)8q?DmbC&O|iw&P}Y6$6vX)Ju8LAQT2*qemEAKcVV zELu`HTl@_<5l}X;l>0oOWvcoTD>Sjm9bgA?6mWkX;DX#10-6I5ji~O!f@Y*E8=}$! zWDk0^9PJibdAZaHajAt!tB4ttlMVI9on~urw+XuvutN+yfyQcl%}#St z!8ypNDvi*}GaTXc4GyG*$oulEy*!!$V56xxlNSsacTV&w%=B~xSldFSv zon;<7FT7*^aSwyV&UtA5`c3#Qr{?KaF+pLF?V82%-RjToldKNBqA`c++7rMaDsP)L zbu9QJ(C<$Dxek}5=Q=2>g~K%==iP%0Fe&7)_U2&@-_gLyb3$%iB0s|^RXOO^H%y7w zt=pAi5U!7yw{c1PH?b)nXh&Sjup&{dQwkwSkGSgjE?&Iqoe|LRGJiJban`_!`AIHl zbsf#MUoqpZPp~tDcD*ZAkh8E5J7b3Dbj#lYZ)p-G_Zqv@If->C2}`K0B3c!Hwzzde zz!bVvIyYXfOMTNb1+3J3c`SMdsH*yH*Mkuvgh2dnKVZ zQ4#*;hVD14kp^{RKOZV$d;#vu8sk>{HC*$G>*f8eykj1VmcI(Uxy7?M;>-c%%u}t~ zflr;)>OJ(J;&Gj+!Km(9vXNm4`iB-DkMVx@S>)kL+6>%QE$eh-`L=T8$nbOuRbLLX z$_@vgD$iM#l91QM{aFRnv4!j7*OK@pR9|2IuiBZ?L+r5pV@)81sM_H*IAb*RgTjG@ zCS?g1I=x}!%!}%G*s)yt^i(6xkECH#$wqoc?h5nz^0GBL1A(iv3^vf1V{3D;lo|1% zZeKE?$enR+p!P|3=gQV!1thkLPrxqEyuml*QrA#%2HU8=emZ~BWhQ8PJN>?s^?S^D zhcaIH{@Z1rgb8;g{h-hDPz<|*{gv2hP2C;|(hy+ZCZ!I9v1j=@cMea6!1C#I@Wd`%7;ZlC9vMXJQsET$gk z$X1~oGRNcso?bEG{x*skUdt#O`VKiS+d9%d* z<#37efBoS%SN_)XsfT8nMU>|_HWbS~m19<(>|^^;Hsw>GBae!|GSV(66BC-|*_BPc z=D$v2MK!3-3^?`fa>Y(?H36**nuU4eh%f2^JBSk(i2xb+{+ZAvGeKd2g%^KthsT2(AD_mI*_oD{R+wS~Do>-|nu{NO>(aVGcg zK2D(tAVs>e82x{Rds(OH`1j${XQ8_|vE{nuxDZn3bU4+1z0s;CFv!rv3zHIw!xwn~ z52(zOXd4Y`<@}j_@rdnMPe9t4V|q4ku(1E;Zo0AoRAP_ld^piq3z?Du0=ApQ%TeF# zax1yxWsmE5CdN10JMK*-%%mXagEM!WbRW|=aaraf}XxM;kLxI-%c~=}&l|O^KAnNet_%E--w==V{3!SBo)!oZ!w1Qo zOSb2Ke4=0qpO5B+7wx+H06tBuG(6(7Q@fli^+32F+pPWnM(FeZ-c|JjU2@jRY&}m# zyI-r<5_H~6$yQ~;Us6-7tp5?cHZG3dc!(c5nU~-hM2h3Ct5+a&LON zR2j=<*u!&rd+MOq_W%#-H;2DZJ?iNxO*}U$tr4BkpjSPpqD@uah$S zg(0&($B*mQ#j>y--;2b$5`}Pv+^3*wBttQ9l1+9(KCY|1@Zg*=$TdeFf2>=<&rWrD z!)!g{lAl4X=4H82Nn7@yL`(y|ugdn^T4xW$A1)v}@ig93e{0{fgio9s=JqprX5z=W zC#p$VV{Kq^E8R{8xX zUI~!oXWgKYq+Uouj=hk|%6{cLRQiIbxyqIV`f%C118{-yURroy&*dN7w;5`b4tE+A zg#E=M2K|lA{T3uQ=S2yp_))%?!-f9cZ&aZcs>>`^;37n`e|VUj{6J@LOrzma(6fE@ z4erL{3s~TmgLYjpCf$oQd*L}U&4VK89B{^9jxN0zW6M{htlayRYUCpWT){Rn*=!Y- zCQz+?N{QNlwOif?R&dX`q7OT7eK||nkzNc6pl+~lJ71|;BQb^~sWCuhf9JK6KF&EI zzvd5I8`#-BbDeOgRpca47Z-Q2dlR04YY)%tHF8%rPErzI{rd}JIas}s@@si=&#>L{ z-(Ph7H0S9D6{6wENB?v(f{jSb-f2uMOKVLq0W8D%V87ai&kP57Z=-)slFshO^E;f~ zSGRI?$TcThygLEmyDXEVFjknz>W*D|UC%o2Cfw;Mb8oxb57^?^d60_9iTmTZvD;`6 z9BT+f=D_L_@4fdjD7{*nhs-(nj^H4uH+y+(`(79Rj64if_@X*EPM_{?UOY}CLP3}F zhhlCX+b!@aq`K<9)wPhFphM!iH7;RBC|&;1ezr3#ABF2ItG_^J1Svt~H;m=JM|pKu zK|a*L{7$BNw>t-=$^`!1PA4~9BQnUCT_%%D2-{;m1<8T#0}Yt>0qGwsWMw7!eVX?0 zy>7a7qFLtkmXnqmtf!2baeo4a_qU^ZW$MjqG8=8=Eo7#VCpjVK{vFl7s5e)7NbUaZ zq1SQVOY;OFx07*VL-81n{-dRSRg3iBmZwDYuPxub!@Z{+f=#78q6=2sGe_Tmel%F4 zcXu@$_@LlNhyoE03MVl6qI<0+t;es#jyg`DR6-g03oE$h8xTW3I7W+L;Tx0@@z|jo ztfJ*R{l~KopfGu~jYUMfDnC8da4%(BxGTes-T4M2&&}|Lw5$0*{^GU0nml7@HT-2i zMV}z8=-}Staa~!|ug$L*utIED_J!Zjv(s<8i6`L;LGA7S_d|to7X6V6=1YseL3|@{ zO1tO4xumb%TI$l`5G-6?I@Bu#epuVCe94Dg^YH03X?pHF$tM`ViEm4n@Ctnoz?gqj z*KjGZWChBhYc^0O1Z|8WI`|gf3 z(}ErytACy~GG~DRUJy%v0%PkuPQNW`ibI%@@~J5*)?zjZ(@t6nuvdJ z^zg#iN6}uJEybHh6ZA((4`2!pF#JbU<{|kZ6d==({w$F8^vV!b{sEHlbUV`0O4eUG z+MP6UWQTGBPS8#kuh0CNM}9IeV_4s+jAfj&x)_YRvKP41XtF?{5h)|thAr4{oDU>NZ5@`RJaSo+1`Cp#*%pfL92qAx>Lk9!wlo?n!xG}y&10nM!N+Wt}F*# zI>K_ePp;KoD2nsqT0a=9i-z355DYrIm3h5mao?r6muFOH5inW{Xy5N>jc7Ny@n2_H zjv+S)*1mZ5blJUGsXID|gt$m? z3h_e0mq zUm*^gmy>aHdseIntB*iFRk1;$z~BxLdp6o#ca@w>hqYz{7q=@KzE zoEczrq0Ic~N9r1zW6R}*YV`tTCdqN$y2j42F zS#!Txf=jpCYqdnH)%h`&egx#6`wk-pWV;+_9n)Uh0e*#=YhrJ$yV&^bw+n9ZuFPlm z3&KDU1NHCZ4cRJ<=x4Y1ZYbFLpLy;>1};qkWlBA6BtHV?2%U!^s>kFcdJOpABgBlp z2`uX#AjZXy4elZSNf={D1Y#Je?32Izf%1H;E?Kykkn;BZGqA?``B&O{i@UDB-Lye$i-coJ78C2T zPCn-2pMTxmi)t-jHKKWM@qLHaTg3#1xpI3w#LP7TMptcSnaQpy)3>utr{w?&W?q!^PRwqj;16-<>lGIXr@7_ z1w@!rGnMb6ii2K#dNX&U?n0{7Zh+EZ1_uC_W+b~ygu)xoL=U^My` z0>|Ou8?|7L3~whHJVx?zcuZ0+EzbTpzjR>2O0x5%6s?nPk$i6P#UekE?|x~AK4QW) zYVn=C(ggB>o(#j$`u~Y?7xn^)S6RCajog6-*V`5ePnZW!*#9Tc3tw=)+Dc?yKjIhV z?P<(mgVSvNS_dAM7r?y!SZ;qAu=D(L(lC@S?Mt+$c78|TBT>7O5P*oej2-u(UP zvKFm4f+>`6cR_WRXrO{gZK?{sGxC{vH77Kb?$V1PLFRlx4l;e=efCFOFRqDeOJrtd zX44rT&`a&Vj}JnGB+L(P_Xnz~RvKq(9=*Nl^3uFmD=!!)s)sOGIjxA-D!e7zz#AIW3t{q_BR&EaI3M~R5wbz;b<&-ST9B>O3I*EW96 z?e0qkBj4LBuexXhJ%_okG$9(^@XsXWMg16xhC>lGVMnm7eFsYi_6* zF-$wZsN~139rsTKsM`=o>V5|fz8~SZe5&K{B2$8H5RVkT+tDEE@13uwA&3ooRl%u>Uu6LeEa+coPXr#njVF#NI?}Q)0&` zv4hw#5+eC{z2D#e;rq+`-pAuU&pprcd_M0v=ef?k_uQhOpeU!OyuA8co=-2KiM_Xv zlZX2~DH(}J5>oe``Z>A2yC*Fpeecm@NhxVb=|@sB_l)el{hjRW@4d9ObGavV&q({8 zsr}n~8ti>lu!2gATl-t&{jocblfTk#Gd&AeNe6+SH z+_$=-1z7G-s`E*M)?TG7r?bq+$e-oxUEfuq^WA@H?hEMff ze<&F}KWQ{B<1_rXzpb-r>|(y@i4iWq&)Cn;&j6W|6@Gc#DmQWYIEYqX;7}WAnZ~M1 zY;s3?_Ij{PI|~jj^2#eh=zZN))_abW2vS*GWU-k?mBM{X=zPY^A?ceopKTCcB%Jwv#*VC7FRU*%e|*O_ELfqD3zhmm7i8z0Hta z9goJIevv~&_)6A%lxd6AYD!LfM8yK)j`!FPvaG9jUTdSl%sU%QFie5RWfrg~euVOJ ze_zkdExFV{m1v$ht+$o2QfM{6tYaNJx+9_T$LA@NPX-(4k3*&R0c*XXnaAgq`xn@; zpA#%^x26oEn1W0cC6^{0&LW8KwLie^bVOE>wqZzt=$I{|glCR@VqYi8s#So)+S3t4x) z3TprHrk+ccufSXFQ-P8B@0+NzWQh+K)e+G8v+o-f8r;vRfjK{2P6py}@@`z9h}V;b zHWhdd-(q{Yo`~TAjroTh7~q70ZD+=DqPQR1*QNLZCwuBn$Xu}D>Goq2xnDP%KlVPn zvs%|Ef%+=v^O`4F-O7dViP}fKqb>ZpeGkDp>=)OXw+RESz1+t}vm&=EGT6JrJxh~y z{wQf0Gt0d_JLh%e?FFPQ_#QmZ8D&49LTG2Y@k;E{1By( zLl0phDMVLK=S*V+D#xGat`#QWVt7k#U14*Tm{G9Z75eeeVty*6rsge2UYwuE%GH)p z6LU1fPQeiCuvyFTujHf(JNMAA3wP{wf@3{wiblq;7evV$ig)?<46LK~OhInSE6P9P z%kPW%u`jkBs?P6o>0Df0AY7ZVfh~VvBZ9r^i8HqgEXR4_fl)1N)bDK@Z`VW#&8X}C zVR5ags14?_S}}rbg>BaNe7M%1827HLpC@W}-p%p@*jK!vsC>aB1G}olq!n^; zwQp9)p@r*%C7re0;hQX#_#3la1*O5iSD8NbVti?# z<~i1M?`so&zb6gjFsmm)4C-I4#%vqbGE<))YNblN;7E$o4zUv3DSPJf;-`b$S(Ltg z@kQmAs-O)K`(juoDfPjHo3+%*pf=pb?5kt$z-8m_?goBhZ#P|;j!)R1{n`n$ea`fL zXLfCKcNVjJYj>FKW^*||m#W-c)8d*j zCY0pFJWFP9y{+}C;g2}~4Z6b>)oh7`#Ly{ASyN7Ndl`dau9tQKjVe3a zEz0^7BY|%HINva8Z^J9FlrgRwd66`6bC<_3HKB92$jCh4{^PW~?$I}2RKTyaO{+Z_ z%6F$M%B8jbd`RMSTuS`Kmy-l~_sGQOH$*UPoMdXpc_Z!oMaaGDOsMjLNuRggces+= z9z`kZ$q3qgc8Bx*(|?tiac;QSZZA|~@6Jq_{7cJZL0|0IN1^Vgqc{7Zt(yNnh6g`V zI`7JlQ$$OdkJ#&ZF1;=s@A0nw(dEv5IO<2dGtTrRbGcOqhiYv^v`7%C{)J5T+N*t_ zz8JVeZ^ejpDs4nsWW}v^5imkBnYlE$bZz_MQ#RfApXylGyDhf$ISj_cWgq8`aIWvj zl1e2MbTkrBcd}$SiadKp>^UxVgh4sjMIn2thGWm%xy0TB^`yuZRu{uG{-oZoj?KOX zwH-<#UCG+vwE9+$>;4-#(0XkGWx5kgf%0q@U1;F&%`V`o`kRk3Qu(gioBi=5KR#8- zSQ+$_p(HMsPMdmN{(9tr?^soy9i+saQt*p44q*|gCd;NbsKPu!6K^@w3MmUY>;XG6*2K*& zhbaQSn-p8XQ!uN6-I>7%Y&P9=+M(O>Zo)SCQ?r+b4seB8{6{+V;7iJ`9mug|Tz?|y zs?N)vR|@W?BPgw8py$7bY}?H}wy!IQStwAQi7dh#GltS;($17|(fqr|aRn`TqG^ znHx#JmA8ZJQ+xSFWXsMmEm=wu&K^l|R3c)m(H*Xp!(Et4{=+X4tj(;}11+rcl8oWz zzk*l(PH1H~ahf%~^0|3CT2;xO>&oUwZ)Dg>jA?jngaG;lcX+Sux0MG;=S=LX7`PX! zLK4I~sz9T}|DX?;-E(CjqQduo9+2XLC|eo7^R;ZnA4Yb$e8~YH^xnEzYZ5BrWsKx1 z5>brKUQLp?$V$xq`e60GY!T)v4tRko&DTsk4)HJXRs-lix|?*n_FQj&Al!2v5H!ZS zKN@{*cUBBLe|sL=ze&No&;$#5@D63bBSvdt%)^rv#i2LwPX|J+S8@KbJq#pHm6Lpp>#`I?iy|jl+AbKE-T^B zf7MIrqtq!(ZyiywaAEAX3UHnr-amQkD`j5szCp|r1*rs0bDJfm-OK*I{u9Zx`LGII zVIuuFmRcrhWvS6xElN~b{Qahz=cBv8mw{^kIST)4GeqLakdWvThOB3SZ!sf>>U|t=rS`N~reI+%Mxm6NTT&rkmlkq0%H> z;1-Zw|IJ8gCHynBU(Norl0R7ke=6oL@3WNI^;w-yKA7*<%u*<14OGE27?J|YCE`j5Q!(_ zt3(4^^NR+*D33%GtJ_EIpG0ON;@ivbp-fCB<4Q9Sbt%54`3&31Ci0H({YN&Xb00%k zsW%^|4iMwH!5WlOs1K`VXJ2=9#J>D~&-kz3ELrH;r=Ax@`!r)d6=74s-3g3_6Ux)w zM4ju`Eq*<}x{vS{VC%~Fy%xUWFSlH)XU+ER0KLIUtFZ1d_pG2g%My_~BMKd%#HCo7yXa$rJh<`c9!2@^1`~k45XQxG1*1Pq=UH0{Zd_)wg@c z0$59wu|R1=(1$;~Aw52KTvz-uL^)S8sHtkaZkTuPDdz9_{X09C;D#v9=;@DFsM9)j z3(ShvTxD8ATau{}Sh(V(-oJ1Rt3UB+ccUe?*neEl5IU>JR6gS*lJWw&QJ+n^l=!NE zApPyJ)o0L2KsmOg#nYU~EG;)xKC-|`;q3(rk7%-zGW>UpPkJDe63YHb^u3!h;WI~& zRk!m6l1&-`gVB}Op=xpR*OrSDR`HtXu~H+oq;5>F49|kZSHjcUB@x#$jaMZcP;rys z#^(vj^a1Nfe7UoeTC}0dY9GT^^gkTi+hTDdfdYecf(J6>V6{v2ycUaTp!FGxXKf&_J=?lKG@xTDl>!H1?%XIJOYH67(5xW`EgK z1epEGA?g4Ybjg85!>}D%|EbXC!Rw=pub<8gqGZ2s&=zjkO^>D(``hgU3dL~0-tZ9# zT^EI3PObN(eYhsR>Suev-ii3KmPq0y>W6~o~OyNRZz+k{0=EVV6VqSTTCiveF zI=0qlH1p8#7bL|vH=6=_eeVi;Zy3?z8rhti+(dnIwfe|_Sloe*PDt*i%HbODqdb5o zI11tvNZx?Iek%XitCPHld;Z=Z@#qJHs-8LEo#UHS)uWXxTC@h7Kn$j;L`O8|DY};e#GQ+E$jc2fWDFR!dK%T*u)7#-ng2! z{+Z^YH`X=$CqYp88@xiJ0QdvKyq}sqR1_--ejCP`OzxIj zBRf(P)^z4qp_I!QCRg^L``^lm!u$|z=z}!!EkW{-+!_>h(%p5paTNx(i#ariBF-rh ztXthg$ywTvbV*&K&%`8DIysB(a8PpM0r_?x0+e}p%BqcaUg8)zNBRl^dnm^SCmxgh z9jFPtjlmDdocrWRE21xA0Xbs>DA6U(Cu1ZXsj8E)zP-Z^hvL_kZC>F-qmli<+0Ozb zze(P~Wkd#z!PKeE(rS2+cXe8w3WF92{yNzqJry%aci6|4-cRNqAi0CR{*B#GNQ;T? zyHL;)E5<{uTmRh>BFnoR!r<`Ft&X7;6Qi{%95!O1Tb1b!pnX`w$973|NNaavb*O^r zGS?5?J7aZ3v+<1>)WN5dZ2<&$G~w*+@a9~@U*MWucb856Sx6S61w@4jLxA4g4{o>6 zuu;*O9|asPy_toUB?!hYi?5#u5I~O(zWj9<)KJcIYt{9WK)E&-osOdD6a?e@T5M{MUM3d4=> zA`(5j`(d1nmrSkpzCPQw4k9CGj4H6d4l^Z(m=T2>yyMREd4m}*3GjP9Vc%sq6+|x@NlCw-76yAs1%0w_)**fPdI?Ikzv8keOa-jCMtZ)8`Vo$x#Y;|g zNXoc~HlgdyOA$`zNmP*PPSYx6bBB#J6@qpj0S`xW;Bn520ar-!a{D0^(5DQ<(=f6I z4e{9|qCz8Nsc4qgZ4<(hg0&e7-yq`(;E3h@r%ZFU0h;&nPys%(cZE;YeY%g1*&uJ1 z;B4;}m=95>=NYQ5X8rv}^*r}&_P(iH_*bMPJIq|nycht4@R7K%>%#8b(!vO$=VxNj z6X}J1e$;3I(M9>nfpR37+3dZ-#&!aX$ zNv7#{;|;eVSMWN}zmzrI@$Gkwn3J1~tC8ugDq6RLh{S) z)cm_SSAtq4gixRNHQbs(Ohtu`5E?%VwE;ShrOe^}2YhT$0rzAePueNInohPIIgonO z@{UKH%Bmz5mzY$Eo1~>|N^#Jo30)J7?(zXs^1I(Rungt%K`feo7jX&#T*seJ;$N+i zQvT{VLCaV}$hTTPJQnYaR#2P@R8d|f3=$rp@DERuWZMTW_!HpXWJg2cdpky#1Yp^S zK>2#%cZO4lRlD#mpfg7M8d6&0#q0nAAuL; zX+-|>GND>be^Bdd$*ln&`}cr5D?X$%og2?XAUBuYtV8Xnk$gUj-C<5j>b zI4RcU*#AhKe;hQj{gdKxCNTX9YI}71a8MXIjYveoqhlHY0POjEjqMYGK#*4l)qLWk zdt~a{fUf?k9y@1P*l_vL8U5X~DCqn#L#uAIo5@$a?E|4h-b5_tp`r8unRXgz2EXHD zDL4sT##Wemd^zRq9^Ku-G&po$#I60iphqPtl2dvLRD|Em)plOQKz|*xoMa{y-Ei?g zb@4viI#WcPfy(y21;|d_zyx74lLm9%=ml0*T)7X zCdBc1(EAFy@GY~i6D4L%tXbEti4KV59@y8~x*(dzDEzCoiFxV4t z5#mg0S6wi8brK?Vq0*`Gv+ddN%RHOKt4`?-b9^T-pU)}_OqK>rc7{r4BH!lWn#HAtiK&E+nx?J9loDDr1O26kvJo z^yay%_gIP|#VV9ln-#VXM~_?TjT?gk%M_L?yU=J}?s9M|T=`knojTh*ut8l1%UqSKwX7hZr2I zNM4l6v6nS8quO{Sw#Ss6_aHu;m)GX``R-0nW;G^cO%XhR3z6d$2>}JCv&7(s9^#WS z$Vs0NBb`=ckdw|nY-8I-2*G1;Fw*1!mnIYg29nUX1r*jzz8-1Uwpv@=gUqEUFw2Z*PIzIpClMz@y)>2mLZMIwx6DN``4@_$ z!%6mmPAoqK3nf4DAk(J{i?*R}6BCBmxn?ScG#@W;5mDOch*SQxRrHM4Jt#4Q?>C?P z3%51Yg$7+z6LB~4GOTSMl0=1}`aD)}PL6|Kksty0D54ZvHZ~B2V$pp2c>ECdb9F`v z-L6Pjb9t1GQ4vI>KB#GlQs#I_FfcsyuvR0{YUk;Q_5n?nqW_TadKIV9dZK-Z`c%vS z-C>d;z95;s-Y=8DR)8Imx;a5w57;b zG2Ug{zo5_&5+?Xtxc zcDxpI??@?hx744}K#>q~d|b}Z`tX~Nx_prvI2f_n2zro?xiW|G_HJ(fT+{+MXdgwJ z{T()RGLVd#jrDbj2USJxO7>C%v|cJR2aX{XGby!twjsh-Nf8OV2b-4$74;Xg6s#Q+~ z6I8Wf@>RC1^&RkK9ZpHa^F2u6UY8VqkL`B%W_&zWF?{x+AjLhcj_HI&^LmZ;QB%qH zvsc4uw@x!ODvr-MR=-fR;koR7uq}kxLiWs!;ef^YbCzcds>8ogaegG`;Dg)^3po5K zZ0BPY=m@7?z}CxCjxrg+o_Cr__B2oxpwRizQ!YAOHc#S@-x6mygT>ivQFlgM;I?IK zu#y?p-~)Dtc~G`God1#mmrZ>%2+X67?MCb1av2)rio8L(i% zjt9F@G!ex9R#OMh)3j;93p2<0|E7xB?ynGGd_@xl#}=I4D`)Lw&m5*XEQRKteVdT| z5i`R<;swR zTZooQPm58SW#tU!{#ej$f>lgiVR`kQ`w>nzgF7~wKMFa1(hldEW9cZPXU9C}YGme| zIuyvlTsie@<@K3N#T-mu{dVEWwMwAbYNV~=KkAf>kl!?(b*WyKJid48XYsYpAkQ6X zQc{9bUtl9Xt>8J|-o+{O;^8~}C-!dR1=*R`WE0uG$vB0aD4sb^FXeS_2hI9Wv<5|m zO!Ykx#{GSSuUxI7NVl-SA4jQfnxj_jU!II}pn7p?%<*2db z3pHV~=cvxP!3=oCWgix>Xo+SbVpunV?-QeUDlQ!S?0I*9OccWYP4AzUtjjkc96djH2w2L1FQ(;KT`@EpuJnKauekXj-<|}f7nY?s9 z@rmd_DVS7`Gfs9;I7gld23t%0y}+0kXSxSK2G0fX!wsGwq$NJLpvg<}Nj&iEt17Mv z=f@fDOI)gZnnD&UTOB3gqHTA9oS7Sbn~zRmm~>F{d_WYa_Yc&cfrxRcRbIfQ;CL&H z`V@)@D)g-*b>v0!lc!|%@=HpeDje^blY+sbRn8G8kL+q*$Od!45MkK<0uYmOFnqHZ z1GJ(~IhovPr$17nB-N-d0u5A!mu04e>;_~zTMJ1Ez-5krb1(%c2op!reP>Gr(K9qb)e{_~r zbZKhM^YYRERz`M-!>*tGF&tC~+mNf?^Sfl@w=koQ1}4A1)Zpn|55-{kj3)foIE<|U zvGiPW|BiM>1Yk^Fmc+M_K4zqX8aFzlXt~`)@G!U=u?)NusG~z>fupR~ZfrjAD6k+6 zjTyB8%7|(Lg<`E9gLO{}q=Z9#Rau(LOr!DAXEKY$Rr81ahtW}5={P~W43yvf`Y!J# zjnXWa0(@BTR1IGAh_o9HD7skHE0OHgOMrE9r~uM(@sGrc~e*!7)rY0q_tFnK;~b@)e{OIR`HJwCz!Npdx5)aFbIJ@3wRgV4Y3u z3cVP%F83~j2fuL~F@b{$Ysh1@%MKX%yFcjO6V#+kVtN0>e6`m+(t(>2sOqPUeL^+O zIkFqu@Zq;0M>)Y^lO)oSIqKFn5$lr7^LbYQpCGc^!gMr;`4CpyztJrhbAr5Of4n?v z9s(Y)c}RCCppelSmbX=m@}Q1aQS|n{3^%4JYZvc;&-W{7zxy6sm z=Lp+e<0|hywR-aSjZV}~^hrv3AEQr~jE@%as$8BvZpdY?j>I%fX7=ayBpUYNuOo2J zE@#;2yg!ed1B}le1{>tEIrRjQnm|PhxsGJMhPjO3*_Frj`W!m#1E56<=c3s+0 z{aW8CF{0_IG%QA8-E{I8NEDBkk?e$D3MMQ5oB0iKxn1sIRLx6#$5`gI;8C$OesH9< zC>%Uj9C+FuKb84X|5Uj>bID{n9GT(NvP1PxtE>~o*z(c;T+s2nqoU2V0T#p=)357J zbWon&*0!SwNetcM6eQuKHkwqnYkb4WDvKL!4$-gSHVVo)*Uas?dd+d}g+*65M;Rr5 zOVslP)DgJw&b_q6_3$Cs8O$c#Y*3W-fw>S1K_tb zWSb+xp#VEtsS$&wsY*jIj6=mXUx9lE4OkJ1>e}8U^fwiCvtpxp3Yz<~;?_XsH z%41V?_T8%P<|f`9#X!C86N$bH)*AKp*24x(G+kT0ExX1}aS^O?3aAJJ&>kI7A7NE{ z-#R2B>+%gm@@=TjzEU5>=EGS>Fh>5PxM}YE@u9o$AhJYGFNE1%YtN6I!+lbZ{aw#J z%J_X=(0$|hRlS~eL*Uq|`AY7h`dH#>r;m3T>=%$?=Ug#I`Ktf5=dYw&s%zT!f*$R= zgr9y?fWv$sh}fF;Rro()>QCkfrDBFV71dTdVR zDYy{%iY--kTEl9PraD9i?rGz5COF%$W)|8q@0HhK>xz1WJ6naN8zVc-6>^aIk{o#^ zoXigP(L8dS-vxhMwy%v{+e6-M3zWQ=WF`mE`Gv@O+Fwe3V$wk%)2^=ixX>3a9DC`X zY9{ixnpFB~+oz@o7h9f>58KdWD4wT)_6bbRYJu6h6iHa-)Eug)a z%(HXJ%|RZ{hX>x=2kc$f-3*pGLqaU_#JIUG|L(HxD^=Mcq%RqrwIr{o9lQ-W!@dP}H+hLa~?W@=z~V42(NXXHxcVQnCOp|@2Y>BiaUS>>pcd)YI_HM7ysij*!CBK zG7KIftMWZ4+uUhqu?fs=jFb?sMFLl?JA6}tBy^-CHR5suFBauf0E!1r3?9BX_TI~K zKCohKQ)nm#6{xiCo$!Fq3@CX4DBC(@53VL0&2|_K{vJMjdhPb6@dQYc!sZ)WkCl;! zaoZyYkqPXxk<5q-*!5ZLXXZ8t9kGylr(5CBe#6gv1O%gm6`lk$WN90jw?A@sXzXJO1 zW&hfa=0Zpufy<%`NUOI;n%2+UM?m(tPwL?gP9zh)2^Z>GRC<0JUQFFEWHhNCqp`X# zxLYs6g!1_EDGg zErTX|8(e0iW_*0fKZh2!C5^nBh$s8}BNF3pjE2Z6ov49)0>a`CLN~8G9CP<^&%XN3 z6&jc?ZqcAHn-U{d>5i0 z7LL1X90kZ6y@uPpY$zAMFbKl8{%h+&RUf^9IO#J>UI=PfhGrM5GYbsh^fp98Ul0?H zM5}Je{9e72q>j5_9SeEF82Uf+h^Hmhz18SIC75sw64K+SF#Ru)TtX zU-uX*f!~n~W4JOjqg?I*U%7k&Ra!3D?8P?pjCrLawo`#oj6O7Ox#O2UnpPw!6s{ilr?f;tC{`y* zv;#M&BH$^BNg?Pd$^P&k-9kLo$=rIW$|@S;mNsBO%8;jiaj*&-D^I?hJ0tSnxp98+ z25l5|aOfWGeza=8oQcFBF{&oC~E(cqWPtoVapLi5-hQ z;*F@kI&2Oo4TaEgRJDFYfot7rRazpD(uqm!u);mNt@8(9kA7cQt4zAR5+X}0UYBRe zUqGw7GJw?Np8u8gUZE&uOg|fV##ZZQnC@OAfi@ z&^7{Rd#h|m=pdoW#kqHl1 zjkZk2LI$w82h)EbXPomp*AO(bJRw8iP*@y@sGfths;NMH@CGX*d-3yhU7AU4MV)<^IRG; z3}!*n4y8X)cNS}YVC+78%bl?SX+St1m!kx@EMHH-Bq{QIAylf^<0~7kaQVoS=G)+f zs~PsbB|Q+g`}H9J>{mZlDq3wz)|N*c$_Ml zJGkxkhTnTySb`dY-R~o$krV%gz_&GdXmzDk#ij6g`66J8^{hYzrph}B9yprq*km2R zDUUEkg5<9SKe^Uk%aB&jbnTqvkZ*cdeu4VJ(6!4YXd_x4K@H{p- z?mX@&5plP=qGZ=&6b_05-`+e98QF^hg~To^3AE z&t%d?Z=XKVI*?Rtj3T2hMk6*?Vc9SBdl`BfepM>Ae*}`Uun9ZdmlBhx z=tBfE41S^x9;nK>sb9`UWi8OBVl1XblXMuYunhyD)pG$;$sRjeI4w}@SKo_`cH>nSg6ahJs zDKMS>bp^)bWhsnx{PC3uJgjK=B0(iXTV)Tm@0eanb2~c~Dw@*{xkce~!6gZWLFtJJ zxTAf=1z;iL*e@#tab&VZjA$}he5$6u_WEuc=i)_a7~qZm2qW2LwrKLL%8BDhP-5?6 z1MJXPXemU0g=uGO^Q93oOC)^%-E(TI#;-dTFU?{2j8A642MW`Y+~`w2zR`X>Abn->@SY1^w1#=9nkY)p+F zF()?C9w%n{qcpO^BQJ{P{Rd$(_y}q1QH|@L*#;tU4C4g_dbDtKfND-{^wjXQfdU+G z%MG8Z=x|UwSl>auPT&&a`Hp{)pF)GM{+$zxFA3XI&#nQQ|0H-ES#x3JasxpPTvR-0 zTH-2eug$?DB8HmuV$qa-8At3uUxQi-=GP=5uKJE0fz2juS95y%E1;T>#`-(WN_%s8 z|G=jM6f>e%7*Wmv;bq1>+=7t{dPm{AlIG1oy8Z5>hbx;DM+D|o^vxaStz*rNXTeP% zr?%*h62O+L@By6zmE!3A$JD-jmuvXuV}ts=PgzQ0(6LKnX|D}tQbZQ}A{>a}C|BOc z7$bmBc#q5RVqQW}`;q%b>(iU$eqeElH(+Nglz$(Z5`FrjmiLe#ky2scubSOGI)iv` zcJdt&gJ%ADu*bnQ^~_Lz1>*^Rs)G603g=$7zYys1X)C@CU#ZWC#=h1F#G5@`(B&|g zV_RyH@aUi<@e1M%J}<)j0}sbenoxCE{tYS%o*?p$w4-JMVr+>}med2M;a#fPFL(m? z#v`cle&HCOQ9hD$G)C^*I$3bhRIGzMv$S`8A4`Gori2uB;s`-QP`5=>@eZ4neWHGJ zk53z(o*M7M4S5@8!-AI!FS)Sk{T3M;x_l=Z!MI~rx{lZ^!7jD_eLzjlaJES(0p9eu zj3B|aD}!j}jlko^6{=j-hS)>Tw&#g{;P24ycGk0K@+KZCZZzD!_knoggW)zPLZw)b zmxC7V7lxh#;_XXYAG>>6{~0q<3~D=)e8VP(Pe+>M*ARtA0JDHqFR9sNjDR6;Q$Rzq zi%x)qEpZ9Z>+_rc)b}Fi%XgndvnX_P6whH5Zg|_ran%EZE}v>!EP@9xb~ib2dw5Wf zfO>?y#RQe}4`wWTZl5)SR|i9&Q1aPh$Jl>>Uhh=#d)^(bH=dHJ}Y|62BY?$k?6RTIRdZ6>g1D_L% zbER@K$XJ>UD?b04(4M!Q=L&p!1)aO=vpAVbc`XY~_ zNAiN7*lI2!eeGp=7kXi+$pRFMzMt8`X-Ce&YYoorWxP+h8g5CE>JLzyX%7dvg6WA& z+6^dK{DQq9qpKXO-#?r`PZxB#Dx=R>w}72ie`8!P!-)uExMq9+`iI;SKjTYK2_aUJ zli#A#qFLRN%22^7J<79Cy%x zgRl@B5wk{1er@-mW_xps2M?ydpne2f$9TOFcNOM@P>J=tJEPvaFl&bM z*@1ERM-cCw?dKCqO^aVH9F1KA?gl?(f?<;%v#1bo0TSL~ikmQ>$7yoD0TPOqgsk@6 z#V7aQo`hy2Ma^XiVB;k(u?I7>cuK1{A3)JufYD;fo0hx2^U%xK;NX=Dpu)()+E}6U zP{l+U9zZOS=hXVmKB}Z4b6@@gL1 zEASeoHpl*~J^nCG&1TS{<4Y9ezE8%_GjE>3gBWmayMbb;u*t+Ju7j5zFn%v>Diaac z4p&9%gk$Q^)jv$JgXeM2*@@#jgI;e5S3-}E+t-bz+O3dcW2a6fd%O>~lqvDQ3ii0e z+gq6qpM|%BGnRy0>93t-)b06n9DKd!YSRWX)Uc_@yt65KK#2HsRzWqh7v7$E?aW(c z`TSK`PW{?OG!q4odd@Yx-SUd%M7tFYs72MLZQ+%M4M>u0b1-1CazW0Il-yVyVlN&T&EB(T-e`cM)l znxhT4!9#PGSN0_?3GXx=At#=v(;hh#_7{;(hUbc%D9cQF#6p$%?LNU6Fw-X)rk_eK z#Q$4>-bFkQYy~>B%kL%qV>|#ukLdg0&nI}8(2ATQDZTm7#`^I@1)`Bmu8PFxm~I9Z~@!y*hgzvOXaA5D?B*K(ZzTiZv` z;CI3>%27{=L5xthOKP14N>INeF#(mGQ{v)Zz?Ek^Uvkslc z-HrR&5cD6W+bnZ0;Qs{v-;n;F()|Bm7W7!Z@>TNXR%kLgkTUAkpw4UC+d!12^P1(j zuC^B4SoiceI0}c~{mT}x%e{B;JaA0#37bhB9E)6LO{Gf%Gg#0a6Z;l7w1!u^+a&xZ%P zO4A|>0>e|*T}n9iOG%2EP#U#^XS%&c(nY^N{37PukAIPb;wN7+Tk(hclh5p!oM#oZ*?zQUq}I|nUd!J?a{|To^SGn`*1|vg zMlnXc8q;&$oaJ#Pz!SFk%FttfV>C_jzP;*0tzM(12%W_8o`O%!eAIgmG-jPfV%P+R zYjaK&@6Ts2#(CBSbT*ErBAV9*CxCfgL(zP5>Ix$ki%mPJp z!}ups3b$idCCex`+&Owec6}|m&9ZM=4G+FjHL>W`)uSy^yy^Qg4`peD|Gz5ZN5T3-`#LnjT88E8v>EK;r30VHFFOs ziHp!q6R~-#5VWmSy)FLMZZ35Z8aV4(QmSLP z=GA@s1l+9VENszFmuQtEKVLN$Rv88Pyj8b>+1S5Pj!9!nQtvGcuOwaWrYDpCAM?e` AmH+?% literal 16304 zcmeIZcUM!-*FFpaqEbQ;kQ%VjK|tvwf+8wiq(})0A|k!_gd)94mktVu^xk_3MF~wh zgdQN2P(w&a9zNgSi+KLI*IDcAS?8MBduErJJ!{S|l97>>(U4!gU9TRMD{5fn;%ejQ zz$+mo_DD>ESH<1N?jx_HlqByXX>kcjamhy#QoMRrE*>_PR=heNENyuuc=cZM8d_QK zs(!R_yJ}eizjnojjEq|8e}qhs>i^M7@c*M;T$+8r5$J{9&-5$8V$=Gt=pl|9H~V zYX#a@Lu9_$Snew71x_Cw>!hYQLpGq?(xuId=o2P!e3<7{4~Dz&}gEawf66@ELeOV zvdmxo%HNzU#yyfw^}3wcaDm9_hWFyq8;~OZNp&Vc+N1e3m{;rkEFlV388fsa@*{cM zIMtxPfwRnk+v?oGJt<3kP49mn=ryPGVd%qI%J9YlPk%}mRW!&t^D}R zTt#=Rm9Yg{kn2rzVZ633ps;7j{JkyJ>Du}f^4q=P(av* zBo;R=n>W5IYSlkGcJcu0SYDG~*mo;*vEnpne>PV4UCgHq<>|_=pe>!svKOZDtk>){ z-f9Wma&Ikgx(zVkE7$+`+wkj?&}#T|I_KmbOCck;tBUQZvnyuExW!gu^6%qtygJoa zqpl2hDoW>x|GHNYG?nlvU%{Ni+GbCBCeC{#jm&V?wI6Hj)NU8svm8ew7$q9*A3Lxp z&7m$fC%el1UG0WxWz$EK=>=sS{aT;0A7z2JCorbJpRx1LXx0l|ID7`!mpk>(m6(V4 zxp7DBQ0!uj)dtjRE&=|J0PY0qPBmb57-v{Tal{QnH8-xjtOuW*U>bLL>mfCuz8#kT@A(>@WGg4aVDmD{^i(9XoA`2KPf!SYxY zJ2e1Bu}(-MhuKlnoyM#3OQg~M;?SEF2e9$}n0NicIbZsS#*M!PvEC*I+-Hht0Pb^k z!@tZCg8s+3kKweBAnMG!VL@2=rW?4eFFPE|vw6~;vZ9)muxsUt5JM1IM#CcP!#DFI z%8Abzvm}+<#-+9Jg7HVm4WUvdN+r(S<$9&HK-*i=(-VF!5y?=k%t{>}b2I0#ag*ef zY?(Ga8(V@=lsEpi@gF_2;eWzy!WIoYeDY?!75ODX0|CDkSk_&^TFqzeW5v1Ul`No{ zD{2nq`_)+x^$YT+|5m%~{r=(~mgK`3u3XFyPUS)4aa@2wlg&I~MPKt@47m{W4P5x2 zKRvGZ>SC1+-0$@~>iXV>>^Uk!`2?HsG=gO<`r%5tH;$js`WlMGb1 z^h=zcyr{CH`=_cR8?Yn4L@z!ag^-{aU7sjW!z@3rdu#lr;<}OMouqaCU<0c{8Lc7K zY$2Ra%(rE>d=5FY2z!CIEia{57|q(v=vof)hevqsu1t+;1YbV*a>KJi;8jsBc58Al z<8k@v?by)nbz9a(RzUyc=nz=_RoN8q?#j};q#`FbAG(!nw#3AqNGj>W8!uG-R=etE zu4-qc$jKI$bC_Y;lY6nNmz=K`ZN4?&u_?Yalb=+#rc2chlU`SihutWA1fFM1_*~Uu zqp10jw}IuTguJIUv0n`x^h5YdYw>{TXqw(@xh}=Rsw>~9Ot|t5Go_NhL4U(m-?a>u zpH)XAj<=WKT1+nhi~Nm<2Aq;Ogk}d_sx|VbE;INi#`ygc85HyBuZ)7ai4Rja- ze^83a@SiU-3118uJj4zjR)ifle-Plgl#7c}-d8>f$Ce>j&- z&+_T-7@*fI?x(zyWoXhXI~EgjC#dBL^rY^mSm8zPJ`=-A{6vK=(ey0p{P{^N{GQL0 z8drfdD}4lR03?-BXL zN<-a5{ix%)AXGGO36v`EY1o0*iXC8)bYrquPuWbYk(h%WGv6jMf?-PS=zm%^^M#NY(OXO5}M<3$bb)Vcii~(`-HL*!>{iI4h1g2(eIYlI{iSD_#TSp|)3mTxQp06O zOKCqj6ZvvX1r7&hPS?4Z7fOEMN7)DIj|yre+Sw6Nq-K_r0Tx2`VzH?crtGP+d~w~Z>eQBfTF9CQkn=B~1@YF}$>Sb+%dX!; z$3ij@10iAD5H+>@=!9l>3Zi6P*VzK>MZ6w_e@GtfCKY?9O20Aj=9i72!QXuu=2XvE z=@ay8f|Q_hB^A=7+uf&ak;XcWjMQ82CO`h8=azd{7wqiAb1hpf?w2HEBb998E#^S` zF~QTnFS3&&qDFdN{dv~>Ht^mZHxBH;uXq)ejc$?i`fDX4so5Xxm}pqDcY2(QZklwd z_zCJ3jmeINu7ZT)zu&`NIzp?KZ@JL*Q2cbbV7=cq%JS$z%k57yim%d(ad$3Wy){2Q z{B^7f+$2t=-t&;s$@Bi~kR@MN0=!Xc0zQ1g zRQoE1BaTIB_Nywh`&6)#?I}KThm~xDgqm4O%jS37q0!p3sFk zW%-DqP5B<-h%B>KYm+bHN;IdaP=%_(9KE}V&;?tBeAB0xHy>;Rd0L|@uKQjWK3ld5 z@$`}jpEprB}jAZI+6=^+rqmtvL!@JyQ@&QJXWqJ;+`_y?^{i03X zP2}-TX?jfat&)#BO}c1SLiRx0{l^oDCu#Z6aYLPxvGmCDkN@m+#%ff@3WSB^Up*HQ zxX{s5HDnNT=X^2r&m*Mf`Ix8STQ|Mp-?>}S>5*CtVljd~g#z-0`S~W{;Z&*zCB<8^ zE8}VT*5UcX`fuHA8LM))l%BAh9#qQ|3c&jQgpZhCt6++*7t~Mc;CyQ>aB80PS!1j? ze@kQcEjJ@cJ;@6CK;$bpr_o$m%qmF0)CkcfqOR#L51};u@y#*NtmJKrl}%mWYtbUf zJf(8p+9LTSiDV_Q$qA?+DEQ5VAQ7N{lzMae0+{R{l1gRi~J7jq8Wd zZke3GCvIJuj!ONa+>}~_nD+N(x-`S@|3<$4t`~!=bNd|C&HVkbK~dV;fz+fsnWS_C z6`Yc-Fzd_u!P@yx?5o|k@zW)skk_6gh15lNk`ijJQ9o@#nChoFL)=#8r4{*nyNaPs&9E@vJ_AhHVwGyZyA+m{15fx0 zIOGJ>R&$zhiHcCs+`*wENeS^JsGVY4bN80nSQLScjMVhN*?6r&hY&|W%oqVN$vjTM z8xw=oHqIIN;hL-3p^Cp!O-h_5A}LdA6>Av>7V>SNJ&v0q%Ja*d%j`T11235r8Ye(4 z?{IPa7Sy%^xPO`Qe0~Gw-+r{*1U`w88*@BkU+XQKYhSZn#cLv0Qcy4db+G<^1e-W| z4-WQjQk@_~n{!xhmyCd1xEVQKLl;u(2~3;U!Ws{CcUf0AO5}RAUl0_hR;X2S6;iZo zm|>KahH`!)&>_@x1kA=w*o0Q@ogB{6;yZ4zR_1z<-To$^{NnQqq7e~6wmc=I$eb&w>{z0P#o<)B%s!{A^Hwh(N7Jp^YCet zyJqix=}8PrJRpXdH2q=J5W>VuTKlr2P#i>XaSbKp@Lb1{e$Js=(~mkjf(Sl}{%-~k zHgU<9qEqJQ8dUSyXHD&e1am#qC6 zoCYXcgTVO(x`DAjit~IB0egK4HzG_QVT?0D%B&Kw6i4<%QU=R9yV|FhyT?ff_r-t|dsR%DWW7k{lchbJ`y1Bl&WcCR+jPEY*w=Cblu|3^+x_0P#q=#ww z`(1vqzT_}7!)avf`Wwm^IERX1Yb=2Di?_oM&v^PVZOxk$hYR+*lXhuN42DetX7 zf`DXAY3u#MhgidS>r7#>qdy*d=*=9}P1ywPDkc(7ZF0-?l*1~`?5IgXnAOtCoUn99 zaz!x{K4^Tp%&_4w6;Qy0pu6jmIQfa>o2;lVOe}xO3H$JTsiJOPPYdt&H&ge4_d4hr zPTcg$QpJ+1YI$X6eVNJn@?G;M$raz2;!vbQ+D2k>)b?3Ww3lgyHLq*p`QW0;_%SLX ze#4k^!i0kL-@`aRwIl*EGZ7ABOU>j65&A=ZsBVn>Gl!_x3(v84-wW^1$Mra*0eQlo z@VdAjHAt|^<8rPO$mx;S-6&&nZV`x2Fhvv{=5z%1&I1z)*h36{vNMN@u=E6DO+o78 zIG1qjdJ=pZ@vFnex15~d7FJz2dQ_K1d7{)#! z-d=8cA&weyi6QY}Or|?sMM+UtL%l}Y<~Uamgqv7$Z{+MI<@;-qK`m#Je$M!Wn>0ue z&&V*gvc&yak4nM9;J2jo(6f-XLIysB=ghl&wG6&{1BL% zB<=kZbkw1}62h~2#P@O)OgZn@I}4X^NK``tR*!l1zf*jezp|fUBw@_6P%$KP8DmEN zA$QN)kjhufm1+>?J9Fd#2Y*6D3}qt(@NcX5PgUK&4;ZHaH^P%pn!P!Hm!I9QWA9%% zxx77dA0K)gc4vu2is*gE3wVIhDJd%pPu7-rll?3Z+`kf{oo4I3U~Kt9Bf2ArpTsFN z17Czo9Id&Atp62Fuk5!vxl4K?fixPLWkyqK*vk*|GelU!C?DQ?B;CGSa1P^Y7r$iN zPL1mnuOZ?gFWdD)I zY;{@3*6FQQ_4R3A-RwcDv!~1hBw7r(dnkz_NQs-om?)LG`S7wplczYIF!scsWc9uJ zamUKWp66T`dav@8!6&x7LB+6hA*}dg;@jE-sM(z1ns#vnnC{%)7E|FuQW*|TJGgwe z%eZOD9e9R=P~l|WddV1kyx-TahEoLMz+d2yVC(PIl$o!34UoxKNxH|_s+&l>O>D*EET{RZzRX>Gza|4>7V$&J)vRi=;YIownYemb?GP!IO zpASDpv7Q1&wHMDd2$b*~_oRZ`Ic1l_cF)osDumW_6A$0PkJAY9JO)i2rHA_a;B^h`mETN27+KOIYKY$)Xq3J;dwRMvn2Z zPhPpAKIZ6~M1P`VZ3Q^Iv7P(m(tzM*^6>ZrwVfYPF&-cCJ!kmXQ7c;-7ZR|r%;tuU zfpooBgYHG2*S0g>p$?nN*55=Nm5I-}zB7%ZMpt#0m)%{JkQs0aGCA>yM5Tq3oLa#1 zi4RB-9W`dzOg|r--bMUOKU$cgKH5S(gTOCi|Czy@FU!k1fEyw&@C3?v>cz|OnTtVN z*{-|2QV`MLO!z*LexUE?0q~N%I-Eq9I26n`Da+srB&3FkNVP1OFaL~G4G)WD_h=f# zE88DoxR?*olXghz&?bMpW;UJe94fBIE(e-uZJ~)@0R1`JmhqM@v&liy{?7qLzs#XF zKh$e?4A!_`;g9~D;T)*E9jbbw*v(Jyzhf7qiJ+hT6^_b8`0kTeG?wgo@I&<&@8nHG zW9ZxYhcv>IXgU4tFg8$j1dEGENbhtOIHzLw-f39Vq&l${Fye|%5AUeG2D>CKGk!LI zv^n+U8+c6$|ETM(wGqbWUwdjXmZsN%58BB%0K~J?H>v7%^W#6Gwq#(V2N`yzHKgXc z6b&@n{@TSKb8XG1=H8{UJRz7AKZ)>5wAH_kui8e-Mryp!){QJdjrp__&j)~EvXaB! zzZUz_f4=HnHKQf9N7w#P*ptGU0uImryg3VBJ6OFr(C79`;XYIqgOUnTeSY|IJ`AhJ ztESfK7Z*l2#h+_s-*x30wDt0V6-nK||X%^)t5^oW(|D_-*eJJVB*hi2R z&x&Qls^R)U|F}qhhMSG&YSx~@YKWn@7f3tyh#R-YPK)f|32P6VmktNPqMpFwNKLCi z-)!$py?`S}!>(+4hs_fGB~-HbY&?m-izHO!xQqMn4#fvuxpjob%^NI>qg4fv65n8p zxbep=^-1m)exVAlh<@4ZVON>Kh2Wt+LGR=)wDIz|`PRkA8={BAN!!mTn2Op#PKN=( zl309zUFor7rybNxSb0GG=deBeRV@Ii*020YP{ly)ORCo;s9IjXS)EWnQ|@-reELUv zSMD{EJ6UlAF~5%NapolrSFOZn+>ak-KAg)L)-6kEM^-7Ve?ywP95oEOrY4XiAHL`LX_eMhx&$lHKpWy+9~D4ZYVC?T?k$ z>$kBLms2ybuzQeVGW}c;+9E;`|6gIB%9_jto&G|Ic&CVc18L``5qrj z7AlpL=BW4R5QV(_{bMTLd=fyE+1`Z_QdF<=Hk3zr{SlQSyUNp#X&@CH_HQOYsABYw zH06yNj#^X?3nqN!AtOwYpu7a=me4aHc)Gc^A-6BXLl$~#c3U_;{<%mCD@JZdfh-(F zbw;G#BQN*vp#Sk^aW-Gx*6tnY$cV+M6f2@;?kuaa$eEct{%8SRI{xTrZasZ9v>l?O z5aAFrChjqMN#%MO1bFjiXnX42TVdno7UZamn+(!Ek^cqIeD%ju=*SMB0A)(L^{=<3soeOcr=#4nZ?rA3ZPn4NFF`5MeNboG zlhxC3hl;GcY4UqU;{6TJ@}_}#VN+-+*-b~s=(pgH1RT@J>VeXiHjf~PZ}j^%4CjN{ z>`@xM`5=fXoOE$xDr#+5Y?P*>?H#5^d+q$ymb=2yBOZqU$)D2^VW8^d{ELr6Q=3;@`iYPa)y2SvhYHd_pSJSA=r>_gw(0}^x9iuOKz0=*j}z$B za9f4#Ti-ryW{jD{KrB*pq+ZHr*}(U5GXVh9R)jhJtmjoc>gMF3Z*S}v99lmq0sfdkJN#9g*^>*Y-cRwmY?@Z4u|Tbl*sF>4`ji}&P~w`@D< zCsG@3%(;%%+LoMJC;Dn_dNO$vWha%4|5{@82ud8aNCnV(Yh*RRJ9ESDW1;Pxaf`ik zM$8A&98X#$_hFXOvx@Opi(pI+GbG*&rs)-1P2pR0CVghd{eEoqik@blHijPD7#8J8O#M z2wIIX=QWLx>Ah-@(=I3aJ29J@wgTXA_= z2VHXG_*vMLp~uwaDz@@CSc~{AKlKcVRd`nz;obt{@e#d&#Mv!_Yx2->%V0atJ#Z!} zBIh#*K+f;B2Nn-*7aRIYtZ}*u))2*evoH|T7&?Vu#k5x34_Jr=*6ZRo{_w7x4t}(Q9z?F5zkL97*AF?*w;LNu~q24I*_?yjcE(g zI~3a$82CNUjc1=vMfls0aLi74*BH8{_I(Jy1rSQTDzn_>UOEbr>I^=C*2Fnr5o#x^ z$8RurLF(kKQ*N~@Ms6(!WKA+pTWYvVY89sMl&iGBIGY@>bob8W*v{CWaz~K?>+!a+ zOO9uG9a3XhxP=t;4sd&1{Pyf}Mgbsw=N&4bx?|wE{6S*-MEjc9$9vYh-hg+&v-i94 z+BI&ITRWHhgs_8Fjv&06H*tQ974GdW)&hW@QAB$x^r&qRsSZhGS+cQu93Alr4_|C8 zq*@Lx$~{A+kKHBbL6-h=xly8Vj<|s_73ZFY^e?|dm6B=Cc`a|4L>coy^~QK8LY|9c zlRr5)o2{Ex_T_jStLnmgPw_BQW~8raY@pAf(+U>8JniZ=ER2F#lRF|3z%ssX&vUY9 z6}8e%O}uG+fbPG zR7P);9FZw5Yyq4Uw-^+Y0?R&@vb zs2wyM8X~kobWLpC=Y80b)_+eq(RN%T>J`^wr&bT1|K+NE(0#_KgH1m1*)*Mw?nOJR zfcc%|cX9feU^-mxTHH=_97f^GPi%%#UCwUF=FN*{JE3(D2TCm9QU#1tJh^w}qzTTE zd+s-+>wV*I`LiKzW;`dT->R5T-BTN#lLgiv96W0GV}SlccnIKAfTw1EPHAk(NyvGW z^ZQzVh~Nx0m)%f11zv<6QnMnQmm?DB`V(8@AM50^_kK=pI!b!A9~m`zx$!TYTT2C( z)6FKU73#69^z>?$pf@-4d9`*IOU(T&I!D!UsASc2Cds26eFlWg-vn@5x8H0rqXy32 z^8PGC!2HHdnS$r{J{P2Jg)PhnKDG~A%oDcJkRNGfYj!C^hs|`$9?Q+7pFrz-WO#w@ z&5W0-h!Q}^uKIC%TGM7Ng^>T6mz`m=BnRvfX1#{nW$^$?wtHvh^9Rhd$2@bRbr(s5 z8P+-b(2@iU=*PQX+BI0V(LHxdPSvsx9*p?Ck zAyMJ9g~!lj|3z!>!5u?HfBnt+zN*1f`jHG-5|dlCo1m^1f6jI%h}RUwt(5E*q zKaK)ux1xkwws9E9=r&I@9>51c^$7o<-M-wo%p?U1lb5X1JOnfx3DZ78`mCJcsdU4_TGz~S&9`s zNi^i8x#|i3+Nk*XNZt%Z)An>mZkvb_mU`}S(LB>nWq7ojxir*j``qyy^O=7}zd^i0 zTnmm<7kzQ-_I|~dM-#2r(lk^8lf!1(_AwWC-2Tx2IG_{e1^di8_w{@fb{_qwG@OJn zYZM~(paA?qh}3Ix-san8zdUX4RiHlZy3&ojlP{1I%r(JJjI@xqJhUVDjY3RiW~kjE zpU-JB4Em8-IMyMf$g)&FX^K6%b?hCJ^*wcvVK6rPH+KXu%%1JNz?nEkWtaaLk znu`_nQmZ3Qg~mmh2^9nUp@?mQ(vZUFfkANGj`PI5${(n}mTM#-yMB~%xA$_gteQ`< ztZQWrp7Ml7KXr*(t|7R4Ymw|go661Bx_;Oo{_wUP>-S?6-ao_FXGu(?=dWwMLg-Xh z_OW#b{ww+*GIhn(>s6a6(i`XpyEe4t)s44>2SCjpEo~pF!%LV0P zoPE4wg)@rS2DX-;-#KX(|6F11Jdz*jA_ZiNTRWmK$)=uFEpKE4ATi`Fs>Y6@z6S zIuWw1Km~N%Ac*9cRuq`E>7S;Pg9v*wI@wanFzQ{B$|yuzo|p&WzlL}+5|2RVI#RYl zxog>wJ)*Ya?T+sXr)ScA7O6q`soBV8q`$+;8_@~pQ+Bq*trAHviU?YkV%x)WxQ(8> zW-9V!UxwFLxe*!$5%bR!r){<;PLS~=%h=SEFoGyRQtSw^UH^v1b%DS$*Y~D0=RLAj z&CZ74h+`nV2!t`3_rq?^JS;K+t&>D{zfXt)c{*s$2m4dJ+j=L6R$gZ`ckPF8u1f-M z2j4*fb~)IKi#P1F5+M@0m46~oia(;Y=2OSKVMWneEy-hY6$9H%+~={4DXQx@sa>a! zxttqZf`~kS_6z7(gJZckTxv&laD8;(;c?dW{UOC~_{mi0@FjV0gP+sZWy+mw>s1ik zYL*Sw?_7&KY_6siM~uEB)!kXI$B5h;6xc2d?wm=f|FoUnN|RtYAsDcjTri zv(EmY|DHCpN=9%c=Cg^bM9(qAD2(Rlm6 zetfAUts=JhmuM3i`ci75m2&_=kL$CQ`x@E7&EvLDDC>uooGfrF-+E>x>2|$r#qv$R zsi)jlC#wX$IEv{z9b<3iy!vD-3lHlETvy6*4md8amc{&<@a)S4mXRwyF?(Leep;pg zZ0tF-ey0R98a`||Ss-GcWA18)cX0Y$XUH*^c3CaeoV}m|$&p%UPPl-HD0+-FS0(Z# z;&7c5nxnvqGhr8e8Ou+~bO>3O*!zwz+6bFuK#K1e7n=c{v3?z z;J~O%Bj6w^dE_3xsZ5P`_J9T0R({01JRRg1I$cu{0Hv?*=^UQE#l!zWfyGU73Jp*T z?zcU}$a8l_8$r-tKuzU+r|}eB*6fI|2ljrsBCfo{-A3mB{65JMdu`gZTqNt48+?X!~&7d=R7Qdl6w9|XTZn>)%MU$F*O3F)i=|GcF zekcAVy7AA}r?F)qnps@w1DK&ytK+F5X1OLoe=0G=GafH5x@ht^7yl-C>%#jc)co~p z+qQFsm()Ge*`bahK8)Hq+cIq@1pE96^vfqa%66{Eup`(_`ybN>&WbA)rZp9Kv?9e}b$NnccD*_B&fAY}RykK! zeAqg^C`R0W{@*ZYcgzDU^`AQY>HUUI5!xrq0wlwgWXC@s^QHbX{&q!xzFVmEW(_4a+BCJhQ5~Bnn(brA=Eoyh@ej^nxAZ z_fUMj2c3?!T=V(8+0zJ<-dZoXbb^fzoyhwSW24nHFW6mmjmRhz06OqlKt+Tn|F(WNF9mrahsDC=gvw@72)aC$* z*xp!Jz6kyk6FFyQYL&dbHRD2JFWU8@0B+o$vj66Y(0=$ud(iZHZ2<<>p zLu?E%SGM-AV2O4NTLmN9W*JN6y}V-v2_~tR9p!#eTFk1iWQCKbPPHZKv4ON z0fy($6P<4=9`Qh~b9lc!?Gf!N5^;FcF7ZhNa3bG#Xw7Y0k)=$seWkd->F4G6-OC_$3d7`N$9=FoU!akP8Ln(StqA6V-K~SP1b}XUJoB!? zC`z`Sp7XG|4N6BqafvT>@KAV&Y^7+IPkV)x%|SBPN|(pM>mqB%FMFX&!@c8WB0cpC z(mXHk0?#H6IC)f_G^HNe=!=hhR9Kcx%7KHs`e$^PS21cTtQTdO_p;|dKwfaoCT%0# zZ?}bSD0qLh3ziEENhd+HERu>S-OTUiqV~?!lTb6Q_MKtGN6hnu7@Kd@3)K{Ph#DovE7C zqzbJ0hKqPgWm_{S#^JKXS=%P(ngzd$?syw%5wr>OI>4N+Os?~rXqM=K2unS27~V$C z71WT?YOawjf_l^6l)&{;>rVqO_`qH~#B^&QrsI(PPhPHYd$sNPaNrPUVb3*btJeMV zMjKbAQl+ZIthg9khPZU-$HUrrRDco_&U6AIm+hR*r9}Y&A7Ime950ZOX4i8ZTN&NA zu*lO(y%<#EV3Q!iwl($}2LknR2;;)7Eb*9_d?R};grzCm8Z5XLSC{&RaLlMUT{LtK zX71{c34(R6464tgv`0Fq<8A$$Jk)nT*Z$~lIY??)daCe6aT?D`CS6OSizT7Sp_a?u zIRd@NElkDr^H-h6S;Gvl#Ga1Z%VQrTi6GbTj@cEQJxG-FqEj3al(UKPTQXW}(&|HU z0&vIJPc{u5l;?5Rz}LO9ec1ueB&s?VZYo-eT~5FG3!6ZCi@%1wtfasKGtf?EEeJ)3r3{wFOl$e7GtE zi|Kz)le6Z3%Su)ZJAd&L;#r`TPzwnj4O{baJV4%5i#Eq37G&S4)#SpR(22xrV%BKp} zMoT=9Ia)vaMwHDx{{}mhlOLvbPlIM-Pfd|^nSOd^Vn-OCJ&`25&r@q|(u9;!Dk^8$ zSK=JgUQ!)WH7}o?J&e0KnoTt|lyQu^y(I#;?-B+7(C^OFZr$aYAb{U4Z}+6$6Ru|m z4%|CoyXUsp{tFJDxC2E6AUOABdxu>a8&+G}A-Q`S9K$B$j}NJLZh;e$+SoRlu2+$t z{5wA8S7wesQRB++ie2f+oWPox>v)+}w1-ZdxOH@IR*M2AwMjA^ zaM07fKeUBj0Ltz<3|Rld&$Y;jR0PuaaVaGgg&ZApH)-=u6aDC8#mO!urS`qFX7_V7 z12lfnDm5T1a$Ut<5)*WW2o5}P)|Td51OAHMB`a$PFP}Ry&3%a_d=;o`MBB!T>Hx7z z>ZzaB#askke$}xa|-9BTe*9C=ZWR>*fIgqhs+l0jCvGTh+$^6RA4Ihjl1cb z+KPMIU6|2<>5Q}POwROyPh0F|l&wDU3E}9>V%~3d!k@06sht+|4B z%}rJpu-|By*FzB>*Xv>HN2b?j!8hfrd_aFt$FDM;gdy0S5k}(pq@yS1!b1lXgu6!k zIIf+4d)-)HN4N*wRx{F!mJpiOK;lL{2EN0-_isjy5r=z#jbflh|I`$ZWhw67YTzT! z!O}QqnC5bwxz(*AG`EhMnK=5qMxh98cFhkKvB%B~S1hu-H!54S>Hf9X^{Da9?BBrw zkrw1&$J>8T86#Zp6qBv;o^7e?k7M108Y!-sCW-Jf`vSt-#SaiYa$Hn%*$uv z$m5hcwHpo$;!%b(Jk(|l2XPVNHs<9fkeK{-Qai=1Qa!gHUdZy*}?{uT0cM zmj+8tj)w=dHou*ktb^lZk>0PiJ>wa1zVdMHDS8-rjPj)9>6H_;)-W&EiwSPOTH7kl zEdTNsitqJ1f4!=E)IJUr+QI7%z;2x@(tWROHxB_}Q?`6rFaV3S)2}JVSATNOVX2l4 zM8`Z|O$vJbuc<+y_(9V*gec4D;jt)0ooz_H^V62H zGhd1-`60e%P*~B6LJRNUB?ds;?&b_zE&Oi&h0o2)YsSJLKS%C98gisA<=P<*H!2oC zHyV+Qe83>iA-P`r^oV zeeJ=;oV%Zi%5lWDdQ0*)UU8i_HA;A=MQJ0nl$z=SIyFcVapA5QQEMxDZ=y7co&Vid z+a7mK-CLR*rgV^%i_t$RxQa9Kq=~iSQuI>Do!=-Mu8yT^yD}O|!6I`iO0$>0&1lEQ z!0Wpn%?$LO{NO2M`lx$wF zvbfZ<*>$!%_qeT9m_iXP(&L&bf9L#|f}qZ*xTXj@Mr;0~PYRpnv?8$c8&VNN$PiC5 zBo){GcyyA)I+;yEixxd7h;w8J9QC1vR2719x#L!=e=JMFJzXNU_(&4jQ*7sqksUg( z4orvjc6{A~&$#@a9i|r;bs>VCt2LxN>WOhovzbBBa@h#-&Erlkga=^f@sX?2m*i{W z!f}e)zlL>)dLM#Kz8%ZVO*ZW^%mj9*4WWL274w=qjw8=L{wkW6c=l`+K?z$upvCx7 zAdu8I4pFj%J#r{uSq?^jh>|1NlS2)c8^pWJw=f+yF^Pesw>ODQVJOGW2<~`m1@aEP zn?#qehK~6)^^ZA}*+_5f?cGt3<2CW=vYpHbLq$iMtJ5qAe?Ba=FDr>=+Ih91mqbN~ zkRMx50UR=!pInd~29J1NuN3zSXKP^&woGj7pgdU(ELiK%-1K+aPXKXhkV5I)=`4s+ zjZ9lUla?2L!2P3j&U<^Jp5F}KzHS~31LF9Pg7G4UewQO2t!hW$p7 zAD`?`bRUL%RB_&zG{#eKmbNX)1C2cQ+zW!rp7y0v?nOWNMTkww)RvX)2J(SJy!{UI^MLU%#>KjfQ*n(O7gzWhzQtp5&d%-*e3Dtrs-?T}BYrgxGWqO~*K0H?=vENxjw!eYaBvupqIbfryOT96$c+0fg%OPyk55bZc5F@n^v<;aszWG{r;^%A$hzQ7=$fRq z#xLJ*XllUyVDwFu-xX}#URnUe?1@JH$J6LP>8-qnAM2ge6aL=XoU>8q*j8+K?UG)@ zK6p$OCBUGvtp{;obNKVEu!+rqgixo2+K@3fbUPo7$Bn0{o6YPw(JG5}ApO;NXbosYs^Yqkmjn@Z*P3MeRSC1_Y9AkPsJ|;abFqSRu+9tc=%Y{tMa5J ze|@tLCU3P@B%Xx(7!G$^jeqK1&F0O#m|G^l%NkbwHMmVcuRGy;e%6!kV)km*%(wf7 z3#bwfo4|6?^2W23TF(K$T;g~l8)Vk>e!-3-7!B|z7wK}ZZl2^(t`Hy$I?4ZTnPWW8 zHjWI(CyjM9_bY}zCn)fSl2BpH!(SI;n7l^haVo|b+VXG1E@}5&g@gG%Q+_^0EM}VD zr#@BwI$R~kvU}^r?5d^sy?V|EHxk50zU(<&cgKDbi_u|Vc_nWGiK_10d z*W^7PCFHpa3=p`z4{xNS?`?(VxeMVj|LVSleXh`K9%mAf`e7e3@!9D7OhT`}26X-U zqqGvb-$L2t=~%|9LF&JzQ9%)*Z0f+NRinps4+bW>Ha8-uC*vLJ01etau+u_!sRaDM zp>#l2?mhnM*7oNM#;eV`o!`7vg^k82?=w6)!wq_0JImK`g2{b+xF@j(xVk-0BK?0D CfAB>B diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index 80f576c2c15de391547581446b80ff17f582984a..a36d23a7a104fbc0667b3515a4d94911d1d563d4 100755 GIT binary patch delta 23123 zcmYhibx>Wu^FLf1N^$q%6fN#h+}+(Bin|=#r7iAW+%HnJxXZ=e-HO{qe!M^5=a1*i zY<6Bdn`Cz~Ih$;f;XbJ5KBymR0Fy1uhyT6=z5lTfqiFxfxlR9%dqJIcAo)>Cxibe? zeP*=e#^PVLUVxwxPz`dkby% zvPTZu1Vv6;5EcdsGvxz0EaH#a8u<1P1MF|t8n;K)Ky`K1zhsVIzfVehE1qUO6|?!= zZI6yytIP)DN%&=RKZfyx4|*=wFJH4gOJ>i+nXZCDXAWHvo}S~q-eR{`igrt5!@}hF zlU@UJG@pV7(E{Wi9|QtpZ;7H8uEZqN&*gL5z$HSQ4eek3oxWGs-PqCN?mnHKj%};L zq<$MC16o|7rPW3eN;Ia&w!6b{hLhq8KCHq~>}#deEOIyZEL_LLK*(Vi!he-*McMEa5fTPn@LJ1PaVga=2BCR7jihe9P`2R>o3+xwxeh6dmfE# zE^QhW6nMyy#RGd?qH_T5g3)2f%ScYhx+3ZNU9-YD3KYnp=qImr9^iA47 z0U;hzA|tGogG453mR{Xjj;|Oov@VT5uNTMtD}cPUw6#W(uV@Sti%ZjiA*swI8(` z%?5TomaMdk;Fj5aJ?mAoNpI3#dx65oO&x&^Mc)Y3O1&MRjBWX9$*c z#0O#95|ZGsr{p+?Z9(i~J>o-Rk-g*o;unyble8}atQDBS=U3%J2TWGjJ#x{%KxLq8 zxs90z+0i;s=#rl$?Gx|u3T0ZnC*Ft7Rzf{DF+rx*y^}~!jx>&e6`Tuts8F&WDr7Rw zape(A1b*l|uf!bzPz%kQF+Eu3NxSTd3Br;tQ2qtsUF5$Ti08!)&^o>+zfso-nbqaw zUrjyTIDKo+4|c?4Ih=;g1Nm{EaH?M6#`9vI1g#7?|{_kW2My z>5zmG^rIH6NRE*n1h2z*fubXSj)HjkhzV)RH_{|Y|Ib)^h4T6h>*bTIWL{qYM1rjF zH*$q$!HCTeX)&&61e+$PX??V!FXp>}xB$fi&2%loGnUPA!Pw?jN#2GiJ~J}@ER@^3 zQvMz^5x{1_nq9x3FZ4{Zmv4CCU9{z@&*D=oTcBs%AuTRsAF}&zusF)}3co=4+B7Tj z$D^REk$;20w{Sbyhs&lBPaPmW&th;ntw>VEFUXnqzK7^gVtdNWbQqtK_lI!*zgMVu zpG5qAp44r`BVj%nZJAieQD(3<+pbLZGc>{jMr&zEz zku^JPpl+noi#;3NW#&3MtpC>F{cr7&h(=zznb=Y6A1GBSM_vP=u(iMx?JpY;8FV%J z2h9x{s>t`2cG;z!V^zIOeny9x$5vwZ>pDuYq1Hy<5mHY??;u|0s=x?EeBAWJ>1SyW z#og_tXG0m+U@?9?LN2LP6wQjrk=k=2Sh^=CsKmO5!zLC%{+Jr&_@sLM`mlQ@rF`&D z83_}wkeWwy9ZcjXtORs^wbl#Q`Ye-HS>mUZPtTv6^n2vF&-IVNP*3Kd&@@wD^Q@5@ z{^7qnly<`kd&v0O-FS0uH+LwqOc#dCqsCB)B5%I`h=ZE1Ua=WUdd-gx*2l%Y5qQSy zHGh3w8NbvfJWJ^p@HziD#OI6JWn)X-K*2#pW8@N?`jj@#!f#<;6@+eF2&R=X<5jt5nYez=dWlRgWmd^`w&#tt3vdOIrJ)Yfml;`%Rnen(litR2 zSqNlkj=oJOIs^I@5tsOoPAs}8^*dX|M0G2*9@S@`9uvCn+4oK!lXUhO&Kj1^C|A_{ zklz#sU$XFW&|lxe%t=QU0B5PaH}U(5QkqOJVoHKlWW%y+CfwjnUX!roSJ{ZS$JcFkqt7oN#*W@)8zd;M2J)yI^I} zxzagpxUE-7R^92kSDU4yMx&bqtXaLC6vGAJMJLieBqy*g-tR{fOxv*ADyVgruzr^S=%aWwjeBj>vd+*4* zBc%gn7C07&$cYFu2tOhkq7rZ6V`ScNJY}fnlm24qE897U(Wkjii=0Yp5zk}Z*<3qT zI(+9k=U;X0miyr4f>zJv>+j=j`@&^s$mi&2pJ`Bdb3bl-GIxRZMa(aTHRg9=OU=O` zo|ZSJ18IY(#c^xP2*2OyB6h9;_z~4Hg0v-O9DrLV2Dk^zD=ca737jz zHv!|PJS8^tGM5S~DtyVW1WaS=UldM|ZF1rqiI(0nr_{WE@17H7xX-(sqMPa1xp%)b zV1fdwcZYUUMk8*MYWI#yW>I9S0@9b8Qx+;&KEg2xRo?tjYrp}!-QMyVy|ylq|H{B0 z1^Bmz3YYclsZLFY)lLtH8bZ@<7_2rpS;8c5}RNo?fUy+ty zrCMHTts#k{dIOSRf{Y=4k5hq)F@F1)Gn79vo1M5ikFc}lqZkOe2K(SbH)Mue9<3pz zP7Z%eK3bmKYWCYj`$sA5H!RRU+B45!;O?c=9#{gDrtT6TfaRx|fu>;Z@q`y=BSvjf zXBjd>?#>~Vbqp!PGAobj8%Vl~qX(Cc5)xs}FUmwcX5pPR+RYAv_0hagriD(~wI5@1 z|6sFohp?xwFaLRB6YZTA?vnr6yE^hGwjG+A^c)~_Bvck1&czpdT99ns(ERrXToHIq z)A7E^yO#1mjZKYRo!b+$8@{t~e1gY!4Ep`*-oAq8IIq$Ze$67m zO{HZZ?31b{cg6tFCRz_aNwjxfj!z<$$bS_!uo0{n_Vek|k-N21?V=<)4B&0D7|6-U zMm~^Gn4kQP2UeWw&5QZ0b5*JYa1@Vv90d4RMu^~z*pv(4<<^s3Pz1?!f;?movld+* z31Vuxy#~W$c2KN@N4;c^ZBu^YEIK_k+Zt7+=v4;@K|rnRcf%WtkLmLZ%K>Xw1sY@< znb|8D!dmWqng~w{2vrfrqwRua+tsal7Me+q6%kYnB6ecbQZ!nEZ#-uJt+>4s+rLX~ z$6uW6XT#x!?vVOT9_BqcF=7+Q%!;tW8JTl{J$L+K0Ftm(AM3$Paf3hQ#Da4Ev(V#& zAE8?H!BxWGQy4wjNorY#<~^HI+Lz|v%=W3$O^rb;moQ@MB;VTh=^!$ac&vc*Y^%EJ9 z>avQy!<}DN&cv)pg%kJ>g& zk!9Ktg;Ux+gqv<;$nQPl?|!+QohZiW=YRGa+!Wrf1cP{$VhfD`r$g89ANIt};)<63 z6m&Pm50f+#cn-Jz5e|xYx13K2L&Ko3Zmvuoqs9FVho(PB669q}VxQ0t$%gq0q)Q;F|76Q+(svtarc--In!VB=pN zQkP_vm(8#e&I&{U)R+G2ESFQQWfGaCyYsqSKZR;T#i2`u#oxq`xB$w?y~W%cN|S^! z{Xt8$fkoV_{zYMNHg>k6QOpWu?kMQpEOl$xRx`jJs2&a_IS*-Q3;5UdYf#b>pK3K;6HJbeF|-V znBV~!YHD0T@I|j00%DbincoI>!>R-;4HUDS|zmizn*em6qx4i1wiVl5N^}F;%T{nPs zAmd)BH7wZWOeWKyw^I3%&W7D4Fldrm5$Z9(38YvjoDjE9Vzzr^ZdZ!z``@;x`>EVb z#Em8hdWR^eAV0BO-3eUaKlBAuRWLD!Y>s5ari!{q(u(A>6bsIOHF}t~8{@*-xs9HZ zYL8CiM4nR^3W(BbavsBO2aY$B9k&}GhlI=Wi(5P+cumf6WoVd$goE)rRgl&sfFSvo zq77LUOO;xVHSGcqlfPHR8?y$7_fs*bUybDKhUOTOJc6>%Udcz6hZub-Pc*uUlAO?J zEh(koX=bQiCa^w6yI(uWKOpxb*YtS;W8l47k1%(OV?fGtm(owwpdZO9;P2gA+?VYKGe)rz z?|OwBh^D-Ei3IajDB3wy3#-bFZP%U}eOf^LOU9+>!0U;i8BkOu))IMpgjN9J%<}EK zPIEaukc=}cb{MV8wJ%sSQ}F*8O+PtLWLOtx zidT^!`R-c3X}+tmcN3}P%rk@8;O!`$S;0yByUIF`a1^`Qf4)0}GUyG;j~MI;Z1uil z2iTuGF|`WsjVxP26()i)WuYh&iLt&x$0sEkV)+4XW}v8=af!_L!P?>4k+x|YjZ8UW zmnA(sNupgY6VRJP!5);=OR_q_S)uc&d$Lx~snTM^<%>wMIx*oG?_%@N@A6}%c}JWl zqfHo1UpDj{L2;j}uh>73&+yHwR#Pj1)ZWcoRP5o>+qLgV<9_A23n^-Q_sN! z=DqrhcL=U5C-H=ogG^cY5Sw!6+vqF?^S6?$u!Of2&>r8bdyHYnjRulR4VCspC}?AAzeDM zIau-HTcM<2WAjlv6w!!wiviWMpamdwWD1nS!F8Q`wjgL(&fGq>j;?6;`{ zO%H{eNP_bnU$OBXiyEt749CFOgDR)&PE=o;<5M~DN@5`nCsLI>yXS9@^s0nciXT*swxTH|7iNxwvFE5bo|Y~ ztgcqIY>33*Xmp$ZDE5uy-Z*JG_b&9T9r+ofAY^s*V8Cn;HR@_ttIviRCxod9m=3ln ze*F;S!|czkWsOKC6EDts#UN7=oo!^an5**1!Kmz+*Z(y75w>?C1iq|>mhZ|QAnR%k zi9yi%6pWXBtZFGvhKfPEhmA{V1_qku&yNoLC={CK{o{mLhAB^hBeZr!&2P{nkTZ7!x&R zvRvZT_IilJ`(o!WlA^S`;DfvkE<5Yss7YwkI=DRlo;}zGaVIsZ^|ylqR+=?y{cWrm z`*QH9`dtfa_Z2oxsxtrf5^@JcG&(?|*)7A8&etOeRGMIUX}8f_)LJTR-f8x?^cf=Y zXd0OQzVR%FCH?M6F1UIQ{m!A#n%e>+Rt*03o-uEFv?Z1$2Bu>Yg*N3Nd~U{AuS7X@ zwYq2Gv^-qzSyiOhh;9b#a`228nAg7#wm;l$Z=Y3V;BBbrx33LeZS%*(H2eA)`e`{| z-o2*cZ5Zgc`(sbU{JV^Li>sfFzi;U!Ci9%zffE#F{ycWO1O4$aK>u6X^&lMf)oV-O z*ZTxyy4^QtC=-&;%z4Uak;sTA(9Td{Pv7*vY12j`)6F7pL-(`-BKqI*13ovI5btye zrOm*|pXmP_T+U`sXoTpH+Igl6%&$LrS9XwJYx`#I*4;H)k=hx}muvHcd!-SxArEfW zro?ocDBy+kE;GYNa2kGUYF=l#0TV0jid6+6TuS`in*TifPEIYHi1y9l=R~lmu9l1G ze@)hjLwZ+v;Uj>@hQ&fBzMFAbU$fZ^opzVy`PHQCO5J`$Zhk$KZqbF3qrOocQ#D9 zkd8l@t`f95S%cC}H>=sdvU&xmY;_Bw&@zbL#*<05Ztf~j zTzniQAzuNxZung5u?W*6FST0zX%l8~=2n4t1ste_;J<0$!&tXkOddrN=z+w7n2;wt z8riYW5M0sS0c$>MqF_mWmRK}}P-BxFxU8~|P`&nU!E~@6Cle;~!~Uv>c>iLAWeOdI zF(-GjNqBYg{d*(|i@bxu<8@SjW}X^3e3s)!i@z`-asw~~U+?8PG=ncMVt1d_ zA|-j~f^NIxH!0H0tW4rD7zR!D0ur$?yCjR%sMX{cuGM66OA6`yd?;JDPy>raX7wz- zb&fazJOkwo%yW8(3*Vy(YBp$)O&Q^no=)w>vT{WStJQ^6muUV>ND`M(zi^gC3G7Q^ zef(Z$Axhi%H#Zzn##AP!thY19^*TmNiK!3k1J5kx-=M$Ln>t`sv&YS6*&d3knVQJN zF=*fMDUv)y6-z;=3)5+|`oq=ub-0~@E2kqzURK0haS z^QVIw=M6O7`P7u^Y__jzIWzReDITAUg%~Fpsc9q_5`80+tM25^`vmhohzrv%k7;`$N-d&z} zbfPVj$$88p6Qu=Auf^*|Skk*trl1HxGr3I@r6tUk7v4-CKI)-!)rB_@lVeJw;~{?1Ngjo{Wp7R5Zq~8;bf_WVh4`u$oR+!K$;wL zDKS5?HIqR14%vhWXGPi{d7^xx8BJDPaq&Z#GnN@kPnO!{QJ;cPrk(Tqp zdkj!W)&#qtw(y~S3reqzQ;97r;vJrdcM{k9G$4t22*c%;X)H@brAgU%nC(sKB?SJ) z1^8VD)|D9-$nJ3}cEd&nMvL_UNY$j+hMFA-`rk(U73Ey=FY3?rR4?RoF=GQzyG?1K z29n5CAnsvBCx&tJG_HsxVhdxTh*~y3ug3AdMWBo_^4rT>x-ABd9oB-=z$Rj>g}nnN zk}C)%?rg9btyP7ICwK6CtLxt)R)`Lrd|^nwJLdJCp}4hl1fe!?`+W8Rl=8Z`|icNC3(mY(5W4Q4` z1CeWX*Fs&}0w*{-}bWJ-_uj18W9xlMn0_)__{(wx&G7cR~T zB5oHN@yEMBJ}sBljuR4F!a#}p^$w7kLu{U0k!Cj)ckW>b5NC<1CaxPI9 zt+jZN`a+hxPnk^*>U{IF2hR`bCuww-O-c|FMEkJVzy-6j$pzJu?3rG)41^6K6w4*Wk=>T_{l{6IS&Q-KESO3m~PSvDqJ_ z`1yc_4;zaNb(8!x9d`5E+}_gF9zkWfvDDh3PSy1mfxv}}0$kbTuE(!EMp8TQC=s%p z_lc-&v5QRs97le{xuSx&MUq}bAHunk>EYznA3^cT#RELPpA;*#1%+zk1ZV2Pc}w(| z=#R-of7X=SV07E$+H$jpKJk^E1=-KYG?J!ADr<;ltWv9laY#>B;;QrfaQ^+VLwdo+ z6|@;t+z-=)yPB;saDXNnR9VJ)sYS0elITfJ1x1&bMNR>9;$fgNPw74UmiEnj7}V>B z_(8YQZXZ2UBqfm_{q(H=nCd=qT(e=m{UtR`rVswaUx#lH1Jfq|syG$XF*kDD3u5j# zu!4te+3pWE9f)A+SA&FB^{e?Unr2_%4P;>AU_XU@p4q zJxve+7JLJw+&{lhdbsyV&z$!)v@xg$eIDum{s29#{{d7Up(<3jpf&PA+<6+_rrMO@ zy0Av2c&5r^7kd+jWHV&DI^xWZwXd{JEwt3r8XX5gVPV77pTAEs%6pjcq@9L)eqAD3 z>NfYJLr7fnCXv6()N3L(t#n0Y{O1a|G2q0t{T+=F*bTRCyMqUN)bcIX&wJPrim!Cj zfbZrxBb!1L3eMIo(H#&n#aB8uJ};wkMK#?^hFJ42Qt{bKM`cf7>Ein@9d=3XFxM)j|L6fe8e9f_fb>86qVo!OtSp!4aT>`OQn()D5j{yH5 z?*0xhS6lPw2C6jBg(fi!t+rZ<(9{sbI+g)H@YRsoY|+?J7*%4 zZU{|*8ME(v4B=umrcWJwlNPZ0p(%6WLlbual)Wbo%;NeV6XwQXgy^*Rzgxd4om!3% ziNEtfM`ZpF)~>m=W+T}fvCSCjnp&L-ZbyB~qd;1%{^*0+T#zP|)uX|22bI{d^^M)q zyQeaJuWmt{(>7)ByWXj^>sfcAKoy;GZW3*~&=(~ye^pXne{~{bKribqwZXSoT+Jil z&+!G;(;vv}Rre7(kI(DVo8hMn%GznaeFkwefx|?0Cen@wC*zs#mjrRt&!xh!37rkP z!jYdftQ7hi$LKK?kzp%6(ilF>~~GO&=7A)Az@fI@1{u#{;j z?#=$jw*oFu|M=9l9efyO0WXqEPlb3d6Ia1z>LjLK)~<#HBmFRVujY1NDt~LmSx0^(Ib7Y+gx4r}8gQy)B zMY2?mj8iyQP-*OHE}_}kI~G{BOu5*wjMD z$xx58&8Qiw;#jezWPNa$Ny{SjEWpvb8p=1Y$sYBp{~GOcPt=>$NA%B9dikRJV z@`b>no^qmxa4E6GYGWiG-p89AQm=do!8<(xV6iXVZxE=!7 zZkPp=<-BJ|*`R>7jF7jWesaQn?0&Gv?RFJ?!Y`GmJ31|$oGuOThpwp)*$#g^OKV%{ z&ysE9>jf&ryHs7-suT#yBItVP!M>He1Zgi6YKrhFHV*C%1Qy2WF~Z8##6pCV6VRuD z{?YVJ0q)yj zWSfF6DmkhGCRV@8a=#k>_rl6v8d9_zt(jDw0g-a4RE&hF4|sOkhoiHiL?`NpM&)5b z79<}fGS@SO)RPdGwloF#6S*j%8t@3xT5l7G+SNJuNk7WMeS^7OShhhmjv@m#gMXB9 z#C9;U?gfDoV5EXRBqK5pkdGuK4)ex+ZPy`VCB4)&^ovMpPsiD7jTB8pX4}l?#*}fR zd5XTn%&BU{q4$J3CW<&Lsbh7@Zu-K!e-z^>PqD~IU^^9S&kX)M92jg+N`)W>@0cth zOa*m{TtM8Ka{g;8C>tYE<5UAEnux$i;rlmp>gZt zc%V_H7vrCFQGxI=@RJFqT2vXoyX~TSPU@_MO%gLY<6V9+hrHEU2hSdk5Q8|uZ*2C$45(2MeeL;A*Mgd#1AN~Mg> zK{cNkU4LHu{fsoZGK3N)dl+@~b(DAVFMI4GjFw`*@S32Ng$L@ZL@IlX9EyHV+3_{Q zsMcww-j>}XS-zE(GYZmeS^8esQHZN+95syy9myXZ?VnW865Lb30bgn+{6s1_s8dTV41uCbEL7oTD%1QC~|Le7FWLdab4o(#7ADfy)AX&!4cWd}J=OMt1##R+?(S*RIeNzS3aorBzTaZFqqznNYrdwRmXn2kyv zV6Y2~dKKS9$%t|R#X*H$k?ofSXo1#G`ZG#J43p#p7fjK=E<9RE3m6^QOdF$t@(7_ud59i%pDxK(MAY@NnLb-SFHDzWr#> z6}oMuBCmp3U8s%+cFR?*Vaa>8LyTahFqy>^rX+Gx4vL71SHNP1bhxe6=(A_cel4%|dBO_4Y#dz*^%frX#`M=_5ghPGU4HWpUEBNH5q9N_ zS9o2qkVFD>WOTm9(L}IDZ%MsLkQpx@_I8Fry`6KQ1w>`3?>Tb4K-0shp-aq9DLgX1 zfs?`opK8gbu)cb50WxH80uktFHrx&&Qkt#QJEw zBgKqcT6ikyLS0X9)?bN>K9*Z>WUf~R+#=O?Q!H(wWSnug8s(pnZrZdpUQ`g3Qa(H% zqge-Cd_T4yfBH4jtn*@vwzQdP9x}eKW!;A7wfw#DC3=7n*7^CNwQw8JNn8j$sL7BO zI}aU*`p1C_@&$9pAwl~F>I`^I?NkEl1a4)(=O|)Oo4L(nsw{v6$`hpE2eM|Bi63;vY(b?(`4MXE%p}ybw6jtcYG$He-7-;*>#eQazzVo`!q;=r8r* zZ*r}h0wV#Yd4r9EPQ-ZsU|fd%87={V_z&avJUqv@q985TY>8NWt(-3omkR_ym5X z5X!?7ulX06X*l;c!D&j+c`kL%N*R;b$+{xWZtI44cEU-36#c`yin@#2Eb!8%emT|p z7JKssA0&1he}HI2&KU3k`f;0aV(T`n13zV3g3B7h;DUiA#>PJhH9^lTPg$zz7mD`mc4q5#~3gg26pp*ISp zD+PZJw8Xy#a|mIJ=km%Dw1jtEEg27_nci8ck zj^>cx+HM^)3+KP@t&aELD4qLK(KTByuA0{G|ZWOX(>jy?-t?dWuSC))J z{ox*&{`6sY+YEImKUy2w<{f`w_WM$j%`L$t7}gWm@J;_sU{Ityd{C2(WuWsNMAvbB9=J9%O3 zIHsrQ$=Qo#r&$*1;uKLo+af^TW+BM6L4Z!x1mBs5} z;kuJ1i1yRXDX?pbF@yPnuKQz1?aUUwnDXX1<~Af9lfFFyeBxN!mPTa!8 z&zd5n1XKB@XQF>8JST{Gp$0Rb?uOu>6fT0SROws~wV1r9d=X-;i2G@O_yj^H(?&|*1zJgsU!jNNb^=)3Mc6Wm1C6sDw0d{jy9Z7=W8 z-;N#8;da1U`KC{^&Gn?-c6@7FqYwNSoJcqi2l3v=F|!BAl!B@FYDm6F9eV8{^!6vLy6(WsjQ}Sk-TAZm6(p zvTKUpXt#pSc*?mEL28I2IF?ft`bP8Ecx>O%Rkw=jJi*%lEs@Zf;$-qr>p*@c1|@^Q3%o%#G8>m;Y8pa_n|7 z4#@d)75LC4^!@gLFnh+e!S%K}Ubiu%$bI%V&fj zQ>%oZuZ?eLuB60@Z>5CanLQba?gRf>18~EYB)L}Q=U%OOQa0Dx=3{Bezd{t3vpx}@ z^JgM{qI072+!i4Kl!Npmj@E?ZEc7|uQZuU`HCg<7F z_kZchT`?sERzI1ftL6}gQ z7|lft6_{AUB@bnnc*CX6O6B4)se6B_FI6?auyUAHF+SbLzQ)flRrtK>=3l*@HtNl_ z&)w)Bc1@@Bkxnn1D>~7a`!haCgZicbzF)F?GY1xp&&=kEN^W}Q(P4UGEjJD9llAYM z#AR-7z>4Ot5CNHsT<%eNndhCN=XBqi&PkOSyJUpZnViA>jk3ZNadeAbhrGsbYO~!Z+wlT1EmlknlH`$=Pd+xHsJyX zp%}HqtU8hh#zy*=-v&Hyj}|d@XA|*;2Xwa)P&B!FYr{!~=}>RrU6n%AlfYHmD3wSyv)>=`MAJwm2pnS5PJ++Sh@i%%Jo zK%>$~ggSM!xA=0Z)pf%Ut}x*xzmI#%%f%W?Raau%sq5qW!yYecCZ2x%BA_)O^72?G zY#H^|eoiX0O0L0=dE>jL<*?QH0$1C^lN7@%%L*Q~I)z_9`NuCadqmX&I!deOZ6jkR zp+2&IZi!j0b{Fq!283*g@YIBg>YoBlrR)-G1+u=|N&ar(jQ!6hLA&`GE-uK;O>^QO zflPxb+aWtHvSQAP8C_7F-0!OR(q1j%lO@ZO1A0d$^Vhc0-o%8?z*on_bzTA}xWoru z0bpYq35(Cb1@SEQQEA`bk*Jt*nr`F(N@KsO#K8E1hwkMcD-H<5O1W}3-G6w0;Tw=X zz~{FK)w*gQ@EX9K3D}+I2xTTnE7LayCEWbO zd2V8$gfV2gE&?j9Mg<-uZ15VCVu`6oWwrf~tfK6_`_GP+xp{=V$vEegjxqP1SZ)3M zZa7waD37)Yef51>ALc0>ncSR*8Ly)u=ei(>?og5g1M0E_zV!CzdDeOXzE2&APkiKn zBjp~aUYF$~m+D$`F!Oj*ug~=#`?KSj>h{~}kS>8s_C&-G6XK-0RRQKli|+;a=t{DV$ z=v-X+j=@}I+tGY6ZcwHvx%hs=I%ot#vf^YAkDVIWL>~yr_I~5 zTp>{On94l|sJ#MgBTBbKU!SxxfR2cb1EUwoM52 z$zkGoHo%d4nY(f0AqC{93%Ej(AKQg^StWi^l?_+xLGYrgv=zc^~+WBFMJ2MUO_|KNOw4%@J>Jqyg9nmfrzgjop zejy@}4+f_?l2b+M8$3tvp)37jD>kOiPU-Ra%x;V6tT>ih`uZXJuOz%+G`mDnZDlyA z={TkwcWUrkM2`shHte=ITGyv)xt^YKTG)UXE78ApsTi1|@fHe*`l&^WF-3$>K8Oxx zph!%D$|FgUUF>TtO)+=ii-&l1<`qL`;*20QlxE_iAQ`Z9fr!s<8WV%8s)K%ks{`x1 zH}NYFn_SV9jWu4vJoRU$*#nV+7^RI*o1Z4_4Qmyf9K==|dg5Ze-YclfXKuO3~Fg)jSL?l-cSV$U#%(09R>5(t# zD-8Cq1_4ydFC5DWksh9L9$4V>-{9{w%QA##xIn?0M&X()sLdK=gmpGSc*ZT>RwD^v z1uM>33zIK@Av`M)Z%dGb5P%iut%YfqJ2;o)#M@FOA#mXHGH`$l$oIV>#u;Cn2PfFD z6r3Xhim(AWVx76g-6<4g=Yu;%K^c9 z8zu3E@|nz=h78n`NHqFLqe0w}dgbJXP`TkMW9Y?gt^0s~G4v5OEGTs7;ym&!dZvAS z&1A3s+}neI@S7y}cJT&*PYRK9s@&U)5BK)C5#&H=4Bz$-x92X9zfNv#%X7QZQ})n7)p|)eN@1Z-$#o^rPIV*$ z(P3UG=8yV$fR94-OVGODd{NgfA%An%*Rqp38YZoeIF^b&cNs0Snrto;&M(QM4%ZKH z&*kGM&jl{#NqxnNF-%G5&$!6qrNz(C70w@*RMq=352aAN#esMU>dp5pC6%ZvHwUl6 zi;{A`8jMDr*1D!P>odD&sCZo#DX-{v1onubah5*^M7&BuI^{o)FPRZl816Y+#YU{3 zju#=wr8zThbBN`?$)@q5?<0oQ`>y{tM+G5atwD-2NPny(|Ab@yQ`fwG5I;TXsjd^i z*t2iF#5ffJJQS8lJ^IQDuC5qVr_1!}dq2;_8XGlJKC`vJG*W~Jmf{cuC@dZ6ezD-> z*jobO2tGHi2}DvxH%NVrMl1rR8xXdKp$UEt#dTqZb{WjRm0P9Mc{4V7bKEwM?w5^f zPJ`_6Sc9o{1~qM0>Y10CZYP^99EWsI`>sAe59*-;?}=N-9-F6@q3Ed2dqn+=RdBG0}sON)uTi=-Zy_EWe1D{~8< zJGvcNyYQYk*YZJO*6^K*ert{iqiGS_(lU4i&I=`b&+eCcV=RT@h_rN@B6`E7{K=$K zfW70z%8shz7rzvOzm~Tb397FKJYA%KZDmX?6biE2fYfPcsyyij|G9c3K!I5JqB{$9{q$O&kR03IFziJ&9H=G&z)YyumpOqk-0`Maih@S-gd!% zm~)+eN|?V*Jbcq;0L;jT(;S7e~BnIRlL z0r6e&RmHqRikcZ+)RkaBrJ|dOpWN zvRYxo*TP+K^uA+;eaYY=5&4#91TP1zZ)4(QJ=r&fm^kHz+*`>1K#0=#zU%%Bi={#{ zIBA7W-%|U2k+d6@+@c+z@D{{0)O&5p66(1(guHzR(^hS8?bM~d}+SbM4#S;}Jznr+dqntZ=l zYWo>3;vhH5`En)jzvTQlBdNZ&4Wpn(J1ci1h)q}n?qfCz?FLlo3_!{52kqx&XW(yZ>230~xk`*=Xv;{JWt6V_S?YnaYV|{R!l!*aynC@b zGmGtLNq>*y&7Mx25lqu%y6VXqMx#2%?_m}k)jH`JH8mW#metuAnR=2CvDIGnLwSFj zqvbCsGJygv&ffzrZHcCpArmWRw--c|*{WuNU5r|vB4d#{;l z%?uaPhz+TvJ5NP!UY3WvvI#Z3&Bi$hH+Aux!#CKa$tc)m{-{iM|vAXzQsYG_RTXtRpCLzKUf&cmBD;1Hop4 z#2j+|`K&EOpoG7agg^Lrk-)s>E)R1h3aihclzogk zm`}G&!@GSGxnZgLCzP{QgvOvS-HD}st}Om3{S8exv&HnuAbPP5m9X~xT1+wCw|tHEpBSPVF9uQqOb4gJ zO#-qW13+Ki1Z@j}h#&E|>8{?Wny@j(Mb zke(QbJCAT4_vAHVl(hnXeB!7Cai0Gk0 zqAw_fzOaZQI|_*&E`)wkA@oIs&?AKQc*ahG+@0EbcyxGjYIt;VY+^w1giLbOFKx^Z z8TUgb{E$h4oCX)2XdBx-xoh`i+u-={9(245$H#V$TKm*)zvw*#aStVz5TejH@#LZm zmlkDsN>PTrMH&8(7*3TIAAcT&!6(Ou&!!=4a>#1zrxjCZLx*xKiAa3`a_ad(J7qj3Mvg{YK;)fjcmh%ik_!dQ1$C!cs@uAF^rd(V@ z7(Cj=z3)z1Ra(cvYYB*Uax9FVNf37-l(Bwthn{9*#rpM7EdeaiLph#&YNKlDR>4(LCW5$q=a^pz zBzP=$H-ezwRNEG?VPFh*Cyf7Q;vTUi*RIh$12Fd@j7RBv`ynU$A*c8u4Lr)Xz*aatcUm^4}bMT9_EL%`5~wKArJRM&hSGX;fI{*hdj~`d6XY=mLKwHg3zC1 z%kl!hkPH2gJp_3ql|u_xeHqnu$?)Wkwo8WkFKXLG`%7o<-ev5QL|h~x^yg4^#fv!T zMZB00p6>WkuaK8{5ij=_^9n!Ym43);{E*lCA^*n@`G0=M&3}H#Ed-%IMqB^1p)_6hqo0??mB=igDb$Ej}j3OPy$ zOkEdH$ZD8g9U}~C4h%w?qoqij5Ztyv+;+lI(Ul%+iFXK;bVtI`U+$5DqW|y3g=ssW z3gS+FA$PV4`9DJZJnSxn@GY_L8h|+|0CV>M%sm1y_YA=@BuHSKe}i0k}{e9#a1upjafKjeBpGyexD%Fc=FO+!vpqpc74mYwkXOWzs{N7Y|0c*o$bT+40YJ}72F8czCnS^oBU84g(Gh{;@RxoKUTc9U z&$K^efka$PrSf5dxE=B%W`t7Ax%AWO?A?Qcp~;BASOij~4$aJsi{!_`eBlm-oJl^H1iPE!kQZ^I_lUwYm1!vD z{8W`0N}5cnwD_zA5~WhEpd==R#x(~PmsX}`QEnO(S^J1;DlP?A7AOr_SIkT;9$Yza z5J!@X;VPnfWIc-@csPY8_kS0TjGa%XLnekMC+T^hweem|a5Uce{S!kJ8N-{2dJ26e z`ge*G0Xuh(&`#i`1NIU8Erd2Sgd+X#y@tA zEkESje#j3A0#(usiEI z?P7>ignL*ZQJhZ^ex8S^?D3gg()tor3STK%x|LK9d|z9DiY~imTOz%~B#RrBZp48Ib}i z7ZJkb>WV5OW+6&3JN-gNEf7VOF+XINA9ArDGC>e``%LM=|Y_%?QOP zmwFLTu_&Sl*&9Pfc3YQwe@GDYN;z z54p?_secm$i*j1v^p6hMPu@%i;${MIvw^rqAZ{)YcRAs3k50RBT4kfuwpX}>DXp}R zaAInpXSykOHudxX%>Dojy`0F@=@hN6iV^9L;)EuOzDqHpBl%%aR#)0A@gi2tr6__9 z#)$N%UrMG(KEsQ+nh==Tt%_a~i>M{PRnrT(Hh&h;sb}!@Gh-3uubJ{E|LQ5TE0HBmi@L0Op8aosR~H`6t4-+u>tg#0_4=#|h!_ zyifQcpY%ii*$?@YAM$BG_U z7jf8&cmW~sAZzC^{fG}gDxDe|wI6hUc`VQVSo1HvCBD{+cxQ~TZ)v|PM%d45yq^#> zCfIMzZlGZwn+l^t^kc^%`){ZIn}2ZbX80d3;yYf%cL_lnyPko6&ky;&x11k%5kK@I ze&j{`*o*jy7x7as;%8pO&%KBny@+3U5x?{zenkjR>)zxQaCQJPRa6i2v~D@zKv%7N$z&-;=BSD3zgT zQpqDow@fGz8FOqRi#?YVZ=+9pwWroz?WlG1cDMI+_ncGf>Zx_NcXrkKYSq4U2l=n* zaQc762h{QVM0yMH@W9a21^qjRM=m{^+ybMK@$?eW@wgZ#JH~cR4u9_)ehRUTjZcZE z4B#X;tmH-%u;7>S^c&LgOQ!~Q^bd~$i#vjy{iD0{XXL;D#pr#>1O0p zzeOmD#BV-<92_1WqJI}bjEw?~p~-xv8rBA!4h#+f2~**EfPRiokJsqR81mct|2Hn5 z;X6r~r9uqx@BDin$hxh<#UMt57O)CiVJAIj9N9g=2G34tvHZKmqWkyskL(sUgm3L0 zrBQq^hGZhfPXyxc9n0S}Hazj^o z0Ufk|(CDTZX3=BDhjxt*P2jLB4<_&(`lSW^zQXL_IFLCuIz-28>3tJZ!xJ=?jN&lo zBy5KgFO~0qr&K27jg6rco=EQy$NR~rmKJc5F$ryy@_!rQjbut59GkC{aR70cuUR7q zmnO6C8s0TDGCV5A6U=MIp-1d{^gmfng<=|niH*}BjD{hDPsa`oPW7XY4KjzZ0P|eS zEV;QBg+M3tvVn=I^LJlx0rfM6XK!ABrLYDAO;ZCN`ZUzO zo9F?|`+qYy9R3U@4*3a|b2wg)2^Mv|df)8I72Jl&&@#npP>g9fv)q6&2Zt``r*pRo zEJ+r05%A^B@qdoPdc$sxq;8Zz_41=8rce8rxyB~kD7_43;%TqHR?SRlZEbQihmkYO z+{EX=&C?lHQKNLaXi6*?*gcJdSV4EQH}YjrAb$>aE&ob!%yrZ<8C~nFBE`A7B&ati zS{C+>rbbw(mG8!ivLbnWN40519oTMgXxHQpr*ql;;Pr}y!)0Yg+oZK-TGuO)^}1Em zYqqdn##^?ehtvF+grY01=GS)3uGR7reBgpL*DVtR{FWMr7prSLC)kxxTOo%^{K$z) zDu1M-!g`ds7aU(%ZqLP{o5Km|xxXnrH@vT`Z!d6rE;dX!oRFT2e_2+Jx!LnOjz zp38pei+_MM4er?kgTM!30o$zjjNbIW?R-_v;CSKmh(wM=n zI#^#?Ik>!U-=?|1diB<0#}3_>Vx(z}7=PiA2B)!J;56v0IP zGpDhg#A!U(;56=nIF0>Yq^aW{!cGL!&y3SF^GBS9e5C)3K41CWu|tH7R`Y|p^M~c~ zwH|YRkyw|3Yn7k#RhlWS;yBH*2@jet-^OR7L!O%FyH>Fw=~nc;f&AmK+tNC-tbetR zX>w2Oyw+uHH2H^bKZduBi2BouNmktsPgCUo70I8bYs6fpFCHcQy9v*+4L_!o!x(yi zBFg#e0_8DpX#Ci?T#R=r4?U(3*Z-iD{ZzufiLNiB_>Bsk`Sue1Z;1Z%SSI~9p9tgl z(WA!>Q5<0$<(M{e4pCMa*3kkq{(n_yD+2RZ<;`(SHwWU{7t{QTakt{b`SQ7Zntz47 zcucMojcHBL68}fzded5=B{{73FJpOp?Ta53my5nb*uwSKPuW z@746AwU5A~Z>oAcG^S&H1UmXH;#=ZtU2neT_9d*qvwb9p<>`F!1K41f99t%=Kl zY$2c4i`H_0|1uehKQ!KEu9>dmNVdRnOl2l~wsa|5+F7;F$z-?Z(_9g^6}MU87;_A* z?J?Kn<`~>x#A8=sxh}n~BDt>oGEeloRkitA*c85FlM7$vJlvsk+7-w5r-kc{8+Vsn zHBZ$G$4S&YQ<}zdfg!$Vy?;N~QQ7NVW?A0)w{xpDAEA4M+h{H(cd0!6oc(V`95Lal z2kr045I(zn`9p+DGvcEM&VEY<@!;p5jxC#1#`DkG{`(oi>%aXru`8aGvi!dHpCo*h zg?{-L@4=Q-D&uY6{x14kN;u^eA3Hb0a^6e!d@@4-JfrE&*L`19GJh%dZqInY>LafG ztL?Cs}8QZyV}oHht~Mab#RsEs)MWUW&4p&8~n3uKbKv$djCV+&!Ml6$#E3^ zAwF$!%-1)1@;|HP;}IA0B98lcLc{i@n*T5jUzGpnn!KX?t$&vPFIxGr-z4?buj9Il zf6H9whcbrqRqL^ z)R!xtCt0uwe}Dde00030|9AnUS8Hq(RTRF%Ln!4{Uh=F3wNfbZ5Gj2Dv$M0iQ+6KA z%mOvWQK?WcDG(%<1Vus+F(!gS)EE^LElp5kRFp&sCMXbz5g#!cevqia2P!e~hba<0 z-Z{llyJ_?jW%NEYs}_MKM$iC(?Z9ina$UnJyN2xCUx<_ICh7D` zOn;&QATqkU)j=bQh6^!49HC#Y?y5Wuq9PhhlrbFTCbq)#pT9nZt>S1a%xR@ol#%v_ zX118Lo>!xrdzCuj5Fqtm?r8v_I}V@-HvGmQYb0FNZ44aM$osXPQTN=n^>t8?jG;(b zTU#1vcHWYM#2MOKzjMRKG{yexR3oq~%71AL7VY$Yv2ETjgJ_nTgfh@VsP{DS82!5Z z*)SMAwuEE5KE7F|MXXEx`qBSlMMh9kN16vY%kY&^91T+))WI~F))6E9~mI>iy$T(qgCKK4;#J`!bpT0DCJDe@u z$7zWaW*lBa*xv7*uW5p~r8E#2k^ACm437s1JJ|>le#VG0nkQ5rJ^?yu5!GRlLuowS zMdM*OnV0MfZ+x}Y+Jq%3Qe0KAD1VL#%|cVCTvv-0J;m&$=L#^~ zO2L7jaa^V@eYowDSLk~p4d|J6?OZ4_7s0RyDP!5&vmZSNlVY3 zjV#BQh;1xKgeqcZl$L+@U}s}p$;e>F&kbTEVN2Rdo zOI%JP;_>Y1c54g#kUyWAv2Ub|=z;)8^cQ9HR*roC0Zp+S(RCy)^nXB36wlMUO8ht* z>I|j9l_I>jC_0zs<2(MM`bcqGxa-A?usMlu0D})cei2`?I_D!Q@F`yOu-@aS6th0O zTRQ{1w0EdzgjLe4Z&S(rt-#25;^6ai)zvb=QaxY1ikYLas^SZHP^!Xrxu}GK%TVz) zy;qmE?|^$C2?w<6s(+1q@1upVAq^8x(673k^Y4c85}38qhQ>f8!$tO3^ZLsmk?kGl zDFz=uym-S#Yz?7-LtNELb49_TXkfqWs;F9suOy9SK81-Ecv-5ibs&hVXr)76o}LbG zr#jv0R9~;dV(6`OkWf8+QCa?P#8P%g#rD?K;Eu#+?uiESY=5AsbT7N!6S4*Jqdatz zzmxY-v94VUvp@?=p3zl{>po`+m&58Adj{i5Bip-4jn7Wyy92vJGYBCB{zy$`s@)Ga z7a`z!rt%bv?RDz6{i{GP`_GlmP;5@$*1dD40a{RWHQVx4!-{ep+fMI(=+e2a-4Dz9 z=7;M(r<4_c<~*aFudN`H!bYZ-4t#y3AH-cG?7q-kd`lpg)jvJ=g}6q5qzhUp<4Q8F V=})#Ok{KwYuyP`W{|5j7|NrkL#asXY delta 23107 zcmYg%by(fN@^*13?i6=-DDLh~@lxDfi=`BIcXudW+$moC;10#@;2a!&+yq4Lx-N`Ye_5dIMP7<*Ol}2+Aoq@)Q0stv| zk$3q^USZ)-a-xOJc!=TJX1=>o;_AA`Dz)MOd%6Wu+j-#Y%cD0O;Tv@+3 zC!7+*EyOaa%27DA!hX?FQAh^kiEWTgBczt`-(#3g+ce{ZLdVoFb=ax7Egr%Znc>I$ ze5*;(#87Wd@Lpi-CPQ)*RLfi5On0bcW0|iNu?yIgD5~yy0@2T>uAYp7ZN2t9b);D} z@Gul(x_ZRt1C))~p+suKaOs~Tt$C*rF4+v8t5Q)$kP-&@VxtZ>qy1Q|y38Eun${>i zgh}gr!u`RzgC`c)O%tPyr;8ISP!9V$Mye?8CZb8j(6k85_Vp{T&dd!U=sYN(A|)@u zgBI|;!N~QQk$h1IQ6Ya$wiaa&t+WjKa%!_9t$E}CYyZID;9U6d;Za;JCk`(5sa#%E zCl`rK!Yrev{TG2s*oa6jdsPb|nd)Q6Vc~H%;ZZ!NqSBIXeZr~vRZdKCkD`v|x|rLg zeWOs--l&>>exsO8;LacD&80`+wmL;{d*to(#_Wz|%5bH%iWLIyd}@4(@tiKR6$1%8 zCQ?4o*o--_vdBtt`0^-r1XF@;FGAK!Scp;rr+}P{N6(_Bza`bwi{1Rn0mj&p1vg68 zsr6LVOLvAz_(#FyP?a0DwKnE?enhi5|FOmFBdwZ<+vD}4;UXaLh6Dp()b?G3v-*dM zI!zaF0GC7|>+cIYdwB-ktD|EU~4A?aT$4$otyi9>c!w5kA}YP-zL?Vk77(AyjiKbCw?YNEbgL)X^($uR!V07kjWA!ChRW=3LU|5Gvp>>Nmf? z)2LY+@38xBQtVH(w!6sLc&LnCDrGjf2sQjUT=)3{YhSes7)1&oDfBH+r9~`kD@d-0 z^g^=OY&DYm#3T_$|2bkSOKwwwQ!lm#<{5`z4!b*&o4p)nrViEAPh!kMXb`h3LOAKG z-NS`fa*WTPg9Molx3(LF6sf#vpM-F~CFsAR(TdoEyBtyl`-6O#6xRsJ-B+~Vf1oTP zUQDs1oHr1QkHt0#aLC6L-b3%Wo`vvEM-3F*4J2~NxQcD2oWDY$IR)%w^TlF_KAD@) zaGaGneKh?WPvqAc^eLx28Z*sCP2{zU>K2T$-#(WkYSldE3#EfBMBi-nKzvZ;DPZ`f9CG zezR$rcUEQg!qEaioI-pn0m~oEtJpQ?r?#sQaRbgyX!$;K;XU7v+RGKi+}itexnp1n zybV4`f+IzD$!lT17|`Ohb*pc&>U7%d)cu0eO<^ea7$Y}YDj0Dzn%vxDxB(WRu z3ptJXJIt!X^DX22Y-dJcyQ2~4VwXIQ^W3!ASX9i2tfhgdIH*wn3k!=S?NX_cylPIh z_B*%^mMcvA-W;&mIZ^B|*kVnkUpQ^(lmhs8$z<<@s#S ziLPsI%6~8PCoEZ)*fpUL?I#Nae==WpSNq&BqE)DCGch!bU-dxnZX^NNCEevvprr{h zlpkG+R?nh*bKb^xwfe!-5_ua}3?6huSQbD!vFPbH>~2Sv(67>Y(3*REi2HNLwRiH6 zthdhuZUBL)RyF;Q-&BTQvhi~@Z!Y>*>Rs zZV6&DI-M$DvMl713@7 z&lFA-`yo%nTP`31d(%{?sDSnCiF8%^bM8P_Pyu35rs?ccutMds>W z?iHXZjLsCDjB}e-+(cxSOu1OqX(u(ScdQy3Y@`NcXKM6i9#M_yie{zWh7GE2LGWLC zkO0kG-nOUE=12XpdNbVPpT2f9)-Q&C6aFfvv4!?GW&&9?0XFke3YEsCkm|k9Cs7hC zih$N5@k@;uYGzHh$ibK~ub`9$w%PYElJ8nxQ8B^V%-e5Dh7VMc_ zLW-(bHPBA%d9iFk%I})9Td76Lv`c54DT0)Povpf<;bY(U++X#Ev~rgO5fpz4&}!~E z?V+=N8h#Pw3bipa_|v&R{MyQf#~jyMj9yD*yi0#xM^!t=C8+cGqSVGKm{nB<8>91I zta+Db*cTfp=N4*xrFO<8j&l3QUOy*Q%|8N15BGP1kuk0i^{eL zyr}dAyQuD~-ITXxB$n%o=3hzI{yitiEi#FRzUG_2 z0yvKMgx;k8A+Jy{G32()i@#~En@>3(mQ{57HpVI7i+6%~fP$^CA~f>B>{FlquRMU6 z=K+o7?ZU;qM`^S_^^>pdEqylrXNFlve2@yBaEMQ;&{amM`||NxZ5&v8iFM+V;R%Y3 z97$n%MgD)t>hB4o5i;T81fTSVG&Kwwl$KxXdR-O+e(NQk_dPbXZ>T7wM)d8G8N5cv z*|paie4U%~qeMXGd6)+iY zHd&@<^(2#BTOje^f0gsVU1~VIM;3L9tSz#@rs-RKW|7OT={TXa#0n(X+rb!bBZPJe z{_vc~gd|0?n!d*8&XQP}Uk$=tp}IQG@toaxS;eVvKPZTLSG+QIX>TL1-4)*`& zU5Ol!V^x=Dir{O+>1QuzBkR%xx_0pq&_t+qgu4zte_s11c-6?W(NzIkt@I9sSGKz@ zW(ae#_4!t9HrWEypj* zx5Ttwx%lD8vSH$%!k$c)LpZA{gRZ?~^HOgBh5k(0n~e`i3UCSC`6&R%y%=QUKUIEX z3GGATM~JL{>zQaqB7QmoCd$Qa;!uT}qX_wL@Tc5H>x~_}6~>yq;$C*`TyI?^Vx9VU z_LiJ(h{TzPUyI3*e9iX-c(OQ$n&a7qUMUhY5-2Rv%tT`}+w!BG_Lge(Wb2jN&RUlC zo!ib9nfvYF0ddyh0M4LO**?+?mkiVvfd^9(S0FKiL2?xDNe5imiR~2wk-ipAsGAV! za0oVf8~Vcp1X`@&<8f!ly%L=-d`63V z&9hMtn5QCp%07Bpfm@m;149xV>{`$vq)*f_zCcI`yZ#0;3!2e#?bl&pk(mI=sH$Nl;%l3EX0BbArd=s^a|A?^*