From 780fe32807977ad724567553cd7d3c217bb733fd Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Tue, 20 Apr 2021 05:43:37 -0400 Subject: [PATCH] Updated slew rate/current strength assignments --- cpld/GR8RAM.qsf | 511 +++++- cpld/GR8RAM.qws | Bin 613 -> 613 bytes cpld/db/GR8RAM.ace_cmp.cdb | Bin 60183 -> 61243 bytes cpld/db/GR8RAM.ace_cmp.hdb | Bin 19145 -> 21794 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1365 -> 1366 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3202 -> 3244 bytes cpld/db/GR8RAM.cmp.cdb | Bin 60811 -> 61348 bytes cpld/db/GR8RAM.cmp.hdb | Bin 19785 -> 21824 bytes cpld/db/GR8RAM.cmp.idb | Bin 17733 -> 18026 bytes cpld/db/GR8RAM.cmp.rdb | Bin 16061 -> 16249 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 105582 -> 107592 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.eco.cdb | Bin 673 -> 742 bytes cpld/db/GR8RAM.fit.qmsg | 81 +- cpld/db/GR8RAM.map.cdb | Bin 21748 -> 21746 bytes cpld/db/GR8RAM.map.hdb | Bin 18526 -> 20535 bytes cpld/db/GR8RAM.map.qmsg | 38 +- cpld/db/GR8RAM.map.rdb | Bin 1237 -> 1237 bytes cpld/db/GR8RAM.pplq.rdb | Bin 246 -> 245 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 15381 -> 17105 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 337 -> 338 bytes cpld/db/GR8RAM.routing.rdb | Bin 1487 -> 1497 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 15288 -> 17016 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 29029 -> 29015 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 22859 -> 22830 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 16977 -> 18741 bytes cpld/db/GR8RAM.sta.qmsg | 46 +- cpld/db/GR8RAM.sta.rdb | Bin 14355 -> 14391 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 55668 -> 55939 bytes cpld/db/GR8RAM.tmw_info | 8 +- cpld/db/GR8RAM.vpr.ammdb | Bin 887 -> 888 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 193 ++- .../GR8RAM.root_partition.map.kpt | Bin 3256 -> 3247 bytes cpld/output_files/GR8RAM.asm.rpt | 14 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 533 +++--- cpld/output_files/GR8RAM.fit.summary | 2 +- cpld/output_files/GR8RAM.flow.rpt | 18 +- cpld/output_files/GR8RAM.map.rpt | 12 +- cpld/output_files/GR8RAM.map.summary | 2 +- cpld/output_files/GR8RAM.pin | 24 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 1524 ++++++++--------- cpld/output_files/GR8RAM.sta.summary | 28 +- 45 files changed, 1709 insertions(+), 1341 deletions(-) diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 40fd6d4..e466284 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -72,93 +72,446 @@ set_global_assignment -name SEED 235 set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII "MINIMIZE AREA" set_global_assignment -name ROUTER_REGISTER_DUPLICATION OFF set_global_assignment -name VERILOG_FILE GR8RAM.v -set_location_assignment PIN_1 -to RA[4] -set_location_assignment PIN_2 -to RA[5] -set_location_assignment PIN_3 -to RA[6] -set_location_assignment PIN_4 -to RA[3] -set_location_assignment PIN_5 -to nFCS -set_location_assignment PIN_6 -to RA[7] -set_location_assignment PIN_7 -to RA[8] -set_location_assignment PIN_8 -to RA[9] -set_location_assignment PIN_12 -to FCK -set_location_assignment PIN_14 -to RA[10] -set_location_assignment PIN_15 -to MOSI -set_location_assignment PIN_16 -to MISO -set_location_assignment PIN_30 -to nRESout -set_location_assignment PIN_34 -to RA[11] -set_location_assignment PIN_35 -to RA[12] -set_location_assignment PIN_36 -to RA[13] -set_location_assignment PIN_37 -to RA[14] -set_location_assignment PIN_38 -to RA[15] -set_location_assignment PIN_39 -to nIOSEL -set_location_assignment PIN_42 -to nIOSTRB -set_location_assignment PIN_40 -to nDEVSEL -set_location_assignment PIN_41 -to PHI0 -set_location_assignment PIN_43 -to nWE -set_location_assignment PIN_44 -to nRES -set_location_assignment PIN_47 -to SD[1] -set_location_assignment PIN_50 -to SD[0] -set_location_assignment PIN_51 -to SD[4] -set_location_assignment PIN_100 -to RA[0] -set_location_assignment PIN_99 -to RD[7] -set_location_assignment PIN_52 -to SD[5] -set_location_assignment PIN_54 -to SD[7] -set_location_assignment PIN_55 -to SD[3] -set_location_assignment PIN_56 -to SD[2] -set_location_assignment PIN_53 -to SD[6] -set_location_assignment PIN_57 -to DQMH -set_location_assignment PIN_58 -to nSWE -set_location_assignment PIN_62 -to nRAS -set_location_assignment PIN_61 -to nCAS -set_location_assignment PIN_64 -to C25M -set_location_assignment PIN_66 -to RCKE -set_location_assignment PIN_67 -to nRCS -set_location_assignment PIN_68 -to SA[12] -set_location_assignment PIN_69 -to SBA[0] -set_location_assignment PIN_70 -to SA[11] -set_location_assignment PIN_71 -to SBA[1] -set_location_assignment PIN_72 -to SA[9] -set_location_assignment PIN_73 -to SA[10] -set_location_assignment PIN_74 -to SA[8] -set_location_assignment PIN_75 -to SA[0] -set_location_assignment PIN_76 -to SA[4] -set_location_assignment PIN_77 -to SA[6] -set_location_assignment PIN_78 -to SA[7] -set_location_assignment PIN_81 -to SA[1] -set_location_assignment PIN_82 -to SA[2] -set_location_assignment PIN_83 -to SA[5] -set_location_assignment PIN_84 -to SA[3] -set_location_assignment PIN_85 -to DQML -set_location_assignment PIN_86 -to RD[0] -set_location_assignment PIN_87 -to RD[1] -set_location_assignment PIN_88 -to RD[2] -set_location_assignment PIN_89 -to RD[3] -set_location_assignment PIN_90 -to RD[4] -set_location_assignment PIN_91 -to RD[5] -set_location_assignment PIN_92 -to RD[6] -set_location_assignment PIN_97 -to RA[2] -set_location_assignment PIN_98 -to RA[1] -set_location_assignment PIN_96 -to SetFW[0] -set_location_assignment PIN_95 -to SetFW[1] + set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1 set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2 + + +set_location_assignment PIN_41 -to PHI0 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to PHI0 +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to PHI0 +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to PHI0 +set_location_assignment PIN_43 -to nWE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nWE +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nWE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nWE +set_location_assignment PIN_44 -to nRES +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRES +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to nRES +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nRES + +set_location_assignment PIN_96 -to SetFW[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SetFW[0] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SetFW[0] +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SetFW[0] +set_location_assignment PIN_95 -to SetFW[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SetFW[1] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SetFW[1] +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SetFW[1] + +set_location_assignment PIN_39 -to nIOSEL +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSEL +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSEL +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nIOSEL +set_location_assignment PIN_42 -to nIOSTRB +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSTRB +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSTRB +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nIOSTRB +set_location_assignment PIN_40 -to nDEVSEL +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nDEVSEL +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nDEVSEL +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nDEVSEL + +set_location_assignment PIN_100 -to RA[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[0] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[0] +set_location_assignment PIN_98 -to RA[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[1] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[1] +set_location_assignment PIN_97 -to RA[2] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[2] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[2] +set_location_assignment PIN_4 -to RA[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[3] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[3] +set_location_assignment PIN_1 -to RA[4] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[4] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[4] +set_location_assignment PIN_2 -to RA[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[5] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[5] +set_location_assignment PIN_3 -to RA[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[6] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[6] +set_location_assignment PIN_6 -to RA[7] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[7] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[7] +set_location_assignment PIN_7 -to RA[8] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[8] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[8] +set_location_assignment PIN_8 -to RA[9] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[9] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[9] +set_location_assignment PIN_14 -to RA[10] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[10] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[10] +set_location_assignment PIN_34 -to RA[11] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[11] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[11] +set_location_assignment PIN_35 -to RA[12] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[12] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[12] +set_location_assignment PIN_36 -to RA[13] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[13] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[13] +set_location_assignment PIN_37 -to RA[14] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[14] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[14] +set_location_assignment PIN_38 -to RA[15] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[15] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA[15] + +set_location_assignment PIN_86 -to RD[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD[0] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD[0] +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD[0] +set_location_assignment PIN_87 -to RD[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD[1] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD[1] +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD[1] +set_location_assignment PIN_88 -to RD[2] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD[2] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD[2] +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD[2] +set_location_assignment PIN_89 -to RD[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD[3] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD[3] +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD[3] +set_location_assignment PIN_90 -to RD[4] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD[4] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD[4] +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD[4] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD[4] +set_location_assignment PIN_91 -to RD[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD[5] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD[5] +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD[5] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD[5] +set_location_assignment PIN_92 -to RD[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD[6] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD[6] +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD[6] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD[6] +set_location_assignment PIN_99 -to RD[7] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD[7] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD[7] +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD[7] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD[7] + +set_location_assignment PIN_19 -to RAdir +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RAdir +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RAdir +set_instance_assignment -name SLOW_SLEW_RATE ON -to RAdir +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RAdir +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAdir +set_location_assignment PIN_17 -to RDdir +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RDdir +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RDdir +set_instance_assignment -name SLOW_SLEW_RATE ON -to RDdir +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RDdir +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RDdir + +set_location_assignment PIN_30 -to nRESout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nRESout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRESout +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRESout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRESout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRESout + +set_location_assignment PIN_5 -to nFCS set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nFCS set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nFCS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nFCS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nFCS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nFCS + +set_location_assignment PIN_12 -to FCK set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to FCK set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to FCK +set_instance_assignment -name SLOW_SLEW_RATE OFF -to FCK +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to FCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FCK + +set_location_assignment PIN_15 -to MOSI set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MOSI set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MOSI +set_instance_assignment -name SLOW_SLEW_RATE ON -to MOSI +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MOSI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MOSI + +set_location_assignment PIN_16 -to MISO set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MISO -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to MISO -set_location_assignment PIN_21 -to nDMAout -set_location_assignment PIN_19 -to RAdir -set_location_assignment PIN_20 -to INTout -set_location_assignment PIN_26 -to nNMIout -set_location_assignment PIN_27 -to nINHout -set_location_assignment PIN_28 -to nRDYout -set_location_assignment PIN_29 -to nIRQout -set_location_assignment PIN_33 -to RWout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MISO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MISO + +set_location_assignment PIN_64 -to C25M +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to C25M +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to C25M +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to C25M +set_location_assignment PIN_66 -to RCKE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RCKE +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RCKE +set_instance_assignment -name SLOW_SLEW_RATE ON -to RCKE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RCKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RCKE +set_location_assignment PIN_67 -to nRCS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRCS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRCS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRCS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRCS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRCS +set_location_assignment PIN_62 -to nRAS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRAS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRAS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRAS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRAS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRAS +set_location_assignment PIN_61 -to nCAS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCAS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nCAS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nCAS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCAS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nCAS +set_location_assignment PIN_58 -to nSWE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nSWE +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nSWE +set_instance_assignment -name SLOW_SLEW_RATE ON -to nSWE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nSWE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nSWE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SBA[1] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SBA[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SBA[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SBA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SBA[1] +set_location_assignment PIN_69 -to SBA[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SBA[0] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SBA[0] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SBA[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SBA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SBA[0] +set_location_assignment PIN_68 -to SBA[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[12] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[12] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[12] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[12] +set_location_assignment PIN_70 -to SA[12] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[11] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[11] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[11] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[11] +set_location_assignment PIN_73 -to SA[11] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[10] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[10] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[10] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[10] +set_location_assignment PIN_72 -to SA[10] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[9] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[9] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[9] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[9] +set_location_assignment PIN_74 -to SA[8] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[8] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[8] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[8] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[8] +set_location_assignment PIN_78 -to SA[7] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[7] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[7] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[7] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[7] +set_location_assignment PIN_77 -to SA[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[6] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[6] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[6] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[6] +set_location_assignment PIN_83 -to SA[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[5] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[5] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[5] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[5] +set_location_assignment PIN_76 -to SA[4] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[4] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[4] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[4] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[4] +set_location_assignment PIN_84 -to SA[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[3] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[3] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[3] +set_location_assignment PIN_82 -to SA[2] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[2] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[2] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[2] +set_location_assignment PIN_81 -to SA[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[1] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[1] +set_location_assignment PIN_75 -to SA[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA[0] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA[0] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA[0] +set_location_assignment PIN_57 -to DQMH +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQMH +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQMH +set_instance_assignment -name SLOW_SLEW_RATE ON -to DQMH +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQMH +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQMH +set_location_assignment PIN_85 -to DQML +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQML +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQML +set_instance_assignment -name SLOW_SLEW_RATE ON -to DQML +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQML +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQML + +set_location_assignment PIN_50 -to SD[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD[0] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to SD[0] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SD[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SD[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD[0] +set_location_assignment PIN_47 -to SD[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD[1] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to SD[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SD[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SD[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD[1] +set_location_assignment PIN_56 -to SD[2] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD[2] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to SD[2] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SD[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SD[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD[2] +set_location_assignment PIN_55 -to SD[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD[3] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to SD[3] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SD[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SD[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD[3] +set_location_assignment PIN_51 -to SD[4] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD[4] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to SD[4] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SD[4] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SD[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD[4] +set_location_assignment PIN_52 -to SD[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD[5] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to SD[5] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SD[5] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SD[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD[5] +set_location_assignment PIN_53 -to SD[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD[6] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to SD[6] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SD[6] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SD[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD[6] +set_location_assignment PIN_54 -to SD[7] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD[7] +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to SD[7] +set_instance_assignment -name SLOW_SLEW_RATE ON -to SD[7] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SD[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD[7] + set_location_assignment PIN_48 -to DMAin +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DMAin +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to DMAin +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to DMAin set_location_assignment PIN_49 -to INTin -set_location_assignment PIN_17 -to RDdir -set_location_assignment PIN_18 -to DMAout \ No newline at end of file +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to INTin +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to INTin +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to INTin + +set_location_assignment PIN_20 -to INTout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to INTout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to INTout +set_instance_assignment -name SLOW_SLEW_RATE ON -to INTout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to INTout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to INTout +set_location_assignment PIN_18 -to DMAout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DMAout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to DMAout +set_instance_assignment -name SLOW_SLEW_RATE ON -to DMAout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DMAout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DMAout + +set_location_assignment PIN_21 -to nDMAout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nDMAout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nDMAout +set_instance_assignment -name SLOW_SLEW_RATE ON -to nDMAout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nDMAout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nDMAout +set_location_assignment PIN_26 -to nNMIout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nNMIout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nNMIout +set_instance_assignment -name SLOW_SLEW_RATE ON -to nNMIout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nNMIout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nNMIout +set_location_assignment PIN_27 -to nINHout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nINHout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nINHout +set_instance_assignment -name SLOW_SLEW_RATE ON -to nINHout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nINHout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nINHout +set_location_assignment PIN_28 -to nRDYout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nRDYout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRDYout +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRDYout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRDYout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRDYout +set_location_assignment PIN_29 -to nIRQout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nIRQout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIRQout +set_instance_assignment -name SLOW_SLEW_RATE ON -to nIRQout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nIRQout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nIRQout +set_location_assignment PIN_33 -to RWout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RWout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RWout +set_instance_assignment -name SLOW_SLEW_RATE ON -to RWout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RWout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RWout \ No newline at end of file diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws index 38d1f457a795f303addcf141f9087a7fd7afd0d8..788841dbb2bb076936aba3b8e76a53561afc7703 100755 GIT binary patch delta 42 ycmaFL@|0!5LMDbU6BnBZF??ZQUi2(p#%@2$K delta 42 ycmaFL@|0!5LMFzViHl8y7;6|97=ju8|Nqax!1RHEfw5-e%M?Z?#_GxbOkw~#7!9xh diff --git a/cpld/db/GR8RAM.ace_cmp.cdb b/cpld/db/GR8RAM.ace_cmp.cdb index edfb26af2e0e0d857b4fb1ecfc380142e14de6e5..0bc382993580902246a581176fda27c47a855df5 100755 GIT binary patch literal 61243 zcmeEt^;Z*K_`iy85hRrElJ1Za*etq4L>iZEY7|@?Ny+tvoT%mB?G3+XJ3Bm9s9PNZZaN6TC7nlsW2MzS zi$C_l0*^8zZ2Gjuof|sGFTCB2(Tsj3PpfT;C~09E1nOHzEMaTnB(ag*+6Bk^t%olo z{~X<0F^hHdN8qr?kRvQuWq59(b8WHn95uV=y1(zb)?^UZ0A60~JoY$?Zk(-hEuR=} z@3uW<#uHb?nNfXc^g2lr!a`S)Gwy_ja#JSwWz($KYl&Xo&q ziw_<)r*3*}C05wR2DCD=e6;bJVb*lzMIUpn=L(Z90{(6LqusB4%NYP*Q42W9W{T@m zsjOi{ZZR*RUn^C{S{Mh@t`e~(U)CXOEBZ$-7G&2hkr){^)3yfkt=VBDh*8(&vR@f% zXH(&!7pP}$0BQ7$B_Oa^8QrzxrHmX4@PX3;gQWu&Y-S8x>+joIYKKmoo_}z#!Pu0c zX8RUq7f+GxozcY;A_Z3Ur*7ti){7jA6;E2F#szo@a(}4LwB!7|zi?~6q9Qu-{4)4K zouzc=H+YHp+!6M}Ati0DARDr6)aUQ)#lF7@klChRrt)b1X2#9?xdD!NswrB>ARE;c ztkCE-Gv8GYS`1}0oM}?)%WoBA0xf;)n>(*?L4eX(Q9k~z1Us9EUjUTS<5n9fdk&xd z8#3ax=WNP{%Dx7zSNP>72s3i2qS8@e9IR~9?~t25d<@miBV;?uX87dMPcOv#gGNqm zfPiDv65ewD@-&VT^xzeQBe*Zpl_2Z5-Fn*mb8I-R3EM~@#}byd2y0)^1gIn)z9UPN z>hv9lhty~9z9AdJ^D%^)h7Iq|uBFV6IX2y`$z>woDK?(TZCCsuK_k9mLn|_o7B?9* z%&U>NNqcI?PgwS=rD^@-R!MiUHU-E12#4Gn)*b%>bv!~2Z+F8G(^6e`2amgITDf|T zXF2w@Cde-PuSFEoVG&;-$bNjgRE)N;GLG+M%y|hIVUO$RP=n-^6KpC8FV2j`nRQiP z83_9#NAqT3r&}w;jQ&zPH~z+=1JcPmNU&i=&n!5%nly8;RARSY&@+9$*bh z4jBe*0xK_q?1axQFRZ|Il5cNu{>KP=%L0ds(;EtI3k*6B)*o{g47L&_Vlm_DeHGL} zh>HWW*w|Gg7uJBKw%5ZuneD~wSbgxCyIXlSF+u7$>fgC{#faxc zHs45XU1FfH>~Wg&OW)1Q)?|n38*dzH4qDiMyK{~{RKEGJH5KyLsfDau6Cqz0`8 zUAw{#Z3+nGEI(i2EQNnh`B$&>n=%6T5f@D?N*)Teg+ORG{G1PmGAGmqZD4gHB|Z#o z)?9Gi2H^{X=uBB&U)z87J)^IJuiKp_z!4?(5ElmsOl)}b9M=Mc0f=#AqS1DUJ? z&d<++0~v$=NuC%3C|_{f&%)|4c==96b_~w))7kK5SAtRWS?&~5&FFa%5TuAf9}s%w zPEh_+|FzlBLNVUoNp~kKV|k&(USJe9us!BBkYfA{cRgJ(pPr|S#jnC*c2Fo;F@+nu zi}N$gbrjm+@XP}SSA$|Y8mPrA-v8!JMoLy9mE-bH;y%XSo(8 zVuh}+_ZgMZJ5HN;{dCKPBh2S9*cBoMB21mAp|Wu@g4wg?IJYJ&b4ggAz{pV?zlh}k1} z9zFz%IWZeR4{Wi1gLrmUTeoH_o{1^US+frje)c5y1J>vV`_F493WAWW7T~Fcf^U|c zd1#C!E8BEG$_1mE4D%leg9sfE3VT9t47RGcK=i!~1qgI#3qEBj=5)GZ4Ram>&y#r^ z)XY}*>Yp8N@&Ts1NVl$`J(2xr7v8i}*arp#5wCA>&%zYf}++wYy*cfo6#2;20C!Pswu-gC2q82Xkk zuVZoR6s*_t{g{ti4v_87uGSL4Ga6p~L6B&#z0xwxmGwYZo=9 zS(Fu&*g)LS!9EtdAJf|dMWFeVAi;AduYH9}4Pzb)^&8H%1T#9{v2)k>FglC!k25fu#sAMh>aT4VyiXYKj-XsTht-M^6 zHjQV`#s;(LLOPm9Iy>QTfc`AI^`CcP$IVwn=OV3nVtx~Z)1YUS*9t(OwD3TkDC{vi zaP0}SYrt@N&3fu8Fd&v50#I(hJs;^>9NvJo8>xA4_%o>}WAsyTWV*mrOG+LBYWaF_ zey0bcFI0#^91rgp3`&Wjb%Pvjgi;(hFd^p%WXzecY&GRBI^gc5@!pvYWbwDg>e}tZ zqFaY^zZpE6+*mb0SK(i%t`e(V!D8l5kmuBOl)FktS8?PWU1mV0hiqn5{@1tX$eKii z<#AD`!JPL4E*OybsS-rV$#oNR{q5}65tQr=00OKSfDR8G^O52XiW_k=ebD$JojkpB}H=|L>j){S(bH`os`gIrvq zE^T@|IMDh$$1i5~d5uWgpd;z=s0Qb!Dj#F;yIRWU zo$>X;-~5S^u{m0}K}iZzv2iZ`>>}+zt{JPD2x~95x-(MEs`}GOO|g#C=DEY&xv}bM@ z_XA!cr5Ye4=az*yaYM^|0Ljf2dV>kTYntM8;xYLN+v1q%bAY@A9SpyD{{3uOTASBZ zd!bdb@$hGw?l}Is-zMPu+mA#=;g8pSz9DQhoR>>~G)Dlb#A(C?8f@EeC2TXWmcq_U zU%fu#_ve7k>uGfjC-LXE*ktbAl%31cPp3%$8BeZ{Nnaf!Q`l7D$2{xc)I!zXv0;~o z61W#h?_Sm6ohbA*ejM2ko?QDk0uoCCX-%-TUJKPWwEM-1L3@6EOMne8Kht zzrGR=;)dTB!+&2KOd5_;2zS?Xhj(6hhE#QMg^$ds#ZV0sjZBswEy|A0e~5U5{A}vy zczuQQ2&pnRwdo3%d_+awGuN2-1ki5#!C}elT^Z`4(7(E}EZ|jVgx<^dJ?y`;%=_r= z3xlmTCxmp$L3ffkB<>$&b7RqW?1D5T<*HWsG|aYVE;S=3)DPtLIT$Za5>}%JBe3hy zq*cWz!ZN5qSzPMtdTn6ZOLdsr>)n|(-ig_ou~$vQWyT&!w_(Km$f;MHUe)bAWT1-V zux6^da6j4{=?F~J=K;VUW?Vj)5mY)`l+87$$$L9?V zj_qqFfk?Tnj(^lrIGw*?gV6G|hR#V`?or#o*FJG*9L-FJtX`*#iSNv02<_}v4C+DEnWNw+qDT#@$yV7@|7CSAIc`o1S5_VMV&0xK^=@Mn2WsZ*&a+6p z=b<~V6~f|Yx8zJL1;ZyII}oX76csJ9L!ae@guv+Vkgw+K9RRx^jQ_zH}oMeE@*4drp$BAX-ZJaD)mh@X;QwAB|&I}uq?U>kTLKMs7f`U@r z%;GD(t_OA-C;Cnr8W!*PA2Y0Js!sx9o34uK@7{5yolTCUiF3f}ORJeBa)wAeDf;p1 z>)CSznQ>id!uJUKK z?UYxh6(()_U|Fyw{ry>bx$r&Edp+W3;m~OdIs>FVRTR7E;pZXa?Z#mChs+j^O|TJGL(%Y`l8t;ET*1dxrD~r zG-PorvN&sv#W@!8>m1NUa@Xg;)*1?(9jM3z<19(cn@hWGv*o&NYVUAorgVl{&&ms5 z>>-?HWm3SkpNV<3P@AG8CMo0p41jyCZ*?$#1?BwcB{A7UcKx?0wOU?3+f?58=pTbS zs=Vq*m-xxCpg~uynKZiZXCQX_uPJer`YySv)P3Nxn74T^Rn?CbW-N*?Eu;h15_ZYG zx_o3}Hd|>}4R>>YUt#Z@vpvBE>uY&+pb}TbesyRaL!Hkog67XUeclF?7&k5zvUkt2zxf?7T{&Mh8Ddr5&xn1tf zzR*^6KizKm);Mgb&O|+BUFJuRNdHKD@`K$vvf)~?(c5w6NC2n$-f2(JlQp|A&G@CW z?nAX}P$pba6vDaBWj0pPW%nlHkrM-3W1RD@&4K6j-1kq$wfEBn!iU$Tn{(o0f@oSs z*pE^<-3~vtdZjvHUl&}0C&tc&jy5U#qnwT?snw6C!ZkC2iUvr^lIg3S%}gKz-D~JD zF7%Yxlzp=~_L_fty!vGv@V4>+y=JdZOuk%z*%=0d&E?er1-@(ugyaj51KsTwhqMjA z>cy5HQtUO)?hVF$`Fx^vug&U)lB&dlg~rLN)o3$oo~%Cf8DnZ(`h)fR4KCE$Yj7BOeL`RUQ$LUSK6ugGQ6r$5$Bey_f6s;}`+K0VZC^SG|@Est&r zD>Jt%CN2oOilThCN%(X6QKQ@Xd|8lJ|Me&zkHB-x-|GA1#q|uBz9^B+ZV{I33E=m4 ze|9_7UKWc3-Sp4%`WY+*z2JI>c6!&(_^eVS5ek-u+?d!i0k8Y#7=#y(#%oA?-5YL} zFna@;{8h?7;3oBqAf0ZcR=x6k;-?=pyK%+hEyF4~mJ9Sb0&iF#U${gqd)mvE<>A}T z7Q4BmiitF#6TfBJi_1K&{jar*bjCa+xI0_Wrfvl;Eh?k*$%5Cn1AsD@ zB`KG@<4k=0=Z{8MdmU&qBb!aax@?v%?ZiaUd{cq<;y!!Q;9?IA0s;nGJOWVX(+Vqg zrmQpzLuC={F9Yfx7Znko4R)Ue9pB~M6}tcMk8p3mSbjNkY`vt96?6oFvqx6WEr4@GVsfj&prAJ;urE7!K4l{=!G!PBF`{WTD zwXSP7?K>F+Z<64xa9!v6K*hqBv%P4ichkqee@1P&NVtA3)GGxNGPS?3KWo)KY?*_n zf6%h}eEmvKrfYl%CBo@4uivTC@`U4wEO&zce_2Q zbYaDBbQS66gC>9X3ps*Yie3Na_~pb zRqEztV*mced({4AKUi0NT~WnJ7r5%)Ko*PU{gT&MUEby|Jtsq5ITI7pw;a7`8kJ~qt%ueM@&QNdKwiIXC z^XnEWp5;A@|9vU(jdBU0A4n!8lkXbk*XT)DEyw*g0FUjf%a!~{tr8*t9b6kGH1+|> zz6!GdGnsYC23!ic#M2?cagbpW|I}ncZWI-S40=0gGo*{%g2^TmJkJ6K&?V#&+^#?6 ze-41peqgzQ=*ZH5>Zr@Lu5|3WK?AfqWNvN4&&rM?Q{cO)Fw<;a|5U)Po)dbpe)qCY7doPzQ`$Ks(g0Mc9~NZs(psgUoZ9lA$-{nkx~UG2cf=-q7h5c8@i997u}RCXFJ{B zR4uy~xLk>q1tpkYptvH+XM-hJJFff;{2*+$k>HT(CXjcM7P znZ_Mq*L>Y>eZ`ozXVUybMtJKwaF%LW7{OwUgz8TJ^;0Kz*PQ$7_b<=~@{}%J$o33* zEc`ine_5%m5#`4Vs>Rh?w&sT*Uy~X9bVCOIQw#4COiO203Fs*E&GRmZ4Rz` z(BxettNkH`FzI8QN6;zjn#p^`kUEjxAEx~veBYL_G9`=WQnf55?mzZ(yipo~Y_8Qe zqoQEwbgfIeIrrCvzC4ArVEN8Qq(Hb-3m>y!ZoRf8hv8 zZg=g(XYW8?Ij~c1S20rzSrTMio2&G{Vikybam7r_Zn^IxvXwf_BbMw#yAN-ydPGwG zUKD={Z0xf2}KrLu=C~>Q%QEr;?tdos4d+NIsWcL z-M;)nrC{%}TM(Mjc}(gb#8@<9$;bR|Ih|UzCpQOYR#85*H)Q=irK;^P+7HZjb#n*j zyUPSwDe1E;DerQNfBEM+^Ht9$sS%^YOQDyqZIs;C#G<@0b5+y5*HqHY3vEh6w{D9} z%l51^?hYggEV4bWJGX8{RLd5La9or9>J=>Oy3z13tb|{erR1U(WcO)q-JMZp`9|Xu z>A2n)Oz!Sy{^cJ1x^-5{wW)c|qJHXE*@qcvqE&ySAghU5b7DxEZ=%SxH5~zT6Mgtn zl(c6+-A4TgjN%u%B=rGpWZ=U_G;}zMr&*EDEWWa9E1t>h;$O)S_j0}VvU=UimqZjn znjRUZxey$tzj>1LGJ0dAlgM~mS8DceW9<&5@K_p?%<_>y*CFHjg=-uzxULT-TGnOP z@bY@9DS|w`7NZ%&{It>q_;YZ{Z|&y@Y;Rkkja^X?qdK}{_2cEbJpy@s`-VRfoO|MS zoeYto(*1rJ+Z*iVrBJi6ptj4)Z0PaLrSo~?6XHHrGlr-sT3`Eh^W(0)VNZjcZM(<| zv%$yxZhzT=h(ZBW$H!x_-rsv{%O-vXDqn)H$4HX5-Io74NT_pOC1~En4Xh`UQNLd1 zj>>&-q8C0mn;7}tdEb`BRpAbAjV5i$j5TGo%hJNnr8L%Nc7@rX1ZvGhL+vM~e_tYV z^(R%->uhqBpJ>r9sniGp$U3-k>0*%8if;S8q_4*sX?qp2V)a#vC@$g^Y3{p0tMhb+ zmkyiVP5p(V!~mp;dn()t!<6Bwx=QC{)aCnQl$Gdeog zb&@|x(}xvVC_gCiN^AhDar8{EszJMk6H6+U8h;0+T{50+)R&KCc5Cmsn});gU#^Yo zi!5PY*gd~|AESN~wb)luxTMV1_;veV1DJCC#B#+EHLyj>9db+|VDGKUCPni}a`++r z`Onq&*8;Ge1DLF0YahvQWuv75rZn$|_}Xtu;fpJurK&HYK4gWYj6@xD9`SE9TQwqf zqH@+Zp9H^;1S&wK$+X^GG(Dd@!75(-5f>Oz1wxb97=crmi8G=;QGvHiuHAjDqN!Cq zf242U*X&CCH^_OOd^UQ1Hr9y#EUHzZ`_1v7+nfeq`i<(!ldk#)0dr-f_;{eT);qhy z$~sMv-BUZl&J{sw(vu8WeZ-(c>~`TG+B;sIT5#ME+MI9NTpot!4WPJss??@(jPnH`1>v{oPtfaX)z zn+&%JcmB9y?|MLU3TL>D5w&;b-MzSHnNQlzhpF6_f;Kj(nsoDrAsOA=?H`|B&E2erMogK7z8o=1XXU-#bA3q9YO^^4-Cp}F3sz)+vN<)dCoI=mhUP8A+*?0k6McT^i4ZK7&us$qx9d6nc8qIBGDJ1W}hK1~>YraBA z950AEW8dB$yqQz+R*=bw4V|z`JAk1(SSyH|>~ezGj|_Li9IEtaD&3$~xg|%J6(UtO z!L%iyg^-rE9vdT+z;&>TO)jo3z9?E>W5%Xzs@Yp`l2bhw5EtrJAu>1kFXKI9BU7a? zmh%eu;J4A0^-b0uwR=K%yuA<7*cd&lapQiE(JR7e>qXSx9LCCeiFboxzSlM9n4DAp zHo?g{7AXLd+*?NDD7$4uxxc)Qz?Ex+s+(z6zW6BTIVz#}yh!Mse8A?>6AX6N{^qJt z5V|wMSt$7=|IXUo7>D{}PExf}$60iaqU+rq zx5hIoh7|EL7iQC@=tl=+r7t@Am=`j5)&V@@YNyDFQd;D{jYl@ zl07jh26$ng)pYwA_5WDg4Y- zR^|*nH4+_BWT4e&qRRo%cG&Zr2h<*Y9p9McQx3T*Zd=y9`Bg!9 z_GnWH6oHF0YH6vH7UGZdEUk6Zsfne=7WvjJWJx#OQ?)Jm9qdW+(^FHk_=bD%+ zvAfQxPR{4oz7~_^f(98#5sCzGAi1m#vZpnHDd!{v@xIbKBg?&Mk2FX9>(T2Y2aM{) zg;|KFB#EobyIHrg@f8W@N6!kzkjYb_dRnkV<1UxxV#+4pR-?dF9XZGwb%#eY$8hVs zoTpyFW?`612eZ4BAM*kuc4>5~nr46#eC-UlxZCHG@&|Ayl7W;S##qhuW;0z5F)J{oP&?@F4xHxB9a+xi+Xg;f|Rr zZO%V8C9H(-@%Ue#xS8Lxc^?Y7-0lylvz7k1NHBd$VB`s>k^J#fyI(6yvA6TsaFg@5 zprS~}E~j$Kg)vC&$__#7S8Va0qb?-6LQwsf%DKDx!sp>O%nL{IUP`xip~2zMJZ-|(?3GpSBta^+JJs@0fd{`WJmqU9$% z0Uv@-2ii0q>T*gJgcLNP0#0OyaHZSNriP7b$&32q_Ztl!f<-9uh&P+{OXjp|*1WAV z_I-=avI^f+RcVR^_GC{9ecMc!kIAcX=a%#O5@1e`Rnp6!vn)*S(x-VcQiy74spd}} zE0lg=jISx7iRL2B4;QQbX3ZMhh35eu77wxO#1*7JaaX*eu6MZD!2hcKYvcTx&epr0 zEvs)qN_~HCfCwZL!0UZf7Jq79JE)bF8z9l`{owsw11oaDm?WEkbg#;e|Gtl5rj+9Q zscI@)rnv&>5(Q2llaVjUQw|pW8L!S#409EZSrAM`PSM^Tp^SF!O07H3rE89vEmU6T z6uM}pM&9zq>$t?c*^xwXZiX!;Cl-^mG8)2f*Rs#` zXI_8&9#O!%<7dQECBT^w-3jdIxZBLW-rQOqIaJC2D^*B%(*1sJ&Zz==$2f z=Ug@a_^GZN>uJ`q-IAnProxm|Au+e6$)pQ4*9&lEo7{!Mt3&xp!yehI!cp$-)I=-Y z3pCq3!!ZUXzqhstTJZ`?>9#}~?0xhNbHR^flmyH>FS0e7()7Apx*bbJ)7zw5m+Sf9pMzF2V*E!ARf7cRe`nSv^K6Stp=DG#RfyY|6jAGBg)7h6N4@DWa_fCLz27$FSx4^Z$H%r2qPl%?Sl% zRuNQn>AaSi^9lM3RRf*vYaOljK8wd9gT!jxNzI>!+JjZPVzpi8?LkU{Z!s?=hR2d7 zn?f4zDO3I6y>#j;4hzE5&aD3;)%L%@2HL&wdN=D%@DjHcnP)DH3d?2f@jna{y}%O> zy&!L~<6JyjKIdUiJS}s0Z7pHkEy=Ne_b7o=*|;)Fa+zCQkuTYr-}RNwF1Yb)L9lN4 zbX0|>4I(5(a^Kta-?UVYk$0qNRj|3|nT^a(v&gF&THsn_6wM^Y_s^5IpuejWE=le` zYa%i!kXg_Vwa8YtR9^Z&UG&jmp85C9qB6OCS;{-2r$7@#CwDD%!uJ{aM4$sC+10hy zOWZXw&s3fK6%*d^kSv*03J4QGA^fKkgvp6g<* zwGcI4lw;TWLc<~6?a#;1k9JIS0go7|_;@4~Zs!lI%4~;B4Syxfi&8YAR6(EWT)Pi! zmPeqYy!MY=s*-;%mT{}20K1vpcqta-N7I7$O%)sWcdecnDbP(tp&iYdE07-#4RTae zpGR5lAp+mDUaVaByU41?Dm1;O-HIZ%|MHU_g&X{FjLy2$KGr{v0a#Eq8uNML;Yl>!Ns+jk_IE9o$rX9WNC~w-Q>@^zXRL1!TXEFzmw(3+(qh zO>H{GTGTwQ3sU^mt&ug1X#u~?)zdEXtK?z(?A_s*Y7r8kDfB0E+2pQa&&Fl=wX1?R z@f;VzvEl`%n@IO@?P<=JyuG<=x{&FAz+Thv{fg>uTzRMHwh!AY2Sl^$FF~^BJ+&oq z(CgLdB!uFhJqg!=4|Yp$TRyZhFEOGOy(QvnrtScKwF5x~Eo5^J^sV1YEWf;**U3N` zpWOY}D(re2C?A(w1WXJ1(ZE^>AGtS@P8a&<1%av^yCz<;qDD}kKmW(Z zKU&huk1MZqHVuFC9J*lMQ#x4mx1A(EiRy0+{L3%#S6S6dKjd_~IQLBt{n)<0o$45; z_;?Qr;*HfdGB#}T(&l@>-Uv-7J{v>j@-VCy`a%_A9+duUfvze{=b1V`eM4V>at6Uc zV*ZU7=7~-83k}+&U5%^S_{FWsu%!49kCB)|zWC9p7twA{Pk@{WcrsI_+13;DxxWlc z4)@;0Vv}cdV8PU9c?sDg1Q2)qAjjt6LyHG@I#5^Z0?^N`sF7Jb*}_ou_Y%xJ>b;L zDybG5?tbAF5DPcC-4$q(*X^pl5zS=`bupop$j0SAa=Sf_9TwTgyIr>(Y+rKVmltS({==h$=Z@;SCT4i9cD= zI&6;&3H;YYEIyt$1~XO!GIabDn`Eoy$^rq@+p%Zr%5Cu)+{b?ufy8gEAAlYvB`p=dnj8gr0$4QE z^N3*|TztQVSm)eX|9-yZpH=MY7jF8MTKFp>WyL*o?2L>}DiE{sw5<7{&s(nX33Xmo zNI@gATFh#lSG2y-fOON|U+I~#NYKV5m>wW%sF=u#U{Zzx|xVK&7jVB@!+lw(SYPP89#7Q2zXP9(K4rs#qyB4IiO$Ds5?aivIeczWxhn zZdN_NQJ2AF_9(*e=!5z_F#n}(PMGr2oX}ufpPbMt}C8VBbSP=S+8EIZW{R_ z9iXMRMQH`PHcn)pG)#zE1aqy$mx*fxP}kCY2&^&cEiTyPvll2U`xnC__Eq_JwPY(I zM|F)Tg^6U=FL~H-Ke+n9gNeozbN2$}(!#Tr!ylSc#=K?A{+H9-wY?kXQU$dqS?l#* zB)KD&_9tmB*k120iC|Yc&a#tPDqYiv-*g>7aO6;}#U~ zimK~A=cEzhGN|0xF^oG{Pfb5-cM5Z`?1uYKicZGrKXr}YPK>T5F-Wrzlkb&>>K#92 z*^3+93N;khDNfksIal90-?P3gcaJ;GSCmj@xbgtd$k->ItJ z4@5^nooB2wOnbGot_D#5XhrVp;zz#Qmw-$}Q{C@hvP6t#@NeN~s7T*kMEQ6ljz!~W zN|nDYSA<(040I6K!ut7*^uxZP>!&HD^YWVOW3Drk4a0+d9TFvRhP7x`QNwQi+3=D9 zE|Hz3R`Q$j5Sa^U9B}KdhMvv~-{vLI*M1MkK%~Ggls)L)mV(Z$`Y|Fp?BCH(Ep<;3 zu`=8A0VC0_FE}BSNI=bu39ng|UoHAp8=pLrhioc3f#@Cf5`rS(3m%`vxe!6O4g$YI zJLWQPI>@ill@nLFhxA`WBEnOjDI}8P;#;kn!jWIJ<@Dw2$ku|x#QJG=n?#x4dJ*c? zmgKTgBHiC$3jn>JcqPjwxjJ8ow=YbNI44>&{jD3{f1brI* z*>5%Z06cfY+Pq)gpdWqtDfG6Qp8Rq7N<99q!McoK%p`F|^dh9}-0>*V(ov<_L)0uD z-4ugo%3!<-T;gEHmzD*zeaA7?Bf~_7PUQPAxl6bUdF&63`O!R z+(QlAC(%KgP3NmXbPHM=03*WAEhiVlu>BkDuDoXFRM%H-?T59BUD)srlRsV~+4%@; z`AH~0yO*zUZ~x{~f@T;ZDG3U4)R(m(-LK9$Ltw^rjMg4x1#jz1W;ZE*T3qB$rB_gji zTa!l6%y%%b5S0K`>K5yxNJMoU_H$QBd^g~OeS$D8A#e6j0#JGS_~9&=<*WdH$}q?O zN^Ix2QpNsEq$clUdu=r0zmL@R`WJhDLVUU{qJ?f!wqln{cpn4@DM-(I-0>W#87raq z8q{9*Q9>0@%sVpQAnlR#^w!{3wO|a>beVIM|J`Qnha$`HD`soz__t#Vgf5J7m&j%n$Jmu6 zym51^k}33%Q~al+sfFC)=s0nrdo#_btnYyG67;oVBSTQqp^ee>9hy8q%VGc45{SP4dt=WAJHzkNZrJgSlx0x_vWrRpW5TPeYpK` z{U0b9bn~fP9*tGIJ9F0~G5WNIxdLL;$hJa%ujXt6*dK}WNX4IpDWXE`GhW`*WnbQ( zAZ6AdDDr~8OQFnJ0emf>c}1t!<90O}T}KI38Iu@s*X!tdOJ-xsRWI=an$#*2Ac0Rt;2DX~^oFK1us1I9~>$3hz(b2DC=2lq9# zDDg5_@xawk*WgEvq3`#FqQj7>Qaeul>r4-qX1~aqSJe&a7s8E)B$=DG8CmoVzgaFs z-x+&wG-%eO);W^4{1ze9vTjwR`)Z=s18$oTEjvR)q^=!b3oa(ywF*#2JakXLgu zS;jB#KKO1=_tq;xc1X>b#9@5xK!SlVP0YXv%wtep*K5faq}VgXc}V&<_K6i`%qKM) zKaO{k!isTrM1!cwTfN13F&6!7ksO)Amp7DxMB<{+J3wJK44KPZ@mLAtd;8Cfr@=q0 zM(aZGFj{(r{YA!z@Z{>v?UP!mJtY!mjm!q!$AA|6k{Sd%CWNF)=L|S8N3cyk1sroe zvaBK-eST{a{5NfD$wbA0zCAh1EeW$E}E{d|$u4t;`q74MUpr+p$9m&vqs6*V?EEZVZV)0BMy6E1$NL zfEmj-{>2+c11nYepd$g^3MB#StbYXnTR6jS)l6O%Qh7bPSQxI3LcZFWU*1aQztNP5 z8+r8JFHea|s$wtwB5M1GpT07`VQqeAqT{Jm_TnpB0tLMwWy+sH+gcs=zEGO}UM?6# z+YrLYc=`yIbAFiYQsm9ZSn#$}_AztTXCx!tubx5L)2^EC8y^Llz8WABqJJiqa(f_E zF)Vc}`t(<~W_KiJ%}q|lTzG9-W1o!P!G(XUIqSKRPz6yT@Xr^)b;z>DBVQ{Z2qLyP zA-zGIxCpxP+l8Tic&88_ba40fTPAXlCy>oK7n#}uPuKKsrohU#^m=TF0+0VHrVr#L zPvQL))3F4S){O)xs*Q+$O-G#n5$0-)WNP;2_cu0#G1k~zjAh9fE4iMHEa4|r(1Z-L z0fxw++*ap&Xpx~%mIfV`)voCt%27NQiF~)pdEJaoZsonR zDJAB_x7E`u2`R2=2mQzP4dlRGj1ykH9PIcMT{tmLEAX(4aht$jXp< zBk-?=$PP-|XM2h`R=dI42I4W3f?L&=oB?cfA&&gx3E!Xgj?Z7MC1D=iLEM^G%Hc(s z)Wzw16Vx%41Gf)Ewey56zy%(kHHQ}AZ@IVS_XF0OU|b+%56cgs$wm7@pYkpBSf_dH8Bp90!XwQkxc`*Sn` z>iFL+hyVjs4Y1MN{q*aX;mnrPoh1LM3NXWMNjj&TbQV-ky>&pNqXK8N3FPTcI>U#d ze_px*4e%kI6|euA(%Gb8_`=3rhrI!F#IGA#O_Iq>9KKOMbpwsMyS#e(gYD#*drBey zq%9%Cwe*MzYK~yn%nYUwtwE&JA`EIN(Mq(pP4_)4 zV6udk9}$FIQL5;ZHf6jq@7jYTs0K|Oq>ejDWU@~U%T6S@_pw zdmkyji2lH}vc_4m`elZ4mEvofPbV&&MoG~%_=o&uqW?5*EDd1pAxA4Z`s!v0`P@kH zcmKlBF15l9-)<0l%siV`c*;{P8Ll|~XrE`{@X}m)ZJ}EKxv_+Lg%Tw7U~+Ga#j zjz8agIP#RTI|AjH+vom>|3){=@~{$gLwn7lP3*pIcq+e0ZLof4bV^yq!7np}NRPj? z!seUg)B6VNuNqJbPP%rH><^vnn=FUl6}lkPg$Gytny&cV6(Oui?Ojd5O;@hQ&rt|j z*YBoRoqg1BavFVwk4m;_w^7#JSmC8B4}cEXw`eHI-y~|WA3?LC`6B6%!|K}x z3Q(VogY@b#rOGPdLA>R~-^Qe^-gt!v7vFxvoU<_KE^hu9AXP}^@D%R@Lh=4%Txqu! z(g=$2$L@2Pw8sW(6;j0Qd?mpY&@#BAK&MAP+SFO;g=LD)0UKsd^snWah0D#*Tayj? zM5Hd#;WSfkphAKFb9dE0*m#0RO@yrQ;tfHcb#lL0{`osIaZa|*3bb-d`WYqDleY9q z;X@V$)jg65V%M#~<)&~`%D?Ipjsm9FNyAkQY;r`HVZRL1qpq3`(?siXQq8=|7UKR8 z0^G+ta!cblYEB)0%i?GVI=#_)%%8&yHWiOqYU9CP8q3$m# zWIyl!S{T{RUy^IgYFc-SBPRa7c@<=En@)>A@XkiUzb*nl5|N@!?*}380{k@P!aepz zqMX6`YHN?(6eCp)j9%T)ulHY+P3uTRAGEQ*_$W|En3cX+y8Jx6V-xpY9a58I@OP%Q z&nxW2hBrJUpfer(0Z4Ku+_|*5+gE|K4fd*NPxWVl)W` zxw>LyIfL!|#yv99fMA6bTh2@_JoKm19HLWSq4b0kKO8~;{UtXEx+n6*)?c6ph*%(Y z(%BRFOF7#GpZoQY@aS#S5A&;XuAs)*oNfTXL8ss%Doo5!HHaw#XQWb$`%)7AG}yvW zdZ{M3ghtPb2kpQL&h3a?LRPQvjjpX5efa(&-O+m_q=wS>wLx=*3c-{c!hS`+3$#-o zQ!tgMT>Iplewa&GZyQP^^lj*3wby4^^tt7G-nfsgEH^;aJZab-pV!JGU9VM-;E?ew z2lCzi99P}kif=+-83%B|w)6B_k>wGLQUijeBiV(J=B5$3ZQm_`sEuZgZMU1@GdF>L z4aryQrORwXI%-$J0{`iF3x*!I0iDc);XF51)5#d@kNya$;Q2_edM&z z9R+Q9Qg%$C@y*AuoAA0K_CIGXH@mbz8TffVt9g{M@D6)5b!Yz7z!tV*1I4hAj_*hq zBbg1QT+FgB$hw6@^(8w!f#fRIwp4ch~ZmLknTs5SQ8F$Zpc%Bj(e#i!WZ;Dr! z9-g(`#eU^;5;wdj)~dG2cu!jX@$e@<&LS@Dv4kQyYX8A+_anj(w1X|h>;hEoX*}ai z;j%0BhFs0o^!*;3-15{L5+t*KPBoQJwWEDhPU&mAt>&{z+#daq`%X`22}(ipa%x}4 zNE$C_T|6Cxv?LSm^AT2~-zUTJWLP)5SrKeQ-ai$c4CzbR<#G0!xbEE~m`!JD-=`+u z(nZD);qjt|YOX_t6Z>rSn;gFF8ymIxbRs=3Y&#DwjSi3kv*;}4+M09h7uz_y9USGp zY4k|ZZtvFH05T~m5@6AIpWR{=MLvI)da=8dH!CXL4*IfFM0*?^r5<+%KSk_Z+MiVf z3piLJV27J^nIAN4_Sb@W{s)IZc)$Mrg7mw_`G|lo%!Qw z%w&|E4Cj;lU(-y)mGm5nAGU7{9Qelni{&5G?~gA2MkhL9dv-?2{re{gtMH<`o3@1P zw*_dQD}3!ebfi!J-dy?972EbhD!TWzQ1j_+SPK7!qtHh5Z&+QDDdV z)^ftnzB!*?r~O)zGkoN()9x(&@J)gCN-Ev8D9*oN6MkF~pOj@zBy!5b{3M@mQSSqV zug#R4zmCF6(Bx+y3<(oSzC6F#_e1dAtXSy(+rZun34fen#_^vh{y?v_bfVds2z`Wg z_(z3(FeH3W{zv;1`FGjxogfJYd>1{;uJM%b2;e(`|GN=K^y2JIlY0cKY#(;L=I%*3I(I zshobul>utB-o`v3UBU{&e>Cd7ep8A5mF`N+M3DbY&0o(#zgL0RWG=sbSNgqxKC?-G ze1|_4+8?5Z=h5cB=X$^6@7G6MsqyYRel;%^Tgll9j$8hx*7{GHsca>Ox59u9vRkBP zoJiBS3>7D$5c5pr#Iu)0kOwX1Y|V)Hi0!nw$7BWMTuNf!D99xx?V*x%BNEdaD*K;* zVx48lq2h!{fVNmBN1d667RoRI({OT09{RcbY)|DO#@Pw*8~Pxn)pu0GTs3D*=Oxp0 zt>dWWv7oo8=o{t-Kqf=Lw&Yh=s{HW&h`~idf*I>*a5jo~+YR@_94_Mig=4n=9NJQf z#}NHc6eIg>#(I?4K2(yXpiP!bYiHigIzpH;&LKefiHmJYK&e>n#@xeirTO<8L9%xH z=9q`jE#RA{QIlRA`0+4RV7q;{GS7|gBTjw~dOkPqkj7wGtF?!aT*2kW-5md~q#PQS zmi$FmG06WA<=%X5d>s0H9rMdvZf@k+FL^Hl-Cow(X=U-}RhGBVzHrjJ#pke56>f*3 zEL^+J&Gwv+k5eEQAzBDRj|1^$7fCDcKc=)W9~*5b7nvM7D}W~9!2>7 zg$*dV{%=EnREIaDKi57Br1_TJTZ^F$o3M`T%Q`^Ky4G!wT7<1Bwvj4`I zBf*w>giB=qGXAHEevYqwv+Z5)U+~$DK$~;OpOUYHFS&C++LXMhKVS*uU-jKxwl638 zeULx5Kj|H%wI%WK^OWiLnD8$ePx|&Q`&_@Bfgh&*und7!`4xQLd_-BS{d=L7liAfW zoR`;Vlx?eW^1N|SS|Ox6Z{HD$Y}hL8hxxRMW8K2?bUq`tgFHb%w76Xa?fYlqqHGaqkgJ)rU2u+DgRw9v#k4F$xa?LP-vPihum z{Vx?;-&SlEp@nYY9FZ;4c5P`p3Y|?7(mz?+&Me!~TIdkbX8T_9?eiTvW8QIPyYVtrI8yJN56kaf4(0b@BVDR zsfgcEnhSW&A#dQ>)Yfj6z~se;IRmc9Mf@qBW~LV9yIQ%^zn^(sH=cbkB>c8SzGpCg zMYMlk@@)$)Z@S#Mh{k^=`!e?LarjRw{6~m>FPI4v?DfymW#3j?Q1<<%@MrFn%q{+6 zg=mEzXl}}L*8v(oyUU%IL%t`q%KARq|Bd6XxZG*}`!4J-sdBg7OCk)!{GH_2Q5O2o zRmz?8@7#Y-6@DQ6=wG@1wBJi=&+WH??02K|=PLHf0{cy(XEE~l;cq*V|3O;iV88XM z%LbFF{WlPbZ64n*(9c#mN7h`ynO5{Ezt?X&&}Y2(d)YYm>){)P$d8#4o3Ky~{WA$1 zN=~2lLv=8GxHhmisXYN6BhXGBtGTm~`EdNW_)nqnHwl0GFYN)Et&h=1x&-{DK*T5a zuPNjov&z3XH;zGkd?@;xiN4K^q-*s5j6Sz2f1oZF^8Xe1U#jsCE1;p2|NioiS;U|A z`-Pj^Cs6#oQ4$}kX#89*K4#V0;WEf@gXCLY_z|X4AP;4yz$V}MQXt0%mz={&u(!n@ z@zEF#Z!x!E6M75IlGy$?jAeTVK2E=Nq~Fx?-<&G zzv=M7yD|dDGPfP3_N$fupj(S?@tP*dWx9q-OYkxOm`(H*<|H2r>2Y1ce^%gH2nwF| ziDfo?%0uJvdC4l_YH=(3#p7`wty|e0wZR=VoZq z-$eY&R-pF_=As#z6Z;U27VXcz! zhVS75i0>Wq^sPPKd`8=q&_8ddA8#uELI3voGRJ;Z_z_ntx5>V2|5);;`6Tt=y;rvJ ze=g*rCGqduFGoAzitW3h?Op8q_H)oqnMiF1(8u?Jc^u0mkbljDc|1N_`23;f4EHYC zC-)D^2twgG`A2?+xUKjvgpMpClMe97YQI8A^8l_mL~pz+L;E4k27k6_&Du?x4HPY9 z+3p^lZ|2(A8^HgnY)5bB?~9qZ?*T3H*u!}fvm4uK5qU;9N#2;F@wwJp8wiFAK!1cg zA0iEq3V6mBE#2n}rr#_YpVMDZ-e%`3{5`0Z5>1k8{Xy1#M%NR#BJtk59<0S@1z*nO zUpIMaYdYwPcXIap4+LQ${h5+Z@%U2FU$ulj-uxc!1^w$X`YVY3hqxB^5a_?>=&uJ4 zkHqnD1IA(#@O9>hPcFZnZVA(CIYImRCtmK;_}?j870ss1yjKff`iIZfQzcn~-D#v; z{3Q;{*01V(<4`uBaJKaRqo@pvN`CgSvE0Y|C8K`e8TDh`e)2EwADe6$6m0R?-#OCt zOE$N@qIP=|1E2VLLE{$%?Jp!Bo?eOc3G?IdzeN(?7LEg|!q*@aOE3Pte^~qXN@l}1 zHQv52ME&tjs{k^wyrlMt+-heIr{C1NtGBuM7ug4d!toGsomNNT}RC_MpVv=t)C!fc! zNAhh_Bi~PI0bwO`mS zlh5$Y@x!}{0_k7o@Our%sEft&*SwOi7q#AhF)W}e*n0r`kROWL@vA2>Kb6V9p7bk| z-`=B_%YTaGzrx9H&u2g``;CjRbB+D&iQ+Q()|Gr^`q^9N%f>${`O5UOC)RTPaOEW8 zJFocDfBx$1bE^EO&OWyODdYbu(a-rmEB?5D7Yq5nulZ?d~~3x{bm;beEykH`oF08?-^+M3T%eMKyfGoHsK%$ z#p5FTu&}Zqx^mFpNHjtbHa|R z%0CxWegaSY&N}W-$;Om{yl#+yTz~NFo~n?Cunf3=-!5Pk+gZW!*o5nNfRdkI{73C4 zYyI>Iq?{My4PWUIb_7$7FS0MH!;JEuQ8@7YCy@TjN((hQ&f70pqAGDL! zdFZ2a!Elqt_oBW?{<%xm&!(T#WG;8H(zNo7)J1W{4P4sTD zZ_TBvC99M~6S4^V20m!VLjCS^S6dF3etRpMv(F-q{-bI)@u@%d1N*UjCjIMvRbu;+ zY;~dJ`=P^s0Z0E;wg1?i?ceR}b0qW!cHw7Hn)G&m+(@O(;!4M|=`|EQ)fIa4Hfk@M zE$z)_`PH&FAj|yVznHw4SL0sSYK3wc|IOupWzmEc2u60#Mi48YI-Do`a{kl6miz^| z(pD-b*7Jk1hUQInO9wqw=^XR9FwQzyt&*N)N zUCnw(_>#P;I+Xady;u)uL;TC`2jlmrP;mV+BEd*S3H;!D zBm02VE8w`Q%S30v`iG_nYyp`-sqt;7SU4~Hc~4m_Jg!v3yF`lsY15SBF(k}@1r`b9 zNYT^T{(_L=U9#C-CV4>TP54E$IG&bV-L?Ny?e>dx zL@Y9BI>0BZZQkJhaw6Soy&FIAL?*VhmkwJ;C)C15TBSY(X?;7W1@vvdC6wce+NT11 zEM;+J&xYr5b%f~uUTQF}U*fcVSb6>UlDz)MbjBL~rM%AZKrTdK@Nuiqnz!aE_ag40T6+~v zZ{^iz^H%bpjeezjvc$hA?!P1ba{Sj)UU?ftSUv&$>m7aSMqX=amBaBqnoh;M+zWl} z4HcxpZ|9hN_QbILS_Gr{N#}oCfft5^ITbAw<4zo76U+IspPgm&qP7S>yQf`-@9ib{ z;@$fJB*T}TeHUgFluFlfaZz(@;HsZG=_@q9u z+e_^$D?TXZFVW7i*ln>7|LrWZ7j;GXWn0Og`|pAniy^_DNx**V3E*q?J3##ZDg3Gb zJZTKOwtlGid%Gfklh)~qkKF#(!+sAzAAH9u7Us7fK{w-1-Ov!Gmj1c?_>HA7EBgES zX};tWk>0M5kF@^bt^#@gdjR=y540cURvWh$`0pHUwc*FVkMSph$Ky`^gA3#@dmlaT zGI0K|yG(?MYqlsnKA?Xrwid&cCA3YY+PdD*90kxtdIRg7#-#JzDoIkm!Sxo%@m#u| zHdc{?kQ=~^x$E6AJ&4p0)s7!C@N+Z&ZmE#5qKimcObwRfh~I^}Yug@GH*2Xvgzo9Q*}Y7;g>_Ya$a)5#?YE zv|9Iq4i<-Dan$oTJxhtRe$;P(ddiiogy*Gt7SnT)@ttz5NAT>(;_VvR=rPoEYVl^| zLM`5h7RXN_y&3V-Sikp&z#+vxS)*tI!F=@fwnVHS!H?G85W~-OXicuTssgQbZJ@PA zw7^G^KA-CU3~`#Y;I;n=LAg`H6jGG%IgGp$`Wy}}>03v@0Tj-S7(_<+Nd32k1@S(s zz}lrE$8^4O3i@M}6?TDE-;U$niIThpJlVIuBnfdG9+IZpL?rn1D4y#Dos~czdWTri zx(7OTN}l|dlH=}1SRcJ7h<=qkgcSNZJRxB_5$yPn4$KpVDvteUk7#)RPYRCkgotU3&va@(}!9dC&449ztqmT09LcaAhRm`&PgzX>kwwe^8*s z6wrd_dxB2|B=|- zfj+CWYsiN+_=W@8t#CzxGcMTZqc$F_8NrX%Wc_np;5!$JG61YongM9v%Md$%kL$FA z44<_<%gsUNnS7h$qtJA2jM*W|k`TBA{ofFhyP?e@{bJU4=(0XVZp{E)-t4GP2=i@k zdcP%6nEc4eScr>^~c@q@P*|;Kk-fez$eF71)ZcF{ekmz zr{w){_ZTD}1<6weNOpM?5vdh2GpScU)whZiTc8PDL zOsJ;nR4r&Iq0)PyN2WF|7HGg7o^z=lC2pjChg8d|62?E zVKrFi9`xOch{XQMA5KSqJh>e3Jeum0cBi3!kq#}Ac3e>`+EJghdldCIf-kdX@&|I< zwXF-aI~9F)Yu9ibxtD3jEVL9P+uLdP3-rg6pWt96*={8uiC>-!Tx+EMNxS3F|0&cn z##4RL?pW087du5LX~%Dc=^JONPuhJTzBmd=yA{Flz_u>b?ilnrs9nQx>dF@!f z1rn_X$*uJ0tys9iBwuI-o{ZBUz}EI#glPrx6ZAQhXq(U{vrX z-MGtj-Lf6^$&FK8LhxIF4L8wd?m}K9O}4B- zJy&pvPLkvh)a&hqVw~)9H|q7~7}Y1ca6L@EID#xJvxDPNZ7a|&2cyr??HZ0_%}3yl zU6nMSPKG$WyG@BrBVzkX9dTN`?yZW`OQGdi;J1ZCY#Yc>=E-;plD}iG{t2!zi z;@fpqpAg#;^_wK^q)S4K-*Wv{M}0z!-*6@0gc##=MR+pbP0eqE@ToVP38GJ@_4}jp z3h@60*a?ZubIe5oj;JP67Pr5Jz{~h&Q7o_^6%g(4@t3&3b3s+fM zBT2`k%k`+|`<8H}B&1*OULDpy6BKreHPmH7^eNMY8Ri_wvAok|U-X|E_=piU$&=p& zN*AFo^-ukiESsfosyi*6{yE{er01yN1v+oqa5U`q_Zz z36NuC^oEpARzx-+z5ddlPfkHT;n_{%n{@v!=!^vYbiIPQJOv7GLb`qm=}eQ~bi6)F zY%L?=&e|OjS3EPqE~PW$pP?stztbNPH+VzOF-$7cgZd3oH#Rlkq1?ur+m+F%i>!W^mX@U0zhE^+Su^#GQ z0sVA!jjI~G1-j?jw#?LGUG%xGT|+H6s!R(;#*L8Rdrph5qyKFMwpbO2F;n6>EA>xW ztcm`=NB#Bf`lQ7gsArU&1KKHnB`pH#xvuV?(Mb80w#?LGb@aKlT|+I%rBe%_fI^G@ z7Mvf41nFv6l4@1-pF!~zJ1SSa0dHsA>8WcprTLn9bqZj67bH8!#n%a_Pvh;gKfaDe ze7%nTiEq++CG_WtcSJ;#HhKvhM?*RrkEg4nPtJz;`g81MEf|BsX9KAfcJW^vUsW^O z*+7Hm!znkV_@Z{}71;C|Apa}$eFb1Ut z*etum&!40I$H|WI*jA)RqJAyN!#t5zq*p_dN7@!2iQbE$&!g=c`asp(_bW(Bl+)~z zv|bPtrUDoJIs+&GHW}5NU}n-5&I{tc~+ux2Uema zTe~xeRL$5#;d=6l4y`H48*Lq_^$h4^zX6ndWJc6TyEtkS(;CoA0|<} zx1 zAlYZ2FmcScDQ5Wwzi&Z`eKe1n9ajNmes7;oQ%@56r;7fn#@z^(hOj1InHE_!39n<6}Isf5I`<{|NqrXM96iEwVM)Vn6i%b?P5j+iLBN zo}CiT8tX|7?~V<;ufT5rSjN}^6!?6=Uoy;@Zx00JS%CSB4)bl%pP6K$c74Kp8`OUd z7^XD~StiW)2A$t@V4E_=4^V${M}5+C57bWwd2n|)M8R7xRA7!LfdlU&rneF#%x6Ll zoKu+NNnlV(n12K2|0DaCvr zjQ&2>ga5>8%`o2@6!6X)jV6sGA>Z@9pCsg!Zo6i?1^Uza?8z(Lb`2lTx%R9e3{$2~ znEwWvp3#AA!kp)EJPRY8RFbBAU*Cg}C!MdcWnoBy-xCX|7e6nGIcFtk`cOyxh}h-J zs85)3O!2yfGhe4?_UAFZ(=*aa1aT^-FszVslGKdqcY|A^94zw=zRW9`$C07Cf&d%~TSFFaRw$yL9?le_SxX z{SXm5Ma;ly%{C>l)e}wPmFD+j;c5B>lnxnQhs?5t>XVILLA@%p>7U;xNVaQz96dAX zG70$pHt87B{JuN1d%8pGbY3<;>UnjypL(LOW(OL@S%PN;ooMvO7bAWzNH#zE*b@|= z;{N7A%Jzw0%B4pG4P^s~k+h}-`JDM@Q8PBB`j0_J9kuC4qYt{gj{b>n(&Yp6w|5mK zH1>dYPjqOV(7^9j_FU6ZpD6H+L-bUz!+$Xvi1ZANeh=robU9|0M`Apt`b8WX36&=MW8jWdl+3? z34e0{XmtBVKN`GZeMnoAR6iFy_QH;AmeAnu*_em(*$4B1+0nmqg`BkG3b|ii?)9Vb zHgri*!ur&IR?y)y%c#KrgxKr`?aF*(?*cS_H2^f2H+cUO?@}Zuly}GQ^Jv(DZQM^K zX^n?hdsd9vTK$N)!|zJ=KOMlTQt&gK#VmsXVedPGZzo#F?vYqjurYR@dHpA1r( zrFtP@vIb;}w7?PNGt^^sj(+^7)O;7vRP9*~_0r`%%z$|3F?z*+Y;NfAKvbZoj{?6I z`lKy?LS|Cvbv6*&pzWDSo|)m9yi!PN%f_};KLhG7Q2ko2en!;uDlfX@e*`vo>zl(C z6$Mgt`%glTR`@EY;oYEGH}*wT&jlCWJ0rDJfH4Yx7d~{@H=P&X4Ev^j_Sqj7R+F~r z%n18o%|s_8E%>_?8={8UJ=ySz4xbt5T<}JepL*#%ScxtD4dqLi0oUo68B_grs9&W+ zuT+n3FT|@Tz&Rv2KSkc_wtDjCg@D+H@WJ$U)3h@A07y>Oko-^JlKt)Kx$5IKJPrxT^O+ji0WTuBfm z`QahxKT`+4gg<`2vxmMLyT*@Hk1t*H;2fUy_@{61n`sFf>)pwpvB`6}&e~~>W|$VX z`*$13bnRtsAj2!cu~Ym=wwoPM@RI^BIs#g3)=@tqj=BW({WQ2&A02PCGY@G@2U~Mi z?MIk*qo~WrZJCqRCWG~#>X0GHyZ~f)vZFpBxghG(86(aOBdt`=d^J~xAEx@}!M98o zUKc_e|511ayA$n10iS_S=f_U*BT2Y4D0i-$Q-7|U`3AoJ{;B?4#O6B%8ckDyHr^=_ zaF0*47~PZxl<{AjVP;2Lrxr!?I4E#d3vjZ)X96CCW$eBNSJB7Gi}2k=a7y}~i2AR0 z=rw|5;uF_fcGM?*{|ISghxSLm7yVcCzZ~hOxPBM(`?X_s={~FCUFVd$+C*bSa@@Y6sK2(u2a=BKR(RJF z;5(|lnoT->30~T%BdyzMuPPm1h`QnGNA&!(w)q6Q;Flwyvz2uA^aJ3JcQVBKJ)-PM z=8w=H-_nZpX+)~ur@~&mqr$%U)l+PfF7Ja*r!IrD*VE8tcId%-QD!gt9>2*5Rw_xC zNB>m6YK1NjLzji1N1~H-d9OSED<9AnjPFK9_7j;VYjPWH4`ag*N{WQ4OpDX?? z-&@1^-W%g+FMcC_3E1o3F7GV^+)jeb_}zt&WJx+sfO`Kvy|iM$J8mHJS_Qh?fcj+$ z>hG`6@m}btZu6R>yRz! zcsykLnRH3wC7FMQdFQ~6{;B>L>RwQPP=$_so@aCDm*h$Q@KTqK-FB?f@jU3pC$Ub6 z_$7<)f_%t3AV*~J#X0eq0+|o2&;{R8gk7y9-FL0fk!PmKh8&Z01|>-zku$)Lp`7VVw?Ho!|0!m?X%zaI%Vs| zzi3@&ada+Z$NoP3PWV$BMhoY_9lN_cLFGrQ9YaNY$t zpHoo3eFgrVx^%}&l`cPlE_|{%oj)aA7=!C7F4A}7(mLTq)Spox+s=^f1l1=SBpqKE zPx$W-nfvdICYiZbp=^h?jThexD zeC9yNJO$*qE6R~%z6LVz?kB!gEZLIGSEGJ*)GrOYe2%U8^R@7qYufrzx}?i`!2iyI zdi|m(JE{zgEp`~klvvW`2*e=I1wKXn)M&SJf&Ed>Di^cZSCX06 zOlC!W|2Iie{WGYau_qgo-x<|ANI}!V3(=>{JX{D_bH-BBat{%aZiIC`(C{ z{+5!x2tiMkc_`u6;)L#slDKWO0Y`L)(W2`)1C>{3b zj#*~QUqt6AE5F$Zs>PVOU-T;*blzAxyH8U5rmbz6zIx#eUEYx3_t!r5U7|kI+w9p% zd*Qvc$Aw3)XOkG?J%n7n_}loYExa3V=e{4Gw!cNxjbHYp1kvHOjPTT{(`g0zIFimi8UAo-sJ}G~T^s9Nhl%q03ew&Rm@N8JqL0>Ma&B?< zH@c0tapt}2r@NfF@*+{tjpH?gJ+{(|_w-4W;cdD$4gu+WM|4)Pb__ib6!g(jiu~wIlY4T0c7qgj6L3usjQGbZHR}oTQDJgu-`C1`Y(YZY}0%@B3 zOmvs1C1c@@^NrGEU-j+Gwm|Fmfa-M*lhxOCy<|3CCJrkLwXjO-`yTRvarmX^e8UBQ z*eayauMzzo+4y0#n7;(>M*q1a`d^+kiTlW_n+w6J?}GtpYx_3~$=9!5+vRLAe3dw} z=1=K05?k>0$D}!Lcc+nax#%Xz_r_mm#bw?4MLT97^m5=HOX>x$J}XT&kTmu7x^9}l zdBuoNpVRR*j6;oc=ZC?MrBC2prc#sdbT#4XHqvBMalTg)t!|9N4f4rHg@h{+=bBIp zJonv1czdEa6ofDbE2VK%N{p-eg+(Rn`8!yhi-I&LJd=2{c-r5puonGW@w_8OFK^R$ zBw+Ol(7VxYI@1F%FJDr8?0JaMzbpFX#wY5qxkhu{cNNs?v|ydoP=dn|75{u9X^Doh zHZIPEuQ_~KT)f4dr8(ymT{A5Ep>TM&r0gjj76|LOkW9Bv32*PzdYMm3H*fo)sJ;vp z@kM<0YUJ3)|18e>&Q<~O<-sE+QGZWt_#jEtU*-$fT;e{^g~tNQ=x>T7HtrZ~F^S)h zH)wH218JODw_$8OO|_`_EWA%RPxQN@CZHX^l=%O#LO0uAA^M+;UIcgt3Qym7e*NZz z^Vh_gw_R@*oxdjQwtQ7|M*ky3UofH5Lg}@(mNjOlNhA_B?8Y84uU72oIac@;{8cL9 zw($Lv_lolaLL1#UJR~~vhm(wpIFy{@?;;EMb~>+2ylNCfYF`xEmQuag`;pdCzMvLo z{hFbDT+=7Wh7Yyq?bx$^d*}RE;T>uD;3to~>ux<3B@R@i*_sG-h;|?BiM{fBIQnLg zP@Zgjc~H9T9(A)TLm@j|eBLN8IoiE+iF~rP=tAilJiaZ;E#!Z@5Oi9EaCTWEW)()@OW z?qzc8lh-udbG=Tj>6~tiql@u>r`n5Y=KIob-;Q{D^Lr=&zASx~H+o?uek%_2D)@<( z=RN1?LOvTgpuLYcJT>Dx6;_(PX`;UnGJI!)=1WU+*Tl*gew#j;^M#P9l4<&w<|j+; z$`#FT76;}DyGV2U(wwz|bLHC?Rx~l6^To}-SLmk6+@e1t&bvvXjjcximLyKE=I4t~ z`KG;Tex~@WR?(cVyE3m>Qllsb+x>!;4 zyBp|JM32CjmC^F3wW&zARnYmQ<0BRN18Q&jOSPgOQ?cly;!Hc-P8KZ}d~9`blx*jB zOq4o9_2f}>n?^loWwJL+bY7esqD{Z83lhwSM~ctmp}f9RAvym0rXxf@FVcS=%s09eY=S}?|AeU48<>~P;;`Z5^ zbsy_v-REQ-_v6NANZj@PYVmnUyw8=4K#0G0`;h3-&qj`|;QgYX++f}NMCaMM%^7F~ zFOqfp7_uHp1Fb51su80E#H$f>)sLZP| zT2=Dx*@x-yTJgC&ln>u>6@SC87x@b%8a??drQ5ZJ6lIDi>k9L;E(M=Kp(jV9v~OHf z^o1)?XWBjx;z0$wsnqr;X^XegzHv42xlFuOI?@gQ8qx7vmrd+FHWdBp3f*e9i2CSE zWoTc2l_obCQWUIPRhVCODU^dk&;Juq)4p*<(YJ}#v7X{R{~kScQO3h3W!?I+F6|p% zEk2j3JogqxH|v%YJ^EQ%kgWGuNA#bYhDMGpVr|hklRoyAB-Zh_i*GYzVb;A$nA^J) ztb;<&f1{|WbxVnEZ}8}^AA}NvBaJm%p3bJ$Eg?QcF>64nb(2MpevVd{b*qT}Ytyh| z-O5p)vhMgw^&bowt$U>~d`DIVwP76;dVajHT4<-%fBsXw(8-m^v{CzgJ&{?~-I22H zEwWB*m4?E81@XUFyq63TAz9rmFM9NIz9C0K^EULT ze5L1YvCn~vj}c#2&tT`iFMHa9) z6K^S>T+*dr4c|s!?#=gK4hTBic(;sf{B6ancK`Ki;cu(ZP3KpMUe#@|&O3L#jm&eU z?XIFILZvObogvEN(XMMl^w_Tt^v(U@x#j0yccYs14*eB5=^PHayHbbsyH<0NH|mK! zzV-ZyuDnj?GSyVKa5yk;=&*RWt1TB6ly1bvDdO;<5DOL?|CDaC>-=f8fiH$uX|eG> zasFD6q~9Y1TMWNp1w&5pP(3QnL-NVz27B*t<5O6FD7A-=5=EAYf)J6=gsn<&zkO5O#0g$Dz0QT{*b(MaK(F8|I zT$s*36NQ$(m|FVF6PT!VzAEQ4UWH}G=(w3Vm(Y~^x-7Ih`Joc?LPsXI1Iydvqnn|?$QZ+(! z@xHpDZ4dowNjaoDqM24aoj2d;Hx!|a(SIs>^^1{4Uq@~HH(hOq#C!#5dzDc-d|8CQ zB7@B9#bFU?Ik~Heakx(O+`8_cyPVs(YT_nq3n$u`4F=b>j2$ zN}p-;wPnj6DiV1j<6dPn^1DmCfmdJKwZ&|NeqgNYE!8$$AN_^3;ZI3RYKHYq*YIl5 zUnq&|DrUYI%HctIUhP6lH-!$_lzu2pj@8+P;(BDDn#2vo;Q*Di`znxTOCkDP(e^b% zL>m3aqOV)w%yS>Nar0o$ze0UoDJ1P;%dU-Z?{qE_{nE(Ue*bNpzazSh`ue(EC}Z>s zMK7NQz`^KSNiJ`2X(m-b?Q}L21+Q+T()LuzG`UzD7_GPNYGNGD6`fe!Sah4u8~s$# zmyBFrpJ;Nn=u?bd1c9A`XM>z9-z&1f&v@;H06n(Pjo7L#2iGEdgv<1JBIIB#d zLpCL^RXamY`b@B-23du#ZrPXBY&^xTw^sVDp-}9b>bq>L(!4apxoLZf@cS9Qu({F~ zgtYtYPUkhj2CGwA3i=T#=6T~l|8s`?bB;Vr&A1K6<>8rfGe@#-(Z1BUbvTm~q-K^m6vz%4&!@EVnUVLEwFb`ud=ftW0 z@KM)Z{BxT8P_~Xe)H(*$Z#oLp?K(j=m0!&>=~qY!zmPI4d#m5orR@fy+p~S>X4g-I zzoBB+YlR%7RT_0~l%3wnE)zG}`xb$Q6id)G4=6aZ_F&S_5Z&HR(7i?|WAv|!ZckJ? zovjl3`J&qsCU{{PZwP-Wa=s$zpW*Oi*DmDF)50$5hB~!glTwy07q?TRlxu<%^Y%HS zPqwv`!t~+qo(=MzOL;@rOV(rjK-^A}lU8!K{d)6BIQP2N-;*8wWyM$PiQ;~+Z+e)-o5X=p z%4m^^GTu~VR#s9>ljCIFkEP)O@*8t2uQg`lAFSZbKc5#q&L<KoqBF7? z=WP`UtLto$?pRns#AF zQSQ;1*#XULXP)^D(N75aLO0ILd}w!T)#%rVK4-<2r<16ryeITrHOjXyCzy?J*f-M6 zev6PpL}y)B<=K>;OE{PJ33f+DZ?aw2dxmHJvu!NRZ@S#ZixnY$r^aaHHu9$ZG~vs) zZH59PW=C%^KEjeNkhHr+`H;9`y^o&X5|TSL`@RuK^B$T|U(A&3&d%r;h|b()E@|=4 zAi?P06#W*PMTj?PC5@`YBzE(h$|VwGj8cz^!`2B0?o#j4Iln&&45B97OM+vKI;DSC z^dDB*qi#O}2T*12sTF%6UoRvxCgbp)70a3~5r^%K}qRzbW6QlRE(vKygA$`-bcdvLcXy|B_iui_ zzyHR#yL;bz&vWkcoO9nhbC8SJwi<)iT$=y#yWhIG%)Da!&-4m;WQ#C-XouLO@b`u4 z4Qp(t6}-L~lF1Q0398IZ#ALqZyeQv7v0EXfhHPVBk_6p}bu+c#T0g9yWeGChe#4a~ zjm+WfcxoaHSx|0Nh^ueV{Y1X1;I(9s;Y~~oBuMEv z$y3qBbrzHGGiVxNYht|&)(YcVJjQqspA8-CY6*T6-LN89heVd$SG1e`m2Efbe2NMc zg+o`Ke*At2*}abf&68^mwS$hB?l~3dS~Bg1u3ip1$YjjM3B22wX5&>KXq0u_`d#|h zz1Oil;abfsv^BlGsI|$Ug^c_5G_-5Gqux`%Iz{cR*N_zCtU-U@`+q7sP!`{oErq#9n6@yk15Z za!+#|g^Z*3ksTyD;;_oPEY-*}F`N14m79u#bVLOsL2a8a#C$?VU$qOSEOgos?u}YC zN-SXlybG$VcnkMQx|+ra$xO+qwGIYsKIc&fhg8rb~8Wb%?AvKMRju}sd{?zuYgK<~Ug}($)p7k^e3YF~$5c#zVOE2{v8K}g&06b+m%k?#KWmLmT3tp_SE95(Fd~V3!)2`9J zlM0qR6)<3^ZqVbY8i^OvtL=~fl#4YyYlE1$o-5zf)i8HT9b&Z5qb7dwl>MZgf0}MC^ zFc7k<^yB%b(<=z_6^{(JY&O@ffZeh4SAsC~)mMkF!n>A03v`gtLm%s*tcT*Y#^Rm0 z%1@11N}E=WZ?zm1M`YG=)*2;$e8Ve!yLi}K)M(kJcNM(uWT5tepp$`W>>}9v?%m=J zz7iS3wek1|!jLa5rmx7M&PEj0f;x^qx-~W{HcJAUo|m5leh`9Wdlr6jDMg!c!!YHS zQPs}UM{gc5Ev=~E^6Qk|1?)go==+XG9K?Ub|5Cg8GGK>|=cXJ-NL=Z!WYS;0<|*~# zY)SaHnzhrg;n6!pRB~K{dAdva!R%19O+aybntsHe@X}BSVrs;!C}Y z=V(qC4TuQf&1YV#zh~tWM8hP~*C0)d2U>bBL#1sQaB@ua4ixqH?bamSZ*f$XRm zm7zn8R1t?ElzCs(+mF1xVr zx#T|h$7R7sd+Gjw98L!k;HO*MO#RjFjz4fm&G3`LOf))+yw=6WKg3)ocT}0PiQEy* z$;e>>olU(>vDw`-Ng2gvKY=Df>HSYw;iz zApsmZm#+P?lZ$olqa5AS(D$J{mVWh>-ZE#!&COt2>6eo(FG)vIrH5ENioR+C=3H`{ zHhUASlJh7q<-J!RUU7?~!fGp_xb?=a0=a#`eH3aQ>!deAsJ?(eF)nH?OPr=-Ze!Z1 zsERzIY1Pg02jS~+G)i^qGES)4X2TZ-#?l*_*G+RY11Ik}`Z4_zk^?m8r!<=M5Wnto ze_v*C*!X?(>KxDHH1ajXBIivN6rGo4GP|yrcq>!N!(C*HnibuxwE7|eDyN#6N~pT# zLuJoEdR>-sbyQP)0vW&KlMKgW;H)s8OshqRKh-`AzjzAs-Bx?OfcVuLUbXua@M(Rt zHhw)Hk|`h;%PeqahSo^w!h1U~!A!obeUl8E1u;dy_87|@8fq9iHYqlLchX|-mnoYy zxTC@17#7G@WBfGz+{{klGT#4x58~>IeTRzL`rHF5Nbh$tHG4Saq?C`+?L->Nio9f15>-}3n6dbS{^@whh{MM=a77CDh9nVEnAC01Ti+shsT%@<5Vp{3Lt ztBS7{Ps#~v%W=ECtO)~w%}NeNLjxfOweiOFA(ipXSiJ*=jB*9@1utgt%?hO%(pgS! zQMm_1TgJJn?NJFuIjN>V&4SF@34thH2gK`sBRAbT*GlOz+Cds0d0@uZc#)s^yVe|6!S_fZK;de331nnJhk*H5!Hxtd-JuFhwO z^7=q;S5Hlx2Rw5_t9Z9RUz*;L9!@iTVXfJkdgvAmT>RqR9&(gi7C*&#LbY{~8IqXP zF#OByA~fhi`1DH+#yaAhvF_+E#{_1DSWj;r<=@JSQ8*KtR! znpLVRVgbAl1>!H*A0PKur)EW5>BkYy2`9NVJ?SxoG~}Md?Om0ri?SXifwKgBJ|OB% zW4^J0l;%BtH>#xlXjd%#c2zv>?J{^4;8ZaLFzaOY6HVOf_QuK8J55Y1Y?hSXdGRy# zjk%Vj5=k0@#rQ|fP}S&YFK<#;IYnrg_lNyl`*hLVUCnE!5GicF2#D-TT}db_1@WS; z?Lnyz7f?=1l$sfkvk7*FPV72CCx$5nXK)=+6D3cR`u1U%+6%Z{cxGgL;_t6Up$tM$ z*{TWLZ``nvtlB8n*N%Pw36i95;*^cuxHo3$5X}#OYS*cSHr#uXEsY<(#Hv&l8XE?7 zSX=|uK-#vfKX%$d0>58ErveyHDD--nH@yv0K2Op#{lHgvKb1XgAI%++o8-cj4Z24E ziwWHd7{ZhS^8EokE256Qb4zka$<>avD?lXZY%eG zJLMT;r`YqC$I7L7Rba!QNjniAXto?lAwcGn2QcP&);otPTl5h#(N0{DP+|ovU2+Ap zI|o(=-}S@uYA1u7t9+8Gm*?yKwT<}&pqo|v1Moc;Ml-b*y3omF+8`Re6+3KYjLd~K8~;I8mUK>n8b z0fk~DCchy0mtGFZ82<`ckxkaRoq_LZcWGbP&vAXyWce7f;yjK33)jFGT;4DmGEGs@ zMcgH*#MMf;kXOLE$pr3U5+f8e_|bf+#9V(7kCht@rhhH-e2aosCVEW?DkIC=Pl@4} z@XaczJ@E`EZ)~@)gnR4S6%JYxEH$?+{fLH}AyDIi->FSYj0>bKiL5ryj$Y}WxV{z> z?N`iNf{p+=V6x2vylo$0(#c)tjtL~P_DpqX;YrKeN#e6?S-4XtcxSIg145neFw6J$ zG1c(3_ku^WBYy(@y#mgLHo?$1SC;?*zA+OjmW9C&rYZz1V6BtminbRQ1QOw*0Le!GnDO=l|2n|lUcLO_ z)IsqoIQoRlD?yKxjWlOr8eq5u^2<1rlC)XHOh*7zEPf8&g{A;JcN~#7LAx}imT3;@ z<~x@XXSu>($4u%ZuBt{NWdc_ey*-!wrvv)5GP2#QTZS+ebmxs;;MX{N!H=-?q|wYD zfIZwlqLSjzq55Wm(0>@==Jxjol>Cf`>Hjd(utov_d2I&(+wT+9CjDSPOO_8#qNWXF zxT!W+i2u656>2=|s6zH5+nyW%6(7PCMN3|1gzz3L7zp4I|77< zc9%ppC`ONT*JmrGpabRo`q1xia8?(5ZQ$(`9UT&{Bj&Ds44U~TDS%eDbJ2I%BY6;e zK+u%zV9TC9G8k57q^_20lNAhR?dOmHW+unV@|uVeXtx4GZQoBrAy*3e#JBqy&jbF& zLS7`zU@$&&5a3pD)sXaw%=(@T85CPe)q5T{LW<#XbDqn=`Rgh`Pz7gOw3tXs5TCvY z9N!jy^6o1iQ@RWpHc8@?{k++C`Bs=MurT#Iqk1*GhA5gwr4y^l<%k|U1QwzTC3rzy z2B2X6=t43oj{HufrFOXwXasL0qZ_S2a~>nUpQuJCLdjO2`A_qhn z`|>yoK#>Gs#9j|1bqKICU#i`wA)H=yDgPIJ4%H}l;v@9=#={OUh4O!pn7Df3OiSl{ z?HBcLnyu+FMX#u;9Xjk{!tMSyOHKh2i;o#;043yH2W2e*BR~r0PUy!~mEoOtCI=Md zEw1Teub|IlDSaIyFG_ynk`PN8;)}ZPfCvCDz z0fr19YXL&2XWun0E}v%y!~luWv*hPwyCQd~X_CG~FcQUTL547ek7SfnRJN!?Jz!xx zRuH@3g(ZbX<;<$J8m-Kl>ys3oemr3nyoye zl^P8gA@0K?S;2g-5%4JF_mT$T0Adm{YU?atSAzbn!dH7o*PJO>@fit#G>ySb0{Sdo zpLk76Nq2w1R-)IqloxM5=A}%rMlkTN)(~#^TNrH+!wfD?TyP++HGNjxU9Q=&rVGKg zxAyQnR~XvlJ9SG-Thw^S`_)^`8@8#h9DZ9XiJQZ8+vwsk1c~Az^P_&Ji1OWXByAlA zFE~~1fe;t@3$tywg3)3~c3@rLsr#M+*aIEwQ%g-z5TzJf;;45_Rm2ih;9>J(XUJKH z$#Rng6Hu&zu2;}UMKUFKG$o=Z29uJ|iO0=W>YBl5biNyaACY9bzf4B)@P@nF$mlZW zg}RPxm)K`0#wajIMkj=(_(W`-$TsaTr-%6D8-89c0FE$ez#>haABMR?DmaC*1TC(- zSVmTYzr7&!8EeVcA7-GW?@}12yP*7}TIA>OBMmYQ3l5Z=x5(R=hFqCRSf*LZZX>c9 zJsZqsL~@Vq0x_5-vJ41sENke=8WYCv&?)>-AI!{w*S3s4lDkM7P%w!_UvY(6o%gbr1yll8D0y8$!zF;j^_ z0VZz&^a*7HlnHBfs1IG7;7?4ATRN3vSn6VCQ&}hncuWDx2TDwDQ9#eoHnWTH_du?z z0lRMC0f28swmWj;CV8JGU$=k^@8SVRSWP17!0sI%ZJfpzj|c0C70mE-&I$9?@C<`v znxqn!6#;PlsHt1+m{ zEQdqI5Tuno8($aH#pOcQjWZ+RBO5IGqMm42I_^GcTR__$IZ8&|ApXGzGC3Nz7rTnI z{Dx~ggcTNmO>DXRH;IuiFXiJiNV+1rH_XKYrFp)vR!JRX3i(8GdVZ_+1{`eRd*p5*k-}_}BjNavfBorov_CrkGKi<(%Yx&R1#`*lS zQ}Wz_uG!a4!{gp4nrA5n4v6VfqC3@sOQxz_ACAny17O#bU0gSdcJdO~pIpnuPu*C# z!CT1Z#qYC=Ek?y7;%~8+uir_8bLsse71tD_HH%UB1J*yXkti7}N)sAlD}0HV9HHN9 zX8?2=RRqs<)Sdhkg1f*;Iwx%6j+vkBMX%J4Q>?pvoj0uOpj*<=)phd+?9hy_;xUVL zwc{Fcg=gyB(|Jf+Q>==+9~$3nUOfIOUkni|GZT!y!ISX^>@-b$+=i9Cg7k#Ahe5rit&2C^VP>wS7)Qg~vNw*7Nw z2Nj(d=9e0?Jh=TaT}ZXe;EWxua73J-TrHR%VMc@3Wp5;Q-kNm~#j;b!6EYU2ey)6Q z&Xkp$Brk6A5(~Toz5s76twtSqmXlclo|8w+_h>VyfOJhmR1L0W!!_6pqLb3IDQ7 z0$rDBgnoQby$$mQW@6>7RP9Ctrj+*#Gf<3H?Wsfr-Vk8xSrKEi6aO`q1nR$5Yz@S# zH_KmdjY-fRv(Gg6s{SuYzjG9tLdaGhPVc!5VKKlg4DM31c|_4_WZ6bnF+o`Vs;s~l zLt?|+A-p({&H3iNb#V{5oAa6Bj2n!I0DK85>_N&6vVuI39~QR4O_Gh82^BGs0FJig z4pEsb<@E&=xODs!;0K@oC*!uvw4NWH=*@p{MMd0$u;SGX-HE;~lEM=M z&jtIq!YUpNMj?CT*F>gBcmX>7{F*-Pl5=#;H`Se66R`|UdMn8fNSBq>BxL} z1xEt#$F3f-9e{VF%7&es!jW%d+up$_1GA^3pY}Rqs2|~>(PMd?xRd@bLA8^+jz`|0 zFga8HhGQw0KAjxsOq`R5=`ZgJ&rceDy!#ocM8_7mxKsnqsrTgIc1Ot{tP7k|dA|qU zYUp#r4)xpTnV3}Dsd+jw4`1Nl>+HT2C6dQ&CyA0etDoCfn@vrTQ6S@zh}bIh-DeJQ z<{uYmbbhfC>t)I5qo&{OQuH9lpZVy>Fa}8*2VB8_ZZxXbf+MBEFqmFj;IV3eIA0GS zvq)A@-}h8F*dK~&EGfHvn0~I=z*yc`kN`DBGAvKXrt#q7+%dU$cy~&Mb*wEKL(Ts8 z3H28ESZjk!%Zg51xw}Sl8h*f?=!T_)M)4@-RdM!YwUEdv7 znEGjC-;n}oWMFPIop6K3oF_z>xm3k=@aThGM>=(^g4vc>`=`)AcH}KV9kWod<8*0f z>?MK0DxaC?W;lxA>5{@Ii*^(u)J@+3$q?DSGgS@_^9K3I`YHC!BvFk(3j^}@#hg&$ zA&zbIaCy6xKQ#~7KgbWjo8v};L0jZNJUN;hot)IkWc1kY2`-6jJu%DX<4;niAb?9E z6?lnWp+xV+FS2c%BKU`v!7Tc}GBzn#E*>0osyFC8|XS9R3aHT`0 z!>-Uhb6eCwZ%u?e2vw?0e;4vst0hkrMk}8@0vSgCvU+$L0(MkIN&-|&*%^nje;lH` zo8GBXZ6*cG8F>c zjSnM%xM`}&t~shAYySXE_ZoLuz>4}v2jhpE;Tgo*Rr|zYaA720_ ze)gB}&yrz76DgaM zNnXW^^Xz zQFhFvg1Yw%I)W0%(|_d0zDXrzOR-@(?ZqPFQp4nE!InYvb=q^iBb=Fk3~w z6cOt;nTqf&(RwiA`%jYt_QNTvG3vC$TWs8DVA#s87`hhEF8Lnd87!a4%qhi)XmJ3x zLvC`=o>Z8<_CYBZ$uTA$Wy{hKtO(g~^2q4S19Yji--9&PWFs$fw3#$&Xy47LkJ@TF z04JcK4Co%#c-R3o$YBV2J1mAat(?Lp(DcT#@xkNJ^L7)=6lZLUN*+D(7F#lQCjmt+ z6oYtGln+_q2^s$*7O%%}0(KRzQ}P~ULY>^vSFy!3XN!&`6N%9pN!NqC!9V6oIUc2} z)8m#0;-PFRk-wt-q-6At{|g?Y19Fa)o3k<#k?Oet^nxXxMitmh`V`Lyh1r$8T(l+8 zIV}s$i>TafgNT32qC9e0e4QE>hQT_H2PL-5o>6uSGqH=*7gQn*H8@t!LZPx|Qt)$D zUK~06F(6bDTLdG}LVR#h)ZqeUQejKKtTDjD4q4#P+{pZ6XRq&~;(K|(*{KlX)}FPR z@4^VOdsRUlEsiahZu2v+Mv@LsfQScJ5UzG*fOx?e2f!j2%GtTVpek>v{oLn={ zu9(HG@;KO5X7=6A$A#kP(=o?WfrCc}OG^(O&;)r0px^$EutWVVM1 zJ;9ze$oR*852TC)k?4XS6mPQJD|f^UM^8R&j`!`$VeX7!rF_cQrf7YS=gKAo$R3{NkUAj!OGJoKua+VG=FeLy# zMI7gWWJ)OB4A1C>2L4Y42@7OXO@t^|uc8ZB#fqZ^;$m$8BfRA#?974pTsM8gbY9J; z{vlM);XKKU($>V-$rA6$yk9|&i;=mtK25bO+yT9CngvJo&*K>?>>Zhj%?avHzV8cC zI7_t-sH$gWbv3rAbVjlm0FH2G8nRn33R4cU4h~@3+UiLu>-{dDo`Z92#J1?OTp~%q za`7}WgtcI(N6_>gmjs5!5rqpN#yWh~Ek=}q6(LGKx1e2r0>9#EX`K5&Ch(z}u}~q* zOkFR*27!%B5^)m1H>CfcYBtng`)~+xmFMinQjy()=2w(*4(4=2roP&ucI`IWN(drV z<754K7~W8t=N8z2*3JeOi#eLt_w1)Ia3f`fhQfCp^kP4ZrPBK{Sk;Pi1^xADgzYf+ z&&Q1w`u8A%0JI#9Wv1{ySdOrX4{m};bd$i^OL*e}4sC%)(P96lkzccs)K!=6mb!YM zWHhj|B_VpHTDAnK?OZtc8TE`y?3ne?;9|YzLCO&xEs?hCz4d$b)Op*+yY~lNPBtNc zDN-WErDd#)0V6*zWoXJufTQZUxU%}Z}VFuOst`M&Ov%}18XCCYxYfG^y>Cqjzjfc$+&lxwRpgq6Rp<1K2v=xzU7x1ZY*?`JeW+#187r`ZN4uvtEL^-vF2V<#}Yl zw_i#4DN8(f6xkKS;|4Lz>EfEaD4>v}^R4f8R`nA3>y7vPC$Xjze_|j+3Tv84jSh}U zP~kb!+-}&}0wAM#V{7{TOYxkw7xYbs0f9#YHt(gCnMS6hO%@qrVtx-YGK6BYfUFNU zO!khvzU3B4fGz);)*11;K(F0wVB(eiRV+xi!A#x$4)!im939OrKuWEx@k0P+bTS)= zW8|nY7GN1I%`Wy12=&h}$;B_T2yZDo&F|sD3c-}^vLktZ`FMwv1>W^#oe0QDB)45d zQRUQCwfONyN|YUQe>5)SMAM`O%?4x4c@JSxy@&ae&lIwAHlM%hG?`;Tig6w-QM|r| z)|5gHG#5w2u9XaD-y9dQ8hX%7#o6@(9H~r1FmGs>Kp!fYTLpoH1!C=fUd`{|yniTH z>g{@t=D}-RhUo==cWKa;Er8EtvuF<Qecaqx429b*3HsSFb{arpj1VH)A7GuZe?;v@o1a_Qkb&+Uiivz-ThCCqO0F)~^u zNus%5fC5@3W$#p zZ#nU>hWVJ@Vuh}boGA7`(9w1(`sZWLo<_hu` zd{6n0E5^50WDT}N+g>||U(D(cVL4GS`cdA-k&*}YL=IRmHA?jjd`|mrC*#*>(*yS1 zXTcf&BWIkM8-VYuzg6&G(G|_%KPbfe<}NTA%mfNtFoo%-Nz$!8e@}-p?HbFxIPsM4 z)eZ8eo`N<)g<5DUVCxi2;on-L#oDq9H|hRWslTYP>O!pbByDCXzQ-c<&+jMAn{*kl zv(s{}fUWe-!>GE1++RoNyrMOeRn^F)T?tq>PyH4b%#{6r0%AXE+`)%D-|EF-0!Cms zX+A7yxaM5wxu)t^7&pHyk~)-e_|_L0H5DX2=zzg=Y&#PW_D-034f`2XX01yLF=S+z zxvHnM{~T|T4oT{1lsVX9AIk*pxkwiS&Q4QtVMTf*RRbnHzh=RElutDe!bOrcctSk! zI1eI2Sl_+vt}C+zA;1~NcEKowyRu=99VWX& zZ7&yPy+~eMrMDB_YHmwkw|cRF^f=xe5(Co?jx$aBr|)WFs?${Ep;D>eqe&7SQ01iZ zhOTuH&z`i4JTeW;5-wj^N^}m`l;l|E_}`Zl@$>Ey+dd-~AA=$_dpTPQ-DiAlzIbx~ zZ%^<^#W55>4>EmQzPcu7*X~gGWLVsr74@b3Q6(Ih;e8*0!Q(L%iI*r{T%2w33RArH2Ta+{PuZY^*#+EX&}?GNwO6<^%c|nCVQR&^GZ8_d5RTu{oR_+ zqlm9{c$JeG`x~A*Fj;0+$Sq6)qUDq}o7qx;XN*7!nKmGG^8XxKMtPNp*Or`0>6%5o`l7E-c3~LE z#eREQSi0W>D~?*83F0U~@g9$8fg4?z8?SYgek^5O2%+PkP~?2;d1a?5`_eXs=LO&X zGQ~z6U8kMQ&FF>`oI8z1B6X#Eh$pdjpThiABmY>Y{B>0km?lt|L-^sDEb4O(Aa8Ew z8(>LJT@T&L{N*cI%Jp-QUvj~H1R>cXUB^o8n!nv=`6#iJI)La)n62hBMm`tO&_WQM zJDp?H3#^(l0X;OdV#k@uxDfun7Aito0tXP_sst18(IzE=Wol4>Qnk?8Dmgp z#AKL9Z6{$&D=nN=noG2gE>;bG2b>SolH-2*z-N)IY-5W6lr*RR1%wK;G|2JwoP!SJ zyQVbOf)l*{`OrH6Gcvje7CN{ftWG{RWxS*kJ&D&ZIOfS;vy!IVd|QQZqu1yn-wG*57k9K) zx9>^p2u5-^UJxyqBh{W@`!+xET5~?7F)h*mefd8Zl(0t(9hn&-0CSHdi2CF=z z)xJ%!TJux2U#c^KQ#xID2H>Z`Ap8rwMd6G8&l}r~z~q^6;HmRIbUaM~NWCVLl=e2A zV*KYmrdJZMh@uA~TgD>CWE!^!zs8L0)0W@@t~v+}_LDF*hX-Ytzj1$Cj>%>jNU+Yi z7W~whD+IishN2&>RE1-v4|fm6mq03Ey?oodkC!G)1jIz;__}YS_GyUQQ)^U z^aSj!+*Bz`MXbyu9;Ysq3fjsfA;lTv-%4-0TErcMLAACWq+~B{=xBu36f}M@ZwAU! zFkrREouStpd=35dt#NzEQ~~ZFtN|-9zO&|IQg{nuaYA)KM^=2k2p&0G?b>@xD0em0 zId2sCQGGl`qs>vkW=D}2J*jeVIW*TKxhjAS?g}jJfsmX$n5%CB^n;-eQ0B1(tN9 z%)-C5#w++7XY>qj6uBa#Ay2i@z?`ZN9u`XK}jyA)Q>5=%)+(uu! z+n+-=vc?&6`#DG%?SMM1j9i_(yv0yeN5`Gjnu7FufYy@5t@3dYV*3=6((^dtNQ zgW+Kbv)d$4k~7ksiArn$;_bZR7^zJ`nk{v{l>F`2c)_vGlXCy^8-zS_DUN`}^vAKD zqv-R5K@<=R2`!UtI6g)M4hzhvPp=h8r@`#aRrY?v6vd8DULH(lvu5HcG$$GD?I<8R zVk{t`RcB5gGmMmUB?H+%{~^U(0EWaTwW&DRl#DB0l6~? z=m@jyOEMF+MK^$7t4#7&g%R5OW$Nq@39M%P7HA=hU+Ux}8i zG1oy(f>MlB-9JJAGu7z)&pXGq*GpgUu?C)VgumZLN3JhIaz9y16?u$ii4qjbcN^7{ zSy@CmU~uSmB-=9k*jx`t${2?bKL6tQe0}5Ij%N(a`xs_V6dnC*eRrDiRhV4q+XM>l z4eOd%RSfDH_fI5uE9FFg|I_O5Bz#cJt0p+&)}$(`6qXuHCv> z)rJ4=C8OHiys4jpTEoQT6O^=m{Y+D(buSjBFK(}mmqp*fwV zmFiM^50%I_mirx8c3G=ud9jdBK%Hr4C+6MSU6~SPj3sS*HbFSu1*Vd=!#vyy*xSC}E*$u!lL z0-9rC!XG6Q>5T5ii!UGziQD%UP&`E%li*b2yLp2O_86mxx2rOLl_)bZn38d3mEh6* z6F*-H(i{ytFr19r@QZ}>1czr-C54_>2@cn?3i!wQbS{RI>klvIRb!pC*WyPLr`#V#Hm|euS_m2-+MR zZ2w{fqxFaV>za`8toGuRLYeYC6TF4e6y85f&;K;51vRSB5&S@@>pphfbx%1Qfnx(1 zf|R};u5>r@mZ{+^<#S4LYnF$!a123~+2Kr)oWpNI@-%hK+(f4Cd+N9lxpe#o%iJyW z-i4QYy~T2UUw_|56%wYgQdCKtZ+yx5-^INo8_5uDbC4;ITkS1YFPL$hg|C7c`L}DG zHEL6}hDoQCufmTD&j|WSx83v|n$nb2$8vb9eVX_R5*!LsqcW%QrLLmLPw6j&y2|Wr zslOI;KNUuCwoAuqO`BMk%ygfh9On1{nU{W|uFy(bUvok|}VzuLy%!RuLCxf&p=S{|fv}4x> zq;LJ%7MB?7=USD;iG<5?5GXfYCU7+bZ4N`HCG;Zr#k`K*9J|iwJe#);x~WQ~i-lF~>VF8A-=92N(dBn)=l0ZU zoR$NnOzz(l)9VDIs;mvST<%HkyJk;cvcBc+be5dx?Egx=JBi$wHod*`DwJU?vhdTS z#>XN;DqmDQM;TT935-vE43Gr+P%mbTp%tQT^fFYdPvrtYLol3vI!2%IEPt%@oqlg=aR;@6Ai$Eg$xo zC(o*z+znN$^5Z5_8|kyxNOy14OSdhF{v54s{?s@?GN^%#Tk_4D!pNa>KJuDqeH5^T>?64A(Y+b27z@K&^hUa4WPMD{xYj#e^ zlxbI`v1(l7|90ty{ZxD!IB&fDP?*)A0^OS!cSfh?-=cn0s$xbA+I-0YxGhdqBd!|! zU~6f)to=BUJaz%S5%M(81pathcw-KY5{lbPzW!!4e0FrQ9gCSY)e488DmMIlWvTgb zOgB@TfVaIOP0Tt=2@&MYFq2(-G@#a`J&W+u`|~ca`fN zVLobP2ZCOsz2U_kMxgwzHKiwaTAMK|3AR&T!qjL^IT4Lk-{fem`^7?GJ#1-C3wp4w z?1Ne#MQ3GRfRKFHLEC5#g3hlSS4>|`-Kk0OE6@8V8L`5T2_umMB~Ol5E|Y%FWgU3~ z6u8=}PQ5(|R+9%aRfeZ8_7F!7*gn;@Po9~f zqP#YDQI^sVU>j9lOdw5UcOP%vN(C!k9EQ&Juf2LPRbGkC8o=r{RitTnx^xUY{sk@3KI#B_;S zL13L6tI<_FT|dImHH>3YR^!*UC9N5!GwtM15NaKv^5^0`50kt~A!~mFwh4@cE-MPe zXjY}EBIAD){7w%=uU6H7ng=xIuu{^$$MNQnj`@&-wLxq=n(Pkvdb5OCUmOP)?>VxN z>BMi2!T$$^8Qrk+t8amVBjmJ4hY?XnTz6+Vs&I)xiIy^CZ*t~}d&9-<4+w zO9Sz*J<=c=uIW)@_ncarPIpr;*XSkQq%ke%E6n|kGqeTZm*ykUmft9;3QI`8vh zS}IGcwfgO=zAs8cnf@N{lNjMAyFR?7^Eq?eo%PcO&85ZHce058nwR+?R!F5<(ZEe zkjV5ipf02nIw@gfwl;6_shCcC)hE4wKGmRWRa$%HkCRn+WB4fT`~=@~ z6ISKDcRl;k++G0ORZ9AN8fG>c8dtKOlte=uf53Jz=k2Y^+jtlcFFI}I)Oa&m_+;+4 zoe^^WSh<|T;8m}?tDKx8E$3Ur^7oyGhwATq@UW#}9OL;{<0Dl@{)0r_W%4x3IBU-M z$062Xfw5&RF}er3SnYfj%_Gg=Qs|w`#w+!{r76*wO>RGnb+O=wCtybFT#}nOMHbf_G7EP?mbBx^`R&=c-vZ$T9 zuWTE6n)A*2m5w1&_`J=EQ;p?331pfJ()hc|;n*5?M77-ttPof8yb4nZqsQ~5e53HN zmSBl`;I*>gB}J~d@=q9f)J!QGl8XvR&$a6bm1II?86MCo$%mO^{^~Umy-yCelbjgT zC5(jeX><@GVt8SHQ(vAIN>YNGNNVsaSMg@CFGK; zxb%Ya;|c9vrl8szdbIcTZsYM6%EVMEKo3lXAVFRprSO?F`t$qDY<8;XlTWkNP1U^x zTQ#`9)X#q}&E4llxf|z7h}zB2JUj?fO}#`=mlN0(a~sdM#!k^nM7KFEe4o&3CVRAE zqkr(^gCAK^rj|y`K_J82rhQD;jH+R7K(mdUf&=zxCEgp zp5dc9QR|KkIM=g$*DQEiq$@Qfybsc8ibwz=A!PLAKN0V7eJEjo1}P2M;gz?W#|=f-rJy5Fo$=lEGML8D&LPB0R;QRkQkW9}!h}LB}OAW!)kv0WOh9>891YlE-^on7Jm50+2&G5>I0% zm|~oeoOkiC!nljw6DHG5x0$|Pa6G~(k(U5L9t};p&E>S4B%Ir8Jxx#nvl@wYkyH5% zvnu0%=yaqj^)>a#X01Cmy?t;yq~q5clahxY)KoHazOpD|_L5I;fge~ew-vGAc~fvt zY;h0vXi_4qK9+XDmd{H#cdC0vMPK8d_IF52@~t_zN}`f(pNa`@l?mN?4+5qeo5rR; zQKAit-mW)|>HR#G0p?3Whrz(g867vjwK5d9=&m&_k8N7)9zoI;6bM7kNMcB!QL;<) zv4`Z5%x52xBJ~*)H1ju?`l^jXp+^>v7at3m%J*_vInKG$2;9xBb;2npqNXMHBDlO? z^@_~>HpdEUG5oOVDyZq>=07!&VNy_yudQ{T#Tc)*-Ma-`VAee*|2lfJB#%Pdee^5g zUUwvBx$^zZ;Al+R6E``z1lYsWruRti{Y7#yL(8`2DY-?jJfQ}<$odDoV zIt*`zE;peBy*NG#PJcNV^#S^?$0auywe^|e2^oP;4F2_d;AcU@Um7bk-WGDc%Z6yz zh)9a&+UJ6aJZ{efZ?il!kI$SUj4_VKSs#wA-(TO%t-M6LJ{brA=gk9Jf_T47$xalP zN;R826NG=bSGsSsh3QfBQYKipya~}G&h}^Y9_Zc)YAsGTI?cUqhn-p6w`#s@nZXKL zq0b8@uK3mM$*Hf>@~wP=S}oyGzU_eG!NqNQxuPVYtajSuN)zi_SN!zDjt}TclMMYLHa4eyFOE_g^}lnbG@V=rIL;Ka&j)?6W2uptMYY9$_W|OvBjE8?N(PfHv2fn z;YX(zw-1x~U3ox4t-ag$#pce(8Kzg$NyNDbSGNrZ>w)Brg3oG#R(>d>ZC|9t4jRvp zDXDU_RNnJQiR1N30GPb}DgKSRNR-0_ql@ z`cGslUfv-0TIr;``0ZT+9cX_b=>F~d@*<)AfaGQ|rU>Cbxu;-E(fDzVD&(eR13XyI z`ly_-cBQzK%Wq%k>l!D1vJVi(zUr|@jNlG zKIzrDHma08A@=#WV#rs2jsKMSYD@^BCsk}%H%8z?7j!Z7hE;U8fzZOK-hf*?!MB~u>isuANG1z;biC_9BQNelPtN-XWA45hc8lI@-kWtF ze$=%;h^SSRkJFQjsG}tv{vzGEw*EJfTKe#g!KJy!1#&M;$=9OYsI629*d}%|D4y$9 zjb2XoX7&c8?@wvpJE5G=Ouz9`yTw&&<`txR9QQ2fXWtg|{uPkMQ2Mzud^FVa zX>I=n&cMwNaI8^}Lmff8-H^|A7a3jx!-c1t&96@?j`Jn_{X$g5uUQZ8Y>_ zEiNchdHpz)zvstE3g97^9@s8b0;*hG;rm(Ozj@=m`Gm;c{-x3S1VK+1fInfHT7i+3 zTY8^}zv0L&_Rbp_H40=6jvxUU2wWlV&bJU_uHMr!@7Ul}CdoEczhR95S2n|U-=~je zW1OqpVn9O0#1;+5b!c4Z2z^d0o|YnR$#x|j@o9+b8>T;LvYHI_P6pu8?!nJEhddmR zz(`8AbApJuT)7pBp@io!Lbwz_+u{Q2V?Ls>VGL>)jq;^oGel&)y!bWu3JJ}MvCwWv zDa+U2N*lY@BDK?J%FlyMr;Ptm*4rb)$Pyh7<{%iHl+F1WS*1LfC59Z@6TMZM-3L~x zf2iR>ja+?{6c5Xz^;S$)qjVs*77cCiP@X?*N6(v4ipct(LDCPxvSEZBUB)rMfI1(h zEl|Ek+E7_0$cv0{^v3(Pn_q}Ws9;W02>z*J6ROlyYS`PuTIOAR8z6f0S_uE>p;*&Z zV@JGN0_yJ<`KR>Q=y#F{$*1X1{zsthK* z+jZ3;(sRbG-0?&$xPK8w=~1Q>-biG&_4FN&K8IC)E;%P6@!FPlIUSaE1Q8Umj6wabH!nx!wJZ^s(UvL$9IWyMV5?Gj|EnGqnBmN&-TMTh`*2o$ffxVwbC12Wij zsjLp)6om(JIafY8-_rh0S@A6Ho1T<+n}+!bvJ)Dm>gfIFG*0F}M<12+PD@XLPQ!}tB+**9cyi$Rs~T*}IDxLI|5{r=PVQ|i0qF$a1XK6#!Tur;!~ z{KHzMG(O@ZtXF_-KeZiZG@git_VlzO*hb78+4B(zfJkej%9F#hvnTCDsT6)6dcVLWotT+F7FcY z?(G-Ak(0ZAkW(ev(6J|vt;yQT)i_)=-?Nb8b8dB< zaE*0B951yJf9ED|aArHX`^q5Fm1lSFyhO@qb&lpPhSF8Utj*?UzIy185teTPb-xq} z^0Ji9=4=3x6Tet+n8qUDOoMvS5M7* z6gI~-%;CCPNXxI;im^&8AmeU*GqtMvUCWK3?>3tq9q|VHsZ2d2s@z=Lfa~^jJHpP= zV6zZ#kjd`JMD9-c(bgfK|At6Ee%sl#KtxwZj;|GcF^E(s!iS z0PQ-@5EYVOctnCuvnNxaDklQD36c~~L@vfnen5=!@8q13aZ4n%Pux$0$G&)1k(uCo zYvwJPQXmf;sEX10bQ9!^DCb|I3ol&0Rr(Ja8$NH3;U4N=Pb}m?k>C065YObfk=qnr zf7K?a0ujRh0i?REB`?KJtw9z0)j{QbDrx^#y0=~f2;SB!6+-=_2kBpq%N`vrfTGF^Vs79?yw zVHpr)_~?5Wm+;&HIoO)oL@%T(@h=wjdAYYsEXF5Y5Ded2EA(XlKBgWDNW+(XzjWrH zAwP8FrwO}RWEMBwp7^Izk}q+z3ga=~DgzxLVg`d+0in;!NmHTN_|P`0xH(QYIg4&b zVh1sdLFBiSy1=a+yBE>)o5@-D#Nd-@x+9DL2*bt1tKvDNl4Qq|9Tg9Ob{;mr?5Gv( zdj@gWU=aiD8?Mrh#xgES+1Cf&4zj(*A41?KV==$xoX(8kN+iB>aJ@9G5)z2a+Uxy> zjADQIHM07%j+xvgK6O9}MfXxFny6YW*xq^jb!H}jL4dC={Ht%nXt4RV&+(Q^GG2-? zpHoSKe?K zDzm-(BmzdmVoJN0wAr3;D>M_I@fNSl1mvguoa(rerDM|E3U91((~ug$8g zNnQLCxY4CH%XCbnlPiwbulPE2i*s#&NWF=~om(^nSDkTQT4a8$ak{_LW80-BpkN-| zjxXCvV}1c?$48ocKPs2N(Jr41AQOpv&h;x+WnK*1x>IX%4xW23^C3RCHPWU2adDJx z7(Vm&3uw~w`I#rBKeD!6%8FmJPCbtx2#B0whm~2xGyQS@+nw%}zaE#d(?;V-w5Z9m zz1}r)h}uL+V%j@P4T8QAYUlM%T7I;Gt^h4X30uDFQ-`2SRSkv`57&2wnbSg+FRC=GoLSEQ1GsoRWqBB+iFbf*q`QR(6WzM<-QTgSrEL09Mhqw*qTLAB}W0 zR?@eb@ArvEG3Fcyf!*1w-@=d?iTnOW)fJ>26&6Rr%89?UAK05?#BB)fujOdvxJxUv zcr2IiQ!X+xKRZ~3z^>7xu-s`oF7iHZ#_$6o2a=aN+x-w9Va6}Ee}(p-nh=4EzN+X+ z$6S)+!s2)p3jV@Vj`tcxL&%oCq$an+i>R%Kw9daOz!+6}?P*y3`c4X&LO z?zAd72nZfQWU-4s&v&Virf_Q!4DJWUQU;k7f_)$EYEGCze{oi zJC|w*4us9gZ&Yu2+Q$Rf>Pe^8`&gcvV7km&niNFgNm((O*#33qTkjr4<`2m)Lgt1g z?>QhOel-3|TAvDj3TG~mL=Jz)qlP-IYuc|YTAvB&lNT{m4AgA(HN1tVL>v7#8S8?7 zH-tju;FH=Stk(N`?C?sJ!t=cYb2mdkX!akGZ2eo>v|f-ekp;9jMG(a_Sv7PM+-YYR zHl4H$h0BfiG|tjIvB90&{$Z~bJdK|49y#?=E++#nR{c6*x~@>4+C2gDhlRy1a7p~W zxvKHKOi?rY1npZ@5&6aA?aCNi3k0Qc zKVB^=qV(?o9oQGDPS9eUtBl`RObGL7o!c;GBYqNHoL4kJV~p-y>XKXAr)}vsP_@|c z5rkCs3GrYu^!1gU6j%UVWvw&L;9pw}oim>p&+Uiz2L`Y$DwW*xKiuM990`7r z{q(N>hUc}JKPho?)3vdU$Qvud0HzKXZxOe%mrojMuddvPIoFV6`)%pdKjYiJ9Uudp z&D~=w7x;%4$B9nPipNH~XiK7}^zk2O(5Z-J`oTY0=Q37rsqLo=EoDaV`_jwwx!|?J zM#-2y2U@a#p8b3u@BLrPg*E!4wzu6AAmw*=p7_l_hpdH((LcaplV(y`Ww4n<*JR!j zh(TsKo~!Incrjh_5YQY?`c0;Ad+6gF17_BYfT$XUYdrP0Diru(fvox=&B+JU`es{) z6vU6Y7gLeh4p$T!nv4o7;kNS{^?v+aMH?DqYkRF_8ECq!ZDW% zUX=1Z>Qksq;_dv?@2aH#KVU+g@^^)13gu9>80sp*54wP?~;hW%!yc7Zdy z`WI$g8L(<;8}oQ%jyw0Io*($^v$NNnO@QvRRfy*~cIF6?eu-FQn zh_0|j@}}^k5=eVtCGpq^*GJ^W}Ai^{KL_|aG6S)@S zs1B6>qDVW3*HTXU%iG-gEEIPEjRR7Y734j%qdln%s4Lb;L^LlFqI#EdiSwP zrb3Kj;N|nOY_+5ZD6>|qAU$l%qKG6BFI<1DCBr`0VWg;FhIsVe?_)Hlln^N`afoTV zXebZ_9w*B5beY(oN%jlmS^s4-*tdsIAhzJnh)}s(+N(a@Iz(e;9Q6q=fN-=ULhfP+*Lu zz6|vsQ-Wa>bX7<&`Z*NEEa}J1sv_I7iOwVqq(?$m3-f( zq~v}!*tR0O80(A}7(jW>RjZT>5(7%BF9tGN+L|fayjC)ZLy2FK8z%+gUs{I}TrSLM z$MFJa8dF&pz{TL}ccj7ZIwFk`2X6Gvg-V$2@bAKbpG9L4A_=3X+gpIWKc@+O`#T#sNDo1qpQn{HVy~mj+{Y@-wj(ltuFFwdJM8>h8UPIxGKyAHMZO{MtrH2j&s# z%$>i7O_f34CyalDRRU(SCZEz6?I1bEy1NIUya8!7mS1=3oIct%glg(M+uZ^DUFwIm zM7+y>9ro{racN|f-pBuCpsx_>rRr8~#wtb}g)88=`gG=}fEjhr{w7Yt)T~iSeU?WV zN&jM1c-mibToE|(+hgcU$a`KSof{Hy_Yh%?nx3Kr_}v{jetj@yik`BJ;bbm;pdK(D zoo)4ZqWtjK!2IZB8p`4vSZKp`e@>*9d`z%0F|5t>YL*SMbo4^9kd$se8X zrHA1B(x6V_q@jP9=}*(BehJgBY3Kk0{94%Y5~~YBEzPj8mH98Dj`3oUE<>9&-UVXM z^aEU@WDvqIj~QraX)xH%y~I2$RJ!JaD8BG$`>400`@BjniOO= zS@XN~E-3egmTujkb<^Y^SXbOVI?|nLuR(O{jPs7|y5HP+ zsGj2imOVD~aZAdDVN%4;F7e>?LxKO{;CkFQh!aY=yz>FuPJ(H2!#zM=Zw&iijFc4{ z_X_6IDxRtLfS}j2pDE*gLgae>2UrRzB5E)Afx_-fNhHu<39QsasuaV;nY!8%kLf%{ z@MzW=I291kR-iCyqQ}_R!F1QrC;01>klNb4Rrv7yPw|+sD8#CDJ2%3~Fpn+L+By|= z1CNZ83#|TGXky5pQ9nd4t~l;*$@_&e@P6ZX-2u(sOmy2cx+y;cK?bHfZi%Gc|MVoy zLHMS%nP{YSY*MYY8C^RsHr%C3He511O&hn3qO=%ne}oP3a(0Fxb>0BhCdVQY{s!{? zeXDN_tnyv|@UfDrM1ICLfwkg+WbJ*7CBUl!wllZx@0c%3PlV$`Z5ZT(-n+p+*Mv9g zBgzM9A0pKZP8Ga-pI8PK?~pe+w>FqqH+W;`M@IJR)�HDG8yyYASBALl)&4%X)U;zG%?52BuCdXmB3enfaf83v81%bguTW9f}F!Qb(HsF#) z9=s`((Ha0&6ch?w*047havkvm0P@!&m$HC93o6$HUOs6R8~uPM#2W2nYn5F|0YB2q zwYc=FzyI9zu@CFf@3Bykb#GTksb#YVQ3HP_biCXp9=G33mrBI$zir@cA*{|wf1uJi z^EpHFTl#w|4}O7q&h?)mT*N>mf@nx>sv-rECYeubJ&lM#Fe-P3MC#)>QTa`PyQz~h z_M78P6R>gl`g8oNjoJ}y50FLTv9K}x8=uQCa#u!oiU0JA%mKee!F%&K>R9D(QXS(p z6+EHeZ9`B4N!nM_+BY?s)$_2L`3DR=TEU{hexuJ-Ua-emN=DH}kuMQr)!ql8r9e#c z%69yAiq8-4i8g~A0VX3bONkN@g2n)DZ``q#)Q4OQ(bV!-awAz#e>DapsiF8rM6s@> z3B*~Lb5T&(JGS*8oNI%!mc}FljTJ#y3wV9XP|S_9{xwZY*5O2;KhCCS#bsc`jThYJD*vsTw{}6Qy_&}wSWxjUP zHT4997x}8TsF0!n+oynx?b6A!LngbU-%`C%zkzAfGsfJ>BB9oARAlfEZ)R;xhg^n0 ztMx|ETD!OGv)FB`)!D+SY)yoXsI~+UoimzNb^YIER0)YA>cV%3bc?#D{G;X0#bx@# zW_l0*{nw@E%q8>`{@{%jqQ!>El+o|VE~l#{m-99@J+4}VnYAT=NV%2&@I*>lwvOsF z`1u~I)&!Tmk!s3lgoP@zuYJNetAup-vHYdaXvrf9;Ikk(2rpUm?`85^xV3hWdJuN? z#`@nZb@H_}!jI4j||_ z@T6{BSW7Ps{GfcY@;v^h#OBURot486D~OLw_^rh|Ng1Z{{@!qII226Mo|@Wg&^u4K z4OsqOKr+AGAnry>MI(%TCBMw@{m5x3vs=k3Am2asnWSeT0T}g(^IsqMm{evYJ%Z*< zZl^1COUEM8S6?iL!cXjT@e3xvQ0eZ2F4{pj${2+`xLBxewNeXPGLH&&n!88|e-oi8J;tlYVzdFm$nd62Mw=K+G|IB(& zISO{Q66-Hkm#u;BJTpd(plo+G%lz*XYu#QNDZ^M=PNB3jw65Aat+gDo@jw|L5@xLB zB{aUZh3Wja_f5!i?qtP#ddF)FNB*lX^HTJ-!ZtZkhdD zdnk)lc4q%pDqiika$slKlMCKVqIh{KX-!-AE$xy}SKtpWaGb}gU;ghcV@&VWg zs%+5Zg;QUEu_E^ZCeY_M5seB^OlaXLD^vQ6L3vJalqfqnin(I)VaK-1F!E(f*-_$2 zqb0YhBlx8Ui-cQ3?j)0O3~ul14JQO^iBBTr_Cu#ZD* z-1@D@6xpgZ`uE4qme1ifvQMEyWLB$UYyET0-8%|MFM6gvUo<+!>Dny;sI5Yfe7B=y z!!4syHLV8A%C#}7`A6J5Y_OP>YZW`a*TNwYrMgXX$eW7F+KWFgKh>b z)I1KZRvb)i)VCxXPL(-uO24Ac2zR?O`Px}H)8F*sP58HEweD@CnXl#wi=0Evx{L|= z`|C_!a`-sLFK)Bx!uah^ZiJFEwpXGFM=#Ud?lBaH{77v z*Nq6=oRsTRdq#KQw}&6&lQC)$CiwrV`jy*fg4$Q#A;_X*so1^jDHoB@at#-2>SY-}of8xKXHA}Dj5=&gWZ11?2%xuH33 z9}#GMOn$RJ_z!Daj1#p}k4X2&<`1rZ;Kl9hd*|Om+tj~j-?Ywa-%iDDG7@$R(q-xS z{9QTMqLt~16TrF_xx?;2f3S7Xw!%?FIpVQ59x5sC$!~96r^{|V!>7-j5T#lOFFhrE z-~&ew9k;hHZoH^I4y?nhw&u5lK2Fj{Be2)E(9myXXqe#CRQ)}-4=(i`x+&EEwpKl% zO2|IKII!Q=F*jkVGW6YAA?>lV(NDqGQFYU@O|_lkdY6R8LmlJHz9-{5-^lbIqnqoq zy7~o$Df?!|4Xxb+$@@zG>)0k~9{Db+ONcaq*wkk0Z3yA;~ zjsIIZ$`;y6WVR5*+yZKZ=he_1UAyJ27VvV&+Zyv^72Qflnr&|er(l0H;=b}v#2CLF z>S)~Y0N(`nm7{jmbS(xZRXy-&j*j5%UwyT#NxIE6h;?3E*r=dn%7dsI74wuk3%#;K zzQ(=mI$R%8x69^lubOU`ph@GAf?D71z$xjQ0NU^xc+oI69?UgtkU$@?T7S z?*eJRtjqF>wl0Q%1Q{AvUxPZSLF}IWa)VZRj(J(m@7xtpN zWmL2Q{v9W_^TkSP4ZYW(YoWIzddE{lf)6Tt@p@%CXJvhJC(o}~a$;qef0d83CJxT+ zTXY$Z(20}eKiAAr(DOo58}dRWl6Fri@}x5?H6*tkE^Vi758Og9R$Pr6Z3N9%Pu1H&v`G&$?M_UjQHSsq{-=JsP_V+MMSf*&01Q zFhs|G?En6(wQ0IRD6BDF&hZawN%RW!v7{!cG=#h~yl1>}iTmqi=(9uL-+wn$fXv03<_Zr;NAqoJ_IexhGiBuc#*uX}i7dfox%9Qj?E@J&{p z(@N!(+~=EJfFGpPnwe!fW)Ti#5Kb^yw5(NAJdFY#0iu=?@$XTgg`ffuN{Ug`Domw~=64G}d z(Y8t%q8&IUPcq43EcE$QT;malfp zCb#)JPgimoiVn1bfd;5G-l!`m@ApamfXry+UicC_UFVy>Q$Sm!<8tTq$`Sw*lAg;5 z6Et7V&e>m?EQ$3@KPNrU^uv)XF*xxOdUr@+{qmjTZ;GiU^)|>dm3pdVJbg3DGfkXy z;Bnk=h}wAl%yga_&UwtP9d2xb{{nsj+v&RPYHaj z3j8)$?yKbZN1?_4wv(?sD!&C$KiyEPZ-uoB=tFeQi=0h*j;P+aBxts-x4J)3M)YgF z&m7zS`_?YIAFj#RN@XiGQZqzW?;8^786pvO4uQIc&S75KI*(d5w%;r$ zkA7Bz#cyP*VVCOEx~|!W%|gB^I)_0W9(}iwE!v1P-W_bTffc0>i$InUoSAs_2M zCjdV2IX>qfKz4n&cdA#mmX(g;tYDHTg?J3OYS`M37qJ^{F1ZaxSUhw7Eycg}lckJK zz7%_|!6M3~>VDBLOuw7q1)$(^hiWt|06NTVv4@@(A3+YlgPPZkmd$E}j_>{TNH_f% z5rOsAI`bZU2a=oROh7H-f8W%W67SuOw*D~&M8n2%{p*yPixjTBPfzL#=85dtBNT@2 zjk;&~T-xRcV)9d6#V6qw@nPX%4ZY|u_dE_?uL&D(Z5p=>)~_)9apSpf_|mnNVzJjJ zWfI7yN7`;&doG#KGVx~X+{c3naS$2J(>ISibWgmLwsO{epSJPVYQH|IAAZUFZC&ji zmz6Kf#e+BpU|#wsNlAib;-ppfn!A)y*y($XXwx$$di^5w>e-@Km{SHG=QW0<-leZE z#<;ki0n`2Z;+8Hdn;$e$A^Z!-I{og?g($o4rK+;t9>GVoC=a3-;7pDB0v%a2Q%}Xh zC@;S*y{N+N#-1-z;e2VbgFWb^p^rIa2|6+5A5~!fxYKf-f_A$1w>PQ0%{Sf4Ej8e< zt?t%C%YPSZ(WK`Z*8f%7qplW&+37xo4F1_8qWPmtt8sO&=JAoxK=P=GE;c;rppWVK zpfmF^PjN5B>P6PJ%Hmy~UzZ8ljFC7o?tHqccJ!|K*B9;AygDyP7iGOz`L=gH^R(LZ zk#kd>K6_UnL9@Cs-(+Lcuj$v)UplnZ1g|RK91{kQ|4eP_4RRX)dF6XGcHKu=ZOP-Y zzq=nqu6|$l)X=qxND3`?d$m4OQ*KKvA%*hp(A#T`Y@*iB{C`Hw8eKfEeuv^7|TTrpg5xPRIC5rI@?T;a8PU;5|+puvc;g zh_YevFs(R{`PD11!dvPPN2BX>`>zUVPs#ovEzjXU@-01s% za3OPLpDy;hgRyAY(-Ky@&w;T$dz2q$`KtVq6sg-MbH*qG-t>zmf#F)0Ch9QQj*YErO z7w`J6yViYvIP2_n&a?NqXP;-EOZ4Q)lM1}2|K4u@9?5^GW8vy%6rLdYb6H z_-E$HlY@i*3r{$({vVQ`{6F-5dK!S;OfNI%S*JKIqOi<>r+^hv@Lek*nE5@eyxMaa zdc)Uh(nKasJHzFeP7`_vC1c~HgkWzo^^r9hMxFXwzeJ5 z4jpKDcs%YVs!F8gGe?3OP`?ax{C{PcJ@+h+mg>WP=m2l{JyZn!^lAveHVDa}_k?^; z|MU9~bN`>MimL~G;L1A!u||%QmJ9H!`Nqz%3j^boeFvS5SA>XN)V2A^#g)%*UMd>F zjr#|G18SN)8-tS*@T;aOwiT|T{kIOC(#P!am*j+!hU!l&0?H4T_MTfoZ48!b@Rt;pt5CjwosRXg#JTwSchg}s5Ky7EdDwBs3O z<;r6xfZ2hu$f3e%t)|N*qvx@4YZ<^Allym}YrY{PIwXwGdxfA7V2bt3N;urlK(;Nq zh_^ZSnpZ-&zettBSlj#h5xKZymfHSS1Ym>0M2JLBJ_f!eniP0`{%2vFDrD;mQ!e;~>w##uIE zF=l#wx9@GTwukw_i3ZglU_8^9;BQl311l6mW=z|v4Hrig>1vYx3D&h&C&fefq2)oYNO2VUo%gW)B~>2 z(dXM4Yg-urL_5ACZ`=kG(`yWKIOL%nG&`jFFFYaC{{c^dUa}C~YjsjZYEknTE2Uk;`9+2x(Im)K=TFoB2@{y*MEi}{SdoI%D}`4WY7IQysi{_0KT#> zz+GRC$^K{JP5@BYu1Vo)kFB@?o(PEm(wQRt9*K`P_$aP9kzf-1y}ba9d5h|6-vHHO zsP7{gVLx~bC=NxBvv(U%BHp%N$@w_1=#)LwWF_D140whVNqFnN6|BM625A0CJqUkT zKmAdqV6+qd@T&(GesvSv1%u3pnvbAWMFWo?iD@=D0+NovqWpnFWe{)-7%gmEC+R;C z)VWn8F&IL+4O+U=Wg@)l*xhtPW6gkU>0%w$p{#&+nEVL|ouA9Vd+cqtk*aJOi&_nt ziOnUI080O~4!OLk%^~of@7)KWY71JVqw8>Cz-t}E{n+qLA#m{D{5yx?t#k1p<3Zrg z$cUUb+wK5j!esaAa)%ClB}r5INQu9v|2v2^DSRil;pR8f;=EBf`0R;OS1SCO$MF>c zsa1#(;eBv~cf;%+Sd6m)Z!z;KfvAV9`y!;p)sJJnQ7ArOYfSg0T0zw!1t@=@a~^`^ z41$nu?>so3Ni|?^p}h4AH<13b2Lrqh`av@{H~Dq zT3LH}$WGD0#64Fc(|uLf;LepFrZi!r2fDvo@A+G)?fPQivq+SLF8p=*g=wcBA(f)->ffrTznBR$z#}iMqT0f+T5J6~x zDpvwXNDLpsuUf|e%zz!d481R06HL9PVVB>G1wdOa{-?4}ERfy^wLl=|JE%v7B!3U= z24C8MfD*G8ur2O)=&n9y4#^>9LmrTD_j5w~d$fiu7XaJ}A28XyIeBIQyGEYg7*Mny zz5XMO@&22|}XnAC_BU)f4dcm??HB2%w~B<{G=T>W-o)_{-#&eiSS6DJ+A0m_SIFB;|*OMWC7c!npfi5JwtWw zDDiCcLdO-pw0`FQ>oINeL^-gX5&$RX->;#z1$6_r`c-FAm%?vkVlQ-AVWqUv~)r3wPky*k*VC`E>} zNbqYR*LLrb+CxsVGUw-!3Fpnd%xdkQax ze~tL>p${@74e(a{2yt6X1z17(&n?SmFdTrd;kVJb!$MSdX=)(Y4&mPIBWGeN?^g0ph3yHdAC&iFnounhtxfE95-Ah3A4sf!Xs_ADA3 zgz8&%h)IFDB2>O~viBTJTwskrmmt?GdY>rD9^GPM!mc~Fkv+S&4~*{OJm2zl`v_O` zTpxF<&XECssPc1Xq+pq;f1rnSXR&tlF$;#hv5d@qL^ke{oxYu&tDx#cdE35 zvm8C_^Bg1pLUq!4?w24{nhpd;t!^~y(9;Z&9$8m`6MXDdVUWE76)iVz- zOc!$K7nj;f3HnqvjYf`?w~z9&Rklx0TNb=hLr@~Um6*$%8r5DZg^C8)j8lvoUj4bCJV3#&x3L_R2qs1ku`ZJrEHdv_m1DvIo(C7F zvmeo^w~$^9>i5IT3z`^KbT%is+4E1gww@jNFN0_KN=6< zpa?p8GOt;dNzTbdev{m8Gg7fJPvi*sjb{I^3d zUF>@DM%I~#6{xe&oU3A^TvzGT#&*pOE-jwX&CxuL(st%@>d_#aU_K85MNbMbZ}{3M`3s-K(ltZ9#H17J!K#K_Lr zq1Iz@hAq!!&FKLB;Hpoe?VH6h!*(?}4clAYhVz(KX!$(ZL6i|$3%W=W9(t+EIH+kl zv6K#$*hH>>E-l90IPGS^c^TVAjp&TYto>c(3(aC|^k+ho_vuXre9KIb>a)^&eTtK> zb#U=zy7Zx_nVk%?$`me6XZ4V=oP)H!iE4Bp_arjE*#< z)5IS6hX#9gNt68vcDC2sTOWT#>e7O5v9KZ;SO@1^-!cVtWG}e_njW2FN5mXS?=Pe7y zSQN!WR#^)@9FH&052#OuPHdjOk3I|KX;B?yH*qDBoVGDL_yu>OL7CDu5b zjPp3Su)6!{*=pZ#;+QDu63%1KNauroi7a(5=I@_0 z^%TgqeTYQs_2W{EbUPLBzG_YLy$!Cv?s3G@6G*xFF#+S^r?$e2ZcU{7 z72_ekSk)^Dr&=j?uZ!!Vr(xXuMWL(!R>^WQ%*jAP&mZYF zJW+t6XK?grZCi7VbW5ao{kUT1Y5TjEEA2GJJwD@Q0d3#$m|}{IT#EP)QpZ5vGag#YxnPdgSfAy%E3Ikx z%wuor_zon+L%*(`z5v5|*Ih&(akR=l&T+u;!~9A4*$;>6=D8Bo*J zzfi?;P(zTz^QU>j|CjP5(cVg*`Xc)bi|OJ%s8?6FRsNdylC+OPQeCL*8@nWc@lc$Y z<0*9x3)M-#@wGiNZ56vkeWWR4^*-(uiPPst)8y6TRM8d;YfG9u4cJ*o+UNLy8c(J< zIZQ0ouYMO@w>)AwV~y*kaPBhMoVo&Y&Ea*{jyC-GFBU)@$bc`t`el+_Hws(}bBB9t z??8G@y#VGF=P@%Z~pTF(25U)^;eI zCo1e_3;Vcqei%ZLq{$B5Y`+{rjl63CRKz2GE1gG>W8>k=pED&cQoDlvgknMlW(QHy zF{@S$y0>s0sBT>RxJW>avV9k z@Ku15^iicSHMxxi6F(ZZTR;OOIrJW{olbMXFocaL-?X-_^T;Y+t**_?uO7N5l~Jdc3J!>+hOQt9-3h zQrG!NS(Ifj4ZXsBxx4Jmv$%|@hgSy;77J&mj)uJYg{kN-1;|!vI>dj?q6iHq2}<^2 zmqW2>N>iE%e*mFeui`xYW&Yql^1ITXT zE_5>^^bm!(N=9&w6O7Bd{76N`OFmWq7stdM^V$yE*`L!hlVeyhq?l8tiqIOB#3y)I zBc2F-!={Q}W@&@45vv=*B$wd0xH#YDVt!5a-lK#kO4FFf=i;i@)#nQ*Z`UsxuW&SaZ2f`FHg#`(s}ViE%yFKg4Pwa*FeB<1q?m}( zNLf{>U~C#XZhB7S`Ps|ycn@8(8;DKz3Fis`nou~e;Lfk9v>mtfTGrXiJ32~d*7i-a z`r<1h`oRt!G6Y2WQmE&q7Uib`hWW~1*G?3ztgZ*@G?kI7q;pUOr;JzfPF#0NPJG&j zXYxm9f8TXveamaooi!?GDzJrlBI00ol7&JvzQ#9brpamL04f!oT%qxWU|xHJye8o6 zVT_PNt=7@m&vzYby!0IbzkfCK9raGFsFV8oN&6zQuXTaK|1o<|MD3k1@I%U`M??gC zTWr4g!gGsNk?oFFUww=YL|A^mM9d!UExzmcrj?hRE@;)%NSJ`{lJ^(i zr7A1mmK<6rQp&fbRF(@=HZ^i!3%kDkaJ0wvab_fp)VJkdOXI(mim9j=-MkO+6D!vb z+#7MQTGabn+UjBDfIyLINv|IAdo~)CHPeA;Kqcek#GX`_WLxrQW%XFl>qH^G44NUOen=a{! z8xqP39hwBAyTdf2(}-XG5&0tIQxvHXr)ld&rI$i8eru0Y6Lv6k{&E>iA_}Gw zJc1PA;PP5m#HoL3*qtwM6UR*tg6K~YZ)~U*Paz@%;1`cLqoBKSa2GtUqlaMuufozN z4&hNCf$7kT$L3U{(KGbfyr@pPC#Sr)>pB_M!(!6)^)=ZPjUU=>!ZE(b__pjPb?6RO zre-p=1m^gmORpbQo#6=utk6+`Unh0X79z5h2fv<_i^F&oGk%n=&yIB1MnG-|V&!;r zgG;*gIjf{zxS+e)dYv&3{HxD+=~=e^SlSN+p0wVzC$zCb{X<9Ec7Z@}eCU>B#HR_% ztFP5;(2@cKaB5c$<~v*(x?kpGC{;hV&d0zS!}3hzU@uRjLq{F- zu%3vITjAE4>PgZ#h?)Xs!c7)C8r^qb(St|KTbphUz{G)?$g421gdZP^J}G$z{wpZH zESj(TQ50>W+(2*eJ;9shu%W~Y1rm}!|Ft%=vXw9n;*c+PdLSFdg|yQH3zFIm zLIawC6z4j*`QcYj`rNZ)H7d!$I&&T?W2!tx!G7YFK^U#e(a-)xr4v_0#cRSJZnqkT zZsM`}7X~BLueJUS$WHdNMSF@T6IMa$AO1l8D_#?5fy_)`Q^it^eY=XsuVuZ%__pp? zzTrIfft#*3|LxK!R~Dil5<9bM{iXC8bEQ>$#DAM*rgS-yux(%|oR0=$6oXLq&LcZ{ z&P8*Ebdl9D=g;#1aUr#UtrJ`kQP*2gr&d_U4+Mi#L~YOA!CbQ%lRZ)S;XAHk6u*G$df%-}V~xVfCFAVG z&MI-C4rYUOF;fy}9LH&`vU!hf}Nis}r>_@OwlnKQOiBzRqxB5~|y=YKb*z zmJ`1#V$_*E9P$&^=T8Xr4gx~t%PUuOBt5>rURU(7IQ3RaV%mBrfhnlWKVcdP;(Kl~ zOZg$TTX;gy_x{`(Lae{A%w$<<0K7K2sOQX+KF2z#(iZ&?`(1FghRT97?>pIhcf%uD z7LnIG>3y0C0!P5qq2hl9c{c@^4y6FuuTMX1gjpzF?EI(^xa>#gYVfi*o-t}FWTYTt z-uR_w!aJ!Ep7S;CdHkTzzuc4iMCGvG$j*kE@jxORbgR08)YQW;|5noQcka$`+Qf@G zY4K|Nfsnm8bK&l>=nZ2Y#kBwO^t1_hlsqyiG8Y`rGni!XB;uB82;3^?cooWTt^}2z z51$F^DTv5$X{y+C|1n`s(#SpzQ?eNnD3TuPz(RF4q=|Qq*>T?(39|G3aAO; zn)tOKHS|5z32pjJY5QbvXjO$S(Y`cd;5s2 z=pPPk>eY>oNeK&udR-Jerr7e*L3a90 zVsuu3>)uZ*1u8m~XOd)h123r%bw*Z_TMAnf2e8@etKS)p1$AP}nHECP0+vr5H62hu z_()y&t)#@KmJjG6=t8_q&fD>;9_?w8Uk$}q6@K<~{4KxnGYgv}oAwb7MmAPbIDYpS z$IL>J=%emNBS23<;u&osuhA+Oo|F^6G6{$R8&5{9i05gwk8!N>D81408U)Wp;mEI@(N-fna`x zt6?7FHS=ARP@G8bBLlbKY0|XP_&8Qe?PdK9%`que=!IkpBhg+%z;fuB|Jm!4;aZa0 zT027bI|km@b+}EI)OC>#OM<_r$3z!o+y1^&-;a=Ik=Dsw6;y~ONeo}<8kG9&$Xj5l zVi{@Yfr$ffTasFBDa2Urd?F4-MDwD8;AnDAPZF>TKUu2jv3)%+%TG6sbAx!6&YHeq zsf19fcTC}yq5>+-)Rj{&zlLPf5Hq;mI641-5jQ(!TK|~3*5jAqjG^l$D4aD|U?y5= z*zB#8h?^z@1WtQF2Y<^!qUPLpBv%Q3##aX3WfjLX@F`>uJF6=!l$ngY1u)L^<|O%# z)r;}4Fm&ikY&N73a@TG9|Hdt_ciMT-O(#Cc#J5U11Kir`77$_jgw+_yy+SBswO}^@ zd6q!Wab|j^C$F&W*vAK6?I#}8*rIB$UsQ^bxXBOm6ho-$8=sAe(?`2@F*Pd`EQx_r zGg$le7WLu3($h)!eMor)UwT*N5M0`GHXxy}OUdqB#S7_g> zy2K56Mc&*Novj#vo2|csc<&hJe`*eY?XuiDVjUn@{3(}6h>!&+xMj{9IbiW|}9sG=V3Mf{0wX3BD^GLj}LFupdN6JE0S0W>HOmaN|RCy*cDSvzYi z_O6TK*xukz3*}?k_E1n6a6v~Lq&X;2RhDI?d%>cZNaJEcoRWgNMw9iee37_upRN{k zjIiS_FgQO2zdU{S<9{~DD2>*6h!uObfUj*xd^q>ocLmdkFVPG}sz2_ITIx0j+;sWt zJAJ<>t^3xC=ULn8J5g1nKGwHG$TC&e6=_Fbu09?@gGpIM0=1gfI}-gcLYoC_e*)Yr zuze@IzQGc)5FpJuoon5n7+N1tEJ$wC3bsmz{C@TCT8u9(dWiudL%5~ae~gX~-^)4( zLK_@9?Qk516-(vgbkDQ}zy=}ReQ93AIt;NRo@z~J`#49G@1d`8eg8XPz6Aee{YsSK zOjyV(J}w}mq8e@i=1f~4%I>q1nA5^|?T{?I?&|sPcr+SaDw~-apKK*9`$6a~@O6}C z5Vl9ePTtvqLz~O>*WKON2S{a6$8(hj%apWFF?DXoXVoO%Wq@CjeK7R@1br{GLJQXd zygV*x9Zp>POY#CVycE3-F1(cU5i%8~aXHCz($>jI+SAbrC*1tQZj{)Q75<*K8T2Wg zz5&62!|)sDWFmj?!OSJI*Zf~PF=Qq)W-OFZ*ub^g^%ieuQ^NU=(sX2q2x-mp>!e&( ziPx`VzpR;OTE}WuJ4jh1aeR{%*|13RF`1cpkK_80nedD4qb2oy3$@Y_5Fk5-*%0#c-^%g<YbXRo;?`(65Z zac!1ci|@zt7&sV+lz))TG75IBYbE<#6io83-0Ph8242b7q)T|vEZ<{ZI+>9MUT7AY zuGBgi9u*!{(8X{?yy3Z0n%z#+dJy|WKP=1xDBbySwkE7EPOGP79i5Om(+>!CUvtk3 zeG=Sv6^=>DK)*=a!VeyZEV`_f-Cy;+?-CvP`_&VY5mSE8SVq>=<7)S8Vf#~Ww-1Y1 zt%u5(7zW;>xg0NHT3`A0!pn@Nki3cseb|wbq0bc)iW&BEQ_eL2xDYhD&uG1qx$`Q% z^lW&`w7aXHxtIB)Ia4z8jXlWvr^D(7<-0A9J^9Q+&>!JEEc-r7#s+YRg!nz(o9yaP zS&D6TJ80%BW}i&ZQ&>rbp#p!OFQQ=m7PH2mob7z*i3*xMwxR#!n6#Pl_ZjuTc7@Y5 zK6ybXEI@jslXqh*y6E~z*v?qL@ILxS5OIZx9oaXQ*UCRg_KS4!fN(ZDW7RVe z5avr79eb(gG~|^FAD1;T@Uky?1e<^THjlt)(v}bH43J|N35-7EAvhh2ZDAO5(ztj8 zC6u%hguBs@_$4f8ZX+FqSqij88J2{5U{)_?Dc<6Mbk7GpY6aZ?qj)?$dU^1UBuLO< z4f`+RReY{#vT=XocoP{A*J)3a0onxTH*pzb`)VyX+XHO@1TquSgx{@733EXdtn6mF zU7b#We8)p9Rl7!9f=fVXf+Dg_;p^SRRUOJOGE74jFlU;Pu7qe?6hkF%H6i?Q{-vu% zh9Gy^FQk~edTCNjTLi|RUM(9J-;L}db=QsACmJV(zv9Yj9pF25yn z6?6fuui5db!4x@Hyxe}0Fdil6$*Sz!rILiKi=m1kOZOTT!hK&W+v1s&@YnwRl?tNu zfaeEPh6mK9#(T5hdJ-U!Sz@^;Anp!VPir(zxPxj zo4Vzzl!M^{gBU?-_%})sVc~x{j9)&B0`Hs596{uw{59wDlI-Epq)H6c@UvvADNdZI zsbgTvqhc=B*C&+@TE@)X)Wx1gbW$>kPK1%QAMq3j`8%Guy|#bIJx%c2Jl)>6NRY`j zZa>TyAe8tE4=Zw_vA<}k8GKP{&DBU4Zg|*rM6d;O=EDhwri%{G-I*DWG=fB^2_^e1^da+G!ip;&|EwGQYUG9**Yp!oJY6TUd1jK(84H3 z%_YcK7?v8tJ4&^;Wvx$A&;6FrJ}0aN1oclNO8}A8pXXoif!>o;_-{UfH4v1xyCV3N zj`tL&!hg+x?fc`V!{WOc-Nt*S&84`bSt6$*(F3!{yJ1rg&kmvEEyJ4BmEMwXnreq5s)(#55ZQqx?@iw+x+YN2Mp#&rVbH2oN(gmd3*|;{nA4cw!wToZYH; z9n?6#8w_DLEWS5P+a{Pg5>yLxSr`!nBY0?(Y&-<+ORHwuJbQNz0!;zUCv*Nv#P15YS-#p z;OV4_tLyb=@UJzb{8+EB-0>hY$SCXe-Y+!_%hd*x3X7o^L(?c><~(2rQnUzEbP1t@ ze*xHa_3A4{PC4OLxL|Nb_{-@6*82qCICxrpJjk*8C2@;g6w&^fyuxj@#TI7eL{T{n z$ud7cs?wr9G9$%x5tBaed~#wR*IKggca4?P#W|sXe!tuBhT`n?f{UjNvxa$?dZ!y4Qzmt9`oYR>iq)NLDCA2_?0t?+K+ z53c&J4gQHozugEtVRY*JoL8f2iDzbqG`s}T^iMrM z&pO)f8|;@G=}ojvhWR9=uv`v3mxyALJZ^o>Ze9=D^e*9=o>*PfbDwX2@5N{%OEbBF zO2fPaY8J4CnM3K{wRa~=K?yfjDc)a^K8mlwdp~E}&`<-FMHbJd=&n;gJoXxi^1nX~ z_GWaCj7)GxZ?6||K*sXUrnVLvJjHB@v+KQjw-tln9M_auCmCKxWD{+GQs=MJp%a5& zXF6T?zB}a+C>2?i&EK3bB1^^HXN^}TfiTcOgIIWEb&%ayx-hmlO*d)ZyP68Vo-s&& zZ}soxk@452QJ0~#zlnZ*r)-dq9glB3v!$G}CwL{*!XxEa+<-|8-9Wqu_~G(lgGl;b zIoa6R@Peu4L_vL>U+0p>!*%E|E~bafv0TmY^xkWgAuOvpRs47I$R-QEj84rj+DliN zFInpdT7cKuF_1K?J2m=_|pDcCQ10`=#FqM|7+gUe4doF0baV3KIMmu<(pC0kkf5wc?;vI z8BJqh{{yKE#P0Qing0jJYZ(VqV$L#QJP{)re`-U#u(T}kfoI6G|C|a7_H`^dGAiQx zeQN2a^xFO4ozLE<_~VOx32dl)B>w5NkmtV&H98Z!d=hqDakM zLvG+BVY6cKB>LCepIZTVX$~cJw?4{m9&SisbJ3JMk zS8o8ALhp%&Yjx)sr*r1xlK3THebX!!6h?nRSttuX^R)Erxn*c8ZU4xcs2) zI#;xyKi#Aa=2h!wkbxlgkTYeFH-WN00EX~FQmrsuLwfrQ+AZTv$dsVPEbn`DG7sbh zf$H8`j(0!DwW_M2b}Q5A{`G9d+HZ|Q=zy*G-0sA!`6EObB^OdSfGHOD5P4F2X@_4o zj26!(MXHAb>{J=2`4`qFn<3C-_+smH(KC;*WGkc zITz@;l$45yQN&!?zR-`-+V8unou2L8eLnvDShWw6lvp)+=t1K@V`j^`l*EHQX|2gi zsQtpen`c`8oNrq9pLjPy|4))Xa6WPeGxta&gsy{d=tT_5M5AfnN<8-g0rdv!xLQP2 zrV{vki<8l7>0@FCWTIc zIfz?ZhzZVr8$t0yI@tR&tFhM;ui%w(l;)pnLx*NT_{$ysBf~SE^Q$RrCCvks;AVOA zR}B|AL=kKybXjOeEGj;9?@Rdw^#dU7$3o zJNj{1GpW(`2UyXA+x>b%y_V#pdS!q^;A#D;lV78T$8(m4@&CU1VGA`u@gK%! z$}wgtGo6^P+ZcD7bm6N1F!^H}PLtzq$;p!u4)wd))=U%Pj2I+p+$XYW_iPiK@>TVr zgJB8c&|@(~VxJd57M8v~pg&Fj7@|}7(tL-0B|mwd&%$SX`CY=KZAJ#m6h_)69mUnp z?)BU?DTcEb`gD1z9~C~?$s~(A8Iis#92l~^C_&I}Mw~sh$~>W8mbAruOD-Q|lOET^TR7*WjLCarX-o@#SYLv@S%e>ByPl<>oM&S_~IrfG0h2h5bX zF|M#|To?WHz%qBj8w+#154g3UWtg0WP1K=Tu$Rh5Lm)^>WgfqgX*qW_2 z?{;`_1CslANWeo+ZWuxBf^8ogWon%5PugX@+xs_W=8QZY$dtEu#sIDzmr=}3pCk~L zEOHGU$%-P6iw|uXVyZA#&mNE%kXaTq3N(9i>`0kK+2Z^PYaKolu{gNEzCX zr(2~Us-E%ez|JX;+M|fsJ2tw^yd}S`0(8lFTg2Tgxbb6lUYZ?*PFhfvsgrspqDx_7 znlY%;tcez+9jgDv>uh#f3^K`V(BC=~Qq0ehCX}QD z8O?#qVQ^hxiD)Z zKNff%GhL`soDtw{2bIzW_-4k;WXNNDX!umc)F0iZDBO~KD6a?#Un^_7SCSH~aw=bK zYNUo2#xsHnJ^N=RCU?=-`4fKvG^sAwlxs0q2_(il$8C&b8$BM!s$uGUZ*l(!I#a3j zX-Damj*FbKJ#8suBnJ5nYYuG;M~1GvUSMP0PbCB8tKzL5n`I*x{j?BMMwTTu-{^N! zWK%D1Bfsb=`aIz3zo~vv`Pnn`BRb<1^Z2y5y?zFE2+JCK)}Ie!Rx=$m&db&>%+P|x zro<^8OX~BcZZ#gFc(}fwDh}lg(-<97@_j~Q6B+M*wCew!F@e1%ybQkxPfWd(^YZMo zQeO?5WuFUdLu* zkz4?_MFOV*apH!WQbJ-ax@qW5OVQW6ET!yYvszN{kEi>O)5`x!G;jALs|Pp+N<4CK zV%0ON6gHl2V8xuFf>;uFW#LN6^j;nclCCafhgW^WoS-#&xKWa+->oGL`ak;IkNSP$ zF-}ylHTny)wXZhz%z|C-#-2rX_7vcx?-NO{_FM0C%&9%O3Qe~2@BUN7F8cN}L>Yok4vIEv1-CVO+xqTuSP2K5wk8ZVL%|Z^tucHA6`aOGwNz>WPDs|kQ#w)zI1E2ZB z!|RvhtngjCHYg0;|D@p$&89et%4c#iQdukmDpx~ZcswwoFCnz&;lHCMv3-<`dl*M6 z)vE#6PhuPvIZPUYlpm~QdX@9k$FuXzs7Axo@dC_5g!-hKyJKqY*a%*7>*Spl*&&h6 zOZ4D}wxm6)#)x!uW!mi;cVFt_E0m*8gQS+TM# z9Y_%ST&@lL#Yd-FsC7Rfa%7(Je9UUpZVA*(4>w3MwQ;uIgK);m+7djL(_4@ktL!X6 zO`Q~EJvRU_=bk@&1kIDTB%t>WEwf>eB-V@-}Z^#tm zz*C@2B7kxU`qea1W)AapDHLVwE{Nc&#hwf`W;Wjw{HU8ZI#P+*V8C3&3phuT>>P2Y zUg^oLA99gdwJ8R}Brf=h35snEsRsgW+Tip(WA{bS8Vt!aj{WQ6PM7MW8^f!LL5QxZgXLD-XpOij^3lG z&pVD6XQ5Ti(GmWi(;ZUKl`pmQeHDg)1m6^_q}5*o1^s)zMPX|13|~ekSnZ~fM5Nkw zO26%lpgzSq-{3-J2ywr;n_LXwa>wpaPjN1~+0B%2uyFGJpfb`{57d3JxUt^;_pTkd z0tsiUt55)9lKyRAdYVmI@*l7OD0aNZoz(-(QQSq1o*1NEUs zDyZR=nBv0`)ZjC;X&3|uK{xeZZLIk}5FJ4Mqn=LwG~6{d=Y8~?2(qBv2I;3AzMTAP zt7(F1Gh{662xNRzvm4D%6~iQtZBu=@iFM9~8CyX3X0r#HEdHF-DM@Fu#O<|S2#O8pv*3tW7DFpr;zk8{(U!q$KO3t^Nl`ieLXfMTN3ZySrVW*4I4||vS zr(hdtdSfx=u-gB{BH0hcOXAy*S?7ZYcPgrUYN}R@nK~$W}H|K zXu|GL&h0HxX)+Dj<7Gg>R*6%8QLuhB*_{VczOY%4YcWWy&TI&7KB^%xvh@7j*?j## zDa!FE6?x--__=Sj!FmH{;7-=L)}_KMkY|(U@kX~7xzY_vv;_Pkkif??-u?VrW?4i2 zO}%Y@+3dgN#)P*{vJkHPkmYKbtr-mhZ`=dLhlnme@|pB^G(H^6yjcakGl->_q;Mcv z@gXy<1C*nl$ABxi{Iz5hpu1JWaLT!83ggO%S>-L}-830dFrr%SuS#{(hkX&0!V$(l zreu^KNmFz5i?e)=w2-DEVY~3(>s<^rh5UANw~|E zNk|`0VnTE2-pexjxI;aboW8y)66~o(ddg=VeK=@9M?U=$@#miMxcK{&@Kb-^1AiAQ zlWc`djeG0+>{~kEwfKdbJuH_pLclk>W6ABav+VPtJCAuxzHuA27O956YCXW-*-#eA zl<{&!BAKvrU4I_9UShA~?7$-Bv+6%FBZazazrSr>XQ@BR63@qYN|E$?ct&0uH5*X!Oz=$7bt@AP z=2M^va&Z;d{A(vRsC*s%N8CKLNazD09$O@EUnS0`?VxT;{a4MSk?cU@PEjJJrCcQN zX%ke1^p&r49hCgdSjpR&I}Wi% zY|GAAZglN=e>Ane{YbE~_Np_wxh4NnG%*Tu`ZG>H&}=|;z|+}isrGdHGHLE9hY0@; ziUj8T+u+3)E7NSKOf$L5G{I#Wp0$&{&osP?o4l|buS|1HIxMOp{h2QMN7rzf`g2pJ zKl_?U9;q8D6MbKiN3$?HT>0`$Jv(!#zDVj{Ew>0G(VSpER)hc&%rjo zQl?o5?dv15r+1k~t55}=?vH0rU_HF-GR;ut6o-la1M&~*e}CtH=d0b`1VH|F1#d6Q zf2K$K2+Sdt6MuYOWnbq%SId7Mk$yS5p9b=f4o5p1DL&UFei@&34>J3e#b-pwMwBxB zub-MKM+)9-!ji|&7vx_XJN;Y@d6{Vmznt{*J074=KeM16Z)=Jyt)=*SNpoV7IXj&Z z7mLV0QP*~NMw$Iimw)H{ts(xV5`WAeH#+`M9Y8Y7RFgmUQU4tOD9x$;Qyfyj+t9pz`z9aH1X5^ zYoI@6<1tnR0yg0sx4Q7vWHUAwt15riUAwS%>Xh+M{FuAPlG|@Z`Nx@RCx2g*{(k}< z_Y;3hxcm~wL-9`?@b@j*ryo?x{PQ3(hLY3AbR?j}V>{oYJC)$gau#;y=$kqN1n2%^8{%xQe6>Ihn?`Ac&L)}ElEl+kYb-$h$~uMoj@Ob$04B9WB82;nH-vzI2#0O`pcM zxBIvb0jlwvvS1>dsujSpjxoTO`-UcMrxrUHJT4x0$S({%LZ;nZ{z zQHqMv9tcUO1s>p?h4Be+yO)HLEGtRaPHkfo>|1ep_Ig=9xr-!W%$xyB(%;x0PsRj% zFSqYiWe1bg{Af5Oos$Gx-=3!2vnfyVtdw&i>fFCS#Lg(qC(tGA>Qt5c6x;2toLmz- zDm&OX1lZz4H_xD?!R2wU3DOx(O(egBRI$(|GeQ%4$|g60)0rX3?20aGik_RGi$ZVX zYrSctERUg2cwRPVzp@eUMj^~I`bqK`zn;6J%VV?>x(1v%f%DF5eE&lK&7d)RKSX}# zv@%6lRwE*3)L+(UMSLe|#{Da0hkn%MmZm;tR-VnX1HO(C&?VSAx3OP5>i9oIo^To) zP^kZB<%y?)ww{0sSGsY8+3u9YS3%vPTR0+_vFOJ^&L7+Hj=5OMvLD;8g;5BQ>wgPm zAH0{V?;Dbj+4m}^pTps`FueTg6{-2h8>Az+C|3&=e`WY$v?j-q0<3nd( zywyLTieq&wwC|R(FDvj)vw%(5*x8r$$y5;)&o8(`S zfrG*`F1&}aH9AVxFgu2Ew&(pv!GF-T<=^c8FW8j46P~_8eQu4E&SUoXqxbi<_BXe) zAD&`p&8Ri@gtD)S5+B>eBM)l}!?0>bndUjy3kN-A4d*Gkhbz44t_SU>RbqBI9I(7Y zSb-j~{7LQ0Bb#IX5KtNVVoO@(J^sX}A!c4ub<4@1hw7wHJ1~A?}E6G2O(~O?>xyG{Zsg#QGE7i{SE)!3ecQ?dzXvnAMYk6%~&)` zZoTKQN`I!GGX0@0d<;fdNMGxPF-uC;aDwF94DDY2e&}~e^tbbzGoW9-<(K{!@yb8& z?LxG4W@?jvLB(H=pXcr?0hhgxn)nxkP8n0g$9Xq#T&euP__mRn^*GZFFTvlpahd#5 z^e2C8AEooOLm?FLu{?^i8@6$ODV%+*1Q`Gy3-iMw&i_A~;KDMRY0|&>z6*gDKhsG4 zoMsZafBjW6fiZ6F&1mB*mVKuGD=YkS7Vu9U;G2E1(7#Uw{V$@g*Z;c8Use9GCy27- z`EgF^=RP_P3gtf$_{kfO|0(|QCQ7uVnUq~SS_OL6s2ZM>{8jXCtDwJ@;@6&mq?S0)ZNk7bA%OWR{H}ZP}@bgWTe(lL}C)>X%`yD6;DC3{-*E{|t zb3r>!E&VMg{Shahm@yh7(M~z+{TkHoHS(V|LHj7__X5py=wEnBC9EO+lLk-*jPq#e ze1F+7=+9WW%K3-QG;$}gFU$$(=Wwd0*~kw1>Vcz1o6RQNwD|FEwoas2s)i_de@SQqXS|J2_< z)K2{7KU-JmZ)VB&TjxKx4irX;{ujXCYoM{N;xixrxMo24?-qURx6L2!RlD4a&BeNo z{~0uX!e&u^@{iYh5@#$oB|i?h-V@t%|No-&V=HpB&#Dgpfsli~_`1t4@`-4N_0@kS zjsGNiIcS>yj+1>35P!7as*XQQ3&?BuwDLnfe|lDD(kr|96Rv{<(qVZY{4qZ4E%E)R zv+)Tjy>)HkHu>K;(3efwJ<5Ph*j)HoSJrM%_U8GIGkLy426;LbTXW&TyzqnJTyuFM z>d>xo@SFuFT`f&hTGWJV6$uo$Op^KKX&lLYyR_Q6N}vH9P^qvR&q4U(d!drY?Wfkc zu=UvZ1u|3xyJN5Fy-=sXSVWO?V`oryP1p2l`sCKearUn()JEAE6yIBe9E9>6VC1$= zZcqi>u>?iQ<(^V#dC*|`{aEnY+W25Af|$UCaSuOwfY{N%yz z;->s%=c=d{8;A-+{gPi12*BSJV2p*cr#<*K769}P0e@Q zxhB7SxH{Sh!|RmTgd0cjD7|CIbh{L6&o3@40$p(VitPlO8{-?_u&U#LZTMvu{jhy+ z;m_^!N8rae);FE}kNn$R_{9IC#Ao=Y&Q(?g9k0K4(sV|0xIGsnlHQdS5q8?yW}7JXqQc}mvRkxT}t-nnCIo=ycJc! z-{-JC_%->?l>hoWR%c3m&~4xu$tj%wTVJ+MuesvCB|qm{OS;kEKGFY-^ZzH3Ozd9Z z{*lPbqmqyQ<@x`Y`adrJVE!V0;{jv?x`byn+T`(ZndGxCwKG3|OXp$eSG*$q9#NB@ zPmz3O`I+>Wk^kg#4&!e|wT}j{x8GUfA9n`F!ne8lwN&0SM*Q}SY+3$fd$+X~w%74z z`8DV79`T3ydo1K{bLXG3Wq4HnQN`cs6R1DCH$LZYtoU2g@rSpcgv2erLnZz7*YJ08 z4SzSOy~@7!luypz+=-Xgd!4C2|E7z3#oy&!@)u+YcE@rR{bffciF5j&7yV0|em+P# ziyiI|{cCFIze&eOsiJ>w1^t~w|9nUPaYz3K(Z9Ba{u?#)7p|axr0Cn1jH&+{9ev%; z7j6*!D*p{N{$XqVRr;B`g1<||pRIM0zZKJ%6!kq1=2E~JZgu{PnK^Spfg!i`l$5Q7 z=J^k7$F(}#?-mQ!k}=lA{%o6E zu9dlSm5n)@`Y=U6BzBSH+gR&G{irRYZ)eMSwme^FYrm43c{a0Tihzj!-^p{srOJlX zK0rfk#NXyR+qqKSUX{(yVMml)zTYXDCvj&}ce~mAuA+aQyE43#qd&Fi?=AXcK*P&_ zr{tSf%N6-*!OH5tl3N+|{cW^ZNwZ=0$5V@evamd^{(IESX6^fcx%^i_{=*?JR*Yid z$|_u~FC7&{pQ3RFoy~D&_$C*vys}AqJg#inkB)h^MpeMQG_mCNhgkyKSC{?sv#q~@ zzlG2~qwL2kbE8}~w`_f~_Z{3pnNbNtBS5&!o^e_P;R+S&i7l7A1`pF;e{>3=1y zEZf={+dp#cGpJqY<2^uMckTEJ6?GjRRebm3z}w&Wx35v<`gzIsL&IqI|?_MR&5t z!~aOX;HlMr1=s(7N=KgUdWyf!F_2+5? z-Z>KXNpkT{l-Pc*&i4BOoa>h`_(D-E%lQ^nuKil3Z6ya_u1ad>G& z!hZqqp9lLO9mOkt;wAh|v#Gp4-me?`PYz~S7+;H{zv3$9T8JJlSA0>7viP1`^mG3~ zbwHQa*V}XY-&XtO(l7ZxLHwg%Yc}>2i1Ik^{~Y?Sf}g#8Lud#Z3)!;k4Xl_o);=I_ zyB#>awfOZd00ex?I8u8wYd2}0bK3CztsVDk#FA^{faJMhjM^DZTpLW#_@;#BW_F#A z_4_6bMG){Evn|+*{1MHk)xHfPMZAPLDn_FpC0fDm10a3gTd-A%vcm2InOyRbs2>e9 zkK|o#ts)OBI1(%({MLQ~puPh9%A&=V8V#$iQ{E%_tv^Q_e0jLfh~2MjvYq7bM}uUJ zAkas^PB@`rv{^%QyW{01%$7fNqnWMUO)vTTfvgPwMiuzi6@GjFI`MP85NL`!z`JmT z&nR1t$?#7t{p_OAp7vQ9I=M~RhVPG`1Ne?~vynxmpSz%c%OgQI{H8Q5;y5TsP>;a z`9=dT+gPTCoRsl!IVkmO@!{zoDE}(c@Ai=ARq6MA@P8pjXrK$kPaN2UWtFY-{P6

jRyI!{+9aK8w2FFrz1&_Lev zd5(>>U*K-9O@?H@CxP?pSbOESJo(l<62n#GzS)yc@em~qgD*j5-qR4P;$Kqeb>MAH z%wFDu9TFaDD7_heWx~UMQa!Ix;%;!@pG7_!wO70f%=|7R8u1&2&6R*zzg0sz?A0#C zYmo}2cmh&91xoYB{vX8pQFvR_7m{b7H%pS@I(WlsZBit&-vTN4G~p58Y~G0H-H2y? z;US&0?!o6f+c`=1niGOE(^Y_dR;SOq!TIOfC?-yB2gNaM98ylKI|4uNt$Yb>2~X1J z-+>3$5BR-A)hB)4h5E+;tGE3chL-lI&pQFLa;t`P*sEQNG&)g=hd=>$HOBj-%_~lM z8&?b69M$xB1+pBi50olNiW^b?t+x6RvE80SFzBL4dd6`WsN-Ie4m;t>Hti)ZT@TvZ zDd7)A@fd7%A}HcXAsq2XF8C})yNt7=Hr-`|Y!@(p4CyLL!g&pBeM*Vve+&M(dK(W( z#+$*z@}M{g`XEjNZ^|Hke%%Z2j}4Qikp(rf6zc6QIfTQV2f(~o%Pyl(^APN^c&mnV zShIc`^?3hB@i$P{x;jyzjEMi!!uQZG-~c~rrdl61?4L9<`khbu z{sN5wXOX@~l9J&l)L*E2wiyqbA}^(5`>(gMt^uh-qWdMth<7IhNEQ^x1yzL0w=d*UK zPi4cnc^CD%w@>xSTlmEy(v@w{wiGB*)7Zst6gD>k24SZf_PYf&?UuR>_Deo|AGnzZ z(rxFY`zzpIh6IF3B}q3MvdU;s$M+7ywh=x_cPZfKf^-j}F4ZULE>p)PExFERYh{2jvl63Q<{^_>*B;9u$yB696} zD9LslH~D57;KPsoSkpe%oEL4!vF7O(M*u8F@UNI}5Rr?qqcU=@g~m1km%ByWDT00u z9%d}@hu2}9d7&+wV+%g{1HOjaHxD%MHgF_7$sc}-`dO27kym`>B5q$n{cLUZNn_MJ z>EbA)QU1T6xPMDOqtN?sz#P!3VLwu@@&~SZ%?Ie8$J~;nI}PyrLV`2Wn^A!w`NQ+@ z2VBbmWqiRWN}r@V1@N=TzMBG{`NPSm*Eh)71tm$x`@I(_k?s-HWA({-JKxXw?UpQ* z?j*q2S2mMBkb0GL^!ANlyS1F{{tS41eJb)~((#QSxY8Ov#xKDq>HY-xX&~KHz?JHg z?ao8J?$#EbB;5k1*xZ79t$+v%u`X$?>NYdSpdc4&sfHjpQ-M>W_b_;2o z!nc(Bj%dk3>GlPTy%{J)E~!*WcQrU7iS(w;B;BT%7ty!(&GORMFpJ(>QnQcw&r##y z+rMb@&qVi!@J~GN6@VB&`u35){G(P4b?{5nw97IuD?SUd>;+40?<{pBWM2r@(0Ay7 zAzA8tSZY#Wo)v8gK3VDrz|&HkE2a8mDdzTh+bohS#rJJ7uLH44veeV82X17AkQyFC z7TT}PQXG{A#uWBr%_41@IS*RE_jjO~t6G>?GlGA`x^0nLK3ncK=GqgJ??P*qVYUMg zd`H|GF{_bE(%6&8Yzr&njf#b#Z+;v~p&739M@ZZH|Q1kQC~odMpGj90-%;~>j6XiMeuG z`@pdsaHaa>6?`w=Hf`2VUU3%cztL8obaf``Ki}pRSArtnlf^YlzR3gYAb@H2@PS-( zEa;yDJ`!}&&t8Ck58B|D1d=>SmZ#w1V<8J?5D7lXvM1pA^dx5xsXoc_J=A}pjproG zb*R@|QR+&vaDMnY=<@D~_%@7UN?73-VA!T5%dCK@k_AuRhTnh>+!c%dNtOcvKPl=& z2%99!hsfbr;SSVYf={yWZDY>^{!74>>XR(Yw|K_d;YqS^-C(7*`XtMpsQ(DK)2J~u z=#nDeu8jNj;l;Ge@_?DMBsO0_Y@QQkFs?_$oh{p9lcQz3COE1>y2?@Y_mJr!$mVUm zI(QgaqMwhzEw0CeBQjgB3iy7&^ZJ#y^(v_U5v1D=^pk|ofSaQDobW>{AJ#kWO6!9l1)3kd{wK4vTV>LA5x3|f-LJfS#|)hOtB+XR$?QqJGB9!kWc_u-k zhMJrgeV=XBupg;4#Rw9q{=Xpgq<-0&STE=Kws<-%*Vp&)t|^+c_VY@BJ7QKS1v^ z-lXVQ1{l_cetpzg1PYY}xIY?5k2zcDz5&j^X*-FB3sNVscq|8Zvlhnte{<|eoAA|bu zwbdu7e}nqBKyzTliJaWjl95uc0hpUxHSEWl$=l-nyy&GLzz2TY(jU1R!M|dULBu=f z-|dO$^MQ!y-lbfLa4ba7PJ>VJ%Y?JZoVdR*&S$GFU8f|%3|EHnB zV>WeNWOri0BKx zf2Eznfon{-N0x7{4zIX%umga3lNQzi4le>Hq{z1mruUJg?DS*6-wKKk09UF{F}pA7 zH-Zk+T!46z&UXZde`wQf(m6-5)7$Ekq#VV_4~_z{;Atgr(k{_JJnjrGxCXtT^P=s* z$)UjTwbnc!%?%a<=G!6B)o2?P;gdw$NTTb2E7d27wnjZBrRjdC$Vrlj>km5XkF_>x zxO0EiCy9Op_=7+*jT&s~>yYT|mKQOv&e-yR_hLOUY=L@Qrw@3JB-jjLWz2TI+JYtK zMDfqyd~Lx0q;*!3>Q@0gp$1CAkK^zj;Ez`)c|@$A4DjS02lx$k{VnN@{kDSC@54)e9b+nGc+LS9Y@?ON z!6!j$0ZDx;`k9_NQZHDdjVB=;_;O`n1YcP2T>UjXa{`Y&ubJ}I=Rk2}OJ_usRwF;c z9F^zPtVv}=+)ObDZP1U~eIRi~ZG08n90<;ka{`}3AX3gNc5LGz`2erS;ayk(_wt6( z5kA>^YQXDVc9AFZfycXOV}9Vd0(cVLB+Jaeb9>7-n7vnlbolya5L#@KHr@s&dZ&?^ z5{6j@p$(3*?b=9Lh1%E{9R0kdjZ{A)xH`X$hvXYy0}rzS_A&H%Beo}P%!id6N;klB z;_ay}+MrhH7hW6FlxkyFn6$w+BaSK2#$1EY zMrWi9_y%YAuOL5PAMZs<^&`R670Q-LyyP1jV7%b9$W+JwBsHFiY^{o<=Twq(BY_%Tveg>*kD(Z)g0#$zSgSZEO1=!}$sEXpThPXlMk zV^bF8H}81GjS;a>X4P@-Fam zh67mqs1456c*c!gmE;@qqW*!l`ozf+&s{zgL{wB_B8(^SXsp zzeTK1(&4+aeSnDY>|?%RcciB}{trlvo^E}EBU}6EmS$6g8tDBIxPyMelHlQ8Xl?O0 z7aALR=6B8wdX~srveYY(6to z-wIZy{AT5cAl;@V++GOY4pcqwr!>zT2lyRvocN|SPab3(QR9Yip!TzQ?=!4oTX81myM*aBH@o zKXQ{WKLbv97x#QE4#_3Xol$>l+ZdO8Z&hUboq+kogdLEnzJOQU4vE-z!^mN(=NGJb z#sMDCMjP@BYvz_e5wL3iN1~cOaUkhP-^=;;u28(u(4K zu+c+pa;0eEJ1UoK(*rrcJ4V36-fi$BV*OEok2|fufjr-;iaP`H+CBFvSIi3xFC@$% z`Pu@gX_qDW18v9mS`Ofa)F}Uk=daUNpLo6+^#icn8{mzrH_W`Leg6)M2?HtKA)j9? zUN28tnh~+U`x{5KuAwLR=}@n);v~e1ohQJ_X5jGb7MBTrD!>a+n*k1&N7T>Q;t+2% zuk`_po0$)kjie+r8zAwdLE!!`;TagAqW7MFC>RO3W^T!qqTprl$!nE_PbKlc807j+ zTQ*De$AMdeOxppuID)h8^O0`K0KWxY^khAL%x26c?LNS3v}uIpHWkha*zcj#x7g9i zatm<&xQ(}DyPttKdx9omNjy&t4?m&}KGp9F`1Tois^<*c_(yWYY zY^9rw&q>B~Pj0f;W5D^ccuwnLNybM}&#PAh{Edja3qlrrZOhdsS@?FT0a)%0$g+fF z`6TB2WG~KJ(35!ZqrE_P2=;mc(7b-nr)6kz{!S z=ZU;Wlr^a&S)M_?)uio!ETbWd-eiZSBn#(S6C%rlkOgnX2-8G8CA(}6oEQ+p03Rui zZUArXc1b+bE~~e}r}~?_$g+&=l4vAZ{)r4fA+lTxS?FJUg3s*oAIP#r8*j-j=Ylu8 z?y!IKsl@Y*fXACeob0LoY``B>QvZ`KGV(61m!aFlk7Vqy*U;kV3dqJh!7G0zKp8KIvf<5b zan6@~^1+Uk`9ups@y%XNmNb+3au=RCMxdWc8reVU@V!pEBu?a^>TNmveDJ|*kod|> zFuPmon*lmm-?P6 zfuA4s2AQ@4GL8j5__~HSm$<8W2Txyl(q!-aAijQ%Ue6y2#jWFVM}#;<9<5#oey~eL8#~ z&C8O#&V+uhM*VE9d0>)dY2=Wf%TF(Z{FV=I#{BTZ5}qdo&r`OYH6&Ty!+hJMOWOgT zY?r0e_qxe)8hG9ey8o)P%hizO4dtt^K~7u8y9)JFfVT%@9l1!nje;znwB@%{KMC?1 zzv)HDzeXLTdgdtB4V2-Lg+6u)bi9O< z#s@MP7e)QAAj_X+OOu^BXFv|_Rmn0mA3G4T91mI2y+z5#&H}A7P(O9%V_$`jjX^!B z^BzXy?F_)LS>j84;?=+Z!{F@+a^gv4cx2fJyqyQWmWn(kSuO)_r{nm~sNXf(A;rsv zkj37V_f;p$C4hfMGNwBmlf5oR{hqQ(fAqCfe-Y|Uite%uEnfBn4|jvFbDb>nfYwRk zE#1MFWVs3T95253|JXYdc;BY-|9|hv)MPA8xYsong^~vP*@iSIQ>9FqsZ^vCigVCF zgAkHAA&HbyLb-@slSHFMjb@pES`>ba@ z!)HBf*y9O)V1?Pnd~v(zJJ;y5ME`(%;d?K81x$>8)1Gxmu3g6F%Lin`m9pX0!FFqx zlVpQm&7L0O%+}$zDE@yXKA!DfEAX3b$BX~S@Y~Tg`ktW=I*mMMv*yb&jJ^Ad`^~b! zo_;c$zbzZqjB(DJ4L)9-CHkux;{O+l|5rr%${{zK&ldmd^m|6Pj?uphi z{l?yp$d{YCF<`#vzb6dypKO-x+F-H7*t?|AptvSJ-P&8k@sy?`!=yhLim;LuQ%;Sq|9=EaEYYc@0o(pw~<+*+#{xS56 z?ZwUAmd%1KX7dkZv&VqxGW>rj{NGpc#qd8)w%r}+`z3SYHzeo&I9Ki!;??+1YdtQF zt&eXd8`hLB%Ot+MOEIvL=(~q89&c=1+FRF@Y&?Oa5o+^`WEyXh9Im!~uxq)|FH!I4NDi@S z*Ri>_;~IAk_y;kaugiD7_q2PLW>=~idsk3xy>Jrm4jTPf(JzZJ`I)4>F4DSMCo0m` z>FDbx$`xZuM~i=s@ry=UK+(H=uuHq3)qFfroWFkzw>-%M$%xqe4g&P&=LL)m~MN<=vB)cDdj*`fnv+*NQKO>It&^k_x|R+)(^K zmF0eSS?|;&y<@M?X6DOL!k^gNv)hhn?R22C8rZ4UUi)j7*`Q%$_=!e_H#g8P6y4rA zci*8@=VH4z&0Neobj27nzR5He!Q{@Rk^rjngXV``R*~?J zD1llwhMR3)5E}HW1G^|0{)dQ;)s>(i3Vz1e-`W1%+n7{ihm#Yn&!rynf(Qu1+C#UJlwJN+QhzgY2oANkH$ zf!}iir)kuG3>&^u8ozJcLdI-9Omv>A*+Kkv7t-j5i~bVvzPHBzIngy-%QBAy&ZJ(W zU)=q9O8)wN+aGIe_xsQGB~yE<0aR5xN#*P_e?{^fNq%ORf?ZFD;yvz*Ar4F??+E+V zOYs%n%&@T>kt=kn(vI&=W7VZ3B z&NI~F@m*r^n?~Nu)qnC0Pn#pfF*#0hz9YH_+UNoAQEOs@QM4B|wKjc9PgXD* zFyehL^i7lSE6rS-#q+$<^q|2k*;SalUG&vO4+0`qV0(+jhLIzEWy!2QL2dGRf;uwB zti%evi*+p=k#&s8t7&AjZ(o^&J*AO*=;c>X%)(N^9n;6VzYBlfQ!_c{-7b>D+x16ChdmEsXlx_;;a%B5 zBfHMtqJOzUH}5_o`iioLa};~Sj+OjL;0 zP#bq;OL6s{Rx&x}%XX3z(d*di$@tNWd|4{nbl;|Pk|bYdUWhVNly$|!lV3X2hEGsf zE1xXtqJe5wZ6*4%Dv@mGP>=LPYOtO!c4x6#fTF%js zZ`R%}+#Z(XbGo)+?K{PDZkK|!Q25^pXNtObV(n(4b9bQp)`?mBwVpUBt-VkDJgaST z%-Xk0&ZCn3ZRs$&S^GB8Pd7_&O38Y`wxXX=p<`vILtOF2EgNC*?l*L+M;=fehnH=+uKKqDde_uMV()&2~ z(<9DCj^4dPbZm)4W#-)$qHieq%(T`E_-sWNocoFA&@P2~Q1}+cSf!z`-&FGT|2X5>EhOWApXkS%C4tY|Za2|S zsL;*3U9nU0Wkq4$asP{%bOTv;Na(?4`P$-qBFqX=aK|iPK;C^;5}fL|@%`(P#!(rK zcSZg`1+UDb*Gm2al3$+JAo*(9ykfA8(PnY+Fo#|$>LP(^Yw|TkFB(RhS$#&EKgxzX zrQe>fHXGKEoGT(qHb)z(tBd|h$v2&hHoOOMqj44%;j4+~rY;3^p)k*0C2HD#R}p=; zXzfCwh9P-WpBUh7ENG?ucV)?8e538s{=1Us;3gAdvi|!T(XXk{Ee6(#_UKn(G4M5c z_dDYhWu~O8D4ySUDb$0)9DTj0Y5$!mI$z=yOULeATL0as$Gb8fX3M+3%e%DyPL~|U zbK1e^))vc%9&tv6l6m(E(Qh^jjU4;$%cDI*UGMgkcXxGt!Mml!b9a}5cTkw4ZxJ>1 z?nR>iBzpJnFhW_|^?FzK-#g_UZ(OI|Eh#y(BwP2%E8aa{^oVox!n|8S^xMqBig(LL zd&;(3U*7Sij@Y!}-Sfor_bvtRpfGFyQBsEjZ4W|)~zoO zk{J`;BOb;pzDlM4N>jKm&k^09F*c#*%j-0%>=F2)s*cthO2>%)2}$Lu5BHk&gnYn+ zZ=yP<<>jiiO4p2Usd&BQYm_MLOU0K+GVe#IP#gF|VH~4XZ2e$QrOq##x3Ab{(e-ul zpP~p-)tJ=Zm3Guw&n6Xqv-y1SN5+^9wt0uHg^a1EO2P-a6m0&gD2!$g%jQRee6yMN zB@dQodw1t+v-vrqe^C|k1Eam15xL~Qi@uWR(k~jx zEV)JAaMeWwHl=q;Bkyi()a^}n-R5CQV8nQiT4(;yBTT|S~5 zozed#IlogYlxM8V3;(UexsoKC6!T?7oLnJ!S(k1S4i_Cvz99>53$0=FgJlWt&djd0 z!WN=)hAP=n{%+FfsG3Gw#Ys+aNrNvN$``&9UPi*TJ?&EB4@&w^?NOJ>r(Mc-YN46U zYe+I*Fo1G$K-}o}ioZH{7@fJ}SH>Ue=<6$@RPQ5LdvPCuI~2!v+tDQ4FUik}>j$*D zC69=IX`>f`+_^^-M`@|xkNNl)Nnq?+w&vq((fKYewcnTc@_W(06tPcZ@($78Yq8g{ z_eagb+eMGhod#K&qlGr8E-8)a_3A%}Gj|sz{x1uRQ8LMw%cIvf_>sEY+leq@J z=P36(P=Av~zCvmKm6Eaf3-XaGDo|bHeWGtGA1|)OB<*`-rQhu(A1{*Rt%aP;+}4hN z6z2{R)l@R`<8vG6{}jC{`J+j!nuIdim+tlnN=)Nj^7rixD1Bd;@Etsp!G0(l?j(&r zuk^3i_w+BTqgE(>8AsslLT(XtvwZwsq<1-Wu&Ly5g#+5BLT>H&Yw^cB2BFM2Jyzj% zU(k52YVOySZW4Yc3E%C~;5Yha(#RKFw(OP}{WkHRFB^#4-@|Mf>ou>BE-xKnbKw7@+8fmRmlhIXj9N>UZ=(2j z{t?l?QR#QvC%wb2FI(^6san5V%9U}CsGCIhc|hKEEy2RCMGIx5(oop%E+4lMUy14u z#p$t{M$S$0#nBE`a z$Hp8YhZufKZTx(m=m&_-^SNnnB8HJX6s!yONV22KZ@<@uUu$-`pqp*i$!}&K^Bel< zNhDm`wL|}XRxNJlNS%(YQ4A&Ue6%ua@XZ`-SUjnXB7p-H+b=JNrJ17rm8zm^Yu$LOp*i2UUe8YR%bEKSeu(oG{P z`HL#Jy-8kITg338MUJa*a<#{8Fzse7ZTh|n4yKD|z2bj7E{vc5AIyr&zTFPZB-|pa z_<|6W>qBoh33!1<-W0eylpFHQV!5}>mbFo_vu9{6`f$>`kgc%jh?qu(nE-+sZrR3l>)qvZ;c z%smS4*MAm0_x9OR4I1y3e4VPJEo!_>l+C5_W@-F>pjsb%sU$Bb&Kqmj6IK@e-b!B^ z3Z}n6t+r4_GGD_3jTLLr#R~c4iftB4JIRvKiey7+n&fOK%gQTRMkgLk7YVJX8D!i>_bNM&izC{8a=(!`*q# z`LWZ=*<0LFkJ+K58xHr1cVinFVv$*OfyRfgbp3+1Cx}JK*56G6=O)!2WP(6!NonM) zR?jUIju_GP)`~{+_lMGWTvsD9-Y<<`jBRIM889U25xkiU&qATS&E~sACkB=lExoQn zj~J~;<{g=fD`=SHH>$SXq15&5AlYoYQWCx(3B1=~@4y=UVvX4J6!75siuP;tf-v zP=(*!TX)nl`UP6E#s5|iO)-BDQwwe`{>Qug zrtyd3e@z7kp4AWj^ud9Zauo+_1?n-zM%Btp%X#u*M#RC&j6Pes9`EX*#OMnOxA&Ty z!f^OzGUJvf-Pz-NDX_h45u+KhiuS^K#P4m@`{$SPW=f!T8|aq}^wmYb(ddO)zMd#(jAwK%zQZ})P8A7e$;sm7+`P*e zTk3Mr`5s7}ew|h|uQ7To(|`RA8ta_2>gy|#P2*LPyjq2BzTYT%E|#PUZEQ-sn}Cn@ zi@l8!XxyY?v(e9&g= zj{K)3|I-zXr}bzo(W{R9h8`n7XCJ@N>h6QG%8=@GW@&`kLi9swXW2aCu~-*XEcr)7 zmE%i8iD_J4^d~Ado5lrX;o}v$MazPsA0JV*Cw$HKttDqp#m8s%_*kO)zTktRU1wR2 z(${43PLYn4PG=p(701@co<--Rs^SS)W7I|28U%r{Fe zWH0;Hj6Ul#sYdR8qk6siQbOdsw;e(`FkaYhB|-Ykb!rrbEV>O#=B`&ritptx#M9{a ziZ<1$ZGLel5tX@$Ftc^KeP~B09`zK=dKE{K6|sgc={=6J(!JZO-;VaMNkUqwYvShG zP*B&suZ~ifV8V8X?oC2T=)Qn_(i!^7EPl<|-NkVkm0n9P_=74+D#e7e&_ak>uc*8t zg}?-tP6b;dwtKNVfP7RZ-lUyNA{YcRiMvGP{C1A zU5%4!?cO0H$|&>a(*YA66)s~=Jn31*WYinc)WMBVWmbl#v=`%Z%FvH2Yj^hK_9r=A zCiZlbHL;(PD^(>1eY=ipm#-z_;*e9556{W_EAJBkoK)H96^|Mg&;GfFkxJWwzt4Xm zKGKLm@X7RNjz$u~m@R2uPUvsqi1S|65;|@_PrV4nm9mq%m5)OzOyfKH+mE?aJ)2u% znECNAh?Ty@chU{zqy$zY1k!Rmo`{5T(675}x1$zcjn96=i4xkM3Qhb&nr)|{f%y$S zgYnjNgl`Ro4mQ8T&-~&oT;1Wg&U#(a7>Z0ufeTK{BkWa_Lq0e!Fy?$(Uz_{$#ooS(Q_Sk%7iN1hZ)hHl$9$-Pvdk6)>>mk{h3Pff6PK-RIAUm(j>*g z{W7ln;3JW9a46}&cq=`$$Tpqwx^OBqwO6Y_SJ4lNt{h!r`*8WwjNWWk$$k|5PLRlw&fRj zjKM6YJb3TLTJ>*N)bZz4;S!d@YX|Y^8W~!@l@CBu)fJO+6qi2VuE|+~iz&)Zj2vzG zp+XpCD)YSsivl^I9I4;o^j}^ z28O$WHJfDWSq~p7Ot38}*2J}&%Kb2BUSszMS=ZuU3-&M2Qij2J<#`kYg4t^6^_UClg^LNT!?#KA6ANQhFza7 zXKL0=B9+o%jp9QH%GnVvLMamxsN~~sCJZGOe=6ol3QH{B%MlRI4cPONPIHPXJEs4g z%FAJ|=#kI0o^K%vqKsw{4)Jl;IIIy_Z=AA7s3W1r7ca1!Gu%|htn@1=^^C7Gx@(&% zQ+b$r)A!8cLbm+O-G^LVVKyy#tWx3RW}a1QZIK_wIY%{0cZ*Z0wJ`ywUo035A?}{Y zra@*%-4kY)Yo9j`tRksRW7nsu%1E6!tZiwh?;6<~u_%wh>`a6zIkoPwz0We&yVGnI z2xD4tKQoZs$s5%fVE3}WvO|`fPR;rx1wcf~#GC2WhV>k7$VVcgl8x`&m+Ff+*daS+ zbA?V`?~EK(@m!G1v&8hB+}f{D%-iLc0f97A>S8q*18{ZFgT{JMU zqIw)?f>+gUTod&@Kbc7!}rRbgNcaddDQH?Q%J^Ge`& zoJcHytKS``ghW^O{vr|DfBuGP{I_~f+UibA-(9?V<{>MYsil;o3{T8`k4PYPHchwc zrL~-+ohV-SZH5%lk}7?_`tS6t17_tGeKm!0HC_EeSqhVcow-H*Z$T6OY^}->DGGJ7 z;;#%HdsX&h?aroFR(G#s*|o-N*B_#yGGlcsnS2<6t69~Vad6#7=(KjLPj$_ zCU3d1a0(a?V^9Y>92GS$I9!8OQwxh5AhxLHhmt6rGA#8StcVIPv|U>F6Dn&CU=4I3 zg^RzAG0&8FMGd-K$^9!h_t4wLI~nvHAl24&f;5>C&LoK!#NROVgA$4fcLOlv~G$(U@R*#JXzxTE;x&XuY* zXL6d~ugaNZcb=`dL$)VIR)J+u zlj0`Z4sbZs4+?kvP(vf^0L)|_Mh1J*%mYFh>*5YY0RB7U9zSNgJbVWw;cjX!7g-~Y zLK%Hsbx0WV#>&g)SqRZAq~j5~VQf2oyv%X`mIco=Sr(ck+OJa+0E#M<1nhyAU_iIr zrG@pb6VMTs`>DpM6|;}Sz0tdH3UnM?FGy#g?1l@kMjVW!cRy{mAE{^rKCcADD-E~9 z!SqpdITRQ2444l)y}#>gd(37(>Z>FH)#1_)Ahoi<9EsL&e#C?!N1z$Xox@^}K^)$Y z_2YixEf;S5d#ScWhhMnD2R!vm@98^ZXSQ5YLJN&Yk1#vbuA8GEw^bWJ(jXQmn$@Bg z3mojR;sMc85M(kVZ1E<4kOAcSybwW~;PnoEwiymyoiaM{6&L&`9riOmhTZC76Rw}@ zLL0pQw{K}Hu%Yf^UpRn(WC_uB*?XJ&>DemF!Hi$L<}mOTH=#go!$_dOm!MJ@q8VLU z*@wKyKSJ}NsUG0T(U74fT<|P~|L1Nbk;|2j!aD_?bifIB%%%!U{>u-GdC)P;iN&Zwk!KD( zOozI&bL&ZNSBtV)v2}OC@*`4|E~5zn>2M==EpxyD5*g z(n;bW8frSn;q%Qp4@gGvlhLo8XruQagDCkxzt2vJ;)_(AY~gT-`tN#GAZa{+&vj|e zGKGH5>`q|MuS)|k@H5{JO*%i5@5=h^AFIJm@sMw@p!+9_lH#@LM8_Eb;+ zHDR2KTQmBedmz@4RpwNEwBy9Xpwqy>77Nt;=KZv;uzBo&b~Zq#lv-4JK%nvVv#t>U zJO4L50kIdjoq%cFtMZsi4fO={4BUqAIdt>bjqY`vJcS z0KFD4A#U*SjcXl@vd|V;5@3uHkULdN?zJHD)9Djnygz)eMhSJa^-M~r9WPM$W5z^7 zofv9fZ8EUeO2eEOFqZEEtI=5uC$FVP*GGumxEU+ zP0&kVikW=2vKtk2i5;q>=b}hXUUXql`_IWF;jv@jCuE@==L3CB@I6@i*OqtiWnO=J zyNH12(EI5cc!B6^;8K{H{lhIqR+(lO(8>clbMvL-iSHjak{e5?q0<2^`d9>A@rGc8 zd1}M2#PMO8ich(aLPK-=d=2#gxqAnnK8-Ci*!=gXBgzZ)tmt2euPq5gMgAQ)^9AM! z2B=MvpY_k^oJVAfJ(ZZGH1*0lISP5>8E$lN%JiLqW2WaSriTaBQ0KBRl>1nW?~2NdmCt zXELu*F;U^i?Xq@NVq|~_eBj$u9Uy->8*6x9<}#S^up^*m2ZX5d)v*q|_)0yrbx7h( zfojN{IHZpUuFvHqEO&Tkq&w65vi8a5=n{X#IhFccORYO z&Of>FAx$QlNe9`vi~)enQc?@J=i(UsLjHBdqU@uInn*+OxKrn$EZT~M!IH(I*kX|1 zV8v|z&6^3q2nsmE5ts7MiIK{uUhM!XJk-e%f;oyDvdbyZ5ij0Id84$?;l@dIbo~Bhs3~Cq zxd%Csk^lHZHB+Q?h47kCnG&ZGGGIizNi@gU%F$S zby|$CFAK>4MERpkt5k9_y;z8Es>-&k-(Rh_$XGG4Ot)ZX840>OjB=9iveB=SBp6Or z${4r@Z~B3)GN|BB^l#s2>SN;LCcY+!gv-0&$(*Fdnz8+P{AR8@Ib_ zg8dg)p6&ru2!6&8`<6HPD`)V}2OVqFDc_e`tgv64Ffi{8c6>jEgP~3-#$WvoY!wDu zC}zr?$qV94?68A{0sL?k0x5T3hdw1lFh`&_-TP;C884kBH1| z{=E?G>~HtjDW4xP4ELc|Sf2>vk$%`2H8VN6`(dRkM4^$QKg2x4vUtZd+oMrRJN0+b z`gTlkk12T$i3K2+1XT!YS!f5fGOgh^dLUY4c#kxW8&=dK396uVH(%!fvrzmF7%W39 z=V$A#TJ^l&`Sph$u`#H`&jq)Oog~?bH(J-&wf_Zd17l= zk#9GYq#zg&<0qeuDI2xnh)&cgMSXDBV;&`eXArG@DuVqKn9{%(da_NaK_fmh2?GX3 z#>7kp=OY)-ms2^g!O^in(Mc0KFbf%j%pw(LACo%`&fmTt6Cz5`<`91s{(zLJ?s~M~ zU~&_Y=)7vgNcO9el0!_?i>kTv?`58%zeB< zBa)&z>+s$hFkODt>0 zw`T!84+qNB4n0Ey?+)tYduxL)a?xP>i$TZnUFp1aER4;8on~a| z0mS?C1vC!^gYRW^FoBPt2dtVGC0YPH+D!NGW40F8g;LHB=rV$OIQJE$iQIbWW+tV) zP;p5Mm*St+fILA?D^P=JLXB`e14FM4yOe0qMAW+I8%iYmnR@)=-F$xQUGi9ogx7kP$ta_$lTdA|3NjE!8N8rO>)70_hApO3KZ+edm)`jERf*2nQUSm;zvpme0+7Ybxl@N6q$g}K<58*rG)X&Yn`vCtWA z7qY80aui>U3ml<=EA&Pycis%%K^*4IxoT{uM(J#9fG`;=X2a!HK;)J$d8Fx;g93ZR z;0&V^URuPnCXR&KaTPsX8ggYP2WxA%rRk6(QN>{0IuTT}(CvJ=xo{P4q|CXPj+wA- z^er;LB{A=XaF)+@IlvpeV)9i0M11SwFE#S+DYTO6{Jcu7mN>Ie%gv#i$6Fr01mxR_ z&^aR6oJiHiH-+r2_Cr17E5BK-?n!cz$SZ5D_0@mih;FxHSkH2f1)}c~ViK{C6YQuO7d_ zwr-qDQ|xUTYXI0N{?;8d$zKV|z{u&shl$vpVqTcQI>2LY2tnbPI9@g19k}U>919vy zwS2jZJ3S(hmlUmwFS}SzndLckrR!p4#D3eKt4O@t184nl;X)2Hi!>-p^`KrB1;{-A z3~G;^{$?)c1=YbvqhNlM0w35$M-aLZf74>29^g|~aBqUYm=v8On|mmIwWwv3*X*4A z;rpDh(%pjyI)vN#_4lg+qLh|?{rh$M)x2?tgir+^gt9-_+=$#Q4I&73XYe@P4Y(vi zHWf%uk}J>9VITdflx{$?$&z~l*f2wbhmeI#9OV!P!E`5MO5}Z#Q$gy!R9*(6{BCCB ztCt#>ItBP!p*7y(6Sh?^{DmVOS2^`O2mCGN`p5-3p4`g%(i9fRerFs5oXAaBLXGuQ zxvAg!0mu~uUxweHULu2RjmWqC12~hfP)a|Po|eowxK+s}8iq)|O-HMlzyWXUHd$&a z>ChFCfsgnt@SW0=hoxZ?ZvF20!R{oe|8Jg1$X44#cz~`T=`U00fr&B3t^>cnmGG@! zFXvM-S}stnl@{%Cs)|z1w)TF)_s(iV;L1#mrg3( z@;Zx7wXz#3OANS0Drcm@3fedcQPc1Uj8ztJ5oF36YiyZ$yWJ4%LjA_%t?9B{EyThx zq9~30w{vaXlWU+D+`C~aUZ4q(+=@u5yWnnUrkaywkyE+wBH=D+IKpD5}(=MFP|Ywh&G}fQ-T%gyY=|; zK+~Dn_GV=&+!%tBeEWN-&oV!PnS~vbeZ8 z=~_bcN`>i7(RQ6K3<`p0xNYrkSTGCB1c!_{5r~F7MTK>I;-}+9b>0Ifd4_i4J(5&K zFrkm{E+e`v^C<~b%Yk%}7$5y-Zs6tqsNH9NuTW@qZD+^KOdk1fFc_9^$ELt{S-SQi z6n~}HsE$*3(&5Mu-hta*S5oN?<6w~~^~E_|P~_3(@znow6C9&fDLrT~^tczIu&Wq5 z7JjL5MsXQ;2t$Ul(8TE|Z6B9Vq;+)~-Wn^7t40cI{*g{mEhy#>9PaTWVjjvWa$ z_x&{B^Vo9AIPTP67TsiaF|ldJ?h?}MJBL;Od4-3S3%rh@=u{@8 z)nZ>ZYo}=4=$RQPDo%l+p0iKV+%1ae)}AMUO?;~ zQ?dTP$R=9*%X*y%m3{v>o{y+YzT0q)08OZ_XNm~fN$~K`({&nM6GDB*CyObnD(q$S z9Y*j*V91-;x9|pC@+kjnjcNx1PL|0q>{Hc*yCYn> z972KQC+r*A^Yy_pxWwe$Jiq?B-l>yxy8B-$aL6}lMm9A*3Qi@#Jy=nQCihAMu!}rf$7Hx5J|19jDPGq6F1oTBFzbiV@fmu?yDj9_% z2Q^-6FQh*XCT?!&qBMoN-AK~=O+Ui&*UMxx$?yokB`#qxp;_oYiq?6$-NKf9Dh9TP zChuTPUzC{$%HVd&EcC~`I!tN}3h{SzqRTsz0NIR9_Lb;CENo2I48IA_09q zD&{Nxc7F6RnDZ5LwD^T9{wPE#fpp5nOAv~zy8 zudLVS`%Km}gMH9=xeEKWEY?i!pAl?*u5q7n`cer;~YtBsLr(JIg&gh}09|jg14{b}>0k%4JW~gOvAL>wW`r%-2 zmYgDXP19eO0H5=Ud=#b`m4UV_NMc#&+Z)8vYsDWAu5`KYAxy}Cb2e+n7KHvk^4%!i znM_>=oNj3F0GWrjR~K%@JlS)bMk#0}ZM}eI8g&>aDdHVFTQavOzBd+s3sss)2Rw?} zq=lvCrj~esm>vGxv=m~|Icxy2jH_^Va?EBgbmCBcEZnS$)hno~Y1sC9o7&8=Bh7+C+_ z_juSp78XD{4KV!lRujnkKL&dXde$nE1Hf}p;-SDY`R;n|oJa4P);5!(u%B^*fAakT zbq{$5P*mnz@*rE|$)8Vn0kNyMVPGKBah>{<1)gZ#u0_&Ffo*aY>%==_t}SrU7zkD$ z6X9~lA#D^CM2mm8StBvp;nrqRBN+zc?VOR2{P>YXesnn=EI`k1s{FO~!ws0;BErk< z-Yi{y8U`OY@k3N%5_3xw*kj5}P#p}ojztz+UQ8|M`g0v$$Poc~VkKP9}YH-YGpvz<)MJTqsq`hzZze>q%z1bmwjArf*Kj)d2rpRyYo z0>W^Cbw_{TUSfuDicB9z(N0|jB+*b>h;L4b;q@7=?KhrFSjHT(>_2AH6FcS;j`5Lwiq2 zWI7@a2jE8MLG1ECLz3}5m$#KY-X-3gt5Q<<2z2xz!Bqc^eXko*f=kd*HK=$88Wd|JR2VLTy1eiNW| z0bP1(T#KH3qqX@AeihDU#wZjo7A=uf#zi(SIqwqs3S4oU(1rYLBSr?)6gA+2egd5_ z<$e**2-g((P>1&3kJkR>^p93}pz7&>i>>=B|~RS2t7QdC`Dvd;nO)U9fFz=7gK1 z1b4V)kYN%%RIQpJUU`ULYE`r+naIKeO5NahQ!Me`Q&<#_?%tgm3s4t31YE|HbHduD zierg)*be)FptaMxne{S+2scF`YI{=f}OznN;1CA z0^r7XN8So7vD0O$f}(E7jgqX<6lDz=^wY%w6thFHxw8OdD=z6b?v_9c)Y{L~Aa*=d zCqW1?{-&92itj+y-9HW$5%{|*04(?~f12**0aA8!hrpaib6^qy>`m&VPlP{0i0K|Z z?ESGH-BwvLO@VR`8-Ct&NHUHgH+6AP`)ua}r?rAivh68`zo*;=QuqgBYYWt|FlJ41 zA5-7+V`j#P>_gqX{s`@SOc!Y8Z|4|NnofNL7|l8bLoz|g0L*;2jMgCvVftNyKiIr%_Ab_0FDa zO8|OgnE({}{8+*EfkW)y%-aw!R0A<+{RUMVRw++aH#@_dO0W9M@rY^jUdSvV&v_%U*xqRUPoMfP0@|kpeZ;mg2@Nm&xvP=YX=8xv`3GP9#WviDo-{56U zhVIE*wggASi=L z?`;zyP$(jVBE;oWtr!790y?Z*fH)%@lqTErmjJ^o46XXf17wjed4=O##}x1uZjk3zDOl^6;mAS#nQkiD)|=V zy+!d(w3}W`DE0>kSb_cjFGL#o620yhCz2|$b+4lhb*Lm4EHw7$>CTjx37f_MNj9@hH zHa~(-5kBVYt`~)2)*oO8*bFG-gUXhd*pbD|Kn+eb5OuyKe>-{Ju2J|#Smk1tp!hr8 z0O+!<#6r*QDC8rtT_bufUEUl>zybzAJFn1me|(9!7?iJL%6kkVi4;84K+a+OGnq4! zVW)2GHXKi4Nm{>L60rpMZTO*`5_WvmhSoCw)skq8AKR##McTjSFw8=y*p7wzv>zQ_ zu4n$YB{!eD0D0)UO{{s$$wQR%!ywI`huC~oMb0!Zd?{*c7lxmBt64^FCG@)lS^Htg zQvt?CrIeX+UH>W~&lJV@d^3FT*xSg>r-G*Mb_JKX;1!0L z=$T+6qQtSJ4)n_G^!p9JHD^!X_5Wa#{UdyO5NeaQukZBV_%4)}<7{_0DKvQ0DjdO` ze`Ta&a_i2cg!87Wf4TfpfbaKhfS=-kFkpil$-ftmj>tN9ESHk7e0>{?-kpvi$g-v`K%u|^O=SQ2H>7L!gm+qNpq?Hq+ ztT^#sCuY43R>ZdYF;KY07-DWz3AbSkZ*#bRFB2Y2zO6Q3V?YC?5CGee>)MrdS4 zAJ`PI!G%VJy(5BmP;RZl#y2?x^OFksAXU-jREQ9sz>o+8+$zKGN5~_CJ|E-k{V3ke zEu1n|ajK6A?v*xK%O z9fbvtDEZi`GbjPOOGjE2@DywV4N05v?_WiQ|J1P0+Cd?AN=+F`F_|AFqCW&8J>Z4@ ztI;|57*N7`5=v4I?iq4w5!5E2sWEibta#1OaupUcX3>W5foDd!gch2P|Wg7(% zElhVPCzMLO%=(?XncLu_7)XSpDVTlv%lOL04CeO60I>kGX=W$c;!b=hBO1gJjhSea z6=>hY3hLutst2El<_eLTK3&4q!z_w!YZdzccf z=RXoMyj9sdC2p#{3GhC@a%bA2>xO-3>W%AF5RNM@t^kNW`xy6;KPa_nE*C0M^Ck^G zlS(0o{KeTNBMH_Y^o($p#$d&pFBMg z9}*oozaHtZtc>en9kH70d&QX1v&Q6Rh3e6Wu`>g4BM6@M$aP3g-up6jGnlZpmeD%} zu_E5Ff|1#K)&o{ZaFzqyL^GK~ZnH0>mWJM8ZkTq&Q}K(Bz2iM%!ZN<{Px?4UlIUsO zxL2viZ!+_rS@m!GJe+=}8v$9oR#GvO*55e`R5>zu8q%n6z?dTrO^ z+x$wWolf9x7hG!yKVsXEvN*{_^QpG|_6>Xd4LgyZ;%}X8QI7doFvh1IofQJFMKMS1nAmo|g-Ff~p=c?GBRjn(^9odY}OHhJ`{m#tJxKI!xQ zYqW{na*m2_x%snIY;!#K-N8+X-xbAlhtE!ApGhspifR;{e4M1V} zX-&>{@e*F<{6f$9Yae;322?~((QBmNhsh&k^m*~f!)qa5Cy4stPny}=I!SKOtBYmA z=mO`+bIzJnM~nL2>zH%Z9D_=f(kR|ZZ+R;2RlpCuHjc1|Gzsd~CiPa@AenAl*`aT! z=IYc<8a2m;nYBM^H`?VGo3q{JhCaHl6!$h8Slx;3ZK}nnmTD+mNJsmo9e;Y#s4&I8 zMZk?6FgDFqa;jmLv(?qU-I|rxwU<3nt=zg7^Du&pmSqik=EOqu@LJ-Lo7v|5tx7k- z(_);$MqO@)+XTZ3#rB%j(##ajBAdu})%tz;@5t~DPR@GNPRg_^a*=sB-LFGodL#wV zOW&a`VI?-fl#6{e{jj9wbI;tq#C1IPy0~}$Y%W)F+yiU=Ui%Z(letanI_M+>|NGJ@ z;dR5)OW3U#HECpmnS$9jlO|TZTA8K&H4TQ}-EO{h6Nga`TFhUAwy?r()2RK9Tgtw0 z$H|h!Bq7XBkZzS^Y_upX`FwL8Z&}T3(yg@X$qbh)Q}+B)>GtKC*8DD@Y$>iZggCg= zFVC~Jr$)3Je>awKJ_3?f)U0T}6hi_%0Y1vMrm%GQV_tM>&RoRvn%W7bvS%Is1BHc1 zADxUaYJ-N%Z8O=)Fnr374}3D_vnTpdyxa%GKF24-)u;7WA!E+3vY8-v8vf%#^m@DwgTO>jTW`R&RV<&n;Y^s zJ~I8(QAd*|zTp_7IJaOEZvp!~2pV@I7Q{TRwyqpy!Xe)IY@$>$J)G-i96928%T1Ep zp$LWQ>H8dolD#X*Tm*#Pl(4X-?z_#WsqNTGMmdh%p9MimHHJ2UvtN@im7hCivn2*y zEJUtIynZcE=#GEXtKGVW769)YCj#&d3W(Q$-tBFO;&_ zu#hh#wU;0Ky+w89QU)x?xJFjCSbKC}WRPtAZX&{u3x>U>H_| zPn~D;QPhDjOJ@#^=E!7+?2|OT3|6L&aTA?=ztL|ecaKa#v9%xHH_OwrA8Dx25Xuw}njH|hMUysyfQJ;P1dw=%++|`-@LN z^X*QRN1XB2vf+wK?Zo!mu~q0!4%f1qgc{%FQsWcr3OaqyY3XCAR`g-Wd_8wK?AB>SN`9cF`fjVaMe)+1 zYM*)WL`}YqbY)s zyu{L`cxnAY=D!cpLMnIqyFFrtf_(yUUap1|ZX)9*;RDk@mT%2ks%rVl(nzsr+!lTX6d z4p+gWf7g@;`kht2jmfG{5yKe@S;7!O^~t9h&_Y)9zv?yd<|@OZ>V$<<1uMo)JL%xy zbJz1Sex2h?O*jhQvgjI0aAmgXYgWO`2}3}2_Esf1(0718sYyLfwg?PWwu&FjG#44t z`)o3>+Y#$4BGi*SxY9I?lJe@-^pqWK(88@ANGk%7+*!qo3Y{(FeROKZ~O0x%vEezpH~DM&Z4MS;<_@gcM>rX zJA|=5;8mb9kEz2_gEF1R$~FAk`aH?YR|+omEelpc{^^nM9!TTX?83L;9MWalcjVjp z{nQrc8TXzbd+Lj!yP*Vlh#*0VARc7PLg|v>8f}ffrDS2;Vi0NkZiM1nwW@z~`7j*h z)8@n8*Ua~n<-=k>0!EET&wdKvCUE?`ma*%1NE0)04dQ;N9NrACl0w*lSJ1te#>!;2 zCeAr|!eEH#BfnIl(Ci^zj>xEhTW zZG%F3Bh7rwODi9naK7)>JYP3+z@9rrHv7HIR&8!)BdJh~1@TZ0dti8(E^&}X`Y#o; zI-+)*-;6vdi7PP~2&FAcONb{Yro-1pQF>{pBrj$4Sr_<+9L|Hu&>Gk|_}!j<*!30v zRT)Q2bUYPW`GM-}Zpm-zezB&>suh0vFeXlFWeQ2*uzXth zfO&$-+RTQTPibhS#m28vo`g7F^vXo2Zedz1I6re8Ejl)?ZEAdcrc0PI*&?CMHO9gh z{xB=TKf$-GRR*`gG1>EOf1TsW^-9**k++__)irpB#o3_{Mnry1g>e_PK9NS$U(hk$ z{#MSCPC*_|SaD@{$2@Irc-@?FH>&JpX@hH4`QMWQ`Gsvhl5Wv*RbEHxY4-OooDCUw ziJ5I`fwf1J6GKn+JM~=?XSl7SnQa-`!`kJAUJG@Jjoz|uLe_L=m5jSDzY6(Ld4h~3 zvwLEaR5NZBTN~p;K5;H&MWj3)r`9s-89Li#QM)}R+thf@@~|x}Qlfv+Ios7Gv<#?a z@1haF@p0;yukS+NFuq!Ee(v-f8+^vYv&DEon#^+Vm z%H7+!u0ey@IdV5As(G&&Eu(`WzUYV0ot@|Wt>6aDZM;K{ zicL2o(X&ubjk$x_Z4~ttwJ^SVHo|KK<{{a&x`v@CYrus6&6VZ`&W+GqWlgU6wu$BO zVqxDVK@Wv4xwP_aL;I6d_2Xy0t&3y$6raE*`WLWXN&0b{Kq{n7ApKsCl3Y9ePmt?F zz2_p&;}sstgRH2}vZg2Ll(WeW!!lP=$rC2(>y`r}&P2F1p+$+~CPl^i4p?{KM|CHpyYh(M9)tRwGub_7=hG9g3=a2p zXQ`L>*izr62CV8;OTu7tW@y4ktd-WXYV_q1nbIeMU&`!-bV5sAnRz23MizN*TT8ol zEf$^qS?pd^f<|~g>j@IRj75o#LP+Digu*2G;ZoSq>@O}~ z(n*`W9SwI>GO_P7dv+Nwdp;iwsQX+}&@d>7co4JEWn!+SsOI^8-`E_(eJyGkxbZ z1s=1LVEqW~XBAmP-9N2nYs@%rGj($+N;E6wH(l*s(93k0@zgKq(yIRIbn;6ynGv&& zu6{~&xVVJ5a16THoN3ai+mv?b?5P7#uExk)=I0_g# zO+gx^dTxk1$&3&>-}Yh`VjkIanVcc&j2Y}mG1t?jdgQ~ukZgOSd(7%NdoHm_X^I}) zbm`d9VS2)id2W972pm33skmNrbhRw?Xur}HfIx7@^DbqD(jTjL@Fo(y_}BODd{;Xj zZ;;2YlIQyCHBBJTS-F>s@dIq^=gs`l399!GaWnRw-d--ioD`4jD;Mv@{#uuSXD>r@ zAHUJ*^+UJ2)vX!PoxVOQpYvw#wf0Cy^6z1;S-IDZ^fnP66-427$Qa~!d}6*HU(7DZ zT3#NWM07TWo^1@KT;a7!^tu?x=~+ZXM+li`Q0X)6ZF zLVsMq^X2sN@D+1n#B7Em1=M=om{+R!;{PDG>o>8h)}*=zA(fxFcz8Gj2{PaDL(Y0E z)-`{})PHWPY8=uZM$%O%@Y?J-OGQc}qM^%Fz+%2>l5yq!RFq=>4*3V^fHZylwr%QF z$^$0h@_hulest5$5}u}qWrRM{;L^aOulLSEv%UAmncmVX(aqj{{}%HEJuqO_&h6%Q zRgM4tcP8h(J*4x#EAwG*5OP_PP%zmc1YF;PyN~DHWLfw@BR$VRX&Fm85wo46FEm)M zy?jG3v2I;@@lcU%*J$Ln`LYbjAYw|=dW^fe6TFe_AY(Bj+Io<46ciG)%(!YiY02`a zzw57uY1n%P3hdw9G1+?>U);fUHwlu=ZeIu0c zBg7QGdmd}=`Bm%G;p9oO{>$0c!%u@`b>Fup#M?rcWnGlfj~VKz4PVxKMq8v|B*Z1k$gvsB(TRoJ-V^>9x>j?<&P3$iR5leBUa4 zap!Qbs-#U{4aHf;<*|u;U59bO=OD;Ax8Cmk^mmDki3_FVqRh_6UuWmu1PdK%JftqRLg9M8(yjyj{0x4y1xSi8H=_W`b_lMTm!j`U}%O#62EJ2Iqb z=KGPB-htfA&e4>?R#igIo##|0w;)b^Jjnf__r2FlVpYrLd)vs}>iN&6Ox2~UuIs^# zESpD=frqW-I_el+X?kz$k##`>{@wrU=-T6%{=a{BpHd{1a$6FjB2+Fhl_I2lBvEE6 zd?fd~u}c?PuA@RVCJ8Al_)YH$DBB^kZ@TLB~bUl{+bsFzV+)dDd5YGH+%pLwRxCwxc2H3CZ~O zrY>h=gB*Hutxvsq^6C23Zi(e%i3&O^|K^P`9Y37%2Z~Ve0Wk$bb(PH=T^niL$lr7YY zSEF1Uxzh}JRHEO%p0;%paUx3?nG6;pu73YRcw|(5S9m9Ms|n$lrRZ6^Ex02K%lra^ za6|jVpW9r<=taWdN!?|aU59%l@mO*%*u=i!M9D>xSzxritibBBK2u>UYN+_!@a z>FbKdh=Id?E4<1VG3(IfH#PRHLRRxCC=mzrG|xzUsm`ChyR;B6IwEP!)oMOJtb{r z9J2>J1!+{06Ptsrz_p08#v|FyKCYSM19bo6o^nd9?KM?Dr$=9L?ItEyiuPn*{FKeT z%&P(DcQe1*BExGJl)4C>Ga-K=-(SA2vDpOURlkPyT&_-m)rIov-IiGOiD?bPS=y-$ z6UadM!mD%MJs>;f@M1@As^zVf)!>vD^aJ9K)P`sqqQh|jzhdxKt@g%YPHfy1-;GYs zU+nvT%eZENdBlx$3!+*c>*TCC*U>(^x!p$0p%~x-CEx4Vr359`)w)XSvNN9#VBtex zcZ(0i9gWk2F%7&!;~I_;MDUHbIpu-RAJOfSvR^B=I=Aj4KERn3Tna8np2Y@@KBV$r zOsSso6xbhMc|d=zv$Cv)vsFxf`uGVZq+K*QO|esBuhs~k zO_a!B6?2?|Rq1TeH+=y?G<+(T?`!q@X9QXGZ z5LR9|nV{h5?Ys-5NN=1R^%&K)!yoZ918G|OZ%&RiwMfu@P+ID0kX}^s#^F?P*?_wT zGX#P7enE1}v4fJ?a+yagbjI+%q5Z8-wG$5&Y*I~E|GK3iyk{mm*%DUX{zJ>>=MI^@ zaax)St$Irwq4Wn)lTo&SC-SkVi0&+HksBzAL+#7Y$YZ^%@=v_In{kLdgbaEDPa2!} z9p5D*vn()gU-Vm15(0IhrO>fF)gDwL&qxsKjo*BwLZRv3owYDa%r4@VF;1}Warp2t zDqbSX(IB^_mb~YgF>P?gi9JGOHm=yd2whw!@bVQT2LY_YIHhi~WD;IuvVVa1oxqRx zE*mkunZ?)&^BihL_HlxJHG=hK-r0W2=5&U3{5H0ptaBcHJelp6FMocm;HVx2ZvneI z)iu#n*L$%t*XjxS{O*ktZCq?<_II@1P|wJ&HO&wkED7-1pPs5EOOzyvtj()g=LVw+ z2*l$M^mDM)Low_VA0`I6h(EtXjLZ6c6weyJiY}|3Q^*Eend@aqPR)}i0`6qSd4jUu zgxprufaW;FiF(xostyhn3$=j_c;(<2xz5K`#mZCzXnBT$B<=e}e-(E})_aWmw*BAw_ z1fajsx5yi~`L<`h`rgv?Oj=Y`63rH;a<2Kav8?Oe%=V*|a?f=fX;!!1rzMm9g)bt6 z_z!OvzYX51@c-pg@R>ech#!Yx_&r0_E>m$?J1Q()4!MQUvpLrQn+7KzH(i^0R`-&H z6pttok2>Y0SwDV-g0r*nU#lwgQHCgPqMqc-s=DEQwdyo)PAV;03vsSYT5%VTw4*5} z(u!EL(q{dvZh2c`$$P5{nLS&;RL6+TaXe-{GAEodrP~X7aOZ=$2@mxVWQgtp2?3&f;lH}vwG40aO0VCmRj;TVv7?fE~OxkoC=j=x?U5CEWRw* z4sy^E&MYupzNKsvCOoATEE9OjmVhAUmFv!B+a{t|{}Cal){5?#xA=LO9mbhi=~b;Z zw|!M69Lc~lAGzyyo)LczISj}M{8vPf%a$SOh-&R%IA<%mc4u7mp1<=90PFga3_niI zF*c(H6LYhdKgnz9f_@!QzgS|4J>dD!;fOP9u_9`}a_3 zeJCkx+7(rn)r8-W;RGrO-cQ;`Hbs7_T)IlB=zhxd8`Yox?ExsZ3iFsQ+Pc_^Z;dP$ zzlNq?DACF-dShsRw|uPn0Nr;04YO#k8a3+^Ugp5Hdiv|4;u5Cj=!m_f<0H22=Y9ih z9cWFH9(HEy(=+lje%PAf(<_dHqKelM53{AWx4*pr$HmGUg>&1W6|g_`;Z=|FtSH&d z@3?qCa&+t)O!GVF#&J%dialxO7tyvR5v-c z9`UEFm1r62_j1#x6ubu?-NBMu#wCbwlJJlE#iB z(MR*>hu4^`WA7@cEKmg1M;!g5y6__vjBGfYWu*&=V769GyYvW#emqni_wTQ5t&j2H z2&$67NH4G^L=?-pD#S2mqy|PP?1#4Nn3wlfQzagjlW#Hf|32riu7jg(gPoCzW-vAj z$Puh@Q~}c>oVs_$d;Tndly#aoDQW&}QWNw(eN0hRU-%Z)m7&kbkK&h5R?}5TKVFNQe60Wolg2vyHve*4yh*1e zyt2Pn3|%~>OAW?ffo+(;cWOC?J}Gr<;Wl_$>j3z*T1`=#AcitKkNe!TY8SVAWYoMJlf{-QOvkB z_X{*}FWqEKescQVNYJ5Rg|>WZ{Zc3=r`WKa%{$lfV<4Wni8P@3t|rFu)eoaL6Q`EV z&4<_lk}Ve(xG_Dc{;sxW#2|7R=24CR6w2x%3gAf5A)SO~CsOi)JF8R}$`n(e`WmQm z>H17h=B*JD;T6k8Hle@hj1m4OnsM;xF`)p1s#dcHK0g;YrD zeFz-Kzas@cd@m}$ke}3U(kmU^$3{Wx_|n26DBP#S$?p2OU2`5rY1VaXB@YYus0}uJ z`;epj^~3b*9#$joRB#8cOf>8l^N;9|&Je>Z%ao=wxs?I{ZvuFlY;0OJ;GRncW#_zG z$#)H2L;US?qHFf0cHhGK|5N#FZ7O#}F0poM!5O)aIRQ0hoL*soUYJd=yKwJ@M?@mnfW}*KIj9#S$3d}4o&B)P0u8xYN6Jq zY@BH6!+#&^u9`d=wx?BMKP?Qtifp*xDS?6qy$_R;!$juRJ?MlJ$&u^V`_vsnTg)dh zO=Kn-!7ydeaRr-+zcTNiJksV_F4c8iD3#*UdY%(1GV8On9=&*6zb-VVitp6aLTPwh z5Jasz)7gyg+L%YES(7#uE9zhOmH)k?Nd9}7(aCTaAc;Dc$*6>~su_0SVj z)0-=9pq?A6Gp$N9+$v4_RmKOFlf|QXfSz7jcF!AEt{|Rf;wG(Qo!;#){Tg?Q{?fO8 z+1+Ggbbwf?!n+ZDkZx#6@$BU6v5G;ml@jq`;8Sgia*#Yga~eMTsIHUn!C}~L52PtQ;^R?!Y#_sJa=27=BrH& z5la{EzZ_dNT9^UA7IEG{HwfQDW2d@Oi%Z*}QGR*tCK-qm?n%M+hskZUgVVo@H}lJ| zO>u_Z=QojtoPrKd??4SCC3z(bRth?5k_3c(8C9c*L{fl@ObpwV>M!4Up~pq8|HbQJ z%7A*Cy}Fm!$SJ7Y(#^ypk8hZj?}3qBzsSAXc7DlyO#2=E=-F})O>AU* z{$^q5)1{*I!m+3W^tK2w`&0%_B(WR}4Gibd44^C<`cdNm>Z}?iChg&$+I8MZ8RWO% zj|^p=Ngeu!!yDHT)N0Tk#)#b{LwWB2xIrL3hcoNtb`=(ehgZF0NFk|u%DVhK0GTCr zwVToEMS~^xY0RVVd&8&6s_L_Xpc2%#Hj#+@@ptfc&n!168dRcJv^qrNjm(E{C?8x*vj&l^Y6vpO?k=Nmt;AJ+h3$9yvVX5Si13h zMk6li{+od?n(tPQ*cpSGM;9rEokht~2)O-h_m5O6tRwc7 zkQCJAJ$@XMRO4V`gb7hFD`k7=2(D7JKz8)8`)2~uUCSN5ut=2Fm0(-&;e!UFud5{P z*KQ2viVvi*VCHwtx!3A85Lgw;xGYV(|KOx!_H#HHb{AwP~tzxwjmVTG>8O6 zs&ru?k2wN4B*5_h*h!`>ux1{5KPZ{Z*J3bxl?QeZeI9h2u$X~$G<-%wLY2mpImb|R zwZ0Csf%)^$s_?dlgqOIkwaq(Ld7EFo8-F-EVkyZ`X)IwNc>8Ws;X!AHWCM2%{g3cB zAr{|^JIjoo;sRuTbv>OFe~Ixl`6=JCRwp;fjc`_NubxyH3HoznfPIlL9R0x?er0r* zQ*e-4==IY)-l?$In(Wg<3Mw|6s`QQuSSk=U%&Yyz5S&Lsa5?BNjIFE;R0J(%{s|Ly z$hRe^gR5n|t!t<_^Y9sI-q_qWwkkM~5yyv79a#(+=F@DyeKTSsp4zq{e=5bzu(M}^ z_uCCIv<0}d79#c$NEdIz+jy=Bg>|O<96sVx?MML`YEc`YdDp6chQ|s+}^T)0=19Ye|NJztttrH>{IU+CSN7y0Kg_s$kWq& zqWbiS>T??nh2ECsf#R*}xnEWyQs4JGkOoFb%dBEEpy)n~WBO=P@yxHT#mWBj-?n*% z@!@x-B?ssaLPh?sisutrdBUC}asXnx(J-f=n>b$HWk$(@?ne)e z;8!yG-2z`bHY9_jiu*j~xRV~tq@-;hN(2HCF+ZVOcxh36QC}`hJpC<^n%JEd5Zk5-(QzQH%EglwvdjF z#L(RRQN;C%ph^6~zKr1O_ob+|5!M3l+3AJ!U)fFoC86SOL99?+OOq29-}_%9IIf&1 z7)KvQXiE#%DQmm25h^bbqa-{11HlX*+5D#|)mVmRvwYSLss=1>o zn}hQcVKiyBiiGz57-REC9+U{+b4WyCN1L=fg}z0zTRYHGetHP`iyIKwW%JhziToT! za}BpN1GeTL@~{1g(H85w1@Gq?f24uIxdkhdLpTa~ZY}c9F<^PzMS19Jiv>pg%J)tH z+MfpoFP_9+oWk*HsL;i^Nnut*_?T|7>*~KYb;U)dKZ%PcSpNnJTg+ukwn-V~6oYL4 zuX&OpSi4u*x+X^u`2rkwLy4Zw-vNHQcW|Fdo82CE@eM`#?_Q!RfZH@bGOIHXES1{i zu>-@vm#+4zDn4M;_X!;1Knovi4GMn^$xJxEUiRuQT3$#r!1`)QmFtEM5!dBetRisQ z(kT}v9VM=gQZCfv7}VhALRT(X^)#d=6>o=a*C^k`$>&8qz*mTTAkVBQ=sPKIL+FQz zRQ`>-??szF_Whx6Yk-<}JriS5SG~U$E~|^R?Nn=}OaZSh07q(&8^(q`o8Ad5{-iA$ zziTsEJ_1n`_ly;LrN*Q!IFOi6yYKChjAGX^^NP>Sx#T_!=9KC{#l-MuaD)CLq2X99 zxy5+Bk-h%Jec#2TCW$iRCk5%auED(7(cm_^;q>24cJE{(5WvGsvm6M?Yr%(u~Y?<*&r$T zOoqRb?k?^}1h#13T?xAC$G*R%CmH-^=Yumctgb8@LG2;piCfC|;4hz^Pq`r*052SY ze>huxW+C~GO4UwzF`eNw|lEWo{h)-%NL1@Re3JstNLCmOH2cH78{C>)d{@(H=tO5dxp6 zH8rwTCw>wg=#4(2^)IlRkcPb`CqTuN(0-+O#YR1YX3Gy@t=8@~A5ZqX$ zqqs))%Sk+hT;dl9z`gq!3ZFxb7(e&KG(<@rg}*3Ab^1!W7{hx}YH1o$Nzkz*Pa?59 zrnOdIRNCM5H_8%wq3&<((mp+UQEW)@AX9_TF8mVG@Sb(JcC#K1b|Yo;qEFVNFON9Bt_D#Ee{!$QT9ezJ{|^3K;;ubik_ zMzXKxQAS4_Y-5iqmH=I(5Oh5@!`gD0^KTKaxv$F@5FGs!Ly=u_C^8XQ;Ie&XD9ZX% z55`U(T!oy;VeTlW2*V#7p_u8jZ63|&V>6bl{hs$cvvM_v#YcN%09Kp4*}kYI!GCwHvJN2pbX)$G*5 z6V16=K_(7GxSIdgH?O{B?_u5hDB@uf70U2WVUHL1zfcg`hYBJz&*%l0tudYSSAvwB8dc=%jj(fXdQn6Mk_v3?Em zdPYIpF@HHvI@#EC8LM^DpJ4vl?-4EdlwVSu_Q?7(EwF=Sd11Jldj^L?00PO&VbWZRyIi+ zO~dbmO*Vq*Jsf|Q=S=psQ5kZ+)U%uYh>m>D>T;U<)uu9?9rZhdbr>->+8g?vSHE*% ztoj21Oh1({Xgv3fwhXZS@$Y~11&5)ublZ#clcck0_tkpVP@WdWMUjrU-@7lyO5g({ zD6j358u?Z&qU)Z3aZ&gCtflKRUWi`HM=vNmt%oX~3TVZ4=<@+ld7J5-fCFuLM{jcP zjQY~-!Fnk=aP}cBa+_QXM@}4^X>OHWgHWyTII<4JyX(gOU`2U_2R7DO5IJL4p!T(> z_rS9uawUF(oK?$$7~brlgt>CHs%MJ!?ZeMbb^Y1i6l7%*b z`4?=je{{7=hEmnlZ9px~Rq-3{ozWkJJ51%AtAS7%5eUCFL@RHaY{`+L+5A$vBf9D-gZA-^JX;pHCr3s@g z&XV|IcT_vXCp+$-E-!uJZLrykh<{{Aqm9Wx277b0SPWE7AD*-op^Cc z#Lcq)ajPLvTgbBT)7k8EvBr|IW7MkuPN^Crkm=ou2k%BJH#ef|ejbrSq&%oOM=mfz zq-YW!1bW?IZ|wjZ21&g~J~DtLKP_#I(1!2xmbwGN;=cm@2Vx#8qP(h&sAgF1C218A zGa%|@v72yRTx`8E9-VeJrK2Kqzi23J@dwfOx(bJP%Nl~?IrhcjLfpG)Ki8s`g-X2h zvD=ka#%-1W94~A+W@S5A zSUW@I3 z4b1A=j1qPYe{Utnv52SHM7Y}<+O=^dV0-LQ6`1{;%0A58&MsKjD7c7sVq%yXmD14_ zLf##IJ#^lTsqo-452G~Bs|~?uuQs81$KW15DF83B0KR47a;`hp2wuAA^h!V;eUD}v z5gzNKZ8Ob~r~}E(%v^b^;ZJw8z#3+&`ms zH@+L`srX-E<4#g7a(1&tP3!DMpDByHmXpMk|GSm+JBg}<2Xyi+HF8rhkOxMn zDLYpJ+g@;jgxuY%+B4dlZozkA9wp`c7i)}A`XXxi^4x2^32m9OIZD2dT7Bm3bd~2O z?JGanlvDEZ^p$1Sr~-=-92}Bg13-o5oo>4GVB?=0=0IhR#$BPz^iDYh>R|BWkVb=$ zjXQi~I{VZb_0Q;NXjZKUwOtk*EVEX*h}y`M}w#MHET|fBXpv1=2*y`|H_ul*dTp%u zNcZ>g6iLPHZ;lBS%cVGlyZ0s*$_h^go>AHHuVB3s^wLXB@MP+(mRf$eUwE6s3+)62 zlg8}0b*GZ9{_lH8PTvW3_UCW(4*P2H^w5zQ@(d<-tctop^AZt6qQ$;ZJongYe$3IKp%IzQ2SNPA9>3p;#(o0&~KH^ zmjiwoDt^k2Sg72}$U3ewcI+he=hC(XTc7dMFV3;|>OKwfrf;o?3Y5a77?Z`TzULg~ z^(c`(R{q=DhD1WMoDW|US_&K0Jci|tgo9M7)>DH6CTd3Sm4k;*oixv~HM+EGf@acS zI=a1dEu?Me$!$f$`SkR`s^O?DON%bzaDLp4vh2 zYC_(vhS*E$%B082yIj?#_wOcDNSg(^$~C8i7QU|b<1}w*tuB2&ua{Fh9-IF)_SJmj zz2EyZs*s)Y5i04~` zC2dPhdh+SORvlk(U0QP|Upm;-k@Zn6@__I^Wbv8X7gS$_b?J(khC{$=6h zzMwjvj;l4J!1|K2(?|CGF8!x$Vcpr%-lVLBY2}RUW$Tw0}!6_UL?r`Ae{oa4w^{snn z*3Og6Op=}KJ(fH{`|{;W4Fbex==`~hexjPGle4A09SJKt6AKe7iKwfkjR^@GI~xfL z7c(mxGaCylJBhNXlbfZnDamg`V`~yt5@l%;byFh}aT80I&zy^!ke|hT`Qk4BKkx+s z^}k4_`d{>bIQNB5ClYUYNDH86s-`33%isMrl{g+0E|`%)L7wp|J%a+$N=hmOjsg|3 zPz3!4YBwAWUDRfsde2Uk&hR!cw2%${oeYiI6h zU8Q`_$x?-KS!GpMl{eMrb}>9J>Om6wr^s3IHIz7ZNics!kj7M>fZbsW>0JgDP*OrI zS6#ieR&Wg)+d6_`_42nbpbukI7UvRqNFZLtSx(4#8=1~LFFQ!~W^Tof@h0QTTq-PS zkvYE-tu7f0wH915)z3x~Y$_KiDQfED3C8F&cg35=gd&O5Y8=vILq>EQI~mnljM*pc zN?cR_4+v>_U|l|#60?+c`cg$ZfD4(>AExvy{!NufANN@E>U$Ur-qx1PZ;~Qtt0DpFX@^Ocv@#2(nK((@ zj^VSrvIGN@e26PonQL>#4Tr`oK2)|wS#~E8#^uU+38bFUh`-o*l+BESSv^M(L+zeK z8;%$HLRZHUxn}< z&{609-8C|vUVh`kvS66g$Zrra4jh4GLb&pge(`0E)uoq(wyRqqHXLW(VsH4fa!B~N zQd_e^Eb{7WWtf=Ba*vhon`Jz@5zu4x5+F1yaIhBap&owJ*SBXu-`cV5(fGi2FRvz# z*;gHG3A27or`I)gwecox@nSqd!N_In0rCQMCzxLqbVmV7$Ga0wq9{`>_Yvi8q%wcC zVaPlmx-ZYiZs8DVu9_^W-pi@E4FWU_ytVqD8Y>nLsWFt*F#GD5#;F&TQi~@!SgGvy zQS<(0@Pwqf;#BW(HWZ8+jgJ~U$!-jBZO>qg{w@B!)fC20B$sG(=AtD4z=Dx#;?tWy{1#Q zohAD#R#wl<-TMC8HKp*^FLM8@_nN=VRy;2AnDwxzrjKOdA#nWfu3cP)1az5S^wj7Q zf4g6)7P8sKrOs}CSW>l$6B*z}_6*x~-$!0@v4(ct%s|;#T+v^M?vW#2Tl>9}YI-G6 zOPlu0cdF47EgOt-RFeDY5re=eO8HVGy#-mX#)gDxkH*HzCsV;}|Eu=@ z9>|A&U-81cfVy%PZWpWfTduG+*T|#ZX9~i$U-Muib6q6)kWFAv@spt%nG{BqcGW8?CgXn7sziftyC|M`3H)kGTBuA$R6>U zF!V7$g1A2%ndOtJ>z0G&O{Hi~>tWkk@iL&tDc4^XTU!CXw{Ch#me1YN4U?{aI>~}Wf)n#k%I?X6FSAtt>XY$!*BL^ z!p96YwmlddPC9^s=H4Qf4-EfXNzeCs9#;g6n=Ev~+3G`c!Aw=~$}x7gEY}++2(VUX z08#b<_p{LFh5b$ww0#Gtyf&RClkR=q>Wg)+ARsQZqsn<1f1$n2vhuMyWk@a-ka>e4A`ldK#ORignO|UN3rrWqAz1?uY!@4sN z%0s`bT4p1Q#`zIyxbtbLCk}ZdlQu=HTJ%OrqD}U#VA)9eNCmW@)NOIi7#^HQFaRJu^pxpz1FWG$-kQIa}5+!XI7~u}gkLUc;g$M7)J%iqZ8Uk<4JpK08c)lDV@^SHsOE3MKsTI_;$4VCBll1ZwIJRDJ z(!|v7GPT%NGsa){vkLPb(DpG^^#PqV_B^+WzgC*ai@!D_-e*mDdjXTnNXOS7W30Bv zbgnmAOcym~x-5SoNTg z2wl$SwU{v>z$-7?E>s!UE9g|)7|j?-O9XyMiPN=4P>}1#7^f(Uyi>fb<4!zx{{vNm z-ygv2ziVgD{QT;TV*~^z*WazzHIczCuE zA}oR#^N`nlmT7lPX|Su$19PH-1B$@X3Vo7wSWUC@J59M13p$QpK^4 z&aJmf-{cPp0%UOVn@*Jgv_p{CI*KDu+wNJ~|KS7m2VEKZ+b@UmmyWDgC#A-f@=r}X zYdpW1GR)pluM8_hHTcWl{F970&#OXved-_{Fcw}WTiL@0Fc`naiJn^ve-yBA-IFJ8 zl+o~VDnMlKhFb}rtYE7)=Y1SM-S@YjmA!Y@-Zbkk&%X1gpPPc)67ycEd-rPfuW$9y z-viD~{lv$$bIQKRJJ3AqAZ72T0*t^K!mUD=OzQVdV8Z@pWnoUT4{yP@>b%$PTph)a z8k#KGSKhW-_eph7*~iX5CUuZGSRZy|*>7h0P9Nq~FMn^$n^K{G;cvl9*lUWs@>{dS zryoe|2@2>8i1>A6qd%W@OA43x>sjYQY6poe&te*#DO4t_h*S!?Zi)`;(Sq+J212_(bK~?_=<> zrSVwKno=5h1y_Ma9RmK`SIEO^ zsS48a)5;`beh$8kuFL^TFB+$_pEp)sCooXqVoK$i$ z%CckHcnpF{zYYDg8Q9xHroMurQJ($c=sPBq>-9SG`=trl7iIrG`zNR8U_JmuSDrq%nT7aM~6&AR&{H7~IC0`u>W;(LH-)XfXX zW2mF8Ty{X;Y6#ebkfF$%va2vaoO+^l@}G7V+|;nXl?(LF$vb+q!n8?Ektf85 z`yT)H`x&hCp-tsy_40ug2X4{Nbg%rcbs}TsYW;Xm)^xNog#GhmQ?9A^;wlvD@?>Kv zhi}Hu+G?KfGdAn`9sm!MVmB?s&CDN|6Ni59&X8dI1qGRh$yFe|zh^ixiagre+bqgI zf71YfDIhIa^0CTj#_yX}f844GrDu>2a>loKhrelJ_ejTqd%Z~Jc7++PDYGeGrp=T+ zIN2QTuE@5z8Cp1?C`mO#lPw(?scI*&?}$~S!rZ6BBt{k`EHTOM@%7laRH~S2<0W5L zsy8*FqDpZz^@r=WE`G({J1Qu7dK8HRb#i|7?tXq0_wE7YfpM3r#Gm!=!>Ks@^RJ`t2Nzh$w@EML3ZYp-VoCO$==GU z*1tTW0ilj>46TD^JZu=#v4?iNn~8nAFk9=&d@IYz)yJc8=lMjCEcN^??@C=M#d$go z4}z%`?s7q$tZ9Thkm`^9nOu-*xL<#?>*)~UZ1SU4hBB-d=4Q8z05rh~Y z*a|Zyq77w|UjxC0$}Vy^9)gf?5B4>7iZsugh#x~-)N!qzRgdY^c!(~g;G6JQHAQ&Y zEVr>RQQTHeQ*yGn``7v;5gK-OQ!x^=(p+q2HA+?lHM+F?ThqkFp_(aA$;n2%XP7SI zrOP|anitC)^K(4)3UVyVB{EIFaNNI*&^zlJt0EbQ)*R*a=iN3kaSZg#m6y?TKgzHy1Ny31Kkf;uGgE z$NV%N`%nLzbqC+7e!AMgs$_1JUxuD)Q(-TsHP`XT@C8Lr&9T4Y&zn}>tA{fzIBrr_ z_#zzbUXSYhR6jf?_HVgiMY&oc)CO#yCp5Pgj9fXW^)?6o+Uj(6;;%w=HwSx$iygmFjRT2IQHksXfqAXK&|N_`0@MpJM$f zHu#{nR+&qtx(?lcPcwfB^yhh7Z3@A;)3Z}Fz=JTsKYow&17Oz?3LOL|N+%unY*6uAb}bkiMGXw!L${ zVz0ik-gpYByyLF+;0n~bvwP^Am|eB^PVYMEX8k?z;tC#hwSkEPt|Ahx*5Gads=AL^ zi$bW8 z4FH4`m-nu?2UtE@u=tYT$@)jbINSUT=9Mq{o6Wvupcg$BJL+oMa>`H-Fttq!GWoc2 z3;>I*Lp&(ZBiH*!ItPFkYoN~aUI-07ETw`v>5gO|Dd1HSqE1Fui%oX-b?!`q|~nlL2=bB%wEDpJWr z?@cX9%x|4EH1J~ydc0&*$)Dcj1jqP{bl(UW4pvlI{|Y5~Hl9<_N*q~ljMbtS^s2mL zu`MRLRj(25NF{1AnoI5`dhIr<_aO@cgIRw^9=*TeFvvkPtet%iUXlKJD)U#xXxt!r zw_4K6c&^)4Y&}8Ce87AZuIv@DAmZYd@Ebe#KD?{T_|=-FwE_-dI9d)Z8?%H!9km23 zwvvDw`q0=3`{jJ^-h2zSne59Cynj>_REAqw(nv9c8_R!wJWDBC><>{OW}b`{P+D-B zg;z@82)Qj+D(iKhQJPOhSO(&u(ZduS6YX68uwE>cX!wm^0^XI1<~qw3Y_G@Dv7`0k z7I0pO?-mnieh=Bpd=h7M&W=X`z;{7xMn(h-aw3{>U$O#k7NZCZs8KQEhvJu3qq37@gEtKwZdAx8Azg>=t7xXz>3hIU-85hDwYog_iBre zPjdRT;?V9g2_1(a>l;#g>}e}CrmXS zo;^15SDW{kgzBYT@6Gw$Kq;0krOU)vv>NU52P4VB255Zm3gcY@E}!v|ZwTSvhLB@y zM=A_2lM}^%rAlMaw%$w`cr2mfZ*Hmby4gA$eKqRut{$Y^!Oc>$)#`G(@06o2s-$sj zQCB}Y9kY8gdKr3!5KtA;# zITO6)+w~9t3O;^<#<{LA@2-anNcYDl#9!!&o!T3m`W0?B5M!e-^jw(9sBgL-fRH^1 zyP)(&F9KE@_;+2;|C=;*f3BpHguRS?p00hB{4g_yzX0~puo2*H0DEA@dBnVP)C)bA z6L;t$m37%XMk}iWiN=9_nvOTl9&)EFCEmFS6IT;b+wQ>XD!F8k^HI;piS+i@(&bQb zJ2FvdJN5Xw>#Du!GX3|82tpIctSaIiC!en2N&6qgFYfAhs+JGGH$2RRp8qkW>6KX* zM7t-ZF&4VCc=y}?>JS!EAK7eD;;X6bl9sz|l)~lTtJ~JF0f;JimU#}RzyBsgdX&Gl zoBd0RL15==0zHBmAZgxl{bFpIIk{F=wjy$Rah)es%+=vy519BX%kzSDLTImj#QsmG zv(3KbuG*dy@ER|IOt0r-W&TC-K8A78!uY+C&JH84Lk^=8n9Y}- zu&;h|Q?Kn_eOL0~LTZG(s!^ny*ZHNy#ZNO#ly=61>_N$9=?F9__o7FC6Hy&+Q&TOSej!+4D12uoRK+VbV^ zM09p70wB7V*hxWOstLgt2KCWR$X_Je9#!Z7)#LY%GY*YG-*-6U-l?dmp`|I3PYEsr zQ=AAbL^pJ*eZf1%pO+s86>akCodc0;Z;qb{Mb+YgmpP;ls83t|P2B=xE!RxSj~tC?Cm?YoK|TM)k1hGz47}qd>q`QE?{x`` zg$JXycEBrAs^hW9?!RmK)0czCOy*Spzup5n=OLC6k{_9 zBPCH?s6$C(ZaV0-L-UC^R#rSaZduv6xzmOsn9VWtVdnCGJbE-1Bc^mhf>xF+K^EUw zqV^}dYPWiSBcxaM4(!1LPlPF-I@JUdufPP$r?9yMoj!F z+d{EC?MJOter1Qc=xVe?l2KGfO+-!kN~`Rg02@9I(CC@@s=wIUN&HR>@jF}z1$jZNU=jC~^# zuAbZiXLh;KM9R&hNa>XW#X<{v51GO~Zts4qT8UoHJH9Q1Q1bIpLt!!f_P>smZoeFjkPC& z+XvB&&N6aVmCsUdPG=par#qxCl#cg1q3a^{u~aDWvA-!fB6IfYPH4;gQ*xh81}s_O@7&Wic}bQvHXZ-u=v zC@SdW05DvR5B)8(%P8}?LSHvoIjm9GK9zfR@N>QWWmQ?$Ihc0y_X=H6Gp|!Q^=1!O zoFlK(zxde;xb{aOUfKJ>3q;l;wHY?+YLlC`a?%o&4SbMjx^H53rB`d3iM2ACsl2eV zTH#f!nbKSa^(HTG{yyd5t?EQcZJfEek7!mL*{HEYEU8wsi*KsL|4Cc5Dtj}(s5dfj zwo`GOjc-~Kt-P%)%Ucwk>{yutM_aZkvCz#+ZNou*beB11xy>XG)AO0k_Nr59$sW|bRnq1ywX>oWEW_8gq{i>94`6r z{@jY>(>(`72u-SEhzbxOQ{mhX_)#z#whwI6_slx{sbPAI#Hsa?w{9QMqgP;RCUI{L zW1!JaiFZy<)9FdS=v@pw@9gAwI@W>Uwq8M8^bxWe zv1FGoJK!mi2RhdNtcJXlLS!Pm$X(`*?aBsw#A|+orxp0P254QsYzmF)1>Ta_)0dL& zVf0koe8kM9xNf@Y1@S$yau&*!DH!G`mw1zxWkBtwK6W{Cj?(9@rLF9z8BI9>f8e1D z@#LMcI+dFDdO)8Y3%kgO4d4&EZ4342!|Uz5f2NSoxa_yzq8J5v>)aZG5ER#o(&q|N z3$cUMw~XYO0;4-4IiJiHf;K5)h&lX7z`K;O@Cb3O+BFDNtcJQ@^@N^(iQRZmT-e9D z$C_#wN2mSe>efyP4RPDhYh8!TxuU3df$`^c*}v`K;8Bo23B)#UIy}*U&W0f&yO)-~ zso?l={Ij>eyi*W!T{_oBDP(-qcbqIiCR(E~DXI9Mw?mDA$#>{;GUzwmf%cx&ucoIA zw+cz3+2^#t=OhNS)3^P|ArmVZJrd4zt@%ewOM8qOnV)=}{Yrc#ue0&Bi;n!3@XJcc205}CM;AyRQe!5L zCM3hS`cq9_8I6P-dVE&djkzx?#5-QB!KQ3>c#*tc&G48=anlogC{6o^bQ?7F zoob&p)>V%MbwqsIj~QA(Y#06cZ}89W&FGGPO=o-WLKuj&owz0!^AQvOss(4lhkpmd&~AjA zW`AeXm%CS@{3FEJ@0K~m>EwGwzuqp25zVRE#LL2_oiv(EbpypXtf&;PhC}Hc<*0VY zP0m%d1V@X^{xQb@N7KJRS&E*1PHlT7v&fcAiC{9`M#{99(eXS~qKS;CZ4kfNRC_G#Pl zb%k`=D>v?mf*_3Cm&m3Oel&01IBtO9`b+d~*N+dTGcRfve&ig;2Y3qYZqg5Y3L&_G zI5gsbx8UEs*d}0H4ohXe{$8G5XueGc`h7{V((FFc9{z<75!qMpS61$yK8!VC+&jc@ zf)_zVtHC4VKyIE`Snwn&3xccDHkfpXoAjM!{}%P2&J|*T`A3l6tUz2^pc^l`Y#*GZ znE9LIVa3Uj&RyC%i)}q>+F>;(MV_q52>B zht8oE-Xl3)cNwJs5qDAXe(VeO5-(K6fRH=ycs-cjIFeqb5?xrqcjSXT!=bOXa85v+ zWB}w=QTz`!{(qAn@IeJNRLmB2(1G2des~_V7BKr^B_8Bi>B7PCSqW~W3uVPP8&^(; z>QMq^^(T>UedNLnH&U&&P&N8;Y z-#W(h13cJ`lKi1>n8iQYH`&)>dqc#5$V->~93vlwHjWY>46iV!*`CvZE z9k|R>Zi;1WCY-E;-v)gUKY;T)^l0`XZ+JuJAL`^ngx8qi++@cdJW$uB;oPKtnEPb~ zxgY(1UmgN~Pk=d1lxDLOdvV(H_BA5j!V)B#mbgXYRgA&P;hx@st)qEX^rK9Z86V{6 zvi=04tdPd_pb_2QcjzpSVzm`f*JX+)gyF=&Pmd%5h@Br$*E`|%;@#By!sI)ItfN43 zRA(Ws_AoMk{+o}VK1fD0gsWsiXxCY&bz(W*Bs*}=>Vr5yCE^YYpZwbad_XvvRcn{P|o)HvdwfSo53Z{#N5k~oc$hiNd z9Al$EkszHB)=rmTv)n&5hn*Ui>*IJ|kDfIn;J6iGN0IIF{9+9Q(~{Z_+A(ANp)I@G zqSBOVv7)rNE?fp|x4g3&kz==O1$)MDjTAs$+V^@4jwCJG0L|O|4Hx9PZ#8%S{Pr}f zeBl16@26Yr*hL34zGm|+Xt-f*d#mgk`cNBVbyb412jCiZ3tK^6+GaLKvtF7*w4FBg zzdBQqA4zr6)0&vzFP z!oxGR z3$=nn&}3w^B(JF$J_g#24Pma~*~69+S-!!=bD^CSXh7iNC`5PQ0+xs_!%!UCyS7Bk z119K42@r4g5O5%KzwRcxkxUQ;JsA;5r4D$Fi6pS=O5^B>FwW{No5n_X9)B`5}Ql=-9N$Ev@4l9~^zgDX*;#0ns;oWThazuwWcJ z>UPwx3s3$GxP1i8Rmyn8S;2=$l0_i`1l~(~zM`;MmaGB9h+|EjI?rIz6KrJOIvLk@ zHWwbK(I`h9M2NipYr)+L>b74j$17MA)a?w%kE1(5#*_d9j~j*D*~GPs2Xy1{~e!uoL(c zScM>6Mv6{xJee1WCA}bw{_~JE8FJs-Rcoe4BMvks7_d9UoK&Lt_*bs6N9|^GaJu-I^DFAfA{1&< z3_?Pw-0aAYDaA4Uz$cC&1<^M=g4rK0>*4EWxz6pTx8QI|k-WI{P4Tb` zV{(h3n|2P{OGl|iVkvJ*maWurPQ*sK>cLCZe0Fl+0p9K3d|b~DSypzD-GMagg~$DN zY)d=9k&J5>Sug(eHZAeu4Bu17A>;8F*=E{fSC%q4NbaAA(ZhM|%(`ICc9mLP&hp}BSLI`fXO6Ra zPJN}f>gqX$+I=X35BQZ$utZ(rNFN2xORS01Z-t?p{-&9Lj~i(gMkF!TNjKRr8S zZ^6k|A;OlZKCr1zW{^Mq=Y?(&8Y$j>i77Go`vmx(y;W-1YhI`%e=u)M4=$r5&kEh# zFPZ>nM=d*Si!FNmrtGBtQ&<{o+Dnj+kT11zsqbJ-*+YlDV$Wx>zrD`bxby4)1v6YK z%VnF7m}*JIt`!{C*VmY@R<0E@MxL60^qcrPm6WEDO24YcqPRFd^<`W-l+(j1cQ&8i z_es;6TwVG-1-#@uOI>-|d-~_*JY8{*fjkZh8)4w0Yj63GHbYr4nJ{gyAN;i)(CJLg zbkc3IIj5tQadSV+ib$}`MDlF^7IP!e)bU71CJnf3%R8xQ-vz4Pzdh}&vC%7;1h+77 z_)#rlclv9J&954rRo}!^vTes%St}1jF2;WcG60j~7+pCNa3qGT^p>0f(=!bPlQacu z@=BExR42~e$c(GlspHb7YgwsmU;>$dC`)zjk5afH!EUzZ&J zbvrPi7H~E5#~O*qs%y6Nu!^p#(Hv91{aljq&V67;Dp;d#^)~A_{y-rX!qFk%!APnOICI1UPMQId#JRILju8!NBX zhga<~ddtopo+}&OfK5+NPQzFl+BGMxn;&CxcT@ZmcRll^Q>~iDX(QBldAl@o&Qk0| zt-~JmtI%Fd{`hVER2OX9D4ShVc3msCYgnfjY&GV}sk5XTW-+>Wc@{oFMftcW(>ilg z(Ek%W=hprIDolIy-&kzut4~`@?}l-g_T-ug+p@QDIa~iXFvrY;;#}R!#>~7;_pw`h z8ang|LQa9Hja%wrdV8J9@Sw|1haA$(&@5V_t=a%mzt;82Zo6gGo#m8PveisZc$0EA zn4YouNernUuas2!s$Oz{(i>-aHp^UpWnUJ9a0ItobLBgmH!oFvHVdmK%s?yZlufi% zmtCNT!H45T-^ok$=VPh+`?8P#4%xHrM$iU#(ivq%EZwx;;=O80$7`$fY~?k{Bl}wC ze~kah8&vgOtf=08Xqn{B+3?e!E7|s2+woCtt;JhZHQnq&+Iv}oy+QZBfWKYNgS|vx zt9ns;oovKnP;M+^a`rDOo0z@eHzl0$HBkjEzBeveDr}=%+`6a|TV@2iJSq{NvORjt zu{~#uv+1`Z*=1zf%ly&x@Nd>2)|S<67HUhi8FXqDYdgw-c+U!8nw)*UbhZSM6 ztttD9_o%kG*ViE4zR$q(*#u}G=im5_nMr(kd6d+0IWt3i2{?iM{3>;uxK;4^xc;bD zsflCSpaP-9%zA)3v?><>g2G2P++UycF)aL_UjvqC4*d%r6j}xB^}Qe9PxB_-H{G>w z71aEsK1#QA^gCX;P4a$!$m;TbJgRxVo!kIG1bv&wF>?W#Cd05lIaAe6pHW(6;4{YwL6zy5cN%k-wb3O1 zg`#(lHnlRkXwVrtl}gJ!+?l)=WmKmmGa6;kO^|fR%W>DwWDY^X5=2eyC+033HqnUT zx29S|MJpMN2K1nhob6Bg0gibKj^OtOxuF7DeX8V-_%u>-9CG?XI%$8lA(elInZg-b zPkl@OGp12m(P=XvR|9;+FBYZ-8Y9X=q}2MkaT00e z`e%heN;&qRPCStuTv8j+;PmKH35gi1vckvBa<|TgreluLjJWXRtcylVmZIg}25<}LSJr&AkNZD4j zVuK5Agh;bR0)}2@0Z&y03QZ_0Q%hRZ8dbWA@g2$wrVr8H8q5G|k%1|ys=bSm5nVRi zpkl>`36t5%HUKqN?5Iy8jV)ts1hnY#w*lDN^$3ERx9*}R_LGg&Bz-R!Q_ zZ2W^CP-qJSLcp>mve0j~aQ^YyEACBafvwSeEArYc?oDL@WY|#6&oP|WgWjNua-+2{ z*J}2M0x?8ANYCln%rilNY@*y)EtnfE>R>@8Q4f4`@(rJV=OC3RH%bd2wKXu%tjl-MnQhh-@+Pb5E>T9KA+HZUg+zFQPjhaxlqOpSP}dN zi%VGB2=OkW<`OKBZ$6>z{0_oK8{-CPzVCLSYao}&yb$EZry7`Zos1i+BEV(~z!%#B z@mCxRC@c_0{&br~9wcLf1$Tg3vG^;4g``IFY@l1aco%$g2NozQpU`T)7kY!8VZ&V{ z%X0n#8YI8f6z@C~>^3Z5v_}P}Wp+>lx zzmvqUv7FztTv+oJ)P)5)&G#KFbPal7i)w{#uG4I`o9i{6&xP1{WY|~~d5sj$g5F4D z)MB=MJO>Pkv6H&3w*e;YL-a_)+`DeaQFjM%Q@TLfUy_p{;FeB`czT14V{E zuCX0&hPQ3P>DXeLCR=5E8vvYJm5KgS8ROZpd!KGCHD3!cUuRG*kklA?c589pjON&i$=_@9V*04hISS@HU34ax5ZRNE0DX*&x#X1+XD9u28{x2+ZUNEhj7MVcJ7#= ziYAxAe=WsiCgD)7Lev4Oe-InekCY$f`)xwd+cAeG2Zu<$_q&~9S|7@A68zba$PFT7y;ivzo&J$5W{rOMC zk%&HLxomQ1MMndZzp|NyPFG{RC0PrH^VZU!)8cqBATaZ}nFmg$$<(>1(jxzLA%;!} za_`Ho);SetLFBzg<}4cJz=ahOwZ?#U&cLhUG7>x8a4;sr&@}1@t|5~%9Bx7J=PVQ& z44v)SmS?A7Y2#|E8*Q~(B)Czo5?rG@$%V^YGQ$MI!f(4@^V~9|UUA84Uy`?pOFW|- zWh9jjSs?1EPpI4S%+cpW<{Fc)Tf0*hfav40^$(@dwBbiDE>(~PGSX3@t?8)P$XNbR zI@?s=(Cw&`qX9uM+Ya9RBl-MUCO^PqYoE}zfiw)(!wL; zD&l8x+heu>=0iGDZg*R~aTgb78yw99<6t!-IJ~0XQX{lu=MiYw+WU;Qr!^2>FF24H zYP~KbWX8nd-aI_;07pK6g^*V?P(*6eP>&tTsXK)HOYC##x~a)>jc631_h&J14DQuS zBd$W^bD<7q3kO?r*3wl&&Zv<`Wa=LZ8B*4g8bJvux78qZ`Nmy|5L>+5k|`uIwWC_f z>QQkM`OmT#Ez&e?+U{Z%C4N`R3~B!Lmfl;D#~vceK>C~16$~7FPMl_xu)&UTA05Lz>V8sRZ zFXDalmEX4?kES6+U5;_3WL8on*ShBi=KPuM4Ppnd(>xZ(fgoX&x#Q{iwfyVA5m-E8 z3!ncJG4Os8v0g3d+NIYxd-3;Pa`&$cUS0g(3%35BWm1ddkYzjI0Pjy~M&IAe<**vQ zgQ0tNZ8Pp5CHDD=_hY*eNuVr3-dmPM?1QZczf&0!<2GQMe<}ud$~kSc-vQ8hAC4Ia zh8zfG=XL~y8shSJX@Gh$pNB((3$gBqO&gPWIM{ma1l>C1P!%FbG|>M;)5Q6jg7-y4!ESz2vSZ|qnB_O6{nm8DT^|3Qyv<90w-m1 z$V{tshB3zRMu;bt;dE!rf=v-v5($sKLJKxzT`6ceIq;ym6p_H3({z`oiWX{AQx2DB z#%iM9QB4^p-{MCDrUTqBoF;ypuT{an##74l6O@*6lY=5eXh@R%pdj!w`!nI)@!l`%;+~;96|yNOj=rFdYBld?8}up91a2km49H**%O`7 zM!B-w2NkXHZ`$JTNK#GPGGP*GGc$T9WdSv_GluA#lRiQ}GgwEPwa}L_|D5F*KWP>r zDy?kkDJ%UsWBZ7yRI1Z_;_5(FZS1_py<(}fcKc2z{i>;}+W61#yr6c5XZRbTcm)8%G%~mR;v&K+$J4QV0*}|gVntdE=eH>=?(Y&5yx(!BXlV#|ze|1~(NwxB zhMq;@VK>pYu-%Sr@u-yCWK`3DP9!XanXRs-s?IHnR=X#1XMQVsxr%ERmMz%I#yA&- z0<%A_8Cu3MUG7j+%fT;M-I}=0)FhihIM~2h7IQB2ag|SgXeu(9j=ibdDJROrTprn> zsd|v`G_+4vxRZGPX|E#uAhGVJr-FYX-s0${f^RN<|J%KU!C5|Bsfxd{$-8jNT1^Gk zRdW0+mJNJfj%O~}(!g((KOO0mom*~q9#b_BoO`3z>qf^B_WG;Tl-U2#m~OG1@9vn? z%FWUZiHaZr#d$3haJ5fzi>++1UzVTDmdc=EAmt_ii`pv(aJHBwo#e-2CMH&YG-A_8 zEYSjfIg-%|Gm)>J2$>;@h9oJD_uV4-R;|rhi5#WBP^ilyml~AVGPb$<-owzS-+;nWYv)Z zMIB>2IwfV9O75LRu2v^p(f-hR8fuIM&MlYJqTUa&9R4yK`(ZftH$a8^pZITt{DqX4 zH=XSy!FYmszF{b|W8q~eG^H6hF)j$D?fj-e(HW#~yuu8JiTS90=bNTl@pA155noJD zrrct&s3m?@wNRr%kSaij92oy?9FB4xF{-3zFpGsH>wNY>p%|y{`hqGM6%r2=6m`O_ z$r_BW>ja`@`b@{5F8gf9pmzFUz{wicoc9*)OHcyj)64;o2F$3EsnN)fj2Hj(*}7dU z905=9{)x{BJdlK5S+Hc^y9iOkGE%%wQSOkJ*vS#sh5s<$Pkw#IoDgx0AJX~h#U0lWoK1q;!1qpu$J@6O<{v0;-m$VK>KgJ;5 z#UOwGCVo67wbc}ez#~sg@`k#-(A&^Za1=~v99$&kyVGGVH1`(mp#R~4v!yR{Cqg(M z-13tdt|)1%6CpSf4p!$oR*sCF)3`>T zg)}KIdTJ{LBJRCNVs|Yo3Wa3z2*f(JC*mUIP21xosWa!v(-Hl{&VSKQc|Ne3O>(33zzdt1kQolpf!WL*49eBwL2SLl09wG~z1 zQzv588GTEq9U*lkKG6TCT{k?boZ}*GQnT^4dJ+kLAUo>WcJCP53z)~mh_hsR6NdONY>A1B3 zY`7P2&Uw)aG@Qz^;^`>*2QN!d)LC3xdmz3hz(Xxcbne;k#|KQfmS?)qKke=(9MZ!0 zH#v)JwFNz|ItiLk_{^X`-+7UqdEl@cRPj-+7L$c^uf6a zVJRj@byKoE@Ak+S9` zf&Kpi^b-s0BabDky@2XiF*LrYW5r)houaX-e1b-FEZgL}pu4lRt zNnY2bK)B`yA>=Z*kX%OV@*v_0Pc=fwtHY4jgdwjBL*DFx2&=r+0})nvrw1Zh^p7y) zpMc=6qLXhA3%LUj-etfw-Qehm|6>16;5dnQb#@FdI#wuJNd5~boL5@KJzoNH7XbLP zbr!a{8^tcC5<>2A3lWHWf#7Lr0fHbGk`utN<-{-)3KNloHeQ@id`1;ch?@xXNb(atUc}y6xZy55}Fl0YKI1v>uy@X{R2LPMnKZia6h^GKizIW6&(y?r0Z0TVC z(1M}CfsV1Eg%uNLhez22qSJ6ds*B&WPxc5A4SK2vVk$xWv@qnQsVey^1cF~B&rK2e z-e((eUJ!9fSdov1A)oX>l3bxrc_2BW_31F=hA`wafH+2n=%3Gega~bK^gx8Rp9@1i zABNlnNVT?K@CXsw-V6vYfU+BWLd}-koBkz_EP?-e81e%^_*&!{r>t3d(wVCTb0PUd zz&O*cI&;&8D%@YfHSUWu+5;h~tNYO2g9??1%wuCf`vK&zw-EC3~q<@ne<__50V2hXmC*G(~iKUrabGi0A|&V3*lJ zAyac@VPJf$FL*2e;NEO<5w=l6{bB65cR0(CDO zV|~MF)5P$y#kgg9OuzqB_imsa9U-K@Cy2N%i1_ay;=MowY<5E!^0_eN2Y^&J_=jO3 zw}c@-3qyVf2vzASUOs_h!tar&M*IN?+O0ePgoR-?@jp|D{iw>6BfdQhxibv;R~T{+ zAl25t*Du7}2H{yR;46GV(Or)bGQ&*0e#6ZKgmYi1dyI@-oPZ?1VY6#miNo|p#zQ<1 zF*iNj13^V5>4F6tXyf|5`LwC7%ZfM>aM+4lL`(OWT8^!#2j` z`5h~|M1{B)gdr=#kmJLURe&);!XsPH!*O#(%*=a zB89C3P7MM)^fsMl$1jS&oE(8!4~%0lC3$_Pxe;P^I6Xz=pD@e0b!uaZ=qc_57qBye zh)uy-&P)+=iYM$OujVW_LbT<@K=7PjR8)vKI~9?CrA&zzB3_b;n3dOCOuaM}Vcm!p zm7L>72r=in5kigg+z3(2W;a6Aa%m9psvzRBAmVZ$LX-ZhgHo;p!gZz-CV8z}h%m|P zJVJy`Uhjd3dj2a|&%47y-s^z~Z9nLN2vt7hfrtiuI1Kqn3aR++$&G+GCK6H47d`ce z27So`5e@pX2O=u^h6f@l`Zge3FE1WkKDf9z)`g(&1I0ESdYB*2QOoy1CL=MbD55yV9p|BA0U?5yV1Z1fP zlH{19J&+vHy50j3#(Td9A}abYAdUtJAs_Jw5vqJN4EdM`B2@V}Abeo5VrXD|(aPdV zKpxA*BUAV6sA$st!ZiJ9=1`q+up6?bSs<|L6E>p2Wx?UN;@|zrFTz;Htaf7eT}?gNR=N0gbEnBK$fG`Ax8% z-v$xC3nG3WMEoI$_+t=pYY_3LAmYzK#9xAlzXlP13nFd4*PXKV3{l)_C3)`G zNOI;XE^71g)^Ctzv-2&S%QTkZ&Wl3Wp+npL zWMn`Y`?HY&__S(jql|Cx@iZ($pjT_2%C@cDYwEsjmD#q^Cuw)y$u2H9KV*Fbwv4bF z6o;@bKEgummX)h(qcCD>Tp?V~R3N60 zuG>qKhq%|_w>x3(|c&w?5?@f>6INpT3?J~6RnAH+=KswH`>j#ZlZM~O1NEKVGbguCpS z#MyJSk06mxGYL z1jp6Df+v~EgYwN`;oTcbY18yi0-trts)LYwf~smlMmsQXl4@xgm#2in7~ z<7AXy1^P=ynDHD|do2URb;vdM=0m znQ=whYaFx}fVRxSUE`p!-8ODI(O$j-^rOGuh~kuSXfa z-l@tqSY_`(nQ!j+G|H|@`7X5hICuEUICor|@||z-y#-~jPs=W{$`+x_*9MMtU)hg5 zGUY-C=JSzF zDD(C8bsj#p>&+;WwxJz3mVL5lUsoArU2n-E?NtsMeOA^<9DQ8&^ z7u`i;dwM;1zPtHdPnnc;I&d=Iq~q;BoovNVoyO{eHGGEUr)ENY63=@s#M{>aO}?>- zua@#E?`JKDl|qq% zNa=&Z?Ck9Bl+MgDGmDfMN2Nf)q(EvTBq$*S2}UC*#1J1rgQW@jM@31LK!O4ZG2r8e zh94wq@PSH9{9yp2=Q}fZw$lPFVUjy{=brDLd*0`+D;^a6g%F#5-SQ<(qLM}?nefxP zxbf$7{B(UGogv>Rsznagd~1f5F*ClNdt-+aEC+ zlbY%zeN(m6wC;c=?x8VC>{Eoe{_VVEHyA7l6nU)cIlh<3 zYgi$M5dO;b_d943<7lv5BHq9!RZj&~tfX-di+W^sEdospr-2Q27GCq0>l#+Yc^Xq$ zl9{VJPbu^zIlCF#@d67%+pfm0<`5}sA6Ez#lCu$68>{@(i19QJJaD5EpVD#^g@)I} z7GV@#ia11vpIJXihYXWwKv-0jo}LF@)D9G4f@q;%Z||x&hfNTMH)V{hGKD=caceg1VyAib`5NGON|3^+@5K2Pt*z`P6^8mjQ$>I3Se2(MUL|)8uDWBOhCf*h`PC z-1;td3=4N?jkdPb*X+DE3&b9xZP>Z-Bu$ZJy3{EwivctW#pCpTy<^@lT{Q`0AVQEd z^MS0nI|+MCBgt^b>ZniRi&Inhigp`siuwlNM34EOL-ZuI`|-F&;vU<8b+UtJQ`j zDN;OQTj_fu4d|J6 z>|7u+SJ|M-DPu$h(dRsOrVCAz zxxoC;UOf?^DD6g-L*VU0IAH35d8=t`61jnzCzUf z5vsEbAHLXyi0KjHBq3z%QM)m$v^;A@gn={?leBXQYN{chvIv8QB&}x9l_Uh*7XjMH z!BVA!O?eg~l8m@=tb)@(rb||JsB(F_qv)(=>$R_-OH}p4&Eq-ZI;}16L;if~_MVY4 z!W#%2(T~qoj(z_LfKra|z7th(bb~=+1-+}pk8_|7`ZN3+B9#=coZ9gh%D5`ow&Bae{21fdyFBq<_ zI$FkGs^^Q>5awvCDnAboN>%u>m`s2HKSRYvn%9(c?ifU~^r7lFY7<{983Y>|Y?%`+ z8~(+TY|UV&G%)vJMH@GPG?)gA=BQRWsEb%#%9vf{RSWTzq_NDSDA0Vjk;-QsHbh0T zBFi>U2e(_DE-I?0*I_YmM%quPu3lf7{~OZCg|B@3<~87syk_o+2D;Mvno9S&yFI~- z$&c*sA%7R=q9EP57FJ9POPjCf(BiY)#I009600Z&Xm literal 19145 zcmbrlbx<5#@F+$DH$*A4DYki}gW_uXYL-~GM! z>fXO!%~bbv&rH|pK0V#1PWKQXARts@A-+Pl*F*XhYg@Rw**H1Ua`CWpvUAZ&d)U~U z({l50({l22aB*{Rb8_*}YFW5?+L&3;elaz(qvfL2Qlizd_(3aYZsY#?W;F)Vs~7|X zTG{`N5U}w72ahWK5B5ep3&6-Rl1qJ2UVbaUPa<1~C`EwLT}t$|E7zUTW-b?ZJy$lC zT$<2Al{QTELv&kkQNu~>v)9lHr3WR7mj<>Y{qCC`!SkK+sJ870dph_>hOIMz!CJDxIE>7dqHbp3rcCa0|z zIYd~zs&_zoVt8rMkv7d=0dZy1GPn72L_mJZiWN}Qe)Q=khI*DRfN59SgUzbJ0#txk z?bpy?02L`i6C4s5FVhtO(9SXmAPgsl~=Ze88AADo7!Pi^f}upb;b zk1U$50Wap_$S*4^>T%i?xZHB10kuRM?-`8^)x-_eN_Dc_uqsx+Jw$wFy)Q@@M{7wO6yn#HZC`coh6EMrOUQ!TGpJd%9N$iUGu5lVyN0PFNN!X%-q-%#%c`r>Q%=k z__R;vYF4H9xf2!w+LiN$lsad0t@MsoMM%W-BiV;f=3PG;)XWR%t+2i1&LfLl=NOZi zOt#Esv*&Rebs7Kvwba~{51mC4onVFfN0Jp`5}z#MPgn_i;-ahL4t-jVL>)s*rsg zx4&cF&*yux@U)cw&#?d3#ih^IO!b9#dHrdgwQ<1QY*|kC$sV88AKnY}570E?3w&s$ zJK8j(jo)lV?Mi5ek!TkCJ%-vz$n0H4LmIt>4tvA$M~ee;77v>xhy8}3&nxM6#&HB4 z|6@QN5-Ot9+9#R)hIKmss_y?|a{sR}So{3--Rh4^-x5avyhrsyU#t-|n{1j>@3>?O zxmm21z{za4`3?ZdwPs{v2CYRat8VCjUtjwnIrZBn$X=;pU%e!Givvc*F!S=K$005L%;s{u~8&nBt*O67Ms$Cu$}gcOeuo{ zZc6|GkbQ60uPbRgZRLfOzhkvWlb(lfe9}tR*ZgHAHZl7Gm9K@D+V`k==NFOY{^!7IgrhFtmbA}ZO zj<1j{OgP_~y?oe|eg>qbsSy^ay0Nl(#|`_% zrF>#)Q(Y}0yHc*r+i$-8Q%f39`^I+T9sv<|#}ye5|^VJ0(S15yGkVEh~Q752c5 z&HC!Kypx+7i8)X+e3_+NVqQnXI&0?HC@p`n8cwYWKCT?5UP(UBk5e$fY(9P5&3a^T zV@s=v?^2lqFIKgDU7CXV7>PL8H6I>2TR|_*UbaasN0;HyEW6Xadxy5r!R7j^(4Tq_ zt)h)C9^^~m%lzB{{@P044rEu$19*^@ti})4$+L|DM(*EPn=w}vhM7PARV|E}o>;I+ z8BMN8ie%napDBxGFp!ZmcXS=)rRK%`UU4$Lu(UdDMQ6e&;gTTo)Y9a#R@I`5rwy~| z{(48oU=y(at7Kcd$^YqD$}7=aSE^C7{%f{FROsQC z4@-yC#$NX=m9m`i;;mpB#O9$_P8`JY@@mks31bH$F|T8-W4~6seSN-3FP7y2okLBU zhFe`qA8zpvsmzlPte0x3@WIF$A3L$BhBxOi!)oC;}V7VmYCAADl$kNGftg{>i$U^8&sWqU|2WlSdDe3chc{5 zqRZ5pOdk`-F9jUkSzfi?+kjYh)?od2e{TH6AR|M^XrVDIt9&0Fb;q^(l2h6E4n8u( z4VfWXEQ<6R!NQ?Q9i}PO$>V9Vq`1xz6ayN4}lg#Az-GVSU=4NKb3uoGh_1&F= zaI4E5U%?A%fa;Rp;&n=0iqc#CBok0?4_JDa!%L*%cv=y%I{(e{&;bzd61*yIEFn=< znrp~hmJLtZn0hHo(gNq_h)Fo^$k-0)VMv7UMn`<$(^9-_*JC;ck|ycbuWLGBpkGJs zc=539xUlw?nqxvgJCnk+E7>f-^5Xd!WrvxzB084h01@e9sS6{l$hU**QA0+0 zAwVaY^BJc{vQ2TghB#h)eKDXk4n~7IH0$_0{J3fls=EL6qoM`HHH7|%1~ha7TcL(9 zB~52-gc#aMGth-Y*HTsM;6vh3n~PGWm5k*dq_=@az8oxho~w2SNP@lfnfES?F1Y4` zP`k6knwy(*Pcxd~vU8|aGl)?3qhRbH-#rDSt%d4aF}&3pLLB}oOtdxUFwNr+xb_`%D zLER5@ta0)XhXZ~+tz8k*hiDULTR zJr8)*yukdd^PNLJzjhAn@81p1LtzIRx*KcPw_Pp5@?^QF&w* ztBj%9(Hsr=$WRKe_|NOefJt^0?;xshGQhE*o_WekXRE_|wB_}se7}{Kd`IYR8Xmz) zK#iu~$9yY~%{w+B)^xVuoGnfVN?=pToa3`oMa%Cewts0V5MvzCH_C7^*AAd5n>vP) z#`Up;vDr~xP&(9SQ&7HTh{sba>VQ0WEgbUx4&&W5D)B@c|lo>a*1i%RQYPiLt;}?+aN*iLB2(RTmaN zQ_LewvjxXklf(#!fb-KH;4G!x_9o9x);6mbHnH`KZy%HX3inT!udj~gyDl5AXpYg? zs^9&pLR0t#7?C)Bo-z*bP&&f`IV}!uN()?|cb=s`c5gJL?~pW&#=}26-8^z0klD=< ziW5<03-OEQh4=rHI5}+3W(LP0Uej-*t#VD0TP-vZ?HX^MJ-$T#l7Kyot!4XDa__O) z{LF-EWpn(MO^TOu0|Bw3!f-zbban)5R{nQ<{MO6j!CmM8ZH!iw1B7 zWl{@lKk`i3D?SiL!})U8TD;N>9Eb6&c-GV}u6~1u?Ug;W8r)M%m(XDFTzwt^5M9H; ztAX#Y0ru`nlV1q~OI?_G3~c}1H(8v3)=z&mb94g^m-3&dH}mp_VPLJveBX^)i3REg zj`gSK{j#fFCv^iTnC@jMGx6%C48jQT`wS=#Xn1j3QP)55ns0{|&tT=0X&LgrrmXavHo|2QJ8tFW2QZO+ zk3+5>lakAF7N;`{`3MD7G5T#&$Do{txjip?KV2p64`w<(#gDpXyu6 z_oYvwN)7-i2j8Vri!aKIDR{V$!rqM;zm-3 zQ&$l2HFhT_e_45q=G<`dd?o`qd zOj%9_sB>Xwm$h;2PkVhn-j=R)tVK+Wh<{X*K_ZB1MrtyRDV$!PODAW)chHlos4Ik8 z`Su#F40>=!y-;^V3|@$mfF#&68CBEbVrV8>DF*B}n0H34eaa-Ho0y5G<4>e`I*0;0 zu3U_ouVMEh`(6`{Q+e@vsylw!13dOwm+EL{4P^dn&}rDp?B1g%uTT$_YP^%e+T(SO z?GBHlx10Cc(ZOX~@8q_NS$6+!1b^gkoIP#=#WE$eK#h>7qpn*U;A^|&SY>ZE17@=x^T{`9|$$vBS~OO;Q4?&ypj|Qv&5&3wm3tC?vAwf z4p`pfQ^+)$Ip+s3YtQ(r)5$p*0uNHbTrtH=muQ8`Qjl@mcQd&4qfC-$(7ZoPoJabo z&+Z>Fp&MSzN97m6%2Gt^(s)ShbC{$AW&z`{@#b@c%~akkeYC4MK`|slA?UpuGoia> zEZlsH(^9*Ohv(|$$Sdl?LB9*I;ue*^(&P;nKE~gif5m+#_?zpm80iRq^Iy|rTXbHy zi&eMx#@G4a=>1ii!8Ht9PD{nLti+YMf#gKF`c2|UMr14`xu182bKV}OcxLN5Qjo*1 z&yH9QN}GH%RR-9%5un?~%+qh5?-PJB4HCpu-{D=(K`^%k#2PR6leRUFK#5Zx0i#F= z(hK@;GVU$1wha#k=k8ObE#IZozjrk(!KX$#ND!mL%Lw?}Jp_n)9{EH?pVXDQAxW0m z(T0Ef4CTOW>7N0d>o5zFtl}eiIEEcfdxp27t>ZxEeu5VSli4(GjzOG+Pb;*m^D)LJDeb3BMaO0GoPsc+?G-ZgTVJ#IEslkN- zId4V;>Fgt6WFFcReh1TA`xv}|a z1E1a%Z!1>OoUpP`yvN&yI$87|SlglWm-~Naabj0ExL6$6`NmqDF~fA{Jge|&dmxAYKv!{pCar;0%%eh57Zk5MC&lISn}ZTY}w z>S^L;S)02W=KQ@lps!c`P(r(7BtD)kh8o)ZSAJERk-^JnMFXa{Y< z1IxDb%uCeSJ~s|e`TRVBD8m^Tk%{jZU&>Z_T?y9kGg;HQgWx(-$jW#5k6(FI{s_6;i>4lMT(40sB?8PgL20iRRK@p)e|NLo?p zJ-wane@~%Hs=W7fwx>_)fpIJ4G59dEm@k7;)xDR6M!@A%XWVW4J17$zsAk-G-KP5y zd@O3h7X3e&-o2}dK6Yhqb@-v5Hm^>pwV%H|3)6m7i%Kvi_B(UrCH~UItYx0rmQW&^dbwFf=)`}b0%EQFq zf}Fcn(>IytQXu|Yb>Fb_XQf_6> zeYa%FLUS`ylbtysJ8t>PzWLJVe42T_nbkbxr}&p5B3YN8WBLjem(|wM>-r9JyFltd z!)+2HnN*RrY`UQBL+Abt+5<}dQ(5EcrU{#D=5AceZRe*7B$f><66FkoHUZvdzOd}F zfyPBUL%6k4ywb^W)OEBuyR1c_ zK@S_$YJlhW|L9&Wt`$N_``7xi$=BK8HlNjx?A_}PF7NqZAfFY_TAt=xe|I_>$k$em z<_90Xj0j{w@6+MQWb$8uW9EOf3TXy@N{D2;0m=XmCDrwF-LHE`pLllbu`a!|dlcpP zw7Ztz`u@}tUlDLy1=f)ziJe5tB3LW(veJG1wKo?!gm!P^j1Hn$#GHTi7`0;tu~ICz(66u5%yZ^vM)Idh5e9 z4w6Ed7iLN=Yg<6}dWsC9lcgfs+Uk1upywVxtu;{K_fw2RU#d`3@hEtk6$ndVV!n}J zCxLEP)RO$+JE|4WB@M=qc;Fhm?Y}1>@909j6;S}tbC3=c7y|$+3W|DwE2V0QoL7Ak z1f1P{bZoj!o8S1*|1c@(^^GF$BADEyC19WBY;2FpJAOzMA2+AY!ol-_u%vNLi=o7` zJIS8@N^agfr3;9h{O|dgBd3(eWg53!(8?M&XjUe@gQT6YO6~BY#}0StD#apD`=er+ zAGG-LZP^=pqfRdT^Y+qd=S3#_){gF^TNaDAIzMhuKz@zNndX6I%LUo`Wb_ZNl-%uF z_zV)Q>lCsePZz+RHWvx*v>gpaQZ(^|YyACrJ+DIi5PrCYL4WpH*^+BDPkvXdnTm0@ z4kte&RCZu52CtYEa75mUP~Y#p&`pb*J8h&gR4zF~oEcE%_tHS0JW!$9UyR)_mn*aQ zQQKU|Yb0ivzr}z9&>m*Zds)kNhF|yZBuf7`o9HK5AKWdY0?%FAhq+>hxBij67ggE1 zYGWunlh2gxqKDq}CTMj@XXz$xrbP~#*SRvK^{gzSYcz*6^P3|O%ywu^8*`n2{U^~Q zn?-d#FQEITTjzU{yPrnj7X>2ygisFK`ahR)@xV}yPq>u+8x}eb2??d(Re&MMokfGx zNAhXc=ra0)y`%)4y}{7gB#FxeliGQr2NgJ9;(4st{PeBF(lyujCrpkDeVMOo)^9EX z5Ly+xU2>-sib8p4{c{bX|3pu8Mqg=L>3#msgH6bZ{!F4N=3#eEAM;R4SciBb>AlZ*?F_O zuNjqxKekVr4LceuLS#=(_1Y|#TwRumboi%ueB-_PIR)29i8VFL3ya8d2d0us3 zW|5m)q8eLCUD5WCo+|Yx*$&UC7CPLwH@!IS#@&tIG*B^7`(B+$xOnFL`+P9BR!!b! z5bcdBt|ISPLGjdgAz@pI%;IA0T}rCvw};k-J=RW{fedpZqZagQV88+0mjk3)pC85j zVP?rEJzhuRco=Z zGiz1*RYtuhWJngmWCiAJz2IIf=dAm4z;8Ts;z0y&Pl#spzwBG}US9j7CS)b&!+gjq z;KDP-#JHbx5Emaie@YKF9><`uVCiM8jmg;``L@+k(alZ8++GK2H_S_>;I}5TX znoXo#8+j`uZ0vo#eiY|l%X2BlE~&Z`y4ZYRWI0|k$yG4mUzyPT#W=fXevQ}Q+0l*1 zzDpq5D<|8ukmd2jD@p;6JbmvL>ny5nE!@%Sh^lB`Mg{G$iT9=G0}z+Wd~S@pwC-cK z&PWFS5aJDG7N-r83Mex3BP-S<58W2B3hoVOFOtghT=Z;SAhOs%iGZbEnX@>0xoa%D z8Y<$)$d5Vs$STh^+-A8ZM(9`0o$Kk zAYHzQor5si8{@*|dmGM}W?$xveXN-_qi=SfhxPX>XDcs=;JvJyi$HNQq2@^FsprjR z{g@|PxY1geIrFwys3rJ%TLL}*ic;#X zKka5^fadp#C`WpwP|+|8Y3FdEZ#qZjmxKj^H24FM__t$pv&XD1>6Qnoo>_&|)o748 zrYtew5|@MsNtvrpqj7q{6QhVHrLwLPx90CDMjK0HGo<;FwZ5_u)XOqrHCe;f<7B}C zbH92%tN;GnrK<>cvj*is#V04N2ujJxN5`8`!_%}&_BKLfUiAJEeyiDy4x=h@<}2F# z8ljj%MvcLvwX)3?`ZYn8=$HB>k21y$l_PHI!GWy4bIq~jVtDjp#}@LZ)Reae6<;zL zMfBtV-v$itq5@n!1w0!24%xWdKDW!OLCc8Px{^_5W z^{*Vn@IB6NEZ0Yk3P0E#xvh)xBR|m0A$boGnZi*~CN%^vY~?TJZokdP>cLEgul-tyr8J)z)W4}l ze@dq`iP|{)WH?vC@^ldQAT!TM%rhm&)&7ovFQku{5~z@gIAmrb7y5~lO2=Ri`|zO>aj?f1FDft9P&FT#y*Qi;JYyuzXJbH z@vTKY0@vRJH)5|5M@)Y15~kqE^q>r%YKRj)wax-P_hOvCsOxBNL|spB5p7KU70VqW zh{}#BOfb+?Gk;5A(#I8c4Jf=u#)k*_(=^%TyZ*r)a1j6Nszx($j3pN=Ax2$UPpgy&J0Z3f`8@XEY z78qkJqOBsaxj+Gb(-VQnWKp&jPUXH}Qr4on(4VB!1L&cyB>vazDIC&II!th^vBLs? z#xZ#G^)VBia6h3)6kB`<6Q%}A*C^e*kbI(Gn%@LU`XG;8Opnz{JvDE2zJ1y+fRTeQ zf~fMti4sEKz-aLs>?@Qew{dYC(zh&Vn>Xu3;1ou1P}X&LLH?Wf!*XdeRZ?b#T$UGO z&U5lT5<7zE4$3?|0EH*Plq)N!FV#tOc$~QRS30N;zbW;|F|L;X?AwT(_PxmFy zg3$fIbwM=%#lcRSgq%hRO3Zsq;eysHLF?3sGMscYK>3&m2c_!BB$Hk&(Ne=v-Fr;0 z=T(++3%b!o^U3A-eT;%%A|b4zfPdD zn&9>%p@XAe6YMR~OOQNeC%G{`atI1OXv=JLO8GIEvn!AdzEL$j)8x3AO}=-dbdp6@ z7YQj;i|0AbAMWK#>zXr8w-aJt`*w76LwmNY(lfG;@q#-uB@@U^3a1Xp`uu`@nUDN3 z{udyA4G0U-k*bMh+_l8t9jV@GuCw66k=(H}NjA<|@f5wbl6vzpaiuk~*B|POHg%uKe=n+S>^&z7!&O&sF_o-(jnhq96mOp@bEV?KI zr`!`oYk1M#qeui${}tnTIV^s0D!3Sua^xkvv2kOJv%By)UCd82rI0{{vwSh7$#j$w zV>5O5VgdT(eitvbosHk5mHa~8Ftk~*$9ewYnBtu@%b|^c)+fWn)#AI5ocZ>9rMYw} z@?swkvh(TvC?_W6o0Enk=0o!S?t~9u^+K*C*bkM@KaP}Mi`xKOV;BS#M9rq~U^LIS z5jhKyk6Q}3y0YzPFOdp<4(J4jCZZvnc{88nUkwPl8#+t-DpmimwS=R`WW@7Q*1ifIp%kq^79YOG3EHzxbv5xs@}(k5jY;&F?WlTCM|{!vx*gJ2EhR`v?54_rglcB5P@&i@_kv4LE`3i;#0&QJd%RAbX2V1 z{d)-E_4d{zBd>$m88~#8J4IdgMP;vSOVUMYZZuITH%BL3y)ciNtB1k8?B?%^UXDqq z?sawdZ?Bzly9+cpIprf-D30-oi^$K3i3_jqMVI1Yr%S;v#*|F_HIvp|UY+>+1bfr+ zfi&@}y*K=O81WjEOGR-|h1U;H$SGz*@=0-|BEdld3Gbpj5bc&F>1OViJ2mv>=4m8- z=SViwEv=O?UOJHCx+>}B&7UnlsZM;48Vw$egVQ1?tg<+1Ghx?=i3sAqN0DR_o0IM! z3jZ~AZ{|GXbcSX#T=YV|mz^j<^#pP0!nZL0*o~WCE1%m+xfv5GDoQxhX51}aHUB!L zPv6KctTggsxFMa){Syi>&6IXas@NR6d(jk=ASe=LiXY{P;gSF?fY>#U(Ix>NSQB{d3a%rUff0h1xO||9JYV)o-ud0QNyYSMW{h~#{opg z`+~aLDli5|nZPIq;@tt{bNxk+LTfEu)kgOIAjb8`^B>J5L^3 zeiA!cJDf3|`yIE>!cF~F^_fdt5r}>}O=qQ2ABgkpC5*{>v;1z@KuX4Pj@zGGZFy*w z?1QZsUeL$Z%BUped%rImzrHu!@Z;p$UYYYz6+|Xpg!r>uqe(K-ScpbFJC=(E`Frhk z$#tS#LlSExUyS#HGH)IIL%s@WOK#p3xN-Eh%eSv7IE{KQ1xL5?AJ3=nN@}q*i_Tn2 zjw7?-HHlu<`3CtF3GC$D+U|9c)L}f?C7Q(g(_ag`2O>OWC+5dM*+!=2r-r+&-UHt} z*^KxlZN@@L_e|tpr1wlD^Jq6i{1KjTMo4JkAFgE*;iONdBY+^N&iCJkcF2eenDz7lL} zpYN3kQ-TM8CmU&Ac?uIRv&v(Rkmd8pVemb>0vo6+77a9{Zi+$aL-)R{cjYj?F&VDu{a z2<|y%gCnq6LPi|6PkzNuh1CilL&*;FU4)0o)mwPnnUt4rXvnH020Goc--g=KS0;&S zt_E5^4-ZH5h`**mpu^#jh?N6**+22$u-z9RC@mgb7#kTD#F|;J`V=UwnLMkyGBiN< zuIE_ti{+;Wt2ebiF<>;*$JTtO7~d+_Rt3nztP^#OOM!oUl2gvds?B)CW~bl3Jz;g+ z)M*!&W4d*dRu|@=x#fZ|`Mw0!iVO&`qN2QjMbW&s`%MWfd;3f#x2FkU!XrHP?C(EV zFI-X7xNO`kP%8G8#RI&cE8yr6czZQ_Ae>uxpQtL{{cHOsE!{aVUJJ)|BtzFK$pacIeRpt!@cFm^L4JPi11uG~^vS)v;V| zr#$SE5HwY(>giZraoNCT1ab77iff81{~^Ja-|67WHGDc#*;A*o0j#-xS~Sr&UeYe( z5LKIe3NMxq5YH|2w5@7n1~YO{R=p^CY;^Yz0ohCaVODVO!@g!Tyt{5SOCy6^iPOhE z2MO!%qC~jzY1Dj6Yuh~n0vu6}qwbJ1zAL;F3Qv7?-GAP-bA>Fvu(QcC4 zY!oS?kIbq0PGee0IMJMd*31kJPdlCx&(qhqPeCWQs!i2un^Oz?voESCPF5$7;@-6A z`2P5VsvD2>oEp2;*#PuY9uD%4EBX$LvNiIi;tyGO)`wLTtGvc(yjz(+CFc1bI&nQ* z?vHHjc3CQ@feV9kKguNLU7YjFPKTJ{rV)t_p(Dwl;#An6H5UB=}&fE-%^S5XRH|FK`2JLr?oK z$A-lD11}p8BBr`3t_LI9&A0atUmOPB-H$#$Bu&xKY?9nG{W3qK);f4vx(CeDUqj8n zUeoHUQy1I+?%+6DbMP5x(|!2aq4mENnjI68Eg<&{{KPMB`;yN270weQulQ+yw`G{OIoQJTSK`RLAY*VBi6?TCIH0 zUy;uyk2`r-bD&Tj)WvBI7#>;c@voSm5p*crd|b6+7nyGnHs}GTWIpe=Px0}mW^Q!u z0fQiGi??3%YQ-Nuu+L#&$ve2AxG{F84Xzb0MPc^JO0o6%d#TZXO3Mq^F74ug9ut-3 zd@)U;5gR2)?FqxFqK#K>F0K65Zi`0o3*)_|xqtgHG)by7hgOYWc0MIw5B+M`9Dfcr zImoRm$sBFr=Q7DOxx|xJbXS z9U2THbd_v>WHls2VrXbke4N?(&} z-GuUToJJM4q6U<44)$-XlNblKL1L*wj4tFK?Gt*!n)jl>T+dgzSp#cnMm~N3 z9z&zKODRn^L{x0*>y3rzZv?(&x)yS?;LP$`&JorM(E7jQq?R<+6%veCBR|LbeZcW>x!ts${n#Dy1M>2pKB_SHo zo=nyf?6bItU`7T|Z^628QFHKpHKD^B>(*3jh2VQIA=sw?rXKD2!FrQ@d2J@unKajeVIt#v{@<)b~{S=%W$u}B%{ z6umBlGZ{ep1>ME6BB)IX>DI%+_X!N3=z{JtSrLpTuXO7wDTsA6KMKf$0fcV7lx95~ zdS6KBfZc?bX00sg2Z(OLZhANoWdOO(yi~~g30YsT&Ke_vHecDH_s4_|)Ybwi z*2o0`rLw1J;6?_J;fy2e>_O0dJ7G0m6B~m*5=fN+6e9_-j9x?pz0xAqohb(|+7mD` z22iWyDeG(xB1nS)Y9hojIah8;vtL1N*`k5GTdZ?J_VSLt518@fm_0|U z?w0LDf+GWYt$H4UVkoom|V6*x1 zpRZNlC|a7rnQ#|U9*bS)t(BToqQ9twQEe5B{aZ>Y?`~X6XU^nE?X5A4i%$nlYG^wEbWA zdloF&*unx@RMJ%zXtrHO`%;uX{wZOg_n@(Qr)&yn8YOf zBjGnkd3nLb{@n&KeA5I6JT^A6k1APF^$PLB5&y6=j_lDN?!yX*eVjjU$%4^qHC;nT zY8mW@DMoT$W#By0CL|0CZn-6QUdy8JfG?ptBz?#;BJ=?ez2TrZ=|ObssH@bl+6>=DzwIC@U9{v2B&4J59hH z>>6@*>>8qSm*XrJF6pIq^vUbIf_FeS5(!Si1(_W)2=x9vfN0k2(d0k#GguqD=|{mk z~qhuq-Hl_gl=Y?oCT?=o;WN? z9cpz!TonE(Uf|@d6~2+Zy23NjumDM*;ZGR-8h}385^%?SiaMRmb92BR7Wt&iDZh5P zmEpt4U7HY#V|)K!+e66|g=}%a_^QcA6kIlRs&7gPsPlS-?%$Z!-zll9jiwm%lJ$;F zqHEjB*J<)d%NH!4}PDK4c8c!rDqPIlIp_UB^gmnQ14qC48Je>k40&`-V0 zPI8pcq?lLqsblJ2J{2uwU+V^y{09*r`I9TznlT<>vc&lE*! zz)$N@!(cc6DTZQ7@CF^m6vp{`@_+~CO~S3;>FqrGGxLdM&d{45$Ru~ERqX2uvLXtkbWg|n$@G`(pK8_2->RMZr#5#rHlNlo!+iAU1&Pe z*q7MmIY_$WvG)cU7n;~qA6>q~2! z!ErB{(p`%>FiX#{C!Bz90iHA+Sz7l^Vc2x0PswuXAQ)RT2>wgBIHE2RL80WhyAylM zhHx*}V{Pu<=2Y%*K61I!TiA0Y1%K}*Ac&-K#fT?McCRBz=~$|m{M}1(x`}h|FE%%C zgUVjoO(=p3`!wdG%6IP?mG$4`mwCC>%@$*}KVV-ZFPBE9$I?XDsLqoL{RPI6HHs{e zH7_>I`lR#lM#S+u%JGFk{a@gbMxzXlzcIKo5oKV5$j7E{g3aznKGkHglP=(Qt5H`c#nD;z>l^x z)w-UF>6M9n4i^X$tofFe5i3lT(hz4Cgs*li$<(aVwizb5S)J0hOTI62+&yl+7xwpe zzbvo6>bXL!Z8X^s9sg-LDO|4?kvd7?q1h;`9bEWy(>J<>IkBkKpE!?Dfwwsy8pYFR zyZ9zN2TYK>Y}3_?tkHOtQ;_EtjP77HHO}b8o^8AFl{HAg0VYrc5AJ1oR{JlLP6sl_X_S31uT2YZxIC%j7P? z_8(g(gK-MPsax;wef=+CDw_L_iOEmD>!kd`>HH$;Ag?}2NQHpD6g(T;Q`lRd<*`LZ ztygJ+7D0y=PB)D$1M0(v+Iv~gT-j~Xp$C2tMd@{liKKm0yv9cXPEmzyDRj%=Ly5i7 zL-O&xCd&tK=>vH|%(AGH{&)kJHms<7&I|PxY)%EBG@N)2YXkn7);b5Sa}28H55TfN zkE$+4Gs`%RVGVbb0`KcPE@KW0ae@EX2F9$r*rNX8<%s-aqSFbZdlb4$d<)h0L%*iR zll9r}rjBw21AS;K6#y7A=ZGkPeTvPo6ktU78W#Em_g0qUclRXt54iYNTa;H*D-K5@ z+$z|YYaQ?H6ieJrC~~+D0s=JELA%EXe=_*jly)nA$pIa$w|-XS?~(8w{_o3fKQb`m zqAPpJIn>C+t8vQ)RMBuNDZ1t2Fzc0n|27KlH`(V3B5XD&{o}sLU7xt#FMaQf6^z|( z^h`>dlWn)3Km5$Om^PG0kTIZLR1V}2mzm6c2JpPKhp}~@A>0& z;WWyQkmT13syjAYg9dB+tG{c#KB34k2eZ%{JK`z+gnA5FtK+Q+VxV0yQuCjNkc)|jLjO7jA${LdM??rI#HBx{6fzvUITpu-b*q6v=I-(jR zXc|eg&iv{><1<+_ZO#FX;+V4fmFk-iRriTUwUG>FlfjWh{sve#4^27SA*;2rMvqgSPaJ1pvvX(#bsgANG%%N`}`hm8|8yYqk>IQ=h6^9&5 zjNs>Pv>L?Yz6S-PKU!FR8QC|b(=ku*JCvj zP1WTU!RDQgR-~OZ?MWH$o8_ReV*RrGwHRz~M+i*_11(2seK_)fcSrGrzUA z@xh$?Fkz~XXf9-8U@;GE^>SDzGfn@KlG26V3`8aIjRJ`HA&!I8u3u(>U(}U~(wKXV zzo$*2gt+aSg;*Q%RTCq0INy4>5$DF6_{P&vk?E}ok$)w?xwLMcMmI8y&Iu_@W=^2# z&qY{$2u9_#xUSj*8)*(uG6`$Y&yP16V*l>6*S!v zMrZP$GrZ2op!W3ltlvRtQu1RkC!^b{ymkuEI_jSsujre1?;4 z#KVBQ6caoA8ps@cL(q`qlJC*N%6^lu>bH7M)=TrX6Al3S`hFd2b~*F%asyC9O1-hT z>T@Cy$NxDVhrATNqiVDwQU9WJ6ocU2rXQ`ehF(=QizfSB_7I_OzqV{$;=3CUSMJN{ z$x8tnvSZlEm8gL9os~E~Z_7FJYJq{$k3q^qd0j~}rl>8FUgSKZz2I`{1aI20ltI&x zE7K7sTDsvl#9eeji*aw=**Q*gy)V--p;1I3QR!hgdU;Y2Y2K|p9H?PD6dYpr9GNjo z!EfXvQ)Ms*)58b5MX~h=B63J0{-A_C5Y!=_81OE^ujdq#+P)>V{Yz@&OKQ_W8qOwd zGdjK)7)|O^L!44U61`=Q&8f^G4;ErW3Z+?dRxKT<6rPX!Qq`|VRwM!^PuN#ehWcQr zt<>yfZ((|R;@8ua@8@8f0Ke$bw5e^qhZNAfbqg!gN-pajuu5};xtO6NWMh^)Io z_uyO=H$e%-tkP(>V;JF$t$=iRFYp&2W#C6UzH$3O|MASK~7mdnuK$%rRO+4hJ&ph})h zkC|K@X;3{o;mLX&RZ&Ns%&<{MX}VL;u5sYuuu_qJn(|;c0tElax1_9&3eP^5xGmRw zq(LP7d7f{cF?PUTAL966%KH0iej+E!<=N+ri(zt9feDhPM2eShSh>sdJK91=_#(ah z-Ln_Lk3hWGeq)OXnjlUA4YX&pbZ-H9!2Pl2{o3IoLygSTrYhzina*A8T~1vLA8dSf zI?RS;WJT5kZ@hu`ufIvsEk)ANpbgB@@ge13qH8P$&LO{oPuT5<_~M*;{L2zO-{r36 zj8<+k>wZro5&qnoe&Vb&F%Ydx;S-RHdJ^Tl6MqSzD1<%?((Wirq99$2zt%d!=}a(W z9C2k{M6jPe(c%J`YejJ;al^>_VC(37A?jZADY1>g=-&4~{!3oXrgZ85Ib4+}e#ue3 zDE@v69^y{>;qNbSvhWUm%)4!-1rLq#)8a2Q(b54637W?644M*3IOV`+S{42xss`H) z0?=Dod))Wzh#?7YBhjw-lmZ#N21nk*PvPlS*U*IYO|pf@8rq!>>}{Y~U_itrP~e7{ zw(dhp&81}GtsLuf7h}COf{6gtCBP3152~Vx$VVlRz@y|c&%oFsmo+bdr{$j`yS8@K5bD1LW>?qD-kup9GdpH=bj+O9)7#!Tt9YZZ4d`alo&2Q?Mtfv3_(&{aj~2YSx;L*# zO{Ro6Y5aBDwoOk)&N5;ld3u_gGV4!KZM@}A9FBy0C6=*xY+|rUncwG^G6PG>v{TA^ zsWRW+Abr_`+cxog?M#ouaVd`bK|@=zJrDLt4v{N$S1y=l@{k;956RRxDNF9-4Ym9D ze00jwZK1lx#*`;(Zx*`+8)Ni_FkeFaO`mRKMeLmF{G$(T1T1b^IDn zPX8vbczx0Mf&M7#_&VBqK>uMT^F)*}EzElT6VNb+SmxXd=J>ye29NWA!Q=d4a-8rD z{hlnBy5u+)6mUXsHh(aaFM4C`4&lC8>Q@LKYX4&!sH>2O2<;3lc_Bm-gF%v$reTS2u zR~<33&x*vw$ZBCGK9-a99beLmm4Wpv$MPXbh)?6W|0Eu?*3t4oT?{lBBaD@U9>$pA zHJI2DigVqrWB&gG00960cmbqWS!@+m7(N3OTFO@TwHCxmp-4fbbYYo0ckbsHS6QyDc~ubElj z^;9qC@?>RO>*lp$sj?Aj-8fnYy73ZTd&g$E8s#?ldopcV2 zzSor1@8;9Fy&y!4TwU`F+v?fsGI5BsT6f9WP9HIf29`f=WRjZdBz;r0)U@tECi>7A zA@(am-28UVPxKKJX#lSBW`5T54U6*3qG%dsHbc_jc}&cwKm5&;`R8zYW0j?vgtJn% zZ>EDCAf6|(^xoh2+J5{RO#@gY2PIxsx01T08M+H0Kh?~A)?ARo&tWuxH(aejH`N$( zKk)=fU%&jvU?8Oys++drTENcvqKw|Z54_A(CAG+7UC;5oMBc(mF^KTjZhp{CA2Ego z`z7KAKB;;tq+$h)KCJ4|nRQ4sF_Z=t*jYr)U#4rAW#DAiWcsR(GYZW}X1Bq1yugF7 zwzIQqI7Q0a#uR}V%G0RV_7)#&&2XA%Yr}P|Uq2V>LM;L{dA`a8$=hjWop~D0k zP!?UKd*p!^<j%wV{1!%&CW-& zQ0yhyhFu#!qfg|SPJIf~qJTz`c#7U{w9omavn7EJBnXOTp_og5E!Z&P7G|WQlREl{ zpR){487`)gWYRxxpB_v+GTq!JWM)`Uh@Uc4OeLJXx~UF1B$L6i9kUCtgbAJ0^;7E! zIF1HU(M*f}2kT+tM7}LpgU=cL=BA&sh>Vlllxx?0-|bxUc$vM@GLyGJ_6 zdGL&6$B(NyeirMbN7O8<98{94J2=@G4<*KMx_rIO+KefwQoNA%kYuqKN$>X$T)hFD zG&AlBmCY+!_%hSW$i*;xR)c|`(VX2kKi&R0z~gDa&a`9a0+qST23<}WB`Qfi>xFYw zC&@Tz8=R33NC-`E28q3!SP$q8+DMNOHITI}E&pzPXJ_5c$wUx5{l%N~M@f6hOK_b$ zNV+ajW{5Hp7wx`LO&|6f_RpWGq)HgTh||G>4Yw5zagu74FK>{z&otD z^6@d~F8g184TC^FlW$8;7k}7@sSz{+Rj4TCc^n)w=v@`uV18(;84t%vzftE7 znwd2U+o+K?h!dRHjFWzJ!o`NO#V!5cN=m>gdG77rPKKHA2)ALgpSF zH-?p#drgZl&_-gCb}m7OYRFR_VQL8@y=KsrBm_Jd0p1}NX;Q+bItvj=MqE2l$z>qR zC968rxjfxbbT+f~##gW<>iXg0v7B)o)>gzJzdxP!o{=)b8wdi?m-kjqeE$i6QjhSy z6LoPIFhDG)ceVI&77l!z&xmh`R8l;1X6Ii8BoHNWsT&bNIG)R^@W_kT@k@5+d`9^m z<%{k?$cI9jsgLi`E@4LcJ1{iFDh+IJbICJXfRXX%3x=z!j@IBW(euq~5HlJpD=s2} z(iFZdCKDjwXRz2v-_@lZI|tBL#!z(}wTUm541y00_RQ&)4gX>aGw=-PltyMBQoL~! zL<2*SqgrWh7?>1G7_+;gdOm(7X)N_93pC%&Q2VS0SJWgcv+VP9aJ$v%qM~|wJtl)- zr2T~I>I=*A|Au6^@m1{DvKs8DYi3V0(v{ZNRJzyQ?+#{6e^h@r{W}F04e8#kuwqtN za*wW7-1j~Yh%(}?nZCCWnITValg>3em2c}D4$UA$kf9TJ)t={Cih5qQf ziS_b$x!oR0mMe10zN#sJ#(Jt|Tb^oIVJSnrwC6ZglaNqk(}N0G4z?E2Z2hMmPV-9z{_DWyBjJoo3>H I00030|JyTZr~m)} diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index 06f5488..8ecd4f7 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618906796813 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618906796829 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 04:19:56 2021 " "Processing started: Tue Apr 20 04:19:56 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618906796829 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618906796829 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618906796829 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618906798235 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618906798282 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906799032 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:19:59 2021 " "Processing ended: Tue Apr 20 04:19:59 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906799032 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906799032 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906799032 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618906799032 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618911782409 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618911782409 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 05:43:02 2021 " "Processing started: Tue Apr 20 05:43:02 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618911782409 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618911782409 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618911782409 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618911783535 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618911783550 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618911784113 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 05:43:04 2021 " "Processing ended: Tue Apr 20 05:43:04 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618911784113 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618911784113 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618911784113 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618911784113 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index 2dcb4e182a376ff73719a6207802dc05ca79f9e4..c52021603edac6c495528337fea8d0513670c157 100755 GIT binary patch delta 1004 zcmVT*000000027y000000035zY##_0CqXFUp^oj5lP`aDb#?U@ zo?5MTA5X1buf2n*t+k9tkyI>O9`!qryn@o7rRNXOczy%G$M`%4pkW@zLQR%Im}i{& z8+iQ=WqxkM0D`e<_hI=H%q7dxm@paZw;+Ag9`<=vd3*+@{w7{C^Mwol3;u=kuwmg4 zehzXG!XueTC5-gxFpQ+;*sOmDB&QHmZ6N0acnroo#Js@l%8SsGbefqkP4qrJ-QOC> zEY({_gYO6J{+5?T{#KfsEH}RdY<2pfnWe!R2ROrSm%B3;tC`!ET8pt#x4ITCO6F*k-U%*^%4`B$u-Ma{ZPbVG;ImY_uD_y@v((t-iFBX zA7JX8^;^4+yX`ogy~QdULCfF8G_>_@1?+rR1|p9PJrPkpb)KDltR-pmBtu>rXJI5b z5v&#m@-zrmFh4@pO9X#o#qPV5u^$Z zCqX#QQGg8N7jLTP_M=3HI_%+cR=sQ=(8 zL)2<>{^hHJ{{ruBcoF&lDcoIPkERL5-C&x*C6Y^~otu<$jfi;jBPpMIndY<`N)M4k zHjhFB7Nc^`6^8p3C)WBiE2Ed`d(iBxJ?T66%6?k<>TZ1D8eFN*PugZXv?=xz4Z-gY(TP zs4}?$$vO}(%@ad@sHC^(LYy6sGmBHEe}HHnsG(FL_WgeZiinKe_iVrV0%yw=e51`) z;02xZy1fn!-S*kTCHQ9|z6E?GbOME9I~4Br6A@4C988R)4vLdnO=q^7XCv>wVy;}b zW%?UL<~V5#C)&uE&Qv;9A}%h0*&Wo0M4ZtOxAC+WzY7&tg$<<9H6reJ{OeO(sI)Zj z4WohQCjUS%g;LR2uf0I|MxFjDS&h{fG56IIw|!LfRc@Q)000000027y000000032yY##_`%S9pLp|0bRlP`aHd3pI4 zo?5MT4^ORLuf2n*tF?^BkyI>O9ras~yn@o7rRNXOczy%G$N0Pepiv&jLQPjem}i{& z8+iQ=WqxkL0D`e<_h9)G%q7dxm@t{>w;+Ag9SwL@d3*+@{w7{?^Mwol3;u=kuwmg4 zehzXO!b6!zC5-gxAdIBu*sOmEB&QHmZ6Fr}cnrooz`VrVm*=4;=_E5@n&>@xy0V8_cMe<#gNmYZJ!wmSRJ%+g?k1Ds(u%iXz))yy48t;Ixc%-oNlX1#3+ z9XDUZdcw72$4dDbVRCK1e2r<8dmhk(Jfa4`bosnir>a$ja&XxOm3PrB6%(&>L(^N(<_#<`hkeUXx0*#A9R1FqazE)ybY1% zKfu&K9ke@++j5-V-Q_A9LCfF9G_v(>1?>F33`8CodLp8H<~%$5SWD9ANrt>M&caA= zB3LaBTqiGqSSj%I?WZzBS;k- zPJ(cfqW~GkFY3k`=R4vkF;ZcRuS<9@wc59MEM%bMi(Dq&l+u5I%$d9bn4{lvXz<`E zL)2;u{^hHJ{{rv*@I3SZQnk{%+5 zY#xUOEJo#=D-8E7POQymRz@$=cc9tZc+$7kIuI31$~yo`m}rjw$7&uyK_3pDiYBB`&n2QG`Ul`^b0+(Lx!bDd!w2Ireo zP-Supl1(69nkR<*P)Tpug*ZDNXBMYS{{Ybh`FyGyWPX0uX0-@yZSv$&V}Q&zUy>)eJkTF Z_s*pET<0-a(fgQY%XT|``Wusb1ltAB?Qj49 diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb index 8480148416f5a87dbd4995c400443fbc57be6d8b..07060454260f5fc0d1c9d6bf22b7339a780fa068 100755 GIT binary patch delta 3116 zcmV+{4Ab+18LSzQTYqT`00000002|~000000068E00000004La)L2n$9LIV7W;nZ? zT}!;VBYAgb1Y!1kB##0b5Oz`6Mj_16lyEizdr8ERl80nPP}JFQ1R+R_t3Vy*4itOl zgS}E^NEKRV#W)o0hafL0>W35uqYq9DB#coMLQw?Qz!oH-HGlk62!ccR-#f}RTsiL@ zU~m5A?Edr3H{bmKzx?d8&ps<+(00jYWd48bIpI#RAE4{&d-f(a$tnhk+KG{jhG-rI zE(3QhdeiadxfzSxkr<5q;aJh0V}eIn3odTU4*NURLYX76TJHnn+MFRz=^T3qx9})oX!$2ty;L!L$ygzrsCS7jJ(8=xJ`6PT0zL_Hl;W$BObC zrwt`8`cJYIZXd_wn}4bY5zkblH)I#Y?Q%^U4>6SBsU01DtPX!$Suz z5;;|LM6h*2;m7(oR2c{S<2OgMOa49@ z%N0$-mwHOYToXb4b^>S#n22r&R3CfV_cgOKS$+Mxb9jqM6d7vd+{Fbz5tpJ{SO^bS zk$+^u`0iK|ZH-}x)jOlmdISq));`*XB_UN7y}q{r-oE)o%?!gi>tWf&#NR7?aEf;h zarNeuIP@qznT52W>Se%A&zKv9ocdRssLN;LH z!PsR`8Y{ZRUPj`F4!^_#-C#mXg(XX`$4AKD3M>Qymgu#pUA*(iGJdH-6Jzdcr*M0K~yeoeU+B=?1YX0((8bvTeY=1O-0_S*4nwE7u+$$u> zA4B-HMScag_pHHx*!4k*1%*h; zvTM;c3-*13cfg*e!?P=*4GktI&40fY7@AcqiR0F!YbMAK*1LBq5oe&1%nN_RnA-&Jc7M*8EiE$hWvB7_Bt}>fOnrW zrrEkscPSJ=_1wN&?;0Mvkblh}Z!U1OY1^~Nyx>3^!N|Zf@12r9 zbazbjh8a7@O^b!LCrOZEz6m<7Ej-Q^qHk?tnIjG;n_mkfKgUW~lao-}H6(=LG{XCO zr|?4%AqaaGClHw>gklS90ybg_IS4zC@Yj@chY?Ny-V~=@M?~0ih+0ClY3$CB#*-AY zhD7C2dMU;IV7oUu^s2)*zvVOI#1 zB)zNnfBF2=(lb`9t~R?nU9J5BN5Zv%UiZYRbCtA7NhGM1akL;Rd6zb8c! zl-(xeU%198daNSF#6jSRQMj`L$wS;Z47^hYY9>r5LJ;o}d7{&swsZ+}Rq3o2=1kLP zy=k_1+`&$PFE)Lu0vOIX#Jgs^Z7{|8*WgCXc)1ea5Q4oA)1#pJB)J$`!((hQ_1WjX zZ+vd2*zHurntyrw(J>AxPuBE|s&f&&DleR5?G4G;OD!9{dgYmAif+GwILmXl3Uch6 zt2)zMH3>t8n>s#^@9lnSg%)X?S$-{IFPEy;r`#J-Ue$x3VTibv33a%xp=sM>Df!hg zQhyL7lU31hv)$(;US>b-PG^&bOUdeUynSr1yl}Hz_|8 zlSNO{7>IX03e1W)Rrq0)w#!f{@ASxN=!hQSbP;x*_I=HC3stIMkjz0=nC@mCVNGtj zg*n_ZJWa1nN&&w`QfKH1O&JPSh~(D@@6ZgScMJ(U%A!8j2kV{YCSKCAipYYWo1oKK zrlR87&42RKR7E9cH}D@B*;&mgP9iU6o!gIS{^4vbt$go7CmPb%Dk2y6vD3P#k=}PQ zCfu!nxu{041~~3Qr(@K1MjsAOGvST+!a{9AnNnM{GjleYW8Klw&6 zvv2m-GN^&`lW;1ND3v84)&Iya)|2B&R$Obs%zwHG=^cU88waiU^HGe=3iZ|_#Jko% zFQZm7D#>%_GzAJzfLKUdx&*qa^v7xQ?R&GVQ-D$R!?tt}xE`^zOXC z6wkG!6=l4SxHn{-Crd2l{V^7iyRX!HrsX$UY_lLYwuD!dcB(SYbe^*ETnM-A-vhgS z3V&E_ad92`Q-PbOG~G#;z9@cR!xsxe{t#cT31}Jb3Uq^~_(9GRN;MRbb~4`cyTl|z zQpDS&X|@aV0@aF*3r@EbCxkQ)tH(LY3gcWOq8U=c_c}63GarmT zZFUhS8IHyrVuz}7{&ts~H5R=ww?g@j@KMgCbrp27TTA}=C}f@M8`sN<#@@>)9jQ0} zgx=|3YMQYQr3FRX{$`s9F-ZOKMVLy9Zw1V`X>ny!stPY9*#I}Kpi0s-N_exxW`BNW z`qjcZy-Y1#!T|fyh_P2^(efQTLj}bOaL-bSOECvL8fnK@6|=q+GbI&`%(JU%uI+R4 zmT;mG@xnxwz83`EQmMs-FqP7hj>J8HZf{@}nzJ~Y( zR`ha=^e*`Ow9iAwF^KQcV{C9r2fzKd`}?r>y~?!T$cbCinAwdzvCl1sTt&y$@D0vv zK2GWv!z2(MG3mLfHZHBGy1TwdM_SwWD}X4V+@uFFaxf2RCiJ5d|5UMZ1b^9HY@;m- zS}j+~SXVaa9GAl1OX4-j delta 3082 zcmV+l4E6J@8G;#*TYoooP)sdmwy%qK{@p;DY-^{`U5)P zaNg|fzWL3Y-@Nzc@#Dvj=P@liBr_8KKen83$JoC_^(#;05k@42V%~+8+&c&yoi#WrShz>Ldjj}z39@0-y)vF#vG7S#GD&|xJQdX% zFg~{scG=qfw12>s!oTNVLznqs8vIxa(G0!S2g-H+JK#QH%l0l>F^3N!q6FH13gmwEGbez#DP zznh(NbAY(vM0?b&ww;Fr>|W>q?p+N-GsK{uG$ft`&g(`dnKi>SQ|BFQxmF*zb|x$s zxHniMfPdQ57_VF0v+PoiHxD?kkJB38)Tjlpn+E^5uCCt`Vxn|+>^KK+TOmq^wZF7x zFe`O6izo;0h2H}_Av?wWRb4&AA@Ej{-7_XCKNtK4!tN()E+X2;jStZ8i`WHkup~1_ zzAGHXFwU#6TfQY|FX`=O*~LLJ>Kb8VSkO`=vww$;_j*Y5DG7->gXMx%nVsFeM9p-G!Kwrr?L4}`g_i-~C-oxjVIA!6VeEe(>~OsKHqR>4Ld zet-8G(GGzRw<&S&2Lp|)bVD_PIKe-ao^n6NZYxP~nW^Y)m_O<1Vfv147qFEV`iiTu zY77|j%fV^KpqIaCFZU2t9Q+2FJ|)(u!_+2GG}OdJ(-Lefpq6DuF5snXpy|D43gZgS zc5fd3RT}-R5*AVh%P%f|Fauo0MLWasOMmze^1XT|$>_}se|6J@X|uRp;uCFCTTjF% z>xi-Al*W8U{%5*{zi{ymE9h;=vYbZ?RTN!EGeozS!mCV+)+nQy`KYi|ex%^O!a=*x z%3-LiitRp~WzrOh?;4t$U}T#&XhXi{ki7!-18r>@__T!aE4q2G4ipiD&A`C$q`a*L4%m)973OQ`^w_N= zVsAC5Fl>wD25IT8-Vf89`LJhM5r3=nonoQt`4grv#~6>@+QFM=hNYqsj`Tw*2T_b zwV!|u&p}@XmR^K@l_n^NDd>rx(KKHT@Zpa*;%Q%_x^YU%gDm&c8Dl%(HmS~Z`wemm zs^d8u>x<}e(COsu>uj7C_;2Bj^SH3Rm&ik9ckDLjvWGCy8{9lXuzy+xE}urUE*p=a zuH}h_EjkOnd4Y7yLL3#!pB3g0h{XGuswNR8P+x)dNq=l2HC+NpCnwlN3mSKU1Jq-1 z$QS2UFV*YFzK%r(Uvl~kOu5$tho3KzYY^8e z6stYe@_gI2aDOO=>*NBT5-_c~Um%C7r|RgRmO*ykm^H8d>?p=rIGx)#n^JuFurx)} z^w(HZm%>$YR|SNSPLx+~?ldIaLJJOJJ*2_TsPo^%{6y&#??l8@jtjvAd?axwrDHU)sp2>k zH&jlN-GBGAu|mpIXi~;$fOp6iWKYTwiW`;0`7T%2D@q2k5TBo@2!6(A*`5R>MFt zE|bpdN#l0=e3-A3rrYnoA+UqwIBn0j%LRh-EbPeMSvZzat(4PS;wG~`u%Cj3T82gX zqFAu+39+1Vu*CUXMd(YOb6k5P5euWY1w=0gEZW3nW##+75rKm{mrKH)7g2ei-KN%CiW@+Zodut z*lJ6O`XdX1qB-T5APOT3yfBihYPjljc8)kPkzacmNwhz<1NGX0CVV+>i~>-2===d?_AiU>#G z|6q)tp`}?G`8@W>tK*0l49>x2S=u3Mzu#ci6F6K5BagHD(hR=?#d?9CnhU=#rGG5~ zhZmhKZ!UYp6?VPBdZ4vaiSa)zQ+Y&P^(y(30;+qZ&f(^J%<4!M?zye`F4VCkGV`vX zOAYxMx@!k?U)6W%wsFEX-zz~ij1F@WEAas#?6iYa__Wq2HqF${1F+s7vwE9jqq63A z2?+&~PRfgB=*>F$0Z#W-yh(Vn1%Fr}{14&(DpBnnX9kG@o@cSTXJRLtR&%OQhTUm? zk6b{B)sbXFC0t2vZY6gd_!VB|63Y@P$Z+N(RO6q^u4>l|0Vz;|-`CoRT_20Ovb zD1lSQ`uIgc>J#j5Z9?HaBO;0!Ef?2|OtQNAH(F5lI&9hy(JpLMG`f;{R)17ew4u+$ zZci(^WRNcbt`R}rAgg4Zt%{?r@A*W%jbO+45Kdv$I1 zvl_BGiX4;$y!&Gc_?3A-nGrV4;vD!v-t->^4EuWcRPc`}BmVO<@7~zQs25)Rw!mew YH#xZrbkY4E00030|9AmnVv}tQKN;iz`~Uy| diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb index f41e3761beb48bcbeb64c48570ca2d1eb3fb1347..43918f3e64d38557aa3a2ada6649d03e7c414b70 100755 GIT binary patch literal 61348 zcmeFY^;a8Cus&R&P)dPMp}3afF2yB4ixr3BRve1ElL`fjyA%m-#VKyZ-6cSP6aoa7 z5F{idZ}0v77w@@e&)NB9XZG1S&+N|5*?sZg!Gjv2hyQw?e@*e9G_?2jarSU$782nX zW)>6^5E2#;78DX;*0=Zeceb@>*0HvAVHRT6f6r`WZ^Nu)=j{7W zlh5VJKQsRf9{;a+z=!|;WXak8lYtM9yPtH~8=&OEsXO#LqWBMzOy}pvsaQ+sbl(w< zNk=?=pZS#RnQCPT%SL>Jua$R2VaR6`V8(PNWIAMdH3Ssmc)Fh0i*u}->2^Hp-j0XI zH~Z&?DaX@_e#%uYzNl$|dQQaDH7rnnW#DppFC4C%1HnLd>fJWoZP9D) z!Y{8{TUZzkjh4#e%Ep}D9Jf{UHluo!(CjKS@kK(0;!?J*ysaCro>pd~yUk|{SllX!{VaH`+Hy5%xJmJi*Ij@mu0)=hJk(6BE4Gf*qK zVR!)S2N5Vb8`(J-F0S58yh4jjgLl#YtS{#?HEkZYHHRIYwQj71S!sj8Msqj!%8pPc zxLL71BD1*(nKdy4km`+=@ahw@ zoHLJqIK!&l3tE&z3ifI88WhCK$83K!)m$*8)|5+k_;$&J_tJG^ktfO%YXpj*+-3Rs zH*4$HMmX&CP1ju`paY2(nZ8@|_uUyESrck)fl_rCgyF|%O&7%G7ku9y1; zCtQY$ZM?5rYp?UZYKG!iu5kim?{X)wBQ)R5BGr!!|L&|z+qXVx$a{qAYId@Je|#Qj zZtyqU+Lr9ZN8|-I2;eQVq#1b4+1Di+!dOaf)r#ev4e>HN#Qg!Ql^ z%HMj+TXmGr7*rAwx6&<=z;T?$GSv4q={1NHXQ&bsR8Ey+9J9aR(1U~30wj45_R zm`R^FqgVKL9m6GCehh=w@nJi_o5t>kK~xic@CuIUA?L7TJ$-x^>^$dlEGj7WJWAwosk~k<*LSX-^?*Wmr;_G$N@M!ykgJ~x(`*q69e0}3Q1$P z^38<@6;X1ukAb^^wNzxyTKAK{8rc!?n}C6VyPU2_LiA-NC)C}oI_ELYTp;j1Kj7X6 zgJuC)0ew>w1F1cZhi`Wq#zy@SzlEg`x2VWlYPb0kET&Zoae0)EMZkhRr7&i%WQUu| z0mY&=F4#TDukBueKyO*c(q4qVB@^jAgZ90n;ND6~KFA|J9}FJJX{IB*6hE5r@7?5X zgs<=0-jCrno&m*V!hn$S=Nmh(!a$^)i8qdS%e=J-x3~TYK-o*uGr%GsF^WZIjxU2= zU>@$1`iXyOWbFsRmH2Z`f!$WcU=K%x;g$cU`*-vQU+um9xb9wCR^V?eFs zu}{uDzTboV0%yaqm{)Kk)-wz>>>_CFATv=MD1vS8_D03tqNJ{Gj^OUdO3+N^@!7>| zITQw6G!TW^2J6(@Uo@U)p}wFdVM0>i8%&^p01Ab97aoo+4aEk3at9usUCN^A1dhcn zAKsXFqyH0FHW`Cl-U_6Mg9NPT9s|ctOa|*dg>xQy$SE*Xf^HnIquSh1DvXmy9a9BahxOzE*gvnE^90yLJ@>CfOtbIG`cNx3e$zZa92 z4b6pS;Lvo)%=U(pjwJwUf=L!rPY_3=uad9CgLmL{+Ba0B|J-0-ocKRnxBx>tuF6Ag zQ*e@-Gb;B>iLeo5@RfW5hozjQPiQ;iXdS^Uw7a`9H66R%hs9!(S7#P(5b6K+|888` zm3WX(SY_;-4XE*AIqD?*-VNcytN!9%s2Oy@e(#RZWlHog0nXcSrDWPx$4%e%OlDH* zr)3^V@)QruI3+6sM;4$#9^-;#GGWO~|CoPK47few36egzyfdY>;IA8cfJPrh{SPSg z*F=kA2Vk!p9Yc5|n;(7N1@>-bKd#P{3CU*J{m(hB3jgA1l`5=;^nxMvmX$GW?AdN% zXnv0s2&sfUqZV>io2gS7-+N4_=fIR21uJivUeUv0tD%ycbx{(VptJM~l~!Z#kmOC7 zPq0WNCfLO|4RT92pFBP@&lF%AT^Ye;%~b@d;wbRzaSR?0YG#Np_gc4Z1B?JtXnH1O~B!vu>W9i$1Q-P_D`~VdC znjUy))!cJTv}p;Q+X9qb>|Y)60BxQ$i4!H7{G?b>6CLt%jSVI^qG*NjCQgz2ly*oi zl=*$h{!zRSdiHV&Ej2GZ0w-}X_^aVQ@2&z5S@U#YqAOc2Fx>c-iCE= z9_mJb{E6BvTqwjOtosQwrznXiNi)-POL}AeDj_DB$s>9rc$?Iw6s%@iDKBfWy=a=k zua+9qR^c=E*VYo=Aq8G)uN65xG++FomdA5wt_zRpON^DGB9`0ptNrC;k#{5otNlOK z|8vv-QR4rfk+b6$|L3Ib7U#KGp^JfE{D%R44#Scv9O)Q}?R}`=kKv;YwqcVSMhl-t zEpd1}x3v&(y7ku~g28|-$v^H?e*;S|$P2JXznMsG*_(?!Mgl*4FXd!^HUdZLGA!f2 zYSE4aLiua@+dmEqvtczM9(|B&P4r6T_BTYse|f(%Bb#>Fd^7{rk`}#)p^=#89JJt8f#4jLmI1lA8;ZKJZ zVD3X%@|KE%d)pv7DH{%%LRir)i25XRB2)Ph6*I+%_SZXs`kB$&yls%(f6NVeL2oUY z5-9H*F`isQ%3K3i>kV-{Fx&uVU+IgwHb|gSk1FwZkE~asqG8EVuKFL+hsDv+$|X7! zQ20BV|0bpoZ&+XDR|~3zzgC+9SGzH@1xX;zFHlU@HtY4o1NaIl6l3+)DZZC?8%6Kn z!$R@Or&pv31i@!MKPe8bK;tePO5KRyw)r60r^zfpCM$i>r zwo&S}{2f_$usE3;MvKzMvU4G*Ih5`AmF75Wo&&G?dm5@O727Yj*>bf}C4;FddsnZ8 ztwg%onsIMBB!@{LEkPL6a1HEX9O>_@8QOTBT=2qM9R~iTpB2xWz7afZVknNorIgt1 z3w;K)Og#Z6-fJoGsWVa-y;b=Tg|_(c@xhTzA=s7!Q^3M4VYL2t?@{n!xgtr2*tF>?N6;(h(so0Y*j4joYY2d?m55hir%fYBZ3{Ma{zVZ zWv}Nf?NUcNt3g2X&s-O8JcOn=lcDei0Rvqh@8hXOGN-9g`+&d-Js7WxcQd{`&+O47RIH_^Euv%@BKu)&!CluxMN;Ih_R~@0TV~zTOG{KA(w;CKi% zfc9u`rsSY5w`?(TZL^Gr`MB&D>~GOGZ8pArL-=I_RB@0v#R)zuZVt8=Sw7x<({L;M zm6+33Kc$_8z-(zgddL0l&j`fONm}VgFV%=|?QHlnhJBZCer`lFamS=mrV~GR(`=lt z;>*Md`8!jW+I9oV?GDEf$5(f>cuzxovt9Tz+0!UXct>d`8IT5=6mf%!R$`=8ZPzbdxziMcH`}Mj^%td{nQKRjcSvAJT;pL_MsmC5` zbA?pOKXl|xCa(!nOFVR&?dTV14CoXH-h9VZb??(sLv9Q<=?JZ+!s01^KGjkni{rMx zZ)yLO|J6_3k)2-8<0d+t$ae4T5JiH|7zjJ zGyl?JAdG@XruIj#0Jg-+*J7uVU$-@IZz3&mQ?yK1MamFMW^evJY7*e`jO!D#R^Rlm zal}CSH)dz4L?Ns45*`J;y(iD=+}bq7xKe%FHS{W={@3OE6pXt$!e_8(rXg!#_jN11 ztob8ez%i|vDXQ>&3U_4{Yqk*LZ#zAaklE9e*^!`0i9hVQOHZ1tX&mUKXT#fqkZ)Bf ziD**39cc2p@s0mN;S##V)$*ik|NiIp=DWb6WyToEQnl$GkJUuPgBFX^lkApL#))%%#D^&gxi9x9qrepr;EB z=xXCV1;3|3TE5)2FzdCn!gC*UN=kl?r-gspwf^0z@U6y-h_QQX z#w|qG+5}=ipmT66Wjx0oP709(Hc(fZ9*oYg8o0a`W{`|P6^r|$Dec-9_&la5E^^GV zNig9~&upD*-q_UgT><-GZP0-xNci53ZfJRA|L9(+7nxuG?u@47X3+vEr;jS+)rxfK z;fzfMv`Z9D+mdFg%Xge%>$8uO&;yJ8yA;itr~w}fjCG{KWz-CMmy|SfU-kzw-29q% z0Zp;K{NhJ{m!t;Pa8Dp)oXH!w>ho3k!Nz&iq}Axe_DDxFI?)D^f;7 zwup9L0TOWj!hu44a>*!;Xt%G0OvwQ9+~vO0jZ1AVn{b59iB~y}+)P8jp1{zL&mb2| zt}>8jQJf;w6L=huDv_bIJ7H0T2n_&z-OqkRX^=}~f`}7+BpGqn+xJ;s$b3?Gon%pR z)+YIzg_5xP;QP?qXjcA2C3ANpAGu8J+URvlZz?5Li3m0G7R7TV^FX)nK`%=N>N?-C zW;~jU32F(}Y!48!xQB_hXTKs(`BX5v^F=dI--zn+0?}Uo`|F6!f5$~}jKF!@xh&tL zu%Cdv%^6X@n7-t3z70?9ARn2jE6LMT1(ow>JU^a$MI9++2YuJ1j^biCEhAToY=0zX zUC!TjHZsj=-jOmvF#`P9^ry!DvxZuH|1%85p0lddso5$E*6w31@Fat-iC&Hu!x{ zMDw~j$^_w%=t`rb1y-&UcG)@n#F*T+@DSzAp^I2WZr6TU)84D?f~O1WV~^NI7T;XV zcs4K{sYOA!$5z2q3xnWQEVf|D>F-OPPxE|-^R8YXe7LTP%V(n%hOGfTNkLp15`WF|}Vj=Riw;yzb2syryfs!j?o* z3H43a@seDSWS%=Nsf^(#r$=HM`y|91WxB~u?DSs(*BE4H>N6or_`&=6> zGImW|R}8L}({a_fi7LIXE|d!{oj1e0KVr><%c2 zNQX9ChkNpbFvMM73{Bsj#66jk@>;fMSJcn4WRxd;sIWX_Ly2jS;k-%OLj2cRr$V|z zL2>zC&MK)_P;WGLMtvXn>~7%IOc6qUPeRU$>w?yQ>F{fe&xi4PRttjfl#x}sfMaoZ zUglyxTz&!P*GxJTD9|gz!^=jR(fp`msK{C~@%_;gX;?VfS{!V)=$*WTYhhr~8zyyA zQ{CJF6Pp3)KbAkK{7(MrHiXe4;r*>SPQ>#=cX21iPiV^DaO1N#hr*0l88B$IQo1GRrwaRYp#Ycg`fi2`r*Lh~B&vzkM^-mbO zguZVHI1!kNocYXGZ|=*`rEP%jL);5$?c-HQez2U%=1&;lJq%1Pmysy3?D%v-rNur( zw=m2&Mi|%FU{B_Z(DbjBpy+zW&@b3VDxG1`8AR@BIwt$@s&CKun(LFc>%1 zFX!!Bs(nts@&s(Tr56c_vG7F4u1$D$c3ZV|^Wi-g9~iM6;Wvan%;N5ViG>ilr*cng zAAW_{+D1bpEj&A${te^qmUU+o8JK8!oS0KP9F^Mn_u8ClonJS^BzJo0Nh`tKcZkck zNXRT15GKhN@zgP(Z|XE%=Wh`P?AEhcL{xjtyFVM(Bg2lVw`%KA6w;k!TF?z4LHf0T zJUT}%o>_Q?wGq|cJfrgF@4s^T@galBYT;|?q!rcCcHPatB|%u@w|&ZpvNMs*r~qkum9`|vIAq&M{FwiQ1#eWtDiQ`CQjt+Blu zue&EO2PVy#Tgy|RyH>4szgbW98Eil;u7}e7aso8Iykta3^~`+7<484C*xbq>H(^k* z!3h)Ek&tXf&{zLpe(QxrIrYzrR?KZ)IO@ngWf@}-<>vD4*RM7IM3_$@FV_zCuErB* zmMPI=7@UcEtzBp`?wS3|s_i%}S`;4Nh$(X~%{gsbH}uXI*K1n|vJ?n>iJCC5(cROA zQiR1AzsV1fFd4_V={-u&i#(#g@Asp)y2fVM=G+q9AlA0t#Fh10m3%QU4;MX3b*SU9^P67S(kus?=f zI}o~`5w`Aj{JrkL)bMKEGvu)Ve+T%dqafbTR2v9iP=>x7skE@CMz;yIajN&YhZ(ii zK%cbss=u1&?BCT9D@fbwh#bzG;(_>P8=an#!FjGGtQ=|H04++1PV4;oHfqb$w$@I1 z=5+hRI9*{U4wvJ4_x&fQPB6pVOF>ZTQmOY_H{Gk=9 zgXvA7C}SeJF{{y`)6hpT%+J3L*~&5X7D}fQJrkE36H4uDdQV$|D@0SKm3y>Jb7JFM z)Bh$nj=P(&q!-)SlEg`4yWe>{d`11HzORkpHESNL7d<3d!>uUV&w$Awg_R>%3ij@h z{Yk6AVwf34IdUge7WeL`>#uJa!Dl^*zA#6cxE!f@Z&#eWrBz0J0)NoBqG`kheqYBy z_dBD12xcxA-vrUEO+O@NRtu&5tBKn3tT5P#N@O-Rp1fOpQ0knyxOU$(&K@I2u=9 z$oO@j)77ZLcz0@Fguoo<=4y@JGC!4yeX^V!Z}!MF9YZUMjFadkR2#5z1E zBG8kipXp!yrVU#a;mBROEWePKdhXZMQ4=b0O)i@gBurTBi4SX~lZ+fW{-&8l@GdA9 zqz^3;g_W67cw)HSr=B)?VcLRC8&t#RLG*FP2+@O)lrx=!ndU!b`@kplwm>tKAH*B8Ul;$rC4H;O zf>7>^i0P7a{#@G%()#II%t)nEHbgx%fB2TKVZ-?3Hs*lNwesH|#c^`m)z!R*vWvhT4oyJW{^kw95661YFR5 z)O%eszTFwTHXF_yq?x5**EUuoMAg<;)R1%+8zW|la!lF-KobtPMDloBY|c^r*ZPUb zF)5%c`caMT(4X>a9EG$+MRM4#UD~A^4aaq-B%+?Ny8WTV+@pF!V^S&oa1c&&By^&| z@a~t$NDVCkbWuA`B}yMb9%%EMXs&tHzQFH&uB)u_lNo{zBzup&qeww)dVqF2C^V7(Nihy2KMuC%i0;FE0I6qgwj#1xuKE=dc1%|d##6$VyLKSE@#_`rW-DX=!dT=lBKpFAV%hlS=@3wJF#e<$Tc_s3v9$m|@F|J5efq zpMsPv7!j5Z<}bPiIfTjaXDj$v;CGSa{IA)I>L1a{l7N`@F<+4&qh{Dsm-%KX z`5yW6r?bTer19C-`KSEOP$LRVt!H>Qp{6z9=HvrlQ%Ak+?ANoy_@+}iV!&Hf8%?98Xt7a|I%5fqpuVi>EKU7GqI$uwH z?bN0~B|wexzr0*>TgL*4(%WWELqXA9$8(fSd(hzUf1^+V#ZDXfHl>{`4k6~X6jo

C+k*&t~wLx>~}VExQF_Mhv?4as=pP%|Fh zkmu0p=MbG>Qj_2t-;St=8$wYyAo#y_O99fNlFhfnk87jPJmV3xvga?v^VhsFpa?t) z1nkEnh<37qH>rO3Q#w1wNTG)MjfJMWMn04X`OD@r1|D2hnLA_S+mgnNV7TPYtB-%0 zGh{t*^b(Xc%`MB_b;9OiDbz^jy7DcD-nW?$d!eC$@M->V$_Kp<<_6q5#NUa=>_f;K z?Gf?%ub6&*t;tb^E(;BQKT`Veeb+wLJiG}#Wfvzb_L{ld+4hr~qB~<{qE}`dBUWTc z>dfZ)lUUYsu%Jfj!qxg8A8MH^>6B{{`7-Xx;#$bV_Ni?OH^&USG9I0Nv zgTxhfhJp#l+{5!WKiYbLXYPNx*a{L}#%j#1k6w?Z3B+t?w`?fDrfREUIQbem3Gqjg zX-f*}X0X99%HY?MWyPnUh&o;$UZyBWa4TJZfKu)CpnAf&zT$0bBitwHzsJ$RycHxX zgmhd!JJ@YfQBoiv5`TQrv(Rz zIqgo{!W5`B_Wtxm6Fu1R%R|3j#o+YrSI42Ph8Mxq{7{9W*Rku+GO&gZ9fjhnQxBzUfKxq><7 zzjC5V4X!MU@pr=H$Ez!1@nUlIQxEmO*lFpvw0!_BBp{CQpWFFC@%z!TwIpc0!cegDAPxhWs zQ%?8Ie}$RIQ0~N6?NMwb?;9-2)v(02y=;cGR!$6`eH$W+96lmYf(3kM=561w@2yY7 zOdrS8_7yF=(i8fkDjHpa=a=$_Y=`NYBnO^uYviII1~3$}+y6p>)Ki5^j*Z@VYuhe{4G{xVr;fyH^IbPAZ#OR8 ziW|tcpKs7QzV*K%*4|PSI*Nor6F~|vXm)f>;2~MJ*x+rGua^Jk^X&Itn#H!Y?CkLb zb8w4epQZW^#G`}Pc(&5^0BRU8AtYubRt~JO(9Yqp8l~-}1>fGxk1J(($Ft(sE9}vH z(+A(|pg}^O_#Thx@8vBGGO>u(X~!ppNo>MJV_nexZLuzjvvF>W$Wk3_kq^)GC|c=DH>mb;~M*LCAEaM-J!?-n1v6aRpRyA2z`IVQ! zZl`^g=ewT+`4k*EqPO>oE&T^D1E^Qp6-}7L!GSg1BX(1&PHP4GD4C9E2HcGy@+Z5Y zw)S7SgR9aH&W#o&-h5srZXx+hwP%H`NZ$3uT0o!9c2-GLE2Xx^35cA^&^G7 z$=wUGaMv4?{Q)w7)Jv0upSk&{7c0-($__$W!#A)Ug5~&WUvYI`MPseC+-l+>|9jP# z!BQTST((kj%-9!5+)F>J;;OA&So!-qY?1JQmBnt~8bR-g)YD-$6Lq_&@a9dY@P0Vu zmQv4HI&$@6#TQ-C2s>h?Hj+(#YV_b~0^{^)wXt4L_ivjKLF=4V@c)#Gt5{7WNqPjv zMf_zyosOzmb-P`14$EHAaOTZ>fWI+&xiK%i>FE!SM$bI7-kFxD<1e8fTar3jAt`y} z$b5_+62d+AxN>D$Zqj@u;_XX%)SZfHgyfR@^5t4kaEXaQRduG**>NNqj_>eOP_3hV z@6+AtVqnKHVZk4Rq0sXtFVC(FGw1NfCDCMRdJA0V9yi&+x&(YSbZNFFo`6uT7rNf8 zmiS>G2+#?XMnz5E#6BnbN~(CWbwt)~B*pE;w=@sh{ujYkuKf-LP&|qBJA04#{-&m#jaDDLM1uoZS)r+D${fmXmQh$1W5NXZV zADOxRt3SV}6j@LvPVO_fT4`+>{GJavKGKz?`V=XC#4F|QGEL8U(Z$e)zHJmCig7^O(XY1xHy~pglIoXWWMf%4c)BYZAT9gT$pDYSvHn1c zNld&ci7Fr+jRZa&lL;_J2T}h?xwOK=I4ZjT4xmcLrQbFaFjHQkpJLi^W^<12XxiJ^ zjuJQnE-Yql^K+J72;0rY z0yQjNymsJ~asRJWP7BY;Cp9iyi10V+B`xGK#o=;n-lA+cbJVb;=}m6dE{iAXkA>tb zVyre>PD&5z&DOs-rD`lgq7e|6!tq!9+iShW!KAi=LGooXGWBsXWXZ2Dc>fWz@(vD&vcagpw@YuZ z{O`7FMkB49>?KH89Au0Ui~(K8)#qW4_v$`Zc@}9AWXXcx z`P-Kimf_ZrkVzO}=jG9!;0t5BwRIrGW|k+({ltuYgGJdE zjkMVAqKw9R)6ch-NUg_D&S9CDZLqr$47c+sAE?7Vi0T2B9?Mx<+vS#}aR`qXWW4yXc$|O>)zN$0pmf zQv*nwW zFQ0-8RAotR;*~pWW=$KC*d7foO9$r_h>(XkdL>MNRN84Zi4f<&e-DgLpahCW&`&pA2pGpT8|f%2_Um}@22cZ%f#bJS~3T$;F&9s|N{jgJphdDjX zNO8(Lw-nC@5KRR8mzX?mwfUuHM-i>z@43gp+E2bY=u>c18|vWkPfry_Eo2|@nckf7 zUlY5To_rYU>6L4YTIMO4kXwoPkse}9um$OgI?=9wSjs70AjPXnq2qm|Pg#^~+6gp# zCG>^_S6(4xqdgb;2j9D$e4P>;nx-zCwA?E^{$QiX@=v`NX!;fB7+Dq@P4hLx(_Wx&W498FVHMUUvwK2Nq6p0_^9;L<>PFI^C;@hjq-Dp`>B%)<{@VeK#$RN&lm$O*(eXiTg{}Y!^_jFc`vT9flrRDIiTCoHnq`Q zAp4(w>gTPh^}k+F5>h^VEQvm564kijO>NPa*RP%AChd^9vnB2t~pzrp4Irr zvhH;euIGNa>1ndc8nX}&(zXr2&(r_%Cco^Nb4`}_X3aS^Z&vy4tseB8hEyl`!fJ=h z=h?w}YU|ff^9GDwz6L6R3^%l3+4eL1&2F*MjbE}W z*dn^HNNRoH3%3%&s$6WZy6Bq9f|at_D)D_vK{-{kz$x@mYypQ43KvVzvX8` zo0WZJ-6V#r<|;gw7sD`YsobG%%LUj{FBfip9M^D*C@`un z*|L%Z}q_N9G6wZf;l~?_s-y1SlQp$93Y5n#?f%00TveLL#%cGo&&;`M>mE&|W5Bs|1Pnc;{30x8P~ zO`fE^!Pp25U8gSaBhB@b`(7Be%eh0)=3Hyre_c~q){ZugCsTbE{#7>k{@;*++9Gmh zb7~~!na^1{Cg1#hNYBFIodZ3HgYrh7p}Ia~3Bk71u<}5AXys?R1~Wytbaw@hDch5dqHK+cD9xL3~Vq{pUW*9VT)3z&yLrPm7LQ z`d1la)zscEUuDh<;yrNH3jM*e*-FpG#x^htZ-C7HV7ob>vGWB0Cm3j{8%PRXVA-UZs6FyW2FbcQBS>Q9_t(-tb^dT0IGq+&D1O z`0`2nqD8!f>70FU-e~jKQ|7=p!#(2*g6D)xp(#l_x9A0mjN!LQ0}jwmyT3Q`vLaj^5fB8@$DGbUV~)AjMU@Igzoa3 z%$%Nrh2{@IQs&fa3~aPy6>7;OgGV|TIONYf9e0La2$tb>C{SkFQ=lRbDkLnK9TuSw z$XNN+0`c5G&J!0u_;LM&;HLxC{Ga-BXi8fMHI1vc9ao5QYSZ4*0dG$Oz=3~^Yalw0RGS(|?B4lxk@!bm zn%VoUaa+dAgFQ2mJP5Npcwr3BrJ0RWxVmK+pMChm**WG7S^J}scH7)IqSmn8{&4%; zl}J~7)4`b1XnkOtftO+j9*6q-VZu~-^6oFYtRf>z0;lwEU~w&xJzLKLl=WYZT*o<` z5)8bE`do#|eFC-<=?|Eq;!8LomP6f=3IP!OYaL&|hKCaA7^`{t{@T-?=*`7tczXR# zFyt;Db;`w2rh}|b_c%flRRo3~-!Zz{6rQdSn#JH$<7Ob01NiQxUpFN3ZGq(yp^?MN z){L-pmBSgYA__6yG26>e_aC(hl7>Z$0jjmbiKVzt!ps*a{9ub}lq30+_F3E2K;55A zUqclT@YlV`(|)O0dptZ0_gj5}icK-#ZqVmojfpSLu9xmljT+8v=Lt!xWM@(l+aHa@ zrWQ zyvYPCK|c5Uo6J}(Zwj#xs+fg%bRA;HB$rQXWV4#! zzLFv8yT>AZ(ckafIPcHj1YG9YuyKxGTVg^moJ<#%i@UB9V{#mBq&K^PRmq7e2FB(H z_?>2@>Z-yCviGJi2bE+`7J@c8WrA^)Tk&C{XNG6bSa#QOZ$Aj@QT~Sw(z44@V%$A5 z94$L0L45KO41X)c()TSdUBE&9H0w#eD(j4{G%@1ATDc0{k?zK~s*k-QIU#ESJ7Yla z{NZvuwVW>1Z?J&s(V%xro6HKVyLrT>LEdvXW7VSei^2&!@`AjkrA$}(SM$L6)dP2V zx*L~ARRdf<87i4-T6xxvgGC`XQUCFF-)2tBxa#1$_GkV}hLD&3hk;dlFa3ECgE^zr zY&UNvDxp=fH+VKeI+CKYt$se>AfC zNM-!i^*3hY%t{2*_KEs~_XoNLXB6 z!2somwP(GBpPYZIFN&3$$e|`%6#v^Logs46Je~XJ-R3G=7{_no!VGlvQf%fn)bL)V)^=9Y@H(YUZeE0Sj>* zFIiU~>HV?J+4L}a7&0fILT0c<(I`KbT=d)6^(xe$XiR>OE0EapG#2Sq_85nd&}YGt4Sam}YE#zzgns zfmggcMt@2b4TZdX_M#EUPh@(f$wnq*0?c+~-}c zz585n6wSkc;GYPF0+VCw0I~2)!xX7;p&O-)*`_QRf@10`DW|Qsd4fPy|IK%86KPlc@w_ICx|B%Phq)2CrpIP$ zacE?aYyaDM4_9TV;J@$RF98c9XSp1cJldgFKW9@~CDg-t)qA#5Ap{{dU+ars`l3J)jw(9r%BMd})&!+$7Ao+?O_`F)Cl8QjGXL0VdR1aH}+vKFC!S8gdE|=sXbHHLR+6+0?K=Xc8m3YzE zm!Z%Yp~4D0Jy>wU&`dA1{s}x(wv^@}JN5e&1+ieABxc;Fbbr}1>5L?BwOJLKBW!($ zM=7@vPw$qC~p59|LBaVCrat@moD07>+v zMdoJmfO?b&+^^%*M1VGUX>T_0ZYJuqW1Ckk@pBGX7clz?Gdi^j)bETGuNbzW#aZNn zxib3wDX>bY^Yt*^_E4g+o))R%(9F+pmJZr$JS5W(nB)!cxI5I5!c zh(>TU=JCkG>H$z*sMN^6ujF!@$)QRY>a+yUtc1B5q29?M@I&{2F>yuY>WS~DgPhYv zSUo27I&5)>)UPi|@TI(uYXX$Me`b1$+6qDF@3Ehq=9xPIl*8iEY}mSrZ?|vZ-v#bL z#>t!Qv{0g-{k)bGZ_sCTlI>3c&k5_E^~&_-@!~?|Z*_38)*%B?jwJNJvhBJ!tlhub z(FcsVz#X#gMFCFwAi6yA^}S0Ta4OC2k=c5t=6%0OBWY-1LwhV~*HsaL!Bp#8H!VEyXm4*f~Vr-HgjI6 zGagutrzRS4?=c9*|G1Ydw&9pO$d^JJHp1re%h&?&^hx%IfYTt|D92daS3sqJou<57 zZC-5LqR+a99m1+qb#fP=nk*MPOpg1({Qr@3)lpG>-}j@4NJ)dVbc0B@O1FU0Aq~<3 z(u_!ViAWA5Atfz2N+U6J!_Y&G!;r(|kMH{Z`__8*o%Qa$`@D1R-uo>@Wg(tzt3+Q6 zE^X-HT2U)Rw}3`H>GE->NZta&Oq91aucGBH;)JB>+3cB>ViXbcfT8f5&GB>l zH1@8qQvvKGpn@XYWiL5xVpU0mi`q_|D2+|6)?N-qB-RjRgKDLYqKLhSVMjpm%2O)I zE`st3y57JbZphNp{#R%N=qYIv!H)rQp6d>y9hV3wuM9j$_(AN=9XD~Di|G5N|c-Co-gdLd5gE9aJVP~fLiVoB8 z!5q$0yy$Ae31yqg)7#){1-jM1xVd-i$2X4rpS_M)KPcKz>lPE6QR9HxDk$KybS(S} z-p6JKH1d+cI`1ftW1}_6l?2LHv>UuJK*o1;Ot$d5;9cnQFOFx;dVu^(A%>DR&w-TH#F@c4p+vG$cT;LQHVH>Qk|=u{kPiI`OHtp2>UNFOUZq zcDl%GTjJp*T zw^FV-Cez=s-%>k{wQ2d(Z@(S>>uEE3#WCb<&>hAZhcvZq5k8b4 z&)9h@OZe(Slv-hpt~shpUPGw8&siJTeP4QoC|DJbWO*pwYoq+9&?@Grsb6sv5H*tD`Obsbkw}yXjlSH6{db z#v3K5otwsDnxZ`2Kj?pkZt@?xYwyO8_x0uPwI;e`o@e2;@vqLGSj%*QxY0@>`XgOYO}9z<>1zOe!)?jE{r*S$Q=O8<5tYNYF&y@WaE`WN#6+(Z z@!jsy{fhg&Z)^U2jNQ=DSLM_uGi)XDoi>{+#n+uRh)YiRrCJEXip=7RwcV|z=5sRg z>xR9xJK{m|vkpZLpq1&|`iE2nnbpVgUge#!a%9{Cq5U9Fn47<29$$kXHtAFq1rqGk zIqy5ThHC?MRO~G&?4R`Ya@-y;;OZpSHe!XZ3r~fvcHeHno@sde8*ZKj@F1YUkwk@7 z^rLhz*IkQvpLY+uEgJM928hoo7FR;XEJu@;D(fhxTnI>Ds(MTFn3Ub$c!{AB1{fvJ zxvNSGgy;c1Pr8$`U-a=iNRRzB+lYGeO1j;_Rxtfc3VJWK=s%VM9v?pPZXyHmq=Tl! z7YRJMh8CP#@4bb;YR}I6wk6D$px!X~x+NH1|2f3-twq-a4FLW;R@1@tDLL$}(pR(I z?<&O4InA6kab~)T>yDcM;!>KUg#&>ZJJQ2# zPtd9t_aqHNPaZjCjN*0G<&{F%oum`SLlqn!SB+<1Ag(2~8(Y%dJ_IY$4D$+aKi}t% z2U~O{N%o#GrcJQ{mA+_u(ixx&JS*_2&xg*_A~Q}s@rf?Y<-wn3?;1zI{MY8p$Hk=d;x1^cJAWI58>a+{w1#e-9hBdZV-^t302G0VqvC2bLDD)Hb* z0`QJT^pF#hJ=>_IT+A@Zi<*ddWHOuL$7AzZi8yCuQ9R2>g`ogV44B!kWD59!#5MKjBOFX$Bph%Ndk98y+GP9q?`tp{-db$F_%|5Q zbp!*`Q;C7AGI+)nhqRh+OVL=#pbQQ)@+8rO$rxHIcXqw#V=OUITD! zJ3=1rAkjA7g~rzfYPHqjn9qc}A{~mPo0Xtmh%7s(V;FqYKxs>g3vSV$_hV?K8Ho)f zjPsu(cjNOq{`F;eE&H~xz*hIR4k7AW_^Olr=F3dh2V!fEo@i-SB8NoRX-9hutup~y z-{j*t(jwfNSuXwFJ=0wbEfV9bma{T=1g;nZpCZCJA(J%wp&@RI!yrJ2U6?d5} zF3zJ`8H}wVcW&utwy6lw0FUgOA`>hA1T{Dw6-eq(_Ao8-rfv{KHYMNH2O;ykxh|yI z7g@s+1TXO!P6OGR{cP~A^xbjOV8B-*G;MhhMd@VR_g6CK;_CTz;~jMn(Y zTiIN4{*>3nlYRi5iL!BbjD+Z`M$H(5Z5Q(Z@&f)><9jMyuOidzR>mks4mki{mw15n zz5?=|>R4Zo3ns3;&@nqMc=NN|D#3 zWMKl)5yXOMhdRV3i#wPK&la2=i7ddIE4b`07o$hs^aq(9flsv3@7w(~umpU;1%oYF zz+{ScJ7WjK@>xUAUy9-rpBS>bemKWJ&jvo<Jc$P8)lP(7*DBV#9m7uyA*$Aa@z_@Uw!=I% zWIIhl+(ZEfJ@g(4su+A5>?6{}U*xd=-NCcn2K`g{axbQz`5cHLd(Vde-nHx1cv-iy z7Yez&iuFR)%dl)(Co`Dz$+K52o|RUO+yxYw9XC2mF6Fn`4~;mBb?;>N^`5Pq6I-_z z3eb#a;Te$?9JuDiJ|=+du;4`uLsy}po!#ey&=<)VC{6ws3h?d2_4nVct)&L9NuBGh z`&74y4f|K|e&-@z7u{013d3`C`)sE#QTM)TLHc|$HFq7dd=mCtC9#y}C9M=^|jLc5JC8Q#nq`*mP-0Z4T3wd^7?JRq&H?Ri3P2` z+q-}<`kBDq>Dw$X zLCXuj{a(;X7zjJB?D+5?5$=!EI%L4JpsJ56ZnoQw(Cj^YPd1*ksF9NP>pG5?eUq9- zcjQX`7A}#MzH}n$kMnCeT$3RUd-ct)bV!O5S``_^TaI z%}zH%L=sYL*-qJ`cnbue zXWTQO{Y=P8-?QxcIU3Wh)+fRPah_79QNYU@B08*cF`X_9;i7`uDtZ|FKO`tSoOj1R z4hi45dr0{hJ{$p>M~uh?B}^bn;Xh+EyOyEhsq8jrJ=@P*gKh@#={tC0q+tn0j;EcA7BZKtV!u_W6pPxDEfG{~z$_#a7y@A! z$k0lon^byBn)!!Y7qiH2n@hVb%zt{mPh{V@kAdikCj_I88%uVLTEc0XbIgpxV_W_Gl}@u6?jCqOS7m%o1L1lC3Sv7Lbi~*M@i;!yK^V;xO;Ey zan!h$M0^($7}H9L#9B#b6!jzeRjL5Ad)@c2KGUdgCtT>>(d3r|5N%Sk@{M7W$k{=- zlO}UPxJ)vhU^(;_nibA`A^F&uakN9|&<{^pg_rtk*BPz>BE!e3v7aE#6Y!=bfRep1 zhI3%rE*~q-pA_(TnGO3)a=iwz2j68dYAb1&EGl&c4rtTIDiaHJ$ zgD=DgyLn}T&tG_M;0r?l{umddj(|^w(>@9X#a!)LjF>V9g$}8}o4x-Mey2IR&WU}o zu2GUJvWWBIdDwfE9OJu(K8XqcDYIqNrB2h|ge69FK;dCVRxxqC3-X$z5MR(ratHMFn2%G2k>#i;TT$lo?iZPm$Sru?--I^^|&fOJ|>& z9aS{hevOT>O}Rn7sQdKe%!$EAS^s?B6>aFa9~CCNt#>rif^~d4hZytrYv)OCw2>CH zB%iGFESwv$%DLUhXG2F)0yb{m$}khxzJpK*4B*`fU#EeN@X6Fzri8_ir=Z@A5au(G z`pTnUQp!}gaHp>$iUlKg#(lrQWj;3XQY8UD{*e7!MXUktUD?w~Q4fb0+te`%qIdQC znrLs?clVdFfZCI;Ya)AgKtbi;wrC%lrY92EyQ5rwh*miL?FiF*a3kxv?JE4!$j5W` zZivgx@-L~7tcMFgZpS662*XXE0(sMk$4Tq*&1c`vZa{@~}qH~Jex|}oIV*)82fY-45&408Yf7|T7 zf9%n%w~+ROE!9L=%>->Y07AdoeBZ~84niYmR#~mcPG{^L8!O(Lzwob$l$3~ zS?y&K~cFdK=PqOQWDbGFNYHdb;k!u#AQ~1w=Ld$EF zW5C*WHFA&yU#r*Vp}J}&PDtpVhC{fKLSK38*QqL9qM}#pEhXcOt$wAv!h|;?m5%U%+zRVpo(SY|lIX>yV@moQGvz8)OYaZRcA(GJ6(VzmZde znIv>)cOGBjf9S7P3_aM|Z|upV*S-H8It^geDmdg8@g`smhX@NV)frl@CxtfjedCzj zNaT63jrOu!|EzosTNsQl|J(Y6SZK%FM+?M~)w-2^%g*2?F4rpCF`1lY=1IX=tckaI z>Q$EA8cY%pY6Nm@QFcdgA8Yfie7-SnCy0$*YYo01kpXfO!emp_w0R(GTV-p`e!yl9 zvFXbSw~CuGkP8zTp$f$fw=<=ri`I};$&xgvtaUb!K3!gSM6hN@?&D#<8eZ#(p7S~Fvl?*KX*b#m3W0EP2EB84pxGjkG ztlxr*pLY#QBaYOHg3%LY-MmVUEr0k_cnz@>1I$^hlY;T8D)sHsI=sbbt?GY9f!B_+ zKhl&JhbM;4{_EaygDh&T6hpR~s&=y{EiUSR>KBS8wkO}%=VvuLYrWTS(PA0h54rRj ziSzrbw_#gAk7c=e=q5@SS*tEUhPVkN-qN?W0C<`lA( zTo^BY`SAlL&?L9><+j_UT#3bi-`;G)#_bHdn?lcp(8S!l=>GED4B)S{kDlDMYVaH@U;Os;J_jqmXJ~H#y!Z zm%&J-wO)B;pAf-QmUt7g^($hI#J@ZXGO|*77iHb8+WK5IZB@2?L>b>V<3LBPbpwSs zza+a#;_#)-DWku%Rmh@Cw)Id7C*KFttqVgO?CHmy43`0z#b(&Szju~(&iC*HV&N1(P*5#SyW6e=535$GumHBMkzUpEX{+(O2hkia zxz!rte7TMhHfl0LUiZ`ZWbW2wIK!pD-MU<3mV&Le?ObwbwGwcX8g+BS5)W1CcP{tM zfvvm3(Kll;kauLGWfig;^w_1(Z<6~rnTK%#24?CJ$F9J7*`c=d-+`|aury2{O+Ybm z`%XZxdZF?o0cr4Qe|T_tk0?Sft1-&+I_PpgC)R1CLco7Dr+Nf#P^+wcZZ+@GD>9R9 zv;M>CYD_e}93Qw zRlS6rvQ3CEvYyVJ@4?4-GLzB8mXeK~F9<2tV?6cAsPamv&HP2;PJJot%5NX&iIthx zr^wbKcxEV9-~Y=O_ppKvx`XhkiJhGPT~CL4SZM%xz^ zqYR^3`pehx8Q+)TNK~cU`Wf>vELQ~KGF99%lWXWzfz!^lc?k^Kev(+DeAG;O92mD* zNoqDE#BkVubsV!*U@DdUZbEZTt|v-t)=$I zTjl3;Adwrh6m4v_C{Y>Sxf-gNcbqL+_;S_%T{EfwRz6|Z`qRF#@@i61r|Yx2pxR2& zmU0U4p#Jl46*8us8WOejfu`IxvP1>lR&^G$N|=@};dpH?tgW6-xLAS|SrqrvxS|Mi zt(mc1bU*y8QoN5;%-7}{iZ5JR0}m?0ZM#JDyK>EYC@q@b@sp&En@bO?Bp4dr@Dc6T z(l~I`zv=wkRcWCL!g0J`ZTtO}i?%F;M;1>=U9nzV;V?Dgct0xQ{;G)2*%nFolDED?4ez&hkl-V9K1`SK`2sPV z>DUhp{!qy|GGtR%ly0u@I0E?l+q{aqjv`o7X;*rIPdlM>6F$BCbk-2rn2x%A33Qd- z%j~ni0Q0W;m%Auk-kP<@&@m&I+JN7j-kK@*7J zW+QAiy+@e}$RpI}F0(d0Jibl!#2A#Fo6R}|&R^suePR6N!n9_CY?Y?YZ*~$sV8~u9zH&fA{{xaUWp;hrBJeU^}`whvt0)4l;--)atXM?iY{3KnpCIey!ayX6KIxk|t$pN6 zYhr@ap`>R!De`QJWKKp|i}6v)Y{$gAk|)`V1FykCp7l`W>_w$&m9qa0&QZN2_Ky2} z;*7tr4Szr6iPsUye>@@eHC%1QbP={bckz4ti+QvBwv&76rO=;?#>6jmky`72!nC?< zseUBgkbc^W9$m2;_J_qxuz%X?BGft;c%oQJt9O4~&d#w9=~Xa6e6J7s&+G^Od0F8O z_RTc3=RbKQG(7a3rgg`^jY1M)6*c%}YKfCaU#tg8ns{y@x`Siea3;vqcef+?R1m^; z2o46eS^zyK`50v$;)EnK_1ibuO=TN?F9!3y1S9Tpqe*eE0ddGI$vqOO%~)>d&Ey~n zLhDLWyP?{B?Q(*rV@ZTe8-(&c>m?iGNiIrwqg1#2 zHqUbq9bjGGaZED;86a|l_7ubSmg%nisoTu67^s?5O#ln*E8FUcT!C9%xMZpKmqEUkaWYx2>PeUrKv{2Nz(oQ-ihky!6h zYFjQIx7x956mFa0d+_TjRvaLwY-2T2p!O5DPU1HVf27V!eyNs6FzcNj%E>JBMuqtp zqT5_r{6U|z#@j2K9?Huf{InAKqdoL`IH^IObTQ!lOkS$V;&=K`33o0VD3W)0MiIy@ zq9vkT*!qOTT_%bP)!Ka%(ROjs~A(LM5>M9u~`uVN;4V?=7dh^;_wF`?ua^7${G^5jmz49&enmNgs; zV6&)U(nA#zY-g6V4bvf*kwx;%Q1a|D01R4dHE=si)r7V#P`rd|IL0fN80~NONcg6wC>sevPV{gbeRaW$yy!x8{^Bi=Ie9@A5S~R`2 zFv`4k0I87b3wo18?cSV?tBIP7yUlvnBlhQsOui1RVL}7?2u(glj>S%pRqarly)#`h!zi=z55*imCC>1XeIrjPMSvIV*Yp5KxD?PYFK`eOt`Hr3Vf5{xfAe<&$-h?WeG zl$=_saoMsDzZfaU#EdchvV|S<-7k_e#%DMj)A<%qHPyBRrRaZg9rkA!=T=cO{7ST@ zm?2ep?`BSR+yE}UZ}`a9WhUr~X-;2HY1Y!s+qd(c))ecB7SEUp?G^H&Bx-x9)zRt) zkn{@aOtH8NcqE;C&%Z41{h7s6I`EFXv%aZ0>AbSq)F7Svw3gh3sc(qEx;7P@HU)U` zdFi3owLX;8Y@n}6^`bn7JpZj9Uy;~58P9KrF%?5^OMbey#C4s^I9Y|#xvE(HvT3B6 z5t2=FB}6Xz%5oa(ht|gx_QdUh1S}tA#y%%OMXpLa8h_SsG@kedDT$OyZ3>3coyu)9 zYb%EydYqB#oIB0^6BrOqDaPGAn(S-&)ZC}dCTD@QnO<#Btcv3vb36pE2s-nW{RuSd z{x^xUUv<+pzq93CG+^i8bWx2+qQ2Ibj$prXuZZYl6dyGDncwQQVgS*7gZ9txx>xdm z<+W-E@TGqDU49}98KSazW4yW56$+Qe#1CH6yl_Re0b_~NX z&J}HD^?CjEL$Ya12fp_;FndN%@SMvCq$eRg_k-G}?`TF$P%D{xp(f*_h#TU)|CGL^ zVl3{sTL0#7E9rg_!jLJse=%LgW7NxH{+S^!X?a;@o%B6SYW~HKLQU^i^N`Ir-&tq6 z(Qwy03~=U|uS)p@k5d=Zx$4Q6vCWFnGcv|5W$>}Cx$2ID?exC;VLx9Kb&*r9pF+UBI184yEBoq~mHPh@ zWO=$hlRD8@>6I*-$~aHt)l7!xE0JuQ%6KxL_AA4#3G>qN@~vFYSMu5n#k(D7b9_Ju zNmn=t#O}Ta-#GDL{1W-uY}E^ckG-E=r+e6#Yj-rWLaawIUN5nf^SePJ@+c5xV_kdo zau=ag6j-gvSq>TodD<|dcBh65GF>L+^*$bj7SX$9i>#cuk5aso_!3jokoZr{&Jg7zszQ>`mg9CD>_%mJ1(ta*oW{NEBK-QVw=uR?C$TN zvi9RS`P*}nJUmx-g}8ft+ncr3V!0lZ16tNuJu;DaJI=g0Y{q^{+ zze=XIEHJ5Xy+QX!mFQZ>jP@1O^f1_?AdR?oy3`Sxv;4!_k1(J@A89MlGA=SocRiV0 zp*u_EgZzhpuS!DDG{?6wj>qHRigCH=2>gB4^qT2R@I~t(H>(+Zx(Oh+r?`>{W+_O^ z{+(9PHdYug$V?S_mJBe6t03LPZA5qU1kAIiq9C;MXdeX`2lsjzXjUDsK^-N&rx zj!ju3`N#hL;*qyMiR|XcPo%H(pdRC;Y;ZVPmGlxodt}K7l9e1Lk~hOuavz^kZon>N zxn#j&6X#d&^Ql61m9Yuz!R5~SAgk-3hwpfN>h!VL@7vc~0KJ!39G&uFgRZMV_3Xay z;W_EM6!gq*&ZBciUxhCHxK;zCl#{vMa#goKG1PDx|KF9NwAK}SIuC{-uI@7V^4}b{ z1mv}0o)x@7?>T@3!|-XsP#QYUy+%2YC&8x2f_vDZHE+N|x~;agWGUdSNuF))!4n5> zgB}{>8O(GqP`^;qcw#dOwf|bh{xYJScg0&1iyzs{`|nsf2aoS1q9QMQEokjHMroYo zsZ;Stos&r`j@td%7k?gA5+g<~|1P@kl26JQ$E+Fqy|{A{{)XcqcPh-5n^pA2NyLp3 zblo3|Zu_?p2D@2-T7hL$U*Q zNd?#>_{Q!FaCIOr655=4k@zp3A zx3~E$R?0k#q}qL=KwT@Gs0u(&zVP)FP)1W?KsZIjefc?Zt#-GgMm+bF}_?S{jvNvk@Um{(3ufs&`8WhiuZe{~x40pKHj9rpRaxUO22YEdnTE5r(60lt+D_fHb(u%)$e|M{uHqmB}YKzUl zlXqPkJeVb}i1e7qj>8^~2b)>j9fhjxhhomOJ$9K51cmjH2P{WF{pDQpL@s+J)B&2> zG8;H9l$lk)rlmaKdn|5os{Jy3JbD%PwK*0v)8ROqZo^^q@%04DlO59Ze39|wzKlcC zYPLqQh}f0p!b3T$<13HgV;R#o6TDTuJsRySJVjnO2blAl$jI-9k3x+O8$DU%)GxoQ z19IH1X}=s@tIxpSBk=z5hdpmH{;Ws0{YB+xY185zQmctqgBw4jp0Q_`RmIx2lmSeY zssI;9O|NMxw`a-#gOb9YftM1qlRTpIo-xVAM_D3uoXS-dD>jMK@C8XmVx&T>dRZi0 z)U?8@!dx-H%NDwgwHQTndFG_vqhSUYSe~GY<0U)^e6S;or~P=amN=y$zHv62tV8RX zGT_6F0B8Mb@zh4|k?y75w7H#!xKm3Br{Kwq)^#$UWVjwCOSrYSF{<9>xp}T1NkC~=3sJrJ6gM+QnF!j7` zdZY2#HTwufd}qbN?(s2AvF+SS&*dj%;}b7y{PVbgIz9vb3nldl==&K}DEJn;3Dwd6 z{ZByOwlPcd*)8Lk7SJY*t2*#vA)miMb?W5zluZ0TNTW z34Muv&pv>AZtmuZiVIgzCR}buF-o6#2|>j)9-;VA7VokqGp8$X#lN)oln~V|e;n)j zj4#=jB*ihIWt#6Me)PRc7zC{6edhcoT{f{hMMACF$JQK!GTsabH2hc2=W3c{<{u|5 z6 zb@*n)`M3=}G1AwstFaZrEqwkIKh-_Et+||cz>o;8KPRqzVo3Tm|G@6+cj)}_=;2yT z+9qV@0!)6^35f+sjLHB3s;I%Bv@(Nq4IoH^-a^;{64;rc(t(o@^vQ4J^14mV&a9r0 z3@?)0V-+}5ygCW6-0u^~XIFl4(HnzL4L&UHL#F(0mN5x0ejl#JrF3U5_Lq`Yy^k7j zo79oepZ-&V9GY_=r|<1K0C+fLg>EU0BObc+G!&F>AnxLjx6SFTjY=bO&9;T*fde9%afS> z;bgdW97NS97K(q=RUpEb3?>&JzP&C2_%4O_t%L~9NPp)1X{C9nw zDFTCG-EU z!C5k!VZl?*^77ULHtN|FRFna$`61AEsU^b5-m)*TL({v|;vq1=#ekb_eFR8sxXD-@ zYI2F?pBsuav{yDW{z*(C(Rq{n*L)Y@|Lf2-ag995>CYEW(~oLED2)8+y;qRyj8-XS zuF`pq9sl}Z8j~(D(_rfeJMEWN{MJ?B=5M-5p0PJS zJ|pl`=qQD06SOWs&V(fEG?lq_8anm{p-c#6tLG`8+w1>kG8tdkyZW8G&!`xeTNP58 zDP{^a2u24o|LsyG7x){1Sho8%pmX>Bo~ibef*jtX?cK zvLBVI6=1&yUoadKaP)-*S0B!RHPY(0Xv)&_<1)P$S-DaAZC$%?Qg5r(&wJUOO`>ze%LVj-_Tl{>Sg$e=`NJv@QL1&^WuS?}OJ^AhmN#It>d;7}%L9V|=OW zq0n8bUBP_A-vl-;h)uF<`gA5O*pDriCGqunWv3((J`s^Fdoe8jME5`yTU0qSH24QT zsSqi)&FUVqkYqC;6@9?9ec8b%-q?ya~4BA&WrzmE{jhzk>0jY(%=0 z%vki=;k77d<));)HM-uTpS{S!Cnvfd#j!WsYl#LxPuz5lCdHJUbj9mA^^k&R(66*T zk7I37v_^BqP98JBnq_)!-itkKl5dr{t$TMt>yXv(Nb819xB;b4nK(?lot72!wnvx= z!V?;}F=mmR8kE#ma@kbP%QUmc{9VMnBla0YSl~4ni#dUJS3?nUwmmgO(^V^T^>T+Vl8fX zXT+Y7dn|gfU1d(iUU66HX0zwCzuTydO%!73f5%Fzajz$1Dt3_$OCxns_wVs(OT&+D z8>fu|r=n%S)EF%DAe3~cS8tjmE5y-crW{Bxb@DH;%x>LbL!*rSb*+NriuLH*`>v=5 z8uX}e;dRMFcO_`~u^i}o-u=(??UNnwos zC**lFL3t9HY~3=VpXQc}2oYi@ zhI5l5yAKz#pSbMim-$#PN*}Kr-`os=BI{kHKh!g%Cam}}H|C^Xd}*^*VvqE6r|N1wkR*eG`?{K*8j`fStjJr7-uZkyO4XEc9;LwB%!`RS{yECx#ThL2yfc<9D{D1Y3H4W;bRmOxz&9gElyS99^4UJ&D(_B6Hu|v|CPnA247ijB>R+WT%eE zrAKKSRU%yMLb}WR-n5-?r5f4`QKAzD?tb}2{H8S+$lq#r`i%|au5`S59>>=9M$RzF z{Co7CIA~Xb{&;xxDEb^vzSEW8qx0Z42*?KSmS${d*~p0^lJbq_?$cv|kx&&i(#Bo~ zx9v3o4>1`5zm&}nf}$qzhDH-Tr7p?0_*V#vUJV(m1{x)-$A$NJZ<%g*R@_>1BU0DW zzMX~lF*@1d!l8B9jMnmB!fLPJE6gOGXdLfTd*sr7#_%dVRXU&3WxROjj}00mvpRmi zIReJSK2QbFUqrrXw>D3{mJwWa?`$2sw2ZzXbXh<>nDcet4q>1C)hv_9pzZHKk))LD zco{JSeLoYj=n^%}#~1;>CxRlEA_s9LwjPF6Y@2=QR_jYXAK~{qnr_UGOBdi(F=zJB z+c7$ZroS%*yuMVmIz%U@Nxl6M9voJmc6#*J`TLrW$0aDu=%d_>ooSlvYovnjY|V73 zv}M$dOd0?8S}dl$gLJa1dr!vl^5XMQVcUZu_{O@mM#7a(?HKdAs)~Am1|8g}b1m>| zWDCh3vw`(m=49LY)bxZ~k#oH24o1lDrztA_)6G!RykD#ZN!u&T5Av8*nz=~&L zHvG&-dA4kqu`beO1vm$X7b^@|DFp4n>78DieWia>6GFDWM^~G9^W{c$eS`6!A@e7x zy?&eO!385o#_|&|BCU9SmO3c&KoP(rSuoq4IJgk4L=ThEay|BIo7EUlb+v7F(3V+i zq_+X^^!;}=^ejkHKa!)%<9w)OM(bTb&Y_~K@d6jN>Z$;x@H?t|C9*do7#{OM<9%VH zYME^D>s9x{Mmns5)Ko!2%ij{_f~*cY^uj4mie*S7$oRgi9?JfF?^>kyX63jy#FDI{ z_rwe7qg{)vD4Qxha*eG}e**(^<7~A45m}+5)BTX~hllz?O$p*CpgPpzWS0roX?1xR zqRkECEqYry%~4xI6d`}b9j(^0*o!%cE{U)q_PMUpzrw7U9ApY(yoO$f$5w#3UEQA4OcOs zHWt5Pu=Ya;U)c6-;&U)G2o?^JJUfMBTg_eAxd ze-__M({488lv>Z0s#l2E06H7a%)5-uTvG&u2$|L=8fw)>7;19^vLj;;*UV!sPX&nQ zt}SPlzL=W3Z}w?eE?{4`{Rtv%%j^lZN>JJjFL8i7f9IQtSuofoGBj2J;i{iu1v;|Z z8+HEHem3C6D*R~F*AdXdMG^^2cpW!K%ayN)O?7zpP2Jn-SG@PMRLt09%MWO9w%`5RWn@w= zxPEYjMTqa6qYILZ-zB0x}m?f2?==C8Uklo7+n-UPxY3Le0$lE z8#uz~LI`1iil|PiHW^((b}+A#MM$;evG~uktH|!9mHeJF$#)h?J4N5P9w??tV(Hk{%4O&NNLm zB@!%aprcm!HWu8i>d+8aIM*Mdkh7mE0YtbBrZ-t0y}pVUN*W-@a&u$misVa#Cl*ec zoF~sFmf@`eEM7l&g+vbqk2U0#FuJ;!wx-byhWx2edI@^_eHZeDI+FX@jy8OVE)4bd z!7I4;5Zmr4#i0Go3n{#RZ;O#y)4x;PUMSRM#%}Rjrt;G%wZ|9Ak{=YsLq4o@aQQQ6 zJI&XXt#TVj-(i)gcG#=pfFc0blvaiC$@tW*!AyDFwsm7r=U%?&&$s>Q4DY+UX5!C0 z2ZB=l?GNjzAJ@h`VkYKGaK-qvCS9bKfu0?^v^g8yDA)syT2S{auonr|T!;3i;KoQ| zP?L)Tiyo&CwF0S%9oJMk1D2u9&(*7~1W4>|Ju@jQ_H?T5l+o-kjA!w!-R6v3krrZk`UZ2k3~yHu<2!{(+Xg3p^40w!^ia_E`gp zECqL&Y*HdNm)=fMeF{C1cB|_dtIhju>*5LxCwB`boL|j(o(=I2RzWJQv(_dh5&_rf zn7%Bx>Rvh+3Y8t5;)2dfODzXYAxop`JvnR-r(O0MY1J<7EoyM+U1rFN{xd1f?dneq$k?z%RYalgo%|sEIyX} zQ6}QFipTSbGM-j2UVtjOuXB8#l2FA;hai8)|&TTo@TsV4Ci-q z38%_f>x5cG!baSMUH14t1HeC`E6G`6 z`|3zs;jdxDnS-fL^>9%l}-h%?#rJ{sAQ)7IjF=C1>(+t<5U&erwACte$tPrR`mO&EJ+}08j_)NBr=Z%&UhGp9 z1Qkj1P6&?s>%I;UfxoG7T{R)Bk;y8|h-1@u-=^&Vyau{&wMXt5NKIfy{9f97d^%V_ zM!PZGP6C|4o%8ybTi+D6?@aPk&f76pcNzZ}C*|i6a1eH#XnH&*q%=ByaUL9p+Q#qJ8Qv>BNsKeEs|;7eJrOIjl1nW*D?dfH>CBK9ur~ zj!}}Yz`bL~Xa)xzqA6;WBG(N1d*V2Wcx!m}1m_ReG!)(8QvrFfL&`g;4>zl-sWGYFSrRsgC2q=Q zDC(%d8Q+g7%`us#K2w+5Zat*+IbrDjvn!N4zZ0j!ZmMC9lX>qeqqjA1hfOsf`6D%% zOoSkcy9UbgsN^aAmJ27=Z!LPY-sG^t*K8%ffE;s|wi0n-WM_UEO6w{IU;C5){-bYz zcCS&9?_nmkdwKkA$#8$qL(VdOStzE|T7y*YN#rwqA#r%;=fq}%GLssw5O<3v+mF|~ zvsvHrj0>~kydsaGhi`9V!wTBB-p*ByaQvdj|8z_>wW%YPW5+{D;~#KrhM(#8Gi}o* zUh7Ngdrn_LFB@w3Y7{$edyQm}ZctFkeCTr~ne}v7>AG7zS$sr;^M+DEp*_@Jm7=M} zm8a%hJN^baLcrGh_ufLYjvlA9b-=8z-LE`ol?;Tck&USK8XsuYv|OQmp$32R$slCI zhs|Ra8ZO-__P8a;=bP%)ypKOlMEkqp8>&kDA#Ie%WP7Og=9Nc>18Fi*<;J51N$JH- zMCqLVJwX6|MXt{(RB}2j(PkEU1SdN4QO0quz1P|Bu{VH3^HdkmI0!Z$-nc7A)l{%o ze?w|T=%c(4Mo@$TEl=??a$2f_Fu19++_AMo_Q1`rZ_1CBW-CSt)`(I7ticQxT0-aN zl=)nfA^8j;KbU$>r&)pdvu{ZhIGaFp+mkpzM~|ZH)sCs^AdE{AbL}~&2xKZ z2b~+2$SCV|r=^nhT=W6O?(5if)e~|hOanYOy#*?NzSkc7J|dX)trIV|eK%j|M=T|1 z%tyNQndR6yhp1Msx=E=OV06gfpk1n&hh3@B7jv$jRi@Z1O}>;bPQ@avVp24|FeAAi zH6x+6A^C!CwyZE4-IUv=f!!&J_}P=x2oV_?$f3?Lp8d0u(?c^V7-KZ!MhB#1jX+L6 z{#7CO)vmTEG{i(JI-5z{LsK(?;^~Hpn|jYNySDb$x21* z?b@}-`$sm6TtoIQqxiF?gMVwIO44fsd$w|`s_#qX2sLytzzX_5_ibBDV{u+tKbKhW z1SSs*q|H8SJ1WGCW{!A7Ns!H&k<7B0Yr=bG7H!d;9+1d`J zHn1}OnfhBgMohz@Vr(XQdO3mrigG|(XaYnm7`t5-XGWV;qP;J7ehi~mD_=;BI;#{e z=WLdkb%d@$m!M6?3`)lrYDNgT*5UX12Dk9KtWvRq^jBYrdNY@0d9916)f5DnpBm=u z8Q%?lWKS2JHr#irNFx{&|LZkHtPsNODV?&~`!%~-P z1G{*b6r6@%ifUO(=>T*%D4L*KWhlF_nZ~JVnRK(?E=|d+qH}9@@#{}sc#K1fs zgU4}WcB!Hd7fZ3W26iG@mc5UN^(IS1U=&v)i%fh+*uhmq3RZ35f{r=}UP@tV>2kE682R=ea(0m}V?-4Y`@!`oDO>9T8$Ewu9RKSjXouXeUv&1X*A z9~gcZx}Z#aRq2{oAIhH0!tK0`(JRhmZJ z(azCdGmudd7ngK9k}#cr)2`&TJXcI(^WQSd6uXn0B627{nx=e>yq3%gMYmmF_!2(| z#b!TpI5M)r8rsv1XYj@oZDDB!el#MPptL+z2n3a6uIL?4Stj z?_%k34v^Vn%Dl+Q!b{}uir2twE*wB$)$HOzTt0^Ez1gGL&Tyk0y24}9=`9ZE3yIHM z;))A8cx_HwsQJ(k?}F)#I|5wy(VBv^N}ym0~|iTwf?z7Ax7Y)I0pZ`Zas+i zMY|*K>#lNUGfnd{Sp91x*6b7uDJgePt-#9#s*`IW{=ht%b?oUg|)v}$wD|FK1otiaKvEXKk!lFf#>k1 ze%{DC0x4cL{Ka}=-9s%3n!~%qVPy5_!%{i;v=PamOQFso-Y^R)z` zTBgRs%SDWL{Bf`tl94`Go#N7G(T-h+gpLR|Bp=!$GS`jgh_@k~grMkdl#Z_8+id*e z;?mdO{RTXY_gWs068~@=E8kX9wb#K-l|&p2QO!Jm#Vw6Km$kJz_FYQyW8Johvj*p%|{$Jt!9 z&>8nIwTKhfzI6dZ!KzyVM;$p|Oc~~UyIHU2kb*KAO@0z6I830+^#|ooPEhny@7F8= z)7@+W7Xqz|EhlBsOfIs|@}1Ugg<-JdL4Zw&R=dF;D*Ui=zV1H)d=l2I_)yU8*PQNP z{BbG_aeJ_hbnw5XDI&VS<*^RF?JP8l^=I|7hZX9LcWw(-Av9Pt{za!QFuveOGyI)q z5~Xfi3(oZ~kPIHCq9nEQr*-qq=wN zej{P&keOydV1>^R>VW(3%!?!?9WKm{QexGQ5dQU|g*Xil1w~$wk)-9<|7;dS`)%C$ zD5872B2Uc-veWZ?SY_Y<=UGy^LQOwsB2~dxf?kB3PtBQL_CycE9KxUA-GG{uPIIMJ zf)GV$U;dYkXt-dP6yY;A~D6wYb{Ef&@Puy?_&j( zQc!q&Dc%VBe)wU_3v@!{AZ&XaXn*h_D~D=;$2MiqHCy1p;mF(03il3UNtMdwLrtqG|yOL`G1Km5gL> z152aEIXKsktEdsy(zI4q*zW(!W*hF#N(6t!xNB&1e@PeW}98tBii#r z7R38w0L`H9mC4BY4BjfQ>`kyet`fzojRt#_ts#09wg61l3R-ju}dO3?BAyFacoY{L<94_Z=FMnX|LM_IqyEGXp}150JV<#L*iZ{jX>%>Cx&tGeNDNA_S- zknvI+I(G50{1WzT!Kp*VWeEia<#mdm8w|8MQGsShLEn1ie_@?(B;~EL-MWUQxQpaT zOOxTO3o(6O!Ixo6&~N+X>?#g!Za4Wks2Off!b_T5x(H-l5rq{GOoq`cNk@%r>0VkN z1?EF-TqVKHMKpOPff5{$Q|P;h5Efz_C5jz6gZ6H>0<+PVFoh6#dtZ)h)1<{Mv^D+g z4{g5nU335tBbng$)KZz`nDRReL(LY{gah2uWn0J@1%H`AvUkZo<3(6Nz1%Wg$(zyF zAoKDp$!QR_B5zvUm9@4zKs_GtNu zl1WAygFDuGg=3O#i|KVhUafJ;T!d2wLb1?nUFT)wdG~;K;|Ri}@XiO~WXXpjFVd4D z5gc-$s^vJyV9hAYmGF52fddL*L4?syjEb~;N%$mJNWEG;q z3=Ev~vf#h9>A;jyh^*_?mou@Pk;^yZUtSV2B($$sOb#U^hW!4}FGY#&ALx$ZXW*=R zFfPuBEEo^{?{I(oc)Gy!QjOkd{u_A>IVW=cm(!FMX~TnqR1lx_KiHJ>%UdS8VfRwBS^+|9BN_9$5S$B57D z>e?~deT3dSehRg)?Qs-TBzu168$3N%sRqp0saF)sVS`Z`56H)nv^bbhI&Q73m=8^y zsxr}4Ijid2S=kNy()lx~{PASzQbe*xlC^S=%T<4#N@G&a8DGmeMoMHF!gk&uDN%3I zthdBAw@3V0mSUh0&5Li2(IZn-ZPYZYWg1ygB9!-uaHcH>oWy^DzLVnodwW<@M}myG z2r_xs1--|P-SwqLeyleujCdqm*^t101lj$vF%7l>=zTq1c$3o6scc1TnW^KF>y`_C z4Bv*#@&J!@)xPL*eU;PrW#g?H0D5EN85u4DxK5`fYj;k_N9hKYypFT+J(ud`)Y1{r z1U7;S7I~Q&7cTS*y6r*ISi+11T=5u#cf(d`wKVtPq=ie2cYLr+v68aI>uIp#AQC)g zWkfu-i$pk@=gc|s1TOdka)`IPk3=0qeBYx`-+0nv%7e_v9g#ZTFHc(HY%P!zR;zo(4~#F_amAEEYDaGn_o(z!QjwNNh5QzVGoMhM>grt)|(7#OIV3l`U!sPN2wT^H6Q z(B0c^?j!?}HjgG8%$(%?V8VEbV=nri{^e(QRGTuO7HhrvqIDlu_`?gyh6AE&7*Zh? zLuP2#4fcE-4DI#30vV=4NO_>osy-F?(&2%>cZI_$Aji>Fygu}?(6lr8-TnL64B5{0 zL{5Qu0(|o9b&QKPg%R#3ro*2$a_FDd5RR^r^(NlGO{cA1_E?NZ2#amQ!v@SUyL`Yd z*?EscGKZ1$aI1-Ry!Dm~(iB9tYHByYp?r>q0oxv*Nzdjr0JYE}o6yY$UkwBYz6|i( z#a8P56DV;pzT;t!&!#JQn`)uh1#T)I_HlO8T|Y;v*R-)h9Qvm1wyk#uXOd>4A8i& zVQHoy$@LH}g>FFgm;AGI(V}sxrxfqPC}fX3JdW_g3Lwy-_)}}1N?`#hj&b7yX%cDVRf*RwBZ7u?eR${QZCq0Xx z95J}ZDDc((cKBpG$XkZpFF502NYZCB#X=$wDeV)Vz38J&mh0rQkCvV~3WlrtvgYBb|irW3SN-l!!h|N&sa{Q>LLKUs01cDJ~|1`c$KA_T0>*_`Jd{NYefTWTE~- zLjs7FY=omrj-*sGti!X8<`3KYSHeN|9cx5SG>0u((O_V;Tf$j^k30 zl)T6s$gsf8B*7kQ6BGVrJk);bV>~J!f>@jX@N((9OfVvaJgrr#_tQHZhKg!^3N>j7 z2O$(-UOD1HM19^)8YP@7NeK^CDq?2-S9kZgr&qltqTO4B$J znvvABu^MHQa_8izU}c-luFxC;8gyLwoG=1e;TQ*Je3&{A$f&76%azr~RCh_d@d4xe;Tkqj<#7g@> zv37Q4oD{(~ac$`p&g%v+7KkiVio}SBz#->9NRlnJfe86ifsE}YF4^S2`vyEE*FI}0 zxEzgda%9%kr`RGnO>YeU@Rb%_qujX4fGpeth0c}Ar+$e0h?Pj=}(0rk+Z-6lReX%-KxBnwc&}<+6m6H>%~K|7md_B{RWq9f$KT-y{7^QafX9Ygq5b&~6)t((+Ja^y-Bt+&y`TbvNCIjwIgRNg;fW7WM;u@D*bsmHzBSm%Ve z2l1+pKFmKh0qQgfV)KgF?X5)}xaj^Aj{@7+!AqDjM5^=2r5c7W@*P?3O%hzotRyFu z`$3Tyd4h7PRO;4bJAY|hROi)taHNpgQOh*N7N5KN1fFM_5LsDxZ|skLyoGe-T#9{2 zhHQNo-jB(vXR8St?}VufWP`fOJoP)cUaMX;W-q6#>IjT}zc(B34FAN^Eq8Xxu(PJ? zOmzBs*nT0%Z`Fng-JPOL^JiB4FN4(G=L9)e1jl%!&E4LtSRD@;9`xnFfmgTmgEL87 z&PxQzAYZ^PHe{2auCTc-+FPUwu%%Z zS<>PeQ!i1VwcyN{34Z$LOqxL6*3h8!KvM7*^7w~)< z;|uxN1t_C7eIv5`kt-_7|1I0jAHicHob(^80>WGq5sp(fT|eDN`GTeX5E7#@N%jg2 z>jV;!pQgT#z4&A;`kqwk>XqeqDxI}Ch)RVTt8x8*Iw||{We>r zdh@mAEZ1Ooovg(>BWrNRIfMdj3t&Zs;xbV2| z@XH3IYNw?09T(!=Sh=D|PQ8prGR#xGac-!Ad;|1__Wzud(%o0d5j6Oyh~Tpd4UJ2IESE(659~eN?ghnwMiuwW13Poy2W3k$%m8?gAF6e)cQ(U{&{Z!pY_340ZfYVHr2226Y+$pnXl(lV{z z;>_XnJ+}xbGAT>sO|s*EP5vz28X^4kOSkI;fD4^s9mE!7{kTo4toz0KC?K&U|%&W-V3{pBtn@zwZ_i{M?sjoOBmYFueTIg2C?0AMB#@_w75RZz0^@ z{pxVVi(CWRhrdjwgA^n;ziHw^hU3vH^|k(hvPF|Wyjt$cCJ@A@h=?*}`$s851n3{| zVQ7YLxuT5+b2Xc+52rz1GYgDtPMd!;4HI}t1+vH>pdi*md+gx+4y7R?J+(UHJHZn6 z8faem|9M9Fvvk08nJA44!Nl3e7o#mRcq)QsHqibduK!_mI?;SWs*gKq8IC8aA@lZ_ z`di$v8Y?x01TNCmT@X*DDG~Y@rj86OpwMSCs@eG%x}Oq~*^Hw%bK$1nqjDYG#qpLWUTEAIP90n9LJ( z7_3wf)q9F`an-ySzFz$f-eN8L(-&24gxt!Wz0R#bn6EkkP|CKj9z(`GpZ9RuczOf2 zKjWr?p$p3{fAJh^pTM&kU(Oo+>*(b5CFtU3Fi^1rH50oS`329j2_n%Z1X!6=zuqty zkkB=)IoUmYD<_sN)M3ov%oF^S=g|GC*j}^hQA-xWj)KarydPh;L($zrh}fayqs{)% zL?xx3d586~+rE_)K-avJ@0TnZ%HW!8!*4nP3XUX+Akbv0VtClDXfD!k$`;t*z)i8iB@Y{zbnLM#Zar$_jc}C?(N{A$;?e45 zSE3-<PXM@EF z5IYw3Sjv;;Ub5K=)7f4jTyJzFGetVZFLF}t2saWwFBE>wwhu+JGKekS8$WR!X>C(7 zG>pH^YS?u~tf%sLZ+IA&W!w?GR$}lM*MI+(8%BEABjnsGMbvG(`a(Q;FY{A-B|?J$ zCtiWYh}_E-A9KVy!cIaATeD0J*?ERq40Znxkj!M^EcK*yP`>*UGd_4iJes@)i^Ens z@ynQu9&Sm4@lvj+SD+Em8-05G-Q&2W&awk&T$_5=3f9SG2A}$9S+}X|Itk&Z$Bsd#FLfKesOLn6&;rFUht*f7Q?a#v#@VvvIlDeg1eBP}Z1Ac=8s< z9#(AARfSYMHHJdBKMa!d?H2e!FI{WtCAfwdc9;kT9l75qS-o;5T3<))I5>pkIPad4 zriJqYn4vOuRYmQg1h@o&oqOo9`E0Ur2m4_|)Lc>ELIxZBKe)~14*Xp~Z@?&sHa_vW zSfm6&CSCsprSf;{Sny~uc)mIJvNuJr7|BV?`wKta{W<1cwH!(sZ6L)NyWRt%BUZ^Z z`&))nzXY1DBG74yNH!{6ftksF?#DwJDQg*76Rxr-cRsBb$^a)fv*Q))FHfn-rMs$&ed>=?Aq zjI4DZyS$Ewq2atiZ`{IVB%b&2fgmvgJrVFe8~O81|4IHH$28pn@d>ctJ^)vu^3nFKxJ}CDAT0o^Z`XhVUR%LFfG9182JK#Q$YS z-_a|UJ|@Iqy{p)uj-Qkf^r1#MFfKGboa92rj`^Na_iVOgy1x>eTtOj`AC^1b3`vE> zvqBVTHqS3AgbPScS3T;JFV}2?IBF;GWhSd2y?B>+Oh(}W6L_ZT%gNJt?OsoDPLLN+ z9vm+;ZY9fY3NgubtU)0@AQjFa&omDtGCy9nR~Uhnov^u!beN&hyjjbFJ)dU3AXEOq zo52mNdn`NBU=SSwtAMT_nSJ_j7a#FkWO1v}i^PAn3~<|N5c8S9n+-#cbAn$}$(`#!)XtNDDD&FxrI>Af*-QI08+}hyU&b zf5-+wuO|N(1sKu=_%HX}IBSc!oPQA35GbC(f=qui2z*1v#J8phDsarK#_y9oQ!l#( z>;R?X*lfkSh+pCn#^EZj^V%lRSg!r}?(nARz%m-&sU0z3bt{YrE2o|9X$nVTKi{-c zj<-1$4K9%pDn~HDL;Zc--(>+C;$SCq==ywI;KdEsu89i=@tZLc6g5Ak8WnR35Yd~PJT+rvkB=Xp;b0VOn6+p4@*W}#)1XT!SUfi>30s$y*v;DDB#MKhGH3cIOM{@FNge>ps#VhvP zA6W!8+cCBWdtnVK2@_@<+v!uac|UB?aTwmBLr`A`3E!O2`UKuPWlqBZ9Q3sDXwLY^ zd=~||7vS@YhwW%IuKm3*E_~$H-~*%zTIGd~Cr<-vDD#k2Gz^5XnUGB|6F=jkqRfyz z-@#XuC|b4o0*XR~ELbwJTgw0olg%Oi0oxNoOk~XX&|ne9Z_O{Q2N%$q65zpHFS2pz zt|iX|qd??cDMI6TtHcLM-usj8p?SUF6KpMVDn!*jlU)U6=c^a~#yjU@0EJUfj9@Yt zP9#e8q#YboBKbLh3;>=0M8i*CnElsGnuWPjULE}lVsLMFj5sSJxa7Pqc z(2E!*24u-Kx=Mz4#WwgfAxl^@a(Ffetuy|${cWIko@iq^)VIR^U=MA*D7^c733-Ds z1w@|a`+*6OOK+CX+x(pACogCl!IE}5woIva#{S=?G}*~)PwlOPAp6%Uc=#9^y$+89 zND;Vw;Ntj_-h3D((3iOn{|_+!|6;D3!fE#9_=uh3NI~2R+yrR*_Dn2B)lXKs4(t9Y z@vG`7?+j%AIMT0a%7+Y(AxEu!yNxSj8xn4H8Q-hF99hm)?&jKqtKe0X_z46G+e%-&_{`>EQn1M2AStR)>C$p zji@7Upz1j9_?8zhgXz2YYOb8OAZ;b;$C>KCXcSbuMA`3WXpy}@-Z>$J8J}|Fg7Lq7 z6}Gg^sLNFEn1W_j0I#EmK{+2vQ*ulu0H*2`OrbypXm11VxY-21*~6JY@Bt>h)OIM6 zZk7Q$40+N<_FbiF8e7vAw+R?h;W9nz6K8ztC#0J*v0-Tw@P8jbA?&&|28#@nv~J2T z;t2?ky3K0k&>wqE0fNy#{ukXVZJwxCRGHZPr7)qlFTm@@i^czY2|{2kYWJF% zcdilSpN1Dl(8|cJn2LFrRlhhmEKI+*%l8@OwL^==pEwfWI6Yj9c z=ljpXqR^T2@4Nd&KPG`umnv1c%6A)v%R*)hs+Jx{NgA65?Kfw&@Ol~*OQuAl_%VH^ zDH8zf=H|jsO3?WLad$T%cyow+Q+r!(-oe-f>XV>HBDb$iVA?iJa_N91BL#T=<2)W3g^mr?Y5|hz^sZZW}NnYG_7-E zt}bQ(0dXTDd1TU|}ADa|Y5^ z>hdKKA^ccfO#PI4na8L%xHnuP(PUg;Ef_|ov4OcA>a%aa-9LZt!;vzlk@!q82L9Av zO6l~54qs@eXy#&*mt4?O#X z8-0l|4SJS*dPF=t4bRY9aTxd-e+*h(UW0LJk@3QaKkYjIMeu#+*EhpG^-uJE_D#A% z-sbmzYYG92)#(Z{=~+B2>_MXh7KP+09I%+ai?Qd7yo93@^|ALN!-8IUsDhacDowe5 zQKe*NViFW))}-n5SDHm4m^W1Ses=wKPtV)x3|9EX{I;KAqwn&>iSh}AS~SZ_sh{{V zN<%Q*KPH}Lt1?@8yD_FQ5h0J-zcO4{vYPf}6*6KRMeUIby&4;H>~nh*ys@ph;WR4b z$iopD<+ws+Z6uJd91c^bbQ8-hdO1X*jZ67;ri|+;PkaKv#xmQ&Lk{kLnQLuECx@D_ z;=|(`F1N90bpJ2GDnL0Jp(m{{^&&Se(f&o9-@)EQ@=gb>QnY*8tF|*sv08HV=wg5n zV)oFh7!)WSI()6@>WNd~$J-wZx>248tTDN#+GLb`$y821xp3}rCfljE1%L0Lh;mql zi>))s#ubR3;0--wv2#l>4`Ij(tSc@ncKnjS@}!Qjm}9Nb$z_(iQvC0Yo-~Sa-cN-6 z(^SLTJkng209lO1DK;zQwKiQUkRlKHb*WG(di+kuLSE*^_e2ijXVI-NXcK1z3oJ^J zC*XG~7v8R0h)x#XW?-DSFP^6bFKs)#R^jfcGa-vzt_1pIlBuh!M)WuBw)zU!n!o}cu4d2;eDXeR`1y7sc$3||L**ZRREz|Dr}&Rges$o6DV^=o?r+-G zy}3E?DJwc5pAW(Rn^(p;TVt;IN$_+ot%Uz`!#HM`{-=FXm1Gv9bXNIVm%0)5mFA?U z-`$$BYOmyf(g`}h$NMgy@05OY!m+*6*(iN&;1j?nrHqd4OBtNL=_}gXK)U=f~OEfG9tD^4Lmw~HRC`_xNU7eogrDz zhEIaG@bde-I03IswaRHHI4VwwcuzXM!x{5p;}g+eg`Gm3jNJ4!Cy$~MZqJ3nMo+Z& zE4%NX%o{$Ijn~W;)7-twvFGd{W+ctsXZAbIMh=kZ6cN5-ZoBx^zRrjaBznxAn5c^( z+x#YqnuB8?sNgvl>8XX{A#UYIMkR15gOw;)C6bf}uR%ooxpHV}gTm{JS8I|l4Vd?* zd`;>*SM~DN`W#dYTx-^@d;2c*@JLIOELmWbj|xKs_XdM3SFRdXuUW3&Z7)sn-#b;J z52d+zTwVnVdD+$!{<@r3ySY`&Q+G*C;hiZ8ylk4@>xN%@LmfJveMKs!I2)fzaY{A; zCTCa%Jr_lRf3CP4;p0s;x_H*<-yA`M0`>zU#=lNWv-w7zzeju(i7gDElkCI!h%No9hMU+nH% zU0(|2(i>E)T`b2>XNnt;QO$E@%oP^`q7D}VV{|Q|ZW^a^H-w&qdegUIIE9y%lI!s< z407hLlpFNQelmhDNO8dwC&O(ywv_%*@lUJch(+w0%px%kXC`AJ?ET7h>Jw z*C0h}>&0=L5|6(&;?xhCol>1Tz@t?W&-OMEaaP+K#HL4XQqoec{6B4A)X{+^QStL~ z`&yn!YJ}(Cnj3-p#B_S!0f?#ueBu0Q>hC+ajscYHSW?CJr}fP|{PLM!Uvo$+&Y8bw zqMY*^0)1(fkVRg4VQrQr$-JK>N@`k3qt0rdC^(GGM^JlC;&gsrR@Jv**-=HP)5C~= zC|$<`O+@8X34f?!=X)Cbbbw#w9h0(xw=yrQWyS0j8o=b-yOLSg-3AD-i;A|kgTM6n z`=M>VjWV0%nD?)kL(22=GZIbb*3SI;`hOl{wr4da3IZNjpmezvH^0V#2aW_Wl=H1| zeT0$n=7Fh1xpjL1OQO;%L)Ddjaw*iDlvNrC06xypR&8Au^d>0@P5zB~TdplIpnz5A z13}PSCT-_ijiLVj8bx0_wJm^RD${DpX||KY&@pkk?}%Q5SEtl+_igT2sS@cEVJ_J zzyJ8tDQa8f*TG#`t2?v0$`MgFOrtP5Bw~i+xBZkx44F!FwAgoBr(tlbE3IF1H(}A) zCvipzl&Ke)^s4t;&fiMDeA~0_aQyCUTOtSX;%^&rVf|}bH2R^bf5LF}=Xd3# z{)-oxO`G#`GP>RzI))t7$?V7KISTkgj+XHIC!IE#+2YXUvHkY1Vm;uzz!*)>OV897 zq;yF}Q#A;A@oM5k04&a&k>n$lP)9g-d7gYA`u4#)g|nP6&HL`BDZQOZ4&#>*lJ~(+ zQ#x8P2HO5&^vLo$1=qrA;%fW=cm1n8M3eMGA*1Qku)(NRvKRp5P36wI9#mI|F%V1? zURg-+aCQ}lFX{pBTRWm!5l^RyziZq5EweJDdLqBSniv3aqoB|A`86h zxp-er^Q9}HK$3&SH%=@Xu-z7B83#}=C^eo@Bp9;)R`5+!#hd3?F8DF}(%&Q{_jKF& zFFjy8o;IK`FlJx0=-nU#XPP%OwsT}@y`^N5S289^<5eyFd4Svuo$ti7^Mf6LV`H(v z`o^;e#z?r?pH|X(YWlD#-WYq*5%}j{hBssF4|Ym9!?Od+ZKPH(3aat8ZaZ4rzbVyZ zTd!hK3fhk){HZ5HnhPc#zG1|Fz0+xaeQC%%!HQ4MLiD6nVGs)jL`yuED6v6P_ij{{9z8N+WoYPa8%mMBcs7$5+clK2Cdop$j4s&o1 z@sT-{(B!`Pr{pjZ6Z^+kLvjL}3U_j3swe!Z^a%#PeiagJe=D&_benWF^4=qDjn8sE zW)_;ThfZ-{77shd*Y96y#^efusbv#;+?UP$zD!K^aCTJWo;r%DSCI(b14Ja5!W$lT5(r(8PMuD!?R0 zp*^L6MmQp!)|_!-CnjvnEatM~k4&}orGm*HcWgm|zy5(Na-0c8iBMa2%_eYl0U*-t^QeV*h!@;_fQgb$iztM;;uRfs0&cE#->k7bu^f;A z{2m-VYqZuq4Lw%lpCiC3GZ+8v(1V6v$6W@&*@y={7hR+-tZmZC=@#&=zFh9u+Fh6! zbXIVnZwXtG)(nHUP+(-Ac?4C+n}f;)){PaRw)!GvwKB|za^ zXVMxb@YVPE!jK>n$~)>BDgrh16QJAA%#{i(VRpX?*SFd6p`DQzQolm$#l*DZcW2`vNg2JVU%e-Z zg81L9ihO8NzVyXYtJogb3?H>W z9@*aHt;P83J2>kdtUF+U8duGm^GiPsryU7-N$CmF57U%I-1XDlH)uGxU?9p-p;UxIp2P)|H}^M=v~ zPoo@c7F5SoFmOC!7-BSet#R6MGqWj2(Zz3oI;l)ZQS7R#t-r=|D=i%aTS6G74a~l6 zy4ArDgowI^^Nj(x&&ehF4g#n4CG#{ewihD=LD($@Hma-d$yTYY;0k+~XA>=4HaJQ~ zYt4=Kkz3A52Hv@h_E^Y!o808?O^f$HU-s1&=7=6054)kJ5KrbgqI`=Ovig&^3_*S% z%KX}UEIgpxZ~A)D#&rxtdHwvYZ|>3*rbpMZA9{ufX)t#5r&u-!%&f%Qp*^(jiIAeL zAwY1`k{@7o#REq{4u)f501J6sv*aP2GI!<*9wNA?138-s`ZtqGnjfC_u?&Q)tWkPY z6*;(rz9Y5u_bP)f2{2{hN1&UTVv!nBY7L!6FuX?S99t));YByN2f{?@v06{^zG}NV zRL?daqS?{g6lSxa3zOC3_7o)NkBcm>kW5)KCd!v6!3Tk(7F`{f1!Mum&y;vHipk8T ztS^~LyW^mW_0#!H{^pFoMll5Ny9`AFXtG8B@jIFTl8~loWuviBIgBs>(UF7FYw_b; zg8;Oh(Q=~7@t0APWeve$_Ih`S-GS&5tNsWTWyF9*b{kyBE@J)Bh8)4bX%jYChP!}& z*I$u~uG?}~iR1l7N2?5W1@uqIlEdH+zCvxjN8~UX0#r{P>)5mAtb;>CGVXRR>CuGO zcEl4InN6m2rs&u971p_pn9ZoU%TDJWP#*?Hi;oK`IOWYJf5*CU?h!VxGq%5Y47#ob z$;_pl`7DC1*#DFn(xV@H-QY~Yt4&8u{=UCogIaxknEFRA_CZWao!OLI&xN&qop}UcZly!BHfjBOW!g3dAjg+ni z^uFTA+5cg}Pj|`O`ryx*Q4jG=T;D2sSS>WQ;+#S}gg6aOXrUP9%0}<-!(i5_Q6MHa ztX4*XVT*(tce{?|A0^yF4~SwoA<@-#IoXCGL-bSLN*0hotZzHB#6uc4`C*N+^w@O= zqqM9%-cp8#ujdM>GRP?_yumNX65@lt)&RNMvb0`Xp4;R?tGyj4xZ@A{7=KBp=2_Qq zm8m6_L}JHt#5oW)*73m+QOpCSZ;#+?D= zkL7#mqZbGdn@`pZ%nV0Pot^jRw92E~|0+lD%1pQXy<^SMYOUp0?hS2a73(igRA#8F z5x#|&z|S595_03&x`T_XWStIvWCOyw$*n76sm()X76azUmqKA7HtqL?wl0J_imirK zn~1PXw=j3A0e4R#%hAfy3O#TGuUN&bm9`~bJh(3^;xc{Y zOwEn-Z{#mibKH>EcW9a+)WGv6&^%^Z?i%wi0;EZ3OYzvJB_ZAJq7oK5|86^qx`fPt zej(rQNC^5#KEAX(FSB0P$_wLUs@O^fW%$jQ`WtK{ew@{h0FNFT6JgJ)+UxajNVvxNRSUz-1@%y%RfrZX7a zbcOa?Jv$*>>1= zw;F4a!i?Tg_)6EOZNz;;;R?p|14O($uWA$=HO=pVDEkqf7D~gz@xcRkrb{)9Q8{FX zcHyt2E`y54Dg2;O3~wI|_VrQY=kR||&Rf3tplvq-6l%jl^vyF6M9E+D&5jxQs5l() zaA|I&^NW30gGV%vC%dGD(h3_7y?v}o&@zXQOg)HIBaYJb<~z0zp2DIk(8V)@LDzxa z#@v0Tq(REpt;4e#lY?`K8dZoYwkYs66hA-GSzz}$OBX20*5lfiWXU_>U`)z22EbV@ zvh>)1+(ut&_(S+5?-wE7lmkEBh6lU)oSA!{PzzBGJ(VrSd|z=G(0_jXNEMVfhxYjy ztJ|KC_E2q8MfPQJVr!H3JteeVA2ggt3?GIjFi$}J*KgWR+ zHWg}$o{h<&|AU0xXyV=U)j#EO($AZDkQX0P z)ucVAzr!Sj7JaNPwFEf{eVWKyyM$44%^Ica#YCASvcSQRZ+<&sO(#(;caykPYJ;E1 z*hzu!6GtjAK=_43x2;j1ud-0DDZz7LRQ7}{Yt!Xo_y|!_0aTE-!M~}`D|&j$RME+* zof$Xk*WHnN`$#4k#A~oCxh-+P04$Rb$yVI))*ouG$z#6C(6j2xHkM_@tW%;HStLT` zckTOp>n)fT&mLOk8=vd0rce|Q1g1Awp$&~7ARsx)jF1om@OD|avs;fsQ40{=?)eSs zyzbLDZQVGFfUu%5td39c4STenApk%-DY>8IIs^2dR1Bcv+J zI(HKwgubmnOtl*~cTxPBvH7JtVcgwT-gFr9D5bjjar=Fmu?1)U8lGL{YoW~U9J8L| zD@|k44F9fqV4Ps`$8!OL4p|lOs}9D3pm+x3ENKv`wB&tgX+hpu;wu+sq$T1U9-F$W zBU&M0!G87%;YyZ9(_;B}!m;_FEIm9XD~A&pqggPdr~r*d^T8>2W(9SrjtCV5^~dIR zBvcKLs*$S=6!iKrX$>Jr3MqPZeoFG6BEh9k+lOFETGk?sy97wX-)7=a3uVyc`iX4r z5lcXea@Ispm_q`=J;%7F<~e{3XV>%BOU6kzvb9{ zYzd6i9?BJW*Pi03lVU}ETS5?f$84$h{CRmp6Rn^i3`{j%E>JC~V|0`CkLhhVP1Cv; zzFh~VS^WeNF0D;C5;W}_^?B}X(FpXci5q4)?UU(@JX~t+v@^mblJc%Zj?-nftgbJ~ zyb+(;oISn_Dg!!VH|1P-JUFWji-$4U?U{J6!h8A~z=bE%Q0Ksvk=YV^}!sON}B)BB9?t^HT^^avg=9$LXi6}EriTzA5I&^%?NOv=%h!GUd zSTHQUa*8aw+C66NHa%ZY8IUC%anc;-DqLm*7UT--N)*QA}?Nu_|O+PnpeeWbpI!@E%K@Oy(P5+#)X^v;_3Prt!9;a?z0~V8D>x zpq~mG$e6k`nW*G(lt?(4jm`K5Uv^1aZC1mt7$73Iyo+hIE4ZC>{=0ATCq-Ud)xrF0 zQ;Mo->f1L;zc}Bed%+kOp;E_dI+0y~->v@zZAj;uA=v!etKpTww0GT2MiBimz74Ok zLT=ieN+Q&pXxh(mFrqhv+e`zCXqG)fzjd)c(+i4;4qy0&Y4VCc+zBnYew1X0D@<5; zQ|H27UaHI0I5tV@O6NusL6zF0U8S3Vz&iv2X_-lY2+{hRL(^ARaLyP+lQFT_y%`$X z46K`xSrW9e|02y~Sk%>_gI0rQmTp&)!(yMze57qB`ueyA-8BYz8KXW0XBE;WY#O|% zq%;svW16Z)zAl{P?!4>5jBpt_NQ5{UMC~AVQl_1rF)64&MJnxSo_B9mkrVzJGyQzK z79X162R!~dqlD>eJ9$?LG}%R)52##QobLwe``#dsB&oG}=#{Q8ujQXx*pxQ6Jz)~z z2hU>6KpReWiaz-0zEvyGHnQh8qC3=%cA=a9wsSTUG9>k+iH}!gc8;{Y`cN7)U-5?i4aKOx{NfbM2g$@yv9pPlPV|S2Z8+K9bY_!>Df`i9g zz-hq2O=WotM+B;eec+>6P^cs0Uc9rWgWz$Z?!hKKyS=eFJ7Q2 zeBSM+^5^&86hZ%cIp-p|ZG)#d?1r)@BFBu*xWBUN7%LQpz`gZ#-*#kxGjE2V&Z&;K zqC2=0ozCQ|ldx$X1?Y57BVOH&E zmBR+4lFaK2mCG6UlwmOkt$aX8F0Hy~`m%679r{!gElUh(T3U7*nKJuDUwW&uW}C9c z1Z9ge&J~4AoOSYLg+r|1DhF_H$CQNRxyf0J=Y{%-FxY{ewSsB0dU_1Im8wk=mthAQ zh2Pu5N8oCagvUIe?WegbxN3txM{6Afu1fIH(8kWPt)vTR-w(P9gl{vmT=1Ohx!+Oj zaFRK)|I92dR&g-zoIZeJ_((7x829DS&H_Uu6Oxrp6}ui|`|pGTH#MmTGF@th5RwD# z>TvOiSyXX$J`?n7nI`Q##RDzN`X2IW>((b>SHwEDe)8T{tZ>{Jfj=$!%H4I=<-4Ha zsM-w%HDx+9lQM>$`h;JsPYSW_lTHuXROW(5K{7Dk0i?Z8GyiFiiyf~H`i--k@(vTw zm6FspK>mYJ!gBg}!t|JQMf1=S0u;mn=@=;%KF>rK6f!Tx5tO>?-kwjJxS}e@uAGbStT&k*G=p|u`! zwQoDG4`>QKVSrPLXKZT@7aFAfLyZD$dyQt2|>Te?R2A~hEK7AM;NTIi zJ0;ob1UBh~SV$8Q!V4`+YdEUqR`5=r273F_u;{WrNc%BpmWV(S_-R^?k&LH|g+EWf z$@@S4s4XqZVLI*O4|~d_RiklmJi)O7nu3S;$+ZYdV)d={ z?okQT%zkQ2{sMxw;5G5?@tH~SMVWd#K0L;f7!tMAjzHjmqra1Q%J)D^boQl`$N$-`Qdz5z{EzPZyxla z?U+~vIBi!Rsawa(T1O5tPbXJh2^Sla#3qN>0KJ6S85X#mIeip3;_GbmSe3Ec4H(N= zo|F|%MzxkGZ`*x_CTm7kKkVI}pe#sd#|6G1cr~4!Ow6#lfQLX02)y0+{8Rnt>h`81mPEaZAz1SGt-wi8h*V@arFD{Z~;Fn)h{qp=%E0;`@} z?`+LFj_RBQ5tE(s4@x1mr)7`jc?$1R!Ix~Nus~8fV z_v5@@kG2`F&^b>DrW7mlHX>+$8`JOWG(=foGUS^B|KrYRm1ghRTi01UM#->z6LL*S zC}EHgl4@&z5zOivH52&9dYRlDFN?;2ok4>rBdVD%DeB{O;nqZ=Vr|c#*cX}*pA6_} z4M@QWV)CTb12t5uC z_P!1-{nnF1!ZqmG~3;*acJqY0`FbX(TR8**ZnAYt=DRbp`Ga8;mqx0`q z1=T62uPBSqBRlK{3whbFm9i`MT51WYEPmJs(1ULEX5s1&d@{T)9!B>!ZS<~en?mZA zq=9l{=XDmn{R8S7eI?(gv|YqsenUgl9&Upx6pS8AJxK4q?$#7LO^shTtzfib44++i zep`npEZMWszaoPh>XZ?h>bM$vR9duCmYp!|Os%fQc>I&paA2P>t*y!F5~$dlxm)lv zVLYUMe(lV~l5fFZxn?3RLMKkJV~$A<$z*RmNkco`)F2-gdzHJs);7m3%~>((zc_6v z3_kQaNG@`L(UaN5TQw?6+WaXSa$8wq`e^A|C@;h^%BDKGI&RnZn zzSK&tu5MdLWC7Z!ke=@fd%Q8Bqq2j^6|3pC*py-VTy)*(Fsir%XRKsUiAt@6_GfgyB;J;DHsedf~W}p!%Ik8;=0CLfdv27mzU> z^xNK|nFqHC;20U|jM7fe2F-#IepYi1DKjn|z=&@j#=^$*Ez$SGXR~FHWp`OqF&9gD z5m&JxxI3mt39m+%6|;17-X{>WT`?N$q3Vq`6z5W_kMed7bV`s;P2g8;3-$4Y%Uv}e zs(C}4FkQiGy6ZP@7ZrO;fitNB@ZJYBZ0z;>r0}@VgvjG?fou^=$L%PQth7|UEhGGV z@45=V-d(4pRt$gd$CsX!0P^CU<#E%5jo#$wRMpu}?Fx3~pP$$jk8qYnRF{!1?L zzmZe_`7GIWPe2B9c6hgK`wR=*^t$Cv513!{dQf=z!&7hX;^+%s(>?nheHNzQ%ng5i z#Y6LX#HZ22D||x&Q!rS^4ayFocV=W{(;B&=!5!T#GIG$6Xvm%BPx7uyVnW*sU#U5?FDxQ(uaf!FvWs^pSh-bl0nbf)wkCc4zSA}8caDQ`{lAf)uL(v zx^q9Dzx&BWxs9zF7!4*g23DTu@uydSu10c8cL<&Cx6VW$Q&Qi!-{Nk9#4XzFLMtR9MwD9s`&6qC;aeSY>m z9YT%+#fZ6eB(?z4+s2h|@H@!c2$hq65tJVa1`Xs->Ga+Yi&{tIZysnLg9p z-&bu8{WCUHnk*=qIv!30lO)@8hl8rOzM4x{`W*3rOJPxaZ2R-wcjmS2AgK$++viQw zE_|f%`U*O9NhId5dX5>W?qg*3-!k+*Q|(L>GI!BF%<1fN{`H#%>*cnUM{Cwi)WOaA z=BRd+pV~wA@D&6%X2vtL*QM?7JZ`D=Uz@k8o7%EbhA)dT$W1o_CX)6+d|MvdOk-bd zomz->iN<&tZ;q+Gi!~JswOQ@?Ii_P$HQ)DQn%B;>&XBIiQdavWr9w=8zH<0w)-h_+ zdWfEVXcbvo%s`!3{%K|!x&-JQR+~xoZN4DB|DWJ>epgW6yTNOUG6mcBKkm`Kw-XeV zzY9_%&W1xi9-Qm*ra4{yCL_t!dRhT~3#^Ur-TKDRo_i-ln9K={9Be^x)Mi}1hCXdi zU0LOPq!+hN1TW6%N!f}o5h8a!Lw;vJIk-DHfx|h&ZqO@bZ{3#PD9FK-e3p}L4ym{( z1zE#WndFOZbv|Fs!K81D_LG2xsb*EiajFCdgnU%YziOrX1SJfW3Q{KvA%=wp`Xq(EuHNG*9kb zthom?eR^I3ahDY-x5InKKla1UD^W%{OsBS*vU~Q&VowHXbA9Ff!~@tZg{{lPLK5A0 zB0Wqs7w++UkdP|ut-Wdz0&4HfdxA4h;MH2PIMJoWgkW|ewYP5jSw)i@h<7TMWE{rO z>Rel{w_qYiekUa`{9k02P#Y>GOjs^zs5rjS=xntWO44cP zN|zLO|6CcgHGZsXq4fhE#V)h2M4x_Gt%IMm%A(6B!Rq{CttOH4v8sw%72;hJ);7&8 z^sS&R6J;&7Uw6&F$ix@BVYCPlDQV*%dGjOsUvlnrF85_gxYg!B4C-`P{6hmrpj`3S zUZ~P1rMH{RV+=$1v91WV3`3e(i=ZtCn;P!D|b2qt7Ht3i5q|(xKKtGFbsMN(_g1mB z)V6W>va@lxJV&c6KA07}VaX4M97Ea2pby$_Z7gZ&8o>m|kv@4j&x5UuFK%!8znGmm z(Eeo%{~;6!`W*7jsb}YJk^plLUFr$Ke(&$`3e`m!LRaPrs`WEqsv8e?VxXsOBEaZ9 zYqaW)@1qi|C`s^RLzyL^-=kB+SC-wL3ZAKyVhX5BKyU!70dQ!edu5N`ChJ5=xMTTO z*FwE%_jH-cx!U(wMD8fM!dZ8uMKe&d-in4OMgw!P3coB%rYPvAl#$x*g{>Emz zaAJWWU%UEr`08Xp$X4IR0s9);CIaa{QSXZ{3%?9?1%GwM!9W8 zRzaWx33nwn-U9T68sZ8Dofv!Ykr#$+2Ye$uQk);(xu-esW>|3GoHJAjH69749$q!N zn(&%;;UNF1mZ3v~z)H_e8_~GF`PnnwOWC9Wa;o7DAj%FkB8+^HyCy3`qpdo160xpE zk2hfMe~s_#!eg~)>66K~E6L~W;ra(R|5!%G-c$Rr= zU9E76K6Omn;2N00x;|)-@U>jtNTXq7^=oTuBVY6dvm811`|k#zYGJ2u5Mkos#NYUf z1l*Gkr?4V%3ivI#xRn+g%FfhiRQs8U3v(gi{=0gHKYIFjB5*asufAs9`AJcFdZ=aT zG0Z@W|HSXFXlkJ7)r$wCW~OUg$hI&A%ga(n$()-)scarxBb}D)`o^&Z-Q;~UsI*yY z8_Vd-MgO~}a%-lLtos>=a7@;nAl_^(tG`#hAiShi#q;FpF3|T+_@XdEtOD_Odgy{1 z0Yawqq8o#-s{i5i_`iR)p>c0#9#8l>Vub%SUt6#FULb5fJ5>h#vA(`d-almI!U;j_ zJdJ!cPLD951*Lj9i3qA}w13^o08ThF<3`Z$MeiV)U<{oCQrp8Of$kFIyK9>STMN2N zITWkaA<=loKh8@KS_7a?P_#0dzlsa_3UJEO#DlC!Uz5SRjZU;sjiEjI-|_H$U4OjS z^eq5+PmBdJGme0TE9hG>&9m_Y#kUmIiF~RF#^cgddh^P(bsJko3mjOTvsE4- zZA@3Z4>v{Ta2Z(M4?OxDzp0=DJ+H9XU|yT5Vld|UfJ9C5HOt@gpwx6Z`Uu=Z$;3s9 zzGrgMU_8m?$M1<*=TCoSVKf%Ku#@TXAYF$?pyzfB%f2{+z0< z!3AUJR>fvWu2_9Ls?tDGTo91#R}iqZs+L5(y=0*s`bmOL4;+z51D>7Iz4A9>u|aeG z1M|*C&%Ko$HbQ9OLXyjy(m`CwL|8iDGs>*_;*v6@hdcV}_kNv*jsF504^o!DkeE*-oteLJnX@npk#ddq3)u16l(PG<+doMkLJYj2O2 zdW6&)Z-3XNON`I3m2C605^*!*%)%;XcEi{(ywN%Y< z+bommV6hjCT+(PJTXIv}MEa2)&XFY@LQ-~%gq;l7biMy73Pe zRv@;UTd}F}_IS|K`fA40-!CxbL(D}rbPIRNuREyrLb#Lbp=T)gn|b>43coH3$Xg)G zRO>)jWr_l*R8q&{HV0>~5TV{G))w$UCrStT2I=qi=R;nUx*O zGca6xPIh`$D6_t!x6FP8Jr=d_pdWec1vbfL1xyQzt^}R2k`@sZ_)FlJ7q+YyR)4lCT0V8RXx73Q$*g8p=5?q-*6hCwPvyG+(1vWWorQ_q&Y1#Q zP%~B|AZaHfUS!qH7LO#$m>ex=@N0xUcQ+k32d*+eyLRmoi-UK+aj^50zk3Khm0wky zFXj**<{s3%68X*H`N13I$cg6q3A;f3V#97TPhZr^_4T~{uTLn%%v&64F}v<56Vo&q zakw%1?CVqWcROPC$-Z%%obNx~U^DqrDk02>IiRmgz9sjBcK*lFhP6h+zkp*>XYy9t z+Tg?U)$;iv58H2N?^7u8khK9HWVVEyH+VMdu@8GZ_GW(1Gq>I}>o)>d&YXhhA#d zxQgExjHSw0W1WA=Ud8DJDZ6>zI|`Q%t@BV!t-quO`=g{jmHrvhb~wJtQU29-5k>z) zuY3x1RPBzZtHQyFyO*#0XxYE{T*@cp$IYlKGnrcfGIk}ZYOkGTZB=@9$XI|MU(;SS z2%pa%{cxv4rRh_IWi_swx?bfVcRtJSkP^KA?^SgbBkv!zdEGYpfA7~XbKb?jt6~s; zvbf{q)1L$DMkgFgi(L5s(BD6PVOjCH#NWj!utM6;OQ$iTOS1mq1IY2|p-9@xi`*A? zV9;%z2D+hmsW-Ceb&fmo^qwb&HiQUUM8V4{*^Tkz@1F0F63CTTt4>M(XsY;6H&Wv; zFv0wB2iTZ1|AgA7*|}>2m1yPBfPH+15C+XZ*X zYQFbF6d`bTlSP$fq`V>o_+r%h-ftK04=yiDAbU?_q*DRtgqwS)|Ga;th{>SyZ(hiG zIRR$h8h}o>#tHqtd&DbU(!9LYQ6PV*#H#Xpjr5(jcATHHkLD6`u`aKFdI>#`e0w$8 zJ@oThjE6*Pncf=!Y|P(fr*q*5xK(rF zS=0B7-FmxTV#b!H_OaTo)2{8cNmcpWQe3H>u@X3CrLT~$obxID{wLCu&>`WMEsU{E oWI23ytE@_b%I;5m?7*GkRp+jPn5ReXO7^NHO?idCb zU|@(DCZ50d{))HOZ=Ji&zH8le;_m&~=j^@DKD4)P-KwIz{jc`@S6=?3rj9J#EZcoLY8fiT>bF_P^ z>fq}4ucfym>A!An-Lg3NzvPw>+5e;Q$p1%!ZXbb2Tb}jJUe>md1)2xga{RgTdE-q> z`(27ZkDlG7`qLBj@ZRyC{D&*t8p+REv_G`(lifQOtR>!5Qrxs z1v$sn^8UEIpVdt%T#9qpC(1(Cn2_b>s+cSPm8$`=ta*(6GJNi`ioLmO{N$#ozDq7)^CGAX zafqTvhK4yxR<3zf0fE6T^ApWXLKO|$VQ$E9w;QsN?T%_I3)(OPD+QMPrQy?zSvvOR z2Q}+WhxYh3gj-Ns?0RaIjWLO+MR4?Y5S2Kgo11cIk);tHgRxdr= zM3GIazb{FnYgd0W>mxU)ARbl!p0%dnPF7!wyJ_>mL5D_UM#ezntnH({?4Tp(u`6^V~6cZ$I)udE&X@%P|gNN`#C0yI!n8cw z5THiuT_`VM5sa%Oewc@%@g{#cLpq+kN5^fH`--feGj;r;B}dWto~D=1{|V%pa5pD9 z-zgDemW}#{y5O>gp5cI`h0~;-;}|s;u75w7m~EVX&EjAUMy@~9i+P$ z)xkrDA6V`=LN4Q1=~jm)^15H*rh7Zl^B&*^rW@E?6i%)C=;XX}=w-Gi!a|Q5&;KBp z9m}kH2@a;ezQXG=IuuzDssNjvfWKXHYGFS_L{8vEe?$<$V9xL!-H?VYax_;}5*CHK zFj2ld;ZeTD5I^K5Zvz$)S@sa_rtYu#lLpIK_|_fZX8(Ag=nLxntW)+Y7LDbfE=8%_ z_>4Q0Xsk_6GVWeszG)D`!iXOvcS;4*azz)WtVhXtL?EE{#ffIvbaXf`=n-u3QF{pr zjzC#Ub=RY3q5L&hB2(b@ThP*&y(IL50^I4{+qrM!j%4VC*w!XWhtFdEqyd+CBgHXP z(Wla;4-0TXpy{kKnu?-uclP#4_O*|S(GZ+>mq!T<55*DlqIy|OtqbVQ?+FDx3TS%}?svwxn@<7|D4qzC40jPBRMIb2S~{7{$s6;{ep4T^Qne>~iST3!l1!k5PaPS`)6j9@~>X?D*qpDJyWwqIbX z=QY_sUlHt2qaoOhiTj)97oYS9F5 zfJ>HPNb3u7Qn;XHrd%p- ud*aG)s=pdj_S}+SSf$d^*pvbaI5BG>bH{eCv8K%5F z50u~J1&4R=Dk7b6M(oPqG$>=9^1}L|L{?2K&wni3zDyuxqaaR?4dda244n6Yfpi|`q)xrQo4kdQT3@JP}I_(;Vm9u7)KO z9NV}cj_7}g8ZG_o$p1;ds%qQ38NGDj#kh_R+|H2A24E$?Y2cFyPzLmr5Vn9D3F0A4 zevw&PpD-(jC>G!`N{AcOCmiP7cAI9lZig^ir8Kf5fkmE%f&xhZZHGNbTm>`e8dX$7 zcmYbH#)}i5Pm92{F(IsQ`!(zEFt*3RaYvh|oAVNJ+&`Stv_-;0l7`l)!eq81;m&?F zF-*c|3sm6na4RyeGlssy2XhP21(Ea@Cwn2CV`7L2<@JR7up&Br^hqm9f;9~~dEpF& zltIJ77si4-m36|^<_lC&q>Xjyy8`j8lVdVR6E`njSI>Z}ItQU@&9KEx$zj}x&7hae zIU|i%)B6~xhoI_N;_!uOk$!L(K|J)G!Y=AON^hHMS&scTPi7vlpAxQZ8cXyi2mSzl z66wwY0+?9A|Bw;>k`Pxt@E@SHYXKbM)|-hBCb*e6p5=5cf@^Qvj}RvOCfD2O5xnz( zGXEBsWO9L(lMy_3`aty5RyAPwaC=~{hz!6SFsfF$t-LFPiG{6RFHwb0 zU0pA&0%oYXIGB_H>uirP!Agtg@Od+JQud2o6y|13jg)=B3o=myOuFBNBPf8Ce2q`3 zd~uUd5BDBVG#q~O5ZbYxfRa^KC`yKc+X;K@*6a=HgXpaD6HxBMqlvCAu*_A_e~KvI z&Vjhi=79c@{qg3uoOLEVXYgQAhQZ{({`iDiR=CWeE)OsT7alJA{A_t8M-saPJyl-+ z3U1sE^c$B!c&&~GE>E(v25W+i$7yubkG$$$vAOC4pw7y+dbKZuz)I51G&jtimvyLd zm%nViw%k|jQ(SripII|-F6dZFM1?X;j1Z;}+&Gm8dkefA+CBL}+{aq9-;nDlr}54M zE*3qNZE?8?pHG~W9nn6a^~ACriLIj5%KyR@_L{6%m-)1XPHU|D-#uv8pD0KoxA?_j z|C9k=mQWZ1RJYu_&U@nnjoki39`j44oHjji>IeU|u}Kq0ZsY1lfYpb(J}_=tl@Yj5 z+4$tW{%^>@=X&gW%BXL~A!X<{m_NV!B6kb5;3TEz&j(E8s^gmHf+~CrD)fF@8tleB zH+v9fY250v;cva$_I%!?wOZ=f^{{G{e7TQmhQLotu~Tn@-NMNE;Ecnc zmS($cb1nZn?EfIp}?Ini!^W68!N-EQ`@fe{e zA$Ht^Jxqwh#Oq)0-r7zry{J4SO`4ch7CV6T5@Z*w@w(m0caU5&%EAXQ?HgP6qgp`x z0FCFJpWU18Dmixn>%!GNOWS>WOEk4&u3hAw%QA?2yH`dv{eYmjs41EER#HGae965g)X zpWs^r-~YD`i|wq5Kbw2sxDhn|afdo16=o@m_nFb*yHRl)9%Yj2RTgYnVB&Edi=%E1U~+jeP#7uwfl zd}k#X+ULdAd)guniyv$8t{iH8L$BY3GOEEd4Nz>w+huSN!m4)SQd|$f+>@7jX*J2? z^uh=G^_;t3L}F4iJN)6-2ag>KtsEQb%Vggn?WY(^c|QH(-3DVrRpsF+s(mBo#@V}L zUZjk)*Z9wMsCD5q7TQ0eMe*`Q`_cJS!Nxjln{!`4?|+3=1{oNjT9@Mc<0x#uORc=U z?RNQ)W$s>{%+yClw@SW-4R_IBSo*zR{1TL3J+T5cV($auSyqHQQ5#?GP-1UJiKis}8SrO4vH4wbpnpQ|oKc@VOm9;7(M=vt5h^CBgs zHZ}&|qLP2g&2yEqK+RP>YblKQoHNbA-D2*bv$KIRqw>#rzgV@ClKu+Rb7?7OTHa?u zNSyrG3tF=^5W9UqE9hPMwog@e(go}j!!+k+*lAvy>ux7%C*v~_fEvuMlG z`Nc@6mtSn0w;IQDY&U;S*z={{Gd2)%BFX50RmJvx-_^awqE`v`FP(a8@fsM;!?OTv zh5xtlICtsJuwSEDF^{jseYL@AR!>ZX4)})3_2{PD{u?Gs7u!|p1sOMLHXHc6e763` zYH(I2Pa*zIUXk4*eqv9~El9OXgL3)7nEI;3c(*D;rK_Nzg2kZO`9Akr$eI_vYoXff ztgL}dZFK;((NqTUOA1SG_@=sw8K!aU3V0J)LtED`o(oWY*I%CXOnO5EK66I041p*b znwf_5@_TSpZptD$YPy_O{yPrH8)b=PNE;8T<&`y9$*r_)SsHl8EAskD<`szVbi3caS)@t6cJ%Cv1X*-f(z%lXlZmvpX+) z;`Lu{#izRT7rZpQA0JZQOmDYL2tGTZ5D@4Z@!6ZW-%k|R9oE+`zxo$P+}j*#%g%+ZoazBe z&)C5-A0MbZRHo%sg@1Ghyn(Yi7ELwV#W1}2V*XP^yn%gpd?MlPgiiX_=GD{(-qwMn z4>t`GTEbT$sW&Q)`{J#EDJu!IPm8PVB3o`N*q%#^^?z;*c*CHa<~onmp8wl z9ltwv(UgR4f}t<%zF1eQy(}yo_8AcHITaJRB7jnFM8y+RM>?Z-)*3#oi1@vom0#N( zea)Y^hiBp)T5CsZ9{cI7KfijOdb2?7eWn#7n^W{E@2D7OkO>t2;BD9ToY}3+tXl)7 zn_J&8jGJQS0MC`IIYB-+|pyz!}@>%JnSp%-SoaJyZ(BS5JN;_vQ zfJL;^<1cTg6~_zTP9dRA(Bum*k>}&X=z16O&M?7$p&Jr^w{S;`y8mQ~j`f+ZG)1y9 z_Z=sN@rVrSkk*=4c}Qg&LcJ#xU2pcqnjzh~8u1U5S|YDsSx{Ojjk|-sjY8K1CYO)4 zXYYK7I+y6Ag<(=W%;(D+NAxv&b&H!3hT)XG*Y+-(lPEe~I#8xK8B@QAV6vofmS3>z zPe!Eb*A`vD9j2(3zuaLey?n1w=lI7QoAjM$Sr=%j87rjT)y+(+;rjPZ+%0>MV;A$)}}XVvmdU7%*eg`^49zOz7_zY)guE2vCIBtHH1j@1(i>Dg8?v*FC!y4fPhY#oWq zwe0CV+u}Hdtc_CZMWRz_;H>IR2%ZiQ|EsiU4?G>p#yS%kHz|@4sDMz~9ix!!-VFh2 z5agkM=`jMJWAZbzq=-F0W7ZI;18d>=Q9gvvAZ2ANRhnHBBxD}x17LUoNr8Ejdb2sd z@F9taXiqF8Js)hj&8FfR<`6_l?|rbGi*c_U-eKTe$xl(Yu&Vvd7t*Ek>u#0YjjCgPie4P4wIW1+(5SJ-$76uW;|HxOFQr)ka3E=ki2~ zu8j@1hfEJ5xhQ=Zf2QANCZeeowig*5k4=h7t=~zl_uS;$_FFMwI(pXm3PXx0t@e#b zq}6LmKu<81=+)J_eZDbPgo`OMJA8{aGf_~#Rb%US{GDaGjaJ3KZeaCHRe^kKu&sES zvW4;pK$~3u%3ScsP`tkD7EUBG#?A4`UdzxUy}}A1%6AK&JC}E4t-p6dsz1Gy4EV(o z$V|Ra5mVRExikdr6Sw)~M@#mF7-sqXI70kLh!DN?`%qnsVGl8FNz8F+c!zZSAYr`p zEXyfTq*%zB@^Z#=zV*sJbx#)!Bn!+pdKBT4+3(TvqnHSu0ZYj}M(7_O!%F*Q&E((Y zq^vpkdQUTGkX!Wdg7I{F0RYgSYU(BG~ljNoesrr!R%ID#&u0t}T zm4HMccCD$CdS9_>uUk(ABbPr?yp?XMd8(a>L4uyV8pZ{Ln`^n;ajna~lfy5!^&|9R zPB-zQ;-pm%t1lv>Ap&#=$uSEFe(9!66A6wmG^>mKBuf7H<5a#SGtUN3>)YMk2Kz_` z&-77(&Rh%eezT(=F980qBKRJrnbR`Lp0)qY%tAqE`VfFEp1&&Q zo~}Slc~Nx#&ot!^XU7R=ILUT&Tj`mR$SEUYZ12+1TfPQu`h#&#i6Js7C<4$MEI1Z? z)BKb5JVY~4%Z@s8x7oZn%SwKK*AHWnV_kjnElUbpoP`(f+^^+l0Ocd$%j1OlL`C8G z5uK@#BUW_1GkGVj{VB%%Q=0pJb1{Neafd9B`Qh%S`n(q8QFp&8QEQr*rN0}r2_ z<*=fi^ilT(vy1z-XXV}>r-L78FE%x-2@6jyKbu-+ow8UA?#F@TCl-oTgiSGT`P2I5 z12YfJQUw4L*(yF&i?(Zl)mz2xYt3OX7pc56V7`S*!ZQfX^c8>75{Ber3hdqJ{{@gB z(upsA6)sovX^r9FTQj-BzQ?gL0%_eRBZ9GrXN^N;V<+ov&-QHEPTP{vLAu`x)%tpT_@b&q9O|QPd&kHJ_}7|A|hO zkaf^kT?#+%r=1#!X5HEs9J0y;2ZeshD8yZGNo8V|XIRl~Ej(IxDAg*02R3;5#iOQ<{H$4AZ-Rk2ZTlf>W3jzcU;>MiYFlL!$ak9Sk(B37lPG+!c#B2c(snh zIwbY79#QVyw!S}g%9Xc1(Z~6udh)T=7dDni?>`dN2C0umvRhy~1+nIw^Fd&tndx(G zbe}*Z&$)ZcH!YRz&jcF}q(j2sDs<@R=Ry0tx@*abJhy%cj1T3Rf^9?mSCU@vFv?8Q zm4-10YZcm=5^ZQ@NfQ3pc>gN>@rA7x?uY5957h>!u_4hclvaF&X1NgsYJv)$XS4t1 zVZ%9i+=dMCiT^I_6j#P^TzUutpL!=1ac}RbLuu#h(dyynU@8_e_{U zAvdCz7F>X5>%RbMNkvL-a$j_1*ZLE@coF?|H;|}aKGgeAu+huCh(_`7;;TkKEvqL| z7IM72rs_7w@EjpdeoR_gqa_LVbz|K`^?|E6v()m>*6knp0h^DLnU)d)q_xnGi@H73 zAKh_LM^wfRxLvWiWUUR3tBoxU6bGbPk6k3DzAp2fs#@XkoxGRf)DY|>Vea9UuHcbq zNNYL#J?Q3zpp!aVL`|EJksF*CkUpjLG{O8TPQXTePkk$6uG`=%Fi5YG)he`QUDtI$ zGsJh0W2^Vb<=w@yCqHfVU{Z|glfB%ItNYxiC&1+V$(I;lH}#>c=T0EWcdzW3MCK>j z?@zl=$&QgvJ|Z>g8KSO|ya~g~iaZabZLMSsmn~Y|B%9RrYZNwgaI-Y5jCv$%zs3xktBaG9 z{!*=0xBnQ2VuSYXG1gIi?VoeoCiMDWr?0+=9cxa@<+y&)Q1|f2(KXkD`D3mOjD0a} z%`4UBc(&90ulw73NVEskq@(5rKhutf(BS(N?@U%6fAnZ5NsC{ zNFHHW&!!hM(4ymd>2Oj}d};kDzZ2vRxL*S^n6y578*4m&6MXtIgsv>QWK#@$dzTa9 zs=nCLQ1xdopmD+GW;}%)te1JkV=P?sXCYHmu)we&D|l})yDE4+feI^7v}4KHB!2NZ@2CS{&~nx9sDbP@0=Yb zlbi?S&8|(3!Ly3pPZON=hfbLDJj#_$NKGd^;t*z*9Ujp^+wG+v=2#je#BPFmY#{@` z@p&QP?x(G+RRzoF6#-MOwsEK8sU*XkQN-{gJ-z{1?xfg6UyFt!6tbDNwg;$y6#B13 z6Rxrf@p8PoY@n5r`ONJNCyxlt)ky6Pc0Bz-cOyL17Y5+N%W;y92(fMQqsdFq9Sxnf zLk{9&s?zrzo)@{d@t>h{3nhcCN5vkq!u@xKjkfAav)67*|4i2yGjdmVMfcZqz1bQC z&kXEzz0q=RYrWK1b?ZB^$TdKDScf*!+Ez6trI)r@T7Mo3Ven8Y=>V0!Mg1%yt}$|j zZd`bqoLN-0Vhl)GKf-@sei__p@OXVpSn^J7yh-2Q@&6#MP)2)OY#ifp9(LAOHzHT3 zyu$FwbNqyJAx zkGURAQ_!$Q>FskR1ClwxHR{ZXivr0FYEKA?5i1E4fS`}Dn9Jq=m@Q{Jn8od->E2E( zbn__waI;i3D_jiE$&~8!kEjRYH`Y@I)<5NCC|EMQvHaY*(dN#cmKQ<$_jG+)JgLR; zYi&xVKu)gOew}3E@H)+@sKf6Ar?(aJncl23TvW^ zZtWL)&HFWMmCa;RVE{M|SRPpeI|M*sze$AbGRAsAH&mDr=hCA;-uuXf3%HHQ@djkB z!P|w}wY!U4E!%mr`oEuJNdaoLR=+l7qbry$2#wO|507Ub-`%s^&RdeyY#z8BA1oVi z*s3X7*EtRRCxB+1bd)?Vzr=S z-L-g=vDf>5R0fsn-Z--~tQp*`edJbD$Es%lqZT3mvJGBix9V;`v$+&`uYdCNIg|qQ zjE2o9;5#`FTYn-}r>JQ$>dD5Swq{or&zS-9yl1yX#E`T2nj218YO=cV{M)MifWPHc zjE(csClk8CPXsQ_ohkqQl}}T2Zq7fIuD&@(Fm>E*7eT28-J8;lCwHf67Ja135U!S( zUQq_pt&_X+Hg0KTO+YNM7#|yJkj)j-9+Ya@Ewa0*iq26zN;E02&*(%&^az>?Ew`-PT0i zpS^d-^ZcK}S(g9a|5>ms^G!}NDrVX-yW7j79&{p|lY)%ze(}1H0?JJ>f=#Q*#QHjBpKtCw?Y^*i)o-eU z>h3Duw2vT*N#aX+4;SrdwUydcOk9+Q$cty`q@I_z0olFd4tWus{lM z3i&twqdmpnhkpb+-VGgjYdLnsfB7#e=ftWsa3oCW%%+=u6P!=Q=ZLG~Bb)_EwO7|% ziaDAkjS0M__WE*p;6AUwx$?74|1cCrtQaJgAH9?2#j55G#tho}Gh&AGQF-HP51d#s z>j!aS4|-Go8T*Chb@AY(DnaoyYR`YQxS@= zd0YC*0kn2-`-o8~V%hUw6e}lX4x-^M+_y5)VaFCxaQjvViQqwgcHFbpy!)^DhpUXh zGW7#s_N)&?))^vOqayA6TvFzt`=%dwl&em^E^3m#I>oZ_gRqP*{Ii~jYOAkl@L=pm zLNC~PC|j1JznA}ev(WDCM#?TplV-|J2;Mnn+1BD~E}n6UBIeO`sTic)DkFd`-HCti zMLy?Yy$gFNz^)(^V0PT8XZaYBUvEG5Y*@FpaIj$(B@R}ybZ5W_yor`^3>*onY;d1v zT>Uk@oZ!&aRFBKJVF?yIBl|#EMb%49d+@dZh_dnd?lRI%(nd0fI!Wl#3l zAC1P$*0 zdPiD5ffOBXDJNe3IDShctJcm`Ck)lWYsOZP0Z5k>|Af@iMq6v zLU~1q!$iRFgLicR$QP2_Q__I9XBgg#A=;rms5KmqbRG(*b=Z+C?VY=uB8xN^LecUU`TCAB*@h0lNI)e-eP1Mr>99@m-YCa0DK`LE88_U@peuZp;9uxX5=mt5e^ z-|;I4^4ABcNHf~-Tt~U?@z3Wo zUDqTxjSaohcgsrJ|8w*9k85={eZxUMeW(ZzE*)xq=$!h^2`QVjYd3h_ww3&NhM_EG z-cj>?+>$->;aEF_F8l=l*;Z`qN6{q_`Ak>&ikU-HD|$k|{k4@V@aLyjd|RKxXbCzS1H&fQ zEalk)f^L)mj539{PJ2o4Og3xLw8VVHGoAy9V78?kZD3)2zV6i*$)~{1j$64?Y?awG ztw_-R1!OpX)QYhJ2_FQ$l{whF_~`3D{wIUnVi8JxfhIo))W_8&(`o$%c{Ol58mUzt zX*`Vf%YG<*V~Ix?1ssn$ZT&OPkYU4DydJM#XRDTCxc;)cd8Tybe|b0%_^y>x?_4hF zdYJ6bar2OwS}YXJXnH&f@>INjFfn#?n9dkMSU%SIB&h+W+zme{jSX*q95mJJJSBt` zf+Z6PXXyY{b`7(66}moPNzt>i`!XX&KDryn9Sa0WWc4V$PH~{uQ5ls4;X)d*=HMZCMgJL;n zqC>Pj^$1_DC)EHdZ{b*pB}pD3*_8j0@N|{#=8?J{eE-61pfQ=4~9=qx^rOq38OmA3w-y;m@xZfM=`a0oAtCHG@ah$+}(tDNjC; z#t+=U!M*FDPoD2Xv$pT|kMC=<04qQ~&1xW;rNt&58(H%2HHkCm$m>q<+8yF6lZ96V z&6}#?NyZDQ;emCnH}e176`0Gj9BX7Nfhdf-5ip_~xKB;=hy@(TuKrs1ZN6eSHb= zhXUF6yhUr{Tq0)B2^}29!Pk^xv`1$grLbrawX&#;RL%ck^3`u|)Au6+O}E&qCUPmm zPho#8@3D-?Lm5_7anl#1dQSq=Q$>F~Dtdi%Z?!C!P;$4|;8j94Do@>_zrFm~cIVGn zSzV?`yt6U*UH)9j1>u-;+(LhnG5iN@#!pfKgO|tC*oDs~K@q9y#f=XyJ%5EK+-|zc z`XMS_^o%{W9aUh&d~^KzC~b_S-6x%nJ#?p9Ba7!8*aB3UgTCY_ zZD$TFMZ;VbhpNsS&oFjRYGm2YKypVT_40et3!gn^p%|9p1LVy7xoiP~~_Ju1Z z_gX$9pbl@C?w$Q6d778CG~SNhkw@XtNZ4IOAB`}pTnX5X{=S9Q6m7&=YBsx9agJ_v zxq5N=<5?)2&(cKlgp(@y0nH%`=}px2i5-bn5|OSCArnWJ#7GP{vx>qCiAg9v%nG9; zTZ#N6IF8RyOE_vZ2z>TxxsLU$H&C=XR=PVo>@v(y&PYT+RT?~BGM-q9EDi@(MnUD4dCOJAg!FjU92hQn#WuX@?N z6?dKSR!rt0)q5 z3tDPhzL(TQ2j&&n{ZbS77?S-q6)m@2+7FQ-H2a&(o@8CcvB z^=xxN@>f5P*3;^j$-2IJ#NzC__DqB?>=n4rE^qNK(bHIGiZ`A7{mfLszP|irKG!8+ zCb8=CrkDRkscyVocftH1W|>YbSVl6mKt~sI{7MmHK$6WR>)S0L$$$ch40cu!K7Kep z7k9dB#Q7|(*$6D64QnN^r-U>3Vu7OPG-pKPq5Y6DpK;FD3Eg=~?G-N-f3Ii6p)V|p zy~^?+HRD$+xi&p$o3Eg6A_WF9(Bg1K>azQntk&ZzzL>Hi>wwqaaha~M>Rl}EzkUdo z>Q(3Iv&e=2)3Sw#RQQUzB+nx2E%Z9O0+E*{ebXBO!9DJquigS&LF62vtd8twrym<2 zj`gz(5#l@&i~3xox}UZ>J(N?04WA)@p6^+!FuI;S`FV>w%P&Af%T+kNn1|vJCvtI& z(-(JySHbR#U%UIDTk{@GFc!~`JKUN~dSu5;%ErVm)&?-SG-t!sA9=?WhRWL9UZVS# zJY@M9k^xFElDxQ)&8U`MU^PkDrumJiANu)=#5TW#wmGKNq`h6tOhS)F8}mFb!lB2I zzO;<`rcL%DRa|YMt8K~mB*-v0NIIC@?7}ni$7LVOh4(K&sq8Wv68!2$*@a|n$=3z` z^9P7G*5TA?X(2$=#>ICp1;t8K4emXA=FNbD@iM>Jr&C3O1yfEyJCp0%dPCFP>+>7L zXN>UQj1l6MMZtG2DN(>Xh3+F4GVDj{n4=pKbgw^$gui-F(u=38)xLq$3oG=3F;?GT z7PepuDK7BDZyDL9TBrk6VEU+i=Cmx{cW6K{SOH5XgPz21pT1$xnT)_CCY9N5oUAnBT4m z${*WeZma>9ooOq|Udt<1*ze)ae-&eccH=kiq0D*#!AwWjSKA4WsS=26zD&)x`br-J zvhV)ggt`pZ3z*LRR;tcc)qObmb3AQ6ibsrTe-TKp$Xj`!uV;A4`dkYww^GkI@!g!1 z`L28SE6-zXtJ=gUY%v%X$;z-aI$>4wdCXH~w16X*cF&y`asSl3e}$k&m-X9u^JS!& zJx>JBU+x7NzXhT$+L`48IJ|NCIMe9Ah8P9HoPHg%u#p~Hl5zo2KZCAW|9zR5vmx7- zlNg4^Th90WCh<t)d~&pT`LtT*QeOV7TZtVhc!{nB&WtR^lfvw*@1mhN2hBkRx1d0k;Q zmTlnEdv)Up?UC`;=dJuZcvU7wtDZ37?T;w7?-fGT2?V$2%uy0QhrWGb_IGNi9}knB zi`AYF6V2D{ZEmGBs}=cYJ+cvthuPZO_Q?cL0useCB}-8M%-Ve-z0cCh`HB2Fe{Nk> zarO`>#CC8%;m%Rg?U3$IgVA9|3J7rJ(Rpk=rP8Kw)rq5ez9io{L6lXV85G6QG ze2CKjY7Ib(8_%vALeF7PwEeZ5Jj>S6M8|JJkEFZ}>>)rH(4V@!4s?!gDPX5W0z{4) zB9HV%ptrDZt)2V#5S7hPRkiNF1eldhkBADW;hG)JrCa7=leCLN3F#xKxVN8opUcR( zLywsE2F9obRVUs|PFPRrB*;xezP4+eT<&UY$*v*r(&IBJs%!MkEEm;tIsK@?FJ;Fs zvObjHzOY+xo&%AU<1o6 z%q|6Y@TN^4>G7-Bs51&@MP-d5`8C>)3@gl$&{9~@&dsm*&T2%HJ`v-5DH6|qu>3wp{T^Y?6U5}wk3-}YsvOhgYo96jpU z!pPu<6ibkf_6R^REWa4Q`Sy{#1gkY4!99HFa;%sAN~mdyt{~Bz>JU-7@~C?GrF5KA zci8*j(pU#qTfw-~t~UZjuQ_X zk3;-}mv*47x_NZ{KRW1p`X$DzisMBYnO<$mZeBDr?Vmg?-NPNdQ(xb6zR>^&gH=sy z3432EdGiVA-r2&t2*NHs-L}00F;OjKrG)j?Lt&rH1+9Y5hnyEV3#6=SETM2yVZ`v% zOzzY(rA?NgZXNzR?`!^OYY?TH1Dbue$^!(pOid|h;=>np{*D*;=u7FYbN#D6@n|a@ zPus%5h5W;1N5Nb=F#5!}EMo{;MpWAqo(8 zKtJ?DMzx{mkGi3?(qssd(ajy&<=_r{&b;h8R9J`C;$0uz@)9ynJ#`*T4c4g<#<{&e z{+-=@lYSuAx6?Dr*x1;=E|!KIY@?diW7Vgo)^|_?>HC!e1#x4t*!^7~htZ)wyx5)ebJV6la3=)wgYT{|^y} zb%XOG;?^YU>BaJoeeOUlRZLpqsQ3~vuf7j;K294c0+F)7O4|dTmVr)kNb6oqcq;d-a2tS`6gDbuzVB^HsWz;W3!eru)!u9#UL z%r+-{P!bD6hiL}u=qku*3hyoBogp_HE(Psx2jl|sA|HX~expLU>8fzxOu>YP zY4QZMX@CuS;vSCimdp{I1W8*ow-oi&$1YX6QF;ert&?VeIq;e{Rd=#8`XaXNhezHo z-ogC_7Ii*mTbc2_Jr-VPlhKXVy9z+c8%^Z~`YL{~d|NrLe7x@jp;a$Bu-0lafVs)Fxha&6uA(Pd!WlxGozio;-POi{M ztAVYju{F4eia&4v#9wP}Uk#lH1L@wqN4euv$8Z5JnHwa3_Ru~N3H9EU%UrL7Vh^`XnEzywSfySck5_}m^YOjt61Zgmp+z=diu zN4QzBMDE)0eKB|Nz-rU5&~*1B^6hJo44VkNe>+N&?Sw~j#HXUuS#qL^_%}##bk|hz zkUn9ac#mlP)qJnOCk6}U(zo?&LSZ!$XgbJLw7b6L*or)CNV@+1E4#gpnY!@4L7d|4 zS=&3ok8C@*$I^ryNwkF7g`bfb$B1yV+j<6KfjUSyKbIj<;CC9atjKx}_)RYRAF&rKG)A_dHSh9aE~=Zw}8} zalU25OTF;wFK*Aus7!CHH{zpGl<7|UNpQ#_4Zt5&tU?@mb+X@|_ZzkPh%y?W3MdlR znEOz5&AY~VBQ!#mUZ}B`04G0~(3lG=R9h`5x~@~OjkOoJ7QFee&biuuEXITw>P=>o z*YN_O1T1IBe)br?p;+esGT%G&RdwM?djCt_ALEZXI1g<^+v;N!E;Mi<6%m34BB8D3k|l`2Hwb z=$WgzUi&L$gB^(@Fg4SwAt?`KSSr?Nx*~#59)~g8FpAl_)=n(wMg6M$z|?d&{K%r= zc9g?^Bw6>#2L5Gq-W~$>0&ImH)am;x9jfcXU-a!jEJu|Kv;WK zTZ-o3K2&Bgy2Wsrg-ZxNsu#}Wa0Nv0yfcjC`*SHJP5OmVod|Q4`e?CtcdOl6?mHu^ z$J}6E$u-r4-yo)?iwyynL$Xwr07UR_2vxOHHj1fa8qpq)T^;R*owsOebqR7Bc;ryp zzl)TiUgO_~H3I@!@Q2o?ff;^{Nv0nry!HD9a~(JZe!2Qn<8&0Yz=!Q(nESuDeiVlP z_Cl)5t6Q`A(Yx8YGVwuRp}p(QZ4AG~a_5anurKG@Ks^3%qi&`gF}w~B9*&aAz#WD& z0<&%dg1J6gIKn8c!j$qHetJv6-}ExRggHlPRM7&-+paljl)D7O_XBx|BIW<3uYjFs z!3uIj)QKAhwIAipFu(`HV+v+YD+gd$hGvyt3D(2FUTO2|VqYb6i*jogi5^2lXLpZL z#TQuqVRrO|ehF|GKG3UFyxz>s4u7Ej>WYEg3C1Yp%y)j$5CzBr(_khf-wm!`b7Rxz?*b!1TQD?+J8L-5SOkHXOzdZPhr z*Hm_T6pyarfFn}DPK=rf50WmoctaXHZCe;KR3)fJ|FdJdjcX-LJ`}}%EAcPhXxCo! z#L+sb1qRp#5_L{+hnlDW3BS?`^9#3Ms@U&BuvG9)4sO1XSa@BE*AGA=0Jueo>hv0y zXL;HZe-w?}t8`GQBlK`Sqc^6Z?G$T($CSt(IHo#-SSd`*Sy@z%;$MLcW+; z?Ua8u@VC3fe{zgw~ag=!d#nc9Ln)1d8uI&9(Mr^tWpYDpRY z_DzVK|GDKq(~E!l&oj<{Y^FS+{3GvwoA|dYpp^eNnrTv=b)W}i-k#gEy2H9#l1{iA z+p!7D#-k+v{Ic&Z=xh0NF0~igm+|pm@t?=XFXg{m$$vT1Im7uc^-KE5C1@$Pf7wju zC(@t4LcSI9=MJ*}NwWXp%AZ{Mzr^LwA1AnA*HyV5zk%dm*U1lEME-iwzsNtIYm>jI zpYb%3=KA@S;_D6RhxR`m{Id^8)l5HUmDqoK>GvA|ve5o(z}~A%{_7xI{9~zeCH{DQ@;Hn-!zi%Ey;I@ zlkX+TM_yM+v%0W>;_B;&hc zpp$Tun-bKPbDXRXpCPp;BUIDuJ=XU{ALOwe zCyz!NUSmr+PPPRn^afi8GwYB8`?c3Xb890&^!STTH{*a1VR9ES5UuVvj0Uz}E{~_cc{_C; ziL()9~mX^ZT2rCxTBzEiG(_flQ-{W~)oRHcw&U@~Grue40ucQg)-lv=< zHb^-t%%j{_G4|4Ygf zhoSGw8r%FG%gn8FD)*bGEiV1{f@Tr_kCpI0r^YVhpR3q+IQ^`cbQv~Kq;T#9QUPRQ zc?rINop6ZePPu${LB8$LeuLyA{xco^17sh(2^uZw8u$SY|LW4;!t%cy|3=b}y@`tY z`&GhOTMcu78=OwrnJbaU#9)AsXvQLGrtpkUXjB6-9{1QwX&*ewA05-zRR6NR1{Ct1&^vN{l z$qaG4BfOEN_wtFo5iuie$R?C8aPotvR(sMB?v|7^SIS$9q#;LkhbFl#iu)(U4M$1O z?Xk+McZ=EW+=~2V_XFGee^*I4BidPP??viQzISr^G5!va{}_G3u{?_Yivs@x0A%6& zhu?R(d5Sb|4m)avXEb@_ql6L4@iH+H@o^TT5^S9TJ^u*^%u{z|03!{D|}Wm z3)@2d&ryHo-djNbUG#-Y!Zv7U{v4+M%&j-#n7GN`F!eY2IZJv`*=8K*QN9?ami~&e z4bX%;q`%z$-^)Mmkbl!Z;ov=ErMQjPP01&o)n-e)7Dj%#&w7*pwlR>k&B zoc`bntcyiY$%IA7I7*p)f35c1zQ2=xY&-fHT8b za{a6%|2S9rL0mQ!;^R!fW&@AM*0ffJ(GEw-5V8J-WR+k~=@z}s0DxfyH=6kVHaFQ) zY`AlheqEj5)8uKwu$=NvJGnV0gn6O)JED}Wa$hI8an=z_ncTQ`3@oi{0c*$#@2Gz} zZrDE=6D}LEm04kz4%{qR!p+L!<`-h4J8pD|zQreQl5TeIz|CJuxLHx$yrurkFg`-> z5Pp1fC~Bh@`td%6Zh^lKlp#j|O0%k_5$@!Y8Pq&lgWuFG$o?BMLgV3CW#AKO7!*b* zqBwAWcFd&aR{yzNg#HZ?IyjwG*2o(`!w^MiS%$E?QSuD&gy?@S`A8pcd*~s3mT}T- zDr_tItTWz!3?6VxPQW=rNFI*sQ)piV$C&ZOwfX&p|6VZ4!WjzAjRO1f*!VwLBUmr$ za{ZIupT*z!XxFG0`yM9z_N^K;*Y*K!;}O?J0;=j?AfbF0DFgBv#h&Uv!s&NG!24?y z?7vAH{lc{2aJf0zw7Y%4YrOu!{&o)q{U?_XUBl1hKY%Supw8a1S75)olKhikN;ivzgD(o!% zGCu7on==5X*<{#D_UQ$%myf)$|Ea=Xq+hl_sS&nJf6K^zx&Gctz7h^nJNY{Vb$GG}hh+cdc=fF|g`|$6vVICW)4O4sBNZYG>E6cp;Yk56%Cv( z4*`D6e0s=`@wbxnpW~ka_&MjkQr>b8V-D2;64C`=Vu2Yj`)T>HJ6kDts2 zlwSOb^fRgKTjU>%oE2O)l+GjX&**&a4e6IO=Ehu`eYlVJd~qAbl7CXaFQ*L2W}6v= z6{TN}wqP}|jb$mxXW5?myCT)a_VtB-ap{khf6#gX_m@k!f}1(>ns(Iv@_>6!{M++J zpj~?kKE0f9h?j6C1Y#MEpV!}K!as$kdz5dAlp!NL=Ar>RVF!2Bg#Hp6@%O#r=PKbZ zo9)<_0ol*fH~!K$g40!pqW0H?Klgv@Kb!nNUmZP2{`-d7x!!rb^RLIn{{xb*w}d}? zk6#&m#9S;xv;GfBe@lW<7OrP*==A%h=E=*QM$bNy^5`qw)9_;KgTw9k!>zYE3RoE`LYp!8ETKG42+${Lxk z+`m5Vkbkx-;r~hTkEao1A^-M$CB89Ru@>$U{||wVkH2wkeCNalWnuK^w_es%4(3em zDC~dY#?KiveiMF4#n)pzKq-nZs3trq{%F7aYzd|f%c-65g`q0ue^dqIe~{Yq{5zre ze@ps%6I%WPHp5{6s(8lE{j)S1W`93Ee=GgrF4=k+1o^H1?7|N|qWy^f1&1H}#yiet zR6FrwE*kl#TvMz62X*YV+Pmt{_Mmo0zIHp>*~Z_(1?>-@ow{NBu!8od(XM$}&GZBJ zi~MtZ`nTo>d><+nQOIj}zfJrR*XGhc#W49`ORfUM{;LUpS$s~Q{$=^oo^2co{6H1; zM_OOYKJ!CZ7GB*P$Jys;@PO)odjimsR#V9DoWh}}6T)GVk7(>{^Aq5aFYvu(u?)w* zr%xTDD$J$%OU~bS;%^Q0XZ%eC+8+Wp^&?#27}3x1Po)00>1>hnBl1t)$Pm868tEVH z_U^%>am3#(jz9QX&$Z$YdJjv2ztOOYT2I#Q%Z_DweU+rvA@v{(8l1u|>kxz8Kl; z&D-hf6fBGuoGoVUz!p#))+kwkhZ+Y_kmi5>y*XnYFM+6iaTczsg%z zl>E$g&$!vdA(H=Y(I=80I{9^G8iby_*Vm>AJC682TL#R%9a$s*EKKdrMzL_fx>zim z`+N9KOMPNHzK;^KI?mc-p?)-iHr=0otmLEqi?R*AVjfGrnll`<<^Vq*NI-sloX;+S5r3NYK6Tif-rBW9nT!qi#UdaNOxa|0 zjrO^HC&p?8uL<6w(bTVMpnLtAU_{o%AN|{|WO{I355#w8P<|^;>B%S|{^M_Ba5_DX zhiF}k^|bM4ou-8I)XaP7CK9dqr7Uk({*^N;;3 z@9}yiCB=1s_z%5fi;g`ytR1Sn^PKehB5?Y4U<-f^kGQxkYRAf3EM<9PTd|qvjYEb1 zJhd}#`Mhz7;(o%CHFbNgXkzit{%1S+MoL?|>aK%ca9PCvNl8t-3#zGod99LtC36t} zU5MK#EdItq41#pn%i)KrVmne%yssQ!;b)4w5$K=OXY3xLxF;KS7R7q5@6!gqm44X2 zDDRYAv*BC$N#DMfz~}Pt&BvC!gKtiurS*dG7Ihdh_ml_!oOX~T`L;n!=3U!j{A6qI7DRln@jv3p#H3%-sQdF z!hgLwaFzFA>5f&W1D4;x+DoeptlLBFyc>D2_)kyQr|6#!`nf;FO$}lNxK21!`r)`n zpCTTfjo2*q4$05@BK=wZ$j6m^VL$r&4KgtOi*KJW`;rDwhDYUJW%yTR14@qn2;gTN z{Zja;-&36ZvHBCxE!?C0#CesyL6z^lHTf=)f0fO99+dn!{YQoW&!SKN+ypj#UOw`; z+80rNA{xHI2%Fl+fMKjs7jLlwh2xrdssT3qHf8p3qAt394g5zTXPN#8gDwGYJwi+C z{UT?n|9?psh5q|H(0yP0!R-Ta94Y^!{6+cW73G&){*#4&b|yv@e^=*1z>Vr~g7i!J z8@kczK;_>jWuIOE=l(_i97pUU{3n~Vd5#$qpq+MK9GO(;vkow zJed4cv#r9=Zc8G*PlXSiFZ+=Hd6hqX{MuLA(Y*yfs=`nR$&%Z5Z}7_T>o1az_a-B0-nh0*+BitrtaNh_ZslTVsov>qMz9N$~r+OreXT1+wPzxXgnWm z$4P?g8?;>+?~apq`ouRBGxFU}M)q)~E2Uu*-*VQNFgpi z@6Qs9_QlS)YLyFt+4l4vtVB8bnXP;vY zxQW{oTHk@&>!2UPq>_AhZD?s#>C@NqttU@Gip%S`lK%ey{0QqfD@d!ZgD?ka)-%p; zP{`pE;E>-KO)@7v<2kz?d^00Np!k<2SCd1}3pCXy4xd2%G?g>6A$Sp8jl0Rw@G%?3_;~MkovoN4e5;6##=su6ypPW#>`u;uIq+$k`(Jf z&-!X8D^ZdZGlGW)!PNrbHsNV_3$N?XQm=1#i*z57o;L#KPToTQ!8;1j!wNGpcms8O zLpNBhWq_}nGQdlKZMGyc0OtVBwagUBzV`#?Rq){S*1%+6e3QKAnl!Hwx#WTC!cTDb zabV>Rk(Z=_CjkF~>haYav?mSV+uS{`CL6H}3f3=C_#{SeQ+?9#VANj*x+xl%V@Ywr zrucdcI2Wqdu-}uYX_k&_BS|`bNg2;K2i)TjEWYsFyq-Ops3+-;1$E{h_Dvi-Dg9n(C8X7DfGW z;3e6Gy-2Z<<%Xb!Pq|FjWEXmJvt4ee+vPQI^i@6fl5}%{%3bw3Qc2R`^s(&Cd3{v9`_u}#4LjeuPieG+`~hnoS< zH$E;|uTTDfxns}QO?FTIFg`T-O;dgH2WHv}>i)nuCB@b2`Wb>6-s^4mvZoA6>Sg}$ z95})|{sO)qkC;l*T~7>%As|yp{=n~iUI!_#QXRI9@JTv8!-B7>1OgugeC7}F`IW>Q zn^2N;SZ3+bGe@afd7jS$k#4dUuS)W(X6rRccQs)6_6>Bzk6Ph<|7Ftg%Sm&AGjBWQ z*e434TL84K1uU;_dfPF_-i>;$9i;k3j_qx-QId|i^tGn?WV_i={~+i#yqy#~lZcr@Y$BIi-(5=DjO{wN6koK)!F}Jiz`8eG+`K3(s^eLOtFw)#P=2)=9Hd zp-Ogfb5zwQyNnG!nYWXdvWXPes#{?QYMA}(olq&mkb1Lpjq&tf;AnAj=Dd#i@O0=H z&(j6cu=(v{pN{M~8?bm&li-td%xTx49@m3apY(hc>c>vJB{a&P-=Th-rurn^x2Qh> zbaAd6QVebnud}BQ)9n39z-(BTm;G4tBB+Z5HfcPa6LI=V#AGdl;?9t!I4vGeIbUhk zSR+pV4k_`aQ@#%`*obomc(}g6AJ&3(P66ym=#$`+#u(RUqMmC&sXl4!RMgMkq?zOo zoT)C*RG&1)+)h6vzbQ^h@eV-pZh2fA9*K7i0H#@%hV^;v^LOB587B+l`Yu=@Dl7h_ zoP!GrJ-F5pa2-|fNtP1<|5wy=`&`sxy6M-@* z?gq#dNt9(-z%Tl%P{)@emd|l zZrzqC!@P<5)ZhLa8RkM{7=Bqg(M_@+0r)|{pVq)A3*X(;%y}cj;M<2`e{jB&vjQ=U zEU*Hv8XXT<-s6$rlNJ61csyMb_-4mcpEx-T^;0!zJ6VA%6;n6WCo6E~&#Mq=l&7?$ zxIZ8_kKEA;^8n^C&|+&UDdHA{Rv(E_RzE22EZh`vjHG5wbUM?#5;7eEdRsgF>|Nj$UFGrsQpY*dc;EzT<$Dvf8^z$3kFAC}Q0qzttZ$Ltvr=b3?O_4>+ zrzDMql#6~1m{aRD)E}`m%f@^EVipdUfigMR)=9BF;9rBbm&h{hTgbG31T5bll;D#T zzamA{Ur?`4Qv4G2OD9fhTSfdyifvJkw?ep#IvcEM-`hx1Yy+5co1`FD%~DKUmx9sq zH*m02q>{Kg3h*DIZbr04K-uC;)PITkd+POR&bA`z#|2kBce*RCAEqe7N_uIOoq#A~ zrb~1izWq7ygC>5QZ%+yjZ05WXWe0(aJL{aM`PHG|Wby(p`UtkhO&z>LtVz;C0DmIt zIdk=#`~mfP;GDC$2g;EkI9 z7B(Uz?aH-$?ymuOZX2bMIS&W?zX6-*CY!DXc%B{O+%TjOjpxN>Hr)%{agLraB%2b$ z>;*P`6`JQ*$NNbVeDdB^0MF+ipRLy?PF6 z88+%^OWad{dh#O+q2?8E^7p#MhoFWLaeKXn{Ya%*>c*&j3OKzC9B&tOl%(Da@VcUh z-Yh8}{t~oy11#?e-yy;$sW%2ZwecwGBe+)HZb$uKa6+k5eUkd`s5cK!^+{^3B&<>( z^)0AB1yZM(31gF-oL-laQZvt-QLmxYJD{daYL4&MgJVArXFmKKnPjpoe}0GjxeH*q z_TlH@%!db~{(*XZvNdzyT#`D$C#knay=K=*=vtE6&9POVY|V4|_nY$V#K^bj)n%mA zTLI?$dJX%rhG%U;g-seySAysNwQdKt4vHX~brJ8Jc{FRXF@xo-bCwB_-Cz-$!JdfR z`<&u8644sk+_)C7qj=*gp{=1E2Y70ju<7aWq)D!Y>U}!3i5|ALI1MG!ufq)BGr z1DfiSCjW?f@`Iz0@&NB0X_lxF5yyZtjzC;1Gl}*GCzCYg0r(=yXOMb(z)lD36Giy6 z3bYU4_e1@-_4*{y-l%6pY*n{Jl89OQk4>B>i4H)$z8(|lrE@U6lNj~9I+NzNZ2A(M zG)r_L;v~%$a92ur6WnYRIZQFTG&q@{Num_vUjYxtS@un3P~1!i|6z=)5}Tykqfq}# z)bl>&gg@ovC4rywPyq6Wa!gC^9Y5C7DqDfW#z>GjqPSD^mc-#*sMmd_vA-C8fT$a4kgsXM z6=%5ERRIqutD&B2Zt)=gB`G#(l7bD*FT&3HodPNNRxCJtWc&nGYxXNh*&;I%AXwdI}HcBcxt+N3GIQf|Bi z+IR^(@LXVy2%mWPA>fQ74Q)IQJiJRI(M@<30v>zUOwtBN*lU`kPTE)( z^~X2WCk(rx9<&2U;#aH<$ddU+Bik$vj__QnxEc{N`GVkT(gQ^s4c`Aizwp|a7kKPDXGt5!0mD^In3FcvNBt2^^@Aeay+=tK zjYwG-932U5JQgKP~P6UMWt$DSmh9I3uJC$YXEHQ`eqO4mA?q}f6<*6+Yw zqaW!})@CXkVKFbwd>&vZ&Dg9)wV@1KYd&GmGHeS#Z4>rvv@yr87q&YX7Ilf>aRfkWLf>nsJ}C5 zJ|z1t-*l%FF|=~UYfjCz$zHU=tibjyxJqYkDNDQzDvfnb;+&3uwIx9d?`aJ2ApWH@ znulQDMjiJWanW3#&LdD4-|WwAlHx&NSQ?n2E!5D4|7`M^;<2DL${P0&eg#akLareZ zV;T$Yhu{9UX)H)*d{bjA!1LCiY$bUS-l)~{c@un+Yhu7p4d0;tQa1Y#zCpiDzDX>} zpE(NPU66pn%2@P=#K|P6pB44b*S#)jctX_EQrDw?w%9Len4=PLG)iX;od_raW+Vuu9qh0;*k0{0-m zbN0ydowNc#-K^E3;r~S2Q5Ie)1BgoEc?-bv>bBJy&)cGY?E;(fEh){ukt|~0MQY$_ z$TAdo8tXsL00XtHwP18e7`XO(Lz8zU4%dWt-PBZ{;Q5sbW^z)zF-A+O=c@A81@Pko zp0JJ5;BejqA5z=XfCMfc;a8ktIJPwVOwl+_e0beg_y>MFg2&4tH`d~!MR+~&LU_^R zb+1U7;TYV_yHSDWFNfY1E2zI8^`z4%4Y{~Z!}_!$k}}DhpxcnHcuvCWozX6V+f-~$ zavNls7_#h&t;zBbcn;w0`T8i9Y&ZNfc$auMBg&a<^Z?+?yo)Qnts@fNmdT;X8)-E^}Ok1kNN2d3&UZwu+%98GpPcnW2oUChX-eM}6F>|E1M190o`gxJ@}6c(NQ`G_SKb;`1%MpX`L#KSdm$_F6$Xd7C&N zO1y23+5RTb&rje3%!{Va=Kzm4Xp;w(r00F0=i>|NcWa~nW?2UHNtWLNdJo8wR#TEJ zV?)o)JS17j!y)1==^C_H06(8{1-{%GOvbTLAKUAHjd7=$=f3IxH{kgX;Q7(0&&2bJ z&?oPwt&`Ffz+J)fF!1(}Y-7Cr0KD;v;GXaqJar2`lm0nJYT)2r|M<*K;PrU;#X`=X zpCZrjjk9C^Bdw(8CsEJ$p1p$lWt~1xgfCs!BxB-vZOC|MLH%-F`%LQ`rk(#&{}(}) zluzXUI6FQXJo6qwJOm9LCRyG@{i3LU8})NzYkI~PxO;eq_>-t7b*q0G@RQ3{KR}z+ zzlM4!$dxi*Y8?~X$+9tM?*}?Ri994(zJ>k|0&j;x|G$sCBv}pw&)Y{C@MXbhv!wrT z06&lF6N9Oq-_@G2?ssfS^>4SCa{?j&5WnJcw05%aDY%Ou%O4{CB+C&vhuTlP9T0g= zvP=X&=e_Nxpzbi|V~0S-D+_#S1NhQHfam$#@h)Ee(h@KIW0zk;mdW8`iB^*33+VX@ z@wR2m^+^`y`5RQ9u5=|me};NKD+7J1r22IrOIaKlWZIU=(zkfo2C^_Nei7}EWVsan z{1@IO;>@Npdj)p9gI9cX`o-Ho6cRt1| zfO7$EW~^Et9epzK#+9)$S*|XSWxcLtfj{(N9N!4g(}K6Oo|NozEbKz-P6^*jc`5nW z>yU9M>Z#}NAiu5V^`icR0-mSrz;nu-jB#d5Tcb!6t5$m=a-Qz6J*6e1rZq!!3GCM>jaB-Kfd@7W zX?=`uc+nglHMA|i13S2>e_BKC1rNi~ch^WCfXalIfd@Xr$C^c=oXJD*wE$abM;q7T zCdKNUX{i<=lQzbIFY!$%v-}_S&IDe!ss8`ZJxGI!RHRJTkV1q+!_PLCeo2L>h!814 zWi015Ns$bdp$r)ch0O4L6YB2(ci8OsnM)A@hi>$|?sUVB*2v+p_Qo~wSX*V)h7 z&v$>;cUs@|U26}|K7B92OK)P6_xOdycKtP>alT#jnJxXbg!=3D7WyKh-(mD3Fz!L2 zU(jOFV5@1u3UHUm!G0fO5{VG*G2JHHmkoHM|55ZG3%`%({KrK1$-Sa)U|L6s=gD^7 zMzgRaK91xV3HJ+md5g}gh}&O8FYh9o#IMM!IooeDK2hSL;=q$E{4Wf%I$oKVM6R?y zyYFq|e3R%qw`lS;@rh>{kq^3atBkd2!ikZtbC}|6(ccs3#`#a8``O>^AoT@OU2TGm%r$%=W89=YA7cp{@&DEQfG~R&Uxa;Hm$&s@k&OuSNGeHA@HnlC5&7 z=wYY-QLdJc=-ZCgyGldOfoW~)L(5KAh{N5{dmAKPy;5|&leV}{T9DP<_6tR)HHG`XXx=q%<5lJ8;)TL% zh7hljz>af9DBDQZuZ=2-^*B}#lX#9hcP@(_*B!WmOm5yV z|I_2S70xw%tI=UaS&jB9iG#$cSPuE6I55k+QqdmBaUAJ?SdP9=YhQ~mjvS{|iubG` z`}pV=ry6Iy=5ur>=^#xDJ93nCSXJMSQq)N$QSFKA)iqCDZQ4c_*4OB~V})*3A1(Ut zTj;M6{fZX)t3{8DV^#JP+UeOM=>l)gkD|V!U`N$rVwMd2xNO^`?@80@`|=p*mxx|^ zO?Q(;=N(sKAB$PHpJH#Ze*bgG`21P1aZAw1@kU=#yk8LcEEIan=)|WZtuC$mw0Wbj zGbRnd5XtmNU9Er`#Y8j0>`dT_vy>!(`rXP zdLI@2kP6-UVh_=elRh?Ifqb80Z%0EG_Up@|h1sc3p&bG z{kN#l!mOJ)z`8HVy5Gq%<1+%A-Vx$6ug;S;l?;2%%eetr2&S;webI#9SHp?Bwo@w=U<%-(LxmqlML#>_(@rw#9^gJlc7WPHq) zgT*I$FTNApY(ehQ;!j@J|B9A9*DD(Jrc8Zfo33hCVUFliun7ud_QRsiA8@ACfuf&V ziEx{>HxN%XONz~FA`}Nn+nLhV_>2V1=fsCIRAP#ih{x4uMgNibOPXjm$!~D}WM4P5 z)&JHW-M>#kTPVy&9~0H?P@A@+qF>pf?aBkR{i3wJQ`)9?y7k`K(YdAVMy&mmdg9Vz;UOcR4g>%J;FQ6Gt_%(}0L&K(5HU|6?{G+~AM3ys?463rLW zEO2pO3;5VQuQw=H|EfZ_`@F9gy_CjYxq7M6%PTEgnP&=Hp`9d6n9qgQhQ7y(!n~l} zp8l7(sCM<{W3oc;^BiUU$uTb@_F6p*H%(R9b2^Ie%=d!*;NUxDZ za`o3Fjdi-OuD6oJ--^QxeTg3-!mi7*ihG_gTp9VTsA-m4S@g%%_RFGMThmSdrMcEqHv0%ua6Rg+ zl5a6=I;@B-k%z^T;a3p-KJhnyu!@^Uy8XeBk~m$I<%PMnPr(OJ{wZyLFKW6jdb#LJ zRU+K9y{Qr5rMCRK6mO;LqNT-$UQ+8wH~dnf<2R`gi>-@R75(xG-Fj*j(HECKwnk-c zMU$HiS(tUxg}J3qp&bK81Er_+83v zqNdipNc26TbzJfHaW^Q^J}&EcpI&O+3&iJQwdY!PYTW{&M?Xg^tnZc-eWqy`>1N#$ zqJLQW$j8zJ>-67uM(;PIC`9J`!aUHY&<+Y$$LSC9YN@x%YaMd1xZS9X$9K<= z#|-GZkIA}^$hrXUjf84Z@h4*~8MK0AefKiaqn`~PSA7eMewS$&>1N$Rq8})I%E9KuXxz_<%-86(PBGmH~cis7snarsEpCyFZ{_Z^!JKhYRz7$A#-|p%vQFGO2IUkE&6dS^!JN?bPIh) z(cxN_(xQ^aUb)6T-uM)@QrcD=P8P-1|7gLxM_BP1&%yk4B`4kr{_^*uOXmIqaqQs=dde_=ZRT%0%PQ_%T5QCPwLR1vjxIAS{g zU9x!IrsQe|1XG-q!nfh z|8L?TQOY&QuA;C~eXh=3PYV3amQCendI~94%$D~GyJ$r>v*j;pyPoLwtS&8#PCstn zg4h2_&Ds*L$rmPfC5?1es^62hHx8|g^SpZMhBI+P@o5_JKUb>fK8EMq=kSQPyC_EI z7Yz?q_!#}U!k4_+E4|GMN~pq)^Xzy0CJJNG&l>GGSNq9*RqDLqAng=+YUjnqGXKe< zyfe(PkpS3SV?XyC+BIfHVOZ`~xWvWOyM9NTr^Og?ys;;+j33bFjea1qy$9FUtZ|Ms zTu69%xKC%jeW-eNZRK(MZwux(e#!f~z9ulgRGK_P6yplT?%Ay)&Oa4Lo?U_J5?2rh z-YIlwEo1Y1+G{GDc_Q6yTsm+u&NmB*jZ5`88-M84r(ew5ZxC0XIF*q8XEdR=c5mBdpc2mR-#!a1LhEy9<*V4Tks{o9pn|DGh< zFCVxY=j(*T##i{>){xadwu(a_~QFxl7 zM4GoZlI^zo(N&tj-8l1{;b|4T(cdNfP8A!!p4e#IjkECail@u8{^DuUA67Vz1i=4_ zbG-f#8<<3{LNGeuX9u_^=#gWTQsS8v&L;64!rN8v$hc<`|1M3AX_@`GEAcz=v6+rG zjK?UyFdj|$oS9UqhNPXxr$v~>z%S(C@;nu+*~>4ic{X51e?@0>W~iubVUfuD^Q#g| zKkOClx**iX;WBa9swJN@ci3EL8oMSZivB6XOClxtpGZX@v}8->r>a(FFMilX+VHDI z^GB&w?mF0JQQKRSoc8}@#drH!(Ub3zo^}qYUvh;ttVw)INHifw{Z+Ny0aXL7S3h5g zD4yO&KOJLJsak+vxkFr~mUe9=o6OU+HM?$?^vhbjy_&RLU-av08Il}scd*a_yZ9fv z!?fZ~bX6-f2s#uz!LmRkaK_ulLoL6d^FpX^IP&*Z;=7f5aEQ_k6{ zwiq{T`GmH3mq=|*`adLPK|A*>%p3RVYzVXGM;h1Q1P65PtiIp_@4>CuITbx5sc`;VVGUaF0PfeDn|s*z#lD5 z(=+ZnHiXyUx=1*KFuP`o?zz-{d1&-oMc+J*HM{d* z^xH*$b!DVq(ZQ}k8SH)1%K8f3ut6&#*;Q2f>*wQ&3bP%r->xmN4j*RAA{ux1L^@aB zJSI1i^zv=HG>Eoql*OyT!lLQj9mXA3x4cJ2LhguKDbhG^GFmBROwxZAwPH2t>%(cn zKR5OWX!n)=LudaTGDbITpAbDh*-{wxK2a_Z=QoP0Wh{%XCna&M3TNZMxgKk($AlL} zT#P=iG+Cns|7+pxj1Da2Tv5(fOS1!tNt{a@KHS3LE5h^qAxJADp*l+RU5#EC9i)5J zDE5h-PXt|^Y8hZ|*}?w=H9I(VSu#=_OAX>*+E$d+_Qaa?7qbb^S=oFTk*aPG-LJqy z^?umld6F*2iW$^WZ#{etb;*NZxpk zypcYS&3cl4)c-tAo__1~{wDg@BjT@LbTf;=q;Aje;%Kk31K&RfV%jJV}@%Ds+>$i|G7@ zs`Q@zhjZu`q}f$h^WIV7{D;WFGL-CBxm#ZPWhKVeN_6Hoavs(EuPb_-yKJ=dvZd(U zzvFJ5(agq=h%?WB*5A-_qv!{S?(MxF_m$?KH+nnKoae49014sRjr{EFu|6hc}dt8qpirs2fHQPtd%Z6NG647L`bzRHi`7e z@|I^Jhif&u9~ENfezcf>crPwG&r$zGbq?g_E z_9Nz>>!iuw%s=tKNT^>ZI(JC*g-wO~zw^q9_Vy9gnOVicDO(InF{Cq zh5UL&HyaB(i2h{k4dY_b#hu6a{vT;U*;z>UhEa?Idj71!*>qb+`1rh&!QuJl&4Zic?ES%>4z~oXUe7RoZsbS3wtMvDJIeWC#}nBI9_HJ z&V3$we|?NVNc}3*T1ABAGCO0otW)t{Y41+k)v#`DLfY5*D-vj+Mv?7a=vjQogee?; zdYt`-xSnYqU&@DDU4K5DXA_RI;pPbH?FqdtePzO?}3^g=QhS33yIt<6hE)b*Nq;f1>fCQz^q8^X?9R zW!XJmUH*;UVJ4No=v=qALiXvL-idDtyIdyZEv^pTB3sI+_Y*ZLR>MnBoYU?+$BKNJ zi=TqdVI3Ou7C27#lN_-f$uEZ!?0w2viSY7gYmP|nH+J1*98Ol_`$*Bu;Y?Co7W(sS zBd$jG>#0 z0fp3OY9Wx!Q%mL3eV^rG+S^s`W{F$$)I&XeYv(o(TfF@o438N{#&iBUYA1KuUK;C> zA6pm4WH*Ms(lvwR#sIlMho)xAP zu`N&Ip*kFfvpJ~)KMYKMOv?2WCjgnI|*kW><0;HJ{od0<<_t}EgH zsXI;RwR<}!G@7KMFV)<*KL3LYRO7GaS`Q{~AV9vI{tIU(P+eB?VcxfSqRVx=!vHG% z7q+%TvxkjVsGxo}T_Z}Kn`!vTG0!XgHP+oOg8uTgYTEO-y}gcIj}_m1ojAt^vGm7g zSoiX>*oyR;ReaLj=gTlg+-ILc?h13-Z3XG2X48>?&6GutI@fVwsb30+ z4!kcacYIpZuZdwS^b(}~udaKXEVzDDSPnSILSNiX#t8E>I5b{BYy~+~UOq&wCJ5D8f<$Zobe4s?C=s;dm>#$8ODxZi znxo!nW$sNs!|v{5alBu#`d2YSq1Z>=t8449#I^Xyy4rCGjGu z>5?^8^_MsmR0UN(?a!cJi8aWV+ESQ$#_D@OeWi??m?luP$K@nS`d#n18_Oz*p>Ko> z&fFb{;#$P8QGMW(e6^I?q7t_=+_Y_gus$rq6#vP0Dw5bQ&GdFQX1sc0aA7&h{_^(} zh$!o@)|o654dUC+5hc5#b_KdsA?Lt)j8-yV(uH6;Ty6K=Z=hKqeqo^>fk`%&X02S> z=&=lmZ80gbfBx5>xo&1gp;xnoY$o2w4njYjsZet@&o7-#Gz>yzLifv(TM}C}J{>&d z(swAQ1nGKrp|t10n@zG$A!id+GCr+TwRKJ;5=h|eF^}N7FZc;1!vH)AzZ;VQ1w6k~ z&W=qpvMN4xpjWz{;VNf-_2ixK)Luf*?RG@JzzD2SBWN$wXnt|eO>u(@d;J`hL^vXQh!`B&?s&c(#C0KQE}Pzt6CCIXY*4s!*=imSbm|Khu&B+=kc>Xw@t4E!PE9 z(~3McOk%%#zLiemV-itymE0dQlsZ)Zr86*>-C>FJjcRKVAG&`*mF(-bo7bq^g+$=vBJA-%2nE+f!ufv;V?Er z;ag@o4N9+{g`LIwm}#9VOb2jGp{Lpu{2Gxrl_j{Zm+=`~-d?88N9%Ta$5uc1Y#8&+ zsFx3{eX1X}I+p!?&(?`Oy!twG>NwK}7hc@$1xcDKA;7<=e*rA{9?b5Apm{435Vm<- z?dP4r^}30E_u+*F)dwNvW!x?2L~DbV+!$-V3IE0Y$2=qYJgUFd0gkkMGuK7Z_DM7Z zkrkNEG!{29eVPV-xkQC+hSmWT`i5upOm~>lu8yo?R|m#!|B^zdeN1x{b^D+71i*1W;RrGRFSs zqqBqUkvE^bR7RH9;yiP>FFj9A%+KkD2E?i@2U7in^8ey{Jj{z>ykeievM#GF9aHRO zhpqF}5pN_3wzyunE|f|#YQ{f&x$eI@DDoREEkZZbz|Q1*(7?97GOvew87DYX z)HA`yN|UqcFY~*awzi?>K{1x(NS!xY(9MX#TGUcrk0brrzJfx z>#dDVHwIVQdXfy60{AP!<@_$ElM2XY45`x38x3?I_6}>oCh%F+L_&Xl>nF&?OEXJ;&4zxV( zpy>f7fZY7${HE$=39t_N#zuSVOW`4doi{<0_tgTqEBW+3k^Jxdq^!pMn4$+LI8>)dg69~ z!bb*FK%Z}fY*|L5v==4BbG6uNoNPw;a0f_Sek~*%!~!?TF$q4?m-_F^w#1dWQC)m| zDpSYbUC@2_v*`G5Sje&opEqv$G*8$;9Pb&IW)H$N~Lz`cclgf0MpFY!*G*9C@B zvPTIGr@9~vB!{656oH#!{sy5noAB6sl@S*`DNw-ixJCGbY;IW7-Tfj9 z^%upa`8gBIH(4_{9FOFmCHJ)Awuf-gpeprPWcT?VNsop#EIAWPA)z!8^%zyZ zAN-~_0`L~D5h%u1k_z=SD?FuKxpN(YiPqGR?x28c`LSw0bvc2uz8ZZO0rF0T|0lGEkPP}!+?4ghlL&NLUkF%vuh50?gJ1tAXq)JbGhURThkHWfv>=^>KF|evl^s&cLak*T)lA2(<0uG z#-f0~$B=V$&pdnrY%yl%{Zl!99keUz$ql}v~*MY1Ht zQT6NQ&%Yg?#%be%Nk789r68E*K*EgESrWu1nV%~TViV7YsS_k4uWJl4Mq3w56VM

Fx)3CQaszWaZr`cGHDGFj}+V6pPA^==U;xurDI+5J?_5z3iCi&DG~ z`vA?borl@BqYN5pLKHtsH-zu!fWU8(y&Ho1LX{r1yne{@T{x$y^>M>qc|8>g0`L+p z;F9fDUk4;_4$;x?YD%4ou52!*$P6eNkx0JBpv>TWsx02FE(U-tlDEqes$~#fl`>mQ z4{Au0193()!hmvcQtw6x$x%uC2eS`#Sm6)KXQzL>&ScZD!=K`fu~xKvdkS7CAdbH` zh|s`}7^xQp_Qcoh3>$A8IT{g)W5)tA`Atbz{!yl+7&Mzpv0ceRK@NAN_%keMD#ksL z(nRDVDIj}G6$oi}F(#rmy{z5}*&f>gwn{E6o(Zeyjp^Q>Yl`Wp1 zTp$ipxBYv#D8Wt};Q8w9VZES&zxwaFo`Jn0xHAu z`X^&QOX3}{VRqUP2u;Krayn!W%s6&t zrB_p93-Dc&XZOdy&?8Dh*RiDskCX!}@LgNQ6j^~poK(RK(^MC%v9SC${H@P7lN_2! zkZ6i98DVAz(J#bVZy zJcJ)cOsF8 zz0(plf@}vF^;5tO`MC!s5y8jKj>pxyx`?|!jJUa`$rmo)Fr!uDV-8kX>Z>$Xw<7Mp zu8*qbtQo3a)GpP$vzl#$&YdX#r1!R53~ z)(siROzU3+&b7F1bA%%{V4FMuuuq;UR`ItQgAH6dsd?=g-5i6IQ!I1SoZzo>5!ES> zDIvLoC|@;+l)2@?1A#zYG7CN0U#I9Ft$9Zqy4P@3olI2pAd{f@nbDhSRFOFDViM^( zxS!mOEa;cB)`$)8k7Zv9~EU1!CCK?_C)n>v!~x1scu6!xGBna0(eP}ozMnE&fpv> zhpfGtb56#pEI;;O#ads0Zmp2gBbs+&KT=K39Zwz0rN^OG4qp*t6{yDMQho|2{6ZJL@?t&gbpw*3zu94U?@;*u2ZDFm#3I& z510;F_x{D3{X8Nm;q@1_1Q{FreNa`mijp9D4Tgz&e%Jz!(t4PH-X0)|EK;lQB zt&^lMpF-NrgvuMLh44_ae>6A*m09P=kz95v`sU0O=`J-zYh${0kKfT7bMlO&a(XLB_rsBX>#f{MOGa=y`hNDxhRBjv&l} zbTkb`oqS0`I*S?#JHK!8$)YJb!(Z&cCBWW9=U#N{NY%m(j9w_UIIb>{vO%>}_j2ql zscu@Li}>Q7-#1B|MRcTv-VWxGSl--u9^4)!YETGlrdEKioMvnfYK$i}9P;EcKf|ok zN$+B9Kp9Z3vGq*4w8`r?-hpQz*_wY^z2sXY&`vMfKxe^WGUHw$b|mMr=@i|=o!eu4 z2{cp-?Z#9mK(!XiP#4gGBK^sLQ2B~;!Rwei4!$~1twpMbTgR<#l0_o_J>~$~HxMo+ zo(`V}_vo-QX~Bw&J5rqq%pS_8*z*&{3V2yyMD=_NVp+k({7C)!#pUe)`-)T5onE4# zW~O_SnbiMP8=2ps`nOb%#PFuV7Z#-w;M;k-Q@Q*umtX_}6vfNF4;k7qhdXE__O=HI zd%<#zmLBc-n?AKxFr_8^me4_H6^Z0UCS}@g+-Q{R$qg9CW^FD!P9QUnIgsd!^E|%& z`1D(_y92x21!i!v_+?F!aUpGN z#*_q;?bpwcZ}Ygy9iX^}QJjOgA5tJSl?Q}CVFVvdCL?gCRy*1?uQL-|l8 zIzHy%^Khxl*oATen2tj_HrT;tP1{d*+1^WQGiGCFGTz+A%L?;=KXSR z085j8ntw2^*Bl<#2>K=kXK&=JgijejWL$Tvs3;{72WQV(k&lYrf*3AL(?WWYn zFwx_#y!h&YFpqciVd1B&y>UyjN+@9TLLso*=G5Fei7adk|HCS3yF;aX3neH^Jr2o1 z!b02R)I7bL?mX3U4?7w~RNgI9$w5xj zp0kZQ!hJuUed4-?aCamwBa&c=83;1D8!(IG*9t2*6g9(@VWT$om_Ax7ruF1_qS;{) zB-zvr5XF3WsyDLa!*?HLCp{K+Hvg+*71GpIiWhVSN7-!oQ5Y=EWFzCqWbMcu!uz|l zQBy7qp})jU8<2+#E+KMPigFn(fQ*!|)9ya?X>*etAw!s|7vk z@Ym)mlEUq`QpPxF(HFLzRs#VW688i)2r%Mw`^Ug@r zTmFU-@K`$}gtCD5Kxrz&;Gjy~5lrgP{X_w*lqjW1K^OW+bXH4acCCK^j_Z)32_q_?Mt~wGlU*&y5%xX1mM^_DUgZ!pSaZ&g`6u)ngQyjAW zaOPgxMgdRABlmJz_&eR|#zwjn%F0X9_E0yOGU&9KCz9>?Vi1KJ``Bw6yGFV65Uow# z3}ULep;q~7>wi=WGYE?2>Z6*zpZ^)Q*1OT*7K}|0YDy*Z^$rkwBf{Lmn!Aw_<|jZ4 z;4NWiW^V^GqFUBvKP0Xr*GWonGK*&l_a0r#a_`yfeT@;9VI`&gVeCS#JzTL;;ndT{ zi`63v3lMr+2)uO;PVf{0YT?uH5OF-nyY{`eH2;8%<=dNmE;S+f=NYFTcS-oKDHU!V zo_C-xKVV)-2)<;-ms}2(MJ*>heVUHTl!8XOnE&`dIxX)g<`g7on%@j3^#loe=J)<( zZud9LrATU|rUZ)gRIiyxMtn#edkBH=vG{AQD2(?QUe>ps(^(Ux=d^+$!>Mk_{`sm7cH6{FOjn)D-ng(FeTZ`ASlw_KVZx?moqX1 zfx);-_(lMmGFirE2rN*Lqr_Fs6y-kqDDx$o1bt z5U%=%H^ILrE#uTW-LvRclzGx8YjWEJgM67RX(>YpROGphjaodMO9V}+d*Fe&lJk#g zR-oT%2i#m`_xnB6W0<+aCZGESW%ZWreA})L{QUP*Si5A;&)ELHY6-HJV#A%9fQyR4 zm;hlk8fYTBoAZ@0XgN%K*``1`N0a}zNK0#E$x_l0p?@ST^a#ZPuAC$`3$B<~D$3-)R5CN|>LnpC)@vr>KUp?UYdVV8uqO`oYf1=z~u}!=A&6cXEdfBgsOPw_JL4k81(Zr~0OO(noFhIG+RlCWA^#hMU(VP?c zTkj8S`|2h#3Ok`5{YB5e^td6_?X&*ar&}QolzWp^3{$M)0*H90wAO|$0dYT-4V9SVC1ibUzXp!2j3dQ zFvcI}I}1LBNuErb!wm$<{%j0sV;^hdaa6#^zAoe=&k6GhNX<*UR&MjTm0cyW|2Hz+ z9F^Obrsenr#ndNuFE|=vB-{5F{0vQR$%%AA2Geou_Pm^V%9R3q8!Z=%tXUXc!z}^o znjIQsqpLXk+<-w?WqH}%_f*J(?F0pupPcL>wCv{DPX%-?Bz2)akp4lRWDJIMo^d4m z1|18?7ap7E;O(Y;$4Us`muQ20La)qD!n}Jkh8J7+U#$(c#s+HkvQjo*n@1)me}gZ(75+`lcl}$-#%3cq3`#5QG|TE8cP3*ULI2T{P0oc_Y1GZbAS&D zDB{RRFF)&fIsPu(17?Dz&Lb&`fp~ zL_t_+<4Y8heUl)(ZrT>CVl!oFpC0Ds2CUO}&XjM%jYUE7I*ro&K#S?$t_I5@m0s~C zkV@WR%Ci+=6TpD0h;44u+Z8IhPaX4PsM=DAWr9>^EM8m^27a?yA6`QpkrP~kY|ASM zU|Wi1^%F5Lx4vT?6r^(NJaU3hh2=uip2?U%c7k2V2G|)QxS5}ms`QZ;pW)w~_w!M$ z4`N^?Z5rH!ANaKRkbaKs*xe=0(^ok-g8fV>H}m%ZTU z3@qjm0Bq&_^m4ajAFzbvrfwU4zA^7)%vK+J_a6;v+c5LsSrP!i%}rZ=5T%{R`!yBt zOO@P5d}q}7bbkw>#TcR#uZBkX*i03Or%E0+QHE!kgoWDf4g=V44ZJV!AOTJ%Z}5lt zKd&$W2FAa4WlMGE8ZFG>TPC3~}!tM9CiR5TpAq!!+vd?AN zbA@+AlP+u%9E35UF|L3LBm6FEfqw%5mwFjsfgD4_@sJuFA~2p6V2Q@x6%7OC)OmKi zB{K)>S;6j08|c+8Oz!Sa^`pbnUL7T#E0SLM9HaN>n3P#Sa~ zu{uY`rq7r|k&B7QU?y{A-Z_+?$47H#oQsFC`kunC!VnMhUgk|K-oh7cA_fn<16?+v zt@teZE;ZUu8w{tv1dGC(?uN&#j#c)%-}>?1bCCZ=qLJ37y{^ zmV*MQD0?o0roB!l5*@=Du(*2hg8WIsOO0#1YEBViM)~1-R#9uiq&h_jkl#$Pa{@2B ztuOkwSIIdVjdQC1hPq09cL~X;uOheDz#@RWn-RA$w9JHCvh1&XSY#o+1BE$g^k7ZZ zG`2V!*(F1|zSTY1_DB&@k;38zj?1@H0MI(?6AR2R#qOD7k(`5dZ@gQ^EG>;y$?bzg zf+Cd+kWT(yW?k2XMN_`rShg&n@A5?JW2<)ojSX?F!4C$N+$%*QS%^TF#nCewX3b2xv9~6Du7OVGLJvib$qJvJ-(%yu@V>M-R35vS4kg;tlfqic_B$PoH1=sU+Ei|i2+AZBXwpf{QU`Ib z5E^1qM%D`tQt6O2ajpdNb6CTelC?JHlZHLTW|lP**}btXP5t3J9I-ZzwuuTVRc)Q0 zslZp(>f$sRZzLXiHe=S~gLhh}cv9tGyFn!4o=(c#PMGZx9 z!XBD-Dy3<8Azt-vH53FDKi<}j^LN{El&7X-JeKOfcyirOT*;Sph4}?-jKA9gGx;ry zeVWwWv5Gbxsu1(_vK-jGKXNBJnXTxa{MU8+;bqxWYjxZY80#o@a|ceQY&-V)8%nxD zL=JIayB(u!`I5tqeB9dW;2xY;9h}ekM|&u_pn)&4`Lz6AV}80VbRz|`UzY9v3z9fE z#XW(y9KCHp(L&v>-o`$s)V{U1upUGrX_wz~H%lF4ioBeNeCk_z6@IUyN4b$dH9+e2jW^WusY9;k)I*iZlAEh<%<$B!z6nwk}syp zhow%-vk?z)Ft*a(*hWw4AA|SE&d3VVwBNso)J7aDF2PWw5hEvaM3nJ&?IOeswl0c+ za~(H$yA{+Uca~M^&l!IW%UG7ZxNN2a)bhK!JPNL?UU+6VBHlof-v_*0kz#4{f@RJi zVj%1&gjzPAk4;?WJh(z=tx6OEmK}Pu?BoK5B~rvNM}6nmDb`@D4bb(g+XOsZIS2hD zERHt7qxtuf_5d7!7K-4W2m@xL9X~B1og$?Qga4Ut4z)0RD=SX$ZHpAVMBaA!JDjv# z1PqXbFce`?vkQjQxfWGSvO0re{A-N~}c4ca%1=J~+C=~Md02~eo?-BO8I@V&dX()Wf~ zSLJ_2Z+^Ha2B`yF4v%U*Q(A_)HdWy>d)CwdwLMv9_{aAr%`)-h1mt#|*?nNNNDh&^ zY4-7~cmd-Zz-Kwv*pL>yD`5!VJ6NXR0bhg^zS)IJR7;dqLnz1X?O_cO^WnOWGXs=p z$VBu|2X2eFezAy%D$c=k>;*uSPdEKfW%fKql&TIk+0c+c^>{(Xz6$nAoz!97jRb`h zG;n~TKZho06;q^u3!ngb%Zo*zm+IB&=Kv8{j8i)PC9yn5*OTy9qdd`i(Qc-Z` zbu$dBe15=QEsI{7wbtbOpri~hMzMs)nt5E`L~i} zDxiz}yhZZw?Fx=30;%vvMOMPw78>3BO~)=(;GT`Y_6J&Mgur;MK>?glpSSNtR)9vD zWw{_+X`8c5NcDqE*2b?8OT>YHB@OaNjO;m0D%|&GKUDuoG2uU%n9#{m7URwS^dB>h zhrvb|evuFBsiW#Kxe+vBv*K1_c!y}a6Ar;6-v+pMe;q1U(IPvuo^5#xt6Y2xrtWd+ z-q^XLMm(foNtjF|zqd+w5$`g#pEV^$aI=>Ac2wL)(Gw2~Lg5iY@P2+Tr6p(*TQAqw zdH*SASnqn#AH+n%xUkfn!RljG;5#_L8w=wSGJ}kF5*=aN9-SA}6zY!Rr+)hE#gG7v z){|c+2z6?}30N;t#T~wlX%)DL#81`Ng=u>gC>2DkEGOH*$CD7C;o1kt62resb%Dp( zCbk|W+X-R8H|$ol^iEK~&0KS-XOxpbz_V@5+5SBD1Ujwa#8S?D4uM_;^8RF~dk(iA zmd#%+%7`8u96i4_i$;%Dibj*i6g^;FPOuJsf0pm}ZKXr+*uMaC@u$mGJ43(pHTEZT z-(%ru>~hCSW!VaXP3@-$(RaXvl01SSX=d+>L;fsYs@SPRQNr!Y9S! zrFQ6&Da-R@X{XuXcQ(*<_dbDs;%%RcwAw_E;N?o?klL$>r|S&;M32DbRpYd@d%1yA ztVh+-j;EzXwm0|n({I!LuP!>wbk8q;$Av_fcK8iimr6#cq%gx zv%SRpuC`tHJa}6M-&GwN3uF8H*t$aLL8(~dod-ITmni2#`RPeIQR?yueiRkZzTrzF ztB0f_#bH`%2={kr+|Gnere}6hB3Dg|Ob6C(bCBsvKm7#i^%Uop=QHi4PmzZq-;j_N z{=`6rFE`clH7{i9Yq6sJ=<9iD?bJ1WI<$Gq)0AtM;mhMq)P1!Q=R{WD<)G|tbCSn< z;UOV+0#IxV`-Qaaor;sSDvQ*E+u6cX*j?qyY?4}5@(}ai_-OnbYw|jos~z>C{|FTB zLw2=HID;rN5B2j3^ApGuWYR5LglAtaH&rZ;mqMGaXZdNK4utxgpM*sJoPd;K%VA1^ zb#jZJe&kY?<)cB2KQ!-}hIdhjAy#%c&G|+ry5&`EaB;J!Pw>{=pm`ZpB zkuP8WYibWhQgfQ&XZ+ASUgNLt%@FRllwwhfT6*42C$IpExJzd>?bEL(@G|s^jA-#*~5YKOEFGgQPanBw?gpG#e zXT*KXJsNi6F@DmqeT`rBY}hHnm^hi%yTDD~>^ZkqSf6TJ!y58)VD^Z#VgAjVp!$hr z?~V}0%&m_~&Vzl7<5iWFDHT>p1uQ~;o5WEX>gqo5SwlVZkW`mcTi1$Wn&PsqO{hW- zTFo_u6opFrlu4cKg`$RJuv;u1wc|rNC z?|(das7<)g8L*MDTBgc~HVvzE%q}U#D@m_o!b?+2 ztP-m}H}|W{sG#ZA&f!-(z zzcSQPAZnC=qbuR5e0Hu{3t_{OMnvSUeSFnG6;XsKi4Sk-s0iZZCy+C;t91}MDC>c% zwHnc42lmcXB(_$i@WG;^-%8U8PePr_&ULa#rT6;DcRd zNqnV8jnCQV@)h?F8-c4vHVSkG$>KuYsb{m8M|A-;jORWTBsukh?prDqQ}$EAJU_&{(+F+PKh6;-Mpva=$tzAo+y4}qo+MRM1r6Z% zxXSxokxk_n@^$E?fOmKVZ|R${)|RGKzb*z_+}_PfL>64~ZYRzEEavQW>HFrNt%t`( zOIqTzu%%L#1iVrqR0gIr6yyBV;1ZAcBFwHfDYALr3KLwz;i9uU0cJ0&E$T$7Ux(^+ zbz_PAqwkz~M~Bh$`WGQ@*^b+ch9s+N54`CfJmy+!&l@o-ZJ}jvV!a zMS0s-jaaL-8?%fC|NNcw{^IxWazJD++#GDafvLJ5*}(b%XkD3WGu=F}ql`MCia`We zty!sAn(bhDaPLe7ve}&oFOw$H1*_Y}b?fQrx_)H& za2;=**GNV*xouK5myr$igMjgurcs((`_)nI(C`~Vpqn(*sfe@J)0Z{o<_mf0B;X{` zd5&x=^Nk!w2E=TJC}<1&d@N!}Hdc`M-9h;;Q^qsBUoR#tG7MW< zhVg_!G9L7VmFjaY0aD272{J}aJL$T0Mj8S#*BQ&%#386waHYr==;N_@13l_&RyU8I zP$zoxe_Jc`p|@}0z&N}1A7>TLXXQ)LRA$^(|IF2*UZ5H@;-G!;QZ()(%>KF8GbZw& zI46HLJPNeY^b8u+?sa&Vh^u_;d$nKY8o&A|!^&C}-B3;!T6Tl*<71!R^5b6MLR6}z zGvV=CUBr`T@jcgZ!L9nEjBWHNLF8Py&}UOow-qe{=IOdLLgfca+qF}x(bM&-;`hvSeykG^0ez~RZya`>CO4J^{wfC$J2fr?G#Q zX=J!%oqTAR5w9vX-1Gi&KQANW15xTR6R(}Pf8C8{BWmBX z19vM~e^0fCeZ~F8MsL57GFPj_%T_{1tIwb+2(!iX%$<)Jmxvb2fVo3UZQfGZuv#+Y zDhp;bubs3+)+sl?S$pRT>~-?|7p|tg=12&$tJBg5BL}}2xCesi5DN)83PPm}NH4?H zi-HyYT<*8tPyLYEDH1J1%+HrByUg@z7ay#r=A3eQUO+?oB=f4U_5RZtU{|D)vKW%c^wO3SL3g|WSq`B*FPv)8S+#fP+*1_mEJkN2~SH;6Gt1|Yxe zRQ$+~Yt%Np*8Qp@5qcjJL{^)W-6)-w<7j_Y^6@Vd)J~@MKh=(vNX*|Ih9=8Lr(Ky% zOxeqLM3Ly}mRX0b>6hZEDnwz@K1+<1XMlKFba~Ua6Tj#D{`8(=-3~{qP|mue@5X__ zH#t`?UN0rvT{_pA39b+?CuS#v^7m6OH{*vzNmKcezXBEt?ZI9t)U3KGyYZ<^4B>QM zn(4Ib;*@TpTz^TAQx%1av~?#h4-;R0M!*6UtKAK~mg_oBf~-S(-eTrDfoj|+K8(;t znRQv2=C-$qf+Od;cEb&!3Be#6K_y z+1wV2Wz!40M^58qLwhw7*amatA08B=%ft!^fb=$fuqVC_lisTY>MJgv7Dx?IA$m|W zyWn0%X1nm%8S#In7b>7u`s_9OGjzsuHE0(5_;YPh(I+A8x_D)lOYdqc!9!0DCRnvV zJu^=1i7LH0-&tLY_tzH7al>owKB&~N6Xu@p9PEeOTa!Pd-8lr#O7%wW-)}Xw$gE78 zJ_@(AT(|xZy|3n#?aFy@THVW6%zj!z{)OuGt;}Q7Gs&w`1K}S{4BQaP+JMe0_+NC#F zq|o^#!%O(2`;@Wk7WOv7W#AN-jcz+)ev?j$+K0u6_RBZD!qYVo7vXBg=lUOJ8|gCs zHH^BYFl2B7%$d3_%P7mDfb%j$l%y>#|Un85Yl#*hq11$mjc z(AC6EdKKeyWSFzBRVCy5GL8Rvu<<`E-ii3-jypJEt*4tgV`}hq@v*YdP-VL@*GpBe zgW2RXqSiVc)|yxc zuh~>Et2y*YuAHC9Z&#?Eh%>Px=4iLB8SJx7@Cf$iKo-Fth&`yve|vP<`}&>Viosph9S&DA zdBw{9)R>E9pY>Q6mK8!0Jf zs`V-``co=jlN?WDUmj)G*MC-N)-+oE@~%W^+#cR;zcqq*=j*=Cv)xG;mZZGGMjup5 z7$#+QH|dLZfj?#@jLb~$b~Ln>^ZF44@K_DbG<$BESH`B35k~%e&2!CNH;T3LlasGi z6M9+HwrM}CwKDjg+P-oH$t6L(nO)9!*8e&+9VVat>owwP=GR(EVW^Soxx*FpoS|5xZG4fjLX?i0}5fo9WmXZG)GPD6Qe8%`)|t^UcHDYC-tMd+@+iY~r>BaAX4V znmyg5t^9DG5;Js0Y38z6VlnP-6g2>icFMV=bc!Ot9yk}w_ifNUx5$6kiG29WyZDAn zG$#Y2;~o0i1b+uP(XoM9gi(Zwu-6PU?K0YML+dIlKMQuE_0Y40>45tE-%-nfZ&Pf| zLcNdNSV=};`<-+oM*a zfuXh929NtNpKb?yUvfr}l1--Q!PCj~!$wtx-$B94L+Ab9t%I#mTK{CyYm-&VqBRY^3Q)9{5T@uRqLYaau+3%(u9G5wN(^ z5nJHzeC}=peDzgoqLc$ZBQ$>?bbeWO$GXRE7Ak1{?_z90o`xQe;rmmZA@7Fq)u*(# z&F3QzSMCDyTMwK*Lo5at(K!xN{n0)~jz$sZKPbBiCfh`hR{kV-fnvcf#~YY9X)~Z# zS#wtxyXD!tYyL$gCmEp=*R;cRGm30VMJs;&D3cDB4r8`kr=}YUf2ZtvE#xSvIm#FB zAV}K^AAl+Qk(zIw(p%D7I1V%mrok^*!P92FaPzzN%as3S3IAz}4X>M@rePL3lGO*b zUEG{kgi!L*6#*nU?_WZ-p^mbdHG&^s{@2m9$20wZ|N8ipN>RC0Zk42R>*f}=RMO=m zp>nscBzHC6Lxek+savh7A(cETsv)gZ<-+%Ahoy(q75JEX0}S5i+QdeP+S#a)`h6A>E5HWCDkAEi1>woV-IQNp_$+w3 z`J)zc4Bp#_wdts_oR~RR{Voc=tXp>3gc8^Gx|9F)$sv5g)A8>aO>vK8TEC8`A#JbY z=eJZvSn-!T^}5p5Npkg{(U;rRS9Rv%Yx>;d!R@{CK3Q!>s(3>V&ed$@#9vd^oa&=`g|>b5>3W8y)qh@*B!D0 z(^=L!uJy=h?7iih1^#PWdJ!pL>avdRQ2VgtS#a#HrnlkJUq3XIx!~+foP%S_zS8q^j&aeCKzw&7cSrwg$EEau7 z_!d8Ms;!t@0AEG@@*&qVuU1nZ%YTk1hu<$ytS!&b5>tiqD&Mu9+E&)+GPs#8gbu>( zP>&d4oZj5je5x(v!A}bRU!Q5u%!;R@^Up_&iHF@F_gBNrwQ8m|vXIJfa!{31OmINE z5$Kg6;Y^+=hF$2>HdA=M8Zg*L0`4CrQ6u0*ly7Zk|75Y`zP#a%X*~C_f<6ap9eRFy z1v;@*})C{+RSoDGtv^UDEnCv2d*y1v)I5FCd|16FrJJin2E z{o)(ulWNa#D0ERt_8Zk1O@WqpXF?wzP($_0H>zO%gE^|8@i9*Q7cE@hAcPBf()t^|vOQau zN7yV#uAW5>8QlzMExSxVY-M7_Mdx*}BaVxD@>I@R1h~8Ne^UQkn}{u*e{Z&u41v}? zdE%i41mmh>-*9HLJF_w7tEBr4vp+H|>z%{J`DwAsmpOVRWDj+49J}x)S$k}5TgX;f zGpH3%*36~9ZMU-_1D&=G5Q#Pxt4{Xhv0<$N{Ma6DY6_9R7NsGn0E>mzq0@I}rf)*P zzMFPxK2Sb;O9nGQ@i1NM709Itb7ZA2 zBcg}a(opC)Io+!^{L$sglpw)9XxANV#OCo3BaLU;^1WQHKMQ(RRThJUT|9XOPg^kM z=8vuVNo~yBHKz9a2QOf@Po7B)!rBeZbBM5XRl0Mh%80gB7;s29z(Ne{bNuwoubL7) zI(M3;2eLmE3Vl%NNG5H)@s^m+6kwp}^`jXq$qUZBaKK!NQBy7Uyp6zU)oE)H}5GNIK*VHQj860PH_*$WVMckeXu+Uazb&WCr0~?kg=yBcXme} zi)o+f-6r|h8ei3*p+S8&K)o+|EsHolt%9Vhl#xHd(PJ~?wc3j`ts;t0F0!wtw3sq8 zoKev5s9syunUpG?(i@dJ*xcx2vn)t!L*K92zy5I(muU)|7n^cFNz4v@_OPEz5o$Cl zC2F`YnqhqzDRj%PQHFLo;MJC7Fi-7@G+46sHaM{IeYUq{ojIIz72lR1D1~)E^~V1j zFyO)4;>ibArl9`DH6b;; zsrxQ?czzmiV={Yp=%=|Fu_fagH7qH(*)bnPzx06lv~sQ{FD7H?AsY$FjpbEB81k9692E_RjuZn>-lmE{1FpNBJI$h7uCtR`Fncq3Tw`^<>=A7bE(AND!|7>9sfRPKAb{@y|qbke=hM|JUoCIc`LVW;vGM zO9xfUbR0t|+*)mY%)AEla5u0Wq7T3siI$2e4 zPv3JI5=4jSJjXU4^i#jp`7xv$BSGpVy94?o1e4&!WDQiHQ>gy81tF{e$wIG-k_H47 zX=72T@tYF$`tO1QUCK$Ri}IN9oslF(Ukd%Sv3m0560QX8KQrwqTm*3=4AhCwK3lT>N znd!~Lq+i0Pk(T1mDPym9W1HZJS9I}Ox{oSO2N@=E6ka_iQOQs!p9eeJU_oiDWD4j8 zJ)ptB@BmP(kPpK5GcC+F@+eq+-NN!;4eJWRqAXaj3(}eyS;X0KeGH-uI+5S19$|fkX0F%go9XE>%v@k~==? z0Lv2^cW@ov>o$jr4Iq42n+jIC4dWHbS>!!z!o*QQtH2fuZ0W=KZi zqV~13(fDr<9SohLA}2qPZtY&1hu;t}e!c`@XD*wDi{d_su-RV_6|5oqV#}&3x#)%J zPON23#Mrr~2ehh7@TMC%_}JivgBz;~iLpUlw2jq+%;qMH|BP>?$Sa>B`Ci2s%m`2Z zZkzUE?Bk@D*@>FZaQJ6%7!oE+GpRq=qFA0gOZ4kD%uD`z)8Y(`jApKTq3O}A*%928+((qa6 zPph*-FdK0@Qj)=07?myHdgv91`HufCdq~7LUTZ7Kph1)1O(WI;2Hv=sOolzFJj;~e zcI4cK|KcwmoAoNju~@|OUY}S)-3~LYp9iZ#1m$80A_Ul?Es2nM*_Z8iMCY3iD3gmhgBHlHOM#V?fnG*Z;C z#pM38oC}is(+IgHw7NZI+<`M+!28J&AApjx$KdaUO{-bWepXLX=in=+n$n%>7g1+P z9w(MB$$%Ga#aDs+l6R4ZNpW7wO85-IVf+2z485%t(3egGFazGNbB1O%jFR#g-ccQ; z$mB9ig&j~OdOP@yKR#O`pKkK4riyZHRU)sl*SDB@HO^~2cyRkU`EdT)#iho!WsRR< zj^)uMjjTkCD2lJ1H&O-vzXU)(ckI5v$DQf!_O+_~Nbq52UoUMhGs+ySorS5vj4;4~ zULKnK#a8WgVz=Cv;W?q>TTW-}Wc5prv9N2`q^p>p**d%FA&&L@NrWh_P{wYa41xd^ zzSHp1n8~&TiG_LtH1IC@nHIqzL`0?b%yIIiI2@n8!|)%lR9y4;i}?ATp$Odx5-XLm z@1>R1LLWJ%fL?FtfZmKgO`V9f{OMiDvhW^}K=w91*n@txRnjwb6rP@m33N9<9EN4D z=FOq{dza`87s7%R8J+tXVz%k-5N>~OfEU5Q!tf6UoCYtbmV?rwcwd|Cs-u-MiMnfw zoYW7x&5clRzrMJ}M)wkh2-aVX9+wf=GLNa`&KVX&%lfE}N23JrKN~;$f z45Mm)-D-F9SKCYzPJ)Y-fr`lQ5G;GiG?CxTD;#&x%7v=Kxt;cAAUsOsz5rQrBOhWv z^t!@*u|Uu0N`|(t90h&;bt@XZ{m56xCYm3z&8>BqT}l9D_(7cJsZ3Oz&s*LQ9w51O zJxKW3(EOfQ;J-}q5Pmn1g7-+zTwpdY=gbcN6KDu8;U%$LEX*o8i8J(upHywto6IMc z+uv%+@m&KJxAFc1a`;``*I)oL+-$?`l2*g)HAaoS2)l3|t@kp`z1XXB?zi>Qbwf9u z!}t(r3VnU>C9<+ED>qH%$s=?W`5N`z!&kWa42A4Y_J~pio0x!h2R<+n-kO?}T8XXA ztCt3iKW_p*jy#pRm5^`*ADbfl_7k$@1=f0~H;X&Max(|zLGA3)s_H$DktegYpx?r+ z-;DhxY;6XHbdE|>UjRVZ!bVcpy<4GiZYdP46R5X4%iu5uDoX-K{|*-sBb(uRD<2S@ z4}f7^3rgi{Rd6r2^CPgg?wFYa{Btw23@G=-y_B==*U7$pW>=!B58eDwk!!!Y4ylNN4AIL4(F2J zfj(Hy)+nVsi-=ITE=sP_j$Xh(B~$0TQsV!!K@Uy6jnX*_JqMs68s5$+!uNsdakeI< zRu>Ob7vU2zcj~oGk*`6UXQf^IOYjlYl!%DI?;ZqoB&5CvCu3t7rREB!Gcqd?^NUwwCt?aP{nl|*6gxvPYw0`8F?IrcPLi(h%6z6qShd~ zFqt0sL@<&&@rv1;ah|gpPvlmgK=BM^(wH z4IwAUrgIV2A;KSF_I(~M&4u-u%LVYR)8kdzcc=h?z`_QC;$~bZ&jTi!PBpq3SH;P|ZRBVYH1`Dxw_#toIGvSBE%aLq=j!SG!5ax~0b% zQ&m}n{bs(uy3nz;_A0dg-RRakHvj#BSx=G7$Ikutw>0M2r&cbIsn z*S!XeHaw(h9*fG{XiRA?Xj?TME4QmgDsyj~JhV!5qJz=gZ+FAZEZpFE5VzrR^;oi6wFP2XxwQKh3g3lH)CUOfz7u(`TeWV)EhQsOu4Qp5uUx^_U;Wz491YiQ700(=&Ws-HB#$yC@?%d67+bb%@)Z|(ssx~;U!3V)+-)?G4Ts#Tr=-^eA=tVWZht; zYT+gtb?HhzW-PDyUcT3{w$3&`>CS=;J;-8t*zCkbEnRFgz2Ld!lk1ze?--h=P;$)h zQsK25Q`?djx%S>JD1Ai;jpbOTG5*Y;a-tdVE!zb9KY7(8fdeL8ifZeC06h;Dbo4Qz zz=&K6vq!8Cq|)gHaKWyK*4mnM?0Hip=N_<9#b-}!1S3}Cj2U{cqOK8F9*I{HwKY?z z+GSMwjztEBXbv> zHFeZtP%*SqKB_>Ed_?+q=Dpn<*$Hbe_tKu4Py+6f*nPG!y}3XG)lD@7mQ%v#5;fq! zGs)VIT^NgRt+$iZr47Fe>r~-n5{pG3Z@r10_1HAMI{)0=b4qV#`t2p91#5V`IBC1t zlaWZuL(nV?PRyXN6D%xT2@Aq2GwzQ00-a=^!n&MBYqQN3uR{yk1lp#y7O!DntvQyA zhq-R{9aX0*o9cv5N#`>OjWcPNB8Kur8#KxUeo1BY1hOc!Q~FPK5tFAOQR6RcOok6h zH)#lkF@3d>p6-?v&}iWLzjKlPxfaKdyH_P}<<`;R<@D8>t*_r87J(He6U?-u`OY4o!7{F`Q5ro#sHi^nK|v$Yr4e^)wdw!9iNi(T3VVzo?cR~P9B z^`kcmwb6J-GHM+S13uW=Ia?`iTzugyMCkHZCvd-C$GS{!n<`EgeF*Dqa^?QEjHb@_nbBAYOh*_P@&%Gv$(2HFj^Pkz_zN4 zzh0Wg5gH7eXmc$Wm`bImn%n@BMPCd8mnxR6zx=)E;y;_U=C$qFQ1U z`wzp{BFBit3bb&-jV$MQyj>0!zoHSPMOCx%Lp~Y9yvH7S>iktl=vk9S=38e?^RdOj zKfX=4&-iY$A&yZUPC0SB@fm{~*5LW0E~8=z@;D(fYizgutx?md9dS*!K|KWmMZ>Yo zw?;I`%Q=x%j4?=zpXlqb`qAl&>pw7fZyq+{Fb5){mX1+OUx{=5-LOy^GsxR?PmAHN z+cj|ggZAREw^bCsmY-Yj`<8VlLIkI+EYHLgu#AJ>``OPK*Jjqa56w({-m(@1ZI5$J zdk1qZnaA3OdD;T(K?YxXSdONfi@&xsA8a{#+y7|@io{l_4&tl;HvtQw6y;G4-zskH z05UGvJ*aleVN{#B`?k`O+V7j|%g-@q46bFxYZsdD@Oj8Uuz20!JwYB?liJIrkk?sM zksWcRkKmEDcHXYz80E}t)CYY)X>2Xl%WW_^gRbyCK-Xx$RS)7SefH2(oV6Kscvyyy zc5(%;{sK?H8$eYDIgRzUvL+)$_>Q)G8%D$*V&<5YS!`H&rB;l(*M6jUgVZOfqm02w z_qMj4uT`E`Y!L|3^Tk!Xhom*_aq-`yYKRjBR_nqyTY{`wAqGj9lM~MUW2~jl8GLY_LuX+V;}mB5-6qqD<6j#ll<_BwM(-hIMj-VW5I5UVpZBB zdQd9M`^R!ZvzRM?GzPm6Ub^_4{|2E>Vt@DT~>$!fi- z)jo6N#1wx6zaqn#(usMTb93|7UM?Dc7LEs0)&~mRyf5dfkP#BhI2>1rq3W&i8UpLzHH@ zvM8|ewvK}({sEvb<|n6wLdls_SwHM7NZacE@!lCwb*?lz%G<~*$gDk_^e4$>XWv0V zKy@Q&o;^Zyv$*)hGcZo;07YAl(!Z5g5Q)AU1-%ZB9H_W{{<)K+^zKw5*2pJh&@XGx z>fwFAn~koVTBTO`slt5A=GtDM7ZRD%33tRl_*T?HQ=jilt<+^;j_pf>tmrlgrUO1> zIE%J33xjQGlp#L*r&$UjX+cXBt+SwFc5U@Z%n$?FIbLo+FY@A}QS+wa>m+!2keMqj z_Vb^i-7>V;VqO%9KF|O=t>7N^Hgm%l89HdzI=FU&m|=;0zhq!*9C{H@ zt6Q@1+bWy(g-L7^qfN^so;qq_F9#p*AW3lgF^o%8&L}VNqko$f|J21I(=l;;<5<5B zZY$F!;Gb1CUTqKNO6D>`cqAE|uo>s(603E5DlC2W2DX&R6x#VHsna zWFDjyq%*f-PrH;-1Ex1HCj3~; zfa>6_DCXihtyTw43;4O{NBp_v7@M5;-Ky%W-NHcD-!Y4^82`A^cl1}X@c#u-*Ezh^ z1IQDBC4$fuk`g1t^sDWtI5^OBJ&MI zhd%W6l)!2dv9Giq+{@*krU@FC32reG%c}+yNXJ>#vjJ|fS1RK7z0g0$_|lHlc_mw*tnP2dnG z$c|N?i*55WW*_p&(%G6ec=>&@G8mOU;wdzdgyjU6KC%id=(*_wLMI4bfD5LLaRxEH z1T-;z2gn{U`YTW2M~)@4#k;c5Zcc8st%K~j&YqvrTW(SMF0teTj=IIlF1Dk@o&8ZS zMb)aSU4Jq9lJT_*@&?a!DcFv)$!bNy4bM|Ck1c8qa53uDjyqfES~J37s`EgJJj@^x31frrfK`Zver&FAx&;?K)<5Ti!_e1)g-fF z_Zs^`0coyncaQy#_2q#h?8ZT%dhp@jL^pkU-ZIvi)IJPQ8>F_lG~@N$S{SoG{^gJ+ zD^=vti?=;ZacI~N8DN+5{h*P~9c?NgX6h3j0L2WM{Y|j=Q!e*3>a9Wyd-0%mKuHGL zITL1n!kQuPxd1Vyz1(5`45JET3FabOVw2`uY?_UC zr@^{b0n=-b=ykIFSKoB_CB{a6YPs9D$ur*5`?L)Va`MQoou~=qo2f!89%h^N{THYd zoVjHEBzZv#kCKP^#rn?W(}=L_%yY{{&%>l4orT`=#T7$|y)vbV{3dJ~KW#9vND?&b zQzYBAZIei%w0aQzD_@`!xUUm-QKnVqy;uFi zViv8J%l14!s+>A!vw!HSwZB4Y+hqLasp4I!DYnXb$FJ>o3rM?=vwSi@#?X?pGGIy> zfL*wm)lj$1XZaIrVOOh*Q9om0Iy+8fw zmF;1kUxY_-^z(P~}&)DCscFw$91igRpk?qjWZL)g36$Z^K+w~5QMn}wz zSzW}&r0)u~(T_YqO?uS&Y)_-?DyQOwkN*|rr<+OgM<%?E*VK(U-mHDnVIACcPO((l z2D5%UHSf6Y^!`)iuF&nvwvoRtCe^DX=USWAtvz#T`x3RH*6N-*bol$rulNfYq;{W z;K$Vnp4FLsm1-_Ky);8{YkKV|e?12Nx?qfe$X(!cwxf+hdq4d6t%|wR+pIa%F>~p% zj>*K;`1nL1@>109D|PG=*AF`%Xxm}j&78?mQ6zpiuH z@x?R0PlqkO-GxcgmSrwtzqwfMu6R_=?g-sEzHOVP8!Aluhk|T_jPi;5QCT~ih8u&6 zr$V%*@4XIBNqYPs(JPGWWOd7^s+$fRK@6=KendwdJRneiySV!xk!hc1rec519(Xuo L+=DOs-+K8!fF=az diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index 70fb348e3ab991359831b1bc1ddc4d5bc26bd249..f6f056ae4bc6a79ce7abc39f1cc3c83361af52fe 100755 GIT binary patch literal 21824 zcmbrlbyOTp&^L+`2pR|)+=II>?iSqL-6d#ncXxMphakb-SzH$l7IcBVJnwtH@1Fbj zJ=4{{?&+DDnyRjz)6>+gTnwyYHm|44h+_|>_^T7rRDpm1+ z0O~Ww|Dv$^|DqT4g&$H5kwoje!tbxR8ecJqemKEfjLUx!zZjpQ#2=R*oT9}1VJb>4 zP8x`T0iX1#Xje?w8MeJ2aQ~cli|v_D7**79HL054QQuJr(=-JES9NtMX==Kw?_^S9 zoxCXQRPo*Cs+KF}R@K$j`B=KRxZFDEF@}KmbvU>jYXS}jq_^O0wxU%YMmayP=I-{x z-4N+`FAPsi#1at%>{{8r7L<{^etz0;o({Wl5S#ie!?}9MzFJ%0@-R*}Mu~2BIZvLC z1ZCe#!sgvZk-9(y<60CdY%NMD=V2-u9^&R=QnxwbC4o!DOd@FEb~>SKLcByCxR<7O z#CKEEUz(--@OV{x*z%4HG*mIpTJTkDhqpSIySFr|Vj4FLy-Q8pNf~b`TyLzY-)doO zb}%?wuR{xq_p@183V!B$!MH+pH@iT&$Y1c^*=F6KJ};=1w&l*Ns+I3mO+DD>_8;am z10E{aO_-RBo0ex<7JSRCf>?Wrb>WR8kW65W`g611P&1k)bKUwj4rH#4nHt=(I9gF} zDKZ=p{wyOtR@eY06793z%S*`%9#Ng=p*_dOjKJ_uz>LHz_)!a`W;9hj`MJvgGSiwU z^~)kze`19{q09`E_0$X*q1H#D3gXBC5QZ!lsM}6G@_54d?(y-HM#mC3g4xZfx$u%L zr;JX*n6Yh{-mJZ1zm3~kQMXODgjD*;W~z6s@np7hCYVc>mEiX%uxn{EEg~po$;c5W zbD1MpjuyJ?{f#ng))*#KIGN=D@LH*lmKkDlgf=I#_ERblZKfe#15`NV-Dq{|~j5P2WW+Xr@MaqxMHe zqU%eB?XmvMR!UPP(RT1EBaq9KC;Z9P``yt?-p*7eodb8qNIo;S{rOVhrfF6l7>Am# z(0*6u9~>V!z6ci%?=hMvdI8H(*eLveG&l#-Q@*Wwka0igW=!B{!!V~+@c%YWseSgn zs{85L?h!z`q}zQQac`ODb=$S5QOyp6)bBh-6tojbRFS!YeAY&9*iv5GUxM$G4QOaA z>|vbTjnz|Sx^rJ>^v2Kp=y!603c%s8Rb06odBUEO%ztX86xlaw=IS?--lEoYG$HmA zg#TmB|IhRPGq3RH*V=pJ3)pLre}hz8$4nXI!-2wTT|9Dce(2!hG$%ohd!E_3DL0~Q^21SyNIfT`2@UEQEKD*LYi;Hpub zq4JAz5Ps^vsjZDp`NyI;s$0Nde%1YBVHz;SlAf|U-xC#V#kg!)3*Iv@vhKg~eC;uA zZ-!JhKX9CjbjyS8wQ5x#cwf7!l#YW_NZY4oz|C{|4}Xv|b-20nznmsMd$v}2-Vq(m zODN!1iI&}dV@umf2R~#(ItbfkR#t5WPS_|@+=kzDH)5uO4wLNO7Tel({O&XW$=1)l zvW=7OpiH9GK!8pFz%j~by>Iy?+{*-(iM79WLpH!X{ag!E_O&QxT)$2a z(D?GN9c=i5K9w$bL*Q>6@NUPCnjEG-1CYFt3@{HYgRq5-BhXB)lv0VbQ}$(9AQk5v!EpfkS5;8028<8sf(ZcK@~fcZYGCnrKCilI3*Mqf>LQy0w8^CAgl`inm!aJT6-jLeDlE`) z*8Xv?Pf>feg}uLpq|B&e)}Rb z>S0`T$y-S%zL7B{B{a)++Nn45a7ikuJ?puwC!B7y=XVa6lua7+W?#>EEzm?HuZ9=Zy@R2R?6OxcTgza)ao^DitFmo>;M4dQB879i~{#nKFCzFs;>Fb7-^4U)Dlib6W#f2vxJysTZ@~+D3 zCbKUk+k;N#(P8(-Jp8DMdd@1 zt`W^Vmq@JglV+EHS2{e)2Go$~=0B#W0ycI6*OdDgnA>eW-iYL0O;P! z^G)`Bnl@V&%-inZG^u%64l%f*vy9e)tYUWm18vGf%o`qIP^BDF1wxzP(rtuh>2vFz zPEoa`Gsr`Iyu3mJUsXDr(EItW7UMaOTaW)oXmZUM^#{-+S(!K)(gBNxVJVr#B0}%=`a? z#CK1oLK^(z-zVO~;r>C$p17eY1W_|XegYBWF>Bqc{5K%~gnU7Fe;Cxo?VA%wOV0wx zzvR7Z-UdLulYHmW?R%gFL=shv?ydMR0Ly$8`XHfxLc3^|GVN^kADEe{Uu`=J)c{+et`H+t z70I8nCjJP;w@`~|wx7@|TQv13IZ5>ndkjfi^au=9yfP{q@Sn$+Q`!hBC>l52ehBv~ z;=5)1p$Mj0gIF?sMp6xf zO>hA(xR`Lv6pUxCDj5jAPaHKvAKp8;RHVpWQ|w7GZ5-lNCtS1t$m?x?id)#Z&2NEN z@3hk;QoXgQPwc4fkgNe_Up%rMJgZw}$GLe^WuB}#7S4r|CnxF85gC5;#kL*2B=}u4 zIJ$#_ZY}A+O=xeg#^*wvspb;_sn(g3qA-{0Z+eikXN5o%s3TzChZ~CGPo|E3$Xq&k z|CYN{j#V@FeIP!BWcgw79MR<8OM8i7!8_e~e=5$=-G(bBXuF6FNvIMtf0oHa@<=U+ z1c}LfNAFzVt2S{%d>!U;IhdX5IV%6WfB84hzsbXM_DcuW#jn-@txzQ0hj5={OZ)@zmbGc zB}S<=`*VDtI$PNK{fm#%`?-FTEGTUZFdKs7`vr#UucrLp6a2m3<^cT$+Aq(o5b&hf zORv0pWMtg{Q~;G!SLI&>XV`xWxJ z?^_jj2BOppcV26z#fmyW6^0{Qp>P(3n7>Ku5mklI#x}y#ag88%0P*N|qwwg_Y^OfQvmma%vZVWa*7{_6 zR^7n=87R6M0u_l*Xg-DHCspOxiY$F{YLxIwHyE!3F8^KWIJan`Qjd1;V4BYJr;aJ* zny}vJ%8WtJ!|nz2~?8p}q)B_~9989l{KwOfSVr zj3C5UR~I&ot<8Dq14<8{CcLB7*-+gcL?K>>-C1PRLpVC^lCUjn^4kzjpIrU1>pvcfdr3tZJEeIU!8S)N_82pO5G=09^mpw6*`xl z=`vy+mxkYNRp(hT=g6wPL5GSWQuDvAD}CBzt^&;ydrX-lurn3e@%rdA-kzz;W%<>P z82w22+2tQ6Qad~Yopgnr$n-d+7{&z2B33hLvoLG-oq@M194yT}Lh>v1e);?=ZDk0s zHQVK2|FQ_6ao0~v{u8YC^l+x}iJOcSsTfbU&#b2)&7aVP0y!_VI!{NK#)$2sL3^vo z#GQktuProsw8PDv)nw!)av6GL>9M;!uuP%_OG=$zt0FBg95EFa-U;R zyAJ(HsA}R6ckw6Bp$>;eh?#X{9BVhBXPUAc2#CzM=oJ%KKDaN|pC;4YFG33r66n7DAVkyVUS_Sh0Rk%-26zF@RJ)>Kw;pzBcG{d7N)<3F@Ih&i&d0?$}&A1 zUIV6r+~hV4rMtgJbZi}0YiwQH2XVE#GP(JJjNW0ZER-Eip{i_`*Pg7WGu{fbS*8HX zⅈm;?8$xSjy4W3qt`iumyhmJ0}=-7R-A4Q%LO{f3+81pw5%sOAlmm-O)F_>#UzW zaNx}qJnC+T5P!akLcCgwzqwQGd(2uK!i}nEnm^bf(##H?C3M*&62y=>|*~V(aPyZ;Ul7Q z9ugp;(qEq7k&HCNmC}VZ)tl)%jmiQ}g3OSYXJ61*E{Rdg(8YsKT0-h;UBtdE>#jPNC0RJ0kr(T->v^ZKPWkWRJz=My{Y!YUi72Nu&kn9 zU_-o|3*UlQL*vfHizEASpJ(|?0*uaS6*19tejWUi9`NTpT%u{~d94~djYK!Lu~nQ- z`zu0WpKX%fvhy(KaS?q zs?EUp%H=Fwm#54yzRVs4|6jrBKE@=l+7kP-o?tOvgEx!HXAmBQ<)j0^anhmqK?Wb> zz+n|2w69wdx*kSx965`%rWoRFJ^o!*;5$D zNQ5usw-sp`%v!`QaXcCUx*J}bBaa%D3Br5!1qrSQv9h5BpC9y$P$jJy1xg+N7!LA)aQC|KuG+L+QclKGM|9YyEmGK9K$Wo>s zBMm1jZ=nuYZBn3gJ0B$|2oR27O`V&`Lmi>o%C6sKcQ~W0_TD%{4-%Z@1HSM5URm%x ztZ^-JXqgD%i6p4~)X<7O;0fGS|JNugEw7lBu+}xWx4%awj&(cfK0kPRz(Qu(b$#LR zTN$DJ`@y-QzUTgNY<2@8F?Y}i;(0+Ot?K!x!mSp@oG?YX$sp9poX3#wXXra~Qd=GTDH5CZ6{}HdC zY`<$aQ~zmLnmR4Xw9(EEB`vR_j~@e8L%*a?^ILG4)xCKb9*e}eqP9=IU^P zVKoA*>|tI_O;>Z*>$Y0F9jhy=r*r_Tt8YEbI7*nE>McKWbdO;C*GVGTTQn&;=L$d- z!RFY1x4*gkz9FcObL$EE$F)R8#4O(HX@K~x-m>@c1DKg`TKnS zx>gYQg(M0^D(u0#UW9FC&H$8Z1!yhNm2j?-L%7mP<(#8s3Pw6aT8CuhYP%lr&z%08Jc}@byf1>XH#z;YEl%d&+1ebdl*zk z6rZfQaYozcRJW}SSj$M%q=tGIdp2C1CgH+$Nwfo<;dke?9Rzmr35iYf^K@WKyGH{k zSd;KU3%!2yi0peOx|^Oo_Ni9|uBY35sSC}0p}tpgFuXNS*Oj@@-( zac!@=`d|H9tVJ~i!Jf~Tv*ll~RDw-P4;P_LbuaEf`!2DQT|(6mz5O+6h-pTHl4^g{_};Ib ze14U?X9iE#V+(jwP*g-tk)~bb-SnY9;+qR;>C^(h^o|CEHGMYN8(^EUjyWZdcwo=^r z-aV#!=tVe-i@oo4J{ipj3~``>$4%LBntVMvhLhvpZ<`FS=u&0mGoxp9h|M!T z2%k^n;XU(ZQlfT2V6U9Rnd|Iy67SxjeLOt5?`wuEId1U<%zYH`_wk8Jd3xpieKC|5 z+UyAp`g~e`*Kmwk%Jja;k#@J^OYfY}Uj}{FqKvFt9!a9|JMPc z>SxQRJ64~s_sTHZvWL)=->!C}Z($J)m()hfPhYhtL440V)eMQ!J}kNG27-$r_QuPY z=HY_MN_*GloAx1}xqHuR^E4;e@$S<_*u?SW*_N`6y-%F?p6iy96CW)R4O2>aFD*e1 z)<+HVCr~||o>c>veY~<1+1V6|mP{6?#uV5*MOn(b5d>^Orj&JC@Nr!_u^pO^L<>Kp ztFd5$gLRJ&AD4_j?{}1|u)DIv*wdl!qsc{7mNVCvFEJi%Bgk7@#&F0mEu03t8I7Q`?3|V-LnG@PE+OMbCWE9h~j0TVKTzViyjOHlHrFK2P zoggo+YrtG$o4AtzBqSpL#&y$OhfsLQ3#$6k)qXnUocno{df`t88=7iH~yAf4RvbsTw?(j|#?-!`Ae9^v6qUavv7G~>d<9*D1PO6mL# zC^6#>E?R%tN(V+Q*Z3(bOldFIm09ExE>GmB+dr1pY=_B?j%zOiv?lmQS7{a`@on3I zc(U5Xo1~WNgyMA7tMa$Yi-3_qn=3Wfndl~!k;(^b)frj}^PDqE(TUU6L=MLpB*JM0 z-d<`Ko%ZNt5yy!$&cqsyW`}g|{1R=DkNsgw9WYRax7e>q)&M%KDUFPOE);PZI8uy4>o<|(6qdIj3NZ0?VY znc45D{@0v^fP^ep8Fy?3nE~w<8Wlo><$)!j-ODc{;hdy< zP0*fkCeqeOf*ji$syHzx63h663U!CsuW@BnEkP-T>pfuw7s1pjL=qGhnY$ACj&8V& zL+zjxBrKVaORyw0f5`wU=9Ztc&-dbz5K~p&oY+699nGOX20|tK4v2GuG_gbl-0ZRB zvj>^Td{&*7*}L|Tb@dJ*uH+jYKqoUncDNwtAU<&+g+KMxkkpG&4o4%9)anANyu^{?eQvGrCiqea%|GzQ zmy2jwW3tML6|?gr|F{K4>tX@XM!paw88?`0Ri8erZJBOvKaS zS`aLEh3U?L^-9!6MxyJ1-0bMwJa6=k8U%t#+rNG=j@@>9hEShObY1c>i{yec3Fgb# z%Nl1+7kU#Hr=XoCeYSeD4!$~G$l2b=v0D86M~aGMn4|QF$2-Yx*n{GJN77YFw#U`2 z->!6(9-O`rU@h%MI(S^09S~Sb16pR%aKilVgNMbpr1H~Qb&%}gtbZOo0yT3ENbwX{_^k$HCQ z9Fil9oac4AFiqc%T=3no8^Ft%Th2dD{r4#pxyqXznfl2l>}LaR`+`4fw&=&fF|Xim@9 zeR%-ydWjaBuEpVL%6<*QY!c-ykCIC%wqI(BM#lKFumv*K4bEs6Gv23zB8UETm^^Z) z$k%~jaqN~a&H>G5d(Qx@C~h1+6OAGb32UaG;basV%=t}$13tX4&=Y*xNJ`!86<_)) zrev*@5!6M8EUB26;kypMrIm}|QwG;Ub7Eo8y`ZYJU{3PTne}CzmC7Rf>HNB6%d9?p z+0|@v4yDGkt+H@6GHaubr&BmYZ^4$55z#SDxu74>p5KXCt5KmS;hB0BYbGs#l1tB! zHFEjYGQg}b`6G=Xg=#0PLmoESCXN~9K%qZ#&b;H|%kVq^tmG6Hdrh7x-U$J>e=9NrV^&BDJ;Vz3~( zyKKFY4Re#ev+Unt9@M*&Eij7*8O#dQ;|6>1evuaFH9P6U4#M3+f}bwu z&Ucg{pu|3c({{2q&Jx4Wf4Yh9&>7BTLcZvS& z3yzX62qilq|9lb*(E8#@`>0FxVFlmO4))B4DeXVGob#mYz-sQLp_JLgcs7ZFSK0 z!gGMA*G-PuY2Yk5oIrD{rp9br(nJd-uBD30e30h zJ{sJR&Dx%+bTtw>Nwzx)18Pb}W1CI&-b8ALR)b z+R=m}{|0t%m?RKw>1vl_1Y&IGED2!%Bb*i&G$UQfSr-?)@lhF|XPt6WE;H+~aINnB z_JfwD~Sq10;BIQ5erkmwqqrE z+(o`fPC|cLk)P2i;w>t7phQ$+@0G}oA7Jd`g_bqq^wZcOQj{6*Jaq?fBSsss-32F9 zM#R7LRB;cKif1-3gkWy2M7%KEAc*^Zm^(3Ej>7?xJv1h9tKp=_zIION;_n)VkjSU+ z!#VtQlD=Q|xycOT`5r}kkZujpO>30=PCcF|FMkta;wmGON*)BL_T zW*Xr7el9iTLkS9XP2@U?F-$yW7UgYo(=imwmI)xJ^9)*1Dz|F=av%BhPCNW&NpCHk zv^~r|g_e<=?Qi|sgr7dmV|5&8L7d@y2epENV#DY>xizg5)Rs|cTWP^KUsGz)6fm>o zym+!4lWnnS2LTh<$H>9|b?h@bC{taqJGWfxXE~+bzGt`=s1V>+2NM=C_cJZ5)lIx; z`DXUbX+3A@zE^b*Lo~)%-BmudU&XNWel2s|+~9D-H{G6vX*+HD{l=S|=t8-bqWMRK zyE3>&^H#3QF1lngS>cw3zQCodd04KiMsA@rFNtYUr7UHrR}ssM5H+^3B|C-UXp*~h zL8&Asqc7pM)L|h{MX56QF!f%xt0mPgy+qEyP-h~APr7GVx=UV;$mBXnv&@fAdP^NsNMkTcN|IWBVwWrSK5G28jU!mRc} z$Oue#2K>pIZ*LniZn;*MfVDt^J1tWek725Fmp{eGq73cf^%FsKb|?durDhUrJOOWS-}{-LB7hfA7$S3p4)8neMU>9$b{8p%1#g;?Ic{;s7SG3 zhQ-uI<`kj#R)!n}FopHV57U|1q^uKrcfS9s7}Mw1HTp2RWDH~XcsThxy-6R_k?kKp zjE}A;G#nuO>NMOh_9}YqnzZjmUH=_8|2s%uKb^dfz~!lHV-7F7mM61^ zpKxQQC+$7N{^Qozs7>@~ws2N85hAMd=v$&B{xTi03U>%qG^lj_ye4fop%Ue_o#g+F zaKaIh;r|9b=a2g4Mb9QhVQrhx^x*6}PIW_YxD#{RPhNIu5FCw1Ow;iRZs93_0ly!v zWwo56j|*{}FiryLC(lF05I6*lYw-(|5MF}iUB@k==nV5W7lY)pSMw7m>N?!rE<6}O z;JMFlRVCtNqp4y>X+;<9sT~rZ&vok3LddJgCA?R24|ceq(l^Zdq0mDQq;k>kqiE+{ zZu~3F`YDbf+trLt9(;e9WJV8R6G3{r-7nuK#@L}ChOy^4YE0zr`6j;DCo!W7thWXw zLUI2@hfA0xUTz|;e*Y=9(j*5Uufo;Ys%%qW^nZG`+~@mdO)POB82c&&GnAyYdZm&*i>S~f=~mRMQUMt8Zy=-n zyr`zn7>*)Sm&n_iG69UYQv3TNx0B9^9vrtHBO@+r|LALeM_w35(F%<-W;4{!86OZS z0OMvug>RLkMQ~MV7?lj-GHgj9S#m71ZR%CO5fq*AXYB3?1GE^7(Ht9{P^K_DATh-{ zW*GS7HXJYZ`dx2U_~kTW-D2C-%k1tgLQ2H&SH`G>RDxtloTwkU0<-A5sk9-=K#F}G ztO%IuR$zj96>U-#fZdvh$`a4T_C~5_I_CN|2;S@t)D3;rO@v_Ha+5onkuaMTR9rT| zFO495_2W(8L0UuD&^;wrk3=n==H2mQF&GHsu2Xn`X%Yc&pTN5l8OUz-_FA zGNFVA7b7o*WLrElb*=c>)xepUnt0nOaInSU8~dLrn8445buv5A=ME)5ebWuAHi&L= zBd+A1#{v*cBx#P8D0L$R$%F-(zsmb;_u(fRuACaapu56>}T2R3J%J3pxaKn{ZFRI z&9Q@^NngjmyDK%|84XdowGcGQmzOR+us2_~X1z9dd3n31`&Hx}Kd2Vq?EZLG*N3I~ zSi`<11OWeW48*aq9-%q~a!Qp9D(Sh-?16GTzP}LcRIywO=QJD%1@icon&D`4>cShO zU@psgEH{zRyT08x7!v*?KAmzPk*YO_PQNz;v-GVCA_*;!zFalZGN)Vj<$uY$ra%q4 z+WoR)_v?fiXz4GK7D8ez9d#6scCD0e`1PD|GB@rPBGLV`^Cj?hU8>JN%jWHwhp{~t zyLL5x9rb^h-Oi~PCd`&!sZF#vcHA!k9vQ3BKiJAD=^r02Uh;3QhgH3}f%^t+l3JKj zEf@iPCWf_i%i0Xg=Y*=nx2aVwD_YBr>K^}YltEQ|Vn<}TzW0iuv#SA}@_*M`zxbDk zJZG*PEvfV;DS_9|KPf#WhJ{>O`gP5K(!HXOQq&G<{;V>SZC~muZdKW>J7l)8ueO=% zzW$gl2Blp{>-+YJ@;5Nl<=CFO^mZ{?@}Jwc=c@Yx^xM;?tKB-=(?UmP)m}s&nQdub zbmVi@`;^toz{*7SvRcjrNhZ1Gxwnm_dDLHqBDAKXz5jO4^K!Z2Q(p-C*|ht4R9lM}~0!ra;Jk zw}_-WYtVtURae$R;}Q{$?qZcV0LI^7oDZ;U9PE9IZjj6aEd*M4iT}BV`+RHFEn985 zH$MZ#z4%_9tzUDtwSi_wXbAGHe98OExef~P(WkvKUc4%Fu+&r4WBb4Nc})JxtJ(ln zPXKFY_YczP+XjI)g7q8kQp81;+sb8+lG$t<7P0#OaR@3Fo+X?1S|dIfFu*%P>PJw5BbF*f7;jd2IS5@qpFPiK5ejgub$coZj+s@x*?rqU+Yp!RbT#yFjV(r zudLa6Xr1Iv2Kp<_m2Ua3&HJji)e$VJoAY;}?!7FbA5uMRVjWitp>JcEY24R7q#rSA zm6?6G%X@6zccE@jiaXD2qI#!R|m;Pe#ZQjJsj@5nsWmB&Sb#fhTE3F*i$q}lHK?u}8G@hT1jxaIlDy}T#$(z69 zH+y}Eo(g*DJW^^EXoox`=AS%0u<-e2+W?>r{(JU%^X@0I``+xH-UAtaB!QsIEx(+UZj`tSpARW+4lp`VU> zR`c&W>N?Gm(4yuHq*t97()sGiKk8`k*TJwtVClUw?dsyi)BR2CA=~Cz?GkH$_Wl4u z$@Ic4sBu*-V2TX>?gRIrzIi}i;;CvN=-9O5w&T~jRNtctI&uJm&Vz_SJ!$FTPg_Ti z5AWGkZ=$bc;NydL1uBS9y!8%m1Bb_5)2%l%GyPR-Qw(3L&JkobDV}Rkt<@A`9~h7_VGM{VQf;7-yS>Wv%}s4J~KCo76Fc z%yLX?szOa%J;mQFB5BTXBSOV8U295cV=BaBgN@h*OWu|}E!b`t%U-k+$n|N2Si4mc zfnIKbKwS+MvoAYKM^@ApL$;dn9o8G6AH~u3jRDa*^Q)-3?k-kl49%ZLH5)!6gseuk zLD;cc@5h?WF~|4S!$aln)+EBKoK0l6Bw!CGZVR(^3t(>Bd_E5e$j=D8DbP2XZ~OHC zAI(M5!mQKsv%qhsND#B-Gb8Z1z;C-q@N3IG&ZT=nuJybDG|(d2gU-rQyCnej(k}YJ zWzN88o(cN$TeJtO)oi;}J^Uqh^aH`1V(Z5&{gNZvgUX7K0az`(VKr}n1U$vLM4p>z zuu2bZ8!ysF0Jbv#_X>IkifUmm$#58j?=~fFbV~l+%0Te`*`3Y$W(MZcA)1S@rJDihP_Sh^?}2zpSshpX<7yn|^1h&V zxu_QAvK!}eyufd$$UW%6B)aWuOTBiB!(5-~d>#z&kpY-2433h>h6ScG>M&b5HdMLYaEk3X<|!ef-Q@d%Z87j%`=j-ZVQUambf-9}sc z!HDS~#A1`yX8S=Qd;HQB|53>l@47`C@9ycKuio=z{Vho0>ufx(i_$rKa)grg)L+TO zzSZ3ELf3pZi}14(MJn7DfKF{p!AOLAo@>Nkv9T;Nr2Y3JD`2y2O@(lNN=18?E}FAh z&3P(S(j)R3ZKE`<0cnq}oP$5b#@bZ0^N+{-!t2d9R(%G=ofxyf7`zTcriOqs(-dxs zjfZ%PH|1}xAMwJCpW`pk_DpSx+kZApP;-O68pUmW)Est=K_JV zFzQ|_YZjAgFwX{+Mr%+icMzn!9EP8|{|6bzm_5W64_H}c73aW(?sDo;%py`!UK;LvctZm8%aq`W*Fd1(H1 z#FDlAEhi;KB9Bvvxc#egclF0JB92EoCIhChRk71vgubQvx}jTLa4#TF7W;0`Vv2--$n;SI?b+|5G#1Zzg!NC$DU z<8%n{ClqX+*6K`Idn6`BlS&gZR#u2h6B}429@=B)=FsofwzpNaTnkkBz zd||Xo*S3py8>OY7U8dT<*v(VvXk~|Q)U<%jR~TwOa;sSzd`$n4f*3ok(e(JRf#wQN zVaPVTf%uVf*nMz)t9fYhS^@_xbN}Lp%v!ux2mym6s!;UJJ&G~H4@nl=t{9^*Z%Hqq z&;l@W#O8Go!RJSRD~Ez8!T615#Ej0_%gy8sA;%?~GVhB>1Lc2#o1CA%b2hp8 zYOQqG-8hxgm5(*PWAWw3@~D0Zsbedx4mo8~_LfcIrIK}&l%b!6FAvdkkRAI|7NTb( zON(^bY@2C|b-W((jAMNHqOf51Ul1-neWea!=(@W!O&Lm_5vTbzTs2)Vjg|tw zz<|xfE|P{SLZOvNW2)098N7CWJhEOvB?+_&!ZUh=UdbTZ2#+gc8q${rZk-BdlB- z&8DWg)NxY1G%-bSxBnw`!m_ETB_Fr+?X_Zny}zkA*5Hobhfhr6bor}!WS(q0E9Xos zL7i)HOFp5dX^J{XLfhRS#o+GysI5gL4|9yIsT%rp?Co-=GVoDi{4Dyme!GG=3u}2~ zo3{Ev@~5$5iqb#HXK_b0p$Exze}6SXkW_0Ub5*Qsk#|M6N?Iq4c;zzD^17h%Urw5; z;I3jbcd5)i=Bkmt3N8;R)D~XlxJ9hx*j{H&Z=x*7aI_K()+m#ng~pdBL!9K=^M7;> z3lH#NN>C;PRt41C=oo-+X747*@~?0yDkBS_pHau-Krn-ji;v&7UDu-GsOYJwmki_) zY(Zy{nY@%@Cax@z10vG}~wy;oj##Wb*IR;<7Sl{Q*ROiVM!y*;r9(S5ALjv$h93 zhdeu*>C+P9&t6B2m$n$de0taEWGebW#fp>1z=&VVD3D3NIxJFLuHif*^?v zw3@e*VM4V}6k-yEPPsSf;A{C$QqhS_<1q{2Dnd6!t+AgXWVj)rdS9>yciufRam=v( z3X?;ve_pID{u7BgZ*z$%Ez4xTm;X9s`)0lCF9G!U{7~#9af(dSTo}BDZ zCq6+>@_dBlu==le2(_Urg*d07Cq+oz4jhcC7X5~W+GfS!#_8>aF4P6={>egP;yV=) ztejLDD)VVR-h&cb0JKzrnB$Fbh)FxlK1;I-^V_fOKyQmsGQ_e3DmhKrb+$Jv;he>0 zC_x0gzPNddFYr&Lc>=468XAqWPg=s)s}m2Jt`50;OB7;j4ta>=;?syFz#gfvP=T)r zy<~3BPd}s}UZZPsMMrWkjr7_*k&!y_FhfJGVdkcCxUu)~F&|ET_IuJAnt?JOfytfE zqA0+vA;7NT2Q8bF)P5eC-f!m@=)J22#&Z%95(CyHRsaXr=2fl_4%D>a*S{ngpMnu> zB8h7#yera9LXF*$bUl@SVD9~j9x8GjXCxMh;eKGZDU7cSHGpyZ%`OSG*W}^l=4J9# zQHzO)1Ve$2Qfg=+EsV^RSUPEy^Kal`#0nfKm?GY|%k3F%o4^ev4z+&ww=PGU+jE7d zJmX^oPHrzu!daym6-MiEq~(e^PaV_HjXS<##pE>u%SAO~ox$RD>9p|y)*F_XfvHeA zV6v*qMzEb}==#&{76qr9E!29C{j$T@57&g^&fHh&iB%y`x18HHR2e4~fzaaQ+QR5ro>SIJ;Q; z9SddYg~77t5a9H^vW4(tk(HxnF3)((z<3Nm9Dyf}2sQZA$gUz_{Mze}z%^|=!+ag= zP=ZuASv-;$-(W)UM;b~P4I_*HEQW?8hK4FeOcEwQhVqk~^r^WWKe>kx*E{4>x%Oe; zq3Hh;@e>a0S}OoK6nQLJ?FCfF%HfH{9V>_WkLg&3J)y&vXQzV%ze;X|5d2jryV;Ep zx}N1mBzawz0^yn;gpkYJLUI|c%Y%q3JkYEaWyoxXXZNx}mXA|HJ<6z;P1q>g*Unv<@CyHH8C4}7N79tRL1Hs$ULIgoBBqxDk%ZXtq6ec1EZM-<4_^c|N5H}k* zRNb0etWh9#i9&?92_gIic9#$Vc|;hpe;D$}FysJ0I1v>ey@X{R1pu4lzlS~sh$jG1-aG0a?N~lK zzHDeGQW)~0RF(W21%h8C z&r1>cQD_@+eh_gl3bxrc_2BW_31F=x-jH3fH+2n=%3Gega~b~_dtZU zp9@1iABNljNVT?K@CXsw-UtXEfU*rfp=L`SRsWJlmcV~K4EX^d{FdaIr>y#H zb0PUdz&O*cK5O&FD%@YfHSUi)+I=CatNZZYLkg9M%p+q!2LR--w-ECvAlSOmr5y{0 zmkf!LLh?Yspm=GX7NA3dbsZWO^7t_1$$(JfiQ|?H5BS%OhXvI+JVkVSzo&3S5YY)l zz%G43Ay0h$4|G05m3zPp3 zXioDj9$vKA(Cqj&XiNiib@$jsCV#2Xr^h>RAA*_!W}E{l&b|~5-Q&Z6Zh}{i@QD~X zYaq}uG7?iyt92rhu0{!k*LomA%E^H6?z!%q(>HF~ylO4$&4ZA&9#mI#Ik>d}Y05hJ z#8vn$iPQCOorv-*c?MDeS?2=6_jf$puxQEB1vvaNJ~T0bSEgQnx)gAB;e!70AwTcWA-9Ji z{|ZCy0;Jmdcl(8yZ4ln|0=~lUE4u43LS~t%*KfGlfN<_Bb&rv;n-h@a8#cSAl{idq zWIVtF5p&anJrGo6!v28pmUPtU#trL^HdGZ0L5~HBH`5h3aI|FUqE&F3;ud0(a~=XL zXS)@P2bZksnm@mIgAziY4xq!-LR8{L+F@Y#v@~*Re%oRq|PYaAf1qR)wIbq1EFyy&m$Z9~ikAMYG|LB1K@Y0${+=-F6wUM}Wk+_q9<0b~S zEB%c)Ia1hq;M5?%OK;O@cKrMZ%qbC=4Zt`CQ=u zMOYirqLOpn2qES?H$td!z8fKG+2TfsS}qMDUJ*oG7DQYQL}=1~Wl+kMK)CL7!X&SD z3lS!HjYo*E$!k3jQO|z`>v?xr$a_5yq3s7f5TVM4JP^^K4~HQiNg)+KgK|9}j)_Fn z^F>cRqCsEsKtzMS?16}izTtt0ioOj9*UL+WRtzmEj&&jE`#`ZxhaVw`g-!P#d#V!n zp8yi_?w_WZ(&9GB1>NMs6a{RWWguKcY>i0G%^0TOEV%^oqL z)qjc*^Jicj`U++K3WVB39U2^7?p+xD-B*{;=XQ5p#&n4J3(0|b=)SnaI20B_?hk}Z zh=45fK$0ADtOt@KTGx6Y!g%lZKtx3!2E@@IA><<-Awrdph9MvGK!hqE2ZS$7Rt^tN zEM8Sy2?%{Y;gKZt`6M7A5B{_dBQ(0sQGZA7w3rw|*uXiIvlRg(jd>)8^?W-82oyUIq6_zTBSa5A(v1)@&IBT0*$do4L^T(Ng%MUBeXS$*cL=w3AEWs->g;8F;;%u(--3u+fC%*N--AN_5k&km zh`2SZ$ZbI({|X}R2qNwbBJKhrV6&sK7gs$r`Oi%_$h&$D@9Y>~R(uU2US{)QfnROy z>N#>w*O7BNJ32=C#|9R6boD-^qq{TzC0RfUfnVqL$`{D9kmkm%extnVdFxMIccv(A zwURvdYa}^y6&JO6dFwYwv)TC%{fk1Ce4cs4sn}Zlisu1F$T~US}=ZG+>-~ z8CX6xhGR&kX0|^u8N>lNv@C?33a6vV5KyKjoL|_MAs~H5S*B4&500|GHzNM3%+Ym6 zX%bOS*su{o0uaZU!1r5gTtccX{B*r$ff{w6~E`{@<7mr**kXV>-9# zro*}KNirHgar?sklIHTB$+hR1ZYOb6#)n+^)W!p1!%ghj;aL&70rVHMg&)v!|~o`ycN7uWvV5%U=B$?*IHP@oYa#;P8_a;hwPcgsne}I zrD)RpM=&PM6Nx5GJx!X251GCTy!_!GrmwhTa;te;yhlpgxO*#kT$-jXhai0kuGa$# zo@6>N$~TvhYx1txVCuvnIi@@$Q{qh8#6I3oxsT6Br#x8}%1dladaC-SK3&i;rrr?d z^YFj5yXC%O^xWR@2k)Nbf6>T%^xl-d?9ROH_{X5rZi7>WeEbxAa(bG_kIP5b^&IfL z9e5M_`e&7>%V6-;09DVcYt(0WW8-I}^OKuv{NBB?1MOkgaSF;` z2l|UrnV&@(!=edOv;gm~SHY%lM4udqYa8y5HzHn!i)F9F9N;UvVg{96UZKkiQT7H; z`~I_nud00)SIB&28)X=et$DD$s}I_d$G+QD_%5rk*CiEYSMCC3e6V;o^jrb|GvkW1 zS2<|U0d2X3yUIahyKUS|qP=7%=tqCQ9`#<8j`Itxd29k@_;F1&$DL-Cy%uHo@lI8? z(JFff%6xOjr&0F0lu*$x#so;A#%6vYu8D+k{ zzQ)7HcD)&8(l)dM$Fffr?dvL|tZOY#%mri5#!5Tip@|R{pd=k$+7vk;bfF|GA#PWd_ z^YoQR??j*Bex%VO{ zYVd(dO#ER0qvty_cec|4En$*7cjun(o_pTst}7lC{e=*le%7bdUv6l6O$}Jz zj$dVrU+pdVxpvOcbU$S@_JQf#7}F)aG1Y7{Yg@YI^)Bwb80VT>a#mf}NLyx~cs>;4 zS#?vMs+RIqC!GUF-)qY1x3lT|J}`ujTwU`F+v;8GQgM)^T7SXWMw1vr1KS@l8k3sp zBz;r0)U@t^ChnmzO6*gFxc=?DpJ)=3X#lSBVSd*04U6K;B5xXIwvnj8^RQS*Km4|- z{5g`|*k!3E;jEPHo9W;LkQaz7z4tY|x({DtX#k7hpuo%OR#LY#Lw7;sr;2%>HW%ga zIf4f8hO1?CQ;l)%FCHc8YZw0*3Z#@mb<p8xc$ZJ?3 zh7kVB_4hky660vFT_WDVCsj`cRjj0O4~u$ab}a%;45xt&b{1aqm+Kl<#d#W2S(2Hn zJ5MR}B{{np+wlSmLffv!uI3OaYadq#7Lv0OSsSbT)QIsk4?J+A6Q9y@6orP@#1>%` zUWzzGho4zLNrw!RXh2w0m7bmlUepd0VuEO)UvKZKIEPIThBsx5tTKf?G2`cN&Vp1F zl7hOT)QU=4Ju<7+r1ePQo(Cy)?D^Dxxt9TiE;t~RztKoIs?+3WRU;o;i`Yw#t=#%9 zb_@%5XpOeE)Yt61Hw(lbqHWl@@gz-=WxCWUEQJmuQy3 zVA+n@4Oq+!oz&Ii?+|bT4cNxi&4liBgN|V6-yH)ELWSF;G)u5ploG1n@x5?vJ``+A zb94{s+*RCR9E!uwwHyXmW?U?GLEy?Vjj><6Ie9zsPx^-Q9yNk-com5y^#15_O*6zT zp#jZ8-$dme?(`FOvWe)yGnx%Qq59})?2{Hzvn+CuNv`govoRh*jN@?mdaKojB`H!o zk&vQG#AtfIxBv1r;G~*yQ>d(7Vf~BDUV1Ku;a&|6{EX%3zW(X9&s*txA`R%7cI;dr zGFRE4$|+++1<~g`ccu~vC3S-_@(u~1363DKXA{c-xxqG)BSZ~mX-mq!d#bCkZf0a+ z5Ih6L8}y^Nqxc1wPBxP6Ta;O%l*om*cEkDko=T#G&Mu>SjV1~Rb*X(l)swst zx}p6{R`oIf@H2o(q7jHfNh$kr&}LA(%DKS& z&|W`}Whth799MudSh5|gxZ32Le#pRx#ph9s?K(3KWK&DGpb*OTAx})fh_+IGQt}O z9MO-@R*rrD34l_L@V*mOadd-0Vgr`KUIa7NlssIFdLn*STp$c3+b`{p&^j=W~>i3YmT`kG4jy1PBWjLDDe z?;(E|=b|9pxfWJT3rn8SU5mRu=U!1tysKyKDL`b%?rlyiFfUO zrlqj=U9Mtt6+Zjh{#Dp7+sn<)P_mqnoA*{u12k4sHQVx3!wORw3#52LJ&7|E0lsE&u=k literal 19785 zcmY(p1yEc;(=Lp=6N0>*Pqm$<4;W#z`URVf({^f{UArf`gBp zlZ%~;gOi&=!_xJqt+^$Is;Rj>1t*1u0)@7v8HJ36t@}qyL{gZKZlIv@Wd93Ls967l zUzPp`y`WG1k+Vo;n%d(A~g6AoV2V&wg$;@Lg-y!yC8m>U_|5mNG^ znf*Lju2Gs=U46dV;Bm58g}GxxaT;QNK0KbVkxx%`57jzBHeXL3%P4Rj{#u;(pnLW; ziio}Cb@~{S#Gxu++Cuyh@$zBk56Zzh>?o`nH)xj|w7%tfKO!hkqhfP9o0kO_$S010 zEWDbact#W?SQjGUC`sc+)*pe6{FFRk(3bA*g2>BGBo^S+n`mnG(;>dsS#<)Yw$S<}}12az*dN z9HioEA#Y<{J>{V$wh54n84W8B4ZT1+d z`fysL_iK)7uY5-Gmq#l604=42Nk!)A$tNaYD!zjS(? z>>BVddX*hL*_}lCRxb2C+$oAy9lK(^gH%L4G8^x_y`cXv&fb9_jcf8qo}X15gsq~) zfH3+8U*{7mpCsXbF++Sr0GNxo^6(M6(2)lyi10@6Vg$?TZ`;|WU@z^66hPzlA@ia) z(7oU-(UrcURM{x4S0K3BE&GR{ipAKqtgTO?$V603)Q`3`SG`+gvE-j4Y2HGt&wiTb zg!IZ@da^e(Vq_I}8XMmSy>17G59{fOYM7l0+V!tlF@LCF${VM0(TZX#7z}! zD_mua7_RcA+#{lk#$1JR?+^VF(is+n2=VA+2}YPp$FBEyuG%MP zj7q4q_tWOoySB_3EcNpDf*kgPhKx8vU!ba{uO-G{SusV{M0@O+PA=t(I8Q=H`b5@V zzKp&7|MdD_1^ZwEkApxWOJ1kAP(t6D>KMAdW6Io=NVSH58TV-^~r#dMlDh2-niA1U-|8|^> zJg!Ir?S<^>$p#b!-3b%az!>5myhn9gjY&FK38`(~{9QUrwq>gat-Ula+I`08c zBR|WSee}1B^xKI(`%uCwoX0G_`RwVnyO{N7>q%HHl zPBNz@?&~eMnQC=IxH)rE)w%z}&mLlacHmbmIr0!QxETdri8haFF~(n&L8jJAV7I#9 zc+xNO7rnQ9@)?9()a`bC0chWmx2jA<;l1CurHmq!zG(&Q2c-hIw0Cb*2Cr|YNCm|q z^?83D;~Sm4UpMjwot~aK%^eG`jB8WD&#;5Xn4k6mJ5G;0L+)Q^7de7nKabS8S16K! z$TP=WE6W7=S!*l^v(cU^t$6QM^dK!k5T&Q??*YBAlW&Dskw>`3<1gYbPV0|M6K1mA ze*5#YL-Vni-}wraU)-POpVn2}{H>d~RSs6gl)iiakee!PvgLRKoovqfFH3QC|8~i% zy5_cC$}v8F_ZEt?qyk^&b~=sm{CP9gXapo?8GBkx1-^Ma zy#~4(ig`3$H}Hlu_(|MV?7My0EvZ`iYSBG)@N=J#<~bpS zaWwtPR3{owHfjc$?w9^SlZrj?UvbiLC2REehdj3Rmb$dzr_~ZB?H0MD%^Uoaw9-n} zN+qI7<8OW0r6&}Ud9rNH=X$Y5Yrmk+ZVplbJ6*~Psg1k|2abpp9wX_UaeU7ioJlae zl-^t;O8W2fHXK&!bg-oZ$=16fdyqs(r5(K>sQKw7gtdp2e*R~B=jMCSA>(^Kae1H6YJ@y(06)gaT+OGEVf9enLx4$)F`1bv&S^K#- z5(7#-V{KtQ)-HA9I=1%sYvTt;A$C!hD`UZgifk?uBeOn!kOIA< z&(0}Ur_Fwijguq&CP1gqj2uqD9ZO#FL_0{$2~L0M1V(b%#FvL2MRVg<`s<6F=DJ?7{sVFSR*g z(pU5?q%?$n|3KXv?G!Hj=v@%B{!zpuO^T5tr3I3Ax{a>)4xVpxn7S>Qs2aP+hPhKh z;p$kEw_HA9ga=ShLHbHF{8~irx@V|W*8OrChLeq(;z*jO5bbx}%0&>oB(e3R&lRKJ ze*34(NkMA*S#zHb2w7O>tZ*WoUH@JaT>o);m0NpQdyawpc>nV2+o-gcg7cs2C%);E z5kk4AMurxqxS;GU4%&Ku<+~+0xaxeOp2^$nu>Ldv(xS%gcXzXZ5U)B&SW zPicO}864gwBXcy(>&{S#d3{P7>Qa5@AZjzKyb<3l&y09~`Uys8fmj&_zuTR9^a#9K zzq(Pl9-qD}z@7D58Jicbih;>vbEn#Fl-@0#$li%xFfo?iZ;)4}`01uxR;^F1-@8|` zoldQvJnqSfYTt+AH=(|H_$_&Qx)9IkIE=DC0AAwQyaAm5EWSUtTy0{c(Xr?%#|9ab z6jnd0Q6D&U9h{CG+^r_%E`yZszQ0>O(fN`*HOAa0r9RB{a)94$q@SZ9DfgUv0DNx{ z47irPVYn+v=c;Ce{s9p4X4lmSK`G4r*gY&N0)BZq4)3q)*S^s@GTn9mb=LgNMTUd@ zIv&7SPQ;(Py1M^JMn{G;X{aM4?H#O%AV_P9>C>cDG{%jXzl5Euc9p2$U^i1TMOHKXg(=+mgyS1+QY z5pY7@Q;d5GsxwwEe?8mxgen1aZ`Ly1FPwzG+X$Z==x?t0@Dq(i2YfBwE4{(g_zBUj zXE*4M2SocDkk`iyZEps?G44Ohk}ti))kE%|?Vf8~SQB*Rm)b4dAod3>74M7*ihG>3 zxFmG!A3HeH=^dT7ZHijQR+5S`oOZu>|D&od&Y zg2BB~CFTq$-m$c+eTq8IuKB+8$n~t|*DkKX2tXAycxOeF^(Cr=le5b8FI{JyvOnp@ z`7!;mykGr?*1zl}6q&rFeZe01OS=P#Pkww`P}`4E@cn zYXNw68-9wJ0!X>)-W0PCJ|Iu{Vrn2LV6*barsXQk{0L7oHXxNmGiA=r{l^=rd!@#JuDdiH zi=iW4_GxX`KF7Oex{syXhbqb@K7c&=+Ks$x8dg{1j zz|JQ<4xy#S>2^W?LN&>5rN6Q_KH60)@x-A`mFqO7+BCkaBwqL~4HZABTsWhf{)p>w zj2W#Og+=3U+f1_L;UayNrzwci*I}7d<~<4AEy-eKlbw)fujA`UH)z_11qoFCzM3vG zdqMrTg0J;X`0qLe>gUxlwz?u_^litjYx?BQqd<}-`3p7a&wHC0MU^5|Fu+-J!u@9H zOG*6}9QH?`E;7^&omu`@uOnG_l`GJ9&0;yf7nDX7JnAuVBT%xuvN16>e0Ut=mZ~~{ z;Erd%#44YO-as=!IkKb9DR#<5^jiv4AwZgbur>V8-9U5tm6p1~)j9l(FWHi%CO&pZ z({X*c=TH$P#oYF>TH=en?wr!{j~_kzu|ACe+o7*92oOUgWR0w_Vj(|28`1hkQkX?t zxMIqL2&7u<&#^?#j^zk*Lpa}}?%1=TCmcDH7=wH>pgs9vb2iXg{}MWv;{Qm)X#QQ! ze`wvpe<*at4L-Ey?E)J%oi-ET z)N#5m;M}nq8tX(de#IN3v8dG8ZG9srHTWV>S3G?lAl0hR#I`-juT7;W3^w=!24{Vg zwLf6Uy*`V%=C78$)CFV*1DarO3p9g>X&r3I%DzjlV`b$)a7jK zB>yPaWg@c|tj8Zb#OsI89YDR5>LV_R-wF4;jm0Clt@p@Y#o^d zPvv_9xNql6n}M$-hewh8rdIZo3(c=j8D93tHYN z;N5T%hfsTQcQ3M<>*^viF4ZhUS9>acZP=v8n7HFs`056UR)+yiG0-~ye;6tgH*<^6 zlU*;L&xF4WHRb1liPWZDsu&Jitv)q&lU^y?m^SZ}4Y{!xdV}kwN$53*zq4oYFLmW} ze-?G#UmgSfe5~#*$W8X#UI(l(Vw6*#{ps{0&0!pP z>bJ=u3ofw|3yJByjzl7(ACf5liG&$qUh57&UoGxyj$Ss0S4;_LTS8pkg$c-dIbJ_0=!hAb)}KuEP0_2w}n=;&xT4g+IaEvOwbeO z^V7I*k*AHt9b_50h&0t~r|taKVPh-?+9ntej&bOOU>@u}@6+#vXP7uS)D>*@@L2Y+ic1knt+v&ezcQLNE z+Eb*Ib`JiHyC{f1E4LEYR{uc~Fz7eoo0H$X>XD7bF|mK>I+Q*#E5VE&nD|Zd>m<-Y z-P_4w6Hlu0dV?Q>0sI2c-}I4zbC2DO?@386>J*RG4hlcZui zlQhfx9?M2%EtotNr8Oyrf$v&^`k)UjCzw?w*zR+7oI(t8>LK%5Ln1nh>kzQpQ!B9# zVz?=;Hso}~cb>-yH!K3&jo$s$atb`!=EK`lJAs0hGX%ktc#z^;j$8eR&3Mq5$ljo* zC6VOvgIkL}5z4f^nzYgba##GDsF%@_AvTlMYlexuj?7yv%<5wnyRaHp=M-UiveHF~ z%lY1l!MTcd17bIB^7;NP&XjcAK|_;NAoIcFryMJ8MDacW^Z56^O7PC$-qz(35pbIT zA(M`XEXp9KA?X40ZtyRHfO?ZHWIKO}7#^0KL@=N5j2c4E_rd1iBbVySrb_>c*}DqZ zme9d(5#g}9t=0=pAaV49J&%KHNUJ0^!18u7w-=~wB@{@Giy4pQ%#sRXHv*YLa|SK- z&M39=oTj*PC0f++B%0aRpixO3d~uRHTVf5ir^kZ6X~t;rvUV`&a=EL=v*%-rVk)5G z?W(t9mouY$fqC%Z>#b)FVdP1Om_|EmlK1?6PjX{Mn9{)?PU0*wXfG>F_Qi+!csdrb zV1u~^Hg>3C!~O%p|83kQ!N$sgHt>>RV-b%wc#|PAg%0%3q!-|wpyHN}l%`COCm4d^ z#T|eMB_|npH zW5;u$H(OO8FxM35S$T}JMD6{~FRBc{a8egGILfhZ(`HUYVmmy#b!=e+0>oWN?GpL0cStm z7Iql;ax0;l1CxN&*iD%&h_5;G7efbwUiw=0rP>Y}>9$7JgdkdxH0CH!%e4B2?FLc_ zeTMn=2ea{;|PQtm8ammyYup@3U$2V<2Wc)udg=yaNx!^|MM8q z*M^`8fzBQDt3>Vf4M=QeXo6a<(nGO?c5Fz;Y^h$b+(^BufR?yqegk?TD- zi}I3zTmY7L?3`}k&Nt9;gKa##S81;+?CQ+UI`dA+uMl9T&aal2RrWYN%5q6Lx3`PS zmt0R;9QAOsVsK53$yaVnwLW1uk~z#{Vh>{J;4Rhmp$O*rOpmZ`fLx4bH)- zDEX#h=!_0k&*m56z!o2zS%jXInN3nS#SC638llcKb;wx8TEGQbDXRQ7X~WXy?XHDH zWEfXY|3_6d5pB`JzZKA??}zwze4Ol!EA_66EheYbZmB~U*OyN2fpe7H2XdlaQm9v8 zf&5ow?o6T{Qf>$&{G%ntzxAMQ{*%?q2;LuxQ$WqV2%@1FVxPOwLaJRNn|%uY)ylX3RQ_`|p%3Q7bmB zC|PQ9zI6f~M; zqLEesZdclj^qo%FMflpG3rhz;d;&61T&$Y%O!3I*qrJJ~081JH8k2;*) zHGGX~tdp^7$M}{0(3Y&K=tVXfh6Cb2=yB(zR#rT`8yfazB8=QzrLr4h%zm2G41StZ zT3#2gB`5LQ6Ey46^cCr@O>gT73?d|vrz`1(3HVoN#tntv?X^1qg{&0eQIbne7~;}R z-BN57+x*IMFHMxY;|C*gMA#JNxA zOUf~t?2E@Vj2gxq%)|tT;i=2^Q}t|O0?0btAh}fV%=%(|cFeT24)$_q!x5q7Wv48g z1z!%BEf_}|lC2saQ6c+<%5In;GQZUapC+TpZn(4EX)acBP3-n!lSj0>1S6Fq)}Dzq z3BO+_?U=%mnK8lD=h~HNLcQ}fa(>*PV%D%i-JoU5x?t&71s+)P3-M(BpmCb3Ju<5T zYiRr@b$J`;0~1Hs;ee8v-&SFaPTK8mO8&_=87}>gKoH@5PEM4d{FxS$XWwClr2L=J z%>H(K&-{c2bW!VRwSEdIIrMR~vma6`Rl${*9c$ny)i{0Nl+&$*{Q5AuCd)|^ow;;h zgE?$dA@%uHd{>s&*^Iy9v%tB{$)*y|{&o>O5M$wPrs0HXJ{p1s#_O&bnJczGAJqi~jHvC# zxP>jtNpjSr$I>Cx%%JsX<3~8bJL?t@`^xXefJcL&5?xzwqwd2tM|RCsu@^4=I;}?f zir;Nz%L|PK+y!>&Q5k1ln)CW<>n^Tp4VbCFl^>cS@73s5Z27{}XUZJoqcYG7H0Mv~ zAcwXpSGCK+O^Muvz~rwPqW?6xM!%*PdE-*1+&;^9@TY~uSrsK3;R|-I_KxHUCHTzx>NJw=IXVk=TB@OVm9*?4;e-#Eza8-Qf93*&x@h#tkwKBT+1 zRo+IHw8AT$7_*^PUA$8P((@;j5UdDKXFqEn+)XAP_8Gg(`1Hvsy40MXu!bNfBhHC` zjiLx?MTgOsM+N?IO@xdZfBe5R5pr(4Y)!0NNgtwg;gBWHVC*FS00_mArM69hV$&4N8_LVb)r9%ilS)q=xgZo0j%UoX*rgUuf(t# zj#X|T)xdrI*vjW9p+z=BAbV>lizJa8=T|s4^ig#6_v{`FHcN~jY_I}-h$JojXWMs0UrkzW(9}BEvvXC5SZHR^8S&;KZGEq{7 zb1{s)lDF3z-a>X+ek4x-QWL^LS~&LV{eP09>#|kNaxl8RJ}CTj zomlFHiIp~eDWVPHu{>0Z|33DxtIoinU7zAu&E4|4aE(8-UD!$f+QVsTx>`HqwGT&E zY5gVDbHP>~LvnX!|ByVDgn3c`txN4i5gF3h>34&>6k@!qS-mCKLw7z`)a&iij$PlH zLEAmZw1o!sW&9*|uS!G>-U8FTW@yNrgRY zCYfdGdONj{2s^A0yqr6NvsRlJpT)vCz3Z<^f3&L^$!T4oe7OF&Q&|a^OF#5%Pvo~> zxR_r-;TCinrr}l-HZDH-B_SWx3{hkDYVM@>~ zT)rzFif+euS|8MFF9-`gD6EUINn^$#xaK$RdZ>nwBsF9$Skp|%cVMtz+ABa4Tm{9i zfmQyzuGdsXtArByu6V{J7|O@mL&g{Bd3@J<;l79R9m^@h&dtQ4t0a1t?s=%@pPKr# z76Gkqxr^4RQvn~dX7Z=-bb!t0PoGSVaON1k-Gjs)tLD+XM@X`7{L98z;*o6C<+G?5 z9kEu2ROcqY@I1lubQ2_yZ!f{8SbY&WmjxV<)Q0bLVgYdzj6%)%q9LS#;xez^5u1^Z zE!g6pUEa8-PF%(%vxF`}*1Gb@`)ANMdh^KpW+DZoFn92`{{*|k_=v@d4FJ%y#pSa2 z13inLbECXqfmPE_G}9_z6G0XDP8>PR9W*)WH#?FkIiJsmRwQoN7qFuEa^gEud9S*L z>^tUpFb1b2FlC=zhBtBB9br{ol1WX(Nj70~JzDW@NAl)x_y;_tUN7=)H>bW_8GQrm z+X}9EZ6g0%LlmxtiAw@a2q+`G6RUENTDq=7kf&<`18{C(R9|`7BwzO;YC+r+;FPUS zGVDPWDTBu%ZE7Xqr;G3!D08%p&ET$@UeQNnd~AgO1ccN$f$ z7+i-7)wL6hBRLJ$1jhZ|JG7J+GXv^|eotQIv8p&iNv}f?iJl0$H<7kh+qdJq{sDfn>!*C851VA6zvkfrv^Vb2h#`pkWO{` zoqP6UnC)(ySJtg=qFa#^0Of3E!e{?PcAv(#p+Z~$3g2{>l=|;?m$|LzH`-?OouNJ} zWb&`x{aX+*OC!XbM&g2fP;im!H`se&)kcgvf9^w{Y5t&k$R?5x-663Af1s;K7W}i& zbWC0iyeQ7zD?UbQ3xBfu#YKM7&yB#>rydB-5Lc0SBu|A?fN^9Q;Ylvy-aU+eoVbQ| ztl>U4#9Er5n`kH!g?i~~7K6ZtG2|srdz1nQJe-c}{mqIKi*O-ttYa zO9&d}!i_%ZIIBoJI~HBQ_|r2S#Cithz&w*p^D?-3PNPr1V+fclt?-}A8QSMOv zeitPkAZ(3&hr52dsJsHTVzeJ|40<~o`QeWeDth}#FnWtar(Ok+bW0BjKuvaG?Ho`~ zTm#@Ydbif$M%%Ktg-DW3ue&v}3*ycuo@(%uLGqKR2b642MKwxu_|yRI<5$-C^w)!( zM<}nOq%*j$2sb9+V9bp7tZb;Xco0i56^Ou(Xnq!5Wk9 z0rMk8sG?1RuEO2!svT#w675bB^U&FbuBKUI+v!Jf22pjof6JEAghNGevGkGlD8?4h zhSaF1xQWv~JjT~2Wz9;fd1DLL88s&bPd@xg05BAtHJ?3j|D>MBc(wdVsM^C$l*Aav zoitRg0#b5k1M%^weu-Sfo%^iU-K_MYv0CmhUEgjn0qUB)oAqNoiL%dLL5Kknd^{&{ zkU}#WJ8qpqi1DWRx6psx2h4v#J|25o7`G2M_kE)ih!i0S?P&uek*p0Y;ScqqD_lt^ z!IGsDJEl)2x32RNf}Ems;#G#H28 z+7-18c;k7U((MIHU19BtlHKBvL}>L19ZIBf1F83}*U`_-oilcNU1``pRYvpYyb7x# zcziYS`y}!l?4qvRFU0z4#mIhi%gA`=kKRa}>|)f{s`N~)X@<}7hVd*tEi93X_N=YS z#@YtAihHXy-TCDmuATjmHIF@91Q9dzam9 zYj^&Mz@gV?4C&78r{O0Wj?Da5Q0;-BJb;(g_wx=jb~lN;j07eH-7CpKshpWc0?PIV z&&ytisfIYi%iU1Vi|8r%6VvMOEw7F)M4;`AEU@q8#lJeVD_Cb1*{mB3|0y3AqZLedUJU7$uR5qzB*H8w z^$t3de4Jgyw`ylWZnG|)wnB8dfjkE=n==|oa_M5X?|TB1N_W5hoP0W}+e(TpGT&7g zsFC%4lTV8BC6fslV6jpq*Sr3!&qFA>O z#$0=%=bRJz9fbG*^h3cs3agx5-Y`(Rl0ZayI8Te7KrR{DsL-TLmY66$BIgh_1Yw$6 zA{Y9Z?jxhUdgoPg*9fj{yfGYdJT`o3YZ40)h*;)pr;C}AdFGBVhWR(oIux`8`E2X> za@5pcpoyUylLWQT#{urxA^4JUf^T#nhP1|2v>AS@lF$WhI|Gp{hSddQL{TydeQgW< zYRNv(+m)5h&pa8dn<8y=0#uZ^w~XDB%0Mh($e!iVJ$lJ`*Rn%s?f zl-=`=cnFqg6Yb(Y6wMoUB#C_-%O|E`KDml;6G^DY3_!i@`_$lOhp6*^pB*)d{P+D4 zEv7->txT(yBlJ3q4>rStqS1#%rvcES#J3)KYr?+NAK|_wuo~W<{*W<`TcV9l2;r7F zZwkH>g9p0vvpZDs$*Ff3RIW#88uKel!MOb86x5S&n+}Hn(#4b`izOG{>m^AeyRu~X2G_AOlrfCLO1ui? zI+DaxqN1c{qK0SLW7?HD8w=~5K;ON}`}8qwwhM!enFd#HkX>)J$W6*cD4vz=Anj2F zo?PjucC~=#N?U1-j6N8-u?LpNbOM0kaVWkvvQ~M?6jvsD?L6_4UQTXIeYs$Ife7&y zmR%RLJJSQ|A?kM<*LvgR%;v3J9GzlDT5wG2>9!dsW?v>F(0aRg&%Uds2vlv5S51Lv+`;b<3@YX42 zWjJW?r1JLoxgz!;nvwR=>jL@7G5>^ac>DDaNY{v2Vj~N#HKSOYnSNDSvhH}z3ULzo zF|)^0esi5z^~!g8xy+MMv^~(#hL(5N{n!{d$B=rP5xpdLS*)&kwmP{1e0UZ@FH%ft z3enABy=naYgEKed_x0}M3N1}X)s3xwHv3SfHDtWWVF6#9@8-}OPki<>v-aJ`>2g!9 zEwwt;{=_x5VeM+ML3vU;&0+6GNT00AJO7G1p}F>K4Cl8$Ysq+fms*I^V3sdkHp z<{#HzzCH7?H6EC;{w?NC3D+$gRG^hzZ?$XG)vStH%L5Ay5g){TGC=>IUb+n5w;b4buW zXt+w%E^SC@0Y5}WHVJQ{-%KRzPgH~i@|4+kud;)iEX`b7pS@31(?EWt$AiI!nC<`7 z>G?#Ow)NQkllLvYBnn{qbx{;yqe9TchkhDBt@$=2KZQ|sDmGbUsEqM7T zr=hte+rz>BWvl>!rRs5RziksxeqtS$1>`&McQJ(gSY>m6o@)+%5i$hHY8?a~9f%Zv zsZv`5_a5&uuXQz7o#Z-ZH=k$PzxG+L{=3#OUokstYuX{+Z-{NqnL-{0z#mq;8N7*= z`sHkr57#^w+2ji6KHPV`_>P8OyS=PE(`V$q09FsD&R%r#{KHxz-*2v4lpxKy@69m~ z+&h)Bw<^E%&9yW93-X7KjyB-S`nm|9U@uN3pa8-o@bzS$?er zz74dHce|*zi22;{l>==223MyR)UzSyPmG{$F^cyR3CQ1zjoAX#chm(y!IL*+@E|uH z(iYGHXlZ(tz6(Ef+8cwUbofiXAvaC!?%j0oEnMZEyyv;S?mwMBhh9tF<=VVS8NT(e zzs0}PWr(Z2hwQq&Ja$f*y<5dKy)aGX_H>Q11^MGWv?499kJjY!FFQ7$z17TC1Jw_n z9N!O4ez6?@QxC#-*Pr68~hJ?MwcdTDo#2@YT7}gA}a%c zmLQv7QomN%=_=ZQpoehRl44>`;PiA zZI4f5MA2I^?*>QqTipcbxUx@G#Bw1h0>zxkm5@uA3F*dHA*M{nr(`D2{nAYUEq(TP zgdY;Ul;$xGU*)f@v^d(2llp`LwlJDoR)lT2K}j-shs7|#j$}WN?h&KZw=hz5NMv%~ z#ix(kf5{A8yK}v{ESlml3#4*~!T3>2v;e{cN^=sEIl)4zdL;t&wDDMQ;Tgw%sf`pD zso7ENuQGzqR^P&ow$)#ejz$RkfIm_Ga)k*jPi>`1{b%H=2m&isGZHG(V%#s9B_>ZO z+W%&wP0tZjtp<@gRIzaDG~IdBPAwYW1b(m2NWU71$Lk^mbXm=Mg>%`Z zvm=TLWKZ!L3i33Jd@#7|GT0$S-QZRbgAn@p*dw>lJJY9my(4V+DgaZq42^cGpe{OT zH(W2@ss@A!fe$HOV?Dlr$Op5_x6T1!WT2GdU5_}aG6vgK0P;qMV*Ovrmt&j@ysgfP z0bz0=sv=NntFvK17#`S?;#C^-kc#8P>gB|AwHWjehI4^-X{5d50CiE1<3#OM!+13b zeUXTBVQ|@;?4{qcs<~r`w4oFEKzSLI??p|!5#B4DKhS{#45i(W>(N); zF@)c6j(kwN6w}z5fWK&<-5|L(Nz9h`y4kQaQ&j6H*99DNLe!=C6k2+$)DIzR&C@0B z2#$_YJzE($8Y$z~jbQ+OoxHBy_+vaI*WjyN(vymI=!qSyjAaVu%JoR@B`Fi=L!F z#l`cjg7&Mm;!MPDrU|6*K|#|$9EMDN_Aop%KfQ#z8a9u3mGk`Ei_LxC`<)+2#)FaD zEd5(~gU;|cVRu(y2wl6nehTO3b*9o^5f(6-|s(?2QllC1T7X~=r7c3Y^J2F(hWwKzLg7XNf&dqApMB&zLWY< z)8$A`3Q@}&9eW;vIEyzg_q#dza5UHxb5)l&K~ zNY^HY_vXL2KWK{mZ%Fz?&E_w+oNY6HWul+&#93uOIR;fmg9%&JHSQsZ^L8$RstS z+qw#T5wFD|XI{LabMf*;(Bu{nbNmBbkr)B`*3wV+AK{GSeYbQ6lYUKbU9Gens8uE4^Q2o^nK>J8h(sN)Qx`=}kwRYi3dOHb zW&SFbN8Sc4rSfr^kI6Y1+#w8+>a<@BB0^Y&kG`{Z`h+3#tU|!0vMvojdqbP+E9~|h zdk|Y{LqbBP-+veqz^a*! zq4poblwV0+saZ?qQuuyktXHA%KCAxyIW4tfw!2X?<*m55;+CEDd$BR0-KkE25FBCQ z9s)w)e9!LgU;}QvMq^Iauc)3fir;sz1v=s!A5i$eu%v0St7Q2t+pwHMfL4F3ig(3W zCfQEIku~DKltQ6trs^LiYE1QpfOX`tfFOHITBn1d@dZN!VdHCRZ~I%&ze3pMaTDE? z5sUS#E;Yhu1TFy)%a!Hv+{%z)ObaB%5(+?6V_xi&zV<5 zW&vh07I|=l1guiBX%^sU+%2I@3wQ95HA(MrO5f*{0RElI{l4C9OVMTf?JSlS5%zG~ zdH1K~_$!ZCTOOVYYLo?0sa@Ry3_Z}e<4C!b$FRyb`?Cx&W?5}(U$lxsdK5fM$Zf2U z;i>A6{MdVeeiU@Z-(Q>bJ9bpn_C00V3YaU}Ittn+D+M$iBC}497WL$i%9?}VG*=Pf z=V@7YjwfL%JjwzK?C|%>V#{1+H0)Exsky$CuBEjdS`u)qrO`ozF6{-c3%DRjHKT08y@m89Fz=1D0ekd~v?l6A#PvY5z)7LV z4DLd=mGo1Wa79z8oOgln=)=02f0OX!GXuawuIZ=ehv@GLaAld$z4U^Mk0z?e;&CFg zT(WMqTFg4$0&=Mwuc~!&^&|u6K{{m#_xsRfS-z-f1=wAN*WA+}asy*RrT&u6{4_)m z)w1&nyX(TW${!RA>F+mds6NlLjuH>j zrdEfyojOi82SX3uxa4D_94$7c-7)I>x2ES zdDs!`m?p9a*Y_i`h*?)Hf_m)uXOwJWtv*1EX3cJ2ZK7hZ!lSQintgAoGdUIX@Hs&( zhB>a~jXTFC0ad$UaMkF-b*Rs;r#O1RAC^?@cIKMEwJm1PQOYLiII++TsRQ z*lTVFiRDPk8q)XimUSe{6VITYPm9BB%CbbEez{59eGgDexb9xP!>Sjr&0X+PsE7v- zvFOkA>8q63hj^Y|6r;5r&@Qd%bYBD4gIr5OC=pBIpHNdHHn>EQuzq%r-c|;xz=kRM zpU|ZQwTRzn>g(w!83Tkq)L@~#XRtDeadW8Jm3b7z@Vx=5-1CCdly(Z*0+YdzM`(Ic zc!iIP!*k|!BcZu>N9J3K4-4G=1pMb%mOsL?!aO_zA}#2|z z7W>7@q)a@W4WJrx2CF$OTt+ur7D~B9i#RKqHzUQJc3;z%fun7+MfjAgJ+;JLYS%)< z0$K2Kuj?ce*0=WSw<=lQl}Ga=#}D3^A< z5M(<1#dLVCFTR;$cZ*{;o@4jObeOv@UaCL7zc0SOKi)cwQV!}@_cfn+B0sfQ5ZN)$ zqiZ{EBC{M3Yxt#niM6s8lw*QA7g*%{C0AC zc~Ab~V6<5z%GDovr8syDK*|u5!h(2lXv#fn5=p&=&Iou-Ay2AV(G#JstySyI8;F^- zI_o6~X9YqU$ED^_`Q0NT2-A>>S(pVB=HT?Vi4)42ZLua*e)6jk%DR5p=-IBBP0~zw zp~zito=-}~d8^ngmj0516LyLpCc=xhOpc{2{ZF5LFC7-j#N!n-T?&2mjiSO=uCw1E zDohR^;}(6AkmS&bm^4eD+ojn%S}9Km9kI0e(a^V5F0x`8n=IlJjE@Du_3!!QSJ6+j zP6Y?05wFlbgf3sOTx^%(|MtgEc~g9KoIVhZCz*MaBye>gxR#M1DU@oON)u5?ZUT8z zjIOYUQ-Z=NjiHM+R%(2b8SI^)Liiw0K#Z(*jQabzKbj0$CO2V zLvh%o0cZr)D|^;X2FBqXq6Rwwtp#Ur-$}FQ+A&!_72v4EK%Zg8_irjpKugsA>_=VM zN1G&96%0KL3hccc6{(U4M;H@gsocHf*wqc3-l@aI$GCq2#mK==q^D8|bvm_>kV-eg zVqYq%sE!c#DQx_aT!HxdT)^#0Jcg>AT@@8MS(w_xD?Pai;)U&QBPQpFAy+HGK?-F4+TICIp%nLSHcwW1*ANc%Ga@GFED1U)V2a^gR` z(|I2+*P;XbQfyr&KSH7}-Kam2PB7}q=lj?RVuyaIc|H+WQW8C$dA{b_O;^-eraI`^ zptlgf&cc>BMo$C!jn`-J&u_L2(#C{-6#!>FlhST;Qk*a#0v6xbi&xrC`qdiNn>tG$v)p&}hc9`g==qHRhKN?Gh(~8E7g{XnTtnh8Kfw=w_{f~^ zA1SwlV^`aBm^E-ZIdCc_TIbM^vFNo-;rh!3hSWK_)Ejn~ z2$X#11Nkj;f&*5U(C0(uSHr9h5;ZQF5~FT#2~1yG&8$cPm{VCI(P>G9k9A<%XXeTa zQ+h&4kTDjP^C%hC~c%wh#w&lHHi>1XYAS0-TSQ0oBj53{FcWG>ljjT zMZzz+V9kcb(|lgs^`#}1M*GH(p9+y@9})X?SE8q(pDd!{3IaPgg;0XLMblnWeefDcMe`7c#IX)wwQ{(w?P!NJ_Sh5t# zOj6&m5lI*es+2N@R4QzrKO9S-Px#9#J9|~cKvncW)$f6-*nw;q5`NBF!=svk@`TYv zC~E|0G9P?BWm@H^9v}})@KjGLf<_{~M;LLwRXDeX^qc+DNABke46O4X#2fWW#miF_)AMqRu~(!j zN*mFtkn`LKrRID$LYZ-a8=*?sU=o=o0s?c{l5LM9k0pWUi{=nja`NgrW6#WP&)@j<&idoon|Cy&Kh5tDq zq2B$=6jNE;Cb^=Ue3%^4_*H67O*{QIg*a|WMA6NF)HmfHJW5nM-2zCc+P8Yts9OIe zLd|W!IP6u%{1phZi8|0fxX8OOy3<#dvgclRS>ifSetj!25AB32jKg51WM?2;N)%+F z2a@EN6FiU{(YPuM`DhsONkAMGQZ4W)j}m3=r#%p5?PtP}s{!EylO==wWAm03R|3kO zYr>j72S}&~f5C@Q^}5zml(OcF5o*2!OudhLXF8tD;aJjY54a3iWY6N_qVgew1?jGtox^-DDH;p{wQ{ zq0K?WmLTF1AoxgeXb?Z6NBI_aue~@N?4v|F7464P1RQ{3eL_Z4mK0AYgIby9mDzLv9Y1^M@ef zmLTGfLByYeh(8Arw+0b^2_kL_B5n^N{u)I5Er_@Sh(PP!8C3H3AmXkd;_k2^_XL&v zBZ#;!h`2w9cmRli&yK@hT>a2I9z%+QyrXMcd)w&3;$sl?Fq=0E{Ap`P*D=#Oj+x%x z);82T(l@WIqx;!yo$dMehX5%A{+!#ZUm?#%oEx|HiSn|StXaGIES248Bzfxhh;rsC zE^71q#?6Sc+WAK$Ri=r)L~e0vwidtXxq(r-jtfJM2gK0?>Pq(pAh>?0e~scJZavDF z$GH(n4tXvRbh3Fd1&`Wi4~}^svwt2Q=8xVCcJPIGj9%j^$6XC~ffF1O91yxi2L}WO z7Xl$gZyr;~Z!~!KR7Bu~AM=q70Xpul%9d1>lnrqxDO<7$1@fJs>C@Y1c6ZO{=;`X} znbAG1qo-r$toEK6GqE|*F(dn`)itp4rjn&%v5)?NcuoP4!QdmYggsL5X3N98H#U(N zyTILBw{G4WlyP*lt_DgpJ5jqR4~oZkRHho>C`xh3-!r{ z@_lkXKK1FmP+DVS;uEzu?Z*WhW6BL-u1EjddurZS#LjI^KmOoW{wh4{qmQQe&mYL^ zj+Z+p-3M1I{rI*{@`W_r+eBGcKn7nrNrd{^=fkQj!CV%K)OnTjcO?Iz>n2e#{I1cySMCGg8f7&;k?NlY9@F9{@dvKwhX2VFC;87$`5#O9c)QHt zW~cPCDPQV?e`j0p@0=7T`e-l9zciR%~eT04Gpog%}@V-#&2=#NFulxM}2LJ&7|9AnUS6gfpRTw@aP+H2Z+^@ADRtiN5 zBBd8Dv$M0iQ+6)Q%pxVmQ7KT+6bLp#f)YZIU`zys7~&6g8_{G-#>F^yDiWXCOLC<&iT%F{`)!Wi3df0A;hL%w|+^TsG*igCW5qX z1-g|pgH%>cH)^I6c)sfAJRYoWZ`-_XZQJUWigj(zEW$E=W*0Ecr?H+)7)k2O4Nb4F z2legvY6|!oZpr7_Iakwzl#%TN>6`-6CB2bqw&~cGZuz~7JGX$j{+7(D=NW0s>=Wlh z1)Q}vk4s*q*{N$-AY!Hd5?Ygt-3g+@Giulc)i%@@9eK2Zlv)W|21y)5+3o_&h8Y(2rpA z6#g7RZ>+LZlW9#)r_F^q zd=94uyy0mX-Bb&h`-?|u_O*+D3;|L~p?YbnPzva|KvdBC_dypqtE3cptmnIdpU7)i zEd~?*%Jug;s1swUv0Y+r;FGGaVpgoAb`OhsbXEfbO$?)k1-1jP11Gv9&SEPrVpNebK=io%ocNqbM}|X0`~U z@KVHK+WgG=i8^GMNDacGs`T_c@S>7x(seW1X~!>afURCV9Gxla28ZVl0Qt*W0Yk7?L6t zCK6I~i5NxicMn{?2Aov0&=e}GSF-3Ork9>8!0=fO27bnHbYK5u`{!`U1ZvPT?b^9e zWUjJdl~YEGYMP(@{Fxdgl++Ez$U7v0COCq`-c2kAd+?u!9Oh()TD zuqn?XM3NC#j#qOU$aHa3mnxU9yNb?gwq5%Qy2MpKT0D*;uG88IKjinP)802yMsx## zBl_{)%JJ_%22jco-FM-D@tWdFYDb8-43QZ_k-Iv zKiu^`lQ@T8`E=Lev!oepWP0hq*H`#Kd`N_cD>N79sd;SHb8`;HB>+php_Otj6k}Tc VWQ!uHfiemUBb{dAe*gdg|Npmpk*xp# diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index 0379a24d59abcb6233bc757997a29061bf38daad..53c2ad49c6ef069d6035c540fc32d95b479d39da 100755 GIT binary patch literal 18026 zcmZ^J1z21?*KG?eQXE=brf4a}-J!U-CJA-XK)G(?m9S^_xtW| z&;9SSbCQ*u9Lr92R&qAZt5>fo&|bg9E-&)+CDk-{cCm7>2e7g;u`sa$zPef2ngQ6@ z*#InD%&ct8Y%Hwo05x-GcPmqKfU>cv4S*G(CJWFqHvvePS-HMkS@0U+RBDYslOWWcz%0tH+9s*7o+WGsvcj%*JCQV8x%X*e0AT+{WV@ z!91gTlgT&ApP!cRA9g%aTqLv%);!i$pnlITLfPy5XQS-w;$yU3|*)(!k?VVpzoT>ah1Bzd~cBN{cC!WenlRPiZIwpg>TGI`W8 zy7^DqLso3S5ZITYmN+@;WEsU++s_N~=cO|{fS#zhRGK_l3B3)Qk%A?$owY4jGPE$7($hwCCBEgbDk4XMZ0A{?J#(m9@j*D zF?`v^_Mo~A0^G*SdnEdP+3Kdrmfnuv8#liR9;Nu$W6buT8?q+74ZRq;gtG}rvT2@o z$`1rZJAvOKegRwv$M12mJ!PRU4OeHHiPAr-7Z$~w(bJp^-*B+mGV4fh`%q4knLUsZ zL8yCDY0%_u9aFOm`qz@y_1QA?YQYJhFVkp)H+pRP+R5>*vF-HJ;X|hwM4e2$^81X; z)6qjW@7Nv`3$+rA<6%NXC$v4sapZCTv`m?7XWX65@M&~h1NDLQT+-dah$G@qNF1a2 z9rX}?T&B4Eo~8!$h=D}sWGSM!`8Q?)YDjCiQ2HanMk zO}aBFBB7n~rLV=oyi0a+(T!pRn7tIw%V9)Ad2x1TPv>!J=6*#oNYap)40>KU=SrCG z(AzlwxGou{Bz?*jb>|kC?9gmnlbPS#kfRLIDxl|M?UN{5yNq)k41Z{oZH#U?0oeYI?eZDif-IixfZe-@vKrq@`je%f z4P1k6Vr%0-yq9%C5lDT=;yEwh7A{Klarr*AFq$+2~19+{s*8B?pYOV zaU2Sg`%HR$?B2cbE?JxBaHWP>{uAkSu;e;=cdX<8dsSU&UL~v`;sz(JL7X031BmEf0iE*agCQ_~enEQ-vg9~nW)2oYMQi;q84NTb- z9nJj4ur9JZJsD6;0OrBILQrA;|3z5XSFco_P(>54Ov(dx^|1ZWavel38Nr=zCz}9w z_~L8-dm%f`WD`o>f7Luh%2oJgC3Dztbn-b>3HO3#iuKw5)?8p)CW!UKe?BlEh2oD-+}oD| ziQcuuFVvf?Sd>puX2wL(Zm-UoXll5{2`_}ew+!L>{-P7DF~i^cCYK;_L6_-&3b=GOA78F z1a}bpE4%?WEK{Qh{;DH-Z2jn#XV0nBdo_W77(T)t4}sQ)WJdSNDS_2McE)iCjG1} z(I4oU^cu%Vqd(9>TnPJY;etNLeJCpwl7k}Jsu8RAK z%pmtZAewZ(W?chdTn%JNdZaL~zl_Y+h;O6Acn&R+7J6~u04?L#8hGT%e2zr69dT$U}9exmISHC?( z4FwKUZMYSMGNADGy2SkEtJP^oUt!s zW6lbDypNEfwTBNuxPRJheE>+*+lgaEDC{_a80v(XzN?7k6aD}`U<&haLV+g-8Q@^z zT(wfU{?5u17ZlwU@iE5BJnb>=LhS~^gGsMXL@6o2T>F@C)Q4(hu*X*{aeYFwH#Jqr zC#X-`>Ak;Osncut;K2xkv( zHoQ|7>(!b+ng{-TjSHp;N6EEa`6&EQOo!4&O@R4W zZ!m)wggzWB_xXJ7gHvmdXOM6tSc&n$BM4MDh)g-A*t;Z`%hW2?^(Wf92oLir9dPdd zNzEfBkYc3m6-N{1Nf7DcH!PS$KV*JxT56Y*|=qFi^S&$k9YSJVVyc z`cSN8xIR&(_F^E-_b{DLO|xG!VUIGCUX#iN37`-q1hSn0QC=)r&R0sZlaJeIUf4Fb zhC`Iy(T-r0p6Ca_2+Jyi@kzKJ()oU63OJDB1nuWL;cIWes>=`0@z+E!G15!_)}H&| zIMrh<73RSgrg(Mw0f&hS_6w8~gE$h#a$VOGWPd33+l~$M9xtCWMxS}=wDPUbD`k&) zy5G@{@4$ZVz*m^PU84SiG(Ion?lQTzi&un68k>*d9b7S?psp0X>Ae#;n|eX1KRT9_ zVL^6Vg8vudJMl%!P8T7v@ptw`!7Qk9xnf`~T zg9Cf~uprpAoIyRS7MZ)q*o|UBhgYtYAVwl2oDjP|-T^)mor~RF@}qr#{YXBA58~N5 z9gZkH-xY!b$6UGafC6YgGJ`Yf%*bU%!@=VWVGR`PzH!3DJz=*8!=cf zin;xT=lqWyJPYHA;KaWDtL%V%74qfsD*;SIFv9ibBNkjU|7AXVXZKbmM6tfD5KKaO zlV|2OL>wpGlkzqcm0LYTH0bOLdbeBrQy*KzJ@J`mG}4A(T9soA;-i^o5KLMI1~m&J zy$N@02E^qyB`(&8JgF2-4leI|8nqzrC1u5fsy^*Ctr6URb4WILn+Owhf7= z6r28eBlWg>zUe9w>kE}-799-*97|wxAwJU704XEfyJ;=yz~_JX$Jnjg_1lZTFPGoX3QS$r%`{6bTIV4VDaf zf&ie!$T=IZ>IR@F=5IgwVZZy@Efh|%*oG~0vkrL|%8`J$e;jd2g|QXT%K!O6T1-%c zr#D9kpieSMK3~!9_>nR~2nE|djrw7iVct8*aXvi2ahLtD;oHUS8OjnB`cj|+wUL~p zZ1=Tv=n(-5rO~fZc=~9QwquwhAWQG}RvZIO%}EgYW#9zXS7DUL!EjfY1m8I&nO7`O zuKx97tzwXI{8a!+P)|qDBNq4(0}THTSdH}e9C(8tfBp&2E5{=5Tg=N_FrWX4zEKD` z5nui;+D`KN%ob}S)jj;1>_=qUs`!dI?V1A~JYA(~`z6WFz`&Uj_ zfz8OQba`tc^JL;6|6S&PkzA2W3~=Wk{U}%LJ;0QxAep-eVI#7!5B5J%Mg=GMT2*qL z_K(twxxptV2Gy>_6{SrGV`-H+xmT&75goxg1&Q<__C4w~MWju>aiw=_Ai zvV+RFToXbja3DzD$nE{osrJs0>{RDYAma?;pr57>*-8)LCamq#?mSu>dl_E`{x%tE z8_gDc`SqW2I)MM1R@Ii~Y4yWHbXfs)!rT9lCkFiAbufZCi6(7CGHJ_oJ~xu>B18se z2r73Nh!$<#M|}1O!Aeg3AlXDv;g+s668h?SQNs{S@tZR0S*@z;8zmi%(+Dm4M zK^UlzyBY$Xtg3uY#+RniWu!GeP*d3V)cmcbdI=3VmFkTTr@LnMItsd6dj63GDpCFz z0ebg4+&44j_RKs{spMdG^Gxa84Q{yN1Kyj?;d3kPXer=I)d)b*p$TF}dE78@K_4G} zBaM^&^)&I2&9(g&lq%Nkta6DaH%!cmW7`u+leDMG^*#v;{A!Cj1JhFw%- zsu4?Ar};r_9L+n_pqn%8Kj! z1x+GsEghHa?sA7|eqSU!FSu?nj324kxHRu?Yzsen%8<`;PGu-+#<{PA#2&u?>yo+T zFfQ5urNMJQu0h?(t$b^LwZQfITPO4Wa|Yzkl8HQ38TCW*`5Sa{W7z}ki0^Nswsn$5 z1Xwxv$Gt$FRcaF$(?@B%UolDITM}8Nkc5Sue@O>~vM!P_cqE@DXDjsN;6JR;D$WS%v>eBIewEU=h5CPV zLrZoy^0=<%Jj#mzIek0-#a}p=we*EQ+&u4%-U!h?r!4Ul{efyU`IWP3m9^4*m6zn_ z>-noXznc^Fqr2&L`<6pyWDw)Y9@5aVzNQ3_y6&M9)OW=&Ld5jE9Ir$Y9eg7tP{IO` zSoAZR&MT52iT0UK3xAecJZ(SXR0~_=#BIhf7_GD0rICI(NlY|qxWlA(s_5a@xZO1C-#|bpKInNn-E$iP5n_ zO|yv`8D2fIK>G1{Xc4KB&|FuUHi;ifDv{1ayH#+K6_T;cH>6%}?P0@fbsxzgNXGsN zrrJ?hD6i$N`t!mG?whx+CC?DLJqe5BC^h}5Tf+qF9lu@H+N#T`F@RXx7^b!auV6Jr zUqqL0?RtG9xoF(2Hpp)LBT!WCvu0njjXEjC;Ws^;==yy7dy=^_9hnd6Y?Pf}YW{G; zl;%4HW;=FJC7pr=S|77L62eOQ)~+Hr61(hj+n|cRu3c-#exrFlj$b?HODT-57Rj|B zTgHX6jJPU+rik_J)Xbo4hQinJ7nV+VN=T`#KAGm5>(SfWiU#Qni)A z8r>Aw72+7n5v;ipE^ui7S?~HYcl9#b5UHhW+uCsX9{-1_DfOB9(>z~qU}p5%QmX>- z_5hkHM=oQgvzo|?(;+`4$(Y}H2%T+;(rQSLfc>$J&12fJwx#Z!m|Pjew1$phF4Y6< zk+Em|iR01WbVHL{ehhK5-*T(^+`bPg;aK=L0M_6grV(m*-PMzjSg0xB;#m37+0ln@ z+JcH(sakD1o$6QYQra(+xT6m|JPLz0 zm&>oD$Vw}HZHA{$QMGLECz`GN+jwKB0^{FnZ*d8zP%nYGFISYBCWoc<8j|$Z&LxMP zdg4jGoZd+zU-ovfSva#Sz;|svO;C5&j!tr$4UZWY7SGb7qY+DLSH2h>f7?`R|H2+U z-C$Qgj*boEdxVgQH})S9Pgb}hAsLL+S2Tf3;l4?KO9$9mK9H(MmOZefeum57s@CUp zER`fG1r8`#Z_c&d2mD32wo)L-7Mi>?mpQa)B@p}qbJK{|tF8F>hhCpbVTVp(!w~ZN zJx+Uy$4upWXAY1G+@ecD*ZGj>_w8N%+<6YuzAC=*|B#y#?Y}n9NU?xe8qr|*Ns_zU z@M8631sDC0$0*UJnITK*GpuCk-UH+gNWEcR!nv6WcQ`C+z`_Y#Io8Z{FRVC&mg(FX zkY#uqANE-c>8q|-IqgCoHlUBpN;)jh$(4Dz!ej8FZk}UR?PeDfJdCa@itg*bKcZXz zJ()i3eEDm0x5G)VJUUjv=yVlAkxU+i=C^l}ipOa#bSBYa(%0K%?Mrb);p5H-XCO7U z)CLxK%|7A!jLYvD{u%0-tOgqLG^I68&BL$1x%@dxUZC+A4ZVZ+!b64hEof&ZHK*>} z|Ach=NIDNA?a^1Ogmv&zdTUfWr2qN*9vf04td-A-h&%BuRM2+{axSXn!#x&dv5llR zXK=q4sJeA;&-hpW%V4Y2tv$o-*oW}8XabGehNz(sA@1hK{!-EoUXWt0jx==}ZcY1OvPy3(4+p~LZNa#3Wd&-LOvbb1j=XB$iF(q`uG6PB?Y%8WT_D_EX7 z?Tg_xi@7 zTgkas8U3`T7l6|4iz;&4aDrw*)`uw25NxxQ_7QMs0|IZL67D0n@VMe;YQIwpZN?< zKU^D%e&)KFc@!JrT}G$OwV`(CJ*tw!v4UZEp{1SlnRf%LRi)H-D1zy&%a!QrK_Z^E z34=8SO1>}RncYRRjaod5%UD5Fe*`K;im<)ZGLMwOfT96m#}SNDY&Lg{F;I?dauHX} zL^8l?_Ie;{fnwybuP6qz8gTM4oZ07j;NYXsLgQ|E%TBZIQrT5T&vv>-jJPbC2`%=7 zhFy3-&9_$4lKUxVOwdZM5doXc(;(()VYZ@?xMwOdw~op*=vx?9_jXHT`12a+$#CaW z;sUQ{#hk+dUDJa!s5?|?EQG>E$L(#xfq7?JGg{B1yk>Lv`rBVORKRCHR9)$k5zqKo0%w`(xYqX0o#bh@W0OOM z^>DRW2q-Hj_RNwlAhAf9V4rWqL_RbNB+gjGcKR`G%`Hc>n-mR4x|3|%wpIZE*(c^u zpzF!U%92~^gS{~KMH{cW`IW}G3qy6TGNIn8w9V4JPb&{CH3L=5^&LC;b0zhxDb;-( z7pBphwrk!woLIxZueL413)%P7192`Oxg`vJ`l`1c&Q4QKplKtJE#`RYs^_2M*p_eV zNO?wui#1{=n{DZ5J7i~DYR{MY4uyCXN4^JC{m@_z!SWIEEVt0d;rF>Yp&N6I`5w(% zUEGRH_K*PK3RAv|>1xN#3;R`FlAm6UMS2ZrHg0f*skOuV{N*Fo{xX-gZ3^xJau{w>pK>er=5>5q zZV-CuKy)=g>IYN3UYVN^bo-0ajls7==6aVIAi*|`C5>yFf;YAc%-hRYcDHGVx4dLy zK1rNSl||X{WT{PM>yNDs?;DQ=nA;wHE;U)&AE6C8jPUj8Uqv-V+-Fn>6>&~rbF#P4 z$m}#X?784lY+N?>p5%f0sz&zR&^R(u4IsXo>g9wZp^>S`5cV~S4^3Lb+{Xk5e!RE( zqPh#9GOj|BTdmm#v~#7JMnNUL#!lnR3{xSuuF0e-2%X&n5fw$hZXB;K2ma>b^kQvO z4!;jqOHbzjvZV^$wc*_MqF1Q1tDll-grA;^cwt1s^`&&hC^re;=73_uNP`8H zg2%`JeOO(3YN1)d$HHdbNA*$DizWTMmgNc=N~2|6j~00DPw!O@8n)>RQzsXO4Q!wq zyeEs-^BXSlMel$&GhB&Z2hAuGbnifM;GOv)@jq9*|FQD@@9C2j{_h2DCvwPL)Yixq z7LIBmGu^WR{RWjj=>EjRx5Cbo>Uu#fSo+iFWqM=oqlVPVt#H(}CmnQy#N65+FY+7i z;GfMV>|)SUOJGgu1cv z`|;J`<&x5yV1c#=Gbo3Cd>32Vt&^h(vFpWqsDMsH)~eqhc3d+6X}nIXFa)1>ae0R{ zI%Duz(fzaQd{V1`f;cKk#TI=$n{pxIj@QPJhwTZ;2-z`@ve6Rv;pz2%=S`-dQ<9}0j%))UKq`cWrY%CM`Op9> z&9_H-w$|Hu3pzIFK+`!)=y99h+N)-*S>%Rwny7MS^P{fq;yPWMWTW!tz@eZu9UE3~ zoVizcp>WJ{Of#P17&tJ)G4*Rs+=hT}%IPfLTLb@iTLepL*kD$C#2VRoX^F99vQngT zP5U9hVoj9;a7e?lrAb})8YepX#Izwvrziy1iiqSV1~1U;l!^blG{QQg#v%k7cDEpGCbDjx!zlb4bFKf>0#5mB&tQb33t!&a5?X2?fE(x}X9u;HlBX{ytNBZe5&_8~sp8qj0s?IJX zL01jwF(aMrwtY*TGyCk!Wt_M6m%qU2M|P*wzVT`$7l@dY1I5+{{tIg-7jE?p?56vN zRhJmSnC&gc}H zD2ks9_}_UyhA1gS!Bm;HtPE>MmW9}uuS$Kh>hO81hCDpKDGk&W(RTUbd9DZTU9$X9 zR3wp zdyq?jwU6iQdPcoqF=`+FZbc$*?LerP?6^RCHePBHetw6-#{L#}2}g;)W zZ9bjpPFfnM&;oP6_5djx?uv}u9{3P^Y(D;FfX~B}iXsto6tb6bduX*CH;U(Zx5}8f^3GestfG2Bjt$ zU!f;+0pxKof05@W=Tc6q6aTY}nI8YFppIY0J$x?ly71f1l>#;FlqSkhhGthWT0W58 zz44nj^uD0-q+{dKt=2Mq343*K>M8*N@HNe%DEkgUju8X7N!xcqmlnY#2BjpL40orBxq1GXxwg%sHqsq-oYs%GyjErG?I9r8PRE|y1jOpvDP(}S(#w{mp-8iq%N zF#=Ru4pRkYl>4SK#s%!f`D=Mpwiz!tc=H>Y^rVG5K0A!5(i>y0ngKacE>OoUCO- zCcI%ZOC=LS$|h<(0!pWRa9U4#G%0V>v;H|<{}-sOAebw=To%6%qt7>#p?JVlXvH37 zY{~7199^+I#=Gn3%bXR1KEFmsbsbsV<)ntnK1er|a2- zVd34-$yi|(^~3MS+06VjUx-Tge9uhEZ+k(jjbou;FAaVzZ?6noWPeCf_4fepOR13lBi))q_iAsh#)sJa*PM&T#*_nqPQ z>*eoNuTZloCVwb;Hq=BR&lE`yYt9@$U>MSFBWL^aHwai}cZ{pbs-JLl8EwiZ{cd*8 zGi)Mn$Y~#_)MeUPv*nz<(Nk)M9OzzFD-$p>xkVABk-z!&ti|*e{a%q2M(-7(R^;mh zcd8(w()ZxOTpuztX*NVt48e`hAgK4*opivR*n%l*`d8I93!cF7c8Ax?>Ntf@;!^@WE7*k1{9HAcKK zn+*{KRol%Gtd&pMVl2oWdi^Bq2HTQN#Ew-zBy^J$pt2J|sC#<~_pXb+F#Tr6)V3Q- zJEm3(>@8wTo5Y5ayDB*&9g1WJzSk~&nw&UNdni{9)ea3bAi zdvv4h)*u_trd)`rH}*;UuLg%}h+VtD7LnnV35!?J`vJi7HiN*Cb6KXamaD<@Y{DX) zJii{H(5K6?T0g_AU!f-WX=@%uwkpzZCd6pDA&%!1dv z<1JA-^G)4&6_{gr(~}#$PU9VxORK<9mo}YxufON*G`>t-WN$qfL_ffT9mU3fEZ*{5&{{UPG-7P2+D=32h+vydg{Nt{k*R3KtjDEJT_8e?1)R%ia{D-<$6Jz-$( z>9xucvd?UN(O#V7`)ypTOokv4&1}FMul_WUQ;_qy`j;cxV&uJ@b%TwjPFq{@tzA+( z$CEg)f(+07Fs}~dv1NN|M3=DjV@5Bw$?m)*0({WbKM-^|ecrS{F(|f}pDUxZX3ZA1 zy|QJY_LVV7whzNRqu0>RiB8fhy<2Mvp~gtGa1Tos_gc;P!q6o%tCGWE7Pr#vD8{`@ zea|(5%VSW^AQ$0_7<(mjIHkjZB@z4Jp*Ii0k?YCiZLeMN$kokS((fEu}G zz>{N_Xxx!+@U0@voNf3hD_XWw*{q5_Gp&8}FM4&*e_sIncl?Bp_V*BdmF&^U`1zW* zaJncp(_mLIKb`*IKw)X@^#+{>A93rwKu$3KTy>yD$3V{p(AlEh{}cq@crxCQ`*7!w zVcIPm`8PX&2~F%zRfmk&+u0#1ZP9=ILwdHsSEh@e8KR1?ay#G6_OK|6m)eJ^JgAf@ zl9&7gWBI)D|6i!`KvsLsfcEKsl?RP~?%DaLb{{s$O(+xgPpyX&?QhymYDhERo+2LF4O8ic!7jOzg#tZwpr|%N6gcU$s@cp7Y2 zMB9Fn+b>(b+?ndktRspkE|-b_>>cKA!_cCzib`(X)6q1f^CD2dAg6 z@^|D$rvAJO<=MP!hu!z5$`Z&(9tohi~mU1xvDf?mp>&V8{8)zPcD15 zgZA^(ioBkLoGH6~>DTF&t7UBJ^wh`P=%8d#@%NaZ@xdY^f2#}Z#bB1h5H#Z(&?xo( z(`X2u`Kn|c{xXcq5ML<9otVzZH*NJEwJmD#H)ERu^qjggJ*@5d4pAZ1ay{p5ZprZA zCq=$W%%ZN2NQd9RJ4W>Lb@`&sXHe%Ql{pJzVAVE ze&r1O_4B>91$0Gv5BDR`muQ(VTQlqnHUd%87I%pqoX2x!H|0RKi=2hHiTy5j$Z|X$ zuAF5l=?3gsYExfB3zw$L^FnzYD#~Z}?Cp<8NSnC1=Q{Zj*XJ?RcD={8mrtK?qYhIv z?=eRvuIwwZS`8bYXw`RuW04}L22H>CUlf{e3MeJ3{fU#i(b?+VXya~tzryuV5N}QR zocKN~{*CSSMp!`cW3ETqE~(MgRG0<#tqJ=m*9{Lj!(VHKrJrpG zLPu|l$)b|ut+xtNCz9ce%nHF#vpKHh#t)-)F>|0OM#=L8?&BFp1tM#_yM&@NG#8QC zju26aZr+WrHuhgSHCT&mi7H4t$UPJ>a<6hW+5rA!ywWqKHYYf`cXMnG`V2cP6F--Z zeZLqAs^Wh+Ql;8%H&zQ3)OU&EFTmm%?A*-OsTCAb?Ao#ysd5(Bd`-W61ANv>Bd;(y zAI~*B(Z3IK@KCVa==)@S%|*f3aW0!KZn)Zc=v;G% zVqN_^`O8K8#CT%u=`VNIwBtgrID(Z;??gext+{>H`X?&65abpN;6;S1y*IE7GrAC} zFVO2D8^U~%FWOa@gUU6D{|Gd|cIs5->54Rx zE2gcX(1YZ&aMQ6=vNV-ChA3-=&jgu&{=9I| zgIvk;+9t=}YKVzhUO#~cPTJERjW;q(2@8q%1f&CMSZ=d-H+=`1m2dmurh^XPYv|!7 zEG_0U8G~dE%}S(4A$9#_2j>zlPbog5lhx1>v%{Swmb%L&=0#@eGQ<>}aLSytsB@|MZY-222ecN*5*IG#GCxocr^?>I=&zD$fgtieS30jQX zCc~&u4IXK{%Kv_0J>`u|aJ*k1dBAv`o0Aa$T7uZ7Lj&;(b>$+X^UcyV;&&mjega!& zUgz3t$#gJ_4d=l*(#y<_UBtq+ak!S{wg%R zHECJQr#0wwOS7kC$zj%rgn>BG?&$9PMIm1P|?Ma3)+6%|N4OGJm8(M>fd5D z-#Zx{T`QYExYoAzRvgenLA=peuNy}8*~xO_a`@UgmB^*tRQR1hOK*< zX3F6bUG9Vd!HxF?xocencc_u9XrcwiZSf`Bz~(h+XgJ;QNP;U&Uw zkl2Gon(9Qvh4nD_{WrIv|8O7rcM8gUJ2RB$P+f6X z|6i1C^NZu5Mgth6U+^p*e9=`2E3_DRo%g|I^pCeTD+Gba`ihNS&-WSMv)$Nt5N;%= zA!hCm%6cnHyB3Gg@HBOIBel1t7k+iuUj^M+EFAG;VgwqlJWHK}5-JbE#;dk&Rny3^ z1hdQ9vYuKvV7Iz=(5V=>3-rY|O0|_7E7Pd-U}yMVHKX!GuC+7aso+NVGac}*$Q-D! z)0K|j)ee#xNIv(aC*Sg;KQfApHiF*(4X`#k`$AeDifYf?fG1DS!Cby4T$)#E_&3kr zUi&fKK2K=QN}9j^U&Q~U4`B`HzWsa_UFz2s+nH>yarN)t`8TF$#cPUcU6~;&xj_D- z=##_~g|Cn9X?I8UH>Rrp0vuOMR{KrUGY&;1|EgIC?V{*uaxy3yngO^}TUU6ad;-{Us!T^cYroRBkmjTquEOUU-)tb~0v9R&L z;T6Y9Qrna6ty+)CjeB%}ddH|2qha`a5V~9CMdAgo<=3Q2@AqSH!+|hEHfXV!da1f> zD?6MPURl48c5*zXT}F|rXwM|OlgXg6NhN+SYY1EWsw0Qx@pGMoKZRjSS|n9de+R#; zZURgtIo$e;Yf%LS+gIr14E4!7=S-2S==VoiZdvCNT_X|O=>2f%xqj6ddhSd1$@pM7`7 zbc8JRQdY@R2kARXr|vgzi=+Rf$pb^_tXpFS+RUG$FM%|}J4;2LN{C-D?F zouKb+ju?Akz2#XA1W6aZsZiO*dT%j3))wIk*~TRRQh0Rb`Y{|Dx(tna+;B5{3`3to z3N3s}5HpO=B`YWDQ@dR5^Tx$Rwq zt2UP;`T2wKb{>61ed+Rtry5V=cYMI4@U1~#r?e-xi4~e@;F_)W<-as(|%qI8VdMZi^wyfoY^u0L_j7?P6Gixsjj3bH(I>8a)AubYPGGmGpO*SyysRKgCs&^PCM5VJv zP+{8uP3i{tqIHE>CBFo^$6WdLSdr{>O+IGA$*K_Jm^)(2cQ~6$VOx=>R`7#3GN#Sh z#1-U9T>LUV42&11@bl&IS(Exrm&j;DEk3kG7l{VBxASGf=5f z7}wIs4n{j;$kd!nI!r9N8#`Lw)kLfSQ38uaa72q1s;q$TJSi*)I*th`{CmbP0^fh& zAQOZO)XnATMj&4mbEj1morv1?U}+yjw^kikP>Nk_EkC;w@Of;Mo14t@?>B8=-ecM+ z!ujpY#^q2s@2zFGC@v>$J-COnY`-M0EM?)gX4dQnpdP|tT|B`y7uy!J3o{gg` zn5UfP-N-={Wp@2#;LptElDNDITV}R56k^}*c|ag~oSN=A9?(rf&-{9qg}ZkKPX~iQ z?Dj@$d^fe`5=Yt!5}Q}3^=x=o5c`w|YxD!RiL1ap(_yRlwQ#F0=LT=D;+&NGBh6rK zyZ1(#@booZ%iG-&()hJRUGBp!V(|r;iz^&xi zR(G71a76O0*w}MJ#XKpUR5#0ILn?#qLRJv8Wbhe7QcZ zb;h!IR!5862-7LWg0<`GK<<^nE?2P2T?gijbwFz9t-yL24lljw-a%4_SKb~lZ(+`@ zyr-{;gS)F@4!BE*zZkmxezGo=gMNI8EWry-g`W4e)sv2&9z)&&g)rt)3Ef zMt@J;#X~EZtFr5+r|7S#p42VqckS%4wUd@x{tisnn(%1aw0GB)=O?~6;vE(ln>llK zC{M3;>?3RY*oQXaU0D|mXRj}EpT9k9w%*-o4Y%fPjHu%2TJ~nYiu!xtP>HQ0^W$&n zC$>EMVD0f`d7*BN#n zvLCH)1NN*h-7eesQZrZY;$xgkME+HA*ZjUI`IU9?{(a^loX`gRVqoh|x%2?XVP9E; zU()%z{s#ntTZ&&^1_ZJ9faN@74Is?F0^L>#C0D96m|iahHZfnWd$^u+HM3i!Cs@J1 zS-ZV6fkU`h;E#Pe3-}~zo$G6WO=QQJLV;j4Km0(V!1ky_*Q|E^M z4zviPtt!7SMWlXi2z$??=*R0n11$zR^jFix`0{$qvxxR8GVA_i)_s59^Z*rozmmU7 z59;MxOkf|jI09|>`!f1vemKM#^ZBew55PT(#C*el#ed1sx08UR;7fbuWpzq#FVEe~ zg>xKR64Vfn-+u2u@GO-7|0@`40&KmR9R=o^etf)0A?ioyQh|*g>Sl^MIg?an-laZH z0#){XcX{J}G&*ak_yeOZUylXM4?ezkiy*w~3+PPsR15 z8`n49$+|hs_43*q%P#I)aq?28IagAjKtr?X>`7}LK3Ts+);0EATEm6%!q2X!&E0*L ze!b^-SSm2=lGIDnDF&NDCYQcG-SsfXDsb=KFV8(i|F7P3bwhb!X=eLJ&y6?u+7j8< zH*Y8pdo_o>*@>1i$bUahsP5JyTnQb}3zWd*0^!=Q*jtdg1Q-J=lf6C7m@1z+i;wf}dhFM` OGQ<0YIq=+<|Nj9gCJ7G! literal 17733 zcmZ^}1yo#1voM;300{(lC%6;b1`F=N2^uW84z5Y?;DfunyF+kyGPt|TAT!wfob#>w z{`=m&Z|z!LRbAb^_o}YmRbAa!uU@^XKz;pE0$-lbFIdam8EEBTPszc>_KA&y^0TXz ztr;aJ7boQ>UUm*ncFs>6T$JkO&Tdww=9FKIO>HPSDAnaDwarZ^rOd2cUV65`{u%Do zt1F3r(JRU~|G`pH|G^%wPco2o@MIotvw+nECuq#PC%-y2Uz6M}n4TL1tXkSK4B&g8 zo1C*Qkc2K^_ODfc!}yI@^U8SwGTvcv`_?4Pvy0C&?$M&k20G5=dzO)rVK68>HimwE zY>d^I@o95x#v%S$@>f`p1aSZ*y0EZqzKkxZ7ysisnYDaQQse4aq`udp#Yq_c*qhgm z!t66ezX>uG@3Ark4LzJ}o_-VpYW;dg zUrG946!E|$83ix7s032_LskL9E1BW$NTrFBqf1Js85R2IDbFd(sdJUS<2f7-lAwK% zM~@|C5s-Dx-g!0r3}Q4B>P#Y~6_BpY-_aOm1c}P=;vkUTN5|Ys4)1aL%qUD^ig8{;-UD*e* z(-_I$CO-Mnp2`l-gH%DjX03cPe#|iC+NuZ&#$#WWUJBXUxOR3}h9X>YiPo&skMog$ zNaU+?%m<95TTCmAgmm`a5QT@vdKvkL%z7CG?}#09A`#^!=KQC;dV1N3xXp9gbJ=H_ z?IL;QxOS>-3wiIB`h9u2*ryKWQ$)_y&%;t6ZSzMpEB3fs6syVDTPCZj#P-7dxE0D? zK6!gkI_q|mym#7;D^aK9jCSxO=$72-JfZz#FM$F%XfuS?V#LwkiXx$%v6nzDGiH-3 z-&c1@9F)W9lVcY8A&c!ae5RAeQz4i=rk$;~NKQyYh2B%fxiq4S{xmCJSgN)e;ICr- z_=)IQap~CHC$K(C;WlZLJ^xAVD#0v&$7a|aBvSjRW91(c5mzspYAzVid}->(CBGzB z2)b#LFN_~!*{+lQo-js7L^nGA@iZOOLIYITi*<<~V@^@w>@s^j{0mf5`-lOW262F- z%v()B6Sb@T1qPpI#DfQieI_^`Q|d#8JqU;lEHx7 z>bM)*MYs}x2mT=tw{*MjQF%jo-hhp(#aA9`$OF(!lo6Tbw@9hcZ8*zkT}&!oksSW> z>H#FMlPoe7xg?;c`WC6i4e|eh0NP2p%%paPP}fbQO)zScap=Mjgx~jdy!{9C zF-$6vIR*ogg}Uc@el{1*zt1(G>=CO+m2CU}D}==A5hR~7f;AL~y0!9)zlrjHA$e$>?2WhoukeGj z@{x2Ky>AKSL)@iK-Jhs9UNBWPhfzRsmH3!HWhKFsQK1oYi?nmY4%2V~2#1zl)?`gu zKu7P#cEKsDfUJ0;m$0I}~O8PAfVNi*NK2lx<=peLXO8PmL(tv}jRYgKw*v$nUb6$*yEYyW^CWl2| zn{oicyg69+Db%7u)+)fm&5P9zb5dG$2I+DP!DRe5=a(~*O-G}*0W>uOzRbPifYBfC z{ONnUIjBe_`A5V&J)V)mQA`X2DCUJjo$kc=$ABAN!>6F+5X>mgcYT1jCgg88&VRJ_ zaseJa++N1~pd8az$9_@(@<0lTwD|H#1A80s7FjNWWI<_#^2g6D7Eq5*$wn z4t;t%?T2}a{8Ctnt*$2dT_YGL6Lf!^8F)gzl^yoxB!80R&wLUGv;{P){3Ilxyhk4DzeBvU%bhm1G;a5BeH`7J=r8#wkk@a27{-7PnzIyb9_B5&DM?!VsLE z#nMGFw=sMuNkbcdw)T1k!V_t(1JRzu4iIy@DDT4o%_3rBZIpX*n8zwA;`%a_dk*>i z{I8vajah%YqI#$-5mHoNDkH5hg}KvJKha*=Bk-<${b9)$>W;plK1=}ekon=LPoM2( z80a74Xo)bP=Wi8oC+R(+?#*!ovPe;atpoKzS2g{2j^|jFk zni{@dmos?|ii9AUJR@GBzwdiQ-MWA6wE832UA!DwEJ73$f)slBo;91EbOHwqYMr%f z=aL{?6aLA7agA$V9@<9bsd<%30}crt^ujbZCfEI#t_Q@A3`c&yDERU1v|GkSZ$)CCP|Yrb z_JV<=h+|N-_IqUegca&A80lv6r1;qW2J7aO`|3y0$0=mnkIia<;z?S$GTB|Ry(+)$C^a?w|fSN=r9KIuSnqRWPm*&_C1(0SLK0zA4r zl>=EZp9iRfL%EBQU0>#t{xNx;?H!!ETkxfh->6#wRIKh!ICzm~pdCoD|Ci?KXR(62 zEV3w==$mi14V7)@1s~pv=EQ}IfkiZrj%7h@j9W!0V4OqomC*;eHEh3y%${HhSa$`; zqsm2QVHq)2+xM|3-Dh9)t0b_1ee$$^}mIw3nvsd#ts8v{X zK}Aw99v&%+9E|!<;^;vi?)onDhNmZUls~V&=9!%CN*^D6jtu<%!nEU!NyeKkKjf|T zY_XL)F&ViP__ytK7qQ1QgatWFbLn?YhI1v5jQUFvaSKv z(larYQG{&Q=Y>;jz4xav=Mn%a|MYtCg(-X*RiuH8ug!Z@A0*qR=>XB2={a4F)j34B zzs0yR7Px*{yvDSV)n})iU=Q`g>RWmVBPjZwNZaW=4X%P|_L%xiD_=R;y@UYYlFdXm zLn8MvG54`p=))kP0vN|=`pcB0XY`Ztb_r=;n5MDfqFwG@7+BrA|z0Vtfd44I@K8O%&q(D9M z(}O%3=d}CrwaMCBj?8XPkWOCDKq`(R6@>LA1kinF#1z(mbV3ViF6uR83G=46U0MD^ z1VW{CIv3;LbHfDkhPL4K`Mfs~dFxA)Lu6Y{Ew&;mevOlwoke+stjZpGFE)qpvdV9M z6z``v>a1^P)GDt~WOKM6I#+n_8#@G%HM-zk>7_R|GccP}~jq+mD+WR-${*5&|hE^!Qi8G0|@mNT~#L zoMU#xhF^o85C>61e6r65-iwY%3%pwebyFv z=K`8~89~eF2COTdco^~fN_;mQ@e|fpmn&}?WBR-ZdBGX70axPj7`Z-}yVUGku>_Yx z;T}6ukKEHqm%mN}(Ug`@-7wE`-u2!i_uV7)d2>0&OpoM%bhti}x>B)^95O7(@mq%b zTu`^yZFpgUr5x*rkd+hk85T4bLZkp5U!1FU?B$H)%AeZ8T#z<{!)wQ>NP+BoqL@I0 zP#Z!PTGBl;|5)_Evkl_mzaajmcY`gjo$STZNyc_Vk+CqS$mh0m5Ns_mK6_&>1x%59 z+o0t>#Va-M1+=1#sdpJADF=ApK*rQNvi7sP5rl58NCB1$NmSvxP86KYM&!|*PlE18 zX+>65@P*rH2Eq96+61{hnvkQyKymE zzCd7)A2(5nl#V2)ts0KHx+g-x>U>oDOeFy?uc^)Zo<7WBuBFe?>;ve|tS5Z_eyrPk zSQIJtxth;eED{P{%GYS)T7yM+P%q!d{zER`))@AXOwmdGnUpiBmK5iX#fEhN-)=+r zD%#V7a9#p(qciwOsb`QhGE;Evi*c!V+R1epWVT?-=eONsR@7tMXO4C*w>Ax46DN-L zMd-~RV3uI~8~N7-Kh!qXD_&_~ZQ(=b*F2wBL8WVqh%b_#@US;JZS={Lvr$;^Puu) z&@R^NyXPRj?5g>F_yu*b*9>kRoWd<1@6;FX{Ff3z)f3eD*Ynk@=OK^7E!qc(wH>OP z2l!_J|6;XR!Yb6u1jKf_J_V5sFX(>gd<>K`KzYmsD&Om1C#)|ScQ!vusd(Nrp+R*L z$*|v~$l8-9+1#;VBmPr#S4^1y`YtM$3EWki)Ajc6b_{wQU~6;ohv=0DTd&K*UGird zv6joxhYkQd-osb%P_oU*NXp1{03!gd5F(n?P!Cb+v~E{Lp^tiGQgHJ?U@$7j1AAT++YNgr0JkJj{Aj*=A%Vm z3H^B07uWpfD!5Us7A?%1@k;?DwiJE)`&8kH=!1WyIa$-5dzqj^M-60iW&w0Pty=9I zrF+}7Z9<&koZs?f?==6^GMrrZani6Y(%LqxZUxX9#YWD)16Lhje;cuK*Uh~~Jxwvf z<(}Wfm?vSSd%*RRK(`WdjVWVD>V0KNzP?;oeee0CLag4R$t?rx;K#F+H|nhIG7`~_ zd#HE?|7f+B>gV-$(BHabps?Gb38sC%wD5ERvXimLus_H=FUpYdTORB5U2dDqsGGs} z`#b$tc==)}(Ph=|!a=|N*TZc%y`59n_=Cj~m&^*6YkB9SbG3_o?XuqDRKAovoV*3B zNis!4fmRBM7;G4qF6cE7@kEEmxvlw7x4Ovw7o^m1il#mLuEqN5`aspG}@)U+H=sXEeg_#-42R!WzPNr>=;uyEb zH$OxPj<>)UGirLv5~JUF@!p}_&ChCljRUh*k1OZ_dS>tZ%)B@z#TC@Knxl`qXMhOA zSqIy#9b5Vp{^W>l+N=Ji)2ba2lTO;`?CMX*rjPDqBS%_Y_i=As`zGr?HWrtdZ;k5u zUiQL^Md=Z>z5ir&cA|Eyn=lc?qh5P{boPhw6^<$)O@VP8S}rPh z;K^?A#n$30_%ll2?a=P+EfmYGGkG4~wWymFDVmz79kHEx>_SYWr1?Kc| zx6DhOW@R6Jjzk(DB!nXVB$9ZWeqd^6M^_O)E+htwaa>v{s2l7Miwi))MX zw4cHxt>?0;%bc#(?5w^cL%+`)PE{-qeUB+Pc`hm{gVw9a%}cSr=RV|gzpuQj?iT-h z|3JG{M%KBX=6kDm+#)hiUtoZ3jnAz=s&JLOa2{+09s8xba9!6~`0UG8dui6gy=t>o z!OK(Uaok83?Z&RFD>^a6LzV6aD zs$F&-*iy{6(#ggXm0G??6P?Pv?h;esOW`rIs!=kdWdGfBBdd4t;I=y8EAx4}zqdN$ z?8sZ#^`l^~XzI0}A9Kd{-MDIbDLdQy1 zyRM^REW5c*lY~{c8=39HC)_lG?WV$BJ9RyZW5SA?;@^5Hr?Kg)RSY+awP3Aa!#n>T zZl3Sj9gaR>AFa)_TR-hs*xR^&Vdgtu!ME4*rS|-DEJVt&h2@>(-H-aaWwr(TV|xJ& zaIYmArZ%Q#ztlxTo4WPgMs(?m_pG;i_ugc)^*;T8!RYF^2)US`$$mZ_M zg1PA4bLnyo!TA^Le*po@ElOB^>J~1}BrEUZsqFlRa{+F|jogx*{Z?iUn>f4tbg z{wgiu6QsXlt_!e4wuZGDwpgXn`UaWp|#$&#H0SF0DGM9%p%2Q zVw`QXKxPl7z^9iwab4e5^AN06q`vxV=_W9uczZmj0Km6O-1ZB{WZNFy-=+RM9IH~| z^f-+DlO`@C7%C{sIbXU~Br_FBb$jAMi!HvR)&`P({8~fv-kjJ^rc4NOFmvr<`-#1M zI>CrQx1V{0xYOe-bDl-sNr{tqx={dc;OiHMQnkYmSj^5=yIE|h!&)j+wS2l{9qNU& z>t8n;VL36-tShvncAgc0yXNuwjb{Om-ejnV{aV~;^_>$pyFDlYyFl0>%=_!iryFJ6 z?cbD9s1TIgL@5@14|&h+R$AEj(*Rwr#a)#(al_=|O8e0>u3hFY3iHa=uHl98o0!u_ zS4(r5w&>>`K9aLIU<35Gd2(6KVzu%>KvFqyQiZ|<_5<=g?F>ywD9zsx-^6zLzEx`? z)p@sXzn==tpjX%Oc4j3R(i4^4S!pa$c1H2#S5GDXOb{JLat6iHeH3(^ydZ6y)9q|p zlDSA8!PlYzx+O16QSpr6tDL4j@qS;NgZ5BkCS5lfl8Wr)C9uD|L1MuF#s9^Q5+l8? zb4K}*ZR`FzYm}kmg#MAKQvUk+p`06VBKT*a(b^BPB4c$p^~=cCu41MZ z*T4#nAmEC!K{9GkB9S8&CA_|(n+`qBC$)!bHx{%HT<#U=LgH;){`{tXsl^faZpGbG&BUTA;3a!uBZ?;KPEM~3 z*im6UbP*X?c@u;k?+cYehqM|m9nRNwu%@Q+UT4^}H+qG%I4(OxTrP8oSouSS4v2K0 zWirlE>ewgE_0KiIE7oIGM*jQ<*E1(OSExz8DG5Dyg<;xBuIYL_vri^fUK;>y4N?Qo z-v+gRJ~l!SNH*b5ZlP5Z=4)o;LRF|Kb%rLE9UjwEz`L{6NJwi2=iOKRHJv_>88`B2 zBvS2hya*n@B@;ux#&^Ced^V{P0TjvF8pGl?B-TbXHAo{}tb71Y2PiMth=S{#o59tP zPkC7Yhr+4$Uhh*xqDg}~{bwRm5!{?@E-Ez6GPEqFZCl6cmMKUD;C%JV%wW~_?L5U9 z@BQS+Z#U2MZxdo)&5`N3>{}Ct7O2*dxk8aLe7tActskrnr-;}2pP>w*uuPcC%+`_* zf#~h^m++QHv&)>cuebPPL7@*CIwPw%Tk;JZ;)90epDN3&X9lE>^PZh2u)El}h0_F- zE{G})j19wWA<>5Oj_dv9gWCGX*$qw|Q}WTsdI@ZHs77@RSy}^UQdTF1bc%&PY|5&%87L_y+t9O@;iXtAQEl&6)<9i*viz4(Ar%9M zA~|}Go-z^9*kSwy-iBV@J8o|V*fE@1D~fyt*;sw!)_xYwW%erDq*+e$5#M3~nb42* zG1d=-C$~oChb@z+2FBaXbQymiE=Hg~&V6B?)Tm&+DdimpOGl5Dj6L+`1y-!H_e7m2 z=^E%!&V_Xb!QIS+*fJcs3938>n|oR;^owHdC?ZX5K2u;tJ^$Jo4Hwn?G?}hGqz_rU z@_1muIr^CC@MvTN(EHoz!MI4Em*D?%Uwc)=;H!*6y?I!n0cXGsG0a8q60t2g$!Rt& zzaesWvOoelaI?0z5vNNn*78zfEv%D3h@-teyWv4%fd~1SKK*8h3>2oXloE+NtMzFRrjjd2 zt4NUmJJCtjx@)o4wwq6vQMA)*72s=3eK-@a;J7}={_^RY;ci46RmA*diJ%j1>bL2u zzNWlB+-mD=p3W!k%O&^9MXkyP%`L>rrvrGm&b*Db#{c!$|Lmy#n*$ePyqHvb<-OkL z*Brf8)@}GTB@a;>hR5@dke7m+7G;|u=_*sPH@+OP4I-vmY zpAf*I>W5wq7aJLV@a4CnYYi3&6k-ud+VQT77}KPk905D>tELKwn#iTYWgWYaYKQ(j z?C-N}{Je$h?eAEtT!NU39CJFbEE*nkmxjq0e24JYTzV5Sg(oD&2`V>ggi)p^7Px#&n#nRq z^gxO8;akr&v4H!`pmSSUDep3kjk2mF;KE{gFiMkQ4IB*6{hDIk@wdJ+ogXU!Q%`YE zU+FW2JiNzdkHeiQDWR}}XavpLoiaLi((Z099Z!7*Vu(J+L};{%({-e7!RSpUWF9{M zW;bi*YXyAm(ylY4JBA)QIqTaje(vtA|#F z+LpEu8B}eW9vAoVrv>hiGM0!pbodW9ZpGxhD;D%Me6{r; z79_##E~5_t=Afi7=}*(mVSt`J@5jTJlmk=9vH1&bQE~2r$vjE$DC?id{0(*Msov)=|YPQ z08b;M9WUBh)x07;fq;_w3es7xW5OzueQ~$K8lUpNT+ePp{FmWjgRtj1=9LX=KGq6{ z&HhHGta$-OG*+!(uS)ctKWw`UmqA}XmM^dX{pb^Sbz3<^g5(|tjZs=1Lq`*e?aNf| z0OvlxgvjJRTaeDG3RqBIg5RN+J_S28iu6A4^3BBgg3utDo70`s?IQjLrF@$x?&uGH zau`|Dnd9OT{XE<+MO}6_-4|L_P6t9y>bDP_^eB+n+Iy?)*Y^%dLaZ4F(U!{>KLwuw z20hslvm0^dXJ>W^_|3)i*F5kgIVp-&NJQg=TOIEBUdG#d^Q7> zOENu>=-(w+Z=hBE`6{Y1xQ#cdNjQ*ROONMU=7~~VbnUy=U9@Lek~U zAkD$sOXkQkz9~dq;nHMbkEpfB_}Y1mVsx?|pIMYKR(8&l{e2>&WhWjRJkq~@!e{Sv zTp3_l4I@+Gp(`>@$pnVjQq&(~%^Pi^f{&e7Q>9i~6tGYjle6$u*2kmhZB%QtC7`we zdoG1u^pzaB9Op}42Bz-JFa#4ksgN==4H%~b%f{btEiaa-$APQrp|@Pbt+tcvS;Ea6c@ca$5C+ZXwUzG~4Gxw` z#_rC}%343jD^_i6BL(GHc+JeU}qWv5P)H4>6dp` zx$D}*JUlC_wefWsqcRGEt6N9-;fYO8D%uUjj9Cgf##^oY$6~81NwXm841RkF4qZW54t}(s#-v){@52m{n2L%{>p8~fKL8TQbMhx}~D##8E+oqA{N84SM5ILW!)loyp z!z=>Tj`~En*=;cIJk=6YKQR8GkLD@7xSxb3EeSIouOYTqYX~Zd>X`;2`!YYRVscKl zPgL{^_Re~Mwf6qZYg$dr6lhGklkFNfhce$R=k%Wn)z7y0C0)${s>u2~ZIoM-NjHeh ziV{{KC#d`Jdb+9)_1gmO35~eRF1&ZlJD-*6RPq&rRO+mtHPItOf7?k~ST6SxEy69$ z0hU*hqfzda+-6Wi!)sIBqiXlK#Qm?)0TY>g8CD*rc(`r*uag8gXSKAxB{;dX-HKFp zjfK;JGscsuVzlrFzQTcVhki%f>43R_Bl;Rc(?kX23~D|k^~RZAsN995Jl~mjMY-Ky zwYCL}A*F({`fd8VaI1N|y_$#3nw0}3iF-#&(pAiD2Dr{KG$e7#e}CK!sm-SjHdlVQ zZcdp+9 z?`%`jN7g*M=UQ0l)66;sbtc%RMlxUkgj0hPxpgyP3r6*mR4u8Cg3q=iesPrzDaDKI zb&r2sb3>+)qIcUZG}4vMuL`PwO{O^fu$yh&R$jK8^v=H$x}8l0T5fqsuiLK;yvO~U zU$WeRppa&mJ&tc1E2?k``M_Tafm!2_n74B%Ab-rF<$W!Gg@PsfjrUPoF#1De@>*dI z*WzBE=O?8JS5MR|bhq4nhw!WH7VtyrBxX(1`X;MN7^^H}hx}AH#%(=tS+1g8Q02Gk zTFf%OaK&n8EO-B1Wr6qos7B+EE-xLs(hd4{0q*n=O;Nqbh9HA}6SnZ7NVI&q$hgpP z&{bb1yJgEPPUiv*-p$cf;vnt&&8>l6K*1h<=Ys-0O66+Oa>UI}tf=qQ-e}xT#ou$U zjt_rDYQh_Z{k!!52v8?m0b>3x7I!1>a;M|>Srt;cE1yZ8#QZlJG%J)|~&S zW3xth{Eio*?0pPpUW6O?T_uxQ+o&veWDL9CxK;vKVl0F^dLr@LH&^mTXJT@+1w7U` z{F?mUqK#R~e9Tk1`gLS7LB5=_{OIu$9Bxy#N#)R4zsE4oqLqMdC^)kAy3++vN)}nc z-!tRw{avD^A&9|In|{DGwz7h+LthvKtRz?bimrEe8ltUW0y(}%tV%90kf^rN49k;u zM}SI_Ye&9Q;G@>p8#HrtZzv(l)dMb3o*WWT|d}ikI zUl5uAKLI2pR*bGatsyo>c5KtwC8GZZ?EiBIki_t#gX_L4$*1MBf9%-xE=^XHu)pEs zXav`4xHH$TSDj6lr~QZxK^w{aowqbIhV5?o-?YGJ0b*g-_M9FKgiUzgqHil9(S6s# zU|@y};>*-3Vtkk=DU#}BIuq1W)h$lUrqsPLeZbp{!UQ8 zwECBs`=8{!1)#S?i|N18jsNY_fBJ{NvEHB7eo1sf4wxm?WC0*$~Wp9f}JW3#~Dw&2rVkUgzQo9_eKr&^GTEXZ^6*Iic;geW+KX^Q3U;B>k5Yz zZHmUK_TRM~P~<|d}prQ8YOH~#vt z9GxGZo!58>;Nnl!ddP*ZEbiQ2$%j(fTtxx*>W9bQbI;#ZTQlg`@A#YL%I<*vZ07Qc zBtmT4c6i8N)H8dx*Ubu;A!V_!Lc6602Aj*Gnh2kJj`DV{9HZ(S67+=&zOe~XK5m4f z^~R(#^yXpIH+dd`^O6(rBVJhB-F9RHZ|(7A%Nzs|0zvCcf^vQ0Z*Cnij_$T;lsB=u zZ`f15rb`^D%_kh$K1bbO33b*qeLP=ki8EAc8{MotUno(;@_KOlO?#Nu6I|j)(9+*P z0XwL@^I?k><^fEFpj#+QwuB4JhO=q6m=hg0eX~V_Mq1d1A=UegeU2LQN}n&9a0nmQ zsbZj)Pneu4b7u0|a|~^oXONPSPMm&Tf4q@#wOt2TtL@UGcxuGyx%mw&@Aq3?`l&Ft zkdk0mJ{kGr@@H?6Aq-$W5-MbSf~fu1y+5!jyZs;`!11+C4!>VflEAUZr;C_>PkUQy zafntwX<92tt$n|PcQ#}{&6}dSrlVgR!a32iJamq{bwn3Y4F-!e|6sF}i9UbBVqSVC~7WolhksXg5BOuGA;<|~IDd}5a~u{DJu{y1M& zde}a3_bP~a3I+r_aP>P3E;uWE;Mk&0NwHdCQ3&?Z>fKs%jpOFuJlbD@6e^SR0-;!H82z?%*XYmn{HAeEndOq zLwER+rYoXXoDk;s$g&sqmsaMg6hw`49kA9lb2Qp&Om1|;Vp>+En=73vI=yM0zNX)g z@8>z})9Kb;^ieZ)mXv-emOhisOK7bkMSeEKBDZXjxh`&#4(dfuU9=MEl#! z*5iz18C9FAb5K~WWA$XOJx(hbv(GI*Ken;)bGf>oGC zR1GS&FI=i9lgvp#(<3Qd9t-Jyjh7EpH{$yPd|X6Jg2bXuXg8$Ide@@g#PYe;j_170bpz`HT1kpFSn;6&NV* z>UQjYK371=r#?uyD|Us}ss^dPuY`PE zc{VbNpL{>XTeu&ZDT&}$D&JO=w2_FF8F-o4eaiSSHzA9~cv`$y`T$^5&=PbQlf+08 zP~FJw;~q2(*j3&as&BMqY(1IPYjYsg-5NC@Y5j6j!bfB?PQ8ZgA^tO;yTIIeg0wMu zg@pKEsG5-N#3M8d$)ND-B*9gLW>||y$07G-P0;EGiDu383}cNEGF?w?31#P;nq5or znM44~jn}DH@j`Q>!mK?t)K!tRN|6%}dn%dj`&q+8K%t&BVMuFVs<$hdRahrnHcbyz zML**kn#)c%8zg)bU)g9*?6L%p22QCgin8L8J@qCU+8ruMbM_&9XfZkt{U)0!t?IHg z(YHYme0S870%6Tte7(F#C=d|b-&vq^3IP`V$=qowrRz_y?5*m1+PB+n9o_2mgKiSlPyB=JxDJf%G3DD}=ZauU zt7|_vZTao4Ly-u%(K_29Y%bN%BG=pIQNN9xTSx`RkL9{wj7+eyUbX&uE!+Uavezyn_X>Bz2$?{m>rOJ_mV$8D!`SD%cHJhgUn4Lu?Z{G*KV}eF|pj%(#kaqdc zdkOC!@b$h2+p%UR6--aOHp`>;*!_o%M# zZ#`RjJT?yA9q&?}*l^Fy!g=i;IpJr|{t^_=XT)W%ORm&``Ue{LE1BF;G!mAw2OWWw%Gxedbnk^cV6_sVK^Wfb}eDLuKR zz6frXt&W;z|I<|czXVI7+eFF@YX9HV`afEK)We0|cW_w;On2?3m-+qYL$|Em zrx|v{7p%RNCH^nlBdbXgF^5F`FQfK9$%CxR4@J5q|J%$yv!WEENgFxQbs6SYF(}fi z{+sak$3diPWIezJP5iVL!0cZcm(3hq2W}O=b7M`Dv@yOa#?^3t7Gm>1LGa#JlGGz8 z%rzLygp@c6T^*1Nwoso~&4RS``MI(B|Av_Y6YA@`%xI!3#9aKJkSDNWf*T`IH=ia^ zKr#a)CtTS8v^IhvhR4fo-TEh&cPjT2l6$MehZ@1BCmG2HXR$^e)*9G?E|u7LnV7=_ z%qcMCmcIIOS7opInGkPD=*4*0>-eK(i<6B7N#?Stj#FK4qjM_jnt>h?H@*6cD<^Ge zy#dzM@!4R=D%W&w&tlQ(}3IhkIr_i2=zsq6Umb$tKy zKGoeBO$4eySb<{9YS(?k$CDXJn<_e94ok9)-ux^euSUM#PKxiWH|lK_BVK=seSRD> zVr{{om`9iDsX0g}^29Bivgf`reTbx%CKEQy2%{M{>M(!L`e?~cF{0_oR&{X9q$kOJ z*3VtRw)4|yvaKk9Yq)R7c*#MIeQGZvd5Baaqf<}dN4*dBZhg;`}B2pb|v4XzRPwVw>ee@ zteX~_Jx|Yw`j#s+`!9w3u*{aBxJpUqB3?X3nXc27_I2Ca>oF;!iSdSpygxT-KBa#Z z!M!fa$?e=^#}>Jbkri_DwsnRft&vm2)F!s3P&FALR^wvz*Ir+(1oYMrflaBthf`1* zm!=bLJ=mnd{lZl`scM+Di;aQ<@MbIiZclyh;)`FZK$o;lg=E#~m9^nR^K$va4Sxn; zQIL<@mwZrfb~)DA^7T@KE35Y|dnG-LCj7>CVm6o8$}U{lVeTk09e4~h4Pqz^L0hm7@EeGrYpu>0;* z$mPo|`uw(1R$leB8?O3YK*hw*Mbz0t5d(oMIW1O$ODfT+S?VZ2e;48ABf)rA#E7)* zrbb>lP`zOl+0*84Q{o?*7PO%+v~**jvUP9P^HxMEy^7xK{hWQrD&8&yI zwHCgjH9@)X+f|oka3O6_oYNLjj#*PZ?k>ysy&y>H%qTk7!jNwy3g%BNjdO3 zMPfyICc$WTBP$es&PPFZu^#$hw=-8-ZOwYe(G}R6R(ok+Pk%6W@V!LS6Iqx}k-4?6K{iZ`)GW-!_;`F`WnrdoW z+u*Bg_1`<{wstNZ`f<`|ci%^Ok3FPe)NfW^3yN%KYSAryIrVwpTSKkKf4o_*n_lT% zo3VpEl_M-AdOlv^+kRj?X!Jm^T{{AUR{zxClM4h#CHF>_e7_0NP3f{5JTYD@nM$b8 z|NCVE?2v^cg+?@r>|A&sH8(~$;+4@#C+$$U6rE?cPrn{5H$<&OV`TXyu4gf=Zc5gf zlXU7xFO#}uG!rJ*aM@4qm%Zj71lgWpl$$4dTEL0>sc&%)Oii)Bt{hWmr4W4JUKT$k zy*8Xn-*;cnzoGEwB(L_;Td^BRyRvNz>K%Z!B;=8=I+2fu$D!r%Oo!mqBU~0#rVKfl z(yu8O;M8xsMcd*E^8I*#X%(Q3R(R!1HuI|Op355uX71-=$bTu?kzsW;XqxICptYKS z;Kwg5{EW-ADLS;UiMYsia6N8Qv(KX-NK1)qy~dbd$~_KsUaqo{U#9E70v!&D{zmoM zR79IxZ8%&n)eQv7qT{d{)}*6;D3fq2n+JA%W}9ohdF2&rLg8*{^_R^Lwq8{!F@Ie3 z&A=fZE3=u$F0{389E144lG8>shiAn3R3JV5x555V5Q2#H-Lzq*Ouw6X z=XtwKPQ)y-lGn*II zxQ(nz@3ty@_Oa=y(Rw#`4ZCf~v4&jln&bCnn3E69_*>S~iSo1-vnY9&17!vZluz_i zLVg(+{&zi}@xD)hN9?)4vtYOFL>)ml-La>;)uE081T;aXaEXiw;Ll@PMv?8L5dJ4w z*eTGj?W6Fi?Del@ZsQ4###c&g_@xQ{+r?D_!mpL=Zj4uoiW3u*k%d(6`4;O<060~% zTkCh_O1>mTiZS?}u`)%54v(BzZsQ_}C^B^5na=j<0BZmTWXfFC_MMzWZ%1zf=YBrd z#kCAay!rH-U?fKQ{x2k##hG+FPQAlkG-^q7L3WJnd>cT<2C%RZLEmvOK$q>Go=D7Z+B~r6ys{f812eM1rFo_&Vy?w6G+}y)|E-4 z21O+2StYfaBlSKYv%^}3Ta|s%%4rIG{0*7coigLVE2L|S{IH?grB<_nGjiQz_j`5S zRMpARxHFl)J}+9v?{oZicDU1iI91G=hNJE!^U}A#(rnoFlHC@pKop$iUkgUsUeGk@3r;58M;4;Nf2oWFGTj{oJm^JT5C$4oDJ@bT8RCySRI zEm&u}F>2N+@#Yjl$v}lrpP-fW|VUz1_&FVN+ z^<=qKsC?$f>i(|jLXUn-T6_E^m-swU=lHB*&p!rF!?7;OXtWP+Tz}ts@xFvF&*yQ5 z?t(N;yF~-Qt-;z8D|y{^3H|3;#p`zM^Aa-&AUCUd;;n=w=Wl`OmW>U)rVv)I+pjwe zKy@Fl{`mYSt2s~)*q~e^oqUyTvHg3iU{!5wL#q3mnSkxo@HwsP#b0W31%rlEf&GK~ zF3{F$`y!xC+8@s^xRsD%>kqLQ?1o=!)`DpXZlK^-Z`D`aAZPt*5(MIjb?qVX2ERf% zp9W+1@&7ia`2FQ>wFiMqLAPr;gWJ%5az&s%HUN47zmFF{oZ!FoWij)LeF-A*z4qtV z?@L$$M0HAU&+pzBauVCH_k;IK8g3-vA_lzdY1a^rLZOP21N zkFS2^!qUw4kDeQE@UV>aF-4y$^F*MOdXsL@t0(UmowvRbh^M7mK zSgGNdlwIF+UM9lfjbicNE?zPBJs+KpJrHR3-hRx8effTnQ-4sH0RR91007WE000000071U00000004PE00000004La?0svD zWJy+Dwe7XN&1-jhSsn&+VRm+UV5+(@vz~+2B0DRqJ9p|enN{7>tWlAbb*nm=tjyYc zbWe@Pi&?NhNQgg{!4{G&`-gvkEDQN1>>m~ql4TIcNC;tL$$t-w@ekW9US5V}{C)Ab zaqhj5nYX&CvLEDCS7+R~Cn8RqbK=B_6R!_G_~3&-!QYqgH-qQewV9XjQ|g?YHe2<6 zv(pZ$;c2Ja|8t0atNeDEK=Qfv6?pq&r2ZrP{lCQDAL9KF%-`?hmwznjiywUOz4-ZF zl=gK|NcDw?o?N*#mzs9P_JLd z|KEWezZ}0C;c>kcY<2q0Mu^wcbM1Hj3@dqh)@>d?><2eXuLZf))!b};K352et$x_8 z2c=FI6<0L4{-87H27_K0bdEfqU+o2lVXt}I4*H!=tAF=;(CQpF8^O__-5>>f!S#Ck zFzECjhTWjoIqE;Icf){D2AsiWzZVoYcXoo)dbi&Opw8oZl*#KVMZJ9%bO!y?K|eTZ zw!&U;)a{($3u2D~6c8MBy5Vt$M7(~p*>1E3hYTNvk3fmwwA(rE)=w0TJHVxRGB8Y5 znZ4%9xPM;n^*W7ay&oP1hn>dYBy9J!I3QiKjZ#kZE2|Q|d)f_qz1CUK8ytL3*ysn> z`yGW12zk;|h&MXz!=|Q&!PJm>N8=Q10KqmWe7!a}=ry{{Qzd-G<8BzT@YgNfH^Ex4 zCJfdMd0k2Y3@kOh>!+u!W}|-43IqJA66!9`h<`$_2iG4r`wu}{fSojTU0+8X>iyu% zGQSCOBV&@e*FlD-14xJ#itCcJnsu7yz2KzY9vsyh{XrMBJUFxUAk&eHin?xSLE1tN z>H}cXZN5j^H+%hV^I*{LbbE6_u+s|bD6ktIK|&0TuZt>1Ra1x_4Z2F^4#R%E+3L+* zSAXrmwvqK#=W$RQoSf9VXQ>8)h%dw6H8hi%-$PU7-_w5qLc~g`sjtM(E_4RP`%Zmu z9QM?g#DCZR1|pztsB)se1kCjH#isy;o;{To`mj&2@d^yPoF@@}`__%B+FH#)~UHva#$mtgdMb^zmW z(Az($p9ZtD-LQVR-!mFzUs-UUg1tUF`?%Zehp8_|M}an%gV}}&UAGw?HT%OdM1Qg; z$+6x$x#S#S;ioI0h(wNm3?Vga=)p?+WKcV6!}LK|4bRYHx87=nEx0El7mcr6s<#V^_=Pjjn14|@d=f!_ z)5ho;u8DQ!&8VVF8%7NdMbF<^I}E;U7zWj_r*YV$8n(J-7#h@37pBWJgUs#($H2mP z2KJk+=6l*P1y4)4-Ea2KBqP_*qTm$_-w4*}$Wd+~IG4Ijc+%+q3HpyaL3?m=5O#ZT z1ohzlq7w)`u8Bim3kMyNz<;|UshAD~aS+Cr2^HB)z;Mr+NFQW4K zFxTE`*k~TXRfr6MX;5!<+TmQVD+<&|(C61{9xDIi(DM78K8Bc2TsHhs&@9B5J}7wd zW))T>4QfqvA2#6^?KU2s&1t60%&0a=Lq-nFvWAuGI4iJ(b0R?}P=5{1x-i(RHy*+o z>d_Zm+uYG0?tf??txErgR;91u)vJ!S;deZ-G6ct=%QX z5wOw2A&a)p=V0E?efFexEG)U3`0B;CmbUE9Q387*P=*Scq}0Z()qsddJY{j0p87hCh*N7yk7t1%x@}PWpXVV3 zCwh09?b&Ti+Hv?m+43~o*Ae}lZ`|TEcJG$%VQ)1~TZgywJgRn_nGbWP&Guh#)cFX4 z(deLtiA~Jr4k<+$GuG>q^_RB8uW7sCtBJhULu-1nmX-)6kAH`hr+V%Q&CWT32yGd& zXFOyV_6)p>Nqgq&U3G_9Fxm1X9r!?&Ipl@uwBJ<=7F2BFKc%dp-oJwj7XH&1gKgaC z{5DHX>9ZJ1QeUSL&w~0(b8X6(DPQy=2ikVeihMx9Uq|FhQaA4l{i#_-M4R`_l#CwxdfptR2AH(lRgI_1a=h&c&a zs;8f#vok(0h;<9omuFxt(dF_-ixQhEt&mEa3-n-{4u7-W=q0*Fk4v)oWqqF}hMvw! zETz|`)SeP-oWM+5)wETO)hbgVIj4}gzLB#B@Yam!96!O%k&dZx&Go$BrXe}B^N)6BhN;VD_BWXbMIoJz}7TK+Uhi|f2N(NMXy9o(+B-wD)g;gDHEMqh9a z)|JYoN<}Q+-l;d<;Vy>6kDOJu<;U_HJ;}ISl7C7JoA{Ap&ZH{&{-bX@V@&no?;>r6D%_ zR5YfdF%=DGV@%t_v^`AQ!?Zn|&oMOB8dI$?)f!W+G1VGgf0a}YQ&lOcF)Tc#(lE-% z_$ienHHL+?gN>`pc@BVEK^NHL1vfhNLv^HuKCBl`yWP^Za65iKl4F3*=x=UTwsr%o-ziK9GEBusp-8kC5f?#U5hwnrYLD=bmBEt_ec^(fG;kKi-uvI?` zN)K_mnmr0ba9qoa{{JofJSDN67W+(SkAEo}rfd+y#;G`5zBp)AOXG(}3Y2i{Ww(Qq zlwrWsTf_F(skK4e<0=h|WcV5Zen)U`3gE{7k`_}!X}UN`{7VR$BfUQvY{O{o2J3a) zUol!LxwU%q35GstR4LB&!QuH$2=W+mgX>+~b^%27ktw5beH&Y$(_3VT#uxCXzJE+# zwECD5WGWQXwlHlAJl0_<2U8kMDziWaq!( zop87i3O5Asy2vU{tmSowV@{&wHAOrM@3%dFzo8Gdo8FN+y(2ZiUZ3e5si`|sugzex zm$MDuf@Wk-pzH+DS)0o8A}Hc6PL-@7NO@=Y^Rsy+<(=l(;zt6 zxKX?v=#IMFQukF4o0%Mbfl6c~QG_P>LJ>B9Bt3VMqB^sWxgKovB#}Br*n67orQn}H zjB9g9XM6-vVLm0C8+Z?e(D5Rl{bV!ytgT;28Awqd1`eU zjzOZfRuTBemT#(V+cd`@Mdj+B2(-zOYYDnTJXdw@%T@8RM*+&ZE` z=JAs&%+1f`g4=^;>oCX{^1=Mltz71-=}gbOXp`VPF|;7q<=KWVnkbaK9L7T*T;?p@!V zqTi|C$~&9+h56mw{CsIq#}YSOZB3(Z6NeYH4D~o@UTSWw?o z%i83k*nYkDj*g-rrY<7Q$bH%wxGvZ%L>FR0R@~zvb6p0rv+LYNG>cA&49R4?BSGa* zr{{69#kG6;TYu%Gb4Z>;`^ZA(O-#&uQ+u>^2VksW~W$slf{(R*MGOGyE-wm;!+>|rkY83*c_bb z_mNre?QZWg%ko-OL~S39%(gKyL)|ISd=JV6H<0T*vmwv+UStW?a&3FBS}KEP#VW9O zh@Fe}%&)Y)xl`Eyy=#>_TgBbIYI(o1rt{!Y?C*T^5Ja%-hAttYnz!E8Dk=TW{{)E0rqS zIz3rdm@C}VZxUXHse(+0anWq@x+GFk2^1S*M1Q~i-E!&fR^_d|vW8Lu6^R$?db{4L zw;Q2p)DlM-rWK6b_e}uH&Uh7C@KwPIdd!XV2Kj=K zchQz5Lu8mWt?d?f%h37gtG3GfU{$GHt3^th%t>D=lnpno-&Q?uxmKy|$Fa=i3;2pB z1D1aoV7dpV0zv1uR;}DCZ|Pp0d8>?6<;U_?)}AH>Y{Ee+6xIkUTyE7*c@h@v#(z-f z%@Ji0K2UYkR<}c=yMrsEvAgb21JIJ%3G43!_sm#l6At9=nf~}Pezeh7+SyaN1~*|T z^ZMn}C^KVE(h~LE{Ht@KW}KgYga1r#MwUmdoE=hOJ{N4>9tKVbz3D9lv8rQqhDL@| zhRZ9y3|BNb$6qk(!jpqm*iGeW`hSuXlKIuVFHh5F@cJv#{w-`g?2}%!-W5^a^ORxC z)pQxli@q`pIIT{_lO)c0qduji$gpF9h4jkNN0G0&q&m3U>Gj*uu!siwc1?&Jtyo0F z#$s$cJ61N-P2z~aGg>^@-@I3EhqH~9gM*`$dU#|g$|COL_VUi-Uhwt~Gk?;`0w$7v zVT*1qtr($7O37vA>k*dA$~uG0Tvk!aD96z8tk>*eI90QALuv{q>+l@otmPMacuq;x zO3&j!(mmCJ5k5+%28}#Ks{db^*TQ)t`kwM6J>j64B}UDwr%R#GZw*cktcu|*oqop# z={^onM2`TieWGuk*J;>$nSUlm>DsKn+dLVZP_uU)+pa;tM7}NMf!A5E!?9fpwq76V zShh!~bwdEv3!A(hjOaRj8Ix*y7Uke9h$^eIr^=_#e2D1@Ej>as4-)!Kz#$0t2U zW_-suS%CHvq_M4Uhsnx z--5AP1ay8l^in$SoFAG^(X>^O_55()0utbH)pa2XVvKG*l7EaRuc{;>%?(5t616dB z4RLT#Bu;+bRF_#AzX<`x zIEVxkWPy*2#lJ<^pi`Dqwtm7BNZV^+3vNr4sS@{2kVyE@_54emBE z)af=Jo&{SGj_fkDSe8f-osb>VMk%m*#l*6ArT6-_n}5~e&9=O~U8J)krFbk9!t6G* zQ?OAourDE{EgiKbKGP;^5j)S-L0Y+n@-jTVk zs;K)BVY9P$F*ouegopi9egVFD{P&uQG3+u@CE5a|#+o)FnmOb!<8l-KnH*S3jxjP~ zbvd}Xx_>Mn3WzA!2=+0Ub(k05V|bDek2~FW@PkLWAoVr$ymU9a@w&MBLL09%WTtaV zGbF>vxh2YvXR>A-`Pc$xW~gYDZwVH2snZREPn-Td*bPtA(c^<|s34`4@6@?UZ>-`m z=~a_6%FboLf!t23-cT!eg7Og-e)R*hXbc)q7k{lfQtL)lCWn1tPtWB_uo=&tmi+G98MrEwwITtr4&5|Q;AeA2Bzl7hJnUCWSmVVGX z;iPDzb8^~j4NDoz=1n9lx9jk91*df^-fUqSJMw+0CEG*2R>7fOc$g}Ul4)lGWSFvP z%3_*2*1UAXQwnIG2;eK87aMT5zCAcP!s-E?C{}jtg@SI14&eyHssfFVPRBXB%C;J{=|jYKLGgs*Q0#*$eL0ABDkphTTq7g)pf1^&t?t0Dq0A z) zF{;I0ZUF$zQY~-BfE;U6TEP)CosnMC4v>?nV>mX)Z3o3v47ah>-fqf;A8RlxQqFKCM1L{u71#LHT!2V_L?dV z(WOJ8)$|GcNI|U?J`So_yPy+#k*9`t5ai!L?0jX|?-9W62V*b7a0rMJbIYlb$mwaD!VZ! z&XM1@`tqw{6tAX6&WYTsBD10#RO^+txJ%|VY&F}MbO9iqSj}QD0ls>OXsn@gbTS;R zxWOgZ2}d0Jax81p(W(C3c7N$eh$sFQiJ3VEr^Ion29%;D!>{18l1!5%Vp`$=s?oU= z@Oiz{ZD@4@-2mlMeAH>e(+Q{IDb5Xo@{bJ8`}Hn~JXZgG8FGz$vIbh|w`a>)y=aV7 z8gbTrF^C-6G->nr!{cmH7rKG?r{Sz#bk1s$l$~CuO(Z=F>DZ`^>VHGMy0g8(DU41y z5_()+H#<0mI}3sq+$?RpxmT;25qPY@e8Od+?^x2y?$LjQ{ov+W`QCnMquTbRqy{jB z%al-lyk0%jmYK?7yX;HP8ElijvQ@z%jP0$mxdjSe@Wk+>)dtBwY90@G0~8u!ukjGW zBn7DsX4~PRvgq<^5PxjDijrlZ9|^mN=j8UFP5ujy810H;&t5)t*lj-I%` ztm){!U59ZFH=1&i9nk~N^5Kv<>f%3hIh6*FAw8CX>}a20w5p3@kLgyg;w)Wu@@`g_ zh0DdR?wxAJtl?FwSrduml2#&EI)fC!AgSC7?!2v%&ZvQAw10mov_sVJ-N^oysw}Px znU<~{q|y^tc6ujL@2b5*-P3=3yJ;Qq@my#w=`C?E6b`{H7|2F53vZyrBE}i|pQX8r z0e<6QyZKqW=2I0mV)+-P!gi--6(_1e^G#V@Av!UhWZX2$aVQ?tP({wo1+|CGqdw|l z{Dzxh_n4wsZ+~<}#c}FTG|X_cr#7h|d-&OH|2Y&LW#L)W(URn- zIle&VODN$_$H4UysAmbH0D)Z#4+h7IE%ZY7l+9GxQLdt~eJ0J+9jaC8qN9-GExOxP z+w(|?WDzwj_L-=m?)GWeR<5O;7G^8J)WgShII2P(ihr&2B4F{vggy4cp_!dfj4kkB zt1}<=^Yim&rgcOtrz2xI&|iEHvHQ8z)dIqJJW)3gU8^5KQA;JDphuw1ae4XOewS_s zi+(JFF*H=(3!9#ppC>W>dX;0$XoXSPP*2Lo)WGDQ+QwVT3>!`9Je?sPa>7KrcvzWCcYF8ISJnD&F*4G zY=F}mwY3YgvC@Wjg>&T9;^r7)Q`Qx@f(?$kof8ZfAM3pE4Ud#>8NPvUJJyvIje=B? zk!iNJcY`}@qdSA%!`a)IPZ^ztj!WhB;81g|(|>-oAC%CLMknH|l<9P=`HvyCQQ2c? zLIcLgP^nn0KERHaOztZ7R8hKGskm0onv$J>NqJ`j%da=GCRFUx;$GdpADl0-sOJXP zyk;$w3^CZ&w%2##$)tqHFtvhqniSclD{zt>!vx_d2lH0Wc8E2^*bC(ijK?s@Ov{Ds zV}H8@ge5JTF@y|9qdAc<1NT*jO#MB()~#dq);R}cm1H;o0xDI)IKU+fcFYC{Jn`N? z{zk{R%0vx117mWhvXJGR}5+~g4 zmRgm~h+bd;0L()vr40^kfC%(2$cY&XM^~f4>5NIS1IkkImy@&gq zqa)=%j|@2#WA3eeWUa86D8*jbhCpMw$EnV+z5yM+*#$L5Rzy<0!LX)uJyuGT6bgJ*hWUeypmo7aGQvy=ERK(vY4eCVvLu zB6zjVX*OSKixc=rx%@}%{?l}&Rjr&v#xan5r!uHvkPSPICvq5DJvNZ2;_?PA%-htt z8(zm~6nj}kmS#~OT82I4!{*IW$WCMkjS=;SCRQUIHw)r#g;?&j$Kh#Tt)RGQzHh|x zO`XP(C3{iVlQf}uN)WHs(-@KA=f%aUJxnoGRzJ{t?z)D&gR0k5mDQZtHk&l z*K9b-%Gtj-T>R}*Ocfuq37rZzp;nqjC=jo%)Ag1Wkl|PG8KZ^S&H5?)4#(g(^3fy8 zm>*x~5)-J{%gX>L`c?)T@l4LUZHj$*6n^>683sEHvGNHq*W=XUka239Xn*N9x7(Iu z&q{5s$5FvPwgT^)HkgVxBa>9T5hbFHd?Krvdc}P52crZgV?PvQ4S-1y39tC%Pa7o= zC5WKFE>S{Gd=kNQT3bRc{V9Q+0r)VgV>?O&@o=3^=Cz7sF2vDE zjBZc7G&E;d?lMcm*$XZWT_V;qGqfg5hF#lbH!gE&=pxNhJfFay#aycWp=(N?XlW>$ zUT%Ih+WWt-=r;3nVn#2)#5pxRyx^g^lV4Ea$-Vz-_@qwvvxjMnUw>7q974(CGvsn8 zYL@u2Q(`dU^Z`RMJv>2g*9!oT=Og$d-U~^zDU$*I@Q5J6&0HC~f85Oy5JJNk5hi^y zo?<}Hx5-XTq8Mtj*C`mJu$?P9b%EOR)7YbGz?j-|G{UQhE zbS@pYItTE~#}d$XSy;q>cv$zL>wvA}iA7sV#B!pEfJI|8i}CQynFu5@M$G}O8a!6g zZr9t!+e6`e8y>Yz_mDdhLItMw^FBz$emOpJs=%!M*P!&7#D6<2GX~P1Z{WxFOEAe} z-`E9ffzhz6p;`N22Hl7H1JTAx1+{QT#}Msk?L)A4>SEK2>exeK$b_2C8M0!Qt}#zLDLs9XxavY1~$fi#?Rvq596clDa^dxVYD9k+c1Hml^P^+ zY6&2b#3;UtAAdY#>e^3t?>}k zIoR#lZ63p^1m_awH8qau3RJdsV-+ysOZ)^<%Q|@iYrz!(JHZODI-8Z+w#JSm%L!5o zoJ3F{ErJ5ziSf@MGDLXiZbcMfH|`|}ZZuMY-~!7uz<>E={K+JyTKvrXcWH{V$k<>) zP*em3t|C@QnxKbmjHBfLJdKpf4H)Mv%1TItW)UP6L4l^hjhqnrW&#-J43UX(!H;no zp@bNv0fy04#>do32C23*&P$91(*KZ6To;;V(jl9{TK^!PX=Rl=+qSg;Z=dK;JFA2ITr|vWLHRHgRpFOb}-6j zC9o9jP9W@$y?TUgvm=s%_kznJC;&u-L@ll!U)$&s+Et(Awl1Ctn7h@h0ohF8wIf< z@b&i@etiA0S1%8%0$-s?1v(-qC@F+M#9kr391&w~bp3v05|eYQLMHqu2^}E-M5WGw zGfTAFp3ZO4yBfs0JwJkir~*KsErJuP0k>+jvEH{<-arTs39?{{PiSm`wEz$-5X$8o z6@NHyv!ub?u58CJwvMAr7z;)S_g%cLlzpwfd11uw(G0AY7JrhIzH`mj(KQ>jEV|`T|x2$Dl8}o%6~x$vsJj=SNW9 zwqCMt9h;g|badA|o4uI8>(-=iovWJywST%vXQEue)rwmht)nmI0sSa4DGjg`5o;!E zef&fVwm5a9uE91nvTI=M28yr7_tC!74IKQTaU`tC#w?lAi*fYVkEOoe!E(t{{gHYJ z9!>0o(C?Io+}I9S$f8HSVogE{*syRc!FVYo#L7w0A#6QJ6v()j{ zJWE?Iz3CWl4{}O$$3oVHTJ=rcfH2e$Ip3*Tf&MUFMA8Yjm!C6sx5o^@E z=n|wvKVOxvxtT0hVp;TNg2zH01i;HiLfd8$ozZt53a0;Wti3)?!5{JHdR>5xy`@-_&06 zennJS1pT#tzD3{E-cCR%-lx?XCEs8B%kJwIW{pVZy>ECIWiZzOhp0-;WEBrzto^Rgkx#7f&hJW3%Mdk}q_=A_- z_^Ev+=HXGiUoRQnv%bQd@z+;q{U@#ZNTX!ME!-lzbO7KUH$4? z@#_1%+WzWaw%VJhnA(X(UrGak33is&3|50Q&iq?Ti64VcOy00FlO8M$yQzoes%3ZT<}Fr#|pjyxU~8+ zqlpQ=u6`LS9{MO24@oIDhhS+zYi!@^v1O-H0)AEoYoPd2{=pwC{ML_}4 zdW3D0ra*#3FAQCz5wj@t$5^@u5{cjoLlpnqsxrrHz|5frdbn=Ua0MjbMA zGt4*zBW;*PAtfT{Z%D$Tdxi)jmHCC}B2Ab@VXTa$i-3KG=yG`iBTX24#vmKYSi%T^ z7mF}-!SP5mcj6nJGvd@zZaBPGD&Ypld!1Gvr#s^v4-Z(oS1qr{V@1#O5&#M-IAIU} z-3)LTlYcwekFw1J!SI8JQa>xUyctq6{X(86^x<;ktm#=ByB!fhJlsRMgR95&fzT?- zbIKjJX7U(3dTDQo$`jMIW_M1fW1Z8-$I-JNBaJ2L?%Am!)<*zBB`1y@*Ete2%fWSm zt|ZrrXs3o;%B5EPjO{A;+H}XOc{l60Ge=3JQh%p4IBC0k1zF?+{Na&$iET030F`Ju z>F&m0W%cy=up(irxW@-1Cl=a+m<1(49nn}#(4b^hH3>(E<&+axrlgoz^!-oU$^Ga%CsrNDg5N3wp)*W;OVPh$QSPL9aMUcJ<LMRz$fKwlb(XpnNN2f7J8G%+v8S)4PLo}*x ztL!C;Hw(0jWf-=7l|sbGkqV2(CuB+t)o5bb1-A+>(Hsq4YR%3Sad9NMj65l{(tSKz;mf<5HT>X7v_hv4 zU5NQub?A?k5De#y_W`woNN&4*tQ{|`ILOhwSS~ZEXMQ`=NR@8cd7pDdS%AjR+Pl7BGx!h5Hq2e z^J&GbXeO5-P7pJpnr#D1(M&9io*ZU&9irl9T0d)=iM5Lp#LTE-*NOzih{i;Q76l_m z4ht8e?z!5bg+2R1aVOnNsV?1}mN7xz2o;@AcT+@!X0@FKOepEg(@pH-BY&3^iBu4p z+bXJr*bp&04L3o=q&`n~YL;S8<_J26HcwMbnArJrUuO}UtankdQfPClr97;BG6&;@ zC?=G7!6>N&V)7u($;p^KU{q0WNdG4fC7mE+k=rQA1$*IhVU?nvEf*38vv62&Y6TwOt z{Z`qhIVer*iC`rxeyjY`SjmydM6eR(zU>qQR_wFgX~XOsuVC_*k{=tj*$sh8*hME` z#7Y?w(GJ3iA!W3@>wl&Nog%YANWOOxi@0B8{%Xlg#W*Znh^pyo%?5ichhmKA^(14W zb37)+m?cv>k+R*LV2w6A?LPB~A!T&5rY#dBGJvS^E+ zu?vMR1FJ2_1)h;Qz@Fu+h?VZ-EwSvSn3sXpgDhwX*UDnLi?e7=T(pFxZEXOd9Sjfc z`m>15fhr; znha@**`eGd5i^a@SqLt4^%n0+%H9@4Ar+Lf&?tDKb0t>4J^Pt5Z*3=mhiUy!sFN-t z&h}Vlg;=`BGlj`> z%1I(-#7a@j-}!-JR`w@|m^}_cA?EjE0x@eYOcF6+x_j>aASOV}sA+65`VD~ju)bFwGOgXBRtMT5e<(@b0X%#s!-+2>CTT^&Ge11QaK5?&!x_zQ9`UE zh+(;Q(B49;q$u4PIz;Udf*gD*hzhfPIo&xDEvzGwDpg=P#)~$l6O!~xInwOi?*y`@ zs*pH?*AO;eC0;_)TjMo}*VB- zT3qhR%&)=QZrD9(w(I?7ryW$oUbEM)w;LgAGc)5wjp&QB*SUdrtJ4pPkLt}<{h(!% zvUto%%-#z&?v=391h06qr6m+L2BLxLH^h5olik5fL#=$%)6E?mPIw!#7v0595tn&41nQ za5hkGQSWzxQl}dR23ca3SWP0Nj+p}M06%yLn^++;6qfbj(!}V-Y*mnx=XlOEUy=(M zM`xW}TsRb(1;rQqB|+zH{mAFo+O{NQL-+MwznS=)a|vk%W!1HL$Wz9S1Az zf^o2N^fL}t4oJqq$}z$?SZTJ8gMXEM_&8YWo*pS?hQ4+>Vk9g@lIyoKF^=*OUw=3h zUz=|xCR^Z4+_1oz*kOS)$&UrjBtTR>=^?;SdN}aRl-`VO4jr1aQbEjGt8I1}av1E^IK89=R) z$N*|pb_P(Zu`_^Lg`EM^>g!RU{3fq-%>tW+UpC(?4AZ@UTt_iXwlt(%I5ZM6w(e;p zWNhi$C`hcl+W^V%jG`3rjDN4u8R) zMl9TimiJ6L4%YHM3#@IeSzv8*%>rxNYZh4BV6(v57CRO;v6Oox%@XVT$H8W%FY?Vy zU%+OjFJLp%7qFS>3)oEbrG&4p{&C&%U%eb<=RN5Yo8CkbiMp?8JP4-SGUi0J?B_ z?;{ia*zy+kALM*Z=>m-VdRs=KQbIb6XZ*>V((k3p&{A&LCS2}MUdGR+$^fw-yg48HBgGa`YB5=YQ974hg79Qsu!NUWx`1U8NH!o0mstj?T&G#qUe8btJ`yW9B7i z%0%f!#mz?KFdY)!zYu+;IOzuxVR~!?OvuG8M1X%7ef`l$h-@A+GrtrCUD}_C;9Sn{ zMsP0h%Msj{qB4xd1)x8U;@*#NacTcu^wq_=(p=mpJb!RM9+m4-yyq$7H#})?L}^_a zzwD$YihS&WoAc!NRZ$9u%fIsE_iv)K4(>x9xN-y+Wjy0jxVYCn`29eXpG)y$9ys^a z<#*_z->+xHr3d!AoOI~xJo)`wPkvwY#C^W^7&_;w4e5*{d*p`@Akm`ga_^{f^*b$A%~GK?(e$KQOLu#8K0i~lc?M% z=?o2|=-Mwm^j5%m*HR`11Qb z4}UHwBGv!;(th59%ln>kiypXN^OWK24Ob5zts0*Ee#ld<59fD1X@Ag@)(7Xq@8>-E z-F4_kp)W)shm{LOAH6#9X~E5+V27CweU~TBL%tb~O_PtW9vo>VA0OQ3lR$X)r3E`u zIRBOhL8$f=oUh!k@bm${ld+xq^7F;{aDVaDBWs+mug#j)hl?-HR}WvDuUsFTFYcE- zw0{i1q$qgUJL7z24%!EIkvOg~Og_GTf8HZ6S$X86i!W{lgihk()1ANTsjx4H=*|4TC(@T+);J%|K7KSjeESIx&c62H%gEy^Of{^7~0oe!HIheC^1WACjhY&QE*F z*z}a~gC4lY9ylMJeYpH%PkwJ@%zy7kJZak*)B14v7oPm?dh+{O58StV;CwXrJD#*h z8Poc3_Qm~_r;M7XjGyfD zOY4JcdidcX-^}wE=Np6h>ha$)(%>gO{N-3O+Qrh2wwc^e(e)m7R~>b_SZZ_9d1RO1 za2Z3T_BngAl$xCH^!mY3z1^{^c)0vvx6{Wi8-2xK&^gkXVEc1IiT`K?Z|fvwf^qKs@YrfMqWz z#TSv-i>kgYa|UiZ8rft|qvwR+a0H56z%m2_dye<$D#UVdC{QZQ2ANk!VxX6VloJb% z?6Qq-9+`Hh8}>poXDAAM35hE0M`5=wc#AkZX67`A#DaY0$z7iVYkv&B%t=T=yILIe z6)Q_eq{V8|;a|CebmZ5&!@qK+sQ)X;t>v;kI61%`I0$;vQo{-0rvkG|h7D_T(CRl& zTj9HQ%bZzRL+bF}%lpm4{zHWEuzB9D_rexevlY8xUB#+ZaC{4zY*xK~chKk$x^@*D z_ea+1k0|oq?_8fzHGi$hrr~(I{BHdOXay2Ky|7D{%BEI$!!V0;_6xy5vu}`RdtC`O z%EMph-B;%HAT*$?quD6-{!T60IZT*ESz10(+LFtUh3Dh13+^>m+Q&p`T!5YXF2Pu? zqsY(8LYG9Ltn#v`Bj59)9KJ7#_gJ|%@X^*1%aC)XX>FXS@FpYO4yBPW=+C!F3xqnWtF5OZ-X;rm3?L zkL}2fPP>hIh)OT0gt`t3tF9bUry8Tq>O4wVo+tVXIR_XwO!R)}aw|Lu z+x=b;+$^I1#VypZs8;6|?H=84MCQ&ILFEalJF8Cx`Gwc;8IS0Iow3izy!tHuV`M?R zs~{s6e1DNmBU5VZVjz2>31X3o3>64W@WT?oJsVf6Km5;uJv{+#VE@; zWuL~DH#>f?aaaJiY}0+`G<05j!K5r7`7UC#5Gj(z;+qO*?TMQn9IqqGC-;aicR*)HU2B$6%7hxVAhu zZ};416K26Qhu;%1jfwQbkf|N(q#s6_$~j*nBaIqxHD;ty193SrYJgp`S{<4p{%2pq^wKtr>u%K%^?A6ngh$#kAgMTN|cuBI23G3WK^t?nMC6=RZEoSt((0- hGLEmjywTxnFHU4>{~rJV|NnRaRFXSO!?PPbq5g0d1RgHPO^kWD(-NWBsbYE90>Xk#2f-wrU`Xk@lkkR@P+c77tTT0qFet%JS z+3$7Ua|DNkb8}s@Mv&jnyiPGww6(`4kFlL0Rc#&%?Q~OkbTM@9ciNzHgwzqe63*}; z1$s7=!xU>hH`G4mN*4id)h3>i$xt5LM!W+9d46?!q6)ch!v)o@DaCKcE<74BO$Vr~ z&)lDOR~KdTUM<^DfBJ59q7PJa3bq84bA-NKX4J(e)fSY+H>Y5`iJv4$z+D$k#YPBN zZrjc$-3r;cOkSA0AmqyC?T%Zu1!wuL{8Nucd(|4mo+79B+q{6zOU|=LE%ORau9xnzS@r2h-b`GkyP{H9dzZB^*#Si9a?|_R zd`VmXUJkAg9b1PPYnQB2t(VA=O=_c~TAptE0xL!Kgm&B2b7eRK1)tZ0i6e-ZCf2)mPy%lXit7(6Q0Yw9krGX#GD`cKiiPgtk@)7$1z-=FQ%C#>W( zOHo&om6%v!lGKsu2-0EE`CvlCcC90%LcgxnGkU(#^#H({tVKI#q=JKsyWD-61rY*O zKcL6jg3`Gss${IE^cyTtB1KJEKPFH21R?S{=WU1U?3A(myXI3>b&6tK-`d0Y? zy}UeN!}h6gBCU|jbi$N#{+=8XGo8cC!pSzOQp*8tah)bcLuRQ z7z=cO6CHfSuUra$F*M^`l;=XaRH&QPSY_dYjtdpKiovkMacM_^U}#ua_D);OSYhOH zq2p1F)~b&mwZlUARjEr?YmhOfS3&IXMiPJ+ki{#sZl$!dwim_kqM3RyXKbwdyv;SH5XXUjH=vmQg$4(Zc8q zoV7mVAmCo3hd5ShftAPo6s-7eEnEvq3MyL1fm#|G>! zSoKO$8k~d&amq-mOnV;2X2p?uKu^n&d7(Be zNXVegl0uu#J(LV!k3|FJ{@`Z2CID+yoP_l@6(Uw{R1q11PWVsY&bds%Gr zt~;M23&f;<-@5}|;*fju9>5yjWBS9$++ETU!sf%_pV7rVWco9F9`7juyz~`MH`Hmh zDSe0YL9ZT6c77lwZH(hN8!;)%^!pqQ*WUq_N4BVRe{c-%OPU$u_7(2ZbwJ+0;hEr< zXUv=ES%Has?ki7}gp>7f+%veK%=(`fAU+Hu`()Fy`M8DIa%30Wly=5OU-ZGf$1P}n zr3{V;z`sJ|=@1E)2q@S-kKn_NNE zm)?sZ)Z$EPY7}Ae*etqIf3)GZ3g=d!ZX9 zNEl^GKWp^r{unENTbd$1e(CDe-4KoZu-O+oZb`Qm%cH0uzjwvD^-};=wXzn+tMn#F zq1W85v0wcI`%vOH*%nQWvMY}kJ4zV6Ta*ePj3%U~RoXUBPA~;KfE)JCk_EHBn|uN4 zJ6OXHFZhBN2Q{-ZDa}<3@5(XcswIPr#2PWNr-7$Gu?X_bj9(P8`QkUP0G74S{qU{9 zAKjj7ky~;G{b3W}a(Hw-r|(efG$Ure`X&GAfPZ4-fE&A$PrOi?WRswU)EXmgHP|d=}|{C(=`WZ#r!%<>80xypO}$8$*YpKQ7~;+j966J8B2TFXNR9gjI4o9!`=aDA zlNOW8#H1(;aDw=DeClWpOS!ugEzQb+Sifstz>FS~Vc~&iyhLbrY52cn*C#eVV=3J- z=KoD#-YScn(#xerV+?n3(n1}@hNoM}+nDKW@NIN-r<_4P;CK#Wu{TZ@kRKDUj_UNd zF5RTp`!8RX<0o3bpH7+vc5Aq*Q%5T9RseXyw;Sp+H_z^1fn~5O0beT;Is8LKjI~+w zxC)RX@kgwwv&AnVZ>|u2!v1;FSf58$kE5a(y$kAvENsbKx*O#+*L}}-w7`r_!hCd5 z2xD{TNCd%&gCLJTFf9bt;?-{%Klukwt*)pM6!*pSCcf==j%gw;>DHe^p3+qZssP-< zI!8(*c|H8-isIV=GDs<|r-t=D$uTB_vueZl^M^I!E5{TlF&>{7kRCECd#Ig}??h}? zF`RA>$q!11%Y>~wm35}fjx#rcXZ7e*DZ6Sy-#|kNT-Qiv-y0V{+CaY0jX5vu7F~Y@ zXOl4tt?fazlM5`(#9PsiQ^vp8g@Aw=LI&(m75&5-Se$G6XkRomD|h-TG%KE^8P$}{ zp{6?*E3Db?p;ZS@4q<_1nALZC4=Q|y;C@8VPKJg^bZgJe-Si|2Pg&Hs{`bq?ZTs|~ zOEdSPsVfQ9m2=h(GInS2vnFntC#UA!_1WkR*kpdy7`Zx$J%wuI_IB9zcVI|HTlQ;u zb+77r!E+&CZ9+O-WJ0PViEQI7Dv3uo6&>H})n&^6h`BI@11tK*ZpT@HcQL9RA`X>2 z?wj;qDpkPVuW3K`UTaTR-(jlgit|xMUd6N)0`ag;7V>+C()L_J$0oeD9MJUFqzEJYg9A6Ay==Y?2b1VTmXU!k2A6*`Lt}D-dF#zE@N~TyKGPlP1GWj5yS9Ze94)L zCX)3#{k%_19u4vMIjK}olmh!K{xtQM>O3|B0g`6fz_{!H?&~Nz?lG(E0?n0=ZaOcV z^h2macpBGGvNG6ynkANTzl?IRYeU@>Yr%S}pI%-MZxv)Br=!62GmtnOEYPIo(0LRP z-SHO6?A+yR@`zt>eB_4*^o)YM{{|1qV)Kg5c-MoOf8Mo^^_uhXUKnI=u5&d?2ZFCZ z=1-sP>??xQN^esoA?pmeFuzeXDoI&fYm}Fc<8}vBvMtr%ZiTzEgdiVmZ!D5pZ%}dV)=2&z`zDo)lW>- zf73)eXgl7mFGwx1KjK9{4&dOU;JIC`XKV;6d`N1s)a?%LIPap`0xK@dvMYSWHsg>~ zUh78BrDXbRhvhNFp-@uRDmuK2+O~%Awd{L6Jf`iAUAi&(f&P$GQ)lQc2M5X?T|vv{ zDH*43d#r7U$FI$e9HXuBxiQ|X*W#hgjXfjF)xks#9b4H#d-O2uM!IJ%i|)H8vR=OD=S zC2Jww^)V98KranbBK^_T56bK;V=CKgmf7n}-0ZUL%gWzd+0T+8c-I~-;Dj9YLlg@P zUx&_WHmOyEb*8pXiZ(r4-cd7RD6H5CavJZAtPZ+$y5S6i!K#jNQ4<*h*$gGx#|#Cl zP%NasIW(`sEAUw!H}K<<=xesuw|`#SsaSQquk&`i15MD{9q!N9f@P{#f~|k`>Fu%H z+2}_6oY&PN+jPv|PyPYvdDEeqfywrY)U&KGR0A* zdG%&{%oe@v9`&E9&9Yu3&rEmAK ztNM{N^K|$rN6NBCWoxA_Q~fTJ52tcp(h0M1`0@_+CL?lVFS;Z8kzYku@+1iYa7~JU z%(ptc~h>?T@S0*7VB6sDp*Bme3%h zbNKIObttJ(hesCletxSQjI2TgG%a4voLudUSzOfcvVk-fxfQ%=pXCyq+w=!)@Us%> z55_USN%4B)R<~fH|{d-ZAESqs~n>Dux9w{@!c%##c5*zMw zY3HD~{KwQ>hPzGt)jo*m1I)}C)zOh>QfOD2txL63z$;d(#=EQBgl zRgF8I^@k8WUAKvnIVe3cOj$DY2S?|9gysnu$A^2+2eZaUVbJK#*<-7*FWRK&jEJPd%wWIj& zKQ%#F08N~KBlurM$2r~)n*a}R8Cr6WS_ib!TG*rG8dNswmE#j!=GtQm61I6w_WOId zuQYJ3niJn3Y1RA2O+{UA_kzBv_w~SP3^U{T;rW)FX8i0UfR4O!Ss2Qxyp+YTwAHNR zm%bH|7v}aPe#Rrgz6t1>eEe{;omqu*5yz98;$hvVE&aGKM4j24zx(0J-9lY2OT6*LIJ;c>fLzOOW$u6WMj92B9fe6!7nSO6>?rdDN8>{^MJhll6|ISe-@ZLVX(>Nvv8dUn8c}Bl;|HS0 zT|dm3nbPo$O$OIwU41W0{Hcixc1Tx743-QP0U!C9Q7?~;)9w%=zBNe8n*8J9jwHO= z@euPhk75$mEbUA(Jh3TyML)14_WhL+EGABI(Mn(Gx^(FryCMPOclRc|oRh*4=uL^= zQncHZ&N*9JL&kIGl;$Zp;=KVIa+O;%v>qz2Fz`KZV+^=KP;8p#u?uaAO{2SdEA!56 z0GiFPbEzo+A{hPi>7AN($~4ft=Sl5;;ee(Q%sE)dcCWlFTH~i1yIpFpPf=i~z1vsr zT5C&3hasu2p=Hk*j{ITNL_5D_cVUcVrLCr`Q*IGq$}b=6N=7D3g!O^KYEio% zg&Eim5^!C{8s=riHLf9nm$qRbM9t(czz?LSM-z#vmu2Wu`iZs|Zp$NlZ}9R5m~YdM zsYzdapzcBSIyk8yW@^;(FFTzn*yOo{hTZb+sZyyc-Ik3ZF7K1?DaHElF1526I=+bm zu~8RRP!^fhMo(s0f^nVpSsKVjd9WKy`M~zU@s{1n6xnmZB-d&VF34KN6OQg;pnBw{ zHCdEz*oVdJ>eugcK zCMw6oBwDHcgK{CtR2H{wAv?qBTJ8U7;xn8?t+98g>SKzwV0`=18ujW=36KZV*Z9rY zu)mu}oHdE!7f6SRoS&k{KLmh!7 z=H3n)rX)u3njk{DJSYwO0$kwQH{GK!Y(TX$T=y5_8L!1~#7K9_(Ze@BnS>Ww59v9AD54TwsI>i3(|XK+aB zSeApAi5b)E++WJI7%srNfG11eDwe{^Q)Ne%a&f|p336~nulal{GoZBahvW21btWiNVOS5Zo@p1Q7)v(&}M#;jEcBv%g?yOL3S|SrY{}4sAhaVq2{h55j1_+tgFo$wGe9r3DeFif& z_wCGQ1lY<>Pr6mZ9FaIP-4Y3A!KXiVRo55CR^$Z%V8jRvj)ob_Y zblvX!)Y3A>hiblZtcGiL7b`WDBMuVP&@_00F#3qgH9O*l8VdB_kmfMrCIy zH-$|W3XB1n9;gL5;L)orGLjW|cP->WUh_%Vd`Gs#GqB zildHbyR@7)W5=UuiY_#+XcdIDua_!ncci*$rC0wdCKRX{P{h1du&KrdjiMsCh`>gg z+z2)@0s^45b3W_xM$S|Un5}n12c39W0s_AFyu&xAtE(|xtS*D)M7#VMo8C|{npD7` z_=GI?LiX?O);}3Gky3NX1DW|2w&2rplc}7DlG6RU#+c0-3%Kmds4k7I4DRGBg`!A> zT=qhOhT%fZOP;Mx^9e6aVglC2F)J5Ov$bq&fW`4ByE*>XVMALOeY_F+*nY`^yYVF; z&7&=rap<>P;Ir3#M>;CrPNSIdWxq{Tj{5$PsnTXtmR4Tf*vvw`!erk+IvNCC>swd zU|wufx<3IR)K`xY4xr;Qsf9`nSv`WfHOd^+7|COnR;EOrA4)1Wpx4n{+Zen)4i~|? zKH@mm+HI>JOVf?D^|99`nT^5KFDy0NUsEPY!X_A6LGH8BG{~y&kn@JZ_A4SCRd-&- zTZBhokKz3!93bYU$8L(-L_;}pndiU&aAL)sNp~TdYI#l0u3sPR2>!NgaT7<855Pg3 zsLxSxd+ zJU1G{j%BfY8|)o{er1PUEyh}KuLTC;Xi?nQmyZY&@CC`sY;4Hy$s`jqX{>euKvxrL z3L}A4AAl-%KreeYZgca@eci9b&oe)5t%*;;Nrx-#h1kOIm3U{LA)MpQ?5^u77@LTa zvu8z~&e^Y>vmk_#zp~h1Bu`;RTi(ZRisNG@6N=e!?kmv06_^L%(RCzwvA$A8W_sis zUQ{ek?GNIz%nS|^>p;{laZerr(zcFZL^NsNC!0WMZDU1K(mx)kxoZ_Dc7z7Qky{ZY z>q;spG*z9g-Vo0$sE+D$h&VJx>tB}GkNKvp$^!UyNBw6I!WPl3f{pc|CqkzFmQP4IcW^JCoVN%&=Zgpw=%kIB1MsRkZ}zD;52-B+ER*dMGr&HOmB&NbR+M z#?(=N6RP97e8u1a-m;Cy)Yxhdxz63?yi5xIU)UTt&V0p8QR?_VHLQoJkny`|H#mhM zuaj>yA4nr*_B+gEW5Gn*29hu;dxxSR7{6(Qsy#}MH#vp`_~CkdjuC?JLb+ap)3Y3{ z9RG2#k2YRpZQG95n-@0&Aj_BwjLl%z>m2D3Eo4bDotPAfhnGF?W3AwiB{QCtW^ z8t?|_gZ;DuA@jqqB1ojvWzvW7=y@VcSxblU<9=9T<$5j)flQaHkS-Dnq2vv zX+?&`uNn4YY%Scek~D3dsOK+6`uE9?>~v;nCPy||WJV8*tAjK)53lPULV?ry&kaM| zef1P8PQ|wugf@I2GLxe1A{ir^%eS{-0zrOe{Sjm5)&~M8@}`mHFjd+`j)Otlm`bUC zAK9dfM1dNPWO`-YrGa|z(RT4d8*)nOlIufrRd&c-yJuY~wCYReabW8o4`&cy>3Cu? ziD-9Nn2~CMpRIlN>>iEj;JjLeMV|~TPD|g${bsCEy50ry%T70a)B1%Urk3RChS}fd znu(Bpay3m>+q*CjwD3UROi-cCxM^w)10EVr`RD#Q`w^PFa^y_2BE1F7nL{2gN3sws zIE7}Sl~8d>joOdRk539*+ri@1^ZW;~!4g5IpPk4o_4*NU*+1*7zY(c@DM#hTV*@6R zv2XU@DAIw02>Xk`nBE^6sWUacbwO9Z?*mMXiv#sqjg2JSI-i6tbKX`i3V5F4f4t%{ zl9qPHuKzjMnJ6OZ`DnLY8jDnwv!U?>AN+F(kJD%yBm|#T<~Pi^hm5?xe@P>sAVph5Ii=yoeFT_7F0m+S!{~{pymvmq$B5UWN`8lOisp@RQnrerO;(`4*d` zwV^4CcqNkd6jfw{O;k#e#!9GHkEHM5*{rzv5+@tI3WF{D53v#iWH07?hjR<5lf(O+ z(nk{<)sViB3D(Y-NUPSz#oqG|B-XgWonHGH9|e zt7f*iv65IE0@5_F2W;o;)K!*&0wS=Sl={V*Mw+6-%S+uR%6K@?JWv7^;~{dT{O-Br z59fw8%$P_31n{?hz{?m1*veh~8Lld1-#QI5j~CnJ<*9-G04MwNAF5EFBnbrR6Wl3q z2!rjM({epR$!u*c`%fgDJf950pL#*XLe9m-FcAU|(w|rbqBh>luGYdh#;&?E0EMu@ zbJQ$-ZY)p^lz4>>;~!??M1XilL(ZQSZg0v0jXFIPAOypjGH7q}jJ{!oMe~6EjA!D2 zsi!vH1nFg+YfnDOI#_t2=8t;gKc)l#?7vPFf7xv_!aIr5!=v>dz-gd%3OkK%S@KW_ z27WY8Hh3NTuvHbXwqvct4?zemlYMUM1Qb2Mkq3!%SXW~9_^gWPPf5Qj64M~o>7bnB zTKj$sMpbZi71mZXQ#hTgY*2>P4n5C)O(Nn?8CsB6i5jP|XF#$ZosY2SUmF0D`{l0^ z{1CNdZnWv{R#O`*a;pFKxDTW=e-88~WiYj+Bo&k{bB9pJNdi`OiaA>x{wfvs8N^9d zJ@uZ;KE#US{a|QgvUMVZYi=A>Ovf<*PYAYe32#Em1lkbBf3q&Q!Y;43&Cqp4yOq&U6Eq|!s(%QiG6V<>d*n}^3_gp0!PNu=uQ~&g8I13p=UT)bL zh1C9fFMoJOpX?^CP)pVu)DmUn2{A0@w*OErd(X_vBW>ao4WqQulmLQ3UJRZ+7G9}+ zjcU$I%FGmfW^ew2m)xt}F}>WY3GD7q-Gepf()K)9x7s2KH{&3rZ8sQccuwH~TX)hQ zY1G}>NE)b+i0VD@$d&lyIBBr*Z7&P&$$sa&u-1N7b1K_Mnv|pQRrJZn7F!6jgBXCVdLheQjT^^&IC$_$p8Ci4H;bec8}=)nEO1Uo%=d>sP!Qe!dco? zRnrFRm5HGGfaMtU<90VR_bM_-_vo6PPD0st4317XQKb@e(2|R)r{UrSYf>5IftVMO zwkZv>IjFrHi&j(OkP(1a8j=YmYeR%QgbU689Y`NUP;3Q9-9QnVEfq+LmXslk09Dl> z1|a^^-rUNth)vN}NA$;X)bBnb-1O3JBJ zhqy-XBp5`;Gwhywh>@2x=hhi-jM9`d=bQ|NprJ*h_LWYg2fIq3yPXuc`c+jU*I)Ny zTMpB~B84}Y9g+-jfxaidlG+YP*NfsZ1LJ|91-CHsl;i#wVY!&i66j`{7IF19sQ74o z<{|WR{+d{a=t8rNHsv&=q|^p^|1s`OHN(SULh%xqjg<#OLj^>o|6@|`Eq11fNTJ~<(GCZl=-u-yyY-m-EbesIV zO%rc0zbyD7lKK$E0_B{Vvv+8L)ux|oWu|FQMVNx;Jh0sy>#eJ!2k6%)~?88?~yJm z1l^bUzTrNtf1C8`BZH+bRyKbe=le+PA&p4Egl+CD`vuJ&KwP4$w;E#Y56f2JO05eFRO*wixPu>mwhKmtieuI#nJSt| zhH)j$j5|cKObDZu%b&F<2o^?_W0S_v4$5O8mxP}5;5yRl0qh%V&NlPa^Q&xD3gs#B~sF^S6iE5 z8gpl2soITqmq;~=_R+PC16C%hAMBb1>}wVrg-`QkgPkcB?aI!+2Gr z9YsptkUJ?LB63^>K;_5k;-OW@!QY}_t;nl`mRLTO->7eONuILs`8>F_~Cj(V&VwIUOQ|W&5VG4-o=~S2R zsI8n}r4n=|fYkqYs7UHaWhkWEWEWB@j9Mch*)t;P*84=jveZnJ;#B6(W@@5h0i9ln1CTPoS8%=xwl*P33~K(lTi)RBh6U2&lqj z9-%+($;Q>}mqp_`-*&VPqr;2^BX!-$u)hIuf`WLw&xXtm9d)1YuKR8t%hb5HOZwZLm zEs;#0d6+W!=QI(sUm~e7eD&1azo^|=iQ}!!6rwXD_p5SLVk$-B^J>M6eyVvAmdED2 z=MPvto+|x1=qLa?ray@CJjICzC1$RD-h_4x-3Iz%Cp%FIOQT~jmrR9Ub>!as4P<5ej9f)HMQ<+ zNLJByF%KPyp+&FZrK$sub&(qrE4Tj4nO)AmU4$*+8RMG8TA3T$&N!~8HU7ZjB;ES8 z=iG)xfGY>ZToL8;lMgvWrFYSAHkz(*5-+ccL`v~FjY{#%!4!+^eAAaErwVa~`Zk#} z5-1+;tsvo8`d^v(;8*beQZj?xnB2ya57Siru$Ij-?>U?0_enWz)k3|$%e5_dr~*5% zH8y0gdkx}O)thGzs<(<=s}%rIqm7bT+-vBox7EHLY}T)vNb`oq;C??GSNT$I@q&&1 zGf}i0)>3;g&1@;frs07kvz}VFj>&!Fi|Fc?$%-V*$Gz65^N->b?-iGam3FT-w^UlRa)tqIj@W{r&mq#y zF0&TFvKAE6QmA z&x0e;?~O~L%3_hWdPLlM4;R!d=pE=*)Db77-A&%bMJq?;-;<}hS|OsY{Q4R`Ln0Z& z^lX1^jyWdW0K1^U`0?X~|M|!)xPJxvE}}$LhHL0FDHatvDC;tAV4zy)gP@|qN@=3U z@ou*KcQCc@)*u?ja}RirS-1JK!M}A~rc{bYBTYvmO%IEDCtdVMYZn^XPA#DgJ=22#AyUFMOFL}zsPwH?PB@OP47(pyl%!qtmgEjz^Ai#~4@Y?ky{ED= z1OBe8l2^Sypemv`X&7bhrEOO6L)C*QTl$f}O(z$X{#NfB=Okk0VVl`Qo7gRXHOxuVhGu zUhifoQ=}I7DMQQ;_zaDCHW@wkslkRu#dr->DxXth;_JXRkKx%2okkWo^EWJ}1ZbHBJ{}%`Kr7Z4(qx0#=ES%EQ_{gIP?k>H&31uNk_^LD<5q_-S zcXL4 z*JM4?D|qhR0rp#7k};9~(K~_mW4KG<)ndy;0@%mD85hJXzbA`7p=@z#r+&W(I=ejpw=#NP`!1BU@5rf7Ee-!Yj+W!e zx?d%J)XGTSy(7cDYxnQkmbz-h8(mjzYAD30sa$8?0rLIT--)9G7$RK4HiT)^66-TT z-#H%innf;+D`P#a4w^dpV+#?e49r6ZPZ&OEt5T<4s*8O08`71^Er{l5Vp=UfPY+;vs20H;~xx*@H%{U4}2u!$9=s zT5z!OMd^DQWFrphr2%Va{21IJfNpR%Q(zlq;~LUp*G2p7)rAM_T9DUp?HZxzGY!2Y zC%GGC0~;LjE7ZR;c<#%J_%d$LQpiUP$a@oht38f;#}kmme|rR>-@XezB#swV2=!wj zPSW!pA%}jPVI0=EcL3b`oh~5nK2LwG<$&mv3HVXQFA<&`Mf^T72V=9RB%T--MC$D# z^nWh}ZIuGK!Uo55B1;v_^Pd+wJpLlE<8U`Wd5*gg`_iN~!pY4`~>``+n;<%P~MDykE8Ot{1;;dDgpXdPm>E8*8FSOLC6)(k$THF*pwi$ z$p`encVS$Z>-@&DScJ(QOk$J@esJuu=Snc&-fNka|2ChnBZ-#qVLBVb!m?Z@hln&a zgG#J|4)r#Q4)s+USSNtc+ei&j;Xd^~!RG>V#p0-AobD(5`DOG=kFpvlM9~*^bT)9w zOpU+#=m`J|yOG7kuGrod-(#aE@zIA{u=nq5+lChj{a=0ow+d0rudYuM|09KWm|gfo zJSn_D_#pzwllKS^Uy@cL!`t=gSO)Az54ki4gkc z^CtME8%5$>9n6v!6lj%vMJsr{2lWv|`NugJBt(2!bci^6w+#NWl@Xtc(wzslvyQj} z-&BYqKt6erodX{8}8`aviKdOJN6~fMkS|AO5x)8@{5y$Dn^d5paz93gaAUf1>grxINeQ^+PF94xGE!2*%>3hnrwYXB& zA@A_+nRKqfd4)9;9aaS*(5FdXlzn02ItA{p^I*Q0@?W1$bU4>WmLuyjdNZ?+gl_5t zuI(CD&U2o?LF$eJ&hO$UPxsTL-0bUzx3jXXt5#J$9lyHuQ{%LlwoiH2SOhd1z;X3) zp)@6@w{WCmX@u~PUeD^UAIQ#a>h_4FpB&GqA3uUO4|0kA`ixAib;D8T86uQJb^Z6N zuh!pV<Q8I@}T?(=i|8m5{@Vfg=znlJZnowvO!@#l`1#q%vgG=XF%BlPA7t zvb>#MJEW*z-{2ymRYghgl|m~a?$^cpL8~4a+LO2`#;_ig1b$Hx^oNsxvlGNmYgLuS zMk6Z-Q0w{M2M)Ka>x(hm)I4>iEqlTzBGTxv$$Paf?55Vt>Xn!?9<|!Q{Vb~n&BwP* zp+dovc2$QBBF##{f`8CG&c0%c&7^6e`I@NBHRXimOWy-pc)Tbq^4Ta)x0*oZO~R3Lr5cc&x-~by{I_L%pjCCI}KGcrlzaciyscuOka!svHYf?FNaDxvM<0YHzxS)*OiITUPWb1lEMEDan&9u0r@TeO{aQ!n(L0h%Vxo{?_XYB#G=x>ftfyPCcdP)m$9t-gzl{UsLD9oX!=?k*U)lEO}|p*!@Y z+;p~E&T%1?8@h5mK1OqMW%O%X=V^y-TI?1}E$*$WPb7C=bOch*C7L$L*of_6tH#O! zy_O<3#ox{2_u(vq17ib7>3{NsBPVy+yLdYBlV*{<#Uhb4f3!@|Z}2f! zw{vahe8R~X(cd#PAwdno7Gnq@y)01wj)-^5*JYV=iy4*ZsG3>tm9d-Tvmd$p%PK&O#Tu~b7i*}S8xM*cu*^?h8mr_M?mw0Dyq@)te&aX}i(!{AHFh{#j z9~^#2wC)0Uo7ZW^xoz_(Q#s#-P{xlyJ{n}dbB-Lh4(zZEwRi7T$1<$oje0yeC@0lM zm^ZjW4j;o{Z^!5v=y*mmqFt*vt8P6*wyIf-u1^o`W1w4j7$hg{TX z{9>9!@(9R(0%VmmG7#U(HWL~Hhwz+XCd|1q z&M;0K8MD+=UVimRbmr_yT=eGra-*7gdQKde)>+^r6NQGh&Jw#hb=(#R%?30G8C#+Q aYX!vyL7!0_U(B#sEsvr$f5-T1LH`e*9V6=i diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index 443cfd1c34047a9c86a7be582021324bc8adfdd0..1ea12acc33fa42931d1a3da7a9c62bd13d348779 100755 GIT binary patch literal 107592 zcmV)CK*GNf000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Cs43jhEB005ey0RR91 z003(R00000002m&0RR91004La%zbry6v-3s3V9>PCspe6 z|Mra~H2?4S|2wYdH{U$?_3Zm!qo}^Oq*o>nDLwd~Z`7R+>{u+#3?8)Impj#1s#Rlo z(*Hy5iS5zryL_WsFZo_k9#=kp^}rd&;~Rxj;rZ-=Q;n!vb$?pvrM~f$kHVm6R$QoW zJnwlZm=#ogukbvP?^6lp=ki&7uP}TQ$$XLT6B&?_;!a^uJbsaTlr$0u9(9l6SAC=8 zpt$%DjSV)eJ}U_)l)g#=@#XU;xl^@CS-G(1^Uthe?0j{@s+}(ucw@U zk?)lplF4U9xuWNtU{f+tcPdU_%lGQD;y1Mi9wiwiRYlvhWg&qgA_-q{YUQf99@l~F~fHhRZ7AtE-A0{l)1vD#!~bs z463jC>?vn;zbAzXs_s;nl^m4Kt2LBD%Po(mQL(bMQ;^PR4+B6rv%c-oliv`Nn8MOyhZI6Z%`izMJ17xq|5 z#iu`|tgqr)*EIMRvtc~>z)iPzHEI9!33NYGq+_zwG2CYaG&6$E7Pix>-zFfERjSV&rBypfD(e^4}RN zy-{rm@rn5s;}pnG7!pjMTPkop(+Cjc5qy(eO?e1yJHV(*z+EUTFa z+sR-v&&edteyfT*VN7Qj2;{AW4z@XVlehlq`{Ckpz1L<|IQc(qyVE9 zH!+a+)Qnw0@5uk`y&SzA|0$LMplUaQhbQGO#=@cM<6zAboIF7^W4oX%7Cc8y4`ZsK z6*?IjE6f^;VJ$A?=%+4EV`4e^i!lub(SzBvTru@XjilCNZ4M*B_sFl@40$(}uC?ky zjW(6G{?;ffu_qftfg)f{X=-tJ7;Wjh*7~8rIl*@r{(4elBi(6#lfJF5Zq3z{PvH;d zF5I&@ZhIQhY9lQx|J4fD;0Pp@0|3WO_J!)@^cDxsqZQf{r1eL0@T@O|wNi1-<-nQ- z!|1p@i_gQ;%7HiW{o=r{nPqbhDA&1U^V_42PkQW3kolhof{FWeA?Lsnbf)ThYrjT% z0vh`anWxlQnTvvsjaep_b$%&T4j7)NyGzZ>g^QqCVynu@_I$`WR1a^rVQ^(Yjg+@DEk!cT* zvmx6?(?Y*d#ZZf8{Wiu@#4@BLAS)8Eo9;^)M%n*4M@H3TRx?d`SBSeLb7v&HkcVF7 z48_3T?6@vKGVu`+j1z?GKztX+Lij%J*x^BN4M&GbQF)XCA7NV0ui-YrzucGHG_}seV3> z3l>2*Iicy`R%6v|s^%ICmP-m^korA5*k3*6=0MK)43P<2O3S`t=B+`tvM(x5Krg%O%G2&Gcr z_tL@onXD$7@>EduSd4HzjguDVh!DoSHJFJqjK8?IIn6FX->U@*V|6uS0-g*Mdy3?v zs189fjY+`LjNReqv+}J9ToW{7Zm_2@9noV~2hqdOXk%K@W4#rud!@vONs#&LC0prS znhSJfWohfa4)=4|^UapHr}3D|RI9=is+e?y<)e|uL0lULzGKY`r^;Ek3uAw4#(u-F z^|>*F-{hdu%YKT;8_;nz_-?c^uTP$oe!_P_P9YMwIP3)&uhD465|_6FKaOt`}y1% zq9z_sH05d$tQR82&wPW^3{*vyA>e z?zHY{YnjDFtIRRSrzCYxIf3>E54Lh+A{FzxSTlq=SJ+NV<7Tu@YlZqVjYb|Miw`xc zQr*Mj2=#et(E@jO!1EU){yZ&FgqA;E7?bapfKH3%>*b-b z@}XNDTlCliVMjGlaL0T~wQ=w_oX@AsyAuYQmttEONM(>$* ziqMp1i(``J<~?{nPaQrK7qF}H5O7_3rlT>8(W7groD6S(bg0dtwd5%@Rz5V za{CG2V^(?Fl4#pTVJxfeJra0PK-gpdsZ7b1hsR`0Zj)wtxS!9{5%~n%Tg!Y9#L^gQ z(_Zqp$ZW?3E%W|B-VH7|VNHF?cqczyTh`qgu4NvA-uuwBc`=g8t=lT3WzeL7C3VH0 zUVv&33yw*e1{%iV$$ma9udAThNt2cnZg3E}PLuX-+BnA!P12oMZ8-6Q{`s6+%q~+D51%RuUfS!VxC16yBXdSw2uYAE*^li<+Hxh zqa1miMTh=nRnz+32|L2jMvm;m=|h}(LU}$-c_C1~8BLzFKD40izfi7LHr8(T^Qqrm zQJzT)l~XrQ713y+zUmm}Sf`~X!%8zOP7Ugn!a?2IIV>*?uFcaMvnwJ~oU#q*R;{sA zGjo*XicIT~YRWU;X7TZ-DX}BI)W{_?<)5KTE(UFT+pdDtqw)BdGJnnhQ|`4V`uS|j zp`rsOrXus&u)^-3O;i54>v+dQP1-T=w1MZ7X1H%{Di-XZJ-yeveXJXZe#{kuy|cqs zDu41C4Z8f!aa?O`0^)fO#MGy?vQvOpU6DB!1Kd{)9>JdWM}FG0_&?+Mw&{L84WbPh zek+vchq8up@Nktiod&*kxU%35j8c>-F{|DTroBxn(bn2?EsnJ6DuQ_ah;7FX+rFF* zhu)&3LzY^>+FGi@4Q9g)f(x&vT05=@<;6ASQf#po^9o*)+SEI5XiS;QZPJ`%7YvC~ zF38Iiit@G)YHc)H=1ZP`b3D*8uZ#LOJ{YSwErd$vuSA_wY<4edtVI&~#MW#q{BovCEM;C)H)VH(0soDZ?D=~#m&Eq|L;JVjC=6e?yf&V*{84WwY%NOLTJ#wF zpWnpXG+{xAXso2-)eSU^F0~3$P}EOVkNbvtTm5{@NTm?FzPT4Vc@FLSsm z&2DHq&yW+>%|oJE%x#_}Ge`Ti9$KLX~5-u+DX zGyAI5x&Nj7pUF+A<>`o+8go-#lB=Fi;YwqnIx-3P2d^HwGEt+bJC52~YKu7Z7!v$J&yO{MWYeM+$36_JHdArntKD6(}5urSa(Ftk&*b2>J zSS{8b+PhGM<1|lZ4h(c($@Xx`u^R(-88uw+Wj`*Bl$>e1(rATO>p z)}5)c3-C>*?!CSGbL)uayCo=phxy|6zLzLn1&rv9Ga#%HsSg2&@lmqG6x-&i&QBofmwgtgN~>Y}n)o zVjB~q4$znc*_~kWE55+R(|E&JgTMCeJxEonDX=EoNZ`d{VQ)8#WHSy@uQCdZ?11l# zAB@Lrj=#n~KS)CwC@{)Yv6f!Q8s2c=DiaUTy>HoMY`$GRb#Ou=yjI7{Ci4%_8AJ7+ z$(AaN5qGq&z?z43zC@9&5;$LH>}AboOO1;aaQAg4Za6tRC{vuzYX0J~a|ireKM;9S zZH2|cP`ww!5;i~#535@7`e%}_}fY5G^8QroV0mTOZWQPcYZu&7YBFV)StXs&ZIwUB=XLoeQYKSegr7* zvc_~)+UM&myz+uILC-8wA+A+`#uD$`MHfSUP~30Ttw~zuJCLIofnlsRhST%S%Euyj zG@*Pl4C5m^h4II+oiuP`66c3lDXfOtf!D!96CegG>0E(+-P%TwPhcPWNujy#4X5(= z)Pta&j82fxhc3UsTK=q+(0S!V1?RFE7p){(WnGy=`zlDA`C=E1>ivVJ-R^A-(^31#ry+8Jee~)Cw_QWCdcoA7#9I7ifvtYi0Y%0dBMXkLDQqcWhtyuiGz^}4I?97OYou*Wx z5yivl%am=_FB(aRWbp)JX9dkwA-?Pauia%MTKqEQIo8==~eC`uSxXXWj^J=bQ!?EpP5EE_fBu_6*$gt96Z< z-tiHsA>A`p&nr#StK?q_=er-ef zF8NZ(o)uPdJvJye4>Ni8(Im@gVb&}3E^>o)MfYyRq}js}cV9K#NGk$9)6sXWEhHfE zk}0m$_c$rWP{-lQkmE9G;w57su!$&rG-+p#op2n`Vwu9D*wrx9&@$b~I9`ITpS^DR zfnZ#>_&zfyGEu8*7b&D#V&|>hrLBbm<7Oaxi9MH%$&9>HkrFMNM&JI}YgyWu_%X3< zRA<54uN!TkhaX>4@k`~b)|&5)sF5Gyo}M>{QGv`Vu4Q;*`~SGc$ddq4f)#35Wv=*~ zPOl#9@8Z#7<>W6Hg6I!C^Ksc)&cbsXPjxc60n(De*!Q(+Nn!Jx^lWHzky;wW={=C= zYvdB|j)Q1%(kL-xR+0ac91JcGp01;}E{aMU?JO2XfW-5S;E7{^ZR89bMPXK$^e(MU z@fQ$14`A&EWmm0sjMb!l0nsa9aJ8Pcr3$lrY3uG0?$}b0)2Gp#aT(2TO{3j${t@JC zVM--oFtbZ6L-W#xQ8c#BV*w*z%MCDdb1-sfiUZSV(tQPfq$9F{8_$A1J@&c=XRH(& zc{yZ*0HpWHCI6=2LGhj2AI-2@L)5rf<^e_tG_mY(`s8dj+ICVIfqHI^V#7M>bPPZO%%Y-=hTyh1&68}#iNwu`2Jis!u4^q}Q{ z4dHr=rYa0`d$KL`>)(l;NzgjRo46>FJQfdl z!+bo(*UP+pj*9(0S8 z=Wf@Qwq7kvSDo9ep<3uzo-}za$~KBrTT2Pzy`aPOc3ZABk0~%Zd5)i8$Vn>tYa(av z9M!D2EHx=ifY)w?v0oP-PB#~;99mDWc@%=ohoRA9V|^_<#|)8qe^^Uw2>xQfKsvVO zfJiMDt63NeftP%TO=y|d+<(eqiK)Gk=h6EhG*;==B-*v$j`W5o!LhLwV!>q;FFb`x z_Et+lnr)*HLhelPU4Qm^3aYGn<9<;|MxdAjH@IO;p;N6?Z@8E!lpl=x8(#2*=A$Rm z>_Q4GqoJewN?d6&b2R;Zp?~3f7Fmo{ND_xaxu4&?) zBA3Kusy@^f+++;(8>(vLVLB=b^0Iu7o&H=9eM_WjQ+=+HM|ECiofD?H>pvW@ zVJn7FZqz)QHv1Y?yg15wuEA+JeJb&`sT@Kt5A37U8&+Aw3YBcI47%j_6JX>YwvOs{ zOey}Y@G(l+0JelbnDe%-qe=r6m^o1>Cqva6kxOQmUq_i6DsT^;a0%u7s4Kw8f`roB zZz8?Eb&aAP&$axZLR>)3WED-9)GRx_b-q z`8V1=PGE9VJV9X?)PF8n;}DOE%z&YwDuq<;F`chn@^cgqv(j2e>VJ*R;gWMFY24dv)FN9V`bz z#(67mqL<5*zG3%b4!lpwFgL>#%Rbmd+iokcODr=PY?lCi!{!{JRudK2WvLU{TFeuC zkCCdxX4?Kkfj_V@i5q0YjSJEHPO5{nBQmjb(!FigJYdI#T@^+YVnD64Td8@w?-aLP zearRWPwr`fCwmin4ySuhABb40Yq2yyBJhP+*7dpU)7N{EfHiIuu)Hk*I6T4NTkviK z#;4>wLJV+8He^xg0Nm$; zv9vK|8$Zfwufx4;00@Cr?KIOUV63WgT?&m0o<5-Yr{Gk&7F&UVO{kxTxFJ+b<7YLE z8Y^%Co~}vA4d9#X6u3t_(Z!S#tcf~Nm4q(SabsU!7*1pCi{$lsk@b>ElektF;0m~T zp)s2>q*3wQP7>`Ukf}Klvzq?HW>LsX6><}@LJipYR~V^W=?6aZ8S67i6#9vK8#(#w z$Gp~KXJM6?p1tF9@6_m>6wWpS6qxuZzRyURcpO!*l_AGX8a*}uMkQoH%bG_;N~tC8&#$8yE9%l%_3$S?I|nZn zv0y~$h?r_;<9iHGaAtPFr#Tpu9yiIhYfW0};a=VewV_`FnubtY?$`;PtDZZYlk9O8 zzj>$vwl4%ALTKhakA6L)tObeyT4N?l&1?zo{>(O?4m42#yRVMOSy-X=1;oDEJ_(%X zlKD9=)*ouk)?=ULQ4DWH&U)h}Q>AAro~O_Xl@X*#nc$&MVrpZ0UaF=w731P+4+qJ_m8Sjf zc2kP?Z30d0{M?GKrN+-}1B?*%S0g0HSvq?ol@qmMm%+3B-K>K~cP&yj2lfI1{ zXj!`IX{5&Bc4w9d+WAGTJHVj@5QbspQ;CuhlqREE@*Jki8dqmy!JE^RXH+U@jvgJX zG}>BbgXMmpuRyIZ3XksX5(}h)M;iXd&I6$|C{m5>N*2SRTHdwqW~_C>$L@VxcfnH? zX44F9afx!(&E!m(rGj-`yWm3%E6+>jof=Lna{MjUQbcR4h!=Ov4z*n#e97njp$Gxv ziI}LQH{9t1<8mQS-(jlNR|ll(Y*yx4RKiVt#?ke=H|SNwd}{-zSP6T1A+>s__Nw1m zPdjU=*m6jRJ>G~OfOkA>BU}6RbUlLtm(--;IgF8(8MD8%OhrTMP88DcXtTa=MvZW^{oKoC|jOs=DR{`-)3^c z&I@X5$q$L3La>WX51`J;l+=FJq+JCSabT`}hF|fSaYadOf_9>uh#OTH?2=m0;(=Cq zjl2zfYzlhcxE4XrKRg%4axii!YqBwECLYz=?Iy&d-!7`S#?xAV$%408-}WY0i=GP( zAIST-xQLROeA=-!~#cI^^J zgx=5~;H+T2`|(CJcwqrLoMpJxMW=TYRPh#I!!Fr(H0?^R)>C(|3ME0?pz0$+gzmlQ z)iTTV2AF$n^V&ozR__Yct2@i`g`#3!Mej^zW4cA7t*KT{ZRdkH>=_*()G1l#Q0%bt z)FRmkc_C0HdsCsyV_r;JzT06G^jKvBmw)H_%A>qsPcpA|2&1&a6}YXQ&HWBz%7sRg zwy5+M_uDDqoT`l`K;E+QJIdQRvpf*-(m52;Gk>RJA+N0RV zT=_eH$V-q@`>v3{*gfuTAP*%L0Phn-5TD|~G$vxKP>yWyDyF?S?vADq z-sQDpyAX$z0!9* zwhytjZjc(!p8Sn_kGIj5Lh+p&GykwUX~uxtNQtqx<^M>dz4AG$4(nkR)W~-N@*HC) zNE1PGPblP7^h~lFOT}IfW14hqD-FLJ&)K@*Jqu4VGR& zS%o6hx=@Kcgwn>8KX`%|lVm}D0OoJ=MiYOd?40qmZjLI7zA`&9(M${@#e-X8dGZJ< zdu5&&lWRrv9TROtLa2dx$J30qs&{R^Ut}IlA^)fA^A)=%IHo}wxN;(Beuvsx$8A7y z`{$?Pg~nQUHTVr4ja=n_#>?k}Y1x${G^NBC>!Aie!LZZ3Sg7ZUkEOmo7iiCqW|k}T z$OwfYrSk^^C}md_dcLqMg|wj%Y72yA-FwU8WtQtD`V2@q!EBgD;?aw#=hiEP2fYpS zl<5uda`|qUkN@aPU&9pgf;xZqhjadpiN}~ii>P_iceEyUxV238o{7xPn^`%Tjafi< zi>ne^8;gO38oL3kK3zKAWW7*k*-{494zK4^4RWX$sMhzY)UI$%t5Y;zGF3EIfzA6Tn$x??)9I-94y&@}I}=z& zWHfj0-9RU{zo&*(e685bw*+j#B05=_VE_f^RMv8x6)I1W1?+?=>apYJTVp*%W{r6z zExeJw7WqiE(p9r!xy;3NPn9!mXm#mLl)pta*~Td{_l0|Or|i4GCm z+Z2z|9*T|D{AQ%O8Zb|G8ZW*_Z?s<5eYRCr^ZgKU<1A-NyLRe{bbQfGd3v5e^L-Lo zCK2p$$g2Q~J6?^=*c9LV+>PHC1m9ZUi;O=l7NgQP@6hIVJXkMg<>CI+`J{R?W)Jrk z2Tlqa3ov=EB$<1BU3t5g4iLmhhJW7tvvcZ{=+obNx#&Eexd%JhVvP5!AA`O3?Ka|VcadXuLMLqPw2mzt%6a1sOTmsa zDv;WISI_1)a0(GyI}LGfM5D)sSaR^#B9>}Qqj?7w)%Q?8%9cXS-Wj73%P36b_%HW) z9zjPs$X*MH4g!&;&yP{`@v7Qu!A}B}wWMR$2Ho!J{;&Su9Qm}y9<#C(@_WmI>1wXZ zL}hwdIkdjn)}niN`O%ANY?@88``)txbniFl-HeAaD`kB_?e3;^#&~=t!!G6!VV^rZ zf}%30>DorE?^y^vChAU&JngbYRtt@MBqn<>EwjJ>I`TVoU3Oaj(8hEN`VJG>b-OA zb1P67(hYBrLqjDt-8R9t;gQPsm7Lv>#+(grii1rDf#6QmZilama-EZhE1PKvkIv> zk*n(Q0{j$=V|~b2n%P8w*|3!forDedhsI)eWe?>?wZPO#*NO)qk%e#rP1==V4Xsm} zG(3YgwsCK}Nz%S#C)!NgM&Gl>>s5L?=DfPxn*NMnjZygk(~JX^<`>j zmW%mhkKbkD7YZ%HoY%moq+)COiB#EK=cuTZD>s<&(Yy@sW0^9B~)4iWz{ z?OURHyE1S*P<{-^_V>F?P0uLsSB*R?L)pyvnswn4uf!_wR#ue6bD2!#LkV#4(IYB0 zEQ#~{`3~;2J$aWvRyKT}Os03Ewo|H3)vQQeLRSP{IrhTOXCVE^qym>O2QCRc^P!D9 zpkz*(GxVT-O6QxN)va#qL=rrDgT;z4xFO!dsoS5*Lucr6j&~awhQDX;#OcDVzjWA3 z!Ymq#a8J^E%W2&zwE>l10#Iem3+`wpflcT%m(;tl`|)@WhA8PTzczyWvImQ`a1H1$ zb`HMOrF#!r-`L_2RY@hF88G&ojf2RyhUyJVm1_tf9S|M%FB(A)4y!Eq25BM(-w@=A zsk$gyx9Ywln>NK`9HNXIm;uZf8btqWY)Q?%KUlq3mU3BeHmJ=U_v|e-;750j$4#)- zvRK4{6M#q9Rh1FhEuPPz>^oF3=(4pLNS_@cx+=-$&>>$1 z#@kCGmdl8jBiR>RwL`l~?JVxC;#ywJs1_qMZb~+kDm+!$V3^J&Jur4$M&Wvyp>N?* z?%F|K&gJ=!Z67VvnMO7Y5S8@hP%2Ronl|xTfU9=E4EnH67Cn&O)59+1jRL z=GmU_Kb=CopVEk1`>mT=sGmU90dQC6?ncUc^eY|78)a?BXt?47c`0z0blPbeFg3Ar zSnK^(0Uh?-=&SPHnp%s$Q{=&|))`nfu5oM*26Cd|n6;APclko^9?!E<>9KpVIT#O+ z5(b3NrL5O4(2SHv-I-`N>H>a0ohP}uPSMBh2_((!)S2ilMrn&-Qf?2Rd2dwye$7LQ z)V!eiqMl+|v2K7hNss-6?Z^&;!9BV>ntC7FPNPEmTX(g8uZ955VuYqsLwIRjr8#y3 zHBH4{C9@^&$#yrGetE1Gsqy%?1qf;dsa1f~e!iefqbG(fha~9<9>dl$CkKC%9eA5S zz1mBr3|i~RpuMCU%q$(7p3!wm8`?XoASKm~Xps_9N8&tCzFQKhv#^ zI(S@lRUXr7&ZW|;)j~0ks5BNEmYM<0+}3j`#U}SUvP{oqTdY{8uf3Ma^BW7`fFBpA zdhHSzS_oV^c10E1U{DZyWrQMitHC zT$s6qm5j5$Oqp_{_b~L%6+4u&wpYgVH-9TZQ?TquTXWM%pFJ;=idYIUPRS+xkv?6= zmT2Z!D(YalR`9WoC|1DD}o6z$Vi6f@!w-8mZ`w;`3uRR zq2TE?8aEssoH)p_0Y%##5Y81E~3!tL}PjF64O=DjC)7JY85G-&uFj z-_}a4@W!YEg^EkrHj&->JM|9^u=cTsN-4P z##Y`x&+o;?cdp1kN3y^0?Pe_Tp7rG1ryk`XdAK3+X~UcKRPK@jBiOmYuc4BI<p0sd6n1sC_Q0PIR*j3;Lo!FJrRU`jI z`J!YuD9>sIhQ@^N<}R5px_OueG*)0&&qXW|rP^H`ZqVFI3Y?TFlDZ{5NV~_4Ye(^D z=RXeDyU2VhrN6;De|ejB$&s|qEK7sVg;)j|%Jx_x>Pl0h*r8!)SE@wRVs9_$BNGg0u^m zqifO}%kR23QwUy8vAmsn_)E&3ER*wmiNaPfM1& zQB=Z5I~b;ukr~&fT%vQ;(>Z$uwzZD38;G^wr3X8VP0#E%IF#l-RP#{ykc>SI&xz(j z@PA(lrQ4YlV+FLGyD8Vmk8fLGoz$V{9K_uQ&CKkZ=;98Q-d(nqA2RRFILwhNH`1dJ z1x9p`u`i!|J}Xg0@8Z97P7e0>0Ietk%6?I_!(AP;~Q@>Xeo z+cQb>3qz{6h-EZZ2IW(9Q$UlJ8-an#ci!9+eRgY5$|h2ui%Nnp>^F3s8#I7cPF0hh zwoI!iv=LnJG9r^^Y|+zQjsu#p#h4Sxh$rH9pkh0VQjD$A%`hh##eA5hCJdt#r_^KO zuWUoY*c}i(2#o38)0?ldp6QsH70a1|yx_={RHVQodc1JB)mhKpZy-@+M-`s%zLctK2f$H)lJJp+o@sQ_|BuL?pdxyEPi2}XQ?p;7 zvfjTqyYy;m9b%11OdSDKm8HgJGHJIu+_nA z53Rn)5YJg^OFn0X7X7TV+Dj_2t_*LCJvr+{s`pJX2EqlwTg_rk#E?CyqJKY`&LrI`DJ zZ!souK{&c`2hErR&*g=Sy_X zdqnv>57>m~wTnGZCVTEL_1LR^@xe-m$9jw0bJM#IU2W6H74{@aPDM=J2g*IIrGyT9 ze}eBaKabs%l_0U@GIul=Av);t{X?yKj)Qv1q#UzsUqhl=F^A7)-kOE3=9q46XMIcd ze+0aNFu0@3mr&)su_Q^d^(e~@M&K#{(ss;VNA3s3IuQj6G}-;z*+1KyK#)aXDY7uT9t2SrqX4 zm{mu+fq?xHmIF?mrHpA(J0})hVPOmOPgmlOOQ~ADJ7lHb?>;(;k6H>a`2F4h<1kNK za$WpF%l@owE!1PbVF4K<*`myn4gaEfelz80nf`iK1Cudpacif~(w0s)Qxgw9vrz@j zkt`9MpxSrDI+W_iHMyGeZ)7L%{MQg?wwU(Qd!%%fX}d_xzgN+Kgzq) z+#UpmA*=y2)1O`G)!%_)YuKqLK2{F?6U6$qb!^#Lw31`54%kyMtc)tV5G~+!)I9NX@^I9i-AEaDNGu zzgQbWxBpULeE9)RI0%6YH;a(?3%Oi>jK(K9aw8fd{{+F__?y>@odpRyV&y=S0C{sSmZTpvx;O_4T} zNt3X50@l(6V{6i`9Dm_Bq!n6;SvL9jc7G##!vR#YL;(5z!%vyC1M_+%pGXJ)-biC9 z^206~Qg6AnNT-?v=vW0Fx+LjFa?Dq|W3;ImkC19a&ch#WpluTsSmQR5136E60*qZ> zBWZT!pSIq#hC+A1RbCd}Kp{;OI5tNd@zBcv4`*birhHDq*xvk#kc!Bs5EWk_u%3AH zo*HDfoQs^B-P`(vDrvS1UPqDp?-I(n^{({pg71GioTk=LE22+XjG}KB)JWCm8;zao za869Kz^bk}`wB0q4)?!udJ28se~Gt=H?t0E^9CLU7|JhH(JJg>xjznCKLJ>tF4o~Af*UZA6?mRc5c9D}i=A}iA` z?{dp9Qn5O-_j+)QovE=c5qxXKl9t2MdE)FTf42ZpfFa6$F@JF9!Uez zt2uC@|JHYmdaW9wLjW4j$0<;z)N68zL`g9J^CkM`m;JbQ_*vgqSQ?^lKHM_En1JSA zZ4Gby8Eg(Qr;4r|>V)cMVr*TQ+|0Jf!P@}UH4##K3z^roT2k!#g=x;fN7iu-F3mDG zGjJF)VvXBJ*Wx5_9{F{!`}x>Grr(w@Hg4x=TKH8xvb?7Cy#m9IVs)EWs`RI@6-p&P zSWc3OPGc0DFJo)uMQ3bw?=a=k+%}G&DHLxD)!O}y&ILDjzjH6|#dN_YgH7n~sl*v$IB9wKD8&NO5!R7j62cy9zF+R<}Hfb+$ z4``JbPS;Wc1qtqM$>kChEDu8r(Q$xo|#jjI{;$nq%Ln)x0C z=-$m8UEIB2x}?@6OE9%eFjhP?jL}Ihkzx5KW!wvgvz|pd-s$`;-~tGZEjF~KQmu=~ zW{TxH3m!!Z+lS`s(m)C`)zga17V6jNm;|BM5FpviHTqX*2t&q9F|C*@WV9!sJnKVgMnITVhV7ED>{`C*X5p53l zAkUqU@26X(Xl2=pB1TQ%CbPnqawoczpCUkgqjp$@w{AX zJ?<~H)NrbFejQTwC3fO>Tbx>` zWnqQcIh5Fo&vv1rOQ!R0d3>;VW0$&~z!DBZbZtiSQJ?oSIB|yQAnpE*`97I+n4OMK zpdE44>f8(NB<{Zl$ocS1!?>LB0zJ5w+F2`iq_rW2zD;;ioTV#hPxALN$=%Bebx(4I zjMuBfDfVuKyb~)_knUUERA6^dWd;``MzXx>`KOAZL%^#THDXOs$i7+QG4&K%kyOn?ozU1l-nPW|$Gk+}DWNQ0F7l57pRH>LK5#HP32D;mAMPyE z7D;o>T@g7&P%uqNrbu(?^O39foi(GW*Z?)F!NWvxB0P4t`8ZJbtft`M=8jp~L*>WA z4ukxf!KG+stSp2TvKm^be8?|PL7|c%=|bp71GT)4v&}@PKfqWRd_mjV3*Y&U;o2Oo z!4giR+5fr)jqF~CUgSJsz10f+9l{BNWXFD6PjeG~qz4t6%MmLQxOfkyZ7#wHgIaJ7 z+oWoT2(A?+^yFi({go*(O6-WFlYKwZ@bM$9Lu_l(TYeOam$VGC#N2tbZ<~6`gg#)& z*aD(gLb5;J%%!ur)l(+XmcU(2i?s9TYxF~?)2uNW+XZzQQ;g`qC-d;B6KFhV7C62{ zq_!Vr10T8TmfEOV3mu=eSOob#XlLCd-aj8vm9{1MN;s?6bp+xoFYeDj>c;Q;zwJ#uj;Z}?TQuME0Fhj* z^BB(h+oi1~D|hDiX86)){bjjT*;=X%onN}OZ8it~jiBSLc~9_EvD5)Nwp7H}hoSF< z8;8^HuT&X}{W>z6;~fiz$!-v{oWo!;Vi;?~Zi&F^dF|C6$_Hlt>0AGq+i3h)T_oEm@7&7?DF zY`qq;ol%_VGveiY81h2D(bTfBDomJfwa%0cLd_4u?D>5Z)p08DO`gunY_22X<3S$C z)TAxz-doPpkboTko-#mm{US!tqExpI-}djJEB6{|W^ ztcO3XzVrK8wmrs;!~IG0&F>5HfjmF!8xJz2+G`1+;#dQh%$LR<%^PU%_bpZl?Z9)ep3xrhCs~J+G`?(n=iUs@ zEvb^+RN_;rQRk@9p+wI5zOlVsoBE4mv7|hjH4*Wh?;2ZDN?z7w4Zj4%Z+~Efnt4L0 z^GM~u-PnOeC0Mq%XFzIUgU-?SeTkftnpd$l=-%@9>@j?7~Yp^+4njI&IVn(ik{< zs8qw#b3SRv(*;L1AMLoS1NI{z&xsJRxo0iva>_wfbBwUQ=#a~cq5P^R?0kFKvDCc4 zQ7Ydq+*B1+j`ZCHX>G#%0Z7kIXa%qnW_9^1ksIvjv5mm-4bm>PWPfP>#Q zR97ibZgXK`o?QDUJ@YR{=c|mc;%ZBko8sV^q<6_M%G%%pVLx(RCQaOb8joT)zcMN7 z6Ah*UKFXMIc8p}fPLN10aHo;SdOh6o(!CRbw0tacGiR+4lq17GVh%2i)!#r`Q#&OZz#jMZ5oxZ2c`fn-lkUK$rYpKJUKe74S`E#tU+OLZa;a5-fp# zH`N_9F?D#NH5SE2stD0jht0b}+%L}X&UxD&2IR-HO`@^y{+4%{nrMx60bV|EfSGUG zk8)I2UXn{E$!O8@f4cYaEnht20pO30I>lBdnB~@(5^bDVjEYP)h!!5;x^O z%lS~dLJGWtRU)>=$5{rL2@x8#N>)Xbb1$#2WxVzWhZdu2)!}o;1KJrk8-@unNX<`QFvAUV8a`+b4L^KH? zwIve@QIql!)O_)JtC$AgXEgSEDD*|WX*A;D6*@CM)JmjXuq!^uixmFD0_pjjE!1UZ zC2Jw8L=2f9Zt)Q&$np1o=}-NxskI(&eOz`3T>B3+3+r5>v=`IJ8w2fhmHQjpjWG+& z$WuX7^)F?Lq1r>Ic=6cs#A?Bq*0d@1YIy?;@3zR;laMC{KrD9}^rQkQ)YCP7W5AXb zQLz2*m-r69j~8HULow)i9nv)uw%nVyL7LWgXFhU+zf{w;B=AK(!kD)OZ0<(JDrGI4 zp42K;cNDmSSNBH6#iCU1{}RTO7zFr4cA;9otBT8^6V)D!{SF?gdr#Y6!JVk;!HxuZ zRKo5wI(mhyqqemrKpx4sT$|%s`UW_7#YA0LPc>^Xq4tIr6{%%JE}BzpgS9ytiZ>CW zksq~JP?Z#~C|{l();4Vp{1b@*MqLyy^X6}$w;8|C-U+R&wX6~;z#AiA4+gF+i$>E` zZxwR&(8fh{EQ}F4eH~3xYb!7+F7Z%)3>Q82ks>SUS=CpB^uAQvS{w*03IrrvJcNEf zs;tGE2NQkc?QCSaz1^y2k}r$$2(CjE##CJBNU!-0hddi1 z%!LnOD^k3oIb@&55gn0n{FylbZa~Gm)01D-0{MD&4nfX) zc5M3;l&(oj)*-)pTfh`H1Cw9E;p0An+S0Noy~UV5XvSK&yhM}Mu51ejpBt{OS{y}` z9n~FIin`{_LSu?vwD_sGy2`?Lzd>W;Z|$X%L%f`0?nha#G`JU7_JYPXmOo9`lK!9} zA8J}XwL(WAg_Q;K&Qw7(_^Fzn^C6r{p+k`X_d@BKv~HVwIij@GI)mj^P|2L^6{zd+ z?6U6gnWZKkx{N6^SAo8L=Q>d)Yq{`k^Jro%iJ1YLkL$8sz2`KY;r_`-NuOESFzxr_ zr_+!vrzyh@!y5)FjBjSN#z?NX%mQm(QoCagXTRActNV8+lB%1sAnLH3_tHt652VNXT4?|T%#uH7U{0;bS>{)RYZdk`{ibSH~c@jz9zkb*1~I7rjWLs-9F_ zak<@J;2Jr8Q=)}V(7LZ-+_@4;6s(>>aBw&_RdYyhV1UG_s-!J?W5ZL-QX9& zBdK-+<^C)t<^BS0j<}}Xe{1>xcdGdfktr`bq@AI02wk1!-u5H8q$qbcx1%8Y`4Sxs zhPd}b>7LgP9$Rt=J34R6hZpOyQT%^pu;dav<2B~NXVPWrPPIp?a*h|&RW4ZuOV|zM zo{uzK^Y2ZbL%Ke9W;c*b6zs~tdh8fyEZ}LB(E!X}zuAkfr5Gjd59^npISes%m1jiG z@4eiyQU|W*E^Db1+L*enDM){KpSOzWsOX}`XyCP({)!Xd*?Gk}_dEA)aK<5rC~lkY zQgI#B3QdQ332zY(Ft(-~P6KW!Yq`r}6w;2u+1A4irq$m>MXtni4$VE-{i85hP;Av_ z5gUW1P3Bi$&dWI_&$KC)fE(P#2pzhH(4|~zrv|nH%OYz6s9IjKb`z;SiN1HTHtdj} z_04bcDSelKnKgi$YMJlNP~N?0nHHG(fbv7jhS4`ZR|8*QdM<8&Sga20(7n$U9%^wA zRROy{!_5m!hMjhF7`@-9EY($j^U3J`_HRfD+KI4FbB^}!NU*#LxkT^NtT^V4wM%QP z7G^baSV)uiuju@#+)@mt<&Z1+yAwL5XT!BymkOaARzWz+z>KDRW1J57 z(I++Wcm_Kf3aJGo7)|{Kh6&%i!1A+K{l0P}rSBaoV4(benNbmB&fD7pFud!^z+;OG zo`J@yA+T!FVz@yqct9zj=v$#T%_yR>!F=rok)WJk@iJUOMLG9W3$-X*8@~r_=#nb7 zU#K-mXF)!%M}Awu-yZQMg5IURL_>Z*V9Dbl7oqZ@LAF(tdGI@GRe!GaTqEzuJeho; zCnq!C`BeP-O=^(1l{Hvr!5iFD>RmHl`Vq8jzw#237gQt*?gZt0+7G{W{ADG1b$Unm z!Krgvp|_#XmaGB04gZK=?GkElY#pAMijQT3vamzN)QtUUUvw{ltw!$@Abl#YUk^K( zz=^sgsV0qoG0xw(!35YN-Um^>1?n*|-cb_Lu&>eJIgWezE&jdoj;A`@vpoHcM8?SMIS z0DUXN$I^@}y{K%#&K5s%SEhIwb`%0vsr_Uu^~=4DdS%XL9n&hw3p?r$SNU|J8vWgL zoNTpvtOHjo7N1!IHgWjdNHQlWl|*Wx&O=1r1e?%f_j$a-O037;$iqTkd@TIf?G*7e zzO!=A)fVPia@FKv6_Js%7SW!pw`EDBo>pk|UHo6VVuNU9^!i$R?V%MQ7P&{eL5yu) zh8G)CD^`j5uiNtZA&+hF%4M{a++(RqqaehK`D5pp9clg?el}l;FstqC;79 zItk*s-~WsDbsr|~KS@&_2)yqY>RMPRc;vDBoC9<=_%Km_d&rL<>XN=Jc@XTsD+fE&?TvnaoUg6$b+Cz0k=xfhf>#8RnKZ|$XC9y zL{@@39dc2(@G-RNx&k8dLTI{f!67LvHF7>5+27#u%-@JxGMKhbQ*}!o=3Bf(aPY!{ zyKY%V1Kf)_0;45s35*>OYtnhM??J5RiOj9xI6O*>RhYX^zl~pD} zohnfgJD1eMRvlaB-DvG7tSQIOBH1Z1_R!s>Y0}3m^g2}!Yqa+7Gki1f-Cf8#N_j@7P)0_IzsZz=fVs3WM%^}zI8b(y)Ad5XV;HT1Jqa&1d+)$?! zvY6D9dqFPE#WG`PkFNql8scM-2)P>|x}Pr*SS{qJ-+opouVVh!yK6CwsXPpspG@#} zWsmpf`e>oaD#QIM&$ zFSa^Eqp~EF_XRVuP{j=j1B|d{q}nr0S?_k z75{jGztOL35Zz93|hBSHA*wwsQn zS#cEjE`w#db_3Pa6*NCzB6Iw%jZqSf=f!rc;#xNloe?gm9r)|Dd@^kjbNCgxc{#{$ z|EMiFI;-~u@e04hwJuDw`52UInU||}-my!|d=huG)1h~Y&)w-=ozk>q$t}zEPOh7v z{(;Y_SmO*%9L9@x*Th55B4*X*#!S0w3dOzpTx1SYl#U&_HP<7sCiI#@H-A@PKG#S+ zbUchoQ*P9Z#dws{2om9h8(_?e)1A7`Q|k_pn5=mu0diD(g2A&9q0VMovwgvs=hghb z4f$xdk>X=AlXUkf%fFoVs@y7J3~L?|u-#zKRO72t%@tTnOSXFVef%jqe<3Ov?!|xc z%g}}^D&ogUx9Z^Wn9C!BUsUIx_>BH>()`m7TOYK>hC{k|+G3vTvXL6+`9L_wWD~1I zDCgf~@i(5qi$4z@Oou+G*s@H!pqnCX4*!R}uZ)gj3EG~8;10nFZaab0EEe2?ySux) zYakE^?yeWTI9%L4xVyW%`+oIw(=(e*xc7W^-ak9%q_ev<)m>fnRJBY`_o0pb?MY+) z{{0;W6|aGodmT$sm$DhC+RKG{2hLnz?IA>~13aFuxSXPOxk9BMiC@$UxjE~WUhmJ3Jh@K3I zZVYW5tiMp)(-H2sa#6M~3P~2@!g5qO-=(;yv0bjiek^WOuHD8stV3 zCSm!UihJv`iuyGbxF(?$Yz8N~@UB0#cgTt2)hw_GT>Nr5&u+tslv=_(T%W*Ia2|z| zyY5r~or@O&`Fue>xro1oaTBzPqTUbrgH0TdD8BwqlvYG%)yb~~g<;mPGBavMzBd)r z?SsVC4X~`+t)X(w92)=#H@F6veRPdXG(_K$Ashk?X*}KC1hhjN!I-c?}MN1QZtDaf`olleDXYWAN z>%pP4_>Gt0y)i^@uRJtA?2U7^v=85>QmxZ+*$*ELBxi!Lv`&i!yF_SjUUJDhLo(Uv zx&ReFT2YbLf`qjgY9fp}8&NSflEr9sr*- zuj#CFXF>1jl&sG&$~rxX-dL%n4|LuY_O2AW3`IRLfy(!b;%hFg8wq3eEi_sh}Fyuwu@fK3DWb&yZt5PcU5W12* z;olJ|wJutj_i!TD6)L7uPk-kc@}Uu~^^_GBO1%PmH$^k-dYx45cSZELyjjI&WWvZ| zv4Y}1h}AC;BGkxYveDn#Y};VbL@CFWBlf?N5AQm1tt$ zFt?B#uMTL{@XE2W72H zy!h#w(-{@wtF=^{vvjr27^n?{eq+hC_J{ct&N_1PZh>lQVgbY1;J~h7FP{o~4d4%- zE!E0T1C?kqVd|T}qY~}Y@V)jEVRSB7rVnaE$vftyA18pjgZyQ#N=*B*0scZ=$K<7O3=u}Y| zJfJJ_&iy3L!^wy3@e`TPbE(q@8G4qmHiXu$p-wXy>`qm7aEJN&6lIcjsMA=4o*R=U zP}u^b7}r!NtOZOcje^2 zG4)r|;hB;gL8MxEbS`yXA<5-c*TTv``PlxWF3TbM!#Tx0MaT4~xkcmy5mU6`X7_z; zwqQE?w!jk>W4*@f~E= z%)G1kx0Hr9yO1%p@HDaCy>J7pY_NH8qMiA)m1-rGJ7Sv5SujH;N{ZcQ8~vyy$q-x! zpBsIL*#Jk6DvN3JC`o1rNvvIGPFpK(*a7Rv8GP zOT$F=`6!IlA7v{bH^{Zn-p_=KS$N6U4Jnl0quRgbkS_MIGAZK2sVi$~^SzH0 ze7c9Y-M?}5VhaMKsjLukxG8JWJrP`Kit?5S|m8hb|4pjwj5qRP%eFcdZpjhXV$ zGF@wk0hhpeKM36oeZ}Pyds5u)@Re7PNr9s)y};xPLy6PRq{t${SBdWmBKFXJqtbUp_-=UqshT8m}>P;i}hWLwIwVc-W`HMvWr zl|{YRcm7EaQ)e>{k0_?+S5R~UYvM-VMD6I^Ukk+}OS}gyv{sADcK$@|`gLngXQN0c z4q`9~2VRMWKQc5MA(%wI;SwBeEjmTj&9WopUd{Q2L7~WrD=WKH8{z)qCuQ$pnTzQx zZ=le51$4*+Idok|8qDXu;S0Eh&UF9<#}S!m0XKTsg8LE_wha|4*=-=*NGgZtlNGnf zcRqS$s_dD;+1*-$rs&K5SlgUhW|OVKJcU%jEfU2Y=JEJgh*k!D_y(cf=Oh2*ms zEkfr$fWtFH8s&mbZalV|Yl>>2*i&ewn}6v`6V{ZVsE1eSGZb1xu_vLrG(R@+8DO78 zm+G48QVFt{K55atCv|PQPLz%91w~X8WIHsgGB=i%)ys&V!z2x_H>MM-SBp`sxjg+4 z>a+@>r&a4LRDV@x>Tv0<9-ZqWt^z*aK1=}rvDao=C)bW)hkKx?JAh&_p^RSAMp9H*lLYY@fpiAXS=CPxk!%l{u z3&Kqmn6SqJ*H(7O#MTp#M2nPvFm2u>fy_H{NP~Vw3!E5;a&^I6sx=TL_Aou^w*aOK zzCgfk!74VLC`_kFJV$%T6AQLsIhxVAuF?%m?qFzS3kP*m@-Zp}n-8e-i#}Wq#{uFy;Lu*TxP} z5jj3G=jnHAkb7!fu-#lGvW{_K;~ft*ZP2NTl>daCq6R&+&f&5#s+?25bG=g}eouZI z;)rwCjwqxSVeM*{(Fy+bfwyKaAd%XRixfz;L{mMFW6$>6dFvE;rDoG<@i;`-q8w{Y z%bMn={IL(~UsXK6hs@t{^m2}g`w#VM{(-P45MJU|@4K-_Q1+H`ZZ9R*R7Ay5$agdV zr!r4Ha-@Doxj`;ejJRUj>SYtDSD|%0hu%S)H7^=~gPEeq$f9JUCgj!IK{q4+u-1T==UD-4xX-ULzWGLd zlGu$r!!2AL_s+qwZt#y7*2!+H?-GAMV}Ef$=9z@R zj~STU4x;6NT9jyaP93-Nj#2WO0N3w&RsrxAAkB24ZWOF zh{nsh<#hwy9~RuHm9LkRvj12t${x$2#erz+P^UH!z?Hm-BlvrW)|4qS_$HtDh2D2d zB{CQL#LMhC2%yYH?G#!zdhfQIuM>u% zohX82NoXsIoGmXU-dj)SDG_{-%QC{9n56E zq;$?5aHp*Z6hGjDA1(5WMAedA(S20eO`wrMn0tJ;J(Pl)MKyfx+_T;vv&!B(@jAsC zm%_kOt6nO290n42`z@XtsGgfzm7gSb`>CipgLPqQtWno?M(s{J-pZ+cynZM`?p>HV zC9JA4e|7SNen4T(Dw~pQq0+o6=;X#adMOo|vY_m#==$VoJ)9m~l#%HspDrvS(^X{N z9=);+Uxrbvza%-cIv{iadhVixczZ7TElspUDAjB+@xjS+vm819MAk}x{f}hZcytaT zBsT_*-v2Rkp5D@&SkfGZJ3G%(X&L#)!RSI?M8#4*-b^_{ztG6^*(2J*xbT23NA!n=BQhPnal!BXe2KL%^|tj#Wcnl7$$OoI<^B#c|*zgxmpMOj!oW zBP4^{84QEElEEV`!Cl5Zb8N6C)FSqI83xTRpS+nm4gMmY3ocYp%mz5{`+q#DSrdlDzXqcaGVbyX z^!&Db?FQa2yf6V61-an(4V3`J*r)ms!R zlEcS4(_f?H>NoQ}s^^G0m!UcpG%?MbRy|Ek40YR)xteCiVRn_mNG>vOJ~@~w=RG7O zzsXEOa;t3BA;THHToqaG8z?p%YPaCvNGh^L?j7T$xH6(xvEga@i6f}hCD~uw&A_)Z z&j7o)c4K*GBKO%jl^_0_Z*bS*VzuIkBi&T?b7DQoJGU$ z?x6-1LiAd!bJ61xgKH4QN)Cop}6c9fNy;wS5428su@L z-cstF>X{idMF4mNou@`Ji>Kdm7nfvyVkdp!O$7@CaR%2gaOw*?%io| z=GCMD#SA)`w1&Q0H8pjhR4j0@t>{pLv^z7!Zu#XEQbPqCSTbkjkS>(vp$vO>7%jrb zgwTl~z5&4*pN!eOa> zq}5N2kIcUz^H%vL(C{zvJxRBWq14{7Cs4v0lDuCbH7)uMUr<7XNWFu;Lv)njdO_!Z zh%GM)m9RY2;%QODpKeY_E(Z4%SgB%Wa1uI2pSf(?&W6J%-l)G#4;4V}gl=LCF54WB z;l&yB&qdI*G9NLzGqr3hN0R)j6wPLKij+y_H>)nDV+6O;>=aG7--8C%lWYFhsEYAH zgS=l4)S{edT)D+|9~F9FX7NB8Mm3EUkbjt&H?K2*1}2cb`(V!8iVi}2 zm3j1))2z(-%>sT^8D(#&QXZd}xB|J13c2~X>2}^h`|WyxTL3u^>qpCsBtmXi z9_usqtQFkYli$WK2>ii`PO|p3+)%3P#q&&(`_Q`Z$SE*ihN2LSPXN%IvluP5wx2#y(HA@hZrwqT!eavgWD#7s zmFD@Ddwg4liz5w@{VR+)G6IUzCy%8It1eQ%j&Jl-;Y|{`>U*l!A*+G6u!0nr zlENB7%F*N6Q_Zvzig;^Oz@aerTbw@-)LkPJ=In&lRwAr;aU!)O^5OXzr51m58=fID zDYaDkHo~4B{4B{|`{SGXQF zebZ{Xa+iV3&m?i;t8k*F=*ihR4`=TU?I>`a?2tuOZOL#5EtU+c%*&0cr>9rk9a*Qg zGBDeij>D+uHK}uH1!hdo8gb&4Ep5VtVRY!ZB%}QnCP@VEtAu*1MiR##>=jXgxnqEl z9}@)H)bR&pqCM34l@@v3=YO{0T$Z!%`O{QuPc);~&z;taByT9y39HUNxt(ey_$4}` z=8B}>nee~fiGpcZRvCKsDtotO|FQ9wrIx)LJn3$K#`ACDN)Ak(=g6e>pIw@kw9h0q zj_kl{5nvjG*dlJM)0rQ5#+Ln--O7Pw9!M3K8hoE`AF499$T4C!p%?`mgo(qSUe@ow zKb`TpK5>1a-n`vthV- zxs%L%I>HLf>vZKd?cEkgz_&1&n`9<12}y+96%ngJjyUswfR_K=%o*J-4m}N+h_Gy) zDhsrAaMxN8FKCFAktG_1Mu^{h2wSl#N^87=hda3LlB1`@zcEk{(gp(Ou4V65nKwhR zRo%;8r81ufM&K=sQqASA!H^t25pl)(LGnLqjkjRyaA z#bL1;Ui>LS^4sH})CSPO-@%Hi?eh|E$z(|4wf3f8t{|IVcDqi;8VU#Z<^$ z)2O696!5}@mRkG9TGOiXK(h{?m2+y=6#bEEO9lYQQ85d>KGzg_u<W(@cuz2IpZ7anIUh7yNqf94|U1rq0JHchOwQrc%ZHbU1F4jQ1?$ z6(KiX-uoBwe`Nk2-0@Z3VI;?t{nHFgT8QPL{4S+6lI*inWMdoExlk%QNAnr97@Z`Kl9_JK&=l#gtGVc zjRWl4mA#(=Od}B@?lvq*C;rYrH#g+eGb?-dGA;HlZ5?Vfvjcf`Z=j#zafz5`iiKey zHs;rMukJ`c(#nktF#RTadxP15oxDSX_3-V($GrMURc|4*NIFh#OWy_z6cx*^&@vb( z+zs)KN3Z;D7nGAZroBaDxeJaKAvp;$9*-nKvKJFYgADy4u@lL0>uufgeinea>o<5X3#voe$rH$S|`S&IGcT<=o>b8!Q-!?~_j&Mu) zcWm@2=ffm>3Js;}Yh)j}x*C`Ga1qYvC|hOzEbdq9)R(R>?QSI3)4Zp+FR~igasxv} zF>^2h%}9PA_2$0ORNL#JaDRR~MOLg0TB6Cw+;zBSPD7o9=d+5#Ugk8^aR|K^K#q_* zESLJmS;|>xylri}0?n!cHeT^!oyrwxqGjKSsQu$X2kO&K&O7i@9XZHN0XVFNe6D5Z zR|CzrLZ1MW>&4wUS+@0~DjSO_yj0m1x%;E$v~|1OU=1%6xXp;FkpR%9cK+C+jj?1Mg+~8C2V*qR4=5;30geX1Z0kPFphjh-#aglC0*Y4VO7ccd zLuV5O3VSa=)G37S=5w!BG(28iVQ+REY40X57B5n@<{dC58ussFqO0L6=Gq|(yQo0O z=SnWlS`&*3EXkGD@ahX*eRR9jt1k(M*nG{IqV=pb3B+sTV;YD3?Z$&t8|(#CRBX<1 zLMz7A)N-yNx_EJUSkdaD;jfFbZ(OTFqnpV&Y*RF=^350e`@N4< zLP(xKi6+Qd(V!^N@D^4cC7K`$(bQX5Z5n^p3x~1TWwbYZsF>lqB8?EOh|=0>RQVl} z$+-$qk6N;^Fh#2lD8@zNza_oj5pOBlbS2tQR=3R;aZN?V72P-6=c>#HLFX6Yhqs;0 zD9gw^lsC^>y}BY>^>*x9L1jukr!}cN=p&TmJ0aCRB;DH%rCWQp5bSO4=7p}Ltq4S$ zm^&k7Y~`lZywJHQq0E3Zep{2sbv;-QBi8lsV>VvTgv^!X*p{xOQY!Cn#_O4ox!RU4 zZ_@kvG9{WN`OC_mXhlsQq14r?Vq1~#azOFaDTC@vb6av$2Km+j95O8S_d9)?guQbq z(T*{(PT2HS9;no_(}Ld%^xK>)ox<59)j&l@M)(63vqQ z-e-d*9*X+z(lHb(}>Cb zegR913Ca0YS7~pHteglNjq%eN_e*UwcC7XfYs(GmrdN@FQreKLLa8&ui#GXw08Ov& z2F00h5FTyvY;kXYKYfi1Jy#h30*bBSApG>)TGkgByC}x4fk`l$6W0bfux(#Ey8m82 zHpH7T&oU^o_^(V7d)HWdD}@&8j<2sO(7r`kY5m2^dVD2$DM(cX7R%sun^Ja(BPzCE zL2Nrfx(*h5IxHuR)#cNcusXjBxOUr?-Y?&b0z&85HC|Lql${2CxW4BtDv>RYffHv! zR5Mo|4b=d&f`vMCpoU{*ANdSRB$~NRXsCvv6;u|()0PQJa;swMY0Cv8RQFco#^_`^ zL{m6_E1e98W~6x&Rmc0J;^HS^8cw9(bHbvQru^$W3#{Ey_0Ea5WIFDN)?TL!g+MXo`QlV_)cZ4+8R7Ppjv3;vu{p0>VdBhZo)_lLdu z#>hl{AIVvZupTPzJG&vPpLa7EdVIoo=sLK+DjVCJm>yaI{&Stp=3KQXg!2A)BA^Ie zim~-ZcxX=m`P$GBD)?BEIe554rr1!6icE{5ZM0`r<1r_Ug}j_^mo=y0@wvq8JNFgk z#T&-+qCh}q(#~?Ur-Ph*H!CJ?dj)c&ZT^0z-sBge%~3IRCP12=b267oe{MHQyk8>v z$Q4mB-p*Td+GmZW=;h@a6SHFfpkf_Su|9!gsX+lr#v&3Ca(QZ>d+uoKRK+Id*l*9G zDpmmT{4uHAwyp zI!`t@BUKLe^TVwz!fQ=sKB>o23yQc`Uh{|6p%IM@VKGbyx?RuXm8E9gBC?pcu?<6U z&Z#UyG$7E;VoGbNQnj&OtFua2|2dfY&6FK*v=x{Qak-Lz9YEF6OY%XbHH)q)_M^~x z>$SQ|&?lb?5vY}&(v149$w}UA%Ic#S4x($pYm@kuK1>`&<#$^yy^<42-f@4MBqcy9 zN>JSRV(R>%85L7i*QwvK975+jDfg#(2UQv1)~yklr^q~#W~7wexm|y*ScN=|?DZ8K z1fxizd@4j+@}>?82a6mzel}W=OTvL2^iNLIJM_4{r0NW&h7+wuh-h}RE5%7snEHQA z?3+}z-@`EF&yrCtXfAJ%R_DfYQ_{y##g+|F`f{oCI! zsF56(tW{d8&G|aF!A%ufHYd`>8Zz+|c_Sdc2mxlzkY?2XYAsQ3FCOiS2fbFkRdkpd zDZm~_H8q#HPPQzlUBci3w03t&3P>f7qgpAB(3KHG^ec=O@vzm( z{M^dXG%|r)YcYu}CgwCPvML*c``oH*i>wCse5{|WJ*T^r|#$n6uF=22KnEdpzXDy8T~4C)1xc2JVlS|CR}i2gJ6o3WQW)^W4M}~ONywN z0WLUfW(Zx#Ca3mU7}*K7lftl>K zgqb1Zt?r~jH=B}M#c=me8MF$9$c4G`X1@gn<#S2owGKn%{lKF#=*=m|tR2)Yg0UXt z%hr1z?e7xZ$o6-2eU{SN4TzSJ6KDTu!>G$OImcCi)=qUPO)r*bp-x^q_1B7A z2AR)?AEpUiLwb$(^tkA2-CT(I6>2$)EE2x|K;tI)7a>dtcezQBF6z+ZV>}kjij8pWoHnY76C%+&X@q)+HF2} zt(qh&i)i=xK4&MchfOatl2-qeFG6y3kPjuW<%V___o%Y*K!mj{ABgnxV#WoKywJn; zbfL9;Ai_UNoF@TDg;EuFn8rd-MB>_5v}H4kdRt^y55+!uqV<0YI9wDJv+mTAsWvo( zg|uOwq71;K6Tujd6Q zr#3=2r(2_$^=>(`6FIhTqgIXX>unTT%;K~-FW=C(^oflH{p;#6nMoMbBHtb}ii|$8 z1;&OQqDvLPA8h<;qBSo0RB@=ikn-#9(hYj3vm;Xg1C3D&GLZ$`iN1rMRQ7?5O7suk%i`deN9y z@`0z>NLuordNYzzZ z?@~bO1>n5DZ2;x$onv#RUC^#4$zFKCIQ<=W+TrrPEEGF3s$vTRNkw}w%H}-k-d?`g=>@qZv{6~@SX43ju_FSWc^Kv z*f1dbk>(GY8}6D=i7d8( z-G!~(^IdWY>)cV2A{R-L@bK#v`e)VvFq5tOfj&CkX!yJQ80 z6T)qF3d@e`u%l!V|4wk?Gc%hcGfQ_!!v zI9AF9-<|l7NBvGj9pr42uk{*yeGt}iR6;h0aUeFkj1_7Y@8u`Nn+$dFyF&v8`E`V8 zQ3RPuURgS@Gh6rfBqzYB?jr2Bz0*{~Jsoi1?CzAxf=fdW=uD9{9BmL${AlllI&Os$ z>N5*xvE-GUQlSME%8MU>tX%w;spwsj*ng37?A|kX*}B9+pOzL|omQOAa7eN_)0==y z=MG`6oF&r6MY91m^j#P-1L3DXphV;DCsBj~L#3OxuZe+Yn(!p&!UeEeRRH@p?bZ1g zT79AwQgJ|pb2|z?+sCt=ZdcPwW|;-O0eUTkQ~ArO(>>7(SGGQtOFM>#e+wA9dYr>W zgTkqKaI;1n71-JEYU4dg1ky{j0+j|p=2~3h0Bo}@!9k{FU09ryGle8=T}}(Bj1)>a zQGX$}JSS9iYh#BB*Boh3g2AD#N9ZH#w@@VgUIc7$8tb(3hjipacf>n%b>6e1;igIj z@b1^5grf3HC2WQ5eAB9UrlPAay;`TL*hosMt%J?@kv|Ia3zXuO9FB2yOxfr_GqQCJ zB^PMbOP#kGCX~gI*xaR%J)r<-BA^e)phsT0+*3sPuTz$e+1ogMi85 z$jiV7%5i^DG@?~MGuV%LJMvB2Z1LXTdPk&ZZbkQZOJNbH#y>kwbdoE`aHyRik?6%z^Nj|q? zn0n%QNc+kM$c&)1dJ78cGMHDAa`~jvU8|F$uWSlo8}WeqHw@5}@w4leO;*=go~OQb zghWKpdgcB*gRz1&^Q>at;VX>Ef?SaWFS)%2zOYli!2@dP05byHn8+HBnw6yYr9MgNt=P&+kteAQ=Emy&d_fce(cO?{~;a4D+ z-N%`)i#&U**m9?Y-==w4tI^oz_VuUDZ@^Q0A!k%NIL;5QDSX=NP}M;SM`X#vcCXcD z4LC1+Q3#&nBPcC~dzgIc@baInXZKOK_Z~Vldxn`Vud0h75nh%~P%TH`Y|0mAj$FTX z^v{1%jruu3O{)N6<_mGcf2QRruoquW*k^^9q!^>vI7HHr>2FFXmyAv_Ur#d^fKUH& zkq0MJk=e8_4eh>q`X_gt99_7%Gns{O3LyV-y@{?0E_{8Ztb&qsrwyA|TlmcOUV6r& zytG}+4S-sfDmy?r-#s6Kd42oH`=$Ns%rDde91smqf-effXOATJf~UVhzUuyN=Znt0 zt58-L(jdlDP70f@<0dg!RQ3MrlDXBi{6L z;Y(VwnGY2uwwZADq5^R27kqB|!&lJhsmXaf}pHcSh4LK1xGj`x%oU~by7bxUgyTCyG_(u2X<|l92 zt8HZr7_W!`7pkz7(4iiV%`Te8wg;|JY6z%SnM>g4R@n;-V=7*8OUQkX3PpU6eUM%x zep0|D;AFMaN=C@5G~1aP$?n&C=2?Olg3+cG+1IqQW@eD3;ze8u9dqDpTDt*}HqZGo zvvT))W)UBLsKYt7In^QRf-w<7?aK--;-mrBl<*6zMRA zRPDt=Op~>+NY~9`OBZfoS-XCI{(72IaHXwQtd>#vhbBe4cb!jmo=s?`VkEI)XfMe()Vc|K>3y0uJRQWM1-+1utng5 z*W5upM(mLyC4mQb`uJTW;EEe?&#tfYM<$GKKfaI+WH7``b7kgNSCiY`j=1hE|>Pbn~w zFxrfE2-BPU%(kxhV>%f}e{3_2p95^8-RdSXPOj^E9S_7ImAjQn%Vgk7ml@$-BcyQ8 zjCs9@an2;e12_pZEwP_YBe^11@9@LYFEA_J=1CP3bulB$XnZa?6qJJ!bb+1BY&)yo z!l*r;Xj6UHGt6X3TYoPQPduMUo4gm=o^ZFiH!1=IfP^F3sc!Qk3A6?I&Nko}9nphj zmye!ciADACLR+sVTV-`OzMq}N>F)6}ue#PJ8mL`!x~jVRFTc5RcI^ewdHi|3B6fpj zXAgF$%3F2^xMJmpol@^&c6lZ3fhXnTJd|sUaN$IA4N2D?42LWoY@>+h?-;C#XdG`@^V9g}VL zaLL(2GTPQXT+x?|;iTgO3V5phJu$M>D%haibTf9Zmg`Iy=V&Uf0oI-xf50F(0`_&u zo>#~u@ap`aOmyi}xziCfDFn*e`~r$uuEIzVcHIdMA59D4@po7%&LdQPyzdxO%jTu| zIwUFsV%5(8tX#0Pb~x$o5`HD`y=10_1^sZR_gZ3p@-Kq@_;x2=1JXIX%N`l_^zDeO zd+@w1SUHhM?)=RH>n8}@Cu58+7*L9e){8zrYiQw{ycLdl4$5<?v<+ZhDvZP%Q+2 z{mriA#>p>78R=t#++5U|F&mnomY});A?mq z#?YG9btlRIFM2^_k|p8dv@QaWiPRP8`34|mvyv;t1UfDm5{i@68|KFjqrUB9Ju)=U zglM672&rDEPb(2 zsYlV~J>C9Er@oFt2)-A3A8Q~Ibi+@n!EQ)f{E}qK61LZ#19UZqR-ni2pBkh*gnOmf za7u?G0T{0v=Khdo0J15j6gpm1>DE8`H*BqBJV$uNr#Bp;93NA5%~4y!g?pO{DNT1q z3`;1o{+yQti_hyHka(~T3jU$RHXZft7~E+I0An);-ZHPqa(H8y-2n{U3GdNX-Y8z- z$85bz^%EO0UBCEV(Haq1txDVw3g|!AhmdYYp=rrf&z2a1&~Q@CSCM|v?&hqA2AG?4 z+c*!!DA{BeiLOjg_Mh7#Bt|6wST?qFwlpyyM<}3AQlAFCs|{W*aP0Nyf~$ zIt4F}hqke#s%Af9|NfQu_0WWkY>U5eN983BI?bP#+{59*tAplunAq>`@?wa z+w>292}|k>+M0Z*xosz2%Tb`j0@7rrKw9wDZ!#tTcme!yt}Lnk z1o~bNb+J~#1qV~)@H^?)vIdL6)p6V@)@ii}w(nz9wvTwkBRJPglqWq#dd}9xa#WQs zvy1KKMy)C^WqxKu-Q3at^3@Yfmd7L_kPgP~axfF_Hj~lnZOn8!tH~RI0Z!nMZ&OUU zt1H1uf6OF2A{atrk+#_cIodh@^cr!VptT#`}P}N zd|_vDRR$UMF7^0_)+~t=r96nn%lo;lgb82GsE3b5hMHpkO~V$^L${M2k7-05t&yY` zeQd&f$_so)b$C#UHh?FE)GSbrSl_Pw{3yM`P1V^d<4*RF<9>Ep>O^5}K~%1t@}zm|z0Y_!&20(Mm%=~2de|zCjmd)Wuy^oR z@8F{OwtShColhun2t;MLzbs(43MO9~Ok(`COx>WxJ->|AvY-fS3sX;aM{HiPgHv3q zbZx+dE_YzCkB2tocNM37QI$(~2<1ut`Y62oiBMM0Fve!DCn~8BVzF_lfir&gCBjL} z4j^^Y{^v;P%rfOeOPX6`PuI3b%tXmhT!wFHSCvFHYNz3N)Z#ZcTR|-68F!JQ$I+$4 zjovL+nE8rfl-)_>`G~jI=UVY~NBw|@S@xtR1)vPkLgHA9jku$9khZY zzs@|KZgzgtd1Q;WNNUqY#l?SxJt?Xn`&7#PygD-6vyUB`73@c0E}?7e%Y05NKe$4K z+VJ|=PU2qb9@{Ceg?Nlkdw^V5w}iDy=CkfZ>*c|pSfb&DIt8%0S|?zuTLrY~5Cs3k zg^Sg3$LfAG8(c=#8nTLf#DF!DqDoDd+u_ zIilPUPwVMv z+LolfWkjvwR5WQo0t^M88N;fS01GMS@TYfyKMgmdFt8oDp#0`3sto^rXPhL(-RQ}$ zSc%?e2*$8d^}23UUs$Njg1G$`4{|69`eL=JDbD;O8mJ%ft`FSDI;a7VU#8N9sr zve=BXpns@@mf6TYaAV0&L2c1CUQf_EpK+GW7yVXin6HN@hoUv)VhQI1h^Vs*r2(0_ z64}^s6&Og1#g;9cA-2=e_@&2ae-*EZE`NDy|JWg&DUuO zZvJuDB8$x!dRZFBJEZ|_Rj}2mGZ8Xc2qk1I>i>-|prq%&em%{(I z%#q^P#xag%xEIN0D84ep_*V`o|i8w4$=gcq)3^ zT{w`JfNQ2Prvi z*jucgB3yb^!x^o%B(l~qlV$~M zehGJL1gfNRdwSv^T9owlbdHT@rJ!?flr_m)-M--=0b2%VOYFP|70uz@2fGq~UVIi@ zBW2{~H2ysL#58R_pmY-1PvM4VHOkVHnzs~bs-sk5Yp2QKRo~g>k-obrVl-5tl;-&M z<+-Pn{G<`9P|Xf_RLR!#BceMZh3V+jBdKXkw_fiCQhl;od%kLgrD9gx72emu)z*OC z^BY@VsO@W{n*XOY@l<}m8dWM}wrQaAM5D>^m2`SzKua#I+J5Adr7A_|K|M0`4s9tV zu=73SH`lBOJL{yf&JiaCdh-H^+r&UK#ihT+zPd%{mWFB-kC{O)y3_M4a;A(TjVu9IuNq9rWf)@#A~`M30?6MWbGaAYKTJ7lcR^W zGU}yh&t{Mkd-m=69Ty#l%wa*_P2TqPPbX3r;A!o>BD9Pyq4V!kU8fWDTiLi_4O~Y7 zd<%aGpI5V6Ix41Pa#fGSe$QwnL=U?dEsqzI5WS}Mm7hL66)O};w3p>s&d|809k~q` zRfPyDsAs_q;4gh^{m$H-RVy@0?bvDFj@L}JLi~Ww(d?w@>IUXq3^~mlsYp^)w8l~0 zrtF2TWduvc!m1v6o~M3aVeiM>c5CNwC6)H(-2UQqs_xZGWWJv<+=K zZtb>mW6& zH~qV0s^>G-j8MQss9MRXvJBsN%icL|lEVSx87sBuKIx!-tD+HK1=nSH#H)-6W2E=C ztk)W=Z;JWIc7Uy3L#s7|%lqbFOaYENHVJ>oQ0JWM+B$tj-Tf1ip3Til?GQum`wU0K{J#S_g6SukSTofBOC8Rg3c=TRMvkpoUbyGK6`Is zbx?DgzZ{}@-lQV+Y*!jY(MD=oir6!aSMgWGY!ww9?5=T35Y$ZQ0>zfDy~AB?Uvxa< z?x3eu(*@;A0uC8-G#8=WZ#Ka))$)zdhk-lny!Vq4Ja}NSMRjiDF#Y$w*K7m*mh*#w z7J-Eb57`v#oO|rAo7j@7Ei=IPjx-yE-LWr|L)0P`gc79>x7CM8%fHzOV6mFNzjT`{ z?;8$8fRr5-uviiP;?x+VGiyri$wL(9g#fsj3Xmi$yUfR=@0A1wSi2wXU;?;a!&C+jY1KwjGh@@J2 zyBC|<{gz0_9#x!jImpjMOnM{=C~HtAm#nN+HAxj#po)N;`!rFM$}xp6u42+fxH4QT zY)U){bq(aw>8Q+wX5L2H9ofW`(mN=`?&8e5tla($ZG|Jc8i*yv%GG^`%6C}WMS@?o z6Wfq`bRw+p`=p#08hbP|AF4Ft42&+5Wc|lOrk}MdE)jH9r^YqgJNwULuAGpSGU7yTP8sjCG;RgEDmr3yDiqst`@yi z@|QF!v8_ycs_s<2;X>5e7=D6BX03E!2oCZ$;i=|sH^#$T)Zq_?2E(KQJPgXVr#a;i zKAEor8FzI|Uz*PBs$b_eC_G*2>;u{~=983beYHJ(hVbAJk#EZ>1O*_DSeA&L&Dz^a z>0el=6}1StFRjF8Wrs0opz!XZ>(#8YBM^#zq&e}tF!}Dt=Uss(dt1ZFk)bYM*Xd85 zejx>L5fNs}0kLMrT>mV#Ny)2TDMzXN+AmqmfBG3L784lm%r#l z5U4_p2o~FE3k_*p!TO^Ep;%=cR>*$J6z>PO&h=A1-3yRr&0KLq+hW-rMJymk#S;+%Dmuw<-bY2@f`HKNX3?OQWJ1r8OIOy33c>nqLoE?DEzR9*Lq(naTGPoawu6pPOBc=C%j{N#_04e5)Qt zOm6fqm9}zHJ`6d)De`K(e!Vwt_Je|v6VLf?jjOMAIVl2)E2<>WsGDNmN%K*wIK^bv zR*IzJ93Bw6>hYzo>H2F0dwy7}r0m38$a|`c*|)Sur@vomqA#}c82Df&!cO!y4K1JJ z_RI}enLTBmSQNUY8*b|G6~P_uI{9r&R)4sSfHpWf;Elhst417&2WE8U{aDkvl%Ym1 z=Y|(u>appnnfao*!I@iC$UO5;@w1lj_2AlIW`Z#uwEJKvKc7qn{E5i+Y?97-7pnh} zTFUBaJK+6Vz{z(7G1zsfR{%JXHJ4b{GiWMe%h(a?Va@)K`!4E!Y(%I1qa7|JZ$(~q zf`KSZEjd*#DA+@Y)-C?UiDcYxf;y^cM#)?fOss2ke9w-4ZS{Z%m)GDpHW_&n!brH! z;IPI!X@V{A(KYInTU--1vZ6`Uz97z~_ZU#xwR>F%Dd$q*-G;yDN7%pcG=o;jWE-8$ ze&xbGHdp)YuX_8$a)@@7UbtYGzHd8luwb#t$;j+3Uk)IOwVHOy@SW@Z_tAdN)Q+%v zRn93J`IZ@+8;M%i7GT9UM@*yshn_$76rp_x+_j@rebAZdc#`S#upl!b>cvPJb;TDzD-U z2sAt1#^h?-{@;k#ED1Sca{!qCT{Z!5Lmyf2O`M})}| zuElex1i{B$pr21zENPAhH(PSd(R28lz3xcu)pfPU6<5r*%_1(_4LAA83mGj~f_)M9 zc1N^G{3I;1KOrqSo9Jutctl_pey3x)3GQGm{)<@YE|Lpq;Pa2>^nrf|>p^S@@5T=v z#H*b4X~b5g{VCTsd4)eE_+o7L%I1pVMLl7Pd&L}2tWZN4o6|&h8$g>$B*t?axHFWs zBJbf~XX0?|Qm1yZQu~P*p+TF6B(M${aLisii;YsJG&c95{ac6oUL*(uwLWt_B>*hzCy)2QPvDMU*gVg-FXCP~mUiu#_*!m?3h zs8N{({x&aMd{Yz)6(Wv$*maX5+g-k(kdEb9VxS7aI0sL#!fm4p{LJq+|8`J?+$$j} z4#4=#Q`cr$dv0+K+9_tD=|ftXX0p!0TyAkl@>t&h>NwyI)b94&cZP7$k-|C49N6_r zE5E;0z&l0haV|785RlY6)XXs1I1hQW_5(FGsXzJO0PRa(m8pBGQ%wcOwn*p`OVTxtYv!#sNyKdNS(C_n&2kKzK{dIYrczaW97Q%3Zg%X)xDs`}gNt#e~ju*7_5MwzeJf@Lg=J zVq@iG-c-QhOfKG#$pa$?tki?pNT}EK!PpBtrgX(QqsUwIIsA3)bmJ_9b#fknF0l6w zz;8X+J6gBg0^SLSKLWoOO@#XM431pJOm>S}yvdr3I~iJ-QD!G-5vS4=at69-;-!`fa>e%W&7?N&_drvr{GO6u=D zJn4PwcX{!V>24dG4Uuu!$Xf_)OnVdGIpEE^FE({UF*@vT^Tl%b80MRG4tT1XfbCNd z0LhmA!pCMrIt+3(ncIDdMVBKLu+a7m*sB_;d_swXX_TJpu9jUhoy=Kh)+5i= zhOLM*bA8sD!t!0JkS_RZ_M^(6o1ajeVU<{=Ekw^N_g8H(Ir@7XZN({n&LDSOx3$CD zfw)%7qAonU^q|<;arwV3)l%|@Gq!}I*0KU;hZT&wW4^NVx!_qjjxkSOBERS6if0b( zvk zl20ed0)>kS1!wMO-pxA4!yoB{>wrF25`oOATr|P*%GXoA)Z1eRv-vWo8Q4V7M#2}23_+_WhLz*PRAYhwxSQ)zCa#Q1| z)vv#}Pfm3P^TM$3yiCG^=#o39^|-XH;)ogO{O7@*dq3`|%~0)Em=0X;72TLUA*`+t zw`wk^wi(9vT~UNi3~E8TVK{Dk#}3R#`C*_M1uorZ)z;f&al!Z4(LPBD#({QqjcDpX zHe)j<@kwG?oa}Vz?du6d7dGX*Bk8|xerWU0q5zRgP%zb#>O*HLxNM&J-GWFtWFA4EE`C}d2kR=e~1qRhA zY88hdifG6kl3MMMC#&Z`n(L9B;$(C(H@Lv^8{QjDVP&eoIMRp@Qcy}Bl4Wm6-X#lD z4P0O}^M;|Ox$?&-P)Cg+;lYVOm|27wC<+PMY3oWW0Kds)#<745(herUDMxG*Sy3x; zeh*Yo(Uh=7LQtFvEkR&)c)~6tt3Bv zgxf|p7{MI>w6yesBl(H$b%kjwis&3Y-A8y7wI4OKDLYLd=opu!_*+E;Dq()rI4%p3 z1Oh}bfFKNsB=GNF5U>a+6qKJyG?T4rHXUY8+YdcYoqm_G=|`M{=jG+6r;DnKiv}T2 z<5mX5%i6FLp~vvZrzNv{O5{s}{mBU=oO1Ku4^Wv3FITy3_VHBbPMb;52neh2Il?YGz0^!pQ=C{CH)Rd~+_p9iRWXe^~ep`Tyit!XEUbzf{y<2J1Acc&B5O z5%TBvTNirrC~i+8y;ux@ZCzmkFO6N$U6gQevPk;5Z#kuG#4FURMo|TUc|c#^vRY=< zTupqRaX@@e-6j@|Lk?HT60Rh_k5S&s$02kSghg}>LF5-*`uNqfz|Gw}TP9J2eK0$i zEmuC)+644~Zk{{Gt*v965YA&q&)GdJ=T$Rk5qd?jpi*F@7J5BHfZ%hdG5jHvpqy-) zxBx*qBJD@P-XIhR`SysHxR{iVjM6RgJguCoQsU@WCSms)#<2X(*{I*}L9QV(b;(F+e>yU7IfK`DGW(I@sB^ zML^XQD!)nVq-|p3E3C@;a4ISPjDm9XXmxXc26a^#(Pks!AKf-)r}?3pct#>?(;>?UP z%gO$AR^+RFNh7x!s6dWp!SNw7$C|_OS#fPD{K0a$Te|oDBX9GdimA}0#+CA(mv3Yb zMUYSW8Nt-1)}^d^>t_OiADHUnE#fF_Iab9-;~6D)czmpC%|MBcS8aTUcD;WE2wh3+ zw5FN|y{5i5XRj?*qVk50^&SkG(_gYK0u#YaA17lXiH`FEni3+~)4K}FhkzsG6NfmL zXfDGNqH}NQnihOmBONXB?%$S?z<+)j+p;<>j|3_d#p0#hg| zZ3@~m!b-8_6@zdp-T5wyN{E5VTugbqM%f}4&}aB!D6_5CG>{0f&McX8&9`T1k(?j- zO~2_IyKOggYI>$Rb*B1hcf2wLlA`;=#kz}4Ty$|ji@STsyu~?~^xl=EKP;A)m~K(w z=#|<@o7$KHwN7CU*32i}#A9SsEe7qGQdOHzk zNug77HUxV$iwgHc2f{>FoebUE%_SgwKFUY0)g(0YqS(QmQwK)DH;K)E3$?PJxb(&* zkOCl{=euD(RI%nb%+Z8QYf`vzBTw zVT=cq0z!^U`8Zfg)f8G|I)_0sGekHA5?#Y=Je&f5Fwx7EF~iDLt(r{uW7gLtBB$zg zHbFfsLWIBP-*fU22)FK4A|DqPBAskf=$r!lD<-x^@*9Z)RS!h5_4$G6o>z-!4&pykOtI@`ZhO9HgMDkfgiek{UuMkBR0d^Tx#}Nl zhEqL$!24!Rv$TK&1@%r6f}#Ug3_X}eba`5K;~^0L?41p-J#@C5p|nN0YFzF?h6{va zQJ42)usxIrepML3T~b?hpYL_y(v+Uk3oi}`4JH-kbuk82lf4|QWd<%v7lu3+0v~@` zf`WfOKPmuvjosBwgTwPQLIYvTp+A!czv6#5Ya@5GFPwR00Kk_1Jbn(mc0S5WcL!DC z<~XdBclcF%kq_~6&$y@F`N9?D!%(se?&|C%J2o93hS}Um@{Zo=8 zlX>rSTnC_z0))3%YPfvz>OyTy|Sb^4>EjmW?mGRGWiQ zQ;$YY3C>{kFwu1sSN&k}1?)&^F=giP5`<2N1r*Z|Pdm`*OcmEoPZW#qrI@Fs^}N%- z5sZ{oaZN$2esK6@0)NV(oMm}ZW^VEGhx+2_IQ(qk$PxjKcPXPcS9Je9??Z4$1)d|9CUVIBDkQAf!-=s`G-R)~)8zT2Px*kFL|WuT0rW~{`)8m>w%Kj<&s+vjHcAVXRBZwFsSQN++R$#8+HC@~l0*j&8x{R=Wg~6Co-2ppq*hIzp-HJD9R@ zHU&iBL$2|GltYKIT^ZdUgE;3|!eP&oF)jWx2rA)T+EX{>6p3Wz*zxe9ZoVIsF~~qo zYy_&>^pl8~7fm(7mgO3VnPZs9itq<1O+RJA)SP}z=tB?;Z4bXjQ&CMh%mk1A!ADA@ zD|haIA9U7R+G((GG%ylul|KPqEZeIwg$PME6CjC!7Q*sNv5E86IeTLwEieSq$HPs~YQIsYnCk{fFw-0n?r{<#MkS#kUyaBJG&G7P*NE^3Th_kA7k-<}|NC zcZ&`-vGd1$s=xeC;8?ErggS(5*Je$^PDTB}BIh+Kwy+bgtyfN`fT(5?-rqIgDz=dA zGTj(nqP~nvm5}WnUT2Hw|Eg}^lHO^Av?*d&z(!wq;EPvmz5Di*S{>I9}ZaGtdpsEYG1=1#Ra#F=mO{1aa+ zpOdBy5vZp~RlEn4*iygJQ7z}zRoQgdL^D1@e)ssgrF#7hx2({f0$X?J-o(x?uV3R( z+EO(r6?n}#i4>ZhPcf@`{mzjduNTu$q=%#5#zGWp;rkICh+6$bmT8A0b*~mSvWFg< z67Ls>ue1c7Gb!lL0(!(DA9y_h`0nwJ5ROJBb*u34&E6sv>lDrTwf4pSFpj|4&v;kI z1y2l& zKGpb3<{5tdl~1%2c`aq@lpr4!jW%heNKDC?A;vn{FXCAo%Vvk|#u0l~7D7<|(P;9J z%nNW)vlOhUW`R-4qIYzg10QLA*nAh|yX9KcL0RfppBv!9*>T89@7lKC=-^C#+RWaWY%PyGnYvT6y^`{0p$-HlPra|M0dICjmZIVI>n5k8E+ z^aC-)KL2wY$P|^D_Cd6G++{x7}y<(Xh&*Zw$ihTgk&ReV}xb8T8KR{r(tivhm)K2oR){>8B;q#IA(%k`?=+ z0?+%GF*Z!OH-Cize2hHcuwDY^OtwgX3?;KsUCmoWp<2kzJyWO9tU2za&?F@20AaE) zzA{2vk3hYr)Su@7V<;+P2L?#zZldhOljQ#toG)@Hp%@2p!F7*kYL#gb_! zQTn9cv8b%I`ZzUhT_Gvw1gVa`_TF*qDf>(JloZUGPO8JjD|T5Ua*>x%Uh z(9~${tQWZ{0RRrX=7l~NKHwZU?81vreH4Pf=&y9xeJn^E5id7*CRA*K_D{pA&WB5; zSK1bXW{FteXZz)*V>;2IciuoMdq9BdtdP#I`ecC_i!a>ycU49Nqh8@hM4G<-&OR~*c>*sn zH-3H1aC9^{y-KAXW65h1Oa>JcBUGvnx=+Vg)x6OLP^lSX1pB zpamV77l9C|PNX{+fF)lNN*Zc!kU_dcIW@m#YiF4OPn?M%z$P|Ut8rkckGhoYh%&eF zLS>F4s^BE99L>zXrO@r$Rv@+;s&NIS<9=@)c7s4!36fkXG{`=J#6!;6N7s?F@$r3! zS#+{VQqM6n-VkA}>tcoajihPF;pc$<>F|hI{8IP=@=E0{>RmIINH|3UOSVO2? zIScK@cMU)-XW6yjn8HKe6r#AvwLC(|PN?h0cR4o4Omt!&aaKY5;{-7q#68{j3=6st zYU4h;vb4w;2@oJ`t4X>>+zW2M;dF_Q31)UT;UqkawL8~Qx-I62+5AFk8Nlj42BCJelEkBk|T*B)qO_oCmdbe8C-QP|9Q(Fj&qOD`;J$6Ci zDs7aj$O3refuUt@sF-|_Y?)ra$xZKw@b&sjkCQb%6<~-+^Swr7-I$Wu(H7y{iYT4> z?YoIlMs41mwP0Yx3RmXk2I<}`Fjt>M3(;;-^i<3?wzmQU+mp`GlNG{t;*K6-m*NbA zsQIH$WOF7Nv1i7IgjB^^YR8&uRGc2nPCC8~+e6Ivw*IKPX9`bWiV+;5;FOYpqg5xV zpx8+I@gK+AvGkuoq1+tzt0TufO30HC9++8PzaS^i^czNqQp@ib7v@6$div2m zsin=FL|p7}3y_v#{a3T4mAf{p6KYRuUPaU(`%FFmj^z1XUBHC~8eEAO`4ZmZjM6;VCb=Hy^G2>ymhtoKkR)|ccwwJcAQL% ziJsWDZQFL9*wzFS+qP{xnb_9EwkOuk`@Q=oe207WQT0*X_v&7&tLj419mzU$Q<)xb zIu~(AV4f0UaK{h{H6QKIudqAIANH>ntR=f#Li@M@&w-^I6V_C+d@?H1-QVf#LHGML7`=Jh7Ax>A@a{zf^t-T|*7eUwpBcFB- zyD)&vsaGfV&u{h2OJ7vmOGXClocsYNI0ADOu7jk87L@2s1y+AAA2WpaYZSI%xWGtF zdwrLqzA>xY!-%L*q%z*j+co`4A8<~=%oqv11~*+wlY$=ce|YLzz7D7s4$(y&Aih8D z!eMgbYV=@fu7p1Uz!&x}IIYs=Sak4Jgajn}tm6F8WtxTr&GKh0JU?TQYveGrISWN9 zp*)1l1_*^~dimmY49R>;b|=HfA2=>p19s7p1uEt;gw6&9KDPXV#}4YIGUdIL-&mj# zq#w!UFa~z8QTz}PRrbNzyGd3tDm$p)eareTW2QqX+-glW=;0s*MtJLJ2tI6$R|dq{ zHIu(R515<`pVM8R*PAj%;X zwJZ>}wyxOKswLPJDZbB`&rIjKrkF`L+D%A5*}fg_;d_PmQN}Meg=3)asfl`hyE04WGyA zE-|_p&7yspDqwk4se}Q>cM%4|Tzo0PDDxbUmx1_ovBUE6r~ku-kR!; z$ae8~NxSwWsbZUaU6q#Ve(5?BxBjrlrwjKMW`yfipGw!1!SKlylM+L%lInpW^8Dfc zOD6^bXyd08+Nfkip(_;)&WAOv0+)Bm%Eqi<_F#c8*-4=1-tuW|2}NS6h!{o^5)U@k zF^#!YQ1ES#c8g)WTP(bvq8vFRL5n=4cW*FFcysi0BS{e%3Pio6*os$xoD}`EsaET$ zFyHlk5E&l!A0NXu?Kz0ldO`UV+BR-Mwm}mJ_OqRvU=vOD_Qgu{LMgcvwMRp9zSmOB z@75?MClIY4=vNkruiMiYvj3mfi53CVg=(P4u zqtH&#yd*Kt_&|Glr>q2k01?%zCQ`Pao4!e3(7pkO3gnlc_b#pq?fF;g3RMwAw zl(4F8hvul+i%KUjDO5Fvs@ZuIryE1UBryDAacJ-ME2q!CwumblPo$z@S~`@hFuvxm zXrhu?q*cH!S9Rj6HAbBhq#b=SW75z9H?89qnHTE7607UZAyF)L_%pw%`ax(`kXDu? zrr^*hQssWVL2BxS=HkdGS@s?-{|}z-ySzM9m?JVMT}um;3Z8siH1=lAM>m|(J-pDD zb7G&nAt5{u*2?RjmH6${lh+~|_!)d&Hdxi&1mCjvZ%lpUxB(nMJ(Vsuv!vF&z_+G{YyG&j4&dnO3kj0tAUaoF?vQ*EVKD8p<2F70`9 zM2H@Ps@8q=vqAq}?>NPK+Vf(cqCP^Rmlj=C(st8)qkHQjeDa^>lxB49lxwyG7C(~o z87oPtD8huUD;G==V+u0pl?oEH>JU>6aZy8gexM|7#uyQpiHD`6o8S}ruI3GsTArc)5?dnf}8<+WXHuq1I_<_oD)9PEpfW18x`VD0IqH-)lw6Y85 z)N&*qDYHUZB7ThdXDy3wI(S9QlZAWJ5o7M}9Tqa~7@L`!F~YS4?Elkr`$oA7kE zKO9oNadl%D89C)z)QwOl0J6-8R$)67vEm{3x<7~rsm^Dj;bvUEie+=HP<-=4^RQ2^ z5-56dmd&v^%ik;2z4uQvnPX8SD}hh~x5gpo6}50Rioc7PY3MM9`ZVt7lUazLIyINV zCt1j`BLV<>XI{SH&Wp|J3rd9uFd3gxiZ+`>J%n5f+vA=2de#bFxk%Pb^vl%H-QMwt z)qDoTgaY6vtgPyR!gmOUgo`(;e;CYu$yCrUP9m84E59@5(i2A(YGLzm|E$ynOaE#RRYbZ3*Ftlk1HJm znW*wYmW`(7cAK8SJJ%~OOIKxE;g?k3O5>V8R%dMj(U&+kV*L_v=82iR+(lur(ZFPN zksg0X4dD#Jm5?W`?>Jw1Xjk60uN6WNa*;)j*SUZ`rWmd*Mvx`3`zMi%PeChlE&SnE zY1+{fiQ8{-!Q_1rWhd4yVr1*Wn75xaBUA7cB{gbfa#ZsUEa5~eV4PX}RKWrK7+7AJ z4!e)GnL4S`ITPCp_;3*mne>8<=~`zQ+jH9m%oh=xqD&l1Tk0#MU|}Hy^#P4_DB5!O&?i*T1+(P+Vc(r6JasFFW}2z3fDui zE!JUVdZz~&&xP#nSe#cn^}bqx=CQ_m!zG!B-N31AIEDpVmfYc+I9wB{_FEx9JE89h zCWNUZD{Z9BLeHu1VNWwDjPXEXVy_A&bt!v~6(s#%HP^j_+U+FA9by~f$KYT6JT2^m zrP*ue?`+fvjvFpgObN{Bx;&cfNM@HKb?L$CYD211fvvh1-t_a5y+?~spF@!_QqWRI zhLCpV4jw`OsH~{RmyBp&ExnKL&n}wm4}g@8y^|1U1}(=W1{20;s#3r}aI#J&R&kLA z_G^u`I2(t$<~rTwBeLMIX!?n9qvTDLIpErk;Q7zZYQc#ixNGAkK`3ZX>kE8%rn}}K z?UCuqdjwzA0U`=E$RWj{+HjxXvqrTUgoQ&Of^vZqfk=beBhFA9zLLmlwV=-7*ADU6 zy5M27;Q7&??w5-$n=yL} z8ue)12xX!e6}>RV8nc#*1dO>yE9#v;Xy7O@NEzBOirbb7UTIf3kkkvX@`%^eu7)!q z^h?;NsyIkxq7MdlZJrp{hWV84RiLE(Za8CRF>t2roPIag_WLc9l!)fU{wvR?fg)b| zO1>2ONb-|(K8dRM;kymQ7tU%lt@8R9lcYe*#*n19{lT-DC>gGi8h?4^cvBehf@Zd& zhgQ6V>1fMCJ(qngJs~a^bFy!PzT=}h%^Ute;GwL4geMKp!UX?*<0(A#5rd5o5*SXj zrF4Vq3u!DfUiA6b!pyCv;h#^37S!hDby35MsPr*A=`leL{ErnB%pM`@$+6Pmo`0=> z>&~FR&Sg>E2JPX;oW`EdiGjT0(o$Lt2|!8N5f7HT>HvW~r*N_208o!|3&=6|cCQI% zjU+J>thrHXR;%n+#bW9Py%5HP*q8#moMYM);`@DvizO@2!`SD4}@ox*NtH-XXHs$7HMv5w1QZ`sT2I9)J8g+X4$sQJ%~A*2b{ zFLjIDz1GPxqbzK!lm)L%wX|hN~jbKBNy`zX7QR_C?%3t!wFpBpbpA zosU*boQiR8Ne{V#UxqN{a#b-56!&=eSBS`C?y}lUB#l5F3oL@2QkSmoF&B}BR}q<= zs)xr8D^qpd>rimkIl4z9Va%{31DNv$LVw<%Vy>Ud-~WECW^kTZ-%wU3Vs(H3*i{r$ zU*H`eZZQi_%)u6z)IK|5!*&VN>##iNE5DwVzThr9Zdq z)h!%JW^vy$*KdW0M*gOh=)pbMQ=#5@eYMnccjFgmkkTr)=ngT>Pd1uHF91qz@KR+P z>q~cw-TKSg8|{YcSCv`ON0J=KoY=gjr-!D5jQgiy(Ok~^*7Uf$se<3 zoM%V5HJ2)~QfB%N+}7oOi*9f7tQW2$G(1m2ijJ-;w6b~WJt`PMRCDpE%RN8RyHiGo z?|B9zgQ`rh8N7J($(Gj_B86%887sQxb`#_&Y@=hG)0vz>m4njQ&pjZ zh%xHP4DA%DV(tYUwL#!PRS%^>(Dw|zl&t!G{5(#LP#AD1qb)0z^C(MMmT5{)C}L@V zK*jVF{q8k!#`{@$g2Lyq^`!5++2D+?RhvZpR+LVIo4ub`nj@sOyhCj2dl|Z2QBeK{ z@3izXaQJH1OxE-8`VX=&)tcc0^%q#{o`uEiD55fMJcr%U5=+KW0teZzJkhhFmBiE2 zbu>#vIk5p@8$+K1@(V^6$InQdfTAiEn;|sbUFTS4I(6*tsUCS5Ryp&4DK5f5BHEc! zrpb5rvU8qW>8)-cHq`Ny6|PP6rKD>$Byj*Uv{k;k8bb(y=$Ax&vgFyv8!nsAIV}^r z(x)qRYJ%gw=%E)tw}VVOh_`Tq^Pv(S7A>X5k_--2u-jnwzg`I(I0~Ob2;;JHj7X-8jEZiDWAVtqtKYxrHv_KrW|eu9;oli{78CI1)8GX|^76%wE1XsamL4+{0Pl5hBhu zg~Z6(vAaN^Fi|<-&VDcQ@0mHgt2EK$Kg|}Nsd)y$plyCn(lqvEw=FQTlCvr-I!B>= z?ct#TqsvpCF;)&6f^a2ne}esP^9d_%eLqlMgYV+6pI$H3et+oPLy)lc_@Iuk%QFHF zzqqRE?WG!|4e}&E+!Y6>fSlOnu^S^)ZyUUKfaaweI(A0(+#tLjmxq!FA4Bz>U*QP6 z{>l3t14oI{QBy05rcMHe5$9y4Ja)KW)STsQSA3|}NFci0RD!>sXx5l}-998|G`pr_ zaX{b+WKF&CHQ#!M!2*vGN_`|c(6Bphg37h@KKgK9kSl-k6PUN6F(fYP*Z67jNcjB_ zDu8l3^V%>uYR_!E9)wzy%WzHxTg2Ba>Wmm=$n4S9cr(lQsR&cPF`@uJjEN#R?M!Ds zLv8#1p`uWpCz_L>o8(WS-27)GKvy$xxbm--?q`Q9w-55R!7I%8bM$#X8x{qFzsyQc z1A!Y`C|pMg{Per`9b^64-1u#zm~5&eyEv><)W-{G4(IrhGoz1Q0bnZ}88zEsUm0J- zGh{6*#;~kK#URJbk`<-Tw6lS{X$ z%pnhY`HKxhN^)rFIzw-%b+TSXJC~N3p=1oMfZ96M_Is2GGbte9nheR)XCBmhL?5fh zyF@?581k>hJ!g5!u9Y1898nM*<}v8OP9-wp@0{9Uo)9>Lw{X`#RSil5(-3yWeYKv< zq&yEwx$p`S&RX*W#D(LpNXk)PP3_FUkL~`*=64B^K!d|v*&m<1B~@P*wO_e$aVVb( z4-JX(u`87oeiORs)CBBc6mVpTys{dw<~7qrz0m`YvH%zRj9p&JAlJ#0NJPm{?#6K0-HbD!7E(AsQOxhj~cuN z5d3<-=;>YOXxt(CIk)}dU)ApI?g!Gr<-d!4-Inp##82=S&O*<-?~@s5om##kagop| z&Jj_qK?hX;IXC=B@GyQzV_WlyY4si&5*&^7Wk7O+UiiLW^o9J~`Jb-@OZ=PxtNolI z9n+648|@X}36rqDPbG3}!Vk5Q{BrMkC#ZSyGgl(pE5j`I$^S|pBxRhw?JZj|vA25` z{0L?Ef=$PyKzyljq$Hjvhjb8c zGg%0KDgc4+DVq2GY~Zj){k9QOc}SvGGlLRJ#CImD!?nj)gk=!d?iJ;dgst#!(lnA` zfnBm4#^*bTh{N>RD{kmqxXY8&%&eUUS%Cm-j>Op7S~`=FGFV-^^DCXLaV5$W-Vs?v+Ew%D)8Z3~=hS~m&? z@4n30uI*cPyI1)+6gDpU$SWGCJAhNW;sX@)m!9w%b6&6c74;=;*m#6geh2?^U*9x< z3qHlG8n3FF4KbNjDzsQN=_YT{ zcObe~(^iQOc{eb6-*r~+ZQtRhEW6gqIiv73XlfZz5zc{hjt%T*V-Cuz*2Z1v@3B!f zhd0>lf(M^|MtPcC&pKfwAJ?2=My{(UtsITiQ!O2{b1%Z7`T?_KjnORdZgEM^@OR_o zPN^fc4z)taPYE3PI=4&D3Ipe4J8q8vTH<{Qa_}-;umYi)u4;_u+z9lJtk=cAH9R%? z$A0J%SHs7+D91P*@OsBzUx>mTGh79K80hC-tS0BLg?l;GJ0SQn)kvb^;Fi!l(jEYx}8`*KPm9IJokHZBiRjsTHSYOdCk}EA0)SO>TY&U zFV}u?>9goyAQ+JNFhwE%IGMb`VH@yYy~Q>wtY*tJGB1skpG~ z`zUM+{aOT}E8TAjuV44!*fQ*=vy*rg-%oZ&p)om_aqYpx?&lP;hqpI^g)ZTkP|}i> zUtdO!w$E(O5;Rm~6ma!h4$yhUiWxRkH&U0@t4Ua>{X6l^)mGB5xiDt1RQ>cB=Xl~y zV$oK==hwbU_DieYs~JS3g;k7u%PD3|?b4=$N{{Rn+CR#sKDqR;ZZ`XB*(Z{KtRPu40QACMj2a#Xoh`-h%o~l6$Bq;C? zE0GAT4}6Qj#JXH@Lhc@yhp#`RTCR*~l5@;8psH~T271v528J?%Pj-_ot`lLus)N$_}AD&$iunJ$?uOh&mz7{`!@| zX1?$AaaQo6-9jzq!g`D?mwNJnU=E{^MakVT@6jo1i0}7opE`@$eGOlrllAr-jt9r> zct|9SdZ?l@AbVkp9l~E5)Bef$SJxm=r8Us9>=AL1GCXD91!{6?f*t75TO>7 zV60%Qzju&r!9f9_0HGs8TS5nDI7s^cZvQtDL&h!0hnf9ezeSo5AS}LDdSG)vA0F+` zw*PMbZzSXp7V^KD%&{Zqq2!^M{%=bEm*D^X!T&ph|L@BD|GXs6Uq^@w`&_$&FN7CD z!W0)5(fy|z6S*PJt<+ls%*>m&ysfW%GtLny)umOnV z0RGM6Hl)V4Ot#3o&cw0D`=8EaCHtohYc3IYR3HKZ`nPuv`7eRbu2qBDV6U1kEP&fU zq?{nzc-%jzr3>xaUaR;ES2b|p=0qgU2!TiabXO;5dV@5Uho7{63AcX*CoRq6xJtfr zNK#1iFGMv*^BM=$p{*5>V+1NoVoAB*i5xqMG~YNNpjratJ*5{8Jf?#a`L84?w$*rv zHF2qa_0!Q%cJm&rJ9;0?aVYC}ajppCEMU=B^CTSE^FJ$#fbu)9pJ!BY8>LS!%D)tx4C7bkB{U*A-pwL`Js0Ufu|BSR)EzMD8#9!c! z^3NCZ?y4!M4C1^v{*3XS|6?Eb6RDZv|0)-pXgRJc}I zhxtiF#cAJ=n`3E>@j31Oiha|;XDeTq0Pr;UN^_r_jMu#{%>|T-bIUaiFd*~@w zjD@fwI1a2!C~spR46VWf^1M}|L3uBK?NTjKxU+AE%cjRTo9tcZpV@xG$0GAg7NrKeO8JbV>8W&uSYx5y27bWlpba`e9~x>0vyq=n&mX~HyS~bqx4zQyYepk~T>OPVb6GS8{!SpH z0e^f*z;^f$HlIoaoqz~W4nj|u=JSW^=WFb2gM=i|4*c`TT&41F`Fwe|_`uZS8{~4H zUG`+fb`Z30?Mhg68%5>IwSpX9&^4UU~Fm$(QmkBMOEg!}fPSxy0yaJA< zpYG0S3RUSgVHH=2jqxxf5&;r<2q$vJ$v_()Z41@5KkiY<6%gevYJ-$%*;O zMo|ZhIeue?aL$x!Oh=(Y4=&)|6sd(?&4iVghAq-^XZdLrLv}bzk{PfOG6^#X>7v1` z8kI~2_$d8wKKxzpxy2PVn#y@{K9hYWIE>kQ)6{)&#>EQg7L2G3{C~U@j@(qALT)9N zD1B=qtHAT`W_4pQUBLgQT!o!G$5>cVU>K`@HGLhaT`}m=Ni9fUjp6#VEh}a6uN2(U z!9@#xXIEJ!Z21LlX7G)cMNEz@{~5uirVq=u;RMfRbEro^s?;70$hI&K#b%KBIaxl< zDz)RT?7KPc*&7aM2}M`NjB>T1XkH_|6xQ}2P+l9jV;JO59Z{qeMNeC2l|YP_*0O@Rd0 zzzx9Wz$@Y)0F}jySN<%Q(VgUxVlb!+!fA)niQ7{o-#TCj@59RM@s?_oh-Z0WU z*>4{&?ob0&$41yppEK@ezBu#tt42l9Kt#@@xA^$h?7`t;xH{aZxPji<8_J=FGR`4Ito{NU%N9@#j?qn_q2 z3=iUoLezM9C;_sW-R+`%d5%kj0>Of&CI~g(h(Jzau>!R4g(po62RNQY{<8d@O)aIN zG_R=wA$C!vE1i87N*8cyB*kQ}2eV}HLMMEo44reJD>AWY^k*GbE3f%tRB4uI0<8qy z!4Ld9&k-ZL=fzdxd^0G|ic4vFC=QMF&60}WLOm3$Hzz$cyWBQsOW^?h-PPY%^Sw^# z>M0TjtTrZW>De++hmc!6E~_-EzV?+{R*LgXXFOO5vXV6<$iLQd-pp52s?V0yxezcf zCxchKb~vgyar7o<#O%w1ECC7uzMNlkZz;(v)L#vPSj|&3R;8ZpDd(a z!%_T{NxUCtJ9jel$Af@crY`t`Wdyh%})jp>J~k zW*JvlW)v}jw{%;Xyab=v{|P#n1rW^{&+XQdn_|ZD9psc(V~S)G_3;E0MTRu4m9-ky z74Sl~Fv>T@=OhGut`r`$BRjWX*S&B?H#{k7{_6Z7Tf!k0-BE5C(QCQewX$6b$~aVm z1^=)v3x1+o$_t@W*3cM002FL`3hp_Oj-L)ODe99C*UlYTJFoJ;C>yBp^jA_7$m$W} zh;glG<(-15V+9DKmcvthqg!E!(!+5f^D4ZPA8HoA3@!A^8H8^g=$h=6bQpLFr`qXT zO{FQCQQ87^4HysVMl#nqn=`Bckj`W|tU8TT?pzCh|91{ka{^|Nq0PV#Oikz;3D719l% z7PP>8Yr?I{S8ud{C+t8YHsW>gj<)PxU$sMNF5%)os&$5(iMoYGO7mzaWsl?y_1lgU z^97%ZA3xvFzX{Ep`|#h7+&5nWt?IfQV)w(rN8(i0z;A5S1ztq=UzKFAih^PFe^%4z zZfhmoA=m?3B6+Y%atHYlcsJaOGQVF$S3_E%?{pt2{=?9Ajsurs{E8>145S@MUSK^m z2)gE+GxVQw>uegg*{L2_7Mj1}x+ubO~1O)=>v-a&PLPKo~pm;@`) zDUFLoY~qrN5%j|67P^^fmqlSHH8o8fl?l+$CMjaOW~P24x`dv+bg(PKiT(Z!=(2hD z5sR7M@8|P6*xW}FeO|H$(~)*bJ`6Ck?&I2k?jH##yVPT4SuAFeaGPzsV@N?#nw)RO zN6>AKCMCaMP2+b4*yax(46WxKwpewb?n<`Sl-=(kV zFRh9(Zm0ED#{zbVKT={AC73@3>p zY>FrjnOqARd=5J_2LZMuhwG5gFchV%j@3@{Lo~n3i1TNyo}7w@x&UgTMdWilF+#Hj z84jxp7PTDkF@3#=W7?r?k7f~IITjMqOxp`qJ=0i4S{p;^8>ef~gIA;x$d&oaC#)lV zUfuT#E2tIU|DSj-x|Wbf|DrXTa|>LNiJ3f@Qp&mhMc_3dBjmNZXd&k@4ljY%+tuEz zyku-D5=V+kduCP^ljkA<`LnMAoAMl1FSedIvvWawe7zk9Q^)XXC)YhqgtfOn_oK3Y zT!qSY;{h`sA2lGwqb=BL;EUH>e%N$047H4U*hX2Uos1NltptnhO1Zt6HEuJNI*3!U zu16PaGkgJ`nY5waI#5h>CtI|U6WnG4BKJ`lXT~-DpI{iPh&#;NPg?Hs!a(lwZCTTO z?n>v3j(}67NN_^!}R!-G&5JthPS(E54&@ zR8rw^aM?6~%061{Evw#&hTALrKHS2bnZ$Z=)ts0e z+WAVrrZz=KyyBZ179K85!(o&}+21gq?Ipt2g;m+MOj+F(!DIz(J940;ChLGD?Y=th zyA7e)W&sg>>Hx37tXs1=*p)3m#bi-cpixqC7ZvjOHmOh&MbIc{#I)<#N2x$B!?J-ELMu5d; zR<^cd%Wra0x%3P*D_6i*&^6zC=S-_&4} zks`tYmV~oBkP!&UZut%nq&&SWJxsDro;Fx;M{@x~hBiF%CgM3js)xEQ5HD3_2 zafQ5xEpu-Ge1NE_{S4;8UxkD1^aQPHuB6SU+RZ9vq)?P3&}G#Ls_`Z>(DR`~7y9jc zt^f|2^X?dOZ3kt?hw=VW-~mna@8D$3WAc4iOjrp+E#acXet|aGzPJQ}i14*%(tWSi zD74E`yq;nGnMsGgyUJwbhf^>DA2f&6K~`C)9Uc?#*lIAzNt7KKm!Jj`M~E7lj}smOOR3Q)m4q|4 zt7g>dj}*^B_UxHfa3Yw)~K!hD!;b~#ng zF4j3B)uM3Y{o(l6^nB&J+mPt3dFU)Cgd{j@lZ=1*`$q=EBS9r)CPHZBx!Wrvqm`-| zViWnr$eAjXmhD`W^`Fw>({k_%L;6LFyDNyGJfYLu9EUFkqgknGW|0Rv`=x*jFInj8t$0WV8)zVKMp%+Q`yem$2NWfgWxMP~x*_GAA^oxBt71q)fIJ zg%+5pM9tGj6$7HY7~HX3Ig!WxfgF(f7vxSAH=I&F?7#%le*;^|6tBRvQ{By_8O@I3 z&4~?YFsfgrzN&-0B(u{`DAKzq=iI~cbSUsB26@1k5s@@sS#Iew-Cp(G-Ml31T%iwM z61b$O@HlB9&Gk#kTOoNb87C`i#C56Gabb2_nM}Ks9a5!EMO<=OYj%t&L%-XSl~w5l zPHc9BqNYFPc-uOjQ2m?0yx+6)-P?fund+RJg}G_+diYi~FS^G*o>_8boa}c@#Y6HIt6N_(5HxWtf z7<$V4sFmbDwokVf#epZRp9o`4a^|+B3oGB~Q?NS((s770CRFHq`g1cW)o?McXwT%I z%5>$ZQE~tvVJ?;Y%P>esh9VW5585homQ?YZlBZ=-5bC7o-XgRLgfuc;>a2!V+5Jeu z+$!>2$-LwPnNRSoe`<=0$tsH$9)9vFe!AlwXa5lHEkhZR<33k{)r}AU#GJGHCsK7P zXFE$eo!_mP%2<73ffDXtBVOxagcf#KTMu{V6ZW<&AHt8I`u0@tn~o3~rZ(m{8nX5y z6}f>SY6FT00}vQ@z+71tFpHY=S5XBl%ARQJ4}0sDQfw(X_J>y#%&U9&WZjPAnjM+n zs1O)=kD8Hi6C0S&J4141St4k-k>*+PbfFa!weadcRQPElIkL4c@Hh(^5C(9Y=nOhUEr zG?dA_eCBaMKYvRrO@rm_x)~#IW{$UC_>wmy`|o-gFxPak_SAQ@)kbJrjQ@oklSdIJ zi7DbvQlzf7{25P8VKbcQl^-Ojl@{=Whs1-KlqJY@5GuYue=t;+K?tGT$rxbAlL9;= z3rG{|5=H72UD;W16?Bg$yQIM3XrwB3kDOT>)}dd?R`l(};Uveg_YArcuF?#ZD@WZC$Q>iZc|cnOo;U_ zqs(qv!pjsWnsOZqinsS{-gK-?=IGze$X{5L-Nga!=FJim?xt_d{Lhv|Tz&!ByH-J9XmDg+B z;C$sF35xHxfuO1)o)M zdWS4-oLEFdr{a11G&xe)-})?jG6>9q9+?im0N`wC1~Pl>OzKyNK{OpWdW#w_H#}Z1)b`Vy!nM8<9H)&deDuMST;?C}G?l68 zsY~s|2Upf8rt&7A!hf8Qa3rs@pI= z5s;rI=hGqLZ8l++moZD3O9rK;-JgJ#RYkQfISlZqW!{Q5D?9LowX34Cv?-*?nD3UQ z+0lh9)h_A`zMB5of#GzcnGOT1fM1CvDvFSh4Ldu;2DGiWA;mLQDWO)Dmt{??kH%KT zU+4cLUnAB{wrvsNhQ98RZ9#Y%ncSviFY5NtppRA&B zuD2dh;$N0<2Xr#o^^~~D9&xNJx8paVf%XITFl!1Tf<-1P(JJZ~LZmRpq%`)ZzJwQ+ z1$mR=eM52>!BYTdJ{POUYkO~I7vJ(~x!X`ORdccn3q*{^$JtaHxT#w-KFmS9O(tjW ziuoJ{7=Tfg3E>zx#5!n1p1Nfu4!sT60y?ke=Q(Cu5WBkQ(!Vh2rZ9f2fN)D$leg-+ z7PVHf>%tLE42f6NEojCn>Oyjeap4+zHwX_sh)hd+53fS0?5KfR&eo}LE1*%9B;;0!;fL2Bx@Ws}4n?wv)7t3*B~w=^Nk|L!k}84K30^P5Kyg!?a9cB( znYe%QVFR#sOsMb^vl}dTNqNSaZnO?7ksgPv4(-N=W~9L!9K;gNCzrq|&`q1+O0zT9 zfF3VEcj(BoXjo#JSGJ+3yx98g0sIOw5s@;(r$gJq!bJ!_k6h^o9nfS+g z9Nj%ps`A(n>X!Y!>rrhTnLUnVE2lSX19&c2F6L9#a6C`NPmy5{`rc1$7a12 zdB;X;xc#GWEm>fKbTd^2_g9vDx6!OeETUGHTLy!SX`+#JTOg^K0gHK|DLo&JJn^=; zN(5l@gftv`AgZsvgsL%)h(O_D>ZM^9<#Pw6}We_1fAmGbT1O0pmYew{@=oT0xOiPrXf)5=_#yni{lwY$t zEcK@~m_kKeKZ#7O22RGouK?X);O|rL8>M8pswXWp$n#LDK8b#~ISCDnlZHI}0UIW{ zx~64VlapB+#>0K%cmdSQHt4HJfX-jT0f$UQ{RREH=uq8namcu-1ET@gY~4%3t;6Xs zkuf+b9#@8;svv7G^V)~FmjSwx?yZu{&dJ!QK1A^yEK!@#qn#KZ{@lGzWuz$3EE8`z zqZF;-uw5Mz+^ynuytD`m$|$6s2EU86g7VOA+tTiTlj+sYr`Wrqx_EF5-A4X*I`-KXhGawpn9T_Tz@`WmTAVPSres*4!Lwf)vGX8Ty*;!z3#z7%TZW45@H zk#tYg#tQ}YNVPC!k;KwUufIKL-MM;lccGE^QJkW&Ha@RmIwqiS`Dq=IyKZ>R!5-XD zC3D3)R17BWwlSDPqTBWh8}EEM++of1l#lReN$har44N3YmJ?Q6!x^-_PoM3fSMnSw z)Gio+y|+V;XJ@s6*hhvx4$?*0$shBO2EN+$<01pq_dodphrlJ43fasbF`hC;;A@V~^@yj{0}9_egm3k=IgC($A;9 zy5BC}Zy!Do9BvZ5M|8$Es@0qlI6)QBlwq%3I|#X+m;Ub8Q61wU#B%QgMj}Wu(GK=@ zzTDxkZuaqmP$zfFYqvrTX3yP7rxm3XRnMkqrINxK;N+1?5LhWI$zuRow8&~3#u>QJ z0j2Cd0Rp%~`}}nM0FrKIiqyZJf z8)4~ifEI0!#}ZP$Q~`nxZ0(V-CrbjisKCKoq@E6#`gD{Xe-v`TOxEe{p9LhSvh_tn z0_(n~RIbjZzq6NQ+rh1&9^~r+BQ)r(u2KL&VK?dHr`(txWk$#p60SpPaGsJsod$i!c%!UV z=-lHLDF9|-?-LiO3`z_p1 zVRXYrz0G_VSy5={Uy8yIFV9V7w7hd=QV~M!%&dvFnnYG3RSAjYbou$3*VxqES?^&EzG1IMT%xFdl`xc3?v)UqVxNbDWJ z?&NurI6=ri)Hw3-kmqUh&$Dwj@$d;L7I%CwePnM;!4Cc1NyQU4XfSpp#t-RJCQ|Qf zJeg6zdqyX0y$d^e2rs{0u={*B|COA@#OMdY3qzB9<6UEiZ!mX}QrSsiY^gqhr-saQ#yuStQ?WeHHCxOf|TJ+5Y1pxV-j>eITp zoOJaq{raO{hfHX8!kW zej-CcD&Kn8?GWF5<{LB;9CDK3E|tH~a=gqEL%}H95P(VIln!%Axe-e>mhtq%=VKK! zX1vD$Q7m?P(*jyr;>_BE#DS@aSv-}-Yqk7wRYDa}u>L_eT1cqrb*j5*7O$D2-BU~bu zyGLcm9p^^1QKJAIauKU(`ei&E>6b^dn187w-zVnhXu3crX_S_9hp!m{On$h}D8G_9 zwiL*hHMzCkZmVm@9D6ME<>G>Bc%_-Thjtg`|DV-vpTsdjh~y+Z^FuqafEPNDnX`Z6Zf9;jIRNA( zMvY=^x3hoaqAttAd-Rdm8)9O%fWB-5W-xsvP2BCumg(BKoTz-~*&I}n2_jySkPJJqxo=hfW@ zY&6cmu+3}^kQqRGHR)fRTjmS%e;eEQ0CCLum>PZ`dR8%SqX9^?p`$rJ@x+9ku09C6 zs>B`AKm&IQapOi!YIqSQDqQ(FC5mmxcs~rUh5f#(JNwEA*Ku|?vBWsq^~BhA6TY6r z*bL1m97|gm{o~JT!mH>*+6;S^@IEZt(;PeD1u{S|H~c9$pc^$_7CIM9_^Be+R635i zj8WJ`AGYijO-Ol#^{UFUOe?nqpyGO8gTY-vuQW@U`_0hz8C1#pj=>zeBjsCgI{>fr zdcRTD2pR}GdFE|s@rdP3WpL0`gS`Xiq>Q|X8P}wG9ANR2bblD-dogZIj7GyMKc8)n z96OAi@R3A%s!_fh+rB1CHhFIfW1<2AKI(-{iVr{9(8QRikYX9$m_u!wyzq!m{s+t0T)zS=Ui{XAG5M97+!`*)qA^MmXaGeZ5tuZZD`s zre7X|+ImN61zf)8tTczWVuw;<4LsoP#rvY$0}D5RY*{Kvb1-E_#IKvdM=Dgt++ zh|NDkn;wn!@{BLprnL&_sp{$6knv|E*-Jn)Eod@hwaFmIdjBe zfLEO8VUhoby|aL~qqzR~-teHf6ba4)fC;f<1ilC_ErAkItVfErXRb* ztETyUhA4bzLz{(-%|u%)wV-jB2HLdZO$2gxZf>RY_ksQZkUof;?~}Sg%w|@~!JzK} z(64BPI;jc-K&BjaWUSnU@y)?dyIHBzvDvnvC}6Oe_Y8qyD&-NVJdn1Wl=nDMRuxTa zCsGRg8t)vt=IoLWC`}bUoF(UBihI7R8I+DV`yVNOJ@T8S`2eoX!aA2V4y}o1` zDwHAJZgG`N>H-P+LX?|E>4ve%q;3L9w@J!#ygE#k)Eq*ES!bHr$||9%NbkWWu{|Z^oqSQ(-B0ObLKM8tLWVJ{2To;JZ>y{ zr2m96ei0O5vb?j9S$h>O@GICGCnK<}u&68Z$@QJFjn?rMJ6B|z-#a#Dr@)c4#d4p5 z$=lX-qIe5gq!Y2`&%3?x78NcEEcg%EDS5PTXtKN@^0OFXNu0TuJD-1jism{C9Y#zg zYB|Jjyi0}sfKb~>>LWK>x_+w@MLs*ZBY^Ps*#}xc$RA{H;vr6p^BU|h!Ggh%=^ zfix0AOfRH&KoC%Mg0Z`9Mk1bsA2+EuffL$s*tlL2)@*dR1}Z*<0(J#^rK5LwFuOQ| zlmox7i^DyruSXyXd8$}>yw17TTgZ0bvtN3zG4r8WDXYVRN}1KJnb!AhPn`Tsn?~wv z`Qt(HM(qn4tZ>xF0UK~H|BhA_rh5&H$51)muaQ1}*{elSIZRUN+r>UQJcx?FgB!cJ zx=r7^-m3mvg-bDJC~;9z^HMB)+jR|Qsyqh6ZId#IBENKIdlinc%Ql9H;r7vATk>RH zUiE=!#mi{626btp_wexMbm7qC>wNu4h#wC&wuCLaPSOOU_Sz`b%I0epX_j{g?_;Ey zT7T8vIdWMO2NEHf2KW@)<^(Z_MPr`v$zFBEDQ4PcDr}oROrkXjUY&Fg!BdgH@?Sxj`-9xYeUiX1Wj-4?k`U#jyx&Rr1~|GIoN1GsWkCJjg=lWH zL5p*eYl? zj6fo|0!`itr3h(WaDpl7EK(R_v&@z%ZIU#0BOd!qmlR7Pe;;7N*yIXc+Yz-iAh3f* z;;?}!y%|-ZA!;T-^05QWFne5>x3>I6J~h*m%!1YK^7dpXH@9Hf-fP&nlVMV+!g9Uwjd$59 ze2{U#=R}&BWDqWb@Gpe|#_@?Ec#Ds)Pa^zQlyD3728{MsIfU9YRA2GRwd?N)hsGQE zD;S$~qQUOsZh;=(pwUUrgG(Z$qxrr?i4TRztV}FAV`T}PAcB#GV2^20{`W~f*VJZYMx^FYnsOnQIM?UGUJ)zAE5?fP>(2JWgJsoU#g@5x>tLjbiExq#>dejz)jLW zR$a9NQ7H^-1YCh{GxaUgQ&R^G>pW4+fOc(yUcbVtYY`$HCXx$LyZCjYZu;;W7*~Po zd8yzReU^Hz1=(&MNZV#=S%aM7Y3P>^0k6B#dxr|UA(|u|gq+{H`jS&gTTb9Z6a%SZ zFR7|8R2TDQx(on?tIuvn*iJ4&B}^*sf*uzlwLY|Imqd202!yEy-`Z&h_iE$cM9xw6 z!Gx@FkYR;M)&>H@MDJA9oS3VbIDH(*?3K3BVJCV!4?XY-TfEd{fU&S}SnIOIj`dqE z>zfZZYl{Z8cXUh7|84f_Ss!jL5k1n6pt36>)&h(V7l0nxW0ysl66hiiZ^nnuLdA!; zIp*JY%qw$g!*XylH3TOsLNZMcq{6kY`nK)pt3Phr!ZAOPx<+tg=8Ks%j}IITRO&x3 z^>^>`D7yS9RK8Qo&J3l2UP>dZ?WqDPW!V`=ymHEAI3!tFZ6p?v)9W@jMf#3Xv7IUE zM*Fl5;c;O8Lc7V~wDi5(<><{JgkFp(HwnGQ8%ht~?nE{M5X%Vz~X zlxWpXqUe=>eWi_*g%7!A+Z{xFrRtO2-&m>s{WS~Ld+~(p1a6an4N4Jaw5-2+Q^nIS zAPPY>B-)tF#bG~wSgscPv|vf8->2xknxSEPsWsmJk{P2h}JgT$h698`wl)x ztt)IMXL7rCgF&|1ew0hf-&)j`dC-?U=H<4}W_qQKSYm5yFWTChE;A=0BcvifQyWw0 zR`oI!rqMwYa10o~?hz?%Od+JQ_8ee1mGvMS^`=8E`qLJRCU_5|!ZYu|P8eQRmzNTH zGST}O2AG@%arKevd;wSHx6#%}B&EU|8$x@l&^;CXoj3kUh1aN#;B(N-H@omh)z%YG zslsq<)Vsd%COJ76fou$6yX*~4N`>Rf8fI{YApE;h=rtp7GnC$b)PYoD`+8H!GZa{Y zTG{@Cz+yR=q%0WPVx5SvunV0xCIk`p(jWsOG+RiO8@<@6RlB~$0dD+n^qNyzGW~;a z99}BkFS7yc$ug=nY|Nj@`*jRB+C-#G-CEWO92ruFf}^4EypjM|a-MIjA=P%VxHJ3B z)=PG(2Y26QSXb5{tL=n$yzc#4jV|fvgZ3IT4mJ*j99*_gaRnLzYne@e*Qk_ffKSD} zS%rANNd&OHU^WeKFr(fEV5`C#ufT_kq4|8E&lSKZb&!<%38N4bNGv@wiO+-2P7wEI z4O%x;1^?d$@Dqc>#?z#qS~qd%1p|DoqM_6>qJ<4@R|Z7+XpW_8N{>B_>CTKEZrsY{ zm0gGHN9|<5@OaU#P86+HG+H5c9s_27C*?ONqUS&f6MZu9Jmff>j(#bMKuKT4o(ws! z3NXW=_a+IksljrvSESyov7MA1pxdg#eB$#kT_z(AsZ{0>QH-&z)FAp*B#J4bo@iuD zfE1#TK!0Ch!z{hqQ5i>Zb7lUn4^l@#;KTJHsS(>)EWF<}n>O+!wY_1{o$lDUu9Tql ztHrcdp^`zT>0=PhwT&1cTk<=^nwa)49r&#S_xf0=e1h3EK$4r$-ly$a z5z8(aC`x|^=80)%c>Ba(4WUadV4hT#1T^mML^&80-;>TU+rZtow>oJ=Ie>xTc8KQK zxYx0U$D+x4m{IYgeN+9JEHTz_`$MeD!g3D*WTNW zU=UVRxA!jRuVhEnTaMqwRz?iM&*;}?SPm7onG#Z6jOD;OEV_O!RrCdb+$D_F6m{Mn zY7XN0u}C0jU0n159AV$B2WEGGcf45mpqr@#uC(9uh zJ$vUBZta7Vmq`+0^ax$$#E?=cS45{ev*o2cR^&k!z5ErT_xJnPL5pUhXRo$GZxl&s zEV?clV16jW-ayC+GEMg`qaU>!F)E6e;d(#NcPB*i>n62jx>tgxxg3(@Vm?Z*O!u-5 zl$--EV^rWmk~1;Wy%#~nTZuqeK) z?YZIGwP}eh!~Ap5{vueIoCjF34>@}0hxVJp!gzW{Z2Dc>yRIDi3oW&^p(g_e4ii>O z4N<3|*{*}0-qz&a)-%EEIro(%>SQy#(c5AJX$BCXQ%spQbfS2NwO36NX%A1X1kOJBReMb4D(AW_x0kdV487aH;&#GgpFs$GiZ{uwkz@P`@on6j~I|t36c?h4$L-0fUru9DEGCrlUqd z4~sRnFB>=Oj#BN(>?smuEA`8C)b)%h_O18pN#`imH&R9CA`WZYc{dk0de>x-(mwix zqo!<-+Ro9tHv~RaAEu;WLfbs+JiiJ`jkJFW+nSknw&;P4zyAaV({t=mI4&ScpJs?+reFqO3X(7?u%nD^SpClyXk3=bQDzs1RHjSOOAK~toVLSUzCKsv zUya@8cFf=5J>F`2*1*%ZcWeAhb!=L@rC~fssbc@=gVeSZSQRkIIkVh~z3N-K=#79> zPcgz`U$di|tanDQFX(cAuU^0HGFlu#UH5SF>l$Iz=;aUc{}qaqX*Q*}ZIIHZxAUo# z;c#PVlZ=6!%HdR_ydocbZB;RU%r6qYk;dX)CuRPc!zot0WUu;%YTYpZ2{`%}Y~!V7 zaYTJ9+Sj&(0Z2`cr{YajXri}Ki_kl*ZkECwL@n-;qWQbBPjhog(N`G*yb8Lk(-j@{ zH3j~_SXsOby*guNcrr^-91e4Fuy3D4D&4m{qmL<{NjW4d0j7)joT9Q#z^6nUE~9ho z*8?LDsX{ZBE`S00(yUiFgI8#V5eHt8rG-Pm4}bF@AGM!yUZ>!HWjEfPguq0CIpKdvyqN-*2W zROk#a%n@}f^0PA)x&|2T8enw_>?NtJ`N5I$7i1Uy`D8Icl#(S3i)a=h%2;$oUYT-a ziGX;vbHwGQ%lLx?|R~R-?4h8}xELCu&%mWrOUUQz`7gFs8EJR@tX(|+ETf;7H zQCr$dtAjGMHH;|#*Y(Ow{g<%}p66D)42QdcjTN~0C0@()#vp*31#$4f7n9g5qPZBK zE(T1p3b4+>HaycC0|i{|T_AKE-r_hsQ3cW&Ddk-bdwjg{B)Mbmo_1g#vHB({PoNjp z*R94BhAok>jTIQAd+llz6OsaETTr2P-=`)iOwCRe>P(BSj{M97 zywfMrbE8lET6`t3DrksZ&su|4{X{7w(+Oj6k+| z8b@(5R3dgr?Z6{~$=C6T&u0HioX9N)Ht!jWz5rW%QPcooPBbrdO~#G0b#RWq0_wPChND`zF*@K| zt~pF^3uDQ5+&EzQ>+e5nQ>L2i+Js5es*rMldlomZ$UpU+G4`E&F&8&@klE-$`q)Ho z3l>x7lnWw&F}pM3aj?zaZ~^%o*fX+=OG+>3MgDbj!Eu;~QdMUjGp%Ck=pt}jp_f8~ zlz~UDQV)XSL9T7IPMc#MXpJyuplv2EV*Y*+rDV=IV~6!hiYJGvmo*>FS4K9%wXYa9 zWnFLho1eDz@h+bX4tIxMb_%fesfI9;oiS7Q(1*6$lz9cH?<(JPD)T^samJSHwrNN*6K<*{dS}lSpSgkDQWYDn-fe+LOEJF_V3^UcMq6 znsWF^;MJ5sELRR{DjSy{L1o+~J~bZ^DJ zA@XZkS1DV;tKak3RmuSl#%Yl1S$M~diie$EUX2pIX|FW@4$mLy*Lk3q!>~AT_FZRy z`4JK=kfs(?!x_xiim?szeev#@(*NS9o$B))_WSy}TBA42?*$kdX0y2-N7WL3X5!Ea zdjADB$ec_@5+65g=&G5kD*fbOGt2_)M*zV9`pw>Ua!7?&SJ2E~p$vPZSn|Vpm0J$e z&@a~jM~7nc{q7dsP_8iCBCOYO^#JKJNfJ|nLh%ysB;d`&`alrWeoKQKB}4=lIIul* z$E#$j!w_Wm${XF|js5EvsHcXF7l8XTWZm03(b2oJ!Ht*`C&D3%U3{z@3XZnMkmk@0 zLiq#i#{j{3Dr(z*R@&iU^Hdn{`Hf{~UX`_hz5s9%Q?KpAXkMzQZH!(c5E6SI8@ z0OP=5I6)$^#-|N8rR$&b>JpPOoj8IW|?z$#>lR=-2_O(F$Cm znv)i81TtV}%j;Oa5LT>|puX}CaN2P+Gx;j5%dAe;T69YYWSHlMob z0e>>?H6Xh)R-OT2iH>MF^8|}oGTwMmC2Bij?y)BI`l^4bkI+h%A!-8&b^0yh;{Dpf znJtHq>=qcDkkTrAk}>5Quz}~69Ei@m$^3j|5MnIxIv~Ter2U1qnYzz_`oGjkV^QfK zorD5qU43ojYMBD*qoV{on^53jZ3xORuVXzGGr?`I`;;6)Nr&e2QaSMK*5UNkx!vA4 z8X=hy?j}(r{d!8)jA3J#7(4w8?)FlsO4BxZg?M{#aw()a1AZ7PWg1~4^mIj6m3JX} zWX4lk^=$L?63%Q7fb{)n%b9K8z}cZOk29aO+Aj_hVHXlfY;4r~9F7@+xOgf{AuU7H z6twk6#03`|p$P&h8xRi#5r0J4yQB!@j1Tt(xFOg!4<7s*%ZZ^^h4d!#&=%e~Mx*(? zUk1Am$($w53hV+HIgch>S+_3V73Oc9`S-089dwH>cgwj%2b4!c!C=KUm^Ly{a86w5J|e+rx3FTvTbQ>`ZCGsZgAtWP2HaZWEaY$(r z-zc=@AB9qvtFDZ4&Nk{yW*%IN=ve`$m*C36E!M%PuvdexoJN~@C&cHF&9WDSz@)?u zRL0UX%s&GnZUA_WA%oJ|8I_f?y3WS3@V2NhpH}q6&O#;QSopJZl=miSG93+v#{k9} z*pJvyL_41@wlN&e1}Wc1vRxd)8vUj;^C%+~>i`^-a;j6=+Ij?(u*a9N@&(Z=7g(DH zFZj(47VJ8&`fu9CO6mCPY;l1K*T{kPUqIO7jqln*XyQG4=Yj=`N-?7BhMqTzfJD(8w5*-2 z8BxArB$^&B@Cui3Eou*jL9dE9e2CK9L1+t%9az+S_-|?QC<7)N=z|a)N^k!zr5GR- z4$6)o0`kekXW#md%yv!^3FnLW<%Qfj|D}rS_q%Ehy@m4dU9hC^PyKD9S*u=G6DMHsCU~AdE^C-S#SSh zZoN`Aa1D5L*}+hDg6ujD`8g9X+}|6VX&ii*GU6@4j6s)G*e`Rxv}TGDgR@l2wWu!(#FQ73ay%_h3u@T{?xYQ ztir!Q;4|ofPdP*Eft`ol0|l$Iq>K+Wz0Iyu;V5lK9&Y zYfGclgF;^h0bK_B0rQP7^dC%pf6o`%`a9+c4^CFrUx5#waU9AB)Db?|M+(JhyY1sC z+h+5&wxzdy@awRZpSeSbpU>N3bLf!={I0&I3+%m6Q7IhSYj3UdY8&>(x^BR10jY>j zv{QihU3R-VTPAGqeGO900%g3yyPP-jwm6`Q{OPN?2AGewPGU%B>|!eYvQ9NJ4e&e_ z?t*2(>2*X^&HI`DfHw}tYP4E8QEr_Z&o!^UJ>YRFpO(ynj$S3J@KuMeYR57lR$l3> zh&1`U!wN4^2U9}fLa9aMJxIFg!QPm2PXjy~4T#3*Ae|cdFhK7T-mhVd@rR%RNJ=?m z&NG|)#c9-9N$%)Xs(rwDsZo%L>mT}6v;0YwQfsy7{e?hSc}1!8P>ABM_|`5fwFXG` zS0vf6F8>~TgWS%R>H!z+Uz}|ghLqaJFg#(y5az)XDrIal5(anzW^=aLNTtlSnVeGH z;izeQX`k7iM>FG4A|Ks8rhoxNBD-6!Kf>m)CK1z6z+PdcaBOE!$xdnfa%J9}+`vkq z%sF)aJb{r9Qg_oJ;|V)x2wF21-5lEmWCf2|sPH02&|dVw7fmkkepxeiBj*f9c7-_e z50LO@L5V}y>Z>r*gFfYT)Hu*^!L8Q>p;gEp)vZQuT7Ov|8-#gVZd3@|tY0tDj52Ao z*N`ous#^T(ZZu=bpNLGi^FPFtd4+Qa9IJ$O&KK-?tHMpJu#;<3xN|NPY|%Mm=G-Mn z-77N8Y>AezIvtZ;u(7Q|SIf7$f^|sQp0~OsZTNfsNWb2~=f{2rR${`^n0+!0RxpdL z@7HFmZz>#`gobEe9?bfSLXL1M6b&-!PIZVpK`0KWkppDw*}@Jdtr$dTiZG6 zJ|m?XP00m!i z*oiC$N%3kl!Dz_xIzp5&+wlzaU#YDcLC|b^K~ii&Hwd$4615fVdHR)4RIOH`6TBP~pZ; z>{Qo4RXmGR5n zER-^4TS|RT=H=#BDCH0Gg$#0VSt;^o))eg79=-{T{fMxcED55VvE>KIN{tRwcIB|H z`@TXw#7DI;u3YL}&R=alVx2n4(WK=v#?D_kDO<#%`Os$Xu|?TnbLm)cR9+z~Fig$1 zse-{m5dU^mTZVa^!KXcP7!}^Hzc_E4ON|Th7b|p3R4ttdPtQl2OvH^q2KFEX8+$g4 zrJ1?ip)eA*U66j<7~tQ;>CI{7wWpY9v4zAo28Ob8CMlU4Y8T(RW}Tht6RMOkn<*=e zREiB(26UEoo)QktN?8ou`x2CK9D?2wi=shU3)oCJv{LuL0RLq4@Gk4Pvg}%3T}s=i z)xC}@`m4ql`VX^_K`Kl}?A1#czw9O;ZA%D*wpZQowJ;K4NXuBtx;#VBQ9k%e3L2U% zG)l@$!d6sI#)t1~+Q2xL4;(FeWtvU-x|JFLQZk!o8vBOIK=M7 z9=+VLVQ?*u+2dIS!Z}&?>JjKkrUZnjyX)TNke}xSZ>|3^bJq#G_cOWZ7VGkzI*r$rK0qhCI7 z+-}mSW~QKkT%qkXPd%y-;_Q;OoYW3c{o!2curxL?h)SUhTf?usbg_J8zfoBK0U>^( z+nrtm#M3pUamwlSzI-2c%>)gelm;++p8Oje%xVm9AhK*9v4DKvZ#Jpl>Oz#2 ztwokE!UP!XE!9l(OPt4BjeMqUBe;c28P(g9?x1AEw&&Y{N7H!;CP%fG`3pJjvdxWc zN6MEn`b9lBT=JgE8IUci@rgnOvIr+x%_4SoG_yH3ukbRj@DvKb9|5osVs$7G!mpvN@XfwJ*fBP=9kMeV z_6D#}N7Ek$v}W`B0tm-S`TemP5@`RU}sfysNMKTxBy_CG$?Io9A|Z+jZ~$FdGqqcBBR2Zrxn7S%r4PWy;)qBl(Ez zS1jIe6ZSFtHsu{+N3EnW*8ip z$zMG|?cG$D+~7Ke6w!2g-RSgs4+c0K(ZmjA$eGvabz;E$@b~U%JM)W&+8&kNYlgIv z)W|_h^K!WbW{P#QW7ih5o~tMi}2BPUW-IR&py~YO_n* zOQTNAJ%J{Jx4`(7SGFtjSgNhOveDs71iJFdN*OGbS41+UAEF`rRbaN`gy-6H9ahL5 zmhAFU2MaYuN+jpi;XU7RSNL6j6lL@*=H@jv+ByM*Mcb~M@s zw<2+RSF0^EI3H$Q9!4pr^4nGY$-~VcGeIvJfTUBj3F>QH;L%c0* zib;}Nx!t+ds&>>A(+Zm*4rNhfITY}6%j{amzpSX>4EUYU*e6h-UtzNU2w+@Gg+6T$ zE`o;u?gp66uTaV#T&*zrtP00w_VDCC`#uu$MV{^HRPC;Zi5% zK+ty{;=&P0%nkho8pY!9R;DWOydR>TwuZUU144VY0>U>78 zEkL@0r1C|{KXh(mKVJpjZS1h}n1kWJRuVRB<Jq#d!^!?AHWUHXh0V6gTOu(1(rqvOg6Gk(AA=_#IT zJH^2mA@tQ2LT|XXIm;_N5F9>@Ot1$5$HGSbD(@GIlDIz(a|mw+f;+eoY5_Jv76_&d zwH|=M_Entl0m5a#q16h6%-R+bV76{9_rBr*sL&x?)e)tf7m5d>*oI(o-01;~HK=QINJ)f0h+4wIcu=K`CIO5d4#xV~b2Z6kUilE)0vPW| zzOsHD*LNf1UK|vq3uiwkdIm7^_i!&@oZ$4zUpY3y!QyYBdxtO%0D}DUIlX2Evbm86HJk6jG<+y z_2#|n#sKVNu}j|gB$0b5wPU;4i2ADcYjJe%DZD361nh?);4v_^Bk%o6#hEq)TuT9+ zAf$6;l={K{raOYZ>7!$c;)Cy1B4&>H`>IM)^>rOsDIM!1~8bEr0*9i9OhssA&dqN z>Zp`jfu}Z~<_A|O^(F%Q9=A%VcWFik*0o!Src&0-B)ZosrRLR#waMUlFE-dA{4_kR zl(KJY3{qZdV@XPm-kEsp%oa`owr|Y`$*LLB5}3_iadM`%Uf$U@{Zx;%End}!L{u@O zZf_gJO_K5iqUUV|N!bXVeop95$pm@x(5-BJ87n`z97)*}W@F-`84dzl&;XOu zW!C_5Kx9`6(!oT?RwvsgyOao0fi94mVGWHPfVWTn%`3(f@>QPcI_fl-X)e_ebd)~J zZ0wBz9@Ub?;@?^h zymetpx(x6bO96O$^%)ET@HY>Asci$bmFp;b?+kF0&k#RDJ&gogzMcO#S6>R$Op_;} z`CIF3YeX5PK3l&orC-a^FM=mWZn!bCt(yvie=&LX-dS%A#BG_cVS0$F@ki~fE ztE@s6>yt|{Zb{pMm7@7fR^i9g^%XZqRBx;kt`$Mc9Q^X&lir%cnmP9r5WWu%nIUSw zUfT{;YR1b7}2<1 z6Wq1s=z-5}0`PMPxb)skA7(zH=R{>&pvlT|XUEg@lkQVLUYIu@QU1!?TGHFfU%90h zjEVxn5eUK4RN$^{2h9r367L!X`Cr8RebhRc_wwx{B%2o$!tm$r&m`}e|0Yi?WUKf1_C?1wA($qmNK6m zL?cw20Iw+>!Uu8LTmee3D3{;;v$jnf!c}O?D%d;Ox~<1Y=aZ#51B1t@B7Nk{ZoDFK zuz_(Cvib*MGidCS+N?ekjz>TZt1`~%`Q$pvGFf#r|+#hIM2%|s4i{#pZ~GA5nX z=HyB?hbSKbl)nl%G;ox;Ayt@hR%#jO-c7Y#2<9IKy(FD2V5ah1P!qku@9T}J-j@{%9VJsaacx!~!GuNX+)AMX!OkqgDB{2ruy8sJ@0 zX7n-T2mw?hj?W7vOM0n4YDi8M6`X{le#zmVk6*tP@PX%daP`H32pJ!8^aGo0gR2Uz z%i8f+k)52%p>;c|9&IzJq_<=Ql09aLZQAQg2n;h1R@RT2wo~N6lPkJ>-J+o@eG^g= zP($|QuU%4PuWQtU3&<-*RM3e|OD(f0W(lH@0t7!18`uqpIz=%}&!$20itIXY2Lr>f z@e;O+PB9&x`rJXu9m#l0cWcg%~s-~QL z?Fl=_fpR)cpp56WF(gfGlb2U`xL2lmV^X^lMB*01fgBRc91ev0>83ph|884io1`Ah zIWL_g42VdkwzTK6k-gSTs!l%BOPpS-!BJ1ahXnqyTXL`~q8rhz3&V#K4LhXH8ATmn zo-=P*{^+WDI+5k(-HQf?%d(ap3sQLk*m4DHfmwXKkiJQ-8YOh#geMp}cy6D@$h(Dc zVDf99xB2qGs#Fq6$_}g4iIQQ0I|(8)*Lh2;9pQn1Esk1^6b365P=O7b!VyBiXI3^(S=glkI?XG6eoaOY5NUW&`wPYeKK}z|nhQlbBC|OtAii;@h&RU&k{J5K?#aOo=3YI;p z#0268Ffi_C;9w(WSU11jy&}uNF>j&yd^vbhr`OIwvysab)`2&SUMGisIS$P$8vuWf zqU0~ZB(}Z%;nn;UWu8JprLG{9>w0So(IdMs+dP!$FT0fWMmPja4$#BY?_K3ycA7rE z^|1Q=(k~_M#Z^TflVMKEzo{3=eJCP!WwIgq9ItR)glJi2#_h)(YTH*ez0I;)oFWL5 z^FSm4hMOO-cb)7xh7CFHWFDYkyvth7%Pu%P*`HcDv;(gumZ@@AmIA)0e3)VJ}G+rdhyWp7I z0Ia8IGfUxm191TB>;O5+d1EplNLYWw*-!2WikQdj3m}+{e!ueMp_c50BeY5j8O}B# zrBB`>nhjq7$KB>n?H8_Kd_EcH=+gHn2Y%^@A9MY^zjgd&AjY- zx|Z6xKBmA6hyxp5NvJ50vscqlU|x#OdETn^sTNx7y=7D!!LvA;1PBQs5P}D{5G29f zJwT9M+}+)M36S8DEbhVGT^Dx=?(VwSLUxgT`@8qP|2gl=v-3W_GpBZ|YFesmX1c4U zYq|?Txo>_GHjts4JcpJr<3#kmD>z{Z*HhrXX{?`t_~+DcL}gbtHuPeb>3+SA)u0K+ z#nXLf;nCUq%GSfo?vG3aI|uvia{w+^AwRG3W#dGUU-EZO*Y~OZU2l2b-PEz#MB#CKxDbhfhtcAec9ZX0_(TtIwb zbpP=m#q1o1$n1eF-Dsxw^R9l54{ZOVDwXW>{5;*iv1MUN=wWM=yEK#*9$j&?C@xmS zc0oKHM?Jn*MVT|j(0y|pe_K7<$%c_@93PWw^kYlEcIP*RgB0EAL6$|_NKppc_hO3Q z^uTE)fB0`08Akln3EOl}gVgthd)VthXNuqs(T={L3X_kc3M!hN;r9qlO#f4ThCM<* z3iShXx_xC?f)sk4wBO<0o}Nu3TB&dIlBKFJ*wtjtSgCuf^^xNw+gL8hAkBsva;PwPU3%NJp zX?jBCq!G1XeB)!qkS`-*VU9Q3#*O{RU1WePkM|vy`|Q*WWR%DWKYAe(JL$Hh#6w1^ zsDVezuwWtr3cci5C@_{f(85ufi>3JB;WE=@Lgh03?l+a-3$C_K%#MmxW8Q(iP0!Fv zyDH!b=aG;D%i~jjBdp=W(6%J8x+UT@fBDS`nY9=XzkR*9YwktcWi+*vPktNQ$)oNI z&+HE+?Z#)g%Z7-XUfyextCq&S!iG0fi>C~x-&$txc?h?wX{l=7e+9qwIjB`ELJnbL z{FB*8Rjd2XCZx-4V)29inVl~rE9jGv$6^)6(E@!xXt*g0c0!>rK01DHp_uiI6TiT4 zQ}&A+k3 z(NAYXrna84@LoO?T1+DRzxb{Ik~>O`&2SWy-YPt#jnrIHens$URMv+$bl9(S*SOG& zCCM&#`u<5)Qop;|*1F#LrocfnP~+E%7WigIiwrA;UxbJ=7LOO(8#RK}eO}#2pj30M zGrlwVhs4i!XYX`=g&T4klCzM1@1W0kk3T0a4Nm^w^cUrX@f4i zT$}Oa$9&Exv5k7TH4U0=#Pgx9!6l6k?>KKN-yQbz9^+^0)vu%Gs?NpPCUT@03qQvc z`eMzR+jwL0$P0@v&fj#1mWVuq{%b5Oq39Gv{I2}QwBc1(B8jio$aD7HwfQFyHq;E@&_?-&JjmCzkHuMvl;VJ^5Z>tFG~-k6z)U zs1<$MdFtE=tNZ6|hX9P+EKC6TrBrS5rd2xFQh9IS!EU4qhsVNk5gGl0v8;PJ1d~b= zd0Q1Wr$U(PYUxM&OLw!LU-t?*JTW9VRSu_nq~&m1jE7anPmB7rYUiAU7WOPrZPtYh z3V%RL$fyS03^jTL4k5T?O^O(D9esx+>t9E>2>IlMk#fEw9M@TBve&uwH z44lwXvU@4;>H*Ac{%GnTa#Z2t{EYRN>$}RBvfbu4-uTy{8{@F0(AH@>WZm%B%djP+ znKvP|{g-Yd7CvtAtr)v>2ycz`7FtQcM3C389YXY;4!y`uPt6ZZK>Gc1P4sx=-LJ(8 zfRwo94zwo_@=GhIW}!$i@XPIJ07*npDXT2Je(uG@;h0ACz0h=65EXlNMfxO%b9vWz z6}9iRnbgMkcvYqlBg4Ik!{!A_;6d>bj-e~Ix7Quw2p5?#%vVlm9c_dfBiKIBQHW&8 z)teZMIk_iu>?@v7pqcmFHjfrEu-_*S!CXClH@563O9m$-m_p|d*ODNEd$=Fi&osF; z(qzrseR|A>2D5e;8?M`wJTocyo5C*klKkngN_(;Lg_X*{-zxSV+ntbaZ}AwO5rv+n zZ%(v0<9RXo3k< zZuysXMFe{+zpkQlb<8|sO@6)itUEvX1hg1nQAP`4;tUQlA+{HUyuf*sctR=~Zb1Qm z%7`0^S5AkG0Dt%h8mv{TePo_am>5T(&(294MKvcjrCabfLy2hYFSqBAXt_`5zJ&F2 zsHwyKAXgzfqi1}O7k~$er$B4FFFznEH9hQKJAyBe1==RwiCVk=bMBdF;4Wm~!WNrw z-%qk5>mu-7?gjk=2^#OZ%gaSV`&0cs+2RZIY8O{Lvgctr5f^3>0vz_OH*DYFRmR^( z+-;P-L10?6PinRUi#b`Fef;f_Ov>%Trim6OMs~{P6RAG$!cLxLsqMMAU}BgC@I}|A zwO%R?&fzA6r)`A(jg@}gbln24MJ!W$s~&aX7woEl$&yFkLm*Jome+1jr`eF*>_@8# zH{ojsjaQe;qSdx{h+R>;I$g*+UhcQTxv}?@GUi8EP`Tst`RrkQdlzb+s~N3P|Iih-wDfGjU&E20Gj+Y4YT$FMHv@VBrTE8W!`+EO^k*VBwgLrm0nH)5lWD^s7$n8& zHXL8^ykKaR$2vxRK6GsTQ^pDCJr5<1S6azYBh^YD*-wJju@e2RW`!>ehanjw%&GY! zXUQ*_@n70zXJRl>8DFf~kI$X(GkAEq!c8f@zq@&z@%##xKQ5}5@M*p8*=^RV7s43} zng^EjM^OHdOSdBnWlBnvSuD$U^w%|6rT-A-YxdCSYlJCHBI?B)N(S~JFBS)sc z(qL?~M9R*w2;jynuWV;H{?vM8EAY@9H7m7z9`3)@JJ27HKA%4pQ_?3r67>_<_hx8{ z8BLntx?b@Zyu3FHpc%1V4EUL=yZ(XL{2C_l_(tzZd!u{EsP6f%{`vF_LpV!4Pnpih zFzT&Ev{Q%nS}^Iif^V2ki(1mM)t;cA<=kddultE?qSi<65`${OSrA?%*_|O0i(o}@ zsfyPljVnG6_^Qur zvNypOconrh$LLw9q7hZw{QcU^Gr3A&Dwj=)n@EX&(K4Zn{T~ByWNX-`4B&(h-=DuP zW7{zek6DR3SWFt<9!dNhA?vc&`illhP~hu*dDQd%v(W*Tr_kV*?8TC@qc#6%Ar{EwVD?%HmjV;(%n%CZg z=NP$5VfFhL{`W#p-@Ph=KPCgy9kFlyJaVb)(X3uudT+F~QyZ+yQ&r=vwC~?z8#pp~ z!i5(Wee}p@astln>i9&e_niXwYQ zle|km2i*6cL&~;)!KAugy+*jcT1p=0>^6**Qbv5;MprxhuCvO3ze@$*?3gJ3a+|nY*qlBCEQO|v^>#R+0yI`mZ%6(BA>}W& zpX=nzJnfBTEw~s6KErv{QBj=)CtftgcVs0nB~%)v($}q?XIRVn_4VOAzP@Rk1IcS3 zNU5O^`}6Tmw^Ov-=10LFnXkG35L$D@Q9qoW!^>7xud-rJ%i+wvji5v?I97zu%XhY} zL72E@DyJv=^U-U}DU8vLjo1dacDTMhu#U0B8y%3((8x!e2Pf)_B3pq}?*iBf*M?>l za^hz=v@VK^MVqW6r*w%OmtF27F-XBTL^}ZZZGw5zLHyH3|S-^a^ zckqDd4{5TjTUjzPqYYH&f`R--H(ZIA)YZA2W(VK~hni2-1;>o~q}_=_>J8IH{?=%Y zeKmSc}Z>8iG43CYEyWWp6nR&N8}*s!Q7J;i%zjus_Kfkhxsj z2@Hmc4gEYcij6_**KKGhf#DRG%Eou@+H*->B^t{89E(uS+A2m!zv$g(^7%<~G33p2>40>N6J9VDJ|3u2@D}ZS#CU19^s|SM zz41kGrwqHHXKn^AiItmM;`Cs|HZ|jGG5}t#P^s~%$7)t%&^DTUWDrM_J$QMyUgJ4x zFKHFI=_DhC{gp9R`Pvx@m4;!{^Ug|@DU-UaQly&zs}8?08oUv-ujE5Sh+UDFRIEMgZX^ zMc9vJgjEbuKs+qS%q5IHP^IU2hJINaXJGP|@i2yP(T84}H?xzsc%HIHy&D z)hbY;I)mq-uHPAes)AXe^y>5}Jq^ZFre$sHpZn6zd?TmAO5J3_UTww#n=4q7Z%$eS zH^cio(EfvII%e6BPjb?PLcl`fQ*MJVm6M$VVHMMR{aB2>Qd-*Z_)irTC-p?XDkTpZ z#fsM^D!gSIV;Xok)H@Rz#Rsi!XH#s?BvKm*zyD~| zWl9mI;Sx^TgI!dG`<(9ky>?eI`)yEHhmbMhFAtwv`{`OW|if7324qP86Gu%dF1^SA!@ni{5lFtQ*(8485n8 zb6O|z%fG<)@W|b*QjP!7x(4{{G5 z+LG>^(HL<_Kzs*6Pqy{y-z^C$NwEjI6Cos0~sBhUdK$ z!jS_W>nd#hI`w;U^_lnmmgi2PkXbvAwQuQDy=)tB2zXerH*} zRtOU*Bjmg^*>6iW0|~x!A^8+k@_f%Y5fwp86TXOdW~l^-5MbhEFiW#W_%!h=-rarF8m0t+ztGj_n>PzBIcq;CR2^ib z>smhsJ;4~QPssqjV=(q*V$Z}{R*YCqZEiGU9M7hm3sJ1o=OoR9sUEAR{)dECsedM* zt@;6)2fYu3ZWfk#RvPld&LKsQObd%pw1KtnIU%FR-tTzbURgbhCVUp+{_3!oIuSKZ z2C;(*x+SXVGaG3d@DvbeoQ5MHC04 zP2T_BoF)HdB}>cPmmW6aDBL`g2v-nR!7)$5`shC8hxbZs`!@N_ay^yZIU?TBmXbOn ziHuS?cc99~v{6XCRF<9Y3q>qqeC0R?$Ycxt>?zUdn3sw^qW*O$%97xI94NLdL+%*cDTK}lhcDFi} z743tR1tW^6k_|#2XRoEz36sXUxOQTEapxcGP%z@E(LOTUE7{0ge2+D|D8RR^yx!U_PSvmwgxsZ_Fx zQv9NLs_t^G^^339Gt>?{`k#$XbCb1(qO)x7qsOzTMZ5A;Xuq44@tgk|wDg=|DuFKq~)3$Rlms7#QcLlf)fr8ngEA~iv5=pYflO^i8E<`_d$KOW9Ql~o zQd+O8Ln<*0Qm=UD7o&PsP)0ay@Dj(>C3zlFnC69PasEM5Ow!&AfWFZ6?1PDUJxd2E zKeqh!m+ys^0qj2X+!-PtG>`S|q4IOCG4jj;zg8%sH@bMeHK%{4e>Q-&p0~sB=0F_i zn|T{EaP~nHnSyU6-37OV#t4ti;+KhHd8zW&f5W~*^^J{Ws3ywDgs{dH$IfMXWmymx z7y}8{9<#H92RK#PEbR8chmN%2LPA1z?H0%VieU=uFc#vlas8FuD)zlai#1`ghC6cB ziTS8x3=_;)AlcOB{G<_A z(;|c%pcvG7(6Uv&f4Im(0cb3{vxLXB{dj)esB~{x9TX8S6F(awIW0E z%73*tw(8R|+M=UHH!YS*N$#;2@qCpu8tkp!w z!Q=(~IDD-8@Fn^qK$=i`OGrss3J+fJtY|in@97SsAv6@PPIW7_B75^H7i0TGn{#qD!{<0UTtB=nIOJA(BDfufH%fB`^8tGE}I4y@2lIn z0JW#YKRc8EO2ZSvW5Q!TF$H1f@}sLC%Js?i$*SZ0HzmENDlPNh3>nJsMbwcbwHfpY z=Q$w)uLgA_{tpEZAOQRi<2NQPFD-BG-aBvVNGb83AZdHl@jsM|I*yF`e=}5fgZ@*k zwE#M0`1U*194b6AJTk!lP^fb82>+Ww;6rUnXG*90KLY*#;{BI8|3A@Qbf#;Hbap^y z;Sug*-m(r1$`Wwz_LR3)(}Iq4-W;v`f^c#JDdz+>$iw>+3vZ1+%ljT1?QE|QfmWJg z!nPp$PD~n)^CutDojSJnohU*->=x^fZ%lt+kG^l3?V5AxkjKZS2t2vq4NRX)qi2gC zVzVfopj6VgRZx*D7_T^)LZ7SZtN>}0f#xJ&d1V-oD$!DqhGLmfgSsxkp~az{#-ZIt z;xRDQHA+*hpc3m{L%w2(Od^xl*SHaJch!K3j~v#HfItt`0MaRnISfi>j{uEQLn@_T z-#)&GOFIAbbPo&+%n77}L9eIVWMBamRgPrP*(#60Zq)i7>vmm#o+{8n8*d#b8Ydmm z8lghf9$_G~`}4eHKkVfkkBdL^CJ10QjBL79Z-b^+7ZYl&(n!VceQ%%}_V#GO2|h3J zh&cP}gqcWUTIEU3Zm$iYv8B_;KO%kyDh$j%rg{g;4HQlnht4kSvk--AN0$E{l}-rz zgqt}eB}XUj!oA7YN|YIOo~f;`G1XK94v(+dil>^DGUzurDPL~Yt@4=HaqF1V3wygr zcx}4SUCZR^kVHSb6DppnBV?}1)-|Y&C-cTME|&iT(Lvsvcf?%X0Jz(nGBhjWcX~wAGr@Nk4*Wa`I zx8#dns*r9!Y}l6(-s3hqu_Y0yahzrEJf-=QhVwM_i<&>1ft7o(s>WnTvdU;44b~c~ z4H~p!r!H!Z+Q&_a5QKwRxyPX)TBrjAA9uX=O7xVvx(T|_;gJV=)a6^0(o7w3px>!5 zI>8BP+Av!Hg@nQ=WRcZFo)p5;arbXegp4=;o_Ae9UkHnQ4-3q>c`zNsoZ~1E zZynY=;(~f#p7N^Rx^A3>y(NN$y>+B$O~>Azr$O^vaWIC=pWWk-*2aa4*faKm@bWEY zzd96_7mOWJ&U*_ucUpjtQ;AZQaS<|Bm>EIBH6{wFIBOM~hi(U}V+vmrCNLZE+GNV8XecsjwmS4*x{@f2 z)e7aGB=`<z-<7m{ERviQ~5d*4MG_9(Ag)8Pq2*14sq%c-i%Da*bB{;tZQ zWJ#$oQ$l!cH?0|@a;4-vvN^ih-k3U8B$FwFKem^4>f`(Oxt%`9;w1&HF6g z4^q}hh7CB9`@IA6-@bYcFzUh5cR9s-FQ`tu6CyVtmp-Lp9(<8EB-v-tS1|ukT=E8A zfaPX74u{8{+)uD~>>mjxDiOe__1D8)?K>Az7t%q>OK}$o0aUGTIi^%*NiB1;U&(xU zl$MmNk1)olM6Qb-IZO7n|C#Aqd8+OG^D%JAhF`sCSZlDuoMWO*y3mmdt7nX6Q_*zCPvb8n7xsNMOj-%sp))2rdnA&Qu@Ne``U zK`(-;QnVQ~HRnkk+?bVfMyYnOBeM%~n3r|MQWQ5a<2_HAs9#(^!_%sXz~L$)5F^Qb zVODN(h#SD}$TT>@fBqL&(Mgb=_nlW7ZVXUHx!R#mjE*tdV&Eq&AuZ!>>ej&6Yoy6U zDV2Ew&e9tkQ+r%3z|Ld%h+DaaKbyq+{Q6hliZ;CjKSjs!3jM5|Ady-;Cb8~7QsGC4I6xPG18D!m&tgoZNtaHT23 zh2Qy5Uy1)88Jh?DLD?gi0BrC3mgQH?EWD!gIVqW;@}7t9NU1UeMVDD$sy1PDcl~uI z6iZQa8u%x1f*bJHENU&6|kr?w^NocnR z*%|5*23l1NZp!|O0fdpj`8S(~29aiVZke9!o!_67gw|;lXcZ(M5OG7;Vu_E|v$m@s zY3D&bjU7>i2dBgz=3v6OGtmt4$c{pas8>pXZxUM4jBeA4!(vO ztb}eD72h|3F4_F9hI;ks{I~EZl-sOwO!o>fwZdrK*jlg zq9I*5#SC6$^V@ti>V2{UaliQ@Gv1-h<}KGtH`JR>u|>K21+a}f+q9{>U_OWBKRyGn zm}Wg<5$I8@n)$WRSi3~vYY$?nGdpC$QpE&EwV@k@X1puKzR zDQ0T+ctG^dexlNNn_hWK(kh>U{b-FK?>e-mcZ(t3LKINdqR6>YMmqjDe0USqF>kZX z8OY^fI}9FaGtA#Syg}OOJ&G}3`!6{O3yL&v*NFYu9aNs-`^dWEJj0Zp9jzQ#+Bki4 z22DGfV}KXjug9Zr2qjHD82D77kcBOi;D?662# z$1nWXD(2Oh<@b&LkWL~xdtnK*!ifFFeOSVT!jz!aen>~#!X3jazheWp@puhQl_#K% zNQvECh;ECZAxgub#KhmEWaQ@s>W#HnZr-masR% z|BUn0#aFFD8@PsjCZulEjxrtCV4jFIRs)rxpgc0{dr7Xj+iJu&X~g5k#S7m3^&SM? zEt%F82ajnZ3X@nl*u2M&M|L}4a7!nXN?iTc_+9S`V(#P*H>bFXh|67(+m27f5Xx4h zw%KZwZi&tQx)(R6<)k3hj%RkU{_!FeD{SZT0cp4qpI=cg$PRL-pkHdMmra^k6N%cfcSL zrP4;fG*jkFP$ML`R=s;L)Q;Eh1U6rbR9^#5RcWMx>aWICMIjx817Zem4-;T?Rdz_toQ#g8_Z zZ0)oD*@M7M`rfU{n3zjGyEYA+Vbha_-S~qv0U9N(mXGoD2if#G|6Bw*$cRZ4!Rub| z4N{zV^Wg5hF|m@r+)HTC;nj1A`V(7Lp1r;)Nf1MnKzhWCk$uZl(C@XH)iHgG;O z)Qc>mU*L4M02o|QuURERl-QWWgz7=ucx-P`A*eG9DR!^h@FU+99(5Kl_L#iRiuLV& z;$3#Jp9Noi6P6!Y3@3>qxz<7@D38keR(GOqT2BSh-Jj&>y6#k!*8|g z*25|LbMvMB?8m_G&uVBvxgtqsbjxO_w995P|4HGJ5OJ$LK{iEcH;?*ZQBbHK9AITa zVcQ3THG|Xjkc@vaC1W9c-f1!c2|MOjLwLgNOMG*Oc?d1Imw!AmF?qQW?{Tvj}{zTUhnCmQ4A_;mXJfW>FOFua2<~16{HsuP!sj(44Ha1}5WKu1beq zLxk7=A)jAM5(*=y|M^q^{Vl=mAI}!X$RPeHP}c5pd`?9d7Z(Nscvz+Nch;n;ocA9% z;+^(-CCr2$W1O`gKFWuxZ~F~e{-9`bNzIr6S?Jp3UTT<|VW7`Zn&T#vcl?X{X~~X#-TOQ$Q(ZA)^5Bv- z?v-aYt+fa!$?(MkP~H=4ZgeSIQ=yw%zoz7^45nb=(F)-7(y#>;qMLk$byc3}Sj|UxbM^G7N^x z_)vk;V12`@1%_cTp~_}@fxnCVmGoYE12atD=u&E3$H!IDqQP`QmPl4OCG`$$1hP=k z?troQxr$@-aG|EFUWXNQ%IR|N{Cao|ve52m+0MSZd&myxwJkAPipQ7f&LyCi&zGsB z@feYo!76p_Zf!9l)f5q8QmJEn5)GVoDf#*)PJon;0(SB{s*{W-&Pcv?Ilw|^9qF~_ z^bzl#_j>VVRbn=|J}KKd@d|J9vBB@Cpf|h1S(gtzx`B6AOYx<#%bq649)_QXuL6@2 z5ql@aU!(M`Vxcwn$tqDfYzYGGf`;mQ!PZHZEq~PO)CX#W4tiq;&V%S#t@TqKY1Rwj z@`*%3S_VY4D3iyAWlch1p~fM3tWy|m!r&9r_mJ$ zjV(qhn!bXKT!?*N(JwZz>_E!}YUAEUQhuU!OSW#Lz}n+cN*4E>$dxPrxp`(YFo|S# z;=VI&l^+2MfndjM4*E|8F+w|3V&iE)>axYY-4)cQ`58*#r^WP3&f|5<2OZbzl;aN-q1d8X)1JI$te|+vofFn( zsOV`XVZD|P6{4ikR75^kiQLmiqyc@w@iKktXpL|b+B419V17O@qG>qkS&&*iF==}f z^^(im{gp{`EhFC#$YVMqYNTL`)D@kkHA@`=0KVkvQ)2*T5Y*tKAD@W-LrM!VCkI>4 z5b>Ckvqdg`1@jy3g2D7IAiM+Osn|%-U;0?v-gtviV_c+X#k7pkW%{gu2=!}SxY$g` z&fV}5-6-WX@-11(;h-}3ao**%*f!s}Pg|=Ulq>Z5COUOn$Db z&o>e^2}I#~q-ML=wxI`gV55#Cduk{D%XIFJyqo-_u|gmYUPY0O37u4k-awMzIE>t= zR6ySH0{13xentmY_R0h-ti8;TNY91!5laxqZg{sKtMEuDaWQ;Gy|iWD?t_c+Y$D7Z z6Lfr))<#d9V2VEDPSYPsbW*}Fn(UlX=#`i?3tnL5shU@?N=tGt_z(ksqCefw{`yZyTE&MaH{tt?Hr&K_cyVFxkq%1ik8f29zll z^DWNG6~!rJ+)jBvm{82enfcCPDmOH`(Ylf*p8g7DXb^Rz%g1s^tdK9mh=Epi&K??BeZ|tL#{&DWVwPJgyE7-gXyLCO7G{Tc*t6WO^5h z#zO%(th`rt{gdsE(WKz%;$aL41XUWD*S4Vj#A}zBP65l35i*x=!z~O00YOp1=PXwP zRq=cS19yZzI}5{PW@b^c>;F8iWM|3xr?>{9h&s4`^U*rF?-R%Tw^aIeEV@c&_z*mY zsbW6C88Wk8vMDRWgytF@6(6&-=$%!$1bVW=gm6o$ox}o|!dVn+CjHcs&r8%*5_Pa2 zy(D)b@#&p(y06)_(|8?-SLT951ysskoh+|p#h_`-eK0MS(q89FKk>gOJLrTL(1~Oe{!~mTGV`6w> zW&QLar*%B8Y`4AoRc#RUl{$bMPf%(d94*B4=&3HAL za@HH39iE--3;FTEDp z)PpLQZA0aPXtqWaI&^dTMH1%;bG45bs#T+!Kxze9ek>|PWvT*KD!bXy|8Z7m6HUzQ zVcLM!yeF4}ge~^tY+5-FVI0|~h#jr8C+*uw_v@syA7XbdsqVsJzQCp1lSj`b1%W2L zmaLGMi6<@4YFCk_4nXC>dgSA^v!PP2t!|TP0l8sKplq=^0GKeBZ!Yz5xQUSLDq3Nj zN~65l8cA@LJtRizXSt)bn@!6*VEGXFpw_4TUwCNX_CrT_jkOqY4IZ44swCdr{!wgP zb0*u}+U`2O6bWBAH9E^x)91=^vrC1faoj?h!|tl*I<(fSYStF^_c)^t2bZauEEsZ6 z9Yii!>`q#+K6_S-z3~M6wmcRFTsj+0U6`r)X!P> zY1Sa~MZ0k6m>I5y!ki)_2BUnR3gBMmA>6{<;(;7q6dqIayDFUp1V&>9fgde8tzd## z8vzBU8{Xx>$qGWT;mE;+6P@!tSCKgNo5{R&_di#Cgd6>Wgd+1Af~7jh=+eWQpu{w* zvf1k*1qdxD5_P9Cn&o~&s>7{%_(7z>xgbiu`vb3Ok8xl7hsqs@hze=e<%!lt`L-6a zWd|#*q^_vB11^7aeei)($t>erYz%$Lz_2u&c^eaARL3m?AGvvhawOq9W>cmJi-1E`EV=?eTFQsDA0*=Fdmu+0>*hq5_4B|J8jW)unN^vN%=l%odt zNW%yq@X4$Tk9keaFKt$ff`9&!tAM4yq=TUc+9EcN9h|F#gN)B8@LTF0pc)0$0^ zGCC(#8l5d?$i=qz#^aI4{u^C?{@^I8M*JDmu8ky=r5>S9HIaYy@+*gev>o@KVDvm{ z_L3L0);~=)LdT!W;C+?;tn`Z$jGo+6ts(s*D2=qwbil;Q{Fjn>s@OqW(d5ZY6Y}og z2J-115(qccfFN&=&ems)vfU8w8=a}R_gp&T?FWlRG7_SGj_=5_$ofJLUe;{2NZ5P- zKO=8Md1HH79=23E{1x}LS8tP-yvW2CgoW73_gjv~HN@zz@(l-zlIOkI zZ*zfDk`VU&y#hdCD&hE{qC2{k(f4fDH(*^onak=^3#l=M7y`Eff=~aXM6N!EjrA@m z;I6Y-3FP0kH`FHr_Z82W_HvxX=6wOIuPO$G^eJy|KNNhLSD%Qn=3Y;R8*gNv0R=wW zJ^m<%IWY4O_hWS5J9sTxv!W)h$(V4G`)*p4OX_)mV{iOocGUEBhVk#amzg7-LWSyq zbp7XFu>+TlFtyb|gs;u+h-+<`mh! z?pRp&(}^(OalD8Azq*7YOzW$aNg&? zN|825SoG(bI;pZ0%JmD>VzkJlT1!Bcp5T$-ajf!dZ)93ItbL||w?9H|^kPV`5~U43 zrsp3POs)l3wfcm01xrjC4E3PvqCyrH$OS!|QMY9Or%7w(CC5=^p&)#ouY>slKA-L_%~O7oO)$1G3L>^_MV=5%~gqqP7H^8|*-`lpJ`oe!f_jj=e;u zoQ%1h3;?EDAneX8iTD&ctTJ`%N=4#Y3raUm94M?grH8$^9KXrw15Q6#aTNG03uzd; zG#a@l6WvDb+d158?z_lb@-5i_+AOUS*3{vWVHq!f1t|KLoyuF8J2>EFy$C3LgdLr7 zH_k1^S8BCn%kESxi3Cy$#hjIw!y+=G2=8ygPvwgmRjykO40~z_%tEG$^enA10~r0L zyARc3)!1Y(^Hc&&w8O)5#TRS2Mr|zGT!^0XmTdcNK9`T)bgrf6-Qh09f3Y<&D738G$&7YLn138w59SP`@1esO9TI}>qh1C3L=Wx7`vS*h?3XV5JI|_kX!~UAGci}{qUi}2P7-NZ5Lpfbdb$C z!a-UvbgZMVQ^JIGrL$DnAvYbd7f3N<$efB#Jy;|4vMO~o@0#;!62c~}<|jtRaGg6c z49qHQO3doGs0{0|^E%uN=I5t$N&<%!43ZLkY14P8l3jKdQpJKE0Q0tizr|3)MBITa z%g%`vGzLur&tuuIG(qXM80aaO$IQ2$oh@fhMfj^n_j&|UI?r|X!&YR$%56YwKr)AN zB_;yB0$%y;sd+#uOCYr#t}6>q&FC^4w;7K+w|B9NdH}@!606v>(hcP}W{aabW}A%B z56sM15>sp2ckRN_@ZoO|9f-3;nA$FUCz82E@8BX4oix10!wWNX+!Za8=-(o%)uD%# z$h|m&{~${hQSlqQW^CdMr<$Z1!_3CI7iQnE;Z8DeJIx~dtS3mUj;>ln$msO+_^6rb z?jSkm8@XL~?Z58vc6asRPXPY$*P64iLL;}S4558prc48ej>F*)Ct(W^xare6{P@iq zEzsGy!ulIW5a=F*N+1_}Hzq@+=R0$3q<(cC3?U;Lld`)dpzAMEHmEs>2wTq*mdOj! z^B%;-t(+AKM6y8Y&&HcR`a~7_TXUzm4HiE|$VINyiijk|8to}S)t=_pa@VgbQ`dFl zD^%EsSal>m_xmPLjl8`YbPM@+xw`r9YW2_R#s7lC!qw&{aR*YQMxH~hLE_cTRq5O6 zD+Dt`QjKq+)qMZbm-t3l`q=s+9ZN%$ci9XDiP6{b2R@V@4MITnhp`i+WoJjH;b?8p$%XwA`!Ao^w;a z)vq$=nH*8bOoVN&)Ctej`Rc2g-EOMX0aeT&WyqNsBc!(f($P>EC4^;(p@3|5^uNLP zjOjmUJ+26!HI=X9A?#m*=FOFndD2Bm>Q5DN)F6XOoY_NjFq&1C!FKN@Q#kL$#TOr@ zWl2erNq0|~k-0bg$h$Fm}JK=@vxytmCeubrqi%c_~x-6W-%G#p9S1NaJn1{h>`H04#GKI_`Uhd zogm{sQ#bZcZdMYWU4hu+J}v)8rdr$xsY#6v%4|t;-zRshFjNz?P@I_6z{R**n!9d< zC`Ggn)|u2s(Q4dT%hdSYi{tn(GV|+%v$_WRO167YQNUr#6x02$Yl8nOhI5QRU-)M6 zkKyg=VWDARz@Y%D`Ht^URrx#3x`jYvd>!kro;c5>COJ@*athy?@NKBj;0($c#Exxs zo171#vP?;$T5raFDph&H5OCaQbaGGLnI6D3pux2dr(YWjF~hA83HYL0J%YO@%R*!y zKxg5tNy#VJrE1M6(cqOkI~F3SHaWkfJIq(d6?{H+#X7NGWca~}G5SugR`}kEg-0M4 zl#m(VuHJZZntCdd{8$GR$n8uP={K^3m5*h0sNGJEM3ZGt^55#;5>%AyRSX@&mFMRj zmg*+Qvoaqmqt$(eKzFN~-gm3kdk=!KUXvUnK9g_%1IJ#IF%Rt{%13u%mW|^*W6UJ# z0uUohyv#HaKfinNPX{8tOa~%-zDg4i)Q(4;OVMKi{G4MuqFH;x2QCX1J~+dc4r(k1 zLJb=qsi0-GR!&g=bmd{6iJb?MU15~TatFIV9b@CD4HA9+bh7HNin?hgvP8rnKS5-1 zRtS`(gP2koy*&4G_8t(r54d_-XR7HBLRNRsW zEaq;FtIaXYp2A%9PRFI)t-WkAV`q&nXlD&|-EL=GpU&?SKxC6|QL6b5#3;j0T3aBM z3#YA)=T&(bcZUTTcdIDXsEAcS_mt1dP7|^lsqPHiM^skgp5U4f^<})kzAebaAG6g@ zZ1#}N;Ph-G(FGv)#=~RP!*nCxMkH}8*((JRpKB#Wd5sx;8JjN5tftY81$mDeCmqOaod9$At~^m137Y_IoAQIdvF zX_sF4)=7Nx-=_yQZ1Q|0`m-D7%hz*l*1d6c*Du(2Qjdl9@2#Nv8QE}yz=S=4FQdJ_ z2{VF8LsXM*w^5zo&Zt|6Yw!PpymZ%I*0&BDcsfG2QOkIewD+0cji>k7HDv@z1=_^Wvqc*)X zJ)y5;a7vCIYRhRq5CDFUboMXa^1AxpsyYu~IJ>WbW3|YY`hTPy=U$@GxwSEoafHmb82=c zewO}H($Vzyx=_&+lo&nsB_X7u~P%zCg4xt<>SSzWz+OEL+tb$g5Dtc zavjT&I-nuh$~<=}*lWwgU(PCzIoLV}_^X+>_pUtIx{`CL!It0K7JoWWz79UhAFvKMuWM_}86xi5e0C?w0paP@0qZj#OHUEA#U5lw92aMJ71WE&g2SWSJs z1fbTW{?yShmf75YN<&Ik-O2fpB1n;SoN?0eSIcH~?}MtWC1HQ~5fjd%T&x$@PrA;z zgXir~&^_zd&+V6T3%laP{#Z(&UaJgf2dNBQ9h@3aJ}q$7BU^Pqp8Gk)xutdBfIa)%*Uhk&m0w&YpgG7nMbzatpn0Oby;Jrt)s1 zMxL2a)vW?5vUJTyYE2jgLbm{yRJ_2WVkb_Hi5MgrBBg;PPJi%ui6pj#NZ#cxdo0lg zuGh(t5B^+IDVvH+br&0f`*HydrpE+$XG>}C&5+3gnC^2)C7nU~F-Oz>J(Va&cQJ&B zRUhtYB8|tPn&!;?5ll>P0GJV!)p5g&p}o($?kfU3TAC2sCh$x1ERZ$)$arP0AmFcB zs9(5!^-`J>o}Vw1!Yq|3dw05eo}^WL=AqLWQ)5UDzA2|k;&Nf}*+DLb<4ztucEh*^ z@Od_*n{xCxp+gJDnDDqRYx$@~Cht8-|D)PGv@ocqVO63dtp_q-qo;^GNdJ-^Rv26w z9Wa#Yt~Kb+H%^S2;uS~S$)4F-GQyB;x_NqeoO}_yj9Cj|GE2s1>dyY^wRNS(r$WG2 zq+QsQt}JN#2-ly2AKkL_`1?YR8TxAn=pai_nuzdJ zD~(~`R=A6q{60Xu-F)o8m8C1zH`+VuLXahfk2Sew9gJFr2xDFa#!cU&Gy0BF57m7} z>%!cU;(fB;F1O8$bXR|^J}Op{*r6Vaj2M1&WrdiCkVmEzHvDpBD57G848Hrh1%KnA zRFAh(z@E4z(52ZW0H{Gi7|D^w>v(QB6H!PlVr(Il_)H~i7q&AZ$}_VCM*-InH|MA4 z7)c-ThvM5^q8}$g6urDA@1FdO{RFGvo6)kkG7;r|alWMd-7BMJ9cNo$Tc8|hV%qsE zDpP0zKl1G6X+}*(&HfMjD--k}d6#DWtPA-yq2!>`I-Ij}@H1rH*3+2cqEmLSBKPhB zwS7mFm10k}QTmWyjqm}xG!MV%QbCL#vmbCFoxah8WUVoY-E2=AKo>Z>VFebT{mOFK z2U=b^(&z^$j9e?9(cKXnNzh!K_s!D77prvd?oygRaL1hE41lD?;m>7#kyUrODy-b> z<`E*=%%H|mmRG(b7{uXlty;vF{`a9J^@1nHYnTk`xDjwx`#h5;;)l1 z13O+e0-gAkf!uO93YH^Loyct!;uXy&Bh2${6pu z6NDNBTuUYm$4w9=*|#Mgv%x>`;*LKbVH$6m{27ctwURh!fVTv~wlA;f1J?iyQiL%Q*E#Iu<^=t!CYxASP3uW&16G# zJ32+Jwgcv`_d9K%?|T{FJ@n|-*3RaS5_Hvb%|wgr`9J|v2g9!Bh3XEx*nn1oshQe| zZsX6f8f|!S8mFu}6zLhBrzkGe<<$l0Dz_`2InNcP4^{kZ>e*+Wvrx`V_t=eVU9n*^ z?(C^YG#H2P&Si-67-@zWq!usx6qwOq+Aa6WQ(aEDe0Z+Rt#~DvhkoGlmr%(_D|y=D zm;)Izfvr!~Y25KbRnC{qgUtjE{yZYo;Z&B82ucaILih51rPi|R{h zLW#r(jO4uRdD+HHrs-@|1ED}`6`0&$o>VT3FWv}DeK8KkW1x!d1}RA;g%MA7gVOo? zbjG0^wyw~(s#-6NsrIE4fSQDwgv(^DObgJ&9J$SDlCG!5!j10mTnOV z&ub=!@$F>#*NF&cmM`i#gg4{Q%l@O4cR}!EARvNqo+N=e)u$F}FDI{f>Oi?}r=+ZE z-)$T&O})Bhd}kxudwJnFpuuyt)w0-aWFNTUOAga|Hq7y}6GM6liy@f|fDjPaRz|Ad zgjq&hd3{qTFJ!GM(ykKGB=c>nh|FPd0T+*bzT4_!Gx1!>;H^}6cVqbmKlSQe+Xn%I zg-(tTH=}4OLkPYo!3*smqdSHW%57SY;uby|;-<4l1fnY16+L3H{0VVE>?HX!g?R4w zz%sm*v53_?Uj6ew*wWgpHi*$o@=x;H*!14?q%VB03O_>{1rQ^ytNs!Y%p2hu|1X+5 zj*a;iOIErpRwk-f{-c@Q$^W4N)EQb0x-!d~+W}I{>;Qx35i2qyw4$G#NmFyUD`WW& z)DBP0h_-3}kF`lHTWdyzy|!}Ku^2+%c{CsMQ3zU2H2xQF=#z)LK)VsZ5k&UI^zxS9=3id5M4Tw= zO%nY}3_?o(^>Oxp=*YZtfe@+sFHT+<)cfA&zy?M`hXAfW0aEyN*-3`Sd)B76vgS#3 zlSF%mJ%(8C$EV-|*-L7?es&%iU{QQf01c(7QsY535TY6v0`=D43S1`sD&XzKD>~Gw z>LEml(4d}$cXO9)Oodk2E~10gJMq9|G9OXQ?jsFJE!|@*M>W5AL(rp4M;0O79dW;zg_R9t z;jn&VeKj%guE0J#pa@X{!~90mU}W~t^4=44n9Ngw{t2SvAs+5=m6JnHOAjm%?(&}+ zNu+;yRl45)C=-9{tq~?XL}xp|>YAXlURiE!I-u}4{J5m(Xv&{{TLgPH_AZQi^k$dF zhq%T^hUC>?h1O(e#YW#Wxjq);{#OLolut2SAg7KFiJ>wSejO71g z5C?Bx?FvVeY(@`P$(HO;Pg--<6mG~$ebEUz(kNhsgYRN5j8!|l$W4HEdNb26rHkMT znhj@-)ldrmX^kqDBt<3 zz$K4X7&MhcfL+bL_~lECrs8PFgu*|yA~>Y+2P%4ihaCL`BZ2F5Gk)!(6kQR4$8;d*_ZBdR6>1KHeCVbE!_#3DK`}o*K+M zHVvBp%ExbI@>PwH&UD1Q)_Yp#YW|~7M#-J^u5UDW!z>W~0c92_aqefz!`x*^yDQA% z>DVi$zD3bhwi7-!7t85P9Y*dM^A(p~Iv6atO`%4QB@51kDUp$Z@%w`QrFRGDmowQw z02MI&59d=1&#GGfn-`-$JYK7v9Uhp#U;KY=g;o?~1EbC;_Su$YT78`kXI4x4lmcVU zD1uB7IyfZ5>qVM+E)Vwo`&CM5sL!Yy6%J;ME9%q=Jey&%9=)HZtS8_7-g0NVA>=qAd>9&W z8@@A$NZ66`n2cF4FB{Ikg#j{cL!|`x z%=uW8A^61Wn9%>MkBRCS9-T259IXOEPU}6Qz`6Vm3z-$vf!X*Uq82}E?0IXDwT0S8ACA4dKQMyK8m{4BEb_(E;ERSz=Gm8cz|5P~1eLSNeSrZokb`1g&T+i=a zSw7NRqewn|&;Mv&3fcImIjNm6kO-Nb-WvlXFlsy73mKrR;?Ox}rn{j>M|sD1x@S=# z^oZb-F^+iNs%Z;;{Ba+j4KmsGea`FDizKJHj+VUpk@j3)S6dfO<(T0}t+naQv>^y* zMSUnPsCpbx$nL&X?gQKxYcq5%O62wB<8%H{fA3>#OLbk2CO=m2#mC1Hsyjg~C#5qD z`pG^ULFqp~G^Kpj*jLV{b{t_6l>G>hq+FK`L+j4OBBFKdQ5B`D<$p;iq`q<MveOqnr_;9rqLVZ{ju2! zL7`_qVx|n-$%|+B_*iNUssBg6Y}n7R|I)vM`)PPH$gB2f&>w;o#f_)=Uwd$Rdi@T< z3sYx`cGzun!@CB9zkN;8%XnS}6M7@TNoS-YW7ntFw)EbBwPVOok>r4|YC7xfqU6Jb zEZqXn2x;m<634X>LsN*`?Fnl}^yyXdK|-$6)KLQSHbps+B*7AO*Uyv@?E#fPt3f~` zRXrH|R*;7!Z{#(1HQg=1KdaD9p^)E4I#`$KxoZCIlK&@~2sV}cT|Y6Ab)$T)iKcg0 zfX**+B3A>Z)9lm#BK9%)`pX>+f7O4H=yjYoB!d12kGdom?~r7i1wbb|r|#mX6`Y%6 zwtLI1tk;=;{|f@ZUNA8K#g+G)U@Sg#Rnam;FvaaCam}j2N6E1rOvE_oFNISe&u^z-lah zV}Sse7Uf5Zh-z=Gi7A+j)ag4fF)s-?6fCe!NZik9^hAWaU1X#z2p9ztX{$x@3i_)Jv zaFnRK{8o(BDdf_<=9(l<=kj}fc(`&u_u%mqrx2-7Li4z|(ET_E)J{6`mH`I`=l0eQ zH^@lN{f+`v0XcBwc|K!0)n<@Ikh(bMRuZjc5V~=sUxv*WU#ZDRofcGrtMC#+97o{` zo#70@A=Ky8ufRPfrQ+6!#7CyiLPmT0E<7E9y3B|By^qBXeg3h!r{Ka=`UOC#OTsNZ zL0=X9%rvQuGq{c!R$!$p=c?uu8G3&w1E7~gZe(%U%-jhM`p&N}(oiG;TVBjsgji(g zuyrnbWv9#|x-8!UhGaMIeLrp6({oi@q7iMcwfI2WmwYehxYehH?316~%pC8FDE(4! zJ3txz(z3?~xA2bZZQN{vEK=V|>j%5LkaXPOH}RQ7*_4<*ziZEE)pfs>j5aLd1H5 zkM>O{UKlk4=C`#FF5U|yt!@PLfpPUk!-Ja>M$c-zPZ{;Y*X-Lpx$AQtnYFKF7IMNt z?-Ydf%R|nD2Fz5eUr?+w2IEw&GJZ4GUTx`bz({|L4p?QVcp<<|$Xxes&g>=b3Rw|NX-rRH=_mVe||AiA^p;w|k1=2f@D+M{@uH4UO*J5Jr> zSgux552Yu;kJHic4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Gfu3jhEB006$30RR91 z003(R00000003^B0RR91004La%zbrq6iXBD3OD1kp8{)A`$ zzx?Glftz7uFz~^Y9RCf2kq5xziRWj}lAh21U+Vt5{Qtc*RbG|x#7cescU|@5&;J$w zf8*f!#Iw!+)r%^BUwTRF!KEkiB#p-tRr0AFg}Is94|L=fhx?S%4Y>tIH+7b z{~>j~rHuLzXWmR6c3?2R| z&lNtgrQ~~glF*};;(0825>Ikf>z$NTlzPc?)xPRW@iT@zS8c|Z&kCw&R~ROf=O3gs zPpPTaKFV`NQBoMRE`$?WF`6_zV=LFKG;-11YOiD(V=Rf7M zq9utuQSB=pDlRF^Rr#1wM$xF+RD4q$Q+O)66vxza#U<63!d&Hw+lo@vdlbThbJBm_;q3TQF_D5_yg%OQy_N7Q!aYNNrG^xu;Ck zXVtRmMYXR=DqT`?Q7tIhr4l|XucbWpbLm&;=GerTbFCybKb4+3K2B@Bg-b?Sz+l19_>!(Ht+?8So}}6Am?_~6gO4%6 zW<5|HyKqs;8!JfqF80axj}6qSFdfD`WZ&l$@H^Hbr+~BCw*MEP_L4u?*Ew?$HfU3Q zi!lp`j@ox)7y(A1D4dn~-JeP&A0xHF8U`B1tMB~bG%$ZXsXzJIqXnFs>HdkvGk9@! z3)pMAS4JJ=n58k_gJyQ}H+!mY1?rYA8)cue(<-XL30bnnZx*X@^Wiq4dbjCyZ+|PX z2G<4x$C2&b6hcQ2UnXnn1S|I!+g~ux4#-uAZQg`w^y1uGL5=08Q0oTNL=WQZT2IgF zji&D{4>^u&o~J{%*N~ms+McFoC`@0EoUqz!a9J>nq5j77ds}J!oG+BMdu-n*td3~a zxCVwDV;&m0KSp=fdREA3&8}?q*YX~Ra;t&Bu4fIY&e(aBf23jg3P${}D+CIyHhk(h zX?W-|!Q3U#ORTs`$(LNr;5RarQ^31o8UZ3uAFgOW0P7Z~j^oVqzOWP8a$aNp4T`dj z5iqm;Q;upKn<%v>u(rg7ygx&hAza4x1p9E~pL`gc0$9P2A~ar6i=^;R=1A2aoO#xE zHNGvn5deW05x^h#sWko!n7@n4TuYZ^D~A%s0s z4H`xzNTd57&X!NfN%Pw%O?CCnCdi76%oE%3Idb2w+QPm5TqXi)F2ZgyN9<1jlPycZkyVk|vYu^B1iFv8YTo0_<@PFNTV z1-cKN$3pgcOf&lSJ~wqvl-)WGW+AO%uG*70GjnvRL!XOI5-af&j2S!?jaOo>*;O;< zrT)DmS;=8Ek(g^6>lPRAOZXzc==pR;O{`=sH)GFY3pTa+SIOVZkvR@(aVo?-H{O8w zXkUxbj)Li=c8!nWKD86`T;UNlK@M7!*Ut}?xQuH28rUsKyS<$E_Bw(!-7i?Oc2m}K zbI_j-RQGog+PHh0^+toA!gj$HJ6)$xvb{f1;KhyBehp5@4V(8Eb7M=gGt}_mFBuK0 zX}07*zp;>IPnVyzj2b1FyPOByiam%~Y&ITSz;APBH5ydan7;+)ZCTfB&6YMLn>sG* zzD@Mf6I`n~sTg(5o{nnwU27H5;PvQs8G6WCX*>NH^n`k}9b_%k;4knkMbY=uXQOF$ zm0g1QA&ohFnmL@Ehh6-8W}16gc_tU;4nWX>(Y;1?zXoHJK+9;%1tOWoJWk0;jzU_Q z+~DH5s#0io2LlD0@O$&Rfp;FqyhDM2llMy&)SRvSFjsKwfQ%rRS|)&&x4 z0LL|DV<+m~r!Xy;9AItHs5L;}?Y&sf+YA~lp>ay=8^;S9hXw-JWxwe5m_VjH?E0g9TBhf%>nMQ33 zyA|^Y%>P}jVEW|T5mBIf0TBc`Zp=($_D9lGH)@NsWcM4^aTmNE?d;(|N9S@>}D>evfF7q#lc7r%G(^F-t*b8R5l@*1_*?+>PSB^1}{X@R=gQuJwNn*QW}a;;Rl?0&1ds<0+lqt+PRb_N0( zwd+eJIW}w5&Z3tz_%@+VRmwWcLHDhW)(97B5Op6eV{b_iM7Hysj3vo6YWQzPgRXhS z_oH^@B8?@wm|=v>D&SYYY#HGiELwD}Dca2i{It6tlxUEnm+pHDEMP9E&zGtKIVc?(I8Q*G4^+F26IJu@dKZB38_(QSwK(HJM39m%>fx z%*y2vJg+w z;u-~mF#=2VATOd(L24BIrP+d@!xpvHjZYqU#IaCIY&=lA&OMlu&UK_4CkoM{ZiX)h zJK;ko&|3SId6O&sZ_0i!yEFZveAY!>Td@TB#rSQgZ}J5q&=i_2Zt%@rxv5a1NV?V) zG<|~|mhY9xuj1Go!nJQ2^JzdWCJaquUMKqs$0FVL4Cb2AoeP_G=LYn-gp)Go`D5kQ znD>CL<$!~^`1lvy%;In&tU0A?hoRlJi0MAnYD{OU4;9R_{~Pn7jq}j_sY@dY)J<58 zi3R+&_Ef64Qe%DrG}VvBna2ECn&yrZTA7^ado_ae)@O>*$K~m$%Fj$zaouP_RQ$@L zf%$ktS&DI{EnU5u)M~D4e??$241|^KUz{3xkEf6Se6w6(O)&I)JS4Uu(GPlg(IJ}3 zb3v6}K^pvuu-?R%VFzKNQ`^OHd?b_wo#Se1OuHsoIG0z!@X1a(Si~`Q|&SYkAj4yO=WBQ$uT0>W4XnYwI-T zWq{y4u5GMp{DanSPbFOA(O;#uP(@y$@HdJkDnJ8Mj*p01cq#t3VP7V{hSwF>rfJmN zV66_R{^I$DRrk!TBa-$scbl+29W9N{q9#VzMB=V(hUVANn z4bIOWOo<%V9t@>JsXx-Pp3!`<9wX@#LaIkl*U{-?Iji$jG5!1KRuWyi8@incTgVWj zF4Y{>OU6)MBVoMldF3?WOr?_TJ&5=S8)|q|8vRZGXO&i^BRv3Pi&j;eWNa z7w~i3R?4(f6XphMiD5Bku*Up6movt&=9zji=hlfumW%FC5O$bZY`5yQp7OT5M-`Sw z@tvS+V;*Oxfy7Q<{z*UXC|%2@F&_hxLy*n>yAX}rIXR-Pxlywx6!42NTMcup1Zs`z zCpfYJ_-V|`Pd?$8s{6i=4`tESv3=cW$H?MTw)=Ifs9vq%fxxye@t^Ub?oL{q)Z4i> z&qym7vs#w^c5=Gho!dWiqXh5ZJAtl0X1%SNWfZ;jRr7- zeejCi{nn6uK!HIHdNpdYGMPtEx@N((bi1Z%TWwp{w-N|E#!t+$?Y2>qFI7LZQ(VDKA%Atd8r-DdM)GI>)d1h0WUX848 zym%K4bf*mCZhcYzz`&m5{pqO?_JjRF7`P^W{VO=@YCOvkYrQ7CEk4}lKjU|!9W*Q+|ZW3j`Ts)2@4 ze!)&Uxyai&U`?>K`g6FhaW4S|w-sQldq0&NejkJ_z*j0J$E<1g2h)nJsqO&<24n&j>Vk+H#dOQ7({N+6M zcS9>NV=gw<3-g^6VEmRY{VCsuXTr7OY`4PmgX~+33!qN3WkuV`mdiUJoD*#^yDyth zbDv+N1zDe2*h}F~4WlBv9wU^|H?}rBPJQ-yJJ)2`Z`~KF7|bidhEa~`w6{%NO-9+@ zRNVf@ilRkQ52%fV#OCzBODVggbq1ZAZcWtQp#o6b0n{#s&ZkkWqebL-5A>ZH`nbE` zCi0%3z%TDSBr|-suo=jp~5q3@w=4t zgaW&G-i)pq%B!JlCUOhCEXp zX=8??oB+)4gN2W*pK8-{2gj)&@>{F5+uIJh|A8$edp(ryc336a!fQRM@zUI_@c{_v z+NY8{w$f{X?t=*?VsBt(G2hYXOUax;?HgICv?|ueIQE;r*;jnWP~4iQr1AOOrif(S zH%2`H8rMTjx9zt&%Uv36NowBxGEi*;W>4>Z|m zX{BS#CA6sHiXC1WqbN}xHN+O@zGZk{#7Nq)!E>!GL+XujoYjqsEWLrrEvq_BUS!dn z#HTD?byMjb92c*W8%ERCmnh^_66ec@J*~9dw>a$hAZ!GD>WUhZXvgPwLU$ZZ_XWe9-%m>Hb*$7P34^;|!bObWft{#mzru8T`#Ni??z=IJGbXsUpwvb(XMCnRGY(qu zb?tcEi*Xi>pD%Ql3g3(6tWdh7wMT<9a=T^-a5CUY$rf}-6Z`3=w?^);9VOi>P-5ej(e!{FiI`6w^` zq;)NLCs~Y(lJ^^K+{^=IkJhyv^47BeQ93lZ&oT`goL=jMtEkH>U@({g{5+?30`Go)}AW><-8aHd(4?t^mN7*>VJQx zwN3l;eGuqz&dlL{GiiUr=;9SYiFDZ?Jsd_BaC!#SDWJg6Pdqb5fNNM~w0)<{q`pHG z_$=?PiQ8L*HY%Wp*14XO-}+R}BWEjGt##}mD@w1SJ6AFU(($1uMHR=hpu_}&VMH9u z8s<*FJMfA!aruv`yNZAaY#(k0agd2ICSDpr&%;D?j8Xv{ow+&QBVrk9Tq}= zhAD74=A}4IU~cXKb=uu`nY6>vQY+I7h+0C0cSqaM=-c_I*3kPFM+=Iu?WpLFEdQN5 z6u)sYXOGvrt+NU-j)Y+Wlc8&SUvHo{HGb2UG)JwCy76J4yBXxtF2P!g-~6YHf(Nuf z4>AKJ?6Nxz?n$TmD{VQ%v4e0e6-XQi^xHOAOCLu4q%QThSnasBaE-$T_E|tJR-LWn zlV62%Fk8XyGV)i*^32~talfd!0r(`YZ7s?@<8Su!nOiA)HC3BiQ=vsqRKzUX7`AmQ z?P#FD6Is`UsV$+yEkWIgXSc|Id@5)E*{iL@+64D-McW73od3)+s_{bgtz!e@2K?Gx z)Shv6IVCKt8h4psV^my;k*MYFWfb?3s*Q11WS$qOwS?GKKUq%o|5CLxvr7@K?E{IY zSbnA!=#dX&t;AZO3m~juE@KD$UPxEBou*ocw^<{!#CR#ywzHsc?qVycO|;t-{B@^w zSDV2QaAX~_h2OT(>l&(W^eCwX@@&X{CEr2)?j;k~0}n0n+EtLe5n0+5+vss2RU1|# zBB>*jT&$WlEWU$UzfrZ5X?1vvcB%k1jd`013#?+A=i)?od63VG%-clu>npAa$MBG& z3V-7O7cy`9Z=&(xQ$-igc`YTtECAwf1ZgY|zF{^jCA$xI^1m zHAW4cu)2nyNGh5u%&{BuHKdhb_i6b2ME+x`?2L+3Bj#}HhQ>TI)FB^dcD;O4=-7=1 z5q*nl19^@vQ|Q1O1@_W2ix3zQ#|{C8ZhZ4UldZ49QLb|sd>IBdbSgZao=v+-xvz9~ zkKccxTnom}EP8whE&jEgyl%}Drb>MNiwQI1a}oRDvQ^~w*vlnQA(+`^+xehTnWwF! zwtW=XrKz)FoISwQUxBOW+Z6?FrycfopoYCU7v{}koVNxsTO_1P1%EjbBgR6;`b&l! z(l=TY+Sb5Ih9U&$P~-}A_?}6?nrx#Us|j0gIAwhelt>`!6){y6;tT zrcjMz=mFH=Ge!RP0W|Ta*%f*bQ`Oe;H2R>n6l~YvDz%QUYKQW?T1+r8>y6zA+N?#g zqTe8kEn21L+>0qw_`qKS>2{V-s+KXDZ)c6$a#nHs4;MCHee`y|NuJZ0)u*BrMMrzx z!R|nwgwiwxU(N{b{PfbAq`CH<8DLC7 z?2z@qJ^EH7wKGrAkyZ>QOy&lBgo~G$pi%b%XHudlN@7ZPxp5raF*ET8V_VmmH1)TV zw+qb6dOUSt#+wT(&!l!Y)e6~NHee-hhMIN2_&ihY(3C^Toe#2(w-V^S&oRK}X(tZ} zJ!g|$UHMekB9;T1P#=WNEi{Mzj8nSW3tHEAU7?juD%xu@qVSb76EW zNp@%Abfv8lx_WaSlZ-H)qZ=0-9ce=pW#83UTarUtZUG5w1DY)YulgmqsB}%!h2vvt z1>E)oyN0<~EG**%%)k>2LM2^9SaS;^@dRtk42aHt4PEmDyR-#en@O;r;|YfDN#og= zVMa^P{h#3HjD^j?K}6KnGuQs{;rjU*b5qdQ5;M9^v^r^t9ma&~2#d{pQDXs(y`{7T zV~ZpX&3R)V3m*wTFQ6ZplmWXipNxWC@zuV!fIg*E;I&#}KbU{!cr^5(=q3s^9#XkP zTdXEJ5KRaRy$-IG57{Rx$}zGL>5})0f{y4}L0eRz^(%(WGd%CHHOT927aqmAh0)=`S+x9L>gUe*^~J0;88_yT3$5WQRVk|iczWI{I0QT_y@pH5k#Sm>tNmPhp-=j|S%u$zh9r8f?f8FZ5g8o7l}}t*{H^n`>4oA!EEDgnQhc0*)M9> zaI3Xei)1xEcthd*Gwh?W$$rv>ntxla74Sx=m=2cIy7dK0uqw9m&gkye4Q+xW&@J!e z8fK{#6Uce_tpp|ybhI}EUa=0xA$F8SD`~O$hzk4vWnI;MAAlM7ve=9(oBPs-<7zIL zPrJQ;AkDS#yQNoO^YeM3++Gk9Cf?y3Gt8*RMO+f=^(&|KLfijU)W&?#9F?jl)yqGd z;^g_u8lwB=1Kez5u;QHGTT|K)C6eM=B)dU!J}k!+ZFbeK$3S(~!evAWz?N~4m?!u! zTd0iRgVFa9mcFT#X>{EVRtnvBTK-@T;RwObo_hivey2>Zitc+98mAfm~!<{KJ=#6gV+t2vB>3kmrW)UgyFGTJWpiEH<@1eW})s$%y2SpOE z%>+$5Uk5Tc_S$GFe@Lg_BcrKf7 zo1qihGmX44#u}|nu%>)~Tt=puNG-~qrk7QNt(@$7gzj=^ygLN?SAoUUcD7PvmyO}@ zzj+CSMccZVerHpG=nEczgs`&+uF-;Pt!L-1$?mltfk@V@%p}^{WU&wi5dt5NRCUlK znmR;*YcsRNuVsQ?%L^BwCz=6^COJy#m4%dGSa&p1`bjN%o<9d&^dDn&(u75UCP=6| z`NTp>IOC=C?NTN|Vkz8SwvdHXx|srl4}#WF7hx-(ETlx+6gVl{x6mzRB-4GbsX5s? zt^587)6N5-w`Qk#J>Zq}?Fy-)!=Hl#=Bba1Y06*)KFyR$VQwRk=A*OzZY20qR_@I`jtNL}ZCleVoJg~U=C6E5f9=a>9n#}>0OMx!I+Jb83hhVH=P0k}&w4I|Z3QG6v&Gby$NPJS z^+;p>3@&#B#_z1Vc2Lj9-|1=TCRVHnb3q|K*~(zbw@OmEYE0>#<&0l6zgJE9%Ak~^HFZnGir`&x{rrXHOO}dlrdtUp$t#P_>4vzy28!Gc> z>MlB4$=mrVPdy8ZKa$R&aTAT-vPRNsZ$)=J-S=xuo;RS0JYR456>#dUq6R1z58q8Ii7i?9d}C z1w@Fn4_1;D(f#K`4`}>>~GmdpYlY0d0I|04jcx*iN z7}1+5Mcrrd88Vf6VTcVz52psMrpp^X(Y?h>tdE-KSeG%H!!ymvJfDtdyhbx`ue4gR zZxNo~0*=Wbzt=T3P^__PHCA_RM8l_>=%_%8P?}d#fkCKro*8V(j&HAehSG_03S66e z6NxPVBnir#oHviYzPd_>eRf+R+60H-LrNfeuHs3`(;%jE=gX?rZ5?^609GGhXx*j; z(daH}4*ZZCm(gGql(Y*n&6YZ=rdzy}pimS1#g(JDr?j(LY7Nmg5ySxrV9&|rWRm- z9%&TReV^*P&04JeIgSq)2av6dyN$lxR#A`Uim?SeukXd#*=Jj*SdaoU7ZqXrpT`oQ zf92y=`f*u-lX7G#7CHs){vY@?&6eX)4p;@XM{Wnga>LLX44O|FrhgXm_tv94DT z;}bgsQ2K1jD>mlx()eEVi)~-mT<}(%&5pFOP=Ql72AjM+ZX0Rdx1w8#@=~|Vi>!v4 z?!qikn-79>_`ZJ$6{!_fCb_UVNkS8w7{7_RcqtWG6JNj_G^xJFLey8mcpKeeuH<9N+z2)ffw98GD{UXj?LCUZ@E%NJnvH?pk_MpD$VO4o9- z@`>|6vnuJqVqUgRI8ziLmQ3d}aA z8cz;U|HT8Y%k5>IQqvvAmgjcN{RlTxb?Qw|`l>cFp*_gq@%=my8F zOd9jmFc3bNVHl&c?4m&p!|2}9Dpp#?TqYiuplb=Zuo<^~AldVk+e^Uj#kzfq6OJok zr!{nB{T<5QWWH68wMG2-X{OL94pl6hV-5Xyq9$AjErm8-URNszMp(Z!l*d+Jex@XL z1}+z8L|yX6EBQ>0dL=&@E3%e+icA?^TM$KMca0bP?I-CM71Y52*f`Y)$ zh5ToJj5dcZ+`UFazE-j3Yo-nd-EzJz`LdyO;ITSWgm-PF?@|yohr0aAZg}HY@*TH& zXnFH+p31Le*D9Q$8y7ApU z(=69}bB|b-Mq6&&3~Du(*6lt+X`C~yL|Tzou`JDlFxaAFW{_`twWjHshc;j%k|jhl zO_nuuHB^C1vSy2(SB5$)hr;>JnoAompP@|K2U~JT0$6XurbH0S&+e0D0=*frjp|z` ztVNpc7C`zN;_c0EF4Cp2m`|zTfU`xnbFz`NY`>eoT(lTd>VVpT2?^OHllB22uLq{aV0FsT_3!44n-=pK5 z6?ir~BoQbMr0^y}|Ivy78F{N?0GXPptz{e@B_k zM;cL-3nBFS!f|U8_bt9I%$dPKAvm3e}k|XXk1_SQK;1p_jMm*K-d8c zHQ840r4_NfoCBWCvvz3jz;(mZn$@8+ZnyG^E^Xm$SbiT^mOMYKr}Asm#(+!L&ZA%@ zG%zpb23mAe)#h~yC9ynM)L4(GO#8LaW@X(cEdyX$l0|mRch5&No?w1F>2?F=A(`SErXHAg|}7ptRt75WEuugbGr z%*F|O)9do{scP9Iz6qdRuCxmyaKQ<2oEzSkcHSzw+}f`}9H9i5IP7MOYP^`9J$^tr zp3JgbN3G7W$Fr}%|J;okLJLz~p*2~%T36V{Wc>DJJ1`d^)J=IXnCj=cEQI}H0YMh6ac&7YpL<@ZQ$ugF# zF$q9Zb{9mpz3zN^wOE0}KrT{hw0i>CzV-{~_%8*1!kD|wKniNF z__bOs#|P~dCqU2NqwzHhO3=!k=_GDxteLtNBrE`7^9GNm^v{)7^ztx8&vG50s+ z=s<_*A+&n?3951Ai~H<*8^+9T4Cz<)Go2q}Th0%uds(sBCPkq4FdCd-Q!($=AJ9V2c84%qUR~mIo~W|n7Z*g$<|tYSW2aoP&0mk&EKx@oZspB`kl6{9%n_> zMigF(|7E+onr1yxer*NwQX1zmC&1uM1~=x<%Kl>&gykVkfik5-7Le&W-94Dv`Rj9T zt1UBChML0=^$HA<=@Yl4O6}Dow+lBe!(1`2yAjOvYJnRx@jyIh#RuChc9W4)hPR=V zD*7*KkYuM7hjlR${H1x8J|~FneA(u*H9(_Q3KmccW*RtWJ1vP9+nIO#a_g~{_lq!Y zW*Furg@>=B-0Rhh3hqGO_`3#Ob3&URU0O#64=b=2gEe0bqXwuue{2q&@l(MdG}nz9 zzZYN|U0-dW4}}zXK4aw8mi4e=O?Qv$Gpu>;mUSZ?3r?kdwQf>@sKc#H{|4`@I)h@? z-$gTdMYN3$-=%IepcdMj4`S|80?on?+) z_uM~AiBrXP2J<2BTwGhspteTBXcxMLa;#Fz%(`oXk4hUxdPw!c@~w2vS9u44d& zTI;b!xFnSHCzeFkSh;9M^9j`G{UU45zeO^SPv*R*})1l-o3_^S1U?!d%OL4lWwOrL5H;U^D zjWV3s*4D8Ut&IZzr9~1IBrjw9Tn2n9MkT8=i$sRl-ypdOi2ph}h=vzd0*(1^)Oy9Z z!LLHZb51$F&`Pfb+7*+jCUATA_HU)n{nd<$wMS9Af$fD?5jmx;x|K?lQDE0t!dL3> zDNhuRwQei5?;dGBmKUeScHZWC(bFgiJf@F>He0x1Pintm3XLmpxy^5{ zpu{t8Qii{WTlus{mNlf%W`;$q>;}Jv zKzTfln5MA?M$gR8VxjL>@Tvc)=U>q`&vgAGpoZ5EMbF=|9+`Yl%YA?aTht}A2{wXo z)Q9DYUPPEBOEouOp zCV1#zw&3>Me6T8gGpjU8zVa&sEChGN^$N2OP51T$Y1}Ux$AZ873^Sd+XC@UY zI-KS$PVSpY`_!*k6y%uK-*|W-zSB2B1!uqIQ{0ycgm=dOZs(dw^R6GI;Gmc8#@*nz zElyJO_lYH51J}eedArOY&DlWm9iv=sdmW#D2hX1}ex^q4$N25mAKj=8S^6XF^0&9q zqG(?z*25N-?DV6^O8&D4;L~ES9+dR;99dU(x6}3Q{yy)$Cuh)831L^q~r3cR~ zPs=-JA&dr%G|zQUhH>FTAgwjjS`Tlss5wzHG(H4Ytm%&PAV5|`#VamB<3X4MJDZH5 z>*Lieg|1N2-T2-6V`#;71%`+|!$-G*0f(?tkD#fpFVj$cZDh9Kx-JW zBT8w{3)J0uJ!r zA)kp@+>)Z4&qcTeWxIBJyj9Ec27WcJ4WN}pSBWyYqrLD}_(pIKx95uXT+j#~z%{q| z3rKSfuZQ;r8SEn3z*wX;&sa}KSj(!Y@w5*S-GJLTz9l?u%8affsJ zm;^H(bIvX~y9nKF#-|4IU9mcAi4{j!SPNlnC;KEF35g-g%qKKz(cme-?v9%Es<0@j3mST)}2p+(ZqRXc~zYU*259n>n!wIeZAbIo_rSZj^$o6oKU7<@}r zfKmPablN@Y9}%c#Iq$$R*OG#>H`~pn=doT27&;;dv7sAgGkhz&ZZ4e*KO5`N7P>Et zK@#(73k|#&=nmhHfvRB6%zD0)DD@O|ZqLbIs)@eqBY^NswYhdArfN-{m}{-06ZjM{tr*ana`wze z9memp5^1jGa*ZWhV{M=mzu!=v+5zsHECm-RSknu#DOjQUTc%z2Vu3xn{Nj z>on$>E;g2z8DtckiJ)Vi46#T1Q?outT_SO}E1#Oa8bFWtDR3684(>8q9U??~h2YBD zAoJY9f-oi8%LE2+1f^KxZYw;5=l4VXji!-DqRia4j6~(&&(pxZwcGpsY=`5jHt;HJ zq2?1r9{CfrBmac?2&;2NSQ0`vw!WEx+{Br8eQCr*b?*KlKM?03ClkAK5mVPbl6jds z@~8tnN(9!uslS@SYFwqMan4!U{;e%#GH;^VNxss!6pyS9+76mS8flPqtNn(`dnb$- znB0U`K@4WV!%=kbrt)jMI1~}oVqi+iCunT@cIZa(KlFv7KYi+Myfp`QW?HzXv!AX~ z!dq#bSBm7dvTE;u7c}fq7?+m%w4}r*Lq*>H%nND!9w36J7U<5D9wYDzfM$Tqq4md5 z{5v5oZTSiz9^%^ON(|7RNsJc{N}%>J(CwLA;LBXm<^yyQYcFI+EF-YHjqe5im&!LBQ4%NJ!tX<64~ zMwJll@|LPQFqt#ZW2@E=y7mc}y!7ux^Wvyk%^5B)Og#wO?I5vi_>V>uJ$~5nAIlQe zAGD{-7Yoy+&IhccnlLxr*X>R8>(3`@GPJ9;R15TPS42}W*I1hDR2xCnwS|_1x0NQe zKqG`y?#3%u7(+=?DUS>}X~u<@@XI`w@=Z`+3?Yr(HUI0A2$ka-p4EVBp2@X+Rt2c7 zM&zLdiaF6>-SK!RN^4lCo)gu4y~e$LmYDft&PPNTb?$sx-Q*(msr}XBx3*Mj=^8_u zk9tjgN@jK9c)Dv*!;R2{X#MDMN3{pdC)Ogbw`ku|>Gwi+NEH<{Ex<_Row*+Mc#~5O zk*sHtNPNyD8%8r=lIGeVx^P*ARQy#9H7hU@c`<&jC>JNtn5n9^8?}P%TTzn^>c$<{ zDUt8s$RKXZ#WgG}RMFAiK2*=aF2dAvf4pZ=S)*1Ndfo_zHnl+;`jB+B7%<;Vq)@BL zPR6(h#_QVkiafJOGqq6|dk5U}Zmvd z;3iC~B9{L6X@HDl6QC{pGc5te-$O=I>5P%4EjamNmvOh@{v^8A1-837vh$dtg9CTz z{|pY?V9!suaYBNFjKFFMGL3o6j`iG_rv!iaVh!G^UDA&R*BvcP<+FCmdGLaTZE(cw zJ~XtUz%EBet><3=?##rQBNld~rPT{jw0&zWak4-Lhl|V~OqiWz>nxF!e^6#Xi%s*ncnrui_@mW2yVo@*ipzn@=y0Cx<3{hqma(aZeG>zPo2N zEkM}J=A$X|SQT1iMz`o2Yrf_z7+T~c^5#3x{Oyi#9-e6#mzUt+Bn!*z35jXn5>jWG z!{t*8pwc=tRIy?U3YnjW8r_NEJ5B5Pc?Q^+3z|)K8qTwl`)stAs5(Ekg2oR z`%k23%~W)MOGo!;Tcz)F!)WO6yv~n3E6Hg`@eUfui=X?u(U5U!U(mHc{*jq#?n2C@ zb!}dPc5=d0`i_Tgk71l$u`!ss?@_0jwKk}>%i!PeF+=FjUkbdF*&^~5cxsf^eyvYm ze@AY;NZk?)+rtY9#`Fs1=c(ORoHvqcDN z0k))qwj?>UoN9STdXXY4bd3+s@YejJ* z{LOA?eW2(G(>V|PX>6s@E?1@`M}X$9!@X(SE_ELlUW^mpG6LrRJdCs9K0Bz)-Z0r1 zSgEaqufy^;%JBeJK&ijjV2mx?hhk4xn^4%(inKAHwIz4+aTX(_UfMygD#b53iI)2; z6wkyxs#{Px!15chu9;uGC(=v4c@~AiCYg_gK$d)~p18aF*cNRy79%&qWOZCy8soD9s&Xm!c zTl+Oz_#$*3d|7_>&d1{@(*YIru==R+yE`{yzGXJJjA(me%VNb=2K*G;&;E#08<%fI z3m?`Kx_u&8iJ+mKN7=h;9lRtGIUP0HQ0hMUsBD}OmMr!~EbzuBkg`YHKykcwS*ars zCgp-#t)g_dZ_=G2pR7~=2G^VZn#NsD>pU~Nploel;SS0r0_an&bzS`N#&Rmn)o54>%IBcyKVNP7nI;diH zWUun~A@APmRfsUI<0gAM2JzSv11U}-H44I_WwIw__=lJNhcev+=93Xpc_yAwDP(RNH=|C|$M)XN=)Wpi znb|^RB&v(h95X}X|wV$ILe4VaJcz4%$0G@ZxQl0MYbI{)W zTdY`Gpyyx#e8j-tu6Lt9bOGkm#DNsOuG+K6%mNkPf@M0RF8bC24NBb59k&$0DANwv z!k_`Pc9xPD*eJ6(tPz`h-B83K7GOzN!Sguq=bp(0J_5h-8AF0+>dy$vZ0;U^P-Q&> zGS_wrF153Nf-RnjulG`fRR>3+Bh%wp;F}P~D;)&q1y&DHJ4O3iR6k)33f_Il+N-&? zm|1Shn-V$cFnte-bfeZO2HP^SG){P!PV`Y=El;B*x($TN9Pl|pGag48=G^$c9CVmE ztcg_H4PF@Y2(`GbYTshdBog}pS>^}&T4E6#*E1OB8AdOH;~K9W*Bmcy{ttMr*)siP zX2)yI79k-e7dCRoB#Lsvq|GPKSnHWBVg^C*iqA35wwiI07R<^hr@*v{xe+(=w>e2u z0u|WBmZtF4>%sF6eNNCfGo921E2X9{KJon%wplyLNqUuAfnBu|K^LU(*Cr?E&X2TG z8=G%pg6%-lLPjmZYw@L7y(5KG9#|UXv>EgVR{Zl7?v&^_b(;HFfRvG}?W*bYaQSV%+Gd-@ue5li zm*CC0#Ve@8=$jP7w%wPc3;}8{(Rb9!(VV%;`8pR3ZfK=u&m=BaETk$h`PF$W70s$5 z%}LrjaO}XSL1Ib9Pp3p7x9RfeCe|7)P&WqI{|TVUGt@#-Y1TDiY5;Ui%4l8d)?~b# z@|3|MfE!mFNW=Hl_Nu622cRl-{NOhlBP+jPA}zchDR$s#pl$ref|zpChBq)DhWNrW z;lQ254kLkDdyEF!<-X0o*ilQXOI@HXFJT_~(3D1Y&m)^}S+zj3vasw@{LTCv%0B^E zVI#raAJ=Rd%9C6(br=(}wOSy>_^GBXcoAOMa-J8^j08YS_l?uc3$ziHW+ZG`qSL3D zv95@Vh(uyNL0ArC<3{wStLInA0L{Nhq5L_&_s%ctBh!rsoZsLG&_Pj8sLgZqePKdd z+P)z_fjPen9rF{7?Vrk-aEiaRT40=g=h}8kIM(H@gD9ZwUh3Cz zqs1>bsT3ap?sLo^qOaOOE0^3QbJHSgBV#V|4uO(B0&3ZE&Zmbtm1ladLkqqY&fh-G zj5jrEh3a>ai@t?!>7q7<_Xih-(au|Eg>DC%pnKvWWwfrPPdeN^5=}zbz(0(~Z0RHs$J`>jM;kw|#Wl5)o0a=?M|*RDzF^^ziAeZBVt`Q+&cidg zwsLyoDNgl9*vfIadp^GEZ%o1n?3pTRckh0vMUtV+Q^zLF^MoLIG_sk+f~oq+zi9KK zDOL$xD*(HSe4j60#=Cwt9hrKVMz31szDKke{JgDD$v5# zVVp(X_LX+WOeH^_5L5f}XBbOCge4rZg&=5x-r>43yEVMAi1_pPQ;!*4p+zhNtR=VWx&i&4Re_x)3F z*em8g7f45gr^&x|3gwUWTnzXN4;G>h7=+Br0BZwo*Q2<5Zh-eQ?R;pw?4?fBYPVVe z=VL+&wTqxTH4D`B(rrMTlCo_mk;iYS!$vT*)2uGE@$hiz`-b+&OTd!EpiT=E9yt{x zfyBoqY(uu%wMT}g;<^v49N5@R<_$R{lySN6> zex4`0es~GLPsDEsdZgqSpj(B_p`O?7P|FX$t+Kjy8whI_>xAv~{vD0~lii75M~JT{ z9`m5X)8U?G=4?Ylqp8U~zkj3#XkL#BF!%;$_p9k%t82>700?1SQE?$$?wnhFDa|f5 zAJh9^9{Ald`cUff3Y>|b%gH+rxW`AaPJHKI&}Gac&&NQClpYUF=mnkds4O!u`92H` z5F!32cpP*M_l>B=!poFoZHb64MEnds+{%3!x6rA=Q_60;yW4iahG` zQ^9;YyB?EIakEYsXDKjGOy}Y{`>nxRnbtywrSBrQc2SLQzvRM>*sM%qz#4DEXfbIF z?TM)jxDr49f7x6w3VA1r?2!lPfDG%?*jLcVF{d=md^N=4>xmSv#<;fT9WzJJ z`k5PKbN!|sB>TGr`uodD>htObwF#+cJ!FZAyxj=(|2Bw*#Z`;7fy^h7_aZn3DWm)L z$`xvTh6WlBHdXA-zeKVMUVaPTfW5ft4x|}Nfxklx-dAo14 zz7C#eVtq98>@GmjR*axAL!gr&swy_A;UhuI1bpwl8Z)Pu=FmR+8Gf0);Jt>TnuN(4Lbb{9KB;3f( z?V7dFxM$)YEmINK4OH}FkZUw(TC|QVaSP7_VI}wp0(xmg zpqEZ3Em`o(l53AZ4sY_<_E4a|w8=+$*f+HkD@8|j#I>9O<}&nf>G?v+(MTQRyvG8S z(SM&y8k#K=8_7=_svEV?fl3n#wWNL})sm-6pmQ+F6pAb{R1kr-!zgnceS4--TA;B} zkzfCHD^r>@9W16qtwPH$D4vB!PP8SM($rTwdn^M^++KS8<6q(AUv+vrgoY$kVEA(( z>=0rHFJPi2wr2lSM;q`7=`o}c3f8rZUWcOh&q;Fvc37P?&*P)aSoE-~%|hDw_%*?w zyRM#y2VpgayxTvTLcx7iNY$RrI+8!vjVHQ&$Q{0;ZI!Aly-3;eeV58egqdu{`s~=n2+ozc#IG69?ZjsM0Q$tT72Zf4QU>9X*tmhNbT=zX}m)&7<_V z;WTiLTKdClvyoh`ZoE*cP{$`dmh9(lMY4u@y(O)!mz(<3+haY`Tr1^Lrg$$mQLhts zIK8=0#%tKohTMb4=HF6D&sX=~sgv?&00tX3pT_l;nLfXJzlkRw~W4^dPwjq?&ha56Tp0 zz6>?4&GoJz`4$GMY9EJDtOqJq%*N4-cqY6AWz1sjFsALkOpDXTb>fC4T$&_Iy@Ked z2c%!*9_!jlWhL-y!c;z>Ynav0gGQ}MmRFYCxeTo1gYGQ&lr!#2>Q*G3(|govi`NTP zB9L4IR#IW?5{fzN5yh(>;NH>*FU9|=Z68nGv()j`co8PK$qz>tk3I zWma<%a}M^%wq0oWNE(}3slz7zE|JG&rrTuK_^-f24ODGlMAsr4Fphr9T8|qw?G?@F zV;!lq%XNcaq^?ZA24th?Lz-DFSyLmq+*^P7Q_1gYpNO4{vXb%;=n`ZuZ5jB%u|;z& z2{i5kzE!w4n*MHBmI}29alcSd+KWZP`x>^9rus@69CVMG-Rp0$zfvhN{*?gZhh+<( ze&=^c@PA1g(R;{p5tQkAtC4hlo|>1e((>lJ_Wf-fw>00E8&1`G?4+!p{&u_EdMpk6 zKCo?Xh;^32;_&TpJZjpC^%drYqV)uK}w9$h3EW%VnRTB9D=%I2#ey z=ox`@>ZI!XIzJF28jOnVG27GBWWtF0AYM5mizUxN8JqHGboS5;V$231Gb!q{*@~4PVF2pXSkcN zI2aAGf-T#2kEV7d_YpQF4l-fxrCw<#_uSz$XNc0Z`Vs6~!1@ds<|R#Z2>pR=(P#+5 zhF2Ow7emxggRxPL{jlp{f$}$zzBCh_xgYdk>LS=buLA!s`!0Cnq~p6bL3eZM-*=(n z!wS>bbfAI{39{XWsgGD3F2hUR=DC&CdJsm!h-T9-#PwS3Wrdwew(1TuFaLK1@RJKw| zIqz_dc`kFJtW1J=n~NB|<_1&XV0DP3xL$YQL%+N=24(6#>@)v2$}bk}v8(VGwYFOU2nby<2J*GJy>$JMTpJhF56Qu@$2ifkKZXLa}= zaZBdxi`_RnS745qTVMue%X$=jkRKJlJjN;x)RFFcvCrb$l{p<9M+0VUr*h8@TkW(m zfgW=`FWDG(#~-KE$)Y=#Odo9Vv2K+@+1Ts`EM9Z!hdJ~z#o3j8F^DD(*2ZtyFI>)6jF1i0BzJLK^I^8YlHik$Ca z`QQQ4O*CGJKbSx7^q_W~=gDD6wknn0aBb6OGqrzz+DccOe3hGD?`mzS3y74Axp^c_ z`lUju9PGv;X^ZX~CoNrvA$e~uz{H4ZG~(Yc`7`{R9Qt*=v@;1h+yJ)lh1VSp1#T39 zR@SOm0+!D|x8vs8p?hO^H74A?r$8Nw0k`2Z#?#a(YB!a^3STUD*a(V@6f4J5t$C5a zJ`h%CaJFs93Dote0+-^x-Lu%caOzrO&j**3jowIWTyw8=p)00ZyU(m^_kpO-Akz~2 z(RGUBwdVPF^qm*kg#6X1&}avxGqzeSv|q~yZE47Kn;lZ^qIGNE%1xjS_Nk(&AE0rv z%e470DrQ_e>J}QmIC}{`4)^HoEC|+I?JE zK$9W1w*@X!(Md6#>uQ#F-wXW=mVeocIa{pNa7uJk#mKlbLi{-n^qTX5faY3+RcKrz zVy}e<@jN^OuE^n;O^DC?i9Lm*h<_NhGGxOyr%3?MKlSbUKi} z`Y4_sV_anE~57%mr(wxd8Tq*Vq4Bp#1yEe1O}FrRurSi-+PgcY^`g7u0yT{tG?n!PG*? zA|zI^ND~houi>|eH)td33yGZq`uewt%d}kVo*OiSY__7|{`c2Xuip2m3xU-R9KfW2r~i-E#jLyrPJtE>+=E@ndoZmD zzu)07hjSv5a?pe&FoQuy*V3OS4=KskF&20(!Qg33)rJ6*jvGg2E-K9|e= zPb12XF{%~^5$lV2syStWBthf|{yC#qX5AN>nPzVl1i(7GMkRpZR4&{6IH^c0H`I5Hp zymQ`p|8w5A=P+!(yZ6qWt248+Gb(WP{ZJ*~ld|*FKzz$ip^eW`l=9ZgNC_Ve*9R)8 zE&9arZ12TYIgd@G@R~=(=F3C4-6Ck#K=*hlrbT=B52r|nG-MyHTZG(5=yEV(pVGJQ z&BXz874cq>XE>60^?(+%d|W~Ddo^1}@^ib&U7A7%fBQ|GD8<{=xL;i}PNHesx6?Q6 zhUp^|Yy9azs8$k*YEs^Dw{r6d37&eUq9 z%-?NP!>YlFZn3Rd{k6>VmIQ25?_#f@O%);Y7x9waRmcQ!alw zX&JlO+V*5&l&_8iV>?#21nnC3im9;YE*$wcFTa-9uc3_%kd12hm+QmCW}U(fl7aJj zOiLS3Z4CACl50%3ozmgUS%E888U{a*AD8o*6zL^=ZlTk;3B;AYdlj4*uuZirEmYSY z9-8byeOfj(AN3qMPp_xIe?X`S@yx>t)9Di*WIsP$!oZ$N-?bsnI}CMv*FLeaJZCXu zZsmFUJ>`OZz?uVY8h`5!>NZd=A?1###eVrNsMxiN^yLXxWPbM=Pnca%ceT0*tYh+gX-&Z4TnNL$0AA)zrWmeL~7y1U(` z)6Soc(~s|3>(*BM24o%rnJ?}dLE~P?Q4Myc5E~h+gmd;`H+9tR5l%r~59_p=SBrx_(UF*D;f zidBZ(kteal9-TlvYPo@$#L6>vrf!KW3W0&YvJBPA2d|*b^KX%FV0XQ$5-QI2)_83n z_fwM%qWz1uQ{^7(bnGQBuJmAX7C-E4J9jEYZhtD~xV$)p2!)dK}hZobnJO=8{VJk+6`LpNP@-l0^KV5AG$%M??^D-VYr<(J7DKcnj9qS z<}U^$=N7URJhZA00v0Ow-($%<5r6VCuz7hZyLqkNPJt^}9@_oYM)I%nnpUNJrt_Bo z@_LO-^c)^~y5lR_aVLW@dg@p`NCl5%$V4g7Z<#!O7R^fM5bXxCTjTz!Ig3Uml3;e| ze|p;zo~NAsCf9D*)94}ebfO$t+~RiI;}Y?VO3=y5P6&8sJFdbnZPudo-2y04?UDKr z)i4F*Pw#q7pu7*SP{+G7bj%~WjcWSrSxNJD$*||8urgWwmNtb}QKAPDT#ijwu=a=5 zZ&9qx+tnjrljZ-4jCQ_I1!~zThd7NALKSHaTbXP47R}-PVGM1{CKp3mj0XUlUqbQG zO^3!%%9cljhn`aYEmptrzSZ_YJfXkW6^Tmpxcq>fmH#;%d3uxACF#ILl>@(km*j#Q zD9#gI+ZJ#}2{j+Q1Qayt-q{FaWVz8jZN_4;qAK)j5GJ||Kir(&u=6vgk+bLnnQ zIkkUO#kF71?lxS+*mc%Q(51oEJd1&^#HusrF6kXoQ=DV<`qr2-XCXP&>h)d?!u1@n z_1$;iXqu7ru@I`IZ&BF@WWk0%&!G<|{}kZ;%(>9_2XM}kO_wvG zymsWDd@ROF4Ijic-jkGfBU;guPArZP4f{oz!z*yb`vlwTQ{OH-8o(cExnH}Mb|G5c zhq9f!Xn$nA8N|CI$G>P{GNu@V^v?>rHi%C|{(duMB>mOtBAx8GME_G+%Qzs` zf@BAKFQmpzqx}&jh2V{CyJszN4$+&`3C8{NLXHV z;h{V5OoO#E$ZYeAn54ePLKR+OLe(AFiJU=WhS8Pr_k~zYP8K4~@gnaK=kJJps@?cD z75lHb1&>UPM$*|Va_&AC*DNNf-DPM0ErMnnj4X2UU_C|%ZNdr_V?jP1oOf+z8CugZ z2%6k3`OZRkDv#FqLytmTDdz|oG(RbGz#=TiCP+MUPyTl%gCW2%Sfu*Rk%qR1L7M%LNzRT`~3DA(b<-lL?>=bQDx-O zD)_S1&Ltz!I@NE%W&+PtJMJ+=+YhO#%>=L)cV%TvnPCY#V2=?~W>TA} zxeE=gC&z+V)+c0+X*#V6SaUTdD`<*+^m$uMO$zZVFNc&VMuRvXhTx1FJ3nhyTd6eg z6&a`FNK@qZz`VgZUjD0)TlxITfb}Xe@%r6Rjko0p)lRi)L3z92L5V*W z2+?M+7#vmCtf3+0u9H5ihR!D@xm*_@#3Vi2(32-ysBna)`+9wa4n(^%!&R#EDL~!g zO%y&>>XkDj=?#8l1R7Qo3XNJ-B_R?qR6VOF*9**F#358c= z75e7)+*G{%ANqM!H@e3fhY~)@_VHzEvnr7R*08IKxbC9b6l~{?xpQh#xu3_0x+9r2 zAF$R3oWmTQu3+BPD)bdtd&^`T_t-cr;;S1>;56LtT^^3=F5V2kB;_A&t-lvpmJ$s!HUr{eS~ ze5e`d&*R#Tn2LQw9bw%LQ5H&MJWP@)5J6R3=u=ILDyPHsaXpBN`(LGGOtKbNOXQ7)VAy;LZSE2xeiCWRp^OmHZtI-GA901MAKfu zkhk@yO~GdZ=tRz=x>$%0!q{U>D-QOL&pt?dS|>KjZl9*VQ_-OcjHwP(;ZS%deR4yus zacb)iVw)2YxURwdc@HQ4BJpYjz5gU%D_r;a8o2Vn{o8wS`wq;CppUmC*gBN8JQ5W) z2XOA{a4BfR!6ic9%GBh>>6xLiIKgZQylV$)h!c!$*PY*kzc|6bcC7waY%Ku{&K27W z4EuAnC0Q)aPZ-Sw@R>}3Dc+Fp(xWx#`CDiQLAAxL<}2c98R94e);cb)Nn`GQBMwh^uZaJqgs+Vq zwUGRS<$#NqbxYzx+@NiBEynNaZ1-~cHJj5}A0C<_!zk)xL3)0Nm>xYEXTLUiVi9>{W)`4~SXe zWBwZ&QH9_Fbo51(zE{Qb(LlTdYk62;Jx$AdLwt0*N{L0(c#ugrvKEY>lsV*#C>GlY z&P#wb^o*?U1^cbt7SK!8-3P|Bm!Vqv^&M&Yz@jv!%r1PfO8DZIV4{zz&z|~#a-P=V<~wa`BLJf zasys79jf`Fmm8-W@aE+l($IZ(y>Q6QKg5Bk5wa4A}hgSlanvRC^EYb|pSj5ibEt&5Rlp@jSVz=?S=F zEdtkOaK0KOE{64`8mlDn-28*k*lhGk3P1y@-9tCG7h^$TOk1IEe-KZ5ErK$B8Y|k( zd&#>8O~u;N*7XDCD%SWdJD;V2;=+V7wC6-tx;VGDcvmBchoBR&3q^^x;dJ4Wv~w#r zj>N!YGzv2^oDM#a;8V&{JMxX=8pPsisn#2h_Dt7#4#O6vZ(YC^?Jj^eHDuAnn4Pn* zErb|1{z_{0uS#mL7LGjf#Mj&4Dj|w!?>@A5o1B*5`59SvHq`wQg_7Fp&Jl5K3|pjR zEvnUsz2xRD-Rk@g2i>(8%{@Q0omve3ODyu9tBi>|gCW{?klM&R!>OB(e5C=_M9_@Q zxo==25j=#C)!{yq6xfZ@;S@4Ua$bt{EqrVf#yf(9;ygTCSil0MZ=3kSkebwwx5M9D zewc`Vx?OO3|TWNG!Z{yI*?K&SaAvMNFZG>vwp!7aDx6zAppJ~b9EBajw0dZYME|~md^`7`>MFO!gY zph|OLe4~}&);Xe#R^%8UWgf-xK!p2FAbu9(j zjPh=k%Qzj3E!>~?t#LY;0sgk`udS3Tx3`gc(FwhXYPZ-I`Ub*N#bakEYDZFIeUYvD z5@k%{8)q~@n-j8uI%NAuQ=3)M2P%D6fsajvA10m{LWyomeZNvYY=IbzXB6=zS(@u( z)N6%MAWnxu($ene(UOI+3R7Fg^S~!w2x4A^6osaBqdgg+w6R?SU6E9P; z2^o#I!Nc@Diu1NGvu`2u6VIm7!k14)*vrC}>XxWf?>^Dp@&UiZe02u~E8Qv9t-7xaSXzd+rui$_w*{6_@w@hlc$4xib_wB)E;iDk0men6PYW4&aw<#uN{K7!T^ zC?_UsH6=Cvgk5ut))#g-n=z>28$N5sB3!MmYqJRE~H3tLbZf5nOYe*>)C zThP{G)%{$xyF0uO;JDj85#9%I+ztB<=J3zhynKL9r2WKR5@)+l!%!Z0@iTFP3&G4{ z5w|pU64?LDT1Pa@3!%i7cmkeRjOWia^4lnW)5ArKc6U(L63q(LPM~nbeyXI#lK{LC z5%U+LVOx@YCC+ahG{;RZH{x>@aqJ4`JjnlIV0Tp5{ECP_6=e2euy#OUm*lROV-`4Z zWev>{*YtlkMP9fVhfpnSaiEj#b9-@u zAppnlf2`a35;Sh&U`<}G#20clc$ABO?}jWR;3{Avk3CWm`A2As_X-NtR37Vbb*GLk zTgAn1hV$(;v3Re5TYx1E;=&){9_}wLL3xi_cZ(N*%h!(ah@8+^c*q2&WBE2QLN2D@)gybOXy?VNn1i}1e}(oA$poazy*Jk%cJae{i#A(*?s4C>T+4%L(rWF zOI5aZwP{V=p;XZmJo2V?e6ZuCo_xBHw6zZ}zSxypTad?UA!~)L#5i+lWYB}bMn9h92i&3P^#h>w5@Yb4xgjy|qo$_z>JfF1PtQVac zC1qYy`FDBvcXf0>*1mlfly{t)%pn#Be{T>HH`wZ9#0^eoRURkt6#ou}LY)txk~pyi z@gR_J1zRea$^vry32Si$mu0C5nIEwmEo*oP%~>v24a{Yq6#a7> ztfB)>((+Mbe*s<(rK^*)^e3Tu1=W2&2$^GZA7_&n<7r2OV>EU29{sNJPz(~Zitwa4 zYgbdtN^iwSTK!Z{)2_RIl`gctuk5~$VVa_+ISHNM`lz9&-x}IF=q*JzsjTN=nqvIj z4RqI|hQjgsQ{ppnw#Hhi(F@qgU=B(wkt-V5vvZ4(Ms|UNgH?J{y(1$;WU^++p1@Fw zp>Q?i|Crv7wfnF=>NvI^B!yv09@+l=G$Zl4+bQ z#Ei*(2=z9?o*Ft7INB`0PkoYq2N5qTBwKS-DVh^6tN4CwFpo>bSTHLx@Ewq-nPe2z z3X>D2PndHzTT=~7Hn*P6EpeaBN$fqG67YTlkmEANnk`gisnJzO2K0{E3&umUcmnN< zUm#WX+pe!-vDt{?u2a!@*1~4M6^s{uVy(q23_Qd$ zs$ut=j}9oPT+l$Zzrqc^WT-A1w7@4xjPAp}HST5J9Dogc z#FY$Xe9*eGooI9Y(L$)!Vu~N3v7bq>4XDNO@PozC)T@e*V6C)btriTqH|pNGw zYaRUGg+)bzo`;tZoChk_x}w?%utz1elVAD=;H(vKO=hFhdVqM~@v0QuBo8$W+3EH% zqIfVtlLM4D_&q^t7HgA1w=~z_&{h_rz@$>4mejg}?k`+}QyW(#DD$EUg0+;2wXZDj ze~PtoZ6g9gRJ(0(fm*fba^(Q(c59n1PBR4P5UX0s{KTP!bYRpURQ*p!U_m9+l2*9) z4*Nt;b_WWfT1&0gS;kb%-z5JbV`A#N0dp=L;`8bvK|TBD6JYKhOMTCWP?v&);(Yvf zivs+VP;n%t)(j*LjBP<%>J*?H>sRS{6l-?FcKbz9`R{HDq4IY)@|p*sPD2gjyBDO& zwdMSpCDb+$D(`H^pRUfz8MGmx6zU_z+6G{+LZMiLDJD{^wM9H%%N1GEj%%wO(X%Vo z`aq&J*;<{w*B+(~xf2ViZBeZChbguI1CKriQKIo~`u^Gg{%>@=fS}U>k~Pc}2`{n1 ziZv{j;;nz(FSS^zN$ubCq>45Bq3!4P()!hJ2%L|J4PL9Cqe|@KuvDvIHum{s1*zN} z`IgBNstv9=qexK0tQCd6AI9cfg?pSpGE@mQ65Zozkhwa6Bxk=9dS{jWdm&GBhSU-i zJxr_1CpJC~*{O?JNAl3s{z0O1wwP^G>KjoJoi?kV`mWO$k={iX?1D~k^-oOvXqpLh z_v|?b#4rd)j50)LL{k!t$@f29lF2`zfW_Zz%7H*v_WR68f;>y8H zXon%S6XlB2>Yg$KTX}3d>|!9K<_b>E)2$+p4FaSfIwr2fgB9^E!2TW?!xh^iUKTPh ziozA#lV?l>amgS`SFnYRFZ;EqSez@?rl9V#;BWq=QFQdL<6>6wsUrH4nR4_;=-j&kUoxMFuxoG0LFWj1gHTiA@OX^*(>7{pt$j|r9h1<3d4 z{Hw6HBL2XDrpnfS=J@A)#(y~`2Uex7S@Y7Rrsec%{J5Z-2W8(+{Aa@?)oJuk(@C$i zO;4n-i=t!S41=B*?`P4O->=Y{CvO7=Deyi>gwPQ^|D-&HOqd`HIY@=va**daNH)!q zK>FccxbW{df=AzjMS{Y5mKWf>Y%Ol_JbTMlRJO`=Iy9x5K7xC>X)%#xUk$d7rD9Fx zN7nqSbl{g@t(5D)16v7k%#@vfU$AyibbShSrjB1fDBvY&vIBk_*u3+f9k|NO9TJdN zb-=s8*2H*m@PWPdJU$YM=9*b?JV%tbjS#w$Zl`6E#LYPFl0aBBQzlhc`TVv(Sm z#@Dc?g62v#QU`%QrBJM$+r=I&uKhsFhRGbYb}n_tYWg@OmeN4vQ&dB=m03nmj&ZW{ z&zMpy39YWA>ik12T%+v#e}s{WYNmD=NXN6vH@Ul&SU$5vWFS#daeb%d0eO^IytrQ1-KVh16zS;ogEP&JphU$51zO zI9)T62DX#&9ESQ7ETu*c4Hu)>^5d!WbqU_f4HILcC&-~aI4W8_F3iqlMYyo8q-dR* zor4>UR&HQDqMd9|g7U|2C){91>~;_QE+6$s{Et2CN!;#o#{)&Xm;Q6RljR*0SG#}Z zV*vIPkhX^S{!FSuF_*c~SgN&6f)6W&DxhX1=t9qC23Vg_UVsM_h1AaFs80{d@+aC^ zvfE1%gm@ZrRgNn+%hHQ4;WT1wKYf52hvtPTrh*jGznVmOhswDJGLwmKk)@LN?n2N71Sp zvP<;I|Ke6@I+Hw`;2b+AiR&S%{eogw^Rg7s(=GI*N44=#_)aJi zls=st&GFRM*DlppDlykm@sI94mbJnlN>)fNSH`)Ug7Zv>wzZHARN%trMk7@=XyCN%Xi$hQL1*xFWhse*!z|aav>AmMUWGZ!%4{ zt4hIUwC9Xl2Yf%m-e`y=D-XDa-;x9DtQ$Qp7 zEoR960$2H1hE}`rsq&f_(P17sjOtV68s!hzo2v_wZQuq2e%nr`PI`-#Ie57)mY7ZD zi6p7qcP;wS-ZpY}&iW=dm~*pa%T?yZmDFs0mCScOn62N44bJH^gXXt8M&D$~99U6t zJ_&$u zhcFrE&+yQ8v${~f^bEnUIaZ=3eAbGT4v1eA51xk zzEAvz;9OTPg1*6!L=Z~b>~>I%6-xzJyfwqGDu`&BD_GIiQn6H=72!#)VD1V@EsN*y zNDS=O+?~_>nYcJafL}yAovBLyOx2UTqn4cq>0=c2=ua$`VVFKRyK)T0n=ThqlvA8{ z=B}EvE5>Z?Ti*=wd9p%qjx;BlV;8Pqp<&c*UrMOG`7~*sUUI+MZ{19L_r9hp0p<0L ziZ%P8#%qSs-BxmnyO?6FC?v8HhTQtl)u4oH+>r8#wGo@Zg7e z=e-=$Xz19*F`dXZszD8>(e>pL4Auk=zlY)P=^^u-Z>G|{u5Q@BF>4MqQ~QmHpC2i~ zh%F+fmS-BgmmhsIR=yW#=$*H8?VIWPA1Z&_b!Yi@9-VzJ_tfC64gYD8*ry{a19*Q> zc`bnlRKg391NVQVzEwlR9^2kHJcIQO_wwDXuubJ3h?#OpH_K&W;QF<_OxjVcyT zXncG-OK+#rdnEE>DMXNgi)PZef>I@Mav zYZ>~4QrbpxCG~V(Ix8I51}lZ)T&C14RPi>oD*{6%nBs$=P|CjKpolGV+5JVsCd2#w zK<7ZYKR!)o({!o3JFI!Yv>}wnA>DsM3|pJ%>O|qm_65vUc5btFt4(KGIeRWHh z0hM93!CG(FW1YP>sR8fYjwQptDRbBi)^4$a9BbOPp_!4g-LP|E4jqBb+Z8yifhOK) zvUSO8Ca_bZ>!aRiVzcdxOk6ndQ?yAuS@_pcL>UhYv0w*tp^}tnlK{I$CTjzSuXVWn z$Hy^A)>=T^iNT*MwzYBOB8dAP_;bC2m{&V835Zfjmua&^XVC3KsZt%MQ-ON2$E9M2 zwixo{bQG#(>o=WN{^$m7!ksHeEl?j)c{-gfE5QR)qVhu2iGnq&x<^EjNK|fNFF}{~ z)N6_CJB(Ig&u)&&-zW2}u)p|w%+F~l5PDYZ{GNRNHfipqZ94L@xY8i3JV&o=TIF@5 zALQKv-tE%2D*rx&hMk5V-t$^Z$sW9;?XT9_M>g|!EAl8EZRwtER5DC%b7F}Fj;`%O z(SO1=+R#mc(=jtb-w)9NufcX}vDP78b-k)$P5d8-j!E&;Eh+EnDPq>5tzyl7Y<*}M z`uXoMwD*@W_712vcxC?Clxv^th+2JQ8+>}eENcDXFJX$Gz-!EFP_o&yeU`*-#Tph4 zY(L^^Yu4@%HrVy9vvMN)N2Re-1w-vKxJw{b&xSQ`X;P7vP0UU2&qeF};z(YH5v$w7 znvW+xN%1mzi_u&m_Az?`tuU+2(d^hT`t8s$(J=NpmuZRP5UR4Z*7d)$mqQf8*nbS1 z=-vU|$E3DgOmSc3?*W{sG~w(je`9O*$(Rem#WU*~Ui|Ufp!na>gADlzOT=?9!y=~k zVex8wpf6A3H5)`vuSoa5!YvgsH3>XmIQL>K?18m=>Q5|dLJi`2y)}Zq&B+VvZ&lTC zXqiYgvtg-+VX02NFV)&E+iiVk(H^!|0yQX^_w$|}a7(3FMVi;S9;r(=8wOI-3K4n^ zW$b;^cQ0S?W$uk`Y}>YN+qRwD*miPb+r}rhZQHiZ$$Won&06yh%+tJepRPW=SD*7x zr)t+OT0TLNL-~yj=Je_iJ0xc~WeZyd1`o2ORITz+ zE>qsDoCkIDN+PXDt#XCT>1=M^9;viInGRDYnL8RpeqS-1rRK8gV3@1s6Y5txIYVQd z22r?w{ycaF?yCJTPK66Nw-P|CYG?*_Ol+&Omr64*P8PuO527K;p z{k&04oQkdl=t`#)^QQy4p1|O}i*_eNke9`oY=Md;DG-_i&^`D4Xx{@mlRQl3BC-%$ z!_2|zO?~qDd5b|(PYPrC648gZDQ8tG{mBI~{7bM;>Vh%DoB6_4Z6|0d{pspuq%y?{ z3w7Za?&Qu;+!`C(jPZYcc`{b?8rMUp_Ez2y1~xr-wD3gbcU9`7f|Dbv@NBGe3J{pR z3PDP~kKO)d?NfVS2TQtj^VQi=}bp$n7T)spJzjq5UpikRx zO1{)c+$REx>9X`{De)nx&!YXa+ zHsQp~p&!8edx*==M(Cn=sk`~uKDIPaah)X&7#0*&yr=pbfX$#3R#bN=95WPEh`~ne z2HLHH?2O0X*oly=Qof44$)(>@Qj?h?5MzoxMEjgxm`j+Yh%VvAqXfU_G(mMb3WuEY zJKA)d_4Ikyy@j$TbuG_1++FMG>+veAGvFoC&i(S270rvmaKb&!iPL+PVf>p`P0dcf zJ`9#VCmI~l7{{zl7C7^<8_d@m?gTEgQ>8Xee+5{V>=NV3DeJ_3l(fu?==OmH;Gs(VFA_ep-l zbbo@)?e+@!YUhL#-S6Qx_E$JK?NH$>$3AD`ubafN?lJurwNDMbLgjcY8Cl5vRTp2R z#^^Q)XOH017 z&5|KFNt$Z%7a?sUWUS$sO#K`Ypo&4=?2Zi<$RtGvX(r;dVx$&zTKBQCV#1Tybz04i zdOT2QZsx%yzX&TB9Is4yBqeMZ>yNMlN>6xPh#oI+WOi}VPi|K_L^fe&T~|OaE=^uL zT6gd0+xbx=OFd!gq)GIt06m}yLYPaB$r#S$XwaYYWcK-Inz;~~z@`hYl2WFX)ymq~ z2&P#XYZ$~+{Pf+&>C*j=;DSaLt6su*udru6VO!aKS04JEHn6InYdf&_CpQIr<}YO& zPf?@%&=OOD{y4K%WyVrzLaTXM0qtF}(=ZRqL&Z8`B0?9?)QF4fN83k~nB%d-V> zh!>NF2$h#$(GPAzD%4VmjT^fsM?0JR7bA(+y`EXqu_=n4`oW#vbbJbhaMPL%JY{nB zoHrMZ* z%t_1KPSBbq)ABwy@MDN@uoWF~9(=8`t95YmQj}zp(<^o95fDTc3Q5O;Br7aiHl?Mt zx12@nM(a5C0v!``@?$E>vP|H$YOS4U6Wz{OuWH{~!lYX%GoNGd-G8FBX!RJiavoOU zS_1~b8Gumy362GJA#o@51UYUcwrl8%t;n@|)qD1C%t~0}Sh@+QG^Nm7oBUszc#itkD?uL|-z`+gV;PetBNlgmB7__zo^` zOfoZ+MVaJQbi!_Z*I7+MiJyu+|A>cuz%M;DjmbX6RTZIzVDb2fmls~Uhw8D^uDZ!@ zmA2=9u&+l6x5G$_ZD-Z)*)0L(=7o@g{kZKNH(GK0zLoe9Jf z&9(qv70h}(d(dGQ0Q!JRz0Co-KFiWOc-jBC|;U2;n=rRmxXy0cV{^Dj$=p64Wr zHMy3hPrQ}yz+P4G4ro(QL4_7p>B%k&@K~~+%K$wJz(){t3RK!0iuI`WN^ood75X(t z5O)c|uPuH;XVCA^uJ8V(FQFsx^d{QDEUQh_7Cy|U>_Nl=0~KX$EYK;WUQiu?+E;rD zzQmW*=IEyWM+w#Kch46bUOlTmXOw>CR^xq)wAEJo%%G?ZPKKLqM&X-|6qG%$g%ro0{l zzdP2N6fR4ko9ukK8JK-Y!nF!YLgZkmW{Q?*dI;G>s3cJ8QRTUr%#amO-tAbk9sz5z z`!&7^pqYB^|0Jv39s1*m+5`{fJC4kB5l-`D6TUl@bpIXu`mKNS_>;t}NwsmYdv5b( zE1nxmwWh3AtPeN3$N>$eS{cjR!;uGlZlhsa3Fy5SM6O=hbJK3ub-e)p-m)D>eg%n_ zugdp40bG0#h)T6@3QZUJqDdwDqD3y!XLv4$FzkOPQ!kiLivA-&{Rv)gB()qGIRqxG z@l|lCSxZqvqR&fJYX2n4EL~-TaI>vrEMSw7HU&@8&bIXGdmNCyeIdT!@-#CFKc@FT z_zF!eF22L=KP+R%-)%!U-I_(Tw)u+r;Ac|GpxQDF>XSHpxY9RAMPC~)hO)fffxDG> zZWL~KzkF2Yr}Rclopk3`dR7P0*aIRpC^Lu7(f!@J&O#*)yhyh-q>axJ>y2sY;Y&PRRh}Xzh$APZLC#X)xL?I?o!CY zG*;;yYprH{##orbXYG_rzlfG;$YA|_vPdc#Wtqa)?2;YRRW}Ww9l{7^R^k&*#@!)s zdlNVWEx|zW!OrA#H;Y^xnJ^ZC*G@ZC`Yr84Ct8zp9HJ($C0rv$G$g2i?Sx#bfx)4w z=3c}hG0A$XQVnM5zpSJ6s*QF4)(bpqpH`&%;>*QvXDj|ySB+l_22-$reH*#QEkC^q zpGEa{7M>Fwg4esrNKgWHvCze|)W&P^WU7id6MGq8tjzN-Qk18 zuYNs#)N=@Z z(~V@I0lJmQ?BtYtD_4y?+o8lAC&~TKQ%TXM5&F)U^eVvFayd<^%uTJxIz{eI631q8bGVZya|;6 zH9G}vcBn44L7JxjRJ19<5RzKg8c-T?nX{pYhpI*Gc@A6r3~MoxoCj-K-LOYKr-~)$ zogqPQN))t!DL^YCPi|F~LZ+n;jl7ww^Glg5mk+075)edilHUljU$*aTyPsBC!*Lru4wrYAYYdZ z#l~{?HyVVj#Uvz-yv>Z7J*HmdB^)vUP?8e$haG&UVWi@4}OT;Ne5TTOY5+ z`NEddftVkxvMMbWV5>Ao0r$XXbc{Lw%$~K9HngIg97CqG^7KunN1NMgQ9xm^LiFiw zO_u(2fGIPUwW_{am69zjF*&6B=tJn;ENTkeA?N5ASi!?O`!+QD@VY3#w;F`0k#Q)M`7I4PjVDuOV58FFc{ox>|SR_hwel}~t) z6^e2P=KiET-3g)VL3JdG50kWp`nVgy-ptefZceh}5X+k-jpd%*LGw z851Y##MLx}e47+wcGg?T&>W^sV@Uzp+&n2T@`!WyOht>0;Xzm6hk_VYKr5mx1^s=y z+n4rau4r_3zc+M^0~tADEGE+XMbV9L@M+i?W<|D&y`ekQ^5)8SxLv<`U&KZEeRYz= zTN`$U+!$H}xyL5x6=lF9iDeODz2plhD!PKC-4LnA-N-BTh<;2Q(?pJK-pHCwAbrdd z3WfEV$)HMF*&!CwmG=*Kj}|2Hz62(U5lT^i+%0`3!J~1bkE^X)@-WdrZ}S>a0`|H) zRUSi#Std3+FaFMrACz$8$0-a-#3M3yMZsV;D>qj7gX<^Pgk5e+{kDl_#1Zt*Y)b`Q zhQoFnN$@f^$OC3TEs&37AqFeqL@O!g@Ymk+PL1odpmLk0s?pU4($7}uJiFq`>3=wX ziAg-ZGVb)WF7xiTgg#7ljjQ&37py7f1owomc(pRqt@hf%FtJJ*U0MEY>k@obr!i+` z(6)~Uq;&Db5BSA6tMnRy@Ewl?MJec;kPp_74(^qBQG)|#9{X3Z=jd1m`H0gwQW)ww7T4LN&?+J2U=vx*0Gd?cVHlstRr(InlgnCuO{VX zsz_%*wE~4 ztokXBEybE-3cf;#9Tui^n-4wnu%4x$^i$+jK9KWXuZr_ce2T~E9Ib{%-v5f!+w9)? zX*wu$rnQi3!q{10=_)h+86!>2Uy*K(==2k3{&8NWlTC&`cpsc|6DB9m8Sad zOPE4dX2EmdUqmOHT!9pc@ruw{1HzzAd*OWPHd(8OH(KQ2)V8kx>lpUOwfm_?k~)|G zR(G8PJ6jEtg{3$u(dN`?+vBS_NP_~-DLLURF{L9zdRGRkjBml34b#lqG@#-5i`OBR z2iC?Z^4M`0I{!V|q#X-@vQi0wSbnv;?s8(KoZl9iy+HvT<&vT&BX<2E0})fXI7~q| z8es7rARaHabh|o0#yfX$zW?|sX-QzdB9$uC&*Er)rAonq5B0Vov%qXu$)`7C0lS$) z+3QPTbmvdvwx3lNpT3+a^ea4R;ljmJ%s4MIC6oEJdEY~IFdfF{-HGY)&x`cEUiQp$ z*@zQnWs;bJ#gIk$z_ov~N6ftYYHJ51|Bv&$1;(`s$F$S8a8ba37Yxa`d=vkDAekgnHA@ST5C;N$`&~$!a3U6 zeK+t=%MHyp`a{-3ZeImFlSb(oeuW``zYoumO-BrO^|sQIp+Msf9ht>sVxefA>h2qX z2`uZ&K~d@CHFAmRBETeI5wYy#A>Nf2-W%8y8 zu9#2harZ_LRjw;T>t^QX*M51)Cucsm(si5Qvg!Q1h3-;q8h@RT;H(nnM%12i~qC^Rp~Yc18;>rB`|l)nzq+w``=;^eeQy#Aj8fcH&v4&>y+|y=T_Qga4e;=>4GA8G~5}#iuX_=+)t~I zGJai_fX)XNchV)Na&&`ycTlw9Rjy>ul|HUT?!QG-t>v^W_M0oTy~~}+Vs-6T&)4RU z>_Y_!^ap%dbJS1V%oDiNf)x=v14 zONr>shzs)v@;xKj>awerZ8+9S;;FSt;1dVJb_4RK1$xb-PLbuP(V$d2r|JDLv-}mDz~x-x(*Z$LG&qxTIgWGS|z}%_V7|$NA)Z zuAGZev76~2l|;?BDe-ILwL$(r#T#q4YZB4UI&Kt)ca~4C%JsXMYMUm$$-G#0P0bOd{G*Ss?G6*pJ%{2K2JXIku$3kvbCf{d0)GW;*FzYD`ZW$e^ z4rcm^*-8>J3o|hJQa49tgx}2p?7FOf_B!<=is#nUKd) z;nP?tjo$wKf;D)c{ORGDLvLS+I8JZh6%1ANhCAuDtF+O=*^swshFD1hY?VRWx+jVXqY1;_L7yX@uf3({N;mEPp4aWva|D=-VYW5mrRICZ0c6Y(F2jJh$f$$j zndTbT*#aC!@|9lh-_sP zdr;$YfM@S!K(lVTAO@>W$$r`Ono&MH`!NP?s@vKJL9zDC6B0`*Ah{Ae& z-BmXC^1dotQmP~iBQ~l5T@+xm&bQj@(_EphW`$CpEU@uGXt$h!YO*t=F-kO#xwumX zq2*Y`E9L$Y7IfcBe)}u!0sb2#a*6 zHWBQ}iVTMGjsnM2ID~&r6da63B{WK6tVoi#pAD_;AaqL?;OJD(3w>tsN)Mu9W#08# zdDMSm33=~YfXm!Uk2V>VUB)nGUq{iPUdMF}<(m#hOD&t7NvM$4g{#42iprJiq8Rp~ zW!nzaNMlL^KZyf!yxY z^!f`@+Y7KwJv(9W_c|9f>bI%bZy{*o4AQGmAbXSY;%HGSA0(EMt2{k(#`f{rRa1(x zc*}EFr1hdBsE@HHvI-t2d>^QQ?`r`MCj0N3Ye#hMiK}66>y{0K znAvT|*FB`m>Q<}|H@4qB_Vrsx7m;gf)kN?zxy*FHuf{}#jxe(&3&osJp#sm(r+SNZ zn$et?eU;+DMrExB%f|$qPN75T9!z3QS^^ETcPqd?-Bq-i32AI9K=X3{ z<9trZyoZ&#%GBfCF{1WWk_LgxeA-#M5*Y-WO~)fXzSoGcy;QAy^iF1rg)?yNmeiRr z{cuyRrjQ&S*yIF9AR|+(B8zWq~2N+#RztJ^>zH0 zamZ3M=QjEQwEXIi@Sxu8Rl?}HVp({7>DsNZfA`RoFdk7>!;Tk1|2aRccO7DRDb}Xq z@XPI^g=dn$!|{dMYm2_j}m2r{ev!qATo z3@5=DB3T*F8zK`HJmDUy-VETId!Laj-xODli*1#>CSkMi&q-RKBrtypnDE4Nmm3!~ zW$DQGh=g$$PG1jLjWr2tS8A6jKDRj7*z&5@Ds0tE9k7H%q-4yys#e`p9ar9DD%q^# z(`n3IJ2|KswGt8C&){C)ix_cFw#m%_+sKUTWVaWsax0> z5_aC6EX=bo&eyDDZw8Xbw1xz9g@mDp&A?zgUh+ty}W^Z&B)HHL2Zx)9ahppP-%QbI?eEA+&8n| zQ9N9TCaG^iaslgr_0G~&bWUb9TJ^raCe47{a;<~-6-=d8;|Jny{_ho9b=R~Sh9WhU zg7J>KB6?yBn1r%V6IvX-*APbI?E*SgOsp{L8934e!!w5TCvQW5E`#fZ#c&0W znEYWpW0SV&)a42zq!8!e>&mqlXjo@3b??J)Z1u@+I?J_dw(?HC=&5(nR;mUsV_L`- z`Z%wQ%lS?eD^yUM(FW(lnhP1t^Lv3~y82DJ?d}{!fMeSZx1IHr9QB#ZWWO;RDpO#< z{iXclFE#JxtQGp5wmCMJ-!lVSFC})~kZ0!D1;7}tCm+A^I+A-kYrP~gZY~)q?T<5@4;eANC zcqD5uHBq+|;zjGYPM_qIT?1Z3vk7E7L-#g@zRvp`B^8M(900-uJUZ58jc|5C>y9H2 zyiz~GGu1cT22YWmfR#!Tn&gNx7k3F6-0a8-t@+zQ$+b?>u3L8{=T8Ugse~jeS*x{4 z;_t`kOC1IuzdFjikrKGv$!lNKuV|i;V0kq`taf=~UvyC%TL~$e9{(q2X)7(pom+{= zY1F6$F&lj@e3V-a+K!4^9kEnjy!QlL{r+wOC0RIm{0x^%o+#u8s zu>S@`|0j;2RQ?{T>JyE1RCA{U^?2tkns4wKy^dy#u+Y$8u>`GCyl- z&wGD|=6eqkm>N~wxq~%KN}CCV#>#SDTyAH&ueblJ;g#vzBh(J(C=lE9BN{^n+-C?S z8l3mHlt1rHClJbxWy1uy?&YXumu}J&@&J%$h*`($P#%9Ser@$$-nce9%19p~TmH*7 zkOs(SuRl5R5>JS?fKAPhlR^%QCS|ERc{5tOmINO!1A(z!I5spG`Dg>*%sTLjtiIJQ zmDVc)wKjJHEJ%q=#(BsTqdj60*fL76rbqtK#mwAau3RrbA+P44Pa<_@b+*oo^?>cn z1G2Q!J37hoxWAsu%r72F_!$s%bk>Y#1B(Z|ix5%9hLq}<-CZwW;U9}2Vs0$xI0K4S z$>(p6H+Zqtbri{`voZn{Bu%a*s4vP1uU^iUgckQTY-m4uNl`My=)Umyas2*Jp;bSYT2CV_6?UD61oOCNe9(=xNb zI^aABZrc3r3Uilgjg61&=u;-@a^mm#Y``E#z;Gd>Z*}|z_XOVu%nX9xR!_aaSI=itgav z0$}tF>Kzl3NpHgPI{xefB?S5-N+pkZvx!1OzRfye-ptgeK8#PFoAuSlX5`RJ9oL*@ zUDLl&#;=i@JCCItS$Jl8JA*VVn&i zX;!Tt+v5>Q8eH(QlQpWH%ABQ<>1#$=Dap+Q>#Bg`fyQ^$pLVi&VO33X)Zrf^%qfp7(bV1|QAlryTbiJ#F`=ZQ4_0jf4K5`4UTxLpD2)8%ov%JgZfl*Xhw zG}cZG>jyi!RX@dzAI&8fbjNgChUC0YMclJ+*M7td3ouefy&)j>j#RX6nZ=2JofYZs zuNu7uQ1&x^(J~pG?RB?T%YTPdh7etTXrH62{bhr`kAv#UAC3NyK?ZXAAY$NO;~ad1 z{%M=b2HCsETmI2D6V3A(Ie)F&vz8}T=C_TTXW4J8G#yWqR%qN*O-Fn2#v;dPw< zw;s|n#tt$mYZT0F$RnE47*qeitN!#bSwan>EWnbgBp*V!L}aLk{F8kiyBbBFuKJRa z8#Ytr@Z4K=x%yDWF+{Ha+_i!))No3!+DK`wx~kM;Jw{Fl4z?tNO+x>kXQ&-S$oB1c)qQ1?&vmEZ zpDrl6fnd)WYpZB1tN(Afzhy0V1k{`7d(Q@U0Wlro2C7<(enUgwM|I^_zts>gT4cPO zJw&9Xw`0z1#C344V!qBkf`}d)r6H`iKBNjHE>mF6wm$xC1sby!Dt?`@g{OQNp*} zZxIUCUn2dCekfr;nN)&}vUoL^+mA1k{P!X-QU;;#w`v(aYEg~Bw3uCcuC#sj_25fd zdv_{Cu9w@;>N)zAApAHA4{ItT*0Zl#_ds7gD)0^2J)6a^C=76Dl!kweQ4NswL0S9boT z6<3KAzZK{bIC>6!^x^R4nV>6-oG&2GKiHOMyhOe?rn}%yJn9#5AeWMS-yo`I2{hq+ z9}1_mEK=$z=>t_DeU}p0TZ$I|-2zFD$q$qcQ!9#94UJCfnZ2avAfGVX(!2f5S3<2D z85X8S(zNYk``2E}u8*J5tf>C{x?FQMdSR8lku?#tCXx`^_rq5CQ3$Ag?a;1G3p)*q zY#&HZ4*cf0y>II3?Slmk6Z@4e1XBO05jYtK6EHdT5s9b2F*={wmpATgW79RVJ@s_> zSR4JIFX;~g)wA}`&?GZg<;B@KGk2(`JcbZeKmdwpU?tase(J@s-}!Xb3*+MM`O)Md&?ci{4L8Y?RoSCD%q$yuLMIXVq$m5D3;Vy+`%)i; z5r(=XlhmdV&AO5##&CYI@a^~Y$)J|)Gw*_o+Dz?1t2qLFKplQPrnu!a9vXdKXG(%j zA|Oj<_+C)3P%aeaKI+Od2OqR)I}d2(EWs!Z+3GXU?AkL?@*u9i{Og6nn#?iM>?nFR z`qc%^0{sqS(35Oke$}snZ+?UejLzmqlYiXoq~&6m?!;NRvR;E`MquE(8Jwf^B0G?lX?mGfN^N<}t?LB1oCGx#57k`wVJCoNIq} zkrw;~${$+lmDCtLt6uaR+@EZ4=4Gu?#twgOF(ume#R1B65PVSby82S&l$3u1!4NMf z;-CCdcDqD?xpV3WMIS6q7%7ZCR^a<3qbAL!#c?7D`~xS*N(pa!lpEg_Gb6cR>CC83 zlDy62h0f4G0ax@d$cNt!Lc#_A++DFWCUjgdVTPCj)Id3h`S5GZ=WiT!(R=C}ss4P^ z=9R^IlY()y@rP)s9WkfSO_3so;w$0xexPgaTs|(Hq+K=o-@tfqo11n;5+=fm$M;x! zsW1)S)ZhVqfZR?AC$N3_EUSC5tdSSgp84+(?C80j(#tJ*F}STOrEh+s3S`p9T&PcMiY zewZ0Iz7B#9j_Gd^y7fjZ(7!7BS%9KELZ9{Tw16H?*il%&WkM$c8zQBj$P=g5E^3~Y zz6IKhNAU$a&>c`wrPj*TR5U-!rTp#@(&U7)NP4>9D}Ft8l?+T#A)RtRw7c@?z=c21 zG%(Fo76*%w3#Ox^ET0l|gx_GEyyMLZvv9?WndQCsG_qibpT4fBW&o>|GA2_(PEPnL z$1g~5ti7u>y3xs9vG_IhJvD`oYs_IbMU^v{tyx5ZNYY# zt{UTOtIQ;mP=k?B0n-X%iu&4Olg@XCZ1vfbRD?i^ci)EBT>Vw#=~ZSsu?l}>A;S=z zp7A-L$ioN)7{z1p@gWHX;Mqen6H3Lw1mcC`r~`x*1Q>ElbIB+=6(~b?ME>6qN&K6Y`7Z-T~l>#tTvM`hAeS)M#Mfa-Z31r19&ma5yWy+Qyis35o z`-c*Dfqy@$rF@yby=8-KVm{uBs1wgq$gZwm(;Rn3W93^91LgPzK+=B}oa$oOH7!e& z{hRN57eMtjE9`Ww6#)-t;}1j6h@et4;%azSgg(QU&{3GJv7mrcU_*_rwvfFjHKg1Z z2w&yh`SVV~RiwIBZfcn&SzL0{V?pPs@NYKM7TxhBg5wwK+<47QU(rcI-t34MTg1U_ zhj171x#8JK#k3t5FXUy!bDVC3YscMa2QmuIwag8C+V%bh7YUci!m6om=ev=jeJDi; z^ZxVS$<9MM=9D;bO0)V{@Zs>))|e({D6i{HW6yXIC^^Br& z>YMxRdRhwtk&!J*QRtqOldq9l?`?F-w4^^OnadNNHXil*x~oBi*`{h&tIF$EZ*DYp zbhhGjMv&Oo#-?hhZ!IBeZ`v|;v?hBD@%-3DYuKY-9m2vR?xJycvJkFyI=(q}`#fAt z(Q3Ss`|Mom$a$${Xf;f9c0PKFAamo-cWKG+{c+mY#Z;ooS*}cq(586WPdC@ydaSG> zK+lOg^ay(;)n1bg4%L`cLm3x?%Wjrx`#y9gz3+uOJ9wU%>+-`|TmV&iTJT`L=?XjC z3x$4pw>-^>rLEj6W!<&qD6P%S%Gr{X+kA|5lxC~aCQQiy#=F6~~o+mvoWWF)I_BcXp&g zpVt#?I;;Ldk)4PJC_zDQqP02SfHm2kFD|xW#_ftKDzKs3^VTT$Zd1x7-LrD5Z9&~B zPzNf3^rwuuKfWcUWCo(oqHa}5gup$m7+7kr8a?f4#MOaaLoVw^Kc^{Nd!Y(b{8f{s z=pH?7^{#iv+evXcl075XD+MXh2(Hu(d`ufu3^E`&%L^*Ass4A*_{qGL5#th$eq-$i zH}X!mjByNe&ZEnn(Sm0ITCXmZdpcX^9Bt za)0!2ehAq~E_zln;9&b^HP*1+&!{h*ereMe68^B=evv|Hj!2_Iw8!#_lG9Lg@0)CsIz$ zL={!*1n!uS#gJ_HvP~GadQ;BUmqsx2P9=;p z+&p&=$ix+0aWkX!$G%m>jY(X-$#gn&zwlM5KSkZc??b5L_4 z0v&wGq*3qQN9p&i@0BM%mk%&1^gVoS?s(SfI%QzOzg(MW@0Ks%?RX7)R?05#{o@&j z$U6ZU6ypphL&{+PBMC$j4(oYKCTe7cQDkVQJ90XSQ1r2k zi;Q>5`sEsF0|lC|zH`D|C}a-{+RWx}6iJfyq=$E4+1&zI0@s>8nI-Z3s>R`wSjTZ! zjN9T(E_X);a)o=D5Z0{uU^^eeR--W06MVTSNO^n}{9$Hcmk>~LoF&=d1lyy{{XCRo z06bJ$fiQ#$+lgn9QpC(dVY<<4yl2I`4qUPf)*sAD`t5Mzt$Da%xGm$P#Pk zY}##^@Q%a3FQqt(;My??a1EOnYf}F?zm3=v6>Pb|3i1^UoPpsXg+NibGFkfL%Gfh( z^M*OEfIN?Nu#id>C56DM`o){g12*L<&+O1!5->o+I4F;CEhuqutV=_WY5G&au|u6l zXH%=Z37e=i&_ZpFb<&qKmy!x>5w369AS1WocMBT0n|bNyqueNlfI&nexQ&Pfc**x5 zr6Uwhd7=U(4%fY|fodF|b+;6`vkG-iA&u`DoktIBz>?^xMVaJAzcMeZnb1hR_1W)} zEVFl7!No!k^=z2lr>r5ol)-rG(|P()au|U5pp%__1mo6Y7j@5hXRKRzXQ+4jxm|7o zptHh*-!h8O-oZPgM!2`GgUoDuNnZfzhkYO=NjpTz`E0*Y4sm8npD$D6X>9mvjsicq zJ%9{es7F3CohX>^S*L4Y?(RA8GslBv%$z2pNv{tZ1rUvh&AlC-ERP%pAbrE%P13@?><*Y)gt$x`F5!=CveZ8<#wf=9X|^0l?E; zgDyN$%;enPae?M`W^cEv!hx!zL73E27U90Ku7)fA%7D-?hBWl4C=nkF%lOz9?)NU1 zvea~M?+b5#m8(2^y9CNQ!(w-zrw9IHgcUM&D+s!!I+^F9r0Bvv4F+V!E%$&MR<5q0 z!i%modc5obeP8Xkwf!*+ENYn{P0fIJ3($la-d=+3ljf3vzeW#-fAuq0sOJ}3gb5(!Q0o^w-n#cz8Q zP2+aiyv)znN4bk3ths$NK}OUFI+e{c3>53wuEa}f6_Hc8IGVl*OyA|d%er@uJz@K*UoA5F7rC8)A z=(S+fJia1|Q5qC=f5t_>bUx}ghS=TvY^$vGeKqSe5L2*lJwpN!u3%L2~8g%jjb- z_o=yQ&7;xV0Hj92Iq-!pRNjrobJ@vL9rxU#8rCQ`d|XR+b)Fz#1PcRUbDYsgaI7Qqu_;E34Kmw>zPKyYa(8*s?px&b?c zTk}vcyfal*&0=H-M`3v zGV9!WuO;hEVVeL$p;9W16YQ-pG~PE!akXe-E|(m(C`W@v_bs@)%&x^F;PI%4fZ>u; zX6lf9xbd1T)l70ooE&MQkJ^&mvy<7qFd`0a@g+??1x(6!mw_Sw&870=BG3VsY-j-n z!3U)({v$I(Zs}&JTXPbtT=~am;IM>_C;k9pjUQ$#A1vWk`E>RhU{wYJjEO2=%yAFm zDlTg_xlnCp;HDypR^1UVih5m-w5Cj6O$`HA2wOvg?pTNvpB4)~vc={}HH^9T&SXhe z2fsBuM@*QLRa`2n8RgFgo7)qZtCc;PGMz~x>s6SU_xQ3n1nROJ?c_^rBHfyDnV*1W z%2b+C$N@qZ9?>f-eim<;|FJ1X?>u~VnLCS7frv0zo<}xH@@C+V%dh0c;XHBTiSaxd zKCa6_-cyq?cLeC*GxXs@y%meC9hu_i*JxAnhygqE!{#rPavPAdN%dW`0Lh^-OOSG^ zV6{dIa4?CG3%0rD6mQ`~`F}AZH~1K;?2Ak1_d-^170c}MFF0SluKSqtGS}lJ^%9a| z1Fd*c@`Lr0urBi={rHW3OAGL>r+ zGi{_=b_E=vSvzzq(`1;drJIx8w7cGFO{pg#3tt!ou}TY9j`JaIXnfLt_WG~M!J0ma z+4Z_srH$0JAj#B!6pd(_#JS7$K0IWt%Aw4!`u zA!2mjx|G-399#bz%H=9wkrz6OjR^T)52;ph&MfXc)ZuYbk2_+0%}u6bUq_UGS|t~l~Q-V*#X{9 z@F8gwUZNWbdej*~#~{%;D@o?8cVy!k=tEu9s1U=u7I>#5l`%Rs1Qqlbk`~K9dYw{r zw6M$CoS*5~>W?WG=iurOr)U}-dbJFR8%294h2?&R89Q|zAbYHgP9jjwH)||7TRtQt?Y0fd-?rKPYWr2$q#W zMz^W@ZmP(BmwUfuhCQ5rgPy=?c4J~tk9@Sd+q}8f9Z^B+l@zQ_C6F=<3>Z-jY1=Ic zWviVL)cmo9QjyQ}9u)1*b)+1KZPk@W_9Rh8qrevJ1ktKPR%2XlAJUzg&^2AUy>9k) zfE7$illvBpK4*B!c`^a@vPOB>=s88I<$bib{q9zqvA;ede}k=LJe4=Lhz% z?kGZjV8lykd#dHjU4iHz8vZ38{yUNE4xNLc)=ATtRy#9C_*Zsry|1k@&-GD*hpve{ z#4G!jj#SFsOtQyT_2*-UZg^A{w%gFQC|u90e1x25Dm(J1II(U+$esM}xLV4=76d9U zyTr&Kb(n!8-=-bOI!)61$}`i3x)tH*SO3CBEtH!hDx98T~942-nj#`vaE?*u7Vim8^)`0Jhxd;!t&JV`pXZKGq`w!ZAK-@ovk?NLWH*TJe$qt+T#HRpZZ(w)3EHLmr4yfMMsu?-zC z(U``<^uoX+reXRSmWzC9Q~I)UIEh7zZ$A46S{&NuO;r}RNY5n3(gLsiW~aA$jkW|l z!uGp38&<@)vzIyP{i3wEmqxnq9na*TIdNaMFf#0X1z47n7Nsn2ca?8v+`DjmCHxG6 zqTTR~JWj`|u|F&N33$sBh&bq9CdBUa#MaT6Huu9k;9R((yZ-J!ymu5Vg`o0E-Q9EX z9X)ANd(OA3Uz--vZ#vU6_qWCh=5XtdN;@?aETOv%j+O`|Y^(qp$GQqShW)B(7Ri)0 zdIB#KPZEtf=i-~=MBP;ap*b7Yj=EZL*=#cek|Qc~E}`yI@7BD$CUoSl5Xj$L+b&d2 z>(^wcx@l^p3XTv7)#-?x7A`n7uZtX zHE+c|arXJEVt7Ow(QJtgPkacXVKrkKFCs7NhgdwzjD^D*{%y$yBOmG{?~}1T~W& zyG5UgDq(f9x1D9w08KEsLW#v8LafM?+8y;}N?g@9F>^VpI6Gt%T|!QdCYo1dgN&5B zL*X}mOYDJx+MX^q(NVf>49Z&;8}HNI%$_=qCGo^ah1_1X++?)w&P|$6uW<8#mG%Cr zbAP?dsgruy`BKvnrILmUCeO*_$wN)Vf6VTq<8VDr0q=XFg&bZU>yalN&3>|UUVx(1 zyV@!lT&IRqssM%F69aTvaLLS?WmW<%j*(tdA|W>Jx3(kn^oqs9j8rrc<8HhofO#RC z#y=7`w?qSxo-+oQ!%Xf#vvdQkh1ibkUHbqd1o0!$pS=oY<-1sy*>`xZpFz+})z!p1 zVIOy#t+{_0j?!0)O&oqR{_bz9VS;=Ehu}Nk5V#~#1fgn!+u69V778AlUCPk%Zx7E&e<@bZW0#Q{R`hi#*9uTR+@;6Z4xsE{vN3tgsf8F8CQeWt=lzt7o zggpd{gxPg$c2hBK7CV`}b)xv5B2ppm8e7%}@Zz)r?k*mWVp5HR zUqli2iryr#+sMyhm``2RLw%xy3#-XEac$~lgO>N@8;f4X=mhWR0Uxe(WAsF)F z-se2JH@-Qn;G9g?&r8~iB_FcBu0uwq*C<_SB>??;YxXEtrC#2+#}tjj*?D$-%x{$W z-u@vNm2a$(Qpy`mpLQ>w%_i_iY6epx#LJ`Hu@m?-^pE_uz&|WLms%rEW!d@wk71qh zyAm%o8}|tc*gy#{njq3LmW;j<#j5KT75kWlUMxrI7*{H1FLql5$T}M(f`h$PinQF( zO2aR@;W#)M@*3>;2EdJm(5!6J6*-pQ`u?y}%?8bxq?I+*B zL;iB{?zacH2JHc%&Jak$xWIN5+s4>mBs8>gBygAm#&e-IBkyf}~zz!mMnUCxyQ`FRxFr1LCS{-+N zRcI4Nf}P<^m*|RT!U|)~A)V~y-{Uh+h3>NFjg{3()IyO*aoG}tHetwOGHYGOqZ zew5LbePy=1G7cUR?Q3;eb`1+}#{}twPzt~O9c`KG@>p_}P6_J4-L6px^mn$pijK^u zl38w%bZZEdK<)S-NG6(=}y$#M$JJCCr)k|tp+ zA6z2z`88;n*nReRnJ^Q(EzL5l544<{$Gg;s_pF4ApnR-FoF=Hb{##eO=LgEwDmPHd zQTIUyTI@16qe~vur{S0twwT8g_7<@58eA+i_o*M^;fr{9GBnYrC)`~M2jw?2Hv}f} z{^Wztu!aP3-4`emCjX_OOTS5zN)^htZa;@=k8}g*qHP+s{OL*tq!^IDL&fkY;~AB% zE2#s>Mn!gvTV~rzknQnRmy6g{e$ky)tGLe+ZW7>CfFP^Zw}6~+|G;n3NdOusBIVe| z&wvJ-WRqUh$&%0hRY5OXi~I~88)9!(-k@l{XN{N=$$XF7g#WZeQjah}*GZaxT)$tw zKv(I}HY$cMZFaPWIscSkpopi!tC-y{<8!(S_Q(Inv> zVU^C*V4_u3yZmEJL#s;nd;Cq$k2xuo7!MD^B9TRt`Z9xywNmDK6P}CZR{Wnp?Qsfu zyPg6ZU7aDAWhJ0#^ar+cxv02Hg(2xprki|+gyFpYRy)CEoS9|SbKuCJGKFi_pF z^JrSKUw_<98)dA z$K7QXoGY31_nG$~GGClwcq2T5z)P~TWgvA>=Yam@Q!IhKwhdKe+~dl} z;Bufwf3{?E&Psj*Xg>Nl44;ZA?;j|048goPB3a4_RPERih$8hh{l}QpKk9(J64gWk z->seu3qV%+O&Wq>?|8Q2LkZKW?4)LC*Z+XSEF^K8;8^cpod)RlOgrDUz2K4>EJA5s-0(NJ^Www5a7_eD63$crJ5sGaUKcZFUZ8_Kv0W@BAIsxo)^2*dMK6Ou0$w-|3y!IWgVD z`q$%94(^4G*>^4!E|InMV;ihLi$PTd1i!CMs;0`$uj>zdzu)+8c~;9`XRjG9On05G z6I_Wu4M|t(`WEdvlCgqb72n-`yGLDF4Ek1iBsFUOLG^FQaoL$^%Vm4Ds7!2q!3@LJ2QShbpQ9l= zg%Q!7Du+LZVnnmLX2j!#Wj-uCjXSjcqx~uHlRt0-0`g^OQszknVq)ym2lP|YHYlfl zQ(F&aaLM;BwGV^^bjT8foh)(sP3@04k^ganSw(wbXhxIFO)*v9tR4AC(g6Zo>%OST zD1~;8y1l`#cyka>6NH>_4i<=G1c*68Ng>aCZxGz-b-NtYN*I*}R2?-~FfEaQqF!YC zd+5+B$DkBA3uHD@LJ+HZvue9To{#E?ISplmx$O_zG&ye+^k~_LQrN%#GVtqKC?#o< zhX?DM7dmjRhXYj{MI=&DUK%mf(r~Wml0_8+Rb$~^#Ks3lkY9FlC0-a8P1%6gpv61q zOE7i}SsBW!8Ciju5Xg1HUq4Pyey*)zo@@Mq1_a12t8Uefk|>K|A&cy|FhDck6^)rz z#KCe=DKtr759_f5ts;Gm*`8GtJHC??P^cG!tD*tQ2`)^NN?-F9w{;=cee~7tW&)C# z9l0{PfbL4_H`+f0UgJ$v1Y;-)_ze{2GB{ZLjiuZh^q?SpLrGg!I|FK+FXh1L_gU|M5 z*mW{g!`UP0{1Sp8?4_C{U*h{{0F#~wl}4K5e^w#O{LSS?9sXl1ARA=SA54N=zm%SR zQTMW=FD^grMF!b>D$#Y!hk78l(uzs0Ntsg*oz?e{CHiLk$Z;_)VEf%p4$wu?a_hC202#-IqB>jpOZeF12KiE6TzxM+21dR?-umhh>R zCqXtoy+~yMU#@y)fYq7W3`iZ?XW^Otv%VqRxC?9Io!S2DGVJ-nIx%regA(?i+Vjai zTVm-CzAr`-w=ZN&Yl_>`p7|%7PsSshPs<_Y7)pFy2<*k3JGWCy@=T zmy89pg5I+K-sUOU0UBcK-^v2Nm)d5fNojX*+s;WnA7A>D8|~&SW>Ta;=+aH)2(Yb| zNDU^(Xi9omR)=lEYy28RdyPzorw{x$)dmj8Z5Jj91FPMJg3X~MKu4ccaBSGn+gbR~ zqLb$S-R}WLMveELNY}91)|W4Yi(QO9glIV&%*tOQC(eg(x+@HGFsjJllrwx4Ps0Q4 z`+txAP}QA1E1`C=--yhM3F)|$;TS40pqd}TkD$^aL&p~4yHRP^SXw$*VC=~Nt-1k$ zf<8WFVNZCxw7tYy%hXa;53e@&jND)@J4lt>f%)?mn@Wg0ft?71aqfGp&^0@hy(fAm z*-y)hWSbnT+Ub&vF-77TfA6lT&|(+Ef^5Qg{S0953=!>!z1Uw`!{T4Gn5`52!k1dN zWb7bvkij*?683nLGnv5&)^HC-n}pw8bA;7jE>`sDPHAuCa?>uNhjkv)6SMJfiTcGO zXdf)2GWHyPVSvZUZ{z0$73yj19<*D<90|MUX8@jStT=_bQs-I+NUzAt2B=3DdNFYK zK16Ivy-Hc+?`u#7Q{O{SM}bF6D4o{YZ61>W+MdM#WbEU~z^rswAt_F;E-UJ?cLN`mhH>{$2Wb!C9 zl)-U+VE`~R-~JBUs6;}&GUYUkOetod+;{L=#Irtq^)phcQA3Lbu64{2YpW|%0$jIS zIw?oJDO2(%Bi>`nE@#H6L!xUrY6JZaB_pj96g(kU$M3Bhx+@V}OK_i+80rURiO=K^ z=I>!Zb&c`97&_m(=cB*yRBOM43vI=Hwd|#t$9{o+QeWG|bkr>ua;ZqMa`)wNTN>QwEMQ_hAIxN31 z=`q=z_+Qv30Pc(<$zi67B6;Tm@D*V2`+Gtx5^ZKF7V5QX$4uMn;6$RMYj4B?>YzWV zMZhS&pgl&vz)(As$^l>LWAvNgNm36CvY%UMnyj|fXL`In-Ogl3hmr_rUDJNHbq~uw zfJ&ONK3+&HjFJe&btM={RgWS4~&w|fW0>Q|?F6bp8QKinQ4Uom2U~Iut z% z_DNcPXOv8{splc@wI)QCNSlmGkU90$8u|cI4Q5MbpuzBhjtG=tKnCZ?>&5Vlu)blx z(An9D%#JZBg+L3Fmz8Sy_9Zt zpLWq8`Qix&lhFhTO3W@zp)0`The;s4(E5BvXK?vso6`#zBD#GIE+zKby786e+jvve zb{6nBI09Op`RK-^emmLR!p6n&H#-I{eN-IiniYFSV?RJ*IeKPR_E`(*l6uEuCr83z zsAyBOY`u@!!U3lePxsv-JElt};JRG4LRA_Ro5%Q$IxR)yM#ej|@IC|bzcd4XK6mWn z$6k3sg{1b-tZulOe69CmoOM{btHjBRfadG5m=wAd&cS$`utDEGv925+@H7!WPwRc= z+b@ka?#~tL7Onq&f$LI<_m_y2+PnYutniZ6sDht0q?@zd zSz}uE?ncq8(^|e^8N*?BjauEdDQ`0`E{;Key*b7!ySb9;s?!9WSa*cs=}xnm2}gZW zJ&c6aQW55GTfJm3yRVavoC7PV9eR=WxyEg0U+u;>YJr?L%UTM=RLyQPjXAnX-@RD) z#2$19^%9Ju#&7%arPl-VqSX>c^gB^m#uu&npo~aK9pnl=g6kmHLHAKEkcV4gPbU~5BSL=( zaOiBMVhWFsIfSPX;ennfw(AmfZI8jI2YQoJ27s^&ydw{AdGY6T%)|9c{Gaa}S>bNT z==1Ftlp=fj$3IgUwWzj0ywA;oES5mra|lECIJhnC$F}CSNy;lmYPmXWjn~FS-AJ1& zFb0IwMi@bH{?auikJQ9T*GDbz;^LR`|rnCQ)Q>6d_XM|Iuw<1cT{x)4m% z=!?2dBUj0$*PF*DFiQgYt4sGKo_HI%YeNYnt%H8vS-bZ}%M9c2;;J9kHr#z)Ppgxg zXIp<$mi&sU46HA}L02r6Y!UV5Z!a06_}%S+3nu-of_-&?DW2{I{c9zjn5f0Ev=5%Y za}P}5ZBG_QOxHT3fBy3&C7D3;ySUr%s_H*BXz zf$xjN&^uT(wOIPqM8h_V>F5}r>URuxg}`vDa?-y1M$|8Jg@BSP7voQp)RJ%P}Z)3q|c^5TLs$@#dX> zJ9ULqZv1^}Lck)Okp)J{z0}`rofLg0`NwdIu`4ky? zk1Br}<#P}D>gD2WKl571>Y%)r#LhEX0RO_l%^{&6lpy!i=ldj*9c1Pn_db(G$cGb$ z&-tF>-I+*(B)RHxwQDhw9{XghEGpPr@l;Yu%XR;wc|Y?PiDV*;kNZ3h??IOPIVs#z zEZ1>o!?m(zvK;ZJx)+6)CO;mM`=7inE;e?LXE@uqVT6bwJe-rAzZ*Pg**g5I{Yw%9 z6DFiEWo`KD0qTF2*2AfX@1HtoqR}N4k)cb`)6YH_-|48N`f5zln>$lW#Uc|FY|3A& zQh}?yR}7?EiQaJ6Pm34cpRi!{>IU(_pcq8hMA-So8hB8Xy~0S`p&FFJtl#bESH;i& zJTchUcOhhjgaxPnZ}`7Gz%j02KGf`Y%ND6b2r~a}@qvr}Gq|*)7yc94XWN6XgLH*nLX!HlH}=^n-j{RMD-pka`|BaUaXhHsw-lE zx4Hrd#4*nyp~Ik zu0A7>*Ca=k?^f=kJpQQRSP|4e!F^Z&jVY3RpLNuBn+qQjdcgMa*5Ax36P$}Wt!q`! z=w$*~?RihYPmG*jV+J*K)nO0Z6=wC2r&DX)iX6QS+j%Ti-63`3pdy>doE~=+O`M34 zR~-t2i%N)nQz;~trUR|2pwXpz+Zm_7kt!Q(mJNCA2JsTAe~W5oYt$d&?d&6EWzuVm z5pnH-ovhNcqpLNcGUY`leSxTM!)}+=RKoPm{UXc%+8jM8nu5=>RK5~c3*+j1=Y+ky zkMtQzm@0l=8Mx~%tS1^fPc%!SvpUcg@zeRqrAaW@u5d)XduRBK!@t%Gr~fx< zU~~iS-)py>Dz6-u4KcE^dsU?8B^W=bzYp!3wWD_{tAn+&x(L~+ax0)k>m;0-sY)U| zCa^TpgilpRC@NcEj8lKQA`? z4JlyDvXIH-BObdDm_)s{z*_~-)b>9YUgn{`(B!5|9g-kJru3|T-^D+PdTwTND1BKM z#Gb;y6+SebEYK(PMn(2Si(%n6=oD8u1~r{=dJg+RcplHCY>lgP-KL^{h`kvkezYB3 za!h|F40@LV(g`Elv5~o*+R#|upOV;H!3Jr^l@qO3Ci7=4q8|!ajk*Q&1I;2mXWOWE zEToo^+IFlGyXV?|JNin_{9!Rmq_LcJRIC5_!Z8L5$>PB$suc}_!b;@G38YP@cAVxuseD|1^S>WOQr9&97G7onhTVb_-x_i zBTkDa*u2A+GZ>hMyy+%+Hm2Ad24vyPttCa5(a;zMDs0&nWnd&+ZGBnl3MJB5tk|pvXXEK-z=G{Xf=s@ zRT(rFEoWgRetk|@`4EKj5S2p>#Pp;VQzialtyN?y0b*{1d9!dso)UfiRb}!@ z)>Wv$VPVGZo-1WaZ94f4#2{Q$7d9S+sXe*LiH^PaiB_H@lT;gUw&L!)U38*{#V0zx z3rc$&g)7Ia9U+QAlw($e?eOL_D6^Mgl8n;Ow9cI%Mc+n<39!G&D-9C7Q83#+Y{iqW zQVAt~fx~-(oDM;u6_QnW!eFg+b50L_R>>(m4u8b~?g=dsadGj+7vxYx5 zGGtUxA?QO~3_|rxdMc^<1p^Ov)V2hvajfq@rn-kK==if$V}z=%vK;2D!jaf-acGZf zrQ_<1I5FnH1?C0k9z)$mbVm9Xb`mpWk`xXu`~)%0ZARAWexCW^B527<&$xd?mtZSH zxU3)tf7PSUeu5NduwhaDZbgt&V-?4rka;}BHf!dAup|{|F9i;%nY=4*?Ky=g`J_{9 z4;N$JIs4UWB+ofW+tfG*^IkinkaIzIygCeEke*wjs#9|+eoh)d$1nQ;qRal+t72Qg??Mn&v7i5$>^sDhs$4la7V?@h8{=WfFd^H4f-ylN<4?QMn%a zQISt>O!!f7knwWax;;Rr)fL4xq}ii0IBTyK>PuFdquU#mgsu<#5`s2Z-&WJQqH)0S2KW z8fb9JFolqgbp6yuFg(=1BMFUF`sE#ZJxOXzVz9q>zg@q^oh?y~4sXK%{a+uU5(vB$ zF;Gs+?xlS4r(vd+I@O9tPj~mLS;+CxRFR^)jbWcF$r5JDi@PC6PE5(xh$UH{3@Q~< z1Z>QqB(dq0QV+xx>4JPdgIu`jpNh7DnpXE3164`VLm=lSI8$t9ezN*pcJt|lRb|&e zt~(#}2hHeL%`++KiY!E?--~6*TC9-M?cF`zAEBN{aqfk2tG5CUaR#)5K`6{G9T6e* zE?ipk$RP!$sr4_&$;_Ej5gkd0V9D~@hjBK+@}xrqtLP8*fiMK9RJYaTLAiTaW~{7( zi$pz5R^Y)~KbGHF8)4FoFRs}4k|S=qb5lp0$lI=DOq{x za(r@$P#NZn=TK>XMM1?SM}k z9(JJCi4jpxvEoEK&QZMuSv4%ph7T|OH%>VZv&dHZL)96!bMg~5UFNX4zTd6D6(2qi zGTox%WGufHz>d?*t97XqRY~n;JmAG_!(de7vE_sa(%W4d(%V!vN-w=6-CkHE)nS)3+)f9A~yEM<(N|%>UA$+k-*W|QBY{Wk(Z-y za-!fP=WW4gr&AgbbPWmasW&B?VHwn>u2E_qP}q5{d*(~h*UBZfTehm=pUy)=ShBaN zzRIgql=dP?8VWX&#x-RmxKPRvl*l%Ux=D^|#2K&0+EzkEpgt<2P*Ew)^iMg;PmY{$ zmYce#2KH=BWJ7b-jWL6loH5k9Y>Z1R-<-_Ol)#GrPlQx^j6%)=+Ocn4mjyo;yUswIS~q-jc*gOf zrPJj0+YwmKUp7{9n3a>%E%ufADOgl59__W*&iDP2GE8lIanBbtcAy3>fpERg`v*RFyaC#4B=@aWFeH|Q{7E{Res`$j$Ixp8Wu&Myt9mY8 z2nD`3dzqb%e8FJ>5{!ZtE;&c)L|mu|(g8~#92seqWZo)Wnf~#HQh5+_`Gx5d-`mFB z5bmX!r4V_14Jg-iD5mWzBBb~;q9>{g!u-i@?q1J;^OOJu-W_dAq!XuvUzkg%`&t!r z`SME7jKDzEzY&9I?$Fb$!p-Tb&x6u}!_I(o8D9y?esgIawUXzCP|c3wW?(>@v3Vwh z|GV>mLMrqlRX_20>_TNa-^6R&O&Gqw3>TsDF(+0;Dhu4nZ9ct&Cpgu+!fRQDiEgjA zN0?;wMMfV|EfZhnzeb6jPBHZt_6QTYJi#T)_@#!b6jtQ@g}vbH zJ5=@;7;YM+-)X7Gb-fK6NvcKH|22A%kOc3_TU#KwlD<`tga`7~#d=N;HPPrG zaN3QdjCaSF2o;_@gk;aY*Z}b~`f*85|CnFKq^N(d!#wLz-7!PWNw`3*Rg}ydZPrmG z5v!W%DLJ$8Zd>D+WxyV?s+@e!(cqy5+M65!?e(_~v4+(mfvrL5dGDwXM*B{fqwjZW$5GIj(X`D0`#z1Svuo`drWNm5+e5!d&8AF>hX2HJ5=$N1n!>UM z*p$LtQ?yHkh?iV25sEQ#i?!eKArFk73=|%oi2x?}9giFWSGmI5;vYhJO+BU|C#g+1 z!!9gkqrUZ1)B3s=O_rtJK@d{U+tZx$OIggFlde(80b;i7g#?4f`0Y zUH#6%BGKHeo>BQH3NS}BIdV7mOZl^=eMz&RNi8vMFB4akH*U!UR;IEz6eN{!g$(h3 zSm;W3-fK(dTbWEjokjjwit||wqt7UD!Wk=m+cbi9|6;QZW$Am*^39sPnR$uv-D3n| ziZ@a+^D~B~kZOp=e!TAN2oK(fzgD6Snu|YUMSk8M8!Kay)0$fwaQFuJ+BYiKnU_wG zACo9tvapR$d@2C&fs|X_v53qDeKSWj<;NgRu2}MK3^MF1OHqid<#dBBxuwC;rD>A6 zvW)kRpbH$4b2R?Au%4) zRB`EIA0RXe*_uuMkd1oqpe6BsJ7I7_u#LzPnICbZHu&LcBANC6ErDiaD{X+c=8_s` z*@fR*pPw4A0DD76!!a@mD1>8xk#cv1T;mO!G~NtpvL3D$f0m&b*=63(r$eC(N30fc z$Ec0o>s~g?I^l9GbBRLCkd_Ao9xDU!3p8{`yf zQ0eVsb)FgO5imi~jO$+7;?_Y{WT{r;~ZWYT(xiL!E2-Wb?7ZyaMSr zd@}jz8B_#Jjb$=}jfQfi)sN=i+>%miUN%p6Fwf=elpwojby!`7nfN<^o7VWGt+1!! zuAy?j4bwuS+Wb<4 z<=9>X`lxR7x2LHXcczkLw9Sg~l-P*_=RIlo1O@sjgR+HlaJ4Y`*y}e?p+q)z_7Gl> z#DyrUpO{(xG{vdRG#9yI@1*|WfOtYG_MdB~WM0JF{jnF<*RCAg$ylUAnUv+<*E5jW zXtf>%;?9$#2SN|Z#c?fluRh-gZ0FE3JqEmK+fN0jWl>YJ>*?Z?MLs0EsmiW2H zma_M3yM_4V;UuV9+z`IVvd}??o%2;a2Ilv1wD_|;N0>b^N9wi07W-ss1eFzu#b1Ig zUiLdQi%4n5K;f2pP{C&(^TUWCQNSJ?_OZ;N#wcMNyaf0T8TueP6xw6=IuIb}q`@2zm7{ zA!Yf`oTG5+0$*STah{Ju}ftZ<>h@#f}F?Rp)cwEH@Wfs%Et$|=Lc8* ziT%Y8&1?NO#A%yiDz0W^XbI_pdXd&D>9~13!(1iiNZgd3xZ80bd^?N8$$bVG&wffA z4PkhskQI*g%ukho1r(|_0zpn_>m?h2U!Iy?37S>|u6Y(ESQ~TP3>p*KOI5@>0>p*h z58vj!lAXiaz&-en8eAxN6kM4NZ@+_bq>_yUX#a?*JrM>4eFPgaaT&%d>f2%eNS+ut z&U)Y`xuKRtO;UKuE!`~VmSrW}O4F$KrVu_yugUEAnppT7a*b{QBDWwI=Cognt#e){ z3b3=*a6IKF7el+-$Q{@PvIy#91O~cYJ0M^vf$Y zdafACU{}z#TSB?OK;ADtMrR^KkY-x^KQzv0s&?E?J^k#0aJ*1BA#f| zKa+3j5$ABG?7LK^A932-`CX1mAAKfcznl}vVKpkSe(IazUJ>NrXYAYxwBa*v(Ai2-%7-CcGaM+7SxYh~07V03YI+6-gGca++L%MUf)zpl7wKjNYY}C;? zK){XYWAaVRlS|Jkt5|arn}V_cF*c?tN%7hp&+M{^Pz-9V-|IkD!8%@`s_6+H$k}W!;B}=DgthoXYf0;I$*Q5qlMJ8r z?pyw+in=Lltz;or+#Tu1u5^8T4iyTkwr#vWs6ku};L)dI_I0rHuSe;mK(VJ5l>19V zK)?P26YT>6PdZ~X`;tT6Qimjec?zlN4ebc4a)vI75~-P!GmKZE3b0BGsqKJEfoLR5 zr&Vk?)3-s(8tN`{{2vO7R-Q7cBP9rQAr+pO$ZtfDJM;F}#K!lGW>zh*vO)j`CRP<$lu%_#sy8*KV%Jzt<|Icre! z3yy5(3;!*V352hQk}VX%Q7Ee0`%Y^AHo86@(%^8eYIvlEhbMRzBao%yZdoeAl9D&@ zSjS6`ZFr{)@Vo(cE8+3B{`+z){09$*Wetvo+eELAh677|TIr8~=jecYoMQ6~ZY*F) z{qS!vP%lJWv*%Pq0OPWFTluxcawKwqP~k+1$wh#JCUv%aYTsH`R82H-U#Qx1U3)H@ z$8@8J+-Vay_TMw*zvIPrK55xar=^b}NzN60GiD6+!Byx!5h+j#_sBAYklOU)J+8)3 z8i1V|*~svA0MaK7=%s%y#=i{ctiL)`ZU+N|*Q1T{0Ijk)x7x1M&+AKRs!76PY++57 zd9E1@N6kKZ$LzeJeSLK&Bj&`B>J!F{bT@ev4sz)<;Z+2uUppv-0kOP)t{8#s<{F47 zh{TuVV4o~RKa*L|v92PrXE4IeA06=8VJt?za^66$MpNP8T z(*yGA0V+i1_DtIlwMdW^bj);>?u)IYh~9K~8}8fa44H^|Qo22Vb*uJS+mz&jR6@?= zB6k3I$Ow~MB5dF#F`2cX5?iP;H)02l+s9wh#lM_bfAWo$2`H+07o?)}4mDCz;8F@! zR3c8%O^vHYS=YnJ=cQ(v$&JR6#!t=<&bCQ@$Qa^Wiw_SkrNXn&JTY%c>h zLfc56*isCkd@iW@CYs(iCP0_#puS=(vrRaElXXDhzDI*)&PR;3ibJgAs4fx8J2_g{ z+U6O|SWNON<6f%ek5eT%{E_Lo(G_jbN$b;Rg#=byBYTXhjK~0SY2J_hD-WN@nW`x! z^xL&&e%d=1SL~NS-h}4<(mWTFsY!qQyPD+_bYOIf5vn+}vaF26*EWL`O}NwmjhHq~ zFlI1C9g?NYV{`n&{jJBzW3nC_*$;6g>--#2ZoFj-sLDm~;x9z8&^rQg@gQFs4NdK~`;_?zAQD6 z^s?*c$VJUM9Unv5zJ*zG9Ab*vq*3Q&ierw3(SwD0mx60D;PblAfQ<`qG4gxA#ftUs zWNnSn-Y)zoXa}+~6v(F6Y2MY~{cAXq(y^8mqT8WP7;IL(sI{pAlzVV^NN_9P2km%S zHo-!e%u(dPCo~X3?Wq--T5Ac@b&&&1X}Fr5x+88Z{GuSyMh39Mlyo6AG{4?TXJV_X z40J76?)=t>A81)rjNKM+c1D9xOHB{ToBneBDWWON4VLYe-?to+rLn~RuiuD}^R4?j z;^c?58;80o$3Nmt`;2-WTrp_7pLs-0-u}qed*M9JoC&isO8IkauKJ;nvP* zd~KF2l|L+~o(yD_L+(MD`;lbbk;+q){kZ4l;*8H4sR2iY`0eP&8;Drwe}5+{u?rhb zTP)mjBHoysmf(BU8XhZNcBoiyIMb0!o%rV4ci2Z;lQ8MzXUXQQ&+GbqE>E_YX9liRA}vQxd@&!F*mZU0&I8p2t8a1PFjmJyCe`Lgsc(29 z-p!o#*6WRz>WCcgAKK2(s|hG!OQmF9#b@9Rlb-_lJ&$2HmqmlS1=0S>HuDvYK0q~( znD!td`R0IS#epl=A8Q+FqDDuPw!ksHJ5;ojEL>sL@>GN(6>>dOtf{fMj2fF#DFm+2 zSMBUA*4P>zdi#l=mQPg)Lxn8%h=%YrblW51K$(9lOsN<&D}QqLNyS5QY&$7(xE!cY zGUZXr(vKs2W_(vlZow{(Gj(Bq`@4bv%{j`LD7TKBCAbu4%_`0wk~qa5`_=ens$eZi zCcPQ5r_N@cbG(CcKIT?N2&B>LhxoZPvP)j9JuZ62_~*i}g&rQ={F2?NQRfEr5_yk* z>M%VUr{I$jR7(Bbbj)tE&vXf^w;^Cf#g8O-b<-C6_n3_2H&oOjeAcnaYW)znjKax> z33@5QyJJ{8a#L~TIbS)#%7@9h4dY!3f|cdG#jLJ02~}d61@Vd;Aq!-lTxj7UfzoF@ zxc@H1U&n)sP0GNJI(%XYG4}lTt>~g|B9q~m*MFX)TJkS>BY%rDfKpeDq{6QI1tmPZ z)8UUpkpUD~7uqilV*+8-Kz2)5kTueYn4%faQx+|Bbdtdmnl6F+QY2Um({V{Z$SO-o z&*-ZZ(=i+$FWYfIwG?T-sWZQAj2RELKnRShaDG0e2A*2_T@-;%l+Zc-X*;X_SAtxr zlo2(V=)Mt;Sy93Jm+27=ooSkm=bbD0&=wuq_5i7)>!juH6bF;c`Bcy#bie=p1D9M^ zjHjP@yAq?N3?QVrlbR>3&#qYe&^!G~tx~-L)%ajQN3}T!sL|B>COXkL^W+Ga$>>jY zAOwmq)2lKG#J-rJdhnuN(V7|O`x?bQ-twNl!wrRc$7A53a8&|q%#-mX$3AdarhZ2x z%@ZTZ$$cof*GX=QAq-L)SepAQclIl23JKcs!7K>Hh^DUC7E{Z5+w!F`4<3>_jQ85l zqae5aZXb2rHlcqRgzG}Vd@9EPKmz)&{+v9mv5dS?aV1$oxa^S*E)d}$ii>NIn&Fnh zz9r)Ikvkzqfi>G(YG{&bPxgMw9F6YbDQ)x*IUvGqEjO;T(o7V&fehI~B6@05H>Ozck~%{&Yq`HX5lr}*#k%^L(pzWa%a;YNFDo1f4@8phf=IB6mqvyG00eOLj)k^bLGLeI8`qwzN{Su?R^5Tb9 z?MjN^^5l=H=^xiVrtqY2NwVcTO?tM8!40!}9DV9B6jkC5djo9A?1&yd6UwvlVq^3H zMsbXi-esGI1P|_vIu*idT@jdXxt_r>`8_@&D^2-SPcIUi=6!5k@` zwFvhCBEk;>;b5}&Ln$VXX6SFaL$ceCAHXCRemI9gqdQ13g)G+T5SW75RMbgu-~&z% z4|e@S3C(*lHsEcyth7!Vt*Qeq#Er(dQU-$67)kW3mRf-JcNT#=stszhR|&+JQLg{et5 zrqO0LN_}r;)JC>5LR6ugSq`Smag)O{1`{XF0Vrh>k*5(77XKJg_PlnLV>zn#-#)jw zn^B_n;fxJFiuCI3Mlkpwt7ZIthxC$Rawkt>w%^70zM@s$H{biN7rI%H-z)*vowmk_17W(aYz3f=j4qEUGgveV@A=(|{{?L94L_&<)e zM|8I7#TI^i94LEjB*SWFHaT&Rx{{xyX5WXdV;-x2gGjhMfbBbxmj$7%8d#eH$%76C zVek;MBp8dJIFEZimxG61VWXP@(L4I=faf0xqw59pq7mb3x zIW_5uDHuGUln&#$^%ZIk+Zu7LiQ%-zCT;!(&hG_nOQo&Wb`NC+_~=y>C%u{sdbd)D zJhDndi>~b3XlRApjrBy6!Og(XZc9JBZiri;sZ0szcqV0!Rspsuc*^qlJ?T#E$`=fQrTaqn6r=G|P*Hx!4G z^@Ivy$rjV!0Mb=P=Npq};vNh7K)pvP(^%W#UKg+vNy)Nsor(-^3+LQwIfRybv#NJb zPOmmr(B4ABaA75P#<)81CqD3G@*hMEW&=jZ!7*4xBAOx2TPusp)2 zq@r$t%)%6@D9A+T%{d6WU2G*SMjzn_&>_ z^Ba2F;7q3)`r+ z=7e-^E;sa??om7=u@OrgU6Li^FRNh|TS`OYAXDOrF+oQw8H_u2)CUM!P=bEMmLIch zpL<4eycWy)Z%k3asaX~DO+zMsffIcLWz}vQ3_H~Q9Z3WB$Az_3R;+9L-IR|@F`Gwp ztmkCy)1XnNhmL#uyb={j{sqP>0sf^hP~X8)2|r*g9`dwR_b%H{K8ZFNFg8z{{vh=qrWyY1dGU3 z)f`$7=%ws0U3mHtenY%yX`+#tD$0)zeeiOtV7RGBxhJBh`)oZ?zDzn>C3 zjFYu5@QzMYWi!JL)}<3w*4&CWilWKUZ9n{_hX{rgH}+!_emzP7I+;n~qXd}PfW3|9 zyDNs61t7lx3je;Ri^>bp`60Zxl#e(M`I(U5oe{%jzm3`{yVzQMJR?D?a;mCJsYt(p zP4vMEI6s#WjOI(|n>Cm6s4C?c!izUHun8)0T48qt^CWE|B`!WoY@Kqg9+U) z#E>JN?t~Yap5{nYpY7uQd2ZvgzE{wy!Ke6M;K+=lOlHU=X1hzH1PRg~!gdYtDpK0HPYPeB$}#ki@AeD+5_h7a7Y1oVxp-1ca9uyEPdOpe1R$@Kkhe{x%s7gx4kq7;?F{4sRI1M$Y2M7YM<#PS>srQ9uft3j2bhP z9)NGrGFn!JlKLDhP&iJ!$-+9j+jXbZ&*JmhGiy;<+7jA3* zSj9L;gB7;3iXwuSmYQ=R$Kph^OiYE)OY|JH-c>0EHl%qn=)wZ4}h*a0cgSnsfykZU;i55^@8o zj1F&daGgn4+4X93v#eC3U?vqXt2_)Az<`vQ zrOGRxFh}u23|4m6bVsqPRCa`I#JZh(vMxwjfqM6Gfs zD)mRwe-G&SoMHAL9_U;7Lz9t6pA9yWol)%_fM=Zhc+#aY1aQ$qK92e;`BUHN1#GmO zot=V(!g#0Pw|xv>9?l2dkjOj}d0{E$*1=a4fXhtoIo3=)nXjF)&5qXW5N>C}a2%}*E8Ou>eZQ?!0

7{v+NBNMb3& z11=WQ<+cncl3q#2U?4-ZOf>YEgdbu=Pg0B}9APy)cvUMJ#|~~!OVJqHrtb5h?d~i_ zF>OfA}ps#YF-pNI)H?AS;;U%o9oNKUzD(_{0icwC2F4tQn8 zfhIXl57x|&C@j796c>)7Zh0X+_F0b0x*7M@rm+>iL)CI-n_jCx442WEH_G<>T(JRr7 zZnU2zu?9O?2gIb$#|(%;kq(G_yHlEcFD1a+=eL@kc$-}8D!i!bpi^A=h9vfsL=6@b zQJ>>&un^xx_3#3Vo<{>*q&_12Ck{(QK<~I$AiR8)lvzitYetXrb{OSHqOxbbH}JiQ zPv8$1khz1a6UJ2W0Pj!%HsF_|b&1TRXi0Ui4efULktlvr?RTBsoZv{b7^Lzx-1`k) zG^I63n1j7|nZ2wGxz{m>mfKSJa{hDX^{<{Wg;@>jVt&j;ZaINJ>>>SyZ(_vzU1+d+ zEWmSO%XEA+l%t`81B*$s|lQ znYL?BM)}p`AGcT<8GJXO$w!@L%>Hdm}(&O(0d7?6GE?n z5PCJe_udHx?>B$xjaF~AM~Q$vsj!(Z4QOR6Yo<+gWHMW1`PMZ+i*2PNqLDL%{M&6R*uG z(7ZUXH0e{*Uyxwg;W1e2GWp7zkM6A*vj4)+r}~CyGeJ5IUhaEBds+0KqjHxvSpp%7 zH;eQ`&PoN&f70b{{2C-)mgB26+1oz!P`wk@yw5D5@iiCZ!3&X|wmT8=^mz>50{& zW~a%rA-XA3Fqj6~T)07I&kB0;zN5FSbeOM4Wm&<7&2WI)&0uY$oEZ}dD&9Yq3 zYw*b)C*N9-?d28*F&yMU793^GnD&(f_pU<#F9P97s0W%vGj{}V1SKCLVE75bC5qk~ zxPgFjVn=}929+CjfASkp3N91k zE$}7DWyROOsq1R$BEkwYw+@1N%gan=&34okc8cvv@s1V06DO9l3d1OiRno- zhtt(Kc;iXHx7v{E|AuylS!<8aRlFAoU~|1B62M~qzx2nS05cK}@4+OGQoIU><5f7E z-ByYm4-U1-pJA(qv1bMOl2ZzDm4gq@u_xS>b$J>TNekJuldwF9e z(F1@$Lclh8hFx5lNR(1zoSmGVz%Lv9^yjA58Oow~5u z@W;xC?XsB|IC|1)Y~{n#6n5BI{+tP619ZADS=#6aW*>rjHSH;NS=tb-QG8DkUlABK zwCIY0EeZMP29AfMQ>+f~IdpawN6d6d|Wmb$EWM2=T5(V70K z1R!fpBl98BwhUJ$gn17sqR^whX)EPwfqk{VY+XAFEcRp#{E7X>ke!*EugitKX3W}l z5?;2zuPa27eJXYN0-sVa`q7mvS!A;wysh>9URvrXw3NRBrmeMlvFH`2nkLf;hSlWF z&O>>Ex0p{}REq1=NHd0!NJZtQDk}ZQp60Jt9L`OXMsvaA&U)SH*G;Te94Z82cYhF2 zNsRd}?E?>A5^l*a%XPr@|sFEw#r&CWYja+YK)bb(&wAAdu2?DbyPz?^0~or;o^-$p`nA9S%Xl8&h12Ood&~8)>fIApHzMl${1LAkHaZSk2)a z=vqPKsZM#8AYz0k5e8ZdkHZ&384)_6NI3Mw~+#|n}op+|R$Ymi?RewqO zWj#y+IIFjw{^fKZfiTqPf!2O?JiR!C{?z>b#%^-QobHoourp%>L6df zg1kE)>J4ebQq;Qe>ONdBRe?vg=~;@p2ry)0V==9`STR3>uDl-!LGXNL^vkOtx=6nv zGJ|a7S=dg%E#}!*;(%i(^5++gtn4O&$c~l1IfmtXP8#@+4mao1mG9FtthFH%caSF* z!dryRGx6>lX?NbS_x4~VfUBW1TPh2Z#3Y+fee}|IoKFMXZ<>G6-^SSha1Zk_f{fPUt%XK@5xD!~^ zbWem>n>M1Z4H2cfXJ59@I~-i?m*F_xr}2_+8ei1@+Rk?h`w-bH{ia~v_E!N0b^x3V z5101a{<;c=wC}Ypd;S?u-Vto%B2#5AjKm^B$T~MbdG!_Wyp8x`f8|xCedRl)mKVU` zlJYBKDHuBRzQk98zJaJB98ST`g<&y-Hy=B+vi(hmSC&^^-j1%?5=4bGZv~Mo`MI6Q z5{7X`tIp9BJG9LQlgPICk)DZYl5Od-QdYJ#1!|ID^-gmbONNeos1qNl!Qt?5sF!e{ zxt_`=6zXue3t-GaVVX;L&5`I4pvCHknC{uR&`G&+D7o$jk*aS7sYFb|z4J9`mmT%)^q7r0g+g6or_S2@ebwkY5V*$j zmMymAl*E^k&DSb>?~-b4@8GuD$V}iprKk-&0k9>Pq0}p!RcPcNMtGvE;23E!zdvw` zg--@dSqWqoaIV&6|68*((Yu+R&8M$ygwy^^3U*t$V%DJ8ZuG_TbAwaSex z+Dci^%Bx&;w%tT-SOREbMsW!w%CQBQ39c84YK8#j(q0B^mxw&g8Bbnr?CWXcoNqU` z5v~ZcV}Tc2L7ua9!|uy|^06bV+^_>Ze3pGB5XQp1hcHnts){(fR(CJ@PEjCg|JzPE z8ZcG?Tbg#%P7&X^Jl`E`DWYywin@zx20=RzHkM*Pv}rN+Brkhd>K_yvi_@fC83$N{ zMdJ7cwE;BgC4@On@`N8N^&flz1`QO`2sOGs?l3Mh_AxSt_6 zETT@8)@Am%Dh@h8K-f5MKsN{NT8cYa7PD&{Vv})6Y||r652%;n`qZ&2RlIq&`i1-> z-Y1;Wu4dx1BANw(%Gfz}jF64xlz*ewcA-M1OSo%aFZXtYeMZ`qiO8uLV4X1U4PieY zG7+wTc5YsPq2lWgD!z(WY9;O&i?95>U99+8UV7P5Z&y0yA}-p?H!3#fg+j*iivY9e zH{L09@3w+oHX|AqS_$eUGQp+!__scY#6=5-#;29A|3fcJr1>V(aNd3u77MS?{@O!y zn;f^GjM0I^mAv>GCYa@XqC7{B!qaJgE%11u1al*G$^6UO*~EJ3rhzicZZcxvDW)(2 zmJ?ssLpHL7$k<34HR9_PkbYZ;d0JyRWqEM)Z!Vgil>%+2JP!n`b5TJgeCkHnQDis^ z%>lX~&e99=!xHwvWa!I`L?@5l1gA9^gVQw*sDl-~hk?E!l!|Jw$KG!?_El^gMYg%= zukB`&73mXptK6*E_%9;<5cJ1L-;kY3P1&9lsB&YpKxl`XyU_;5(P>H>;+EmkWdJWR z77Ew;NBNF4Bb@LOO(x_cKG`1lap>4f(`2U6?8&-UfVZ6Disg#e%%z*}TiKz8zwCR} z0d`e&?n~hdvlGCxUvGg;wq{&*OX_n19LwOg_iXl>AA_X@p7+FBR^~4q&3{mK&?01G z@rN5V^7pc0V`WHm0ALWYgUyY{sRVc&68#I2mod zTabbN-u9hrFxyv@0G=Eto${}?@)6=|ySX-~JTEx3^OU6p)XW}8OSoMY;@8u8WiR-v z8w7wQRSdh8N0e+{le*0D0FNUXj=Ze$S}D3DWHSulBeEGvuH8`tlx)DWm24-F>mp$y zk6xN&yI}{E20787$#+U0m26kSb@NK6`A|i5Bpd$N><%J~RY@_kVZiWDm9DgsjY?h8 zuKYr~auaE7b|WOJ`=r*>Z)K0FEOF4WoR^K~$KIL?n6qnSs%?W0v*0SOelGn*h(F&^ zx+vpBSt1L)uju8}2Wwi)nPKA=rpmHem|QZ`A}0L7;+b|}MWPQl%>NU!bJsHF?WngD zIPA)W)l_1p0GOS&w+BM&VpN68{PY05)Zaq!T8&%;17r(udw!5)o0)ii%1wsoB1oT5xl2f{ z>=Pseu}s;M^{V#rN<6h+VfJ9B-wGlIOTDahc?TX@3y_napi}%RiQw%Wp~paHTR^95 zhQbYEb0wSg;kpK-tYSkt$?@08bmh9hK@SriQC>N?n7-yRg%NvI`Bi(6KEyUoTp$?Q zHjuiC+0F9;M$K0AlFb2k6~tu+%{7d!dX$S2_9u$N$~nbQ4~XZ|zGgZ>v^pDg`8QwOI~!{x+*9RW0+W^4FF;K5BbM<^#VhDX+f*&>BgB9l)KkGI*N%t?E51&kKmLqd z(f&F|3mgiLj${yH5g8NQ)7mKtvUoj;j7O}sihM5I9CC55A;F*<~7lk&wzHy7L-HZk9F5pn@hbsse zC4B>hlkE_3vkY5gm}toYO?i;gcCM)+MIRJ_O2j?S<@yH9UQ4^RUDEkYmPBUIRkO~u$i)g zE{>YuP#qdYTI6=K;T15+aAB7W6C8gdR07N^ux7_eyK_agO306kQxFg}J zrO$oIt17WAONJgWw2oh*%?43%Yz`$hX^xvO`*Mu>=_zRxZzT57@2q|}i&R{i-6*k% zo0?0{dJd$MW+xGAFW#+65fSiF3|15horWcWwy;%~+_Q_rhn1RsR%g7`Js< z0cA?_9S*wQIEL>F9Pol`2} z`RO1ma;b^n0>$CKJr0R(#oBoveYLvh6wX^9e2{o`x-<@n{RNyNs)&ts-%%NMMkMxJ zjLR%Ya5@bCyr3q*-F|nu{VeY*;c<^#gEIxS0GqfXCW^O#$$e5*0J(>&wHBP z;7BgoS0jkBLjWNS4L=m>LsVq)6nW5YROkNtB5eK9IrCUX0R74H>8&z zQn_&-?dl~L-{*diS`P@$r9zb(n+b%${0nR-gg4dVP!Ny{0oViXmx%id?Ui`TzP~JR zM=?5#d}lwqZ}c94(Dv7VfeN)Alfd3Mh5jtKw({^f&nftc;CmnrXD6iAk^+JP{Fir)D?kt^RZLlAsyR0I( ziKo(*V?@*En7_HjjbE0!wJUK}$gT$)D!v%?ESry}t8D9!dZCSt&jzPhnjb`ytC(^B zR#Q3@PeIw@IYlGnnLy-O$&5P`CQxbkvui`fGOPoxUmwz{Pf~Kp&gCg z_Y=c0N$f36=4_!2^TvfetZDILhZJ|Dpt+Vw*qMiZ+Q?C)GHG#XcPh0OBLdl3mldI2 zOHr>1u@MvA#Xgdbsfch4l#1g3URh6y3AB{qw3~HNq^QEK(8zyPV1!tl&0%+#WFy)g z5`e|wMUchqv|Gsrmlcac%p;~NU})K15ZTz5-^gPxDj!g?d4mGyqSK;WD@yN}E5N7< z*dJ7U$vTnj|7USe$I8E}1Q6e_CL<@9i8V+zG%(59UrM)yi_@pXmX&4-9WEnU%G?!+ z&K;!hKn_8vSy64*w)#d6wUNmow1>R`)8e{c=Obr(MP45T@ZIPXcEXK%?4`!R0^zsq zgEEbuRt!)GkAz{b=fa*W7BXz0S~8)o8DU@Xc9E!f%=g&QY}4CRGndezJx7%=j3)>f za{$J0AOwG|nQ|l15}LjSjQQINFN@QS><+FLxRMq)+z<3bsv)Z8Gx};Yzzvo%va?3d zXU`_^b$yLU0U5q7wkO!SJ{3+gG6oh+?yF6HmhbIwM34g7JTGIyWD(SNO7#o*hoO3donLP3E$m=BXLc(~0H>Q<> zpzSFjc##X+wTa(%tWM|}DR3DsTGwB-E?e3&bP5JZP8wKDFkeh7R+L7C0I}52Z)>(ZJ8}4FV9gG4oky&ds8!k09i=(2hD7XFlS?5&MDiobiLS`?kyM+ z*doss0rSzTy(z=v z?(!2CtgNc1)eA(e3aDSxY0)doXEr#Nz8VKTlkBVSxzktniGL-l zM$Np+#5N{}b5_A<%XcK7-M?BH{B)34_aPj_i#cFDd=yBR2|W2N~Dvj109?E%F7RHfeU+`O$)qLNmQl{xj7%!!@hxHwvH5N$FGy63-T4i0?*R| z=a;A1s(``Vi}ki2w7};SnT9h8v5ktWpDWO!kMjI5DpccEz{R{TAq3$RJU*;Q(QMdC>@2iP$I4d}QOiN@-&3lNl|HK70c2PVnrEzJ zL-buL504?kTlDggXDw%~j#p}vZRPh%){MB0m~>d!wh*oj7>xE_pC77(JsbkKi&nA+ zoax!gT9*x^Al@u;@}anB;h6NT)MeEkIon>Cgu2*0BRyRisfzTeJYA0WTHsI0dbZy- z02U%9eL8T9%Hhg-y~%JFDA8P7*R;zv4qGL2Sd4_WUAI%0eWlYSQF~n4hyv{`ZNlxx zx9cI~f&`js$~cdMuKZEkwI&i^SF(;9bcnTm-5_-p!rT_9g#9n2!)lfCPw9|QmKIUP zE1dDzLF3~S{!Sn;a`;J;VS9b2CAMcTjH~N>$(AiiUe)wOXgOiNGr;{xF7`BPyE9Ws zw5W^CwJ6h%Dv64NN|R}a_NB>_=*J-aD^y1%(e;!>_1l7%y`xL9;Hmgl0$L#Pjm`LaAIOB+R$Uk*M(s>$A< zs~JKGwbxbK)mifAtD+KUSN>JIavdP}1Z)rv9F6F|F&%QY9tIOxRC09qAl1A>yR^VF zwZOHZs6{AM3*<}}D;#bB!mG$im{U0^uduko|mBFaCOUT^GsGI1o4iw0lTqtG| zAF?cn6pV8e3|U!|*t1+z_F`MM>cL0`&D*))%Lb1*mXZfYqud_Dg;Q6Ko@vh_p7}mf zR`wE2*5oa>drMh4CldR4xi5|)6Bw}8Y zIA*Nu1BMkuMS%(-3~OnAd(iT<0yUH+GRl&TeYF>* z%0OS?;K-3m*q<|I*yv1J;AhH}b2DZmD1cGeJvRQf{#2^9Lq zPD&eh2t{VIfqq5QX^M^OP+lLEX0qMbMl(J`acHYp1fVc`pa%?4I)sd~Kg*(wU3vyt zbLnU<%A4D-=X3o>(R(y1)HVQ*NMUtJ;v$ttSVa_=w@f5QO;vG-feWsboxhHW_K>>r zvl!a6U85EFzahu_a#7dBt@V{{m%T7KK--gmtq$`NmbS?ZqSFW$&n~351$Fk@7m&Rt z(`g*acE^F0iZ?p#1PRVVr(x0|&Yhg&?9xVU<-a{(=U#D;gWxbxT3+5uZp_Bgf{cAq z?AW(A=jos)I^la;T@m>o& zR!yd($#6QI!gP}@$DaslEcG5ph9hW!_Lpp^3)1_bSKLT!FbbKBIn3J+UPnf;{-uRp1F{AD=>`~)imIprz z=FLF4@d@VjKg_xGjQ*qqo4Qaxe{Hpm;fLxpfU4~6YAxLbt$KUJ&@IOmkTArCv>^5yW$WO75i$z*4x%P5j?d3P5Q_O$3X!=aCA)~o$mKRuj z6*taaEs&rD**k560?*L`|0UV;d*#pP+DCJV2|G7mOFbTpdo|ZOqC#YAYt4Y-v!C8P z*@)v!ll{=Q+2`82ri_{&P5gSiZl~-DdL;@=k$i!dHQy;alj~F1MUxi*3(zTRbJ4Vh z49B)pglr6lZ{}Ws`N{4Sl&7cBA2TxQ$wHxx_ti$sM+;yjzDGhZ&uzVZ-C(1t3a^wp_Sx}}Y+P-`9unTUAQ7KgG?XQWwMknJar@@e4qGY%?H9A2aR zB>+|v2a1BjZpvSKz+am&%mT&}9nUDtv(|nn{-Um3HLpCs$GH~Ns^VAHJHoz>>Y`Kf z4-~yMb=d;NJn8t0S0245NaM4Qw3m6+Nw8sYc$LSzPDU_&SpCpKU@u>OWbE?dIl>!O zKP+A;SI(hxJ^ShzI!%`(HdNJ7f;&}%4&hQqWVg3HrwABkL#UgWGlVKSKsBPGuD!QHjcPFE@c2(dY<$c2dA z+o_SbeK;9nbFk{%Cv@uEGPfE{Rkl$K7E9*RGU4aWmguh^KSg3hm&e!AkLIB4BM@ z?}4b75GiUvo?AKpEu_g}V2cTzcw#jES@d2@8;da#y+u|+(y}@K6M?Wq8w$KYTb_Vp zOrrspDi{T#l%j^!YG!MTvlzolKQnk~?M>0%wWRH6K2alx*Qo`rI2-z)I`s1ADu&DW5q z4pOq)!lg%$$QO!iHxlcsBJMa9HlN!xJJ%UtDYil|4fG43yMuW--_os0pK|utMpj|$ z0;oifDS;ur)-H8tOcCA3btRm+f`YLrkgvsu1c<_MZ9N5}JH$4Ox?UMKuic31!Kjui z|5%6Ja+wz!&@n@$lTG5b**-6y5ycyKRx*b9yGC>Yf$<)4BvQrlI$Qp24+J%Y!Cg>+qsx81Ej{oJ^U zBI@^ERB{~drWtUs9qpSqLqv^fU)a0&o_RkU|dVCE4e5bGbR>RNf>C^cs{lrmW8+tBYrg2URVyC&BG=-B=Cz&}bsz*$&&@Hm@q-vb6_tl7 zqE1I$I}IFaB;I{>)cZeV>%L$E7YMLnHy;%saEB>^)ABHSml6(8tRafpQ%;17ANkIg zh099u!KIsjY=J*Q+2K`ydzy;^zonHjlBF}^R03c+?+A=sJw)xXJ}`3j$4Fy$`C-I3 zX44ZIFA^GZ{e`r#69^q{#>p!?It)ND+f05M78dwpoENi}>=a@4@-mvabfrB&=LtdY zN9}p%lS25kHhGBWO7_8A+GG93&id*^xbi=Oeu-fC!i>%aX|BLQFD zb*yd75>lyo5NzNqO#CSC1M?61^dPXIX-+X!Svh|&Pq$(4)dKr#fdk0(C!}mtU?*_6 z1{Y03WaeuN)CaPHUIv5RdFECi)O3P^@fYfP5?BaJf5n~OHh!kxd?f&62g2r16w6h< zU4$ddM@gv)vKgjeY)DxrNmjhzYIBJWV9ZBcH2GZP7$!5?73KO5a6b_O?{nGOzm&pk zP|6wzF?MI27=g8}K?>oFQjL2W)n&i^Q0nsS+EZxWqW4ptZZE^EU$EXqX=AL&mVCCh zQXy(SZd#klXSOUmv)y@|{DfpsRcF>*?NBIpMUm!MMmAm@tgr5-wT&oC8$J4N;|9HF zsB~2E)fpT;1-9@oWd~>-X`>9gjP!Cz9cZ6+~AEF=aA0Da@F+3rE>>4;dC`0mAV`$ z=w9iKw8>RKtK_j43@t+IY=jv5-a0Y_vcX&SR8BJrst6h>5EG&$wNLMMR~mL!z{c z0r8Sw)h@i8E?K0Vb&&hqp;ZB4SYyHULG2WMAp@c;`19wtcyQXX*%oYQ3}nB&Xq&#V zkWTjD{dYAsUion22%V=a1KEhHsVH``X-Jj|Z0tTe64|=eWb`1+`F%U=SDC+EG!kiD zen2cBlq206+w?lIaT_J<;*|pLnaIA9W4)W~$r@~<+N1{a3(;Rt)KXhK*Bs`ds52Dv z?@*SA<$}$n3P(|kP}cmkTbKN976_XeVQV3@V6yW=RJz736~YgwW;_=l9Dd@{1%wMy zO&c!C=BFxz1vXlsc^pvfsaML&3nRI@BSlpSJX)nFCun9jB1L5gu*a;wrmxnLzBlu0 zu9X*lrtw{UwFblgN8}>*>_O|vvBFYLDMenU_aah`p~+Y&?il(?<6ZTxaQJYpLijJarjF7iai{gtoKD@7lrU-1kn~i zh>HI`h<4sCEG9C6`O|a1mUKQq-0rx?eM%4B9Kot&y&}t{iZ-Eh&)`8JLS<8YF7LhlPd|JTtqrIPU^=Yc3hyT`$>hcx_GGItZ6@@unAr&30`pWhYbrnewCDZ?MB3uRr zn(Gvd;saiR$2Ap5F4()uMarC(KeDC4+}BnJ3>+VN=&b=$wh%mhtjQ%0E$<{ z2*gQ)HG>iz>PH)>MOq&e?q@PzcDcmwT^2HcP*Z2k^&SKu8`ujwHoHxWx}HP4Db4>= z^{BIjm@?UR&_sLyYi3~^nzbKV+UQ8Bgy!0z%g|yc@^GmpUkSqIR9@m#z#n$Q<`-lb zDZert8p$Qyo?GO1qMF0WN)$t4aYFJo*El}7QN9!t+`%@ipZsge!H-kt#k2Bkj+wJ28K5L#~k92(G+{~H3h=U zRz__gd2@Ctc{cabrh!~y$}(QsaPWl1dD0~9zkzG8(UGK3ZmJRHj27b$9_p@qh#rn+a%t5vV%q>K#n;bP48VDzp7uzzs(zt6_Ijm zMMBNEA)t7rdX&Uaa_JhVb0dtp_+p`*AA#s0&4BEcfWW!+tj6}m&U%}A2=(R{A&4-s zVCD4QEY&aMAAZEx^cK>(z7aY!?{h&t=yK+&mF1O*Zk0;2g%}-hSX+C)A(g)g7g)@1 z3({?=9F#^d@l&?({LQrTn{hHUUnS8Vtn|N{v;R zF*UStQb^e6C><7H5OoqekrPnzd<($%Gqgj8FhV@1U3mjwyed?a5%q-gZlFWkmHUwE zFCtg`WaMsTqBF@gufS`!4YD%w2Px1p(KoG zyZjiNY?==?{sPT{==d4c+XAN?^!ljV&p z`Eg;VKWD=%AQfA7coQX1Jo1bOV-p3#{$G^A_iw8{Ypwdd6^!B&4#K)`hc9jDwi4!v z5W-&yL2V;Zf_`#L!<28C87Dkh_SAqKSMCUM1t#J%6UJC(rKVH%L%hMbX6Y_We$ zhnu2UjYzhHcJm>icXuw>^X578v&MsT2si@tUc?2u_~5Spt1O^?uyKL^CzvmZW#(Ux zecZ3IkMwd$EUSLlR=ct&P$N#j+;z(__OLmD!hQQ3Dwgdbf{4Sk^2WVdksA!i zhQJrsUUyXGZYjq$`6fE}MS4aePghu%+Dn1I47hFMusN`$^jQUdXD^naUSd{icNNGm zjL96O*+JV?ybB8~jpoEY#5tLk*f2XqE_#`5o(ncF4}E{V2YSW2ApQW=2q70LvHbz` z-i%P>h#w*}a$ItWHVD}wE@3v}L`kU_de3MZ?8Q$53kcb|O3s*3%#W8HEo(O?TUEdG zdY3&;5r^S3Dr1CgN_40Yws##-)MO0dif>#0kCjWbzlxVXN_?BcWM0XUoh9_L5tTm~ z+RCDmXk`2mklYT4o5FP$L2P&{5V?LEt!p94ZzR&S>@<;xNo+KDTWMpYe3RYAaD!CC z*Bm)yo4mLuY<4bevfkjz<+55qU2W!}t`E70QFkT&v^nEHgToi#qG`mq2>3QTy@w#` z*by;Un_l^@Q{}UvOIF^4K}dT-8%I(X%!<3VYzA}b0wCN#KC~_n7?4+MTYdEqh`?l; zGn1;>_D<(y-=I{mMEh{3ml|cE(AvJ*tO2JyO@&dr{!M`&gi>TaZI`&U7}H7qa)h1? ziXFwB(gEL|YG&fH*Q@K>Q>grAc`~^~huCup6?lDN%!%JDVh!VUjMW(K*t5T>0^bOZ zHs%rm{}lakTi7YHEAai0{{4iABsPl!Ui^q$Is@r{26GFRbQnDBu@1a^18lq}qZ!An zSD@evwNn~s?IKVS@{sWKPYJ^oggu^4BiJ^7N};YodxhSKbvu(d@mgYgn!38yZC6L? z`e)d#OCfcV%+Z?6iZl*`lLXpm6fbu7YG$P9Gc<0-C%aUb33yBUkBsp)KjS~d_K*N? zhk%(F)MrZ`F3(`cEE6JbNHiRgSy%rgD8+_kS6YBF%d|Vb1s_Z99IRyJ|HSgrmT08 z3RqfUx(U4bNj0m_o*@&sV(vKNHj>i_vu z#ZSNwqEnuyLJo`?a`X|_0%IYOn1d3T9JPl~Pxl))Alfly6n(mNTY1l6j%b#@6KtTt zwvk8?64e(7nWOM0w>FLc1BmDlV&h_^jg^F$TrVp&_iC%M}ef%(!-wjaONk_-L-RBv+xP+5$QHfw6fxX=!O?glTloOV+ALM4NIF{7LwV zIVyewMe%`dps=!z$Lm3&D{@iS`eVeWJ!b>OebB};Tr{!Fopq33JCk9nFxMKIYX|0E z*M_;IyBrP%MEc77LI4!l=4Fxo`CIg7B=mj<<`?t*DYegE!wy@MOBvQ%q%FvQCl@_T zPp>5CwR62Mh4{^$DD~_n#9Hy9^q`$d38RfP7hnz20tYH>*aGc^Gt^|(QMq0vz;lqz z>LR1E=jK_VB0IV(cS>DWxA_CZpIx9J_6BEjLN#_ldTy#2C9Ib(+4U%qY)4ma6ZG0% z-cKa5`HE6Cwbh$3GAl9dKnd|TSOi@}U)le&fDP@+e`}}MSKo&?jJd%Vqs{UUiW{BW z6i@t}htNOqH=Y3e6Mv)9%ReY?97`3ygS+>XqJn4D+ZJ+7C9k{&yFSy`CN7%PY-8?4 zBFhPZ1?#c@)PB~F;iNsok>>I^w0-Iy^BQBb{p>Wxr&k!MG*|4sWl$tR(=f>H!eR^T z0t<_~yE`oIu(-?M&f@Ow?l8E!`>?pX4esvl@XhnQUtGlfySunQ7jaS1G1XaF+0)h4 znOWJDnTT)mpRMN-t3+ErzVS86zcPFr|MvY5Og|kxDkC;#{XX%Hhw@HWLAVpdXcLRU zh7wK?H0g6y;l3zTg<-ZX&ghEZ)|``sGyl0i1?)F%7NYP=GXc{nBi=9d@L7ypeO{Y= zBy$k@qB1NyV|?qsWPo**WfAG{tt}hJ+8t>%?mJ!!K}>hzwTfv{9J+0evfIG`j2Yq?T-R- zehm0WI*6^BuJfw(gCBa;O zl@f~AVT152Xzp7VFr5EF_CIt$s|Qs*YpJJD_zoVHS@*W_syPSU(IYxMwY|fi=+Hs$ z1Fl-F_7;QlfwURyDW3O_0j0b1qvHv(E5FRV>}7ADYk;V}-de8=2u8<^l$f&KGPPp& zNOw#hd|~TD6{nx+)4i)Xu09?zY*Q$3;7MrXWQEut94Ql?;`XCs$Fprg!x8KoMkt=& za-r0vC;BP4;VFTSJSZJL+qS&pFBI=4>^_)lkL2REktv;5G#gc90EgOm_9t6jK-brd zZ0uv4_oNT-hRlQ-9pT7@`@Qc|#xOhp(aCMh4fI_F@9aQuqV5d6-((oC_8L<@P~eOJv%7tcZI@*v)Od6FPB5AG7$vgE=m)V=n`SPrEr@|NzdQu%)~5fyhWCKcj;TNT0;mg zU+b-st)$AEFJg`jD>rPVeKc;Lg^)^g919z1dYx=^JBY3J)9W*p%_*`JH4*eUL{Fp1?3M~n;l8*~eDh+vk$>kA^ZiZv(rlwVWiE$JhK(+B@7vS3pIQPDJp z2WU!}OuGY-di?VAGF~O{ik10yUf_SW} z5cu9iWo|gEy99%7woKGSelDqJcBh9Q6^nFovTkb~O@8A>4-(J@QhkLyea(DPB%+to8%|G+|`;%5+VCI0g9_dM^YguF@AEt zPid<_r}H(vV3wYIh`2YFU9A?Lwf}0Cb!7=(N=_iAlTP~2hVav=QU{}YkehB77aW@- z`SQ3%{x|%!L}ZTqgTFawC<(@=*LfVPVX}gU-;mD1!VC4ldA$+|fN6tURFw$>1yIi0 zaf(!^+KqSkO-AdtN@Fy2YNe(u*K?!O_=#FRP~3t4zC< zd)v2x$kFTq407Ls$p4qq>hy>{lCm0fju_P6R~WEJ&dtkPO|D~WSIt)HNAGvc{oP~? zP`orNSU9>@WY?qIqn}=3nQ_J^;~ZpR&jmb;#rp>$;%Qb;;{vXJy}YJT*QUg2x8jBx zF;||Pr|)fwy2jj#(zbxYdr_c-w=EaCxBPu;F)(F0`ZIezCGp^23lDnSW^4-p5D3q^ znesTHl5-uE@H{sPF>QmpLb*j>OGcBFlJj;<{KC}VypJXtX}+j@j*PRd+lxJ1P>{g= z*jJS^XF$237%Kb@DvWi?mCUds8smzC>A>moHrR<+hEvlT{I@|<+us*e-9xMvCNjzE z_-*Vo=NyYWSX%gmjS4Z`OP+bSUUdqC1k5Y#WRl_92WR81*J@@hs{*>pjAP;5Us z4(u#J-lQ~GbE$1aVz(JPDo=pj@b>X?%k30&YTGyrbLskb<#2dr7xl75_NqDH*26q! zv8`%>X-`{puiqG1X<~4fM9H=GDP8Y) zG@ou|29<3zj2-us1QW?{cmQr;4{?mPU-DFU^UN_s6ZsiUvOTh`(PxfYQiaqzdXP{r zj@wn1d65Hg{tDueUvA=u_a+Hm=xBo#LiK`BO4QLqH$G`ed8s&faPyik#<7bWurp6+ zkRR{Y*VaU!8a^Q2+#1N|on9NBjC9-iFeMN_ZXQc1uv5Q0EB_)orugev&7e}|>IcSZ zlzb|8zMAk3kPzdHyhpmxxPlYwauYZ2?PA(Q;pNYP{?lO)0!_V!P`m$cz*9B+D!PW) z7&r5p4?P5hg7+)>0;Z+dG8-cL;4TjA#YTjQ&C?0h;c0Cf*bH6dSA$24-_}kU_}38J zGpB~rlQ&Oclu{~Gh0H=42Y5y-AW^+~FVOqVlsl@K<14)g^8nv|+pil=tnFElMWh=vSHw`W zbk)pb(9xH>3^{tYZou=Q!%7K4+!f&|yEK$%kn~*DqToh?Hmh~X?EOzq%SIg!vvy7o zCIMLLe>(vrsP7eL-0@o%=mBL0lb_-=85SnHNu zh>}#(PBe#sYA}jLvvlB*?hEi}{Zgs(mF<4Y8l}7j;XN;$0b1>z*up9>>Hzw)ynjP? zMWsGi?>?`sM8t}wn1b8Wh64vksh>#B|M+hc?yboez++5B$lGR9-0_WAED#)f!(+J> zuUmxF>d#BgdbEsa8O9BLv;%PevRtSKyQKjcTc2a}4 zXFhz1MkI>+Yj#@reIj(qBdBf4`maUDZ@nntL#G`2qNY z!rnq^_JJ5{l2F(g`;JiC?vcO&uP zNLt6g{uqQ4=F zw{rd<*0N|EQ3Zy%E=Op)+Io4+aQ_U$*`FZ22qww1GYfW(pR!AEGR1Rd1Ht0F7k!y~RZ zI*cbk<47jL78|kkwc9Vw@1yeB{CU^*vc=%fp@3^J=85WV$Bwpbp|XaYglt>83O3Qh5CLB*5)c>W%4 z7~4vfl17L1-3{@p7=RWp?>+aSgU!oEhyPBkbr6)X(=01;4*X& zPjlSJ$#JafqQ0pUhVdZmf@gzUeh2o-Y9Uorly0B={_85XCWj^KbGwsgZunF0IcF*# zf7)F13ZWgz`Shy_XN1}}&^L~dep0DoM#8#;@<1dL9ILT*x`6=_`#_V7wZ*crqI_Wm zOd24bGgxdVx=}f*W?MatXMDM~u}d2wv|RknjtX zmtW~K2VoehOZ0JN{IvcOaZY-y#8mx*BHOISs!3pbbifxc9fsq>aGp%Cq25!}O-B1Z z3Xj_VWb}!uhBb+Nf=+DM!4W2RC}R4E0L6u7YyvjlTROIvy!~Q-ejtkGz)~mwyWZkd zm5K|;g8JKqE1bI13SOm{V>t21e7{l9Z>dxFc*Zp%_rl+DZuN-mJbgWxzm2VK@qapy z0cla&3hkThji>3CxNOt_ufO#=3`}~z9Z29EMyTD4FRel1QnOY?)DP5nqYY0@e7CMz zfqX0%efu}t3iAn+77|^~{`3j3^J?v?aR&A7dO|PJRQa1B;HwGeXA$t$zL*SD3NgCC zvv+KkaW;j%I>}b%4A0Wj!LK)UOyOy)k&q`Xnvl5Oi*3 zMOPm^s&wey0~c`yqdm)~Z5OF^e-w(bi>g&AGY)+2#x7Q#{m8J*Lkf}FyRVPc1 zal!K}C}g&egk72h{`F!R_-f|}R*R%5JsWBE`-kxzU^xB#^UJWMo!T*ak?>d&s%fcE zV3Ai6%^)E{j9-Pi1;A%dbUEpT2m$4vVklV>Ay~S9p*8sCzWlG|EaK-5;}Eq^-oNg9 z;U4dye0cALW$iUxutH+qcT~ep-Ce}PIF-F&iid;%I~V9ebOY*eKalI>>ocbor>IlH z{7gkv3{=!3(qP_sd_N@UnJ();^Gd}s=&?U=!MXSm`4Fmg`REW)Sgp?~IMcK`Qg!gM zv~u=kmcFEfyXi%*-G;kpiW1UR8Zp@!z4j3Nn;CdezA@H;GtI>&&jIZ&q6>ZWb==K3 zKo_1;inpbp0e*+p)n$Ly^PIYmyrYqrfib|fpF{8POh)}T3AI>CAIJi=%10Dxlfn9O{34jFtf1myT@f zcH~evEW@S(yKcG{F?kqCT^D%o}`Rzb7Jp}d(OyDqb7UNi3j(3CNdOH z<>cq#w!Oux@`xSI3=;1bH#YtOB9(6y zyfzDN8L$tiqZP_EqTP|k7saBuoqk}lHxMxu*sGVcjmC6F{s1LxY>aGhTt7G+tw~*b zEhy2ioX-{mE(G6RP`Gn8{(+F*g4u7aez6<;?b79Y;kZ4Y?K*&S;2JeyS(i%Qy*x#eWM286)b_rPn76; zN%Qog`0jg&__VY1?OKjeB||YduuudWMxNAL;+3)XF?T)o2QtAbN#>6kxRbP?ZzP|x z5Yj|ApG4*Kez)bXh#}#)oG)0<(zUUFJK^yCi~5R_A{96jNba~zJ-4b!11?>t^daNFejTZ>kC?wb@69(LP( zTze7?9u1z_u{y-l&z$Zl=}m!t?FH#G(`MTu&`FR=GVY!!gRPjA6@%ON4E zF4OF{7&RVuN8lRSYp9((wg_F3)>Y1q>` zWdYsWPSUvz6NxpU+JQ5{w?`|sCHfVB-(9-GUn|uKlTdmwDrLy=gOAWk_YI$3B;NTG z1=g3m*QIOY;ArhjJ|mqoV4F|s_I{PTK*5<~bgU`K zL=t{R!e8x@7p?{h2S>(E;5Wted&A9OD@r!SmJgRU_PU>wX&iIp;fJHr!+5ZhX@?(5 zI;Od5V-Y`Q?~=8B6_$!mk;0JFC3iEAmqRxXycCa5k<=A}l~il4e=y*Vza1^m>-klf zxN97r5-akbwuSG4GYkpdNASc;3<-3c($04cVt!?R?L7lHLmzd9ickhKLm!+1q zfe`ao08!U%_xm0Hzldxi!OpKI6RaZy^Ye{`gvIXk$i<(av$5@g~?-OSaLOLgvKL(W`LR=d- zQu3F$!``3UktVC=I-a_&pEa~k$$}MSe8)(*L`e^JAmP=@ zX$~XVu@%PfILOTTp6y3UyCR;%2zFNflJP=dc_2+S2^oH5DAAR8U_7ZbapLQ<^?g_s zF)4Xl_@DSgY9UGNeBwk>4b)uH;dnSQ$z%~q$vRRY$#}9KQt`B5f8vcK|EJ&ofudAQ zYrZhX->-WZF1{uz?WN%oC93!SoawkwZF^a;?IF!QKe}78v)JsZcwpuw-^6d4_vx>x zQp<0S()M(OlZEMLpq1BziJ$pZ|L*4Wu!8uSjaltp0=M{yN8KHl*5c;yv_L|BwB%ZT z7k+!?#qh$x>)oGtL;Ksw-4c>%s7}e}yVO+Nw;Qc05@kAa6`m2N7Eq$)qk;cgXu8u? zsWfh73RhQUX6}t%Kn}*CrQ%~Z>UB{+3O0l@wUPbV-CaMTRFNCu3ve)`NBqULUq^KTYq?v;7@qns{;&tNS-z-V`nW{~c`<@f|=#j%j&8Erk2i zhEs|>NzRC#d@z`lw90L7P&10*GUFhTlSdSTMK>J-K>~w892wv)cTi= zuHj2#d>6`T(-6qNZE`nS;9<8`TympA?`2Kn-ty8a^5y)rUgD$jiJm1(bPL5Hda~;W z23)q0NkTRrG=iuJeyn1ztzuOml6XuQyO5LwSOWY9^k^-iFo5_R$wb29Fv@n?ef1Q3 z+>*D^z(gTt*xmQMZ|WPTJjW&sJsIeY)|403TBuo;%nR6A>|cZAx1}=5*!Ywa^2Y`f z=YV71ub0;+e|D0Mb8JktZiPQ^Fx6!*b>(vS(KBp@a&^E{`I&r@fq{>y$kLp3@&={c zkmC1y6i2z;?4+W-wGlPser$}F_2O1> z@mH8$gmvS;Eo?IBqsP~j$^|#?(a6SMqhmkLEV3gfi-X#(UmptZ_DVMvtiLs#*QBu2 zyO;h%1F0&GQt>2KT&1;M=Q*>TzzFH__-GjRy41||+z5wMkf$(>(jOS5Di(R_xx5hv zii5C}+P$Z>=`AR@G7i3E`Opi3CD-SZd9@le?Enp$3P09!l@;IS5e%ObA895rE2>n@ z=!bDC-6QF@mQqLa?NNFAOHwWk!=`2dc?5%L%M#a1lF_W*g;%Um#pWFn z*Nnr$ErgQ^yfTnlZx{&*i-Y*ExB@Lc8DaoaM8sifqYz<455>++P`o$g&DlN z`}f)ff3-_!$4QO>$F$PS6XY$12N}9>&9eRUXET0CAI*fajVNjKC|yXJMA zlB$+{G1qp^zOb_4cKlv}o~mU(gMEK&rYd>CJjso}<0tqpqk}9bx@fKn=h%&d6wOg( z5{?$bA8DL{*G>_-fw61vwBvP*7E7v|m(V;-3LkQ0-n6AcR&UAnK-gZLeK+snO(#>Y zErVGq&*g!Z;>AjWCRiG}k^Td1vzlhgBEV?@WX4)iMCzworCOnrXQXsYoI{T_^KWH= z3>tJAT7WSJvQ(CUYDYK#kU-hpKqdy2_fE6>9OGEQ%BYC9n7t@6DzG+?NoQP|b^mc{ zIJ++i2C_XIanB+CwUL=6DhZ}|4ksnS`Nwu~g&%2TP#xKkVPhScc@5D;A4^KXO~|7p zwv}HLYjs6Zxj8xhj98scpmTgdH%3F;ZLMZ&9BnvJF{xLDv7F?ydT4e*%uleyvwEer z?6bNAjwAu1cp3!szfo^%W0m6h7nmXVw0-h3g9uhKa$dBPFC%s0l!FK^m_TlUw7LtW zEz1DeRWCFTVHFSqXeVP^EzWYX>_Fve*PkH#LVNhFACo3#S2U`U-Li^N(NM4u^%P#OjLERlz}^(k)IGe4R56cImtA<@E+J zF6UFHlR5kIkL_L!nosT>N1mLBsah9!np)S#Di>3EyIf^0(-Z?^^F_{9BR5C^ag$q5 zN%6&nOtA3QflkI^LMIEGOR1gt@rKghvr|?;TGq&bMWc7-=P|%UZ|e13Yw+o9cCv)ES<5ytmt`pUvz7Gc`4L z8276Sn?{Gt3!4tyjuAsdLxdOj*jg#D3*(E=!$1tcxyhgQg2xe>R-_=x0(YRiHS_V( zF}1GX7EMZC3=Z08iEW-XY`BP`jU;oK(~jtIguhZ`TAiWGD(2(QIYn1q-9Aq{u{G^R zRC_-O@pNpbuH~kUwTgA2l~^c0L_ecFNk4%Rd&NAbcc@2$`R%_V1s{|hnrgrluikKm zB7@Y)bXQ%ZW!;dcqECO)dh<`J!}b{LjuZCO@A9SFN|+9kJK#vm;-|!|v)|RzVSe@2 zD7}o2C~#%v+dGHxuA=hh7RWxr*D|rq#272La!$D1MkJC^kc;G4qiE5t+<}XDxLI zmvRCQ!!70q#d*R_7nRnf4-U7MnHtCbrcGnAbC^+WWUmH5)aP2gIN~UQxB45y<#A2h z0lldDkB8j?VQ=cnzn*r6ScZK=B|!(}@mT^aTEoY`A7z0XTJ8|OOO_%ea^{B5NvihZ zCFB7aWhE&x9F{I`!Vev3%((XNLWcM>Zn;=2dX2dK02332M%KxVn1!*4@Jk2b`50J2 zZD|h&8cAszLwdS-;DJx#p(asannrtIFK<`ZEh)=`NI6AR6}5+xwE&4fqwaXC1ZX-E zdB0yuKC-k60j(k3w8$UPpy4Z@k^ zwkwjvVtKQHgNY&#Ks+QfivkBbx0J+9;{Tal3cT zV+pHfayF#P5)IS1)}&sLCWi(lBKw^=MWaC84MXw}1sw+_^2%Ne8q3*PZmYbgKM~TF zw~#mD9df%E}a#_uYMktuLU%yD%lMF|Z za?H3(QNEEcV0&RJ3024m+(#AI7BG=TQJKrY7j6VbQb}UU+VA+p;S8JL+ppf{+scHl zN;F(qn(v&oX0cK)ls1RUt+X{YB-6bROPkKHx+Dvqto>dNKAW&{&d1t#$0q z5~`|jcEQcd%NJ#0CYw|c4YR2b4CnT)gD$%*@>JK9$&+t}+OEPXB}IAH2OJuE3~G$ww+x{F_%QuD86Vjf<#fOZyp=gO&N7 zUM{8)g=nCm_1~Fk`5t+qrv&L0r_|VcmX+E$sIMO^It5r0}rG=n)}s(*@4-l zKIB>6sRIvvdI{-Wx?#>lND#FxDlPNoL7Lcg9hi6X5Saa^Ug|#TGmaZ@*J1S9P3SV= zu{?*;(BM5Cc*R0=6EPf?4PQ%8bVXBe0Ol9qx=J`KHh#*yOt`%18e>3xYmgC;{4mE7 zKob&}y?NdYoSNM2n@rU6siq%Mlh64@f6}^zX1FkLt0ya0m!*5hO~VV_Q~_KVH}y<7 zsH4=OHf7T|S==3It#G$-SD5&$z%{C();xSyq+^FV&@6;T!$sG_AK*V2}C zpeI!eA*dU@=~l3tWIERBAGNGal1IUjvTQTLlBgWs&xt7>&~_YxoHc%TZ~PDNM&0nQ5 z>ZA5DHk~>{cf1E|TC(^HhD?ku*UD zK3wQ*@E*qB^%lFmgYye)kNM7;+=?%?4B6do2&oM7XJ#*w*PO<@R;)E{8l*NC@w9K| z=7Mrv5mKhUZjRrS_ra3xX84+yZM576Z@jiqc%B{GKdsIKPGWPofxk$I^GQ7hh7y*S zzu5gr`p#E4wQMUUwnvLQKTkwCH1BP5JH8edRcWhi@85c;WcdG zE^A6vzwKvH^J=y;wX0e(5aMdx1x!&4cq%TBrXG}wJMT31>(wU)+lLv-%Ie8%C>NLKK;klEy!z64#g`yk#iGv3TG!biVIk(s`m4s!+BGvt zF8q8<0~xRNVFS?Se_sE&4Wu6Y36boM#-WcuXKGF zYX}`{IctcM=k+Md73s<4hD>rovYY91?PB4Q2sR+=&fZ=#3~ipQOj4sG1>j{Ll)Y111 z6eKvA2O?c%mi(ul-=?C&w(h{mA}s2C^T)V_$(&u`Rr8go+v2|CDssbxA61BZ!1Fq2+J-xl!H zN5yKr*t0acrfh++0k5}dIPwJH21yJ*_{>4LCU}Wx&@*w{S*LHmzKZ~AO zq8!M?$ztx5n457{Sw(-;s98pM9=lV!pe$NlQIIyJ>`pj96k%k*?N4l{wm7N|t5^QF zN?~Ds^2~Z)uh~Ie8PQf0#qZTQ62DwEc8b>MxO_P4S~SJYAEkERF4DnbeR;&Kz}sM$ zmn^5jFYBdg24heB3_Y|ySDcF+`#2Zo!vV64RK-J#OjF-E>f4?LOC>lK)WBwJ7-v2w zwu;So2p@3}vA-@HNrcG@^HShZB`PR=ys{oi5Z#gEQ5CG0>WNF;%*MJGBQ0eN%+3|t zL?@qiLKIW$n^{ti-u1M)x(7&*MOe$w3-R)w;&Nyw%vTou4+_%avcA@TkM&X{y|9-( zilg{to4RY{Df)s1?rzL!<1k`Wf6hLeb5>_QzpxIk$I3&38pL$R%-# z(sVbQn=#Lqr@+XTdvi#0O*GG1=eb+)K+6tBrMfCbGnpVxd~{r{=PH2QH}}(7=j^0w zx?_PJ4^Zxnd#3Mrw$!K6+TiMF&ekCnl8d|4hc`>zgQm!vKTF+<`|jolf)O@ctimGU zBtA(yO}`xX?Dy(|JybZIuqk+#Q63;pznC%2zcFvL7(@Oro@d|SaF(!A#*PJSvo(ns zS)z5fKWwq*$`&VcSqS$W))JR@jEZS-CK!z06h+& z*&>rf?D4CRW$vy+C`3twNI4?+oy2DiYZoKGGAegNOvVEA5}lTd0~k5wET7qDs5e4ypH9fCLKpV!k)rQ+ zK5__#d>+PsD(HM*?r{^3IJqaD(QlTp#cGw}T13nmk)Pl#(4LU1 zPR^Fm(3&mzyi4sJEG91(4&+t6`*jf1dn`B2u_!Ko9tpI(frWWb7);x_naHlT5~^KVB5g1-<{k*^4ZBOmfDDJy;w1JS<96_TA9vw z_TGrbL;ZewFB4+6n1GSuo;t^Dtivqv&7;xCCP`jMSPFia|gM8*aEswW} zCQl5-vxDg-#)PMrb3q4Py(4oUMx#(7us3DXErrGPm}lG?W|Ayz+NHQ5C?QdS|9MQ_ zkjM0n0GT@w)AN7k4r%KTgPmqdj;f1u&42Szkq&f9-q-!$I{K@DyFCg~d^*zs3283& z$D#Q<3nN2Ko{`4zu{sO2bd)dDfj)a68Vk0hyB1I9lYI#Y{F0kY3lgCbh7=+#!`^0Ac3%wk^WuY&2lLB9u15uwX zil6Kya3IXx!0-}DC?#0z7)=|ZAw2*>^7n~~Z_zPm)htSwP_-+;@F~25EH}|cCy5|9DlQw>2_hjx-sBi~y!S!RkFk^j^rnOi>*r3)lZ91?t`Bo!P&@m7!cA}4D4Y-m*UEMAFM`I;*AQ;0l} zS@<*bUI-x(7%tw?>tXrjtp1|)Vh`kT;dZh9z;A2P<#503QC&Z|e6pzPk?n=^^2>z- zq|Q}5T{f)nG3OewVZOOE?fL+4o8!TrYpD>d<%?=QG$wQO_%f-6GuhUBb#BVK-pO@7 z$g0^{&E(%mGP~2FH&rr=`_PW_M&BH6a8{H%HzJSQu-1S>5+vEe&A+HUuVA7Ek^Oy$->UxU(7u?$qxWl zD}CY9EB@*Zl8f5sMTS&S{!?Ab>Y6-g8RuMn_!;Fo=O2)jal2t^+s6JfPip(Pw^=&s*W83i&KL*urv- zzqj8$#7)sqeBgqAJURXG{4Df#B;a-M#NqjpBt9X**17I>;JL*z=<|Z_Wd_n4&Q-&^ zo4nX~DrIn*TXR16SxnGoE$v&>hFEq3x@uyZ#B)0y;SPR#sn)s4rTci_ec)z1P1U(z-|{%ekV+GR^bNAT`I{v^2h zSd-(SdxZj7qoe)C%Fh9NL%GVfZ>4L!#KAZp*)?;9UV5rn4(Snc?3T+R-HK&lA4YMr zP2o6MMCPwc;74?cUkkMfFY-S4*>+g34XQfNB^58NWox;)iLdE-y%m?cyuJnCy=z~$ zb6<)PxSHg0vx}OmwOH8c3FyBjy2bdff6q~A9KJ>r=1bzYZS_iiNPf@|o#*SD2vGk6 zUv_&Hx;5y0XFtDW`4KowWE-ZIw43~FEFx&8q4Eb#?zgH8MK0P@dUw_BAp8t5_q6Jw z8e)VaXs=H6*`h{7*Ho~^!h)&I$w=~|>79Zu?PWEh@Xf4XC{BpwstI<B*Zv)Z z41ItC_@q#(AMM`>SWA5?`&92uXwW{aVx}(zSiDEBjtt53#T4cuUdWCrtgQ;chm#ib&}M{=e)q@EVrZqWpf{nDfaGvE>1j3_e^>@I+Ksa+rP zbQV1PB-)Dh)t?%*weK>ChS(pp6#wiUM%JYy=Tml4JPaRyd>njuX~14G)%r&h?%5w- z)q9%|SWU(CJBvUp1$n!^`&FxRh54oUq~-72tb$9Xxz_~AZKYpM-mXK?y(G7}i77&4 z&}8dZoCv$!W4r0DyviBHgys&4!v|7&KvRX%r+3bCyH&G?vJ(m<$pPhO5i1uR+BGw` z>SDz#s}_dGuOn~%WGQxhn~7lNi&9k9tm2z%XnejKx0jR`mlDCcD$bsB6>+{13RLyt zUWeZDz(e12v9DAcxWOT4W8VPsb=s`N12oYy5(VpZJbpFyn63#S@4CfQ4>^8u>;rY-*71INeD462qN?{i{1>y{aF z^)6u9^R&FZCmrM%Th5;ipjz3X-?|LC-qkq340pQU<5WoR3o(TR z$`=Ku9rKF8?}8nREj-Fjw-n#@miibbH*vy0OL6C7l_5vi=9IN~fM?)Ta;e?tp=s0! zCBH04DgT3w)ulA_^$%t5bmcl!xrMX^!gP+%{%i5o@ZIkiQ#Zym#(EX7^94*Eh-j4{ zs3?hi^GTA>*I7Z;OA>ipuR9t$m@3bMa{t*$ZQX-_c%rJg6fgJZSU@gVSG4-xFea?J zshi`^&ob1;WFHd#Sk@YBN@~dAeYi0ySlyJXmJrF0>Qa(rVwgzwu-@`;JO?F9lEd)h z23VmY8X@Q+S0)hN3F-#s;F&#-ui+jncnb(N&N4h)hkRAoIP_4tYd8Ga77?)daC900 zM4lvFV@mLlQ2N+^r(fzULa7&9@Gw1VAxyq4$C?>(T6qJGyen`B!k&-|=%v2~+rhcO@@%}Mz@e1#43v*8q8m|KXQV`Of!V6ebTXFB* zGQ=!Q#&(`IN+a{Z5e(^E&T)aqSg7J1rzSlF=#nl6YcBdWoN{w<2T7UtpO+t%FASK7&NynC%#aNwPh=^QXMqoMx@U>~ zD*4|sT)_t$acco%973k!GaA36at?X}f$W_>y_p0~n5?N85a8EZo?o`v^QPy6O1nIluX}!T$ZL!XAQjq@4pwVN`9|Caknl+JkMY7ap-nosvnSp8+Vq-`Cj7 z;bYwiHg{W+*`mk;31(cUCTlj)2?T{8>MVU#(n-t|oW3pU7tgpCTfZJm*5{zm{;T#S zt+W5t#&rfY!L(bD5|G|S1ym5E<`scZ15!i?h>*}b2tlfVq4$nbq)P8KG?6APAVQRY z5NZUZN=Z?%K700=o!zyeA#I>zIVw{h6@bu2p{kh+ zV15YBPcl?8+lCh++wvEV?}S@Qk%iaaGNq3&OZmjd7f8ICe3fuC_d@^a*Ny2@)xfH zQ=h)}XW+iS3E)Dyk=e?+o;gGewMDv;XJV0^z!}Nk6*z&H$g5{SaKwVlFK?&1uv)ly zILE3$O&=ZtlilXD&UdpPyH`FPv2`h`9cAnGIY0MIQVS~27;@#vqby?A?uV|W5FoP3cXuBe zB(j8Li|`vYhC?U~K0-I7*aLK>CklYC4fd-w^9kc+tD*s}L}#6EV0VFb1EZ3_zVXLc zC|>k;KkPIK(6KoXp(>ltrhZw;vJk&><0y-f$Qg81CALW2;N(oN$QRhX@_QoG=~;oP zRdeWnNd9eCC-%p{ct+mR*P*D4;=aLLi~Y`0Wc5m|eQ?4)r!tK}vq0!HoDVPh-&|{! z^|k)**tA7b!0!K%xzFkLpnNy9r21be#Oa!!qC?U$x(jRO*TsK z(!SdILFilF2FhQ&Gpb?*4tiKR)ui4qJg1(mvtlpN04cI|yg;^cjSG54 z@?D23#e}J;COsfav#5~=`bMdc$nSmCIR|$jBaW~OO~JI9*8A(w+CMZ`yXMjx^VMNW zS>WpsuY^hvPi5-RB*GdZkXngDNQU0Yw&8<#HTQhR&1_jW6Z6cF;H}A*4qHxIwLwko zaP!5`)xyl~iS)i)A8j6mUMDFiwd&Rx>~qXv($gv~ib|DP%B&vNpxhD&;~o4i7DsJ; zv(y6d+h=CtVx-69KsHj{a&g^8)^{M!En6YHgZTXwn7dW1*21W9^r7~#;rm=6`cA)O zsIE?~hVvWVXSBgP7ill4!VDIn8meUi6RCJ@VtIfXJvr@~+6v_wrw^xa;zg#B(I$Gl z`uOq9pR!ute<6?m;5fZo3?AXTu8@AysoZ&^PR_J>)n{@zSGK#1?!xh4XK3Ook}tmg zqsN^(WwWddc6xGuXc&V@n6fW*-u@kh>a@8QbMkrC_Gse`eNPk;_Ov%;i<(Q5uywiQ zR7Gn3cx-j?XyZ4pkS6HPpHR;1QPM}FA21;ufBt36vI**s9M#+okv$Bfx=9BZh}5~7fKFi7 z9gXEMz>C?IOmjrtV`G^fZ6Ri1zK<@*Yz~aZCX0&9Mz5$<55c;T8SRczqgG}l-%Tf4 zW(gI>vik@=8xp6cqh`L){d$Ji?7CCS_bm^Mb2R|3_H?Ad48qt>xTIF=U zm>fJG(OZ*szB8(slgOIJZ&l99BE;{qOaEJ~JhGzpZBujeKxHaKrb_DJ!Jigowq!Qh z^g-5S9qxP=y)}9i$MdlyIJhfLAVI*a!}7(gp92Th$0m)+=4Hg)OT8m{?@I@%5&`U& zoH@=s=S}~t0M;&X&|qck?R*6;EJQwVfYKiz6xzytRl}8jTi5?%65!YHPRQ2k*sQ0h zem6!QRigTC>cz{@jtCAcIT8Dp`(MY5r6G>dogZBx*~K*8D_Ks(PF=;TumwG&>=jfe zG^Mf<5tsh*X(RqwsZCo3`ew_mLCk*IAi&A0l}|u)NKvY7i;x=#Y}a)@obH=$;qk~H z#2@LEdv_k`ISvxSc6*NrVb?*1@VC!pYM{m&DE^uUGmRoORERU`$&kx1PD~G=+E(NH zJ@C$;LTm4q`D5<%4UQQmuB;AWO^1DYc7JtF#4gLz2lO@zfEo zZM&9t=HDdTx#bx0W4|77mB04jS3sS5G~>-)N+@#ky-v~kT;@3Ovu{a>#~}FQ^8bb5 zFC>wk+A!~QYvl)1w&1v_P$S!hKq9Dw872L{VQW>bK!rLWhBy^A?nm6U1~#wT#QJm$ zL3K#+RV@kpvUU!tB0VQT^lj z1VDV&eHPKv23c-j&+)#|=MA;mwL65!i2QJu_-n3kV}$Uc5JdzSZsS+yS4*opq$HfzZjwyCd1GwkGY~W`gBZomfPQp?gSR(Ct=MG0a+~lO|5D0bBU4jYx*gv zMQAq*f=cvux)CUICW|86Rn(ym0_iSgn_^+95PodBJR)()X11G5|KS`^zV*z+;LwY_@ z7(0|e(RYpM4Pd<#i7ifKV3uHcFQr>7+g)a23OLOxAteVt#L>ECl?+P@NU6Cr zF*kw(_9Oc@MjY1NVTQpLYr5#fFS2!gsL6ME9xEHJu~nNi>w+xS1@MHih0qo&EW=f9 z2aYHVn~w3Bx%I>GElbBV;1O;>H$gdiQIB-kpC@;sbPV6EUMtqG@&`<{?(-f-49nL| z8||C7<3~Z|T5uF^*}Xwo;i=ov&y&}IY`7OdI&k#3X5@MsTQ#EdO%bbp!jy2b!r~X3 zwR@ZSqaY#)JgNl+;>v$7=8@*aAi79LR1eclkF`;ju|f*I9u%b`;|-d zUyp@kAgXh*mt9{f9xk(emU!NJus_19kt^7^8r+-<7>${=L(vz1gpYz2g%g>7`4;H$ zYZh9eNmcjy|2{d|dw;x?xL2syd-}1J_Ja4qyj@{KuX*$%d@g=9SY(SA<*!R>$;^^A zMT?mGJ1o{t#l1a~&Z**(vX2;Fd2pO`6tOf*RUr%WbyF7D9C%}ZRdQeG)TKcb8F_wt zx(b^w(=eBZRIOR&3_A-)TR!&wb2kkakKj&!A=b-k@EFr9{_s@QQK#Vu9S&6*{!%P- z&-RNvqC!Dal&mg1@k?|BLyIShxR@A23ood@Vsb5j;#^q9ypzU^`kl(G_)zH^m&5)D zPp^M1kvv<^Jf|s_rvL20I1nAYnF7`*E*-~SPTNT^ySyC5jR>ZGT05$Dl=Y=VR0ef- z;^LsE1E@LwoBl6hzm~7IKL>FA{|9!qPh~f4nO3IO{vQZ8$rmcMOrs*daQnc|(B4vo z&oY;;{ZB7Cf(}$xfW#=HE(pkMsTMi;cWBaoc7AhGvY9AXCpzl1 z(9rzS@zMzT3v|5*^M01@-M6znrRJ+9^_yW{nZcEZ3e)*V&BW}+N)W>1)XcrR-E9Fz z>TL87NcA8$UY7HMj3>7fzx~Pk)?Q&Ork?{c-#J4W_$hZ(#5vEEJ#w`K|0S3OA#k7| z$?ULtH^R6hfy)}QqgJ>79cBT>UDY5|S}$N`>&CoLjm@IQ!37lD!#YKfaQ zLy(c8o{}4m&k0*X#j7MUi}~esE~^Eu!$y}v=<_+b6UJdvQ3zKz8<&ZHsOJH1y0&3^ z){)7=NOybNz|$aeLlXH41qB6}96%Y8+2=K-#`$?Ui<>0waZi$oFs{k<`0^)znh8n} zDziM%gExPYGKD^|RE&``@|B&MgWwHDi3HV%h-+_hx+u;WSj!->)Ow6rUHyZnMQ*qh z3J7p3c<(Umc&R&62W!DH;ktMJBPxOUJvFlQMz-Tuh;3b*DV)m?Fv50ya~Wg4p_buD z=_+n(LWPSTQ`XvEKl+6By|HkI;T=t91(j*=?wtWe*|)v{6r&HELu_nzPE&U1AehhD z2shDa{|R})RJRc)&g8$GMf#=U?svBx6x3R!zO=b*l`5bQ1b{mq+NWoHOIs-BZ>m-6 z9M!%!;7PeWJ>t4mYh*eYRtAU)l3R>_AxY+NH;5AZte^h1Lj$yGi6OOz=hIV+DRaP9 z+S(k+==L2mqa$A6eVuc?(C%}#BSQfO6#A|F^ZQzXCIJ`S)&H!8j$iBapOSC`%BpUi zxP5&VK_?ZHGV|1;Q;`uSqoh5z$e9O56sd)(wHX+Uh~W?UvYB*x&!- z3(T`F&?(L(VVT21flXXj81pB8O)!9|f_IReX4`oYQ^ys9K}YbFvqTSrbU$!$_%}3- z^rST3D)z@r`Ia_S@Bwqca_ohxQz`}C>e+H4AjYz)q-T%yT=c|5_$(MjRwU!rpz3^# z!mG5Rc5VUHZ7mpa&ybtUM~O>9U#{n4rE+c{x4R7$Lg^#I9KKT=&wR-nG4m$aC+BgR z`6_b?L%<)yq}ky*l=18oIO*NnEIzLY2040nyG3c)e>$bG;>_!`XfpM~AwcImcbV|C k8mOYZ29T7!HQY-^F>~NaL3N0{Lp!O+yzyhIf8+JP0L`XsHUIzs diff --git a/cpld/db/GR8RAM.db_info b/cpld/db/GR8RAM.db_info index 0197121..98c80ef 100755 --- a/cpld/db/GR8RAM.db_info +++ b/cpld/db/GR8RAM.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Mon Apr 19 05:50:25 2021 +Creation_Time = Tue Apr 20 05:31:27 2021 diff --git a/cpld/db/GR8RAM.eco.cdb b/cpld/db/GR8RAM.eco.cdb index bb730746ec5f759f9a2c8ea6406b5a898113a53a..6329cccee0a8cfe96bbf9e8e2c65c77e06f2b755 100755 GIT binary patch delta 622 zcmV-!0+Icp1?B~iQ-4GT00000006cE00000001rk00000008C!00000004LaRFvsz z+dvS<3q9Yay7v00NH!L!>{tpl#e`Bw3;v>%G^KCU*L3I5&P)R#lb>ei{O?|`*Xt|$ z^B4Yk4Zr)3?OXUWwddvmjk{t0wOol4Qmw=bsaH}9Y2Lu`Wq;evIgDFfg1GpVL?K}% zNl3)1w%zT!Tug-))K?Y{!YJ2xm=b+EH>jvB=FH|C|=xg+(`+vxMkKxUF1evY1EfQIzuWXUFF6c z$CGTP?p6loDc3S}8i)2agATM@PkWnhS~Dr1Fe>_~UVj4Z2>lGB@qu5G1_LSj*EdkK zEG5)AT)|su&ruKX5^egxbHiJky9;!?Ad`+V@Tyz0s1Rwxw#1lA)Q%%<7-Fe-BX78c zeddgj=Gh-dQFG)wZzUD^(xcqu9N&7Yh)88ks21@`^fvUS#YFN1FD)yvJgtCIeyy~h zl;0UGB4g1~QG;!GGXMYp delta 553 zcmV+^0@nTJ1)&9yQ-59s000000040U00000001rk00000005x^00000004LaOqAPh z)Ibb|k)XiaG^aUTrpc}vm2}$-q8CwBApsIMDJn%g29Lb>5ZhC!s{6(9*#7^?`FuXV zDS!UKKX2jp_Oic#KTE%Mj~Ludw@>v>oRVfIUP-%?R!R2`UVqarBj~TL9+lBq^C?eC9G*T;`J}`n8NWThC<#n{zI=cu+j7Xye_rKb zTVaI9)Ot+Y32TfwVXZMQtTPsbIb#voHl6a}6Gph}Hc>OcJ}*}SyNcj=_yU>O^xgGD z{oxy3t!WMJYJYn;C}=SmCsWelg7f&RUKP>K^SIWua|mp)uHtksgQPogLy^UH7}sD~ zhkzXdfi2O|VsRKpCV_|EO0jVF^R>1>!fn|Gb&&_{bx>au84A)M85%cB z9Z$Adh8ta&r?a+YusWn$1|L|vo^;DseX%K@uqx)6Uw;B=gn0#{^+8_J0RuVu_g8SV ziV|8WSFtMn8tnxZu{=KT-tgASbb)RcoMfvEEOlQ96(T8Iwiu;Fn>dofP|M64S>Yb^ zloKQEbG)C`&5`eXX{pGU9_^;@@vSc%5vi>O-J)5Ez71nBVj_D&mbI1Go~413e64Jr rB;OfpA|8>b=)pu+5Tm-H1AhE1giih=3jO`{_yE-6qLTX$ld=Ld1rz<% diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index 5e214cc..ff0b3ec 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,39 +1,42 @@ -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618906787984 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618906788015 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618906788219 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618906788219 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618906788531 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618906788562 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618906788906 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618906789062 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618906789062 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618906789078 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618906789078 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618906789078 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618906789094 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618906789094 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618906789094 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906789125 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618906789140 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618906789140 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906789140 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 379 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618906789140 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906789140 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618906789140 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618906789140 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618906789203 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618906789265 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618906789265 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618906789281 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618906789281 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906789328 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618906789531 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:01 " "Fitter placement preparation operations ending: elapsed time is 00:00:01" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906790203 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618906790234 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618906791859 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906791859 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618906791922 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "36 " "Router estimated average interconnect usage is 36% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "36 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618906792469 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618906792469 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906793250 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.56 " "Total time spent on timing analysis during the Fitter is 0.56 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618906793281 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906793281 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618906793344 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618906793750 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906794016 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:19:53 2021 " "Processing ended: Tue Apr 20 04:19:53 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906794016 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906794016 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906794016 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618906794016 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618911772581 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618911772612 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618911773628 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618911773628 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618911773862 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618911773878 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618911774175 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618911774175 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618911774175 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618911774175 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618911774175 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618911774175 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "1 80 " "No exact pin location assignment(s) for 1 pins of 80 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[9\] " "Pin SA\[9\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[9] } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "SA\[9\]" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 436 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[9] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 258 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1618911774206 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1618911774206 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618911774346 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618911774346 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618911774362 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618911774362 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618911774362 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618911774362 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618911774362 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618911774362 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618911774362 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618911774378 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618911774378 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618911774378 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618911774393 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618911774393 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618911774393 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618911774393 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 461 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618911774393 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618911774393 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618911774393 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618911774393 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618911774425 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618911774487 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618911774487 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618911774487 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618911774487 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "1 unused 3.3V 0 1 0 " "Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1618911774503 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1618911774503 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1618911774503 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 38 0 " "I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1618911774503 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 41 1 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 41 total pin(s) used -- 1 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1618911774503 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1618911774503 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1618911774503 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618911774534 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618911774971 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618911775409 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618911775425 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618911777237 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618911777237 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618911777315 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "35 " "Router estimated average interconnect usage is 35% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "35 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618911778081 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618911778081 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618911778878 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.70 " "Total time spent on timing analysis during the Fitter is 0.70 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618911778894 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618911778894 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618911778925 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618911779222 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "378 " "Peak virtual memory: 378 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618911779472 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 05:42:59 2021 " "Processing ended: Tue Apr 20 05:42:59 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618911779472 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618911779472 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:09 " "Total CPU time (on all processors): 00:00:09" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618911779472 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618911779472 ""} diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index e81467b5b4d4734bf4947c48ae91f49d1b5b216d..df86f9a87da2d19e467535711c3463e32aea48d3 100755 GIT binary patch literal 21746 zcmeEs^;4VC)^%H2TnZG|BE`M97k77u;_d`3?rz0PDems1xI=MwD}i9a1K~^Wo%e6} zez}vGoSA1I%YN2bd+nWr{p!`LYP8qzZ+H0T3%u2~baS_LcB16qVr6ILp#0)#>+qG5 zlZ%s*orjHslZ}&|gNstb(#^}(!je+e%)*|MgHl7DQpeJqQtGR%2YlqA9RhrsSFg;~ z|I@tMd;8z^O7p+1&+F4XlrCB+&zCK9O2)QtA;=AAPV_&cNaXk45b~;u&zRDneqxQH zmo@Y$l9v2T>C`qLs~MGmc9lp>@Iew=?JbJDSj%fk?RUumIWOmHMn{|Oos}JaZFd{I zm|6u(tsRv?0iaxyJOM4Ejk}&=g-li>b}lY=osn~z(rrxe1oM1C@tT%PPK!bF#!s-E zfUEn6v$|8;6(}of;nc@Unht4H9_ihxEz{mQ$p3>s`kY1+v@|5^AKxuHf0QcBAIEL6iW$9J)$u_e=hf0hH?rBiQyd~W{He-=`vk}Wnj z=4_Fpe-`+(ja&a)@P97-A87u691F(U90u~rJRqx4$F;NLnlJwxw5?dd8gD$twFT^X zd1DvbWr^8<^#p$Wo#h=*fi@IP4FP~@c1;O$(nfIx`-?wReQtD7?Mb$>&y9+bSCM0>gvv{F9_^g zTYXxx^VebF%<`H<*QuJy!wOot89jcuP=ctR1Vpe348j;Skkp)g(U0_xI*ul=`U~>v zumoLa)VhiDwhm1ZQ2uLn4aTNdGpAd@Zw8Nq z?Kg7oj%qq8zHAj%EEy|M$isYZ9GN zQ@<04+7ZIG;*n(j9*oFDBp-sDau=00gXeaQOEtUs3ZI3SYp!Wae4r}()~h|(2qgSP zeGLCFS4C58*fsyE*r0)zOC#kX=QE15Sa^*H6lVsEvHYT?XEiG*>r;PmhZwW!NjV+ZJ5mAO;mLqgyQ>@iTN)=6xOp=t>%=x>XWN|w0 z1hagqX+#dvGRM**XTk}?0ft27il1JPbDNRNIYw*bw#*<-`YBFDA$m##8mi`|3vn?X zcBgPX1-A5;yjOxXG?=0qlIYkrG%Qdrv>&}u4bEGK(!o4bp!!~1SN-q2Gb}AV@Xmy$ zUzdBPSMJIwumkluy8@;K7q3<$(XT{@ea`YI7 z;hpg3-TS0J(voW*pfwguo`fO51K9Uaf^Q_<`QYDMwLLXnV&Ig#?NV}W0*Y)e#_RX&8i4t&;38k;^q3e!=CaN0gu zN)`Qc3lMpNkKW`3I@m21b3iPSkziPhX+M7R^O)m4 zSB{ilqK`RMsdtC3RU2p zF%p8+1D_af3(DK{r%c+Uvys_7spwBqzpFNjf;uzbi@N_5h-z!izQJ#Qr6U%0hlrha z9VIYTQ=m6seAiFL?k@A@vmQiU0|`Y8y=OP+8?6-{zH(NW)O@+5A+pND&s2JL_ahYa zOuCWb^6>f4x1lP1xEZXB_SO0R(@0&T^4OG0eI^XY}eXMW&TA~L9@QXuLTDEiM% zm65!Yw9FW)3I5l#{*$Xqb!6gz0mZpMBy6B|fmDZOGhB`mWw)s&GK+iN}jj=I;iuByKGL(=0xo|Qr?ePP7j zt5eUytdBdvk{rc2e?>s`uW|Ss+rgzHa-PwJE2qMmld&}Fleje+*g3_JCJBda&bYc^ zSVh?%Gftj)SMlICM7933I@(;L7745X!ZMA#V+tB!4lfo!Oq7|F%{8O9Tzu4(!ur|g zKc~gI4Y( z+2MB=(jf7DW%Fni%p<0x1MxdrSZlk;ACO~%8`b9>FYg8 zx~#~K0ZU;a)MH!s2V-x$%z9aEam*t$4pE}a_^?oDgg$~XYERBF3}R~2b9uKxnm^u^ zhvY7TH4eLb577;QF}4%I3OBY*f(!Po1-$-+K;FNZLz!2r@0%@}kXfx0N-!*MKJ*hE zswGz3UPK^l6=Kl}S_AUJG%HDZ@=Ik2ck8xXRnKu=WnS#0^j05u8ZKv0eZ-@zMYW)% zsp_^L?G;W8<4UMl4iBq_?U2j&Z2$__b2;%z!MW2c^G!&@Mt*OH|Jd?mZfzAS1%-;q z>eR_wJd0`%-Pql^m96_Zclugcr7A^01D$Jj`|8dMXLuiw%e^Q#2pNlcqqbrjwG3UV z?(Qt3(MJ3hp%qSInahVm9+?-fXS#m7?JomNr1{GWc&oPhN%{tk*>HR#`9gWn^9KfO z^&;i91lhBnMHsl{<9z!m{Cp(7^9hS#v{XP4!Ban@Xws;q#z;VX8i7YgI(|O=tatNj zyI$TB&11xn6JuXA5#Fi{9Gx02YUEy-p{Mb^otlfG?{83VrvATjfNQ(OjuNj z)+vMsU%H>dL$z7Pp>0^X2!gJzTvx><3!%Jn-Rt}Fva(hl^vyr)g4brRK{x2!VCcpJ z`(j+cx{gwpPW)#|xryQZN4xNOY=S8~Yo5CAGaVhdY)SA)&5>)idCxsl`1yrv1bTOe zC>?iV$Nw1eAJ^0$_E@-pRo0IQdTW(ulSw~suB7m_gR96D)JS_ z=h=o#^qWfMo50#$S=3AnP8CUCvMWO^r_A}N-z4&h$h|-qEN6$DM`?4#~TwWV*cKN7+eUFZWMo|8FzBYLAs%gv{f%>=G*A;+?mFIO1*E;2J z3zHqq{1JL4iuZxrHU@{qWSH*eGzmF;jm1>nDw0b?q1W%!8)YGg{aFnp&W2!Y68uS! z#ORdXS%I4FO2hkPs@|_!A5F3`)F(;kO*r}Vz=Z3cl)Z=%G-yUz^b##79DF?Zlb1rP z4RnPw?oMzFB1;Y`h*54>FX{Eh8u{&6)U`9}l6h5oMZ5m7p$4b`6? z@$$oprpgo}!j^=3_9^8<0IzHyZ^o-9EEvldtbwlpQZM}d6f}3{&Ey!e3JD|xNv_`K zbRM?nX&@Ylp?aHcnCp(2U7eDl;h!_p1C(m^s(kv&J#_cquNTNSlaA1-%+_l^#4pj3 zlVxW}m%kf+%-xx}%0)BE{63Id=$v^Wt*=-|x&ZECE6Poa!C24}ImYo{nvu7YR6RXH z7i$l5#HoM%Ab37uo&MN^W-GnsX#@Z+*D1d&Ur=J#x5r}aO%b(hwQ`#2Q-{;V4OQXz z5!@0oym?YTI6BVeaYp_ljt|NHA=b;EBQGJj)@u9ShEG0n7+dT~_H~*`87uEG zHc8)!2o^rJLEAF>nXK!zcj3<%j7|r-9eJ(Mi$-#is)NX=+iw0ujJ+hWR{iIeu~*X3 zB-#x8Q7Le3Jf*ey8~~toz0U&S_;{o*3o4W>yH+cpn@cR9S+QcDwRQ}7qksv#_#;Ns zl1&Mj_IzD(J3G(i4e|ou5eog3mBrUTk@aJDxeJ0Vu=oTAJ@mcVS5sYKHSJ-d!;Bmz ztPx&q^S3yMcS9A^clG^(7zSmd)AJ?3XpGFco_bC;(#iV2Z@I(M7tIj{4_t_(QGUSj zJP@IPYsqi)GhxrSI0UP+9weCFV)_?>#%)y{xO}l}Twhpa&4PU?NkB40#*k4!+os_c z_o2|hkeN|gN23KG(S4w?NhvbyOV?0+b8_?u=%4fTk@$;GA`hkGcP>kOH7=m;(B)d} zM$RzM|CIQ_KCGlz&F-}uI{?*aw;qJ{)R5?jvil}eX%EaxLCC_VpMdF=|LiM2NfpV* z{FwZ-{ttVk(lI#yUIg;)x7*mOUm|~TLOe2Dh52d>JhenBKLfYg{M-_k-&-ZyD?m#- zmcPk}g*lcls}5)FoSf={XIKvJ%qCIPXPPSD+cjXuJ|k%Oz8Dw(Dqy^g-1*g(_Z!;3 zzi$G(e{?b3kTB%W!K0dNeR5M!2PDE(Cg!P5TB+@+YumK|Mm@Nf?=2j2Ta+%0-d~TAh#}ne)}q@lYsEd*98%fw476rkW+zM-RlcQ-k5s)=OtRLV%g7{P~0oOB=1t$2Bn}@7DW(I8RIJ~t7-#uq%C87tJVf3`7d-tT!rV|zM91z9JL&I zQB^60BvPxSoTy7OL&Gwe7fr*mz4vk*f}&oQEKdAxafJWX49sWe7zDEPTY7oFc(2K5 zCsfh7ELd7O6ALHQV~_<+^nT%!OBQGIzPe7O`;o*BkB(b+50(?MDsLOqM{##J5IPlN zd-jzVqRPC{ek6%iAjvT?sdOa%(L&Yv$~o3hlu$ACp1u(Jkug%sGF1 z9Gzrb!^k{p5Cu~KN$%Ufp_yYqT+-o0RJR1nt1!x+xvsa*{Fa*RZ$bpR8v~7Y9gjbz zW@og4TGZ^je|w^kWxORENZlI;@l6E_(>!r0b`^q473047I(ar7Ja_Hfo8qMi?7jh> z?RATfWzv+jnJOf!7V!Eww~al|&#c-&^bU0Zn^&Sse+u_1POR9U`ET*1FrSk~_Bgd6FT*xJ{<9@16`t29; zD<)%yZlky3+d;17mTJ%X81r5KFJNQSP*ryGw7G)Iw-efjlMdq7Nxx@vZqdLQ(VWMN zdP6tczqd9Bz6hgO9N;1#e*%+#Qm&pRkwVf04Kp>0gy`|+$r4#r5p`{m z;42QkGsA-S#k*g~B1!9F@#}RwBU`qd>U~rTE2n)8qs+ugq){4+8vcF=XH7Mx4!$FJ z5=2+|lm1cy59s25_S9cKF%OFjx`+pJekTv`2rp6S0;SJkB>W?e79}K^)*e+mzmDvi zZzzZ|l|(o+EsFV)w-&wi3ATd9W*u*(v&RiKt=dF+kKj`Dbz6|IUZyIqX}+qHDLt?= zUo{csM&S9RD?EMs*Q7%2%a;sc#e}NbvvL^^&k+2*(@d(khi~ zcbuVkl*q1b&d@WL?Z`@)DlapSK$R+o*-oJZ&e`e zyw^lI{IiP(bQOV`sG{0Y)#{R5%hilkYD!1Nff6>EsBeS zEdSNSHX*N*ORiqnfRhUQ9+St7oZeb}-U$JL@!>DeB$uBw6!@E5-`+;ZR>rNjcBDr( z^d*c280wyqk2D4F4@8w689UhlDX=5k#|5Ka*$E>f9E@3rMz%3EF>ILcbRmvZJ7!5_ zc)q`U*?&WkYx>Rb7o%L#dvb}mHi4r@%uG{@@->n=;6ac+l?MvN$7l7Li>Yl)7Ng(W zmgM~pPG-WSREOSePd)q{*Jl?Ij)$Wprq^c!?`lqX)%h?xWW~yQZ4cm*pl9x{z-zBK z5u@@>1=AV%!XoPGeq0gyC*WKBPk5BTU8h=;88<)2h$RRs4j;V0#C=T3==uKjyk9?! zbWD$=X;QK&bU#2H=v1!`5A+*u`B?4AUpfzxqB*I`x@5|jB97)=P3c9$$Y|f}2d~P) zm8H8tqpZC#2z-G^31?G>5hi69n37GkklCbBhj8JSVdr+Rq44<Aif%gTJO2PBBIjbhTHlS6nst!&mq*pi@!WPBC*D2TO(oHod}t&$#7(gOA<+( zDI2nT!c*ujGfR7uXfUODklyvo?;HTa+wWh}7BtY|br`w8#zmoG;~-IIA0VPwXG3Th zx8^N{FJk^rtW3kaP#5p_0axW5s{D&{{m5iyjbq&twTXNN*07459}dt@BWvj6QT zKkDHMnQPTAEM43~xLC+Ms-KaF*CiQ~>YEkS8|$nn#eq7ygBt8M-TLTlDH{9h1XC0b zKpZxYuddUf?xyqneN%6`_xsnMrNhR)(|9VEp%Z*fyO-$W)fqd0B5k|(dvQ!Y^~I$p zm!jVh-olISq(7SE8-I$6!^^Ot*a!P^k&QjG^I`Je`}Tye#~)##nOv;c5_;L^Q@Lve z$Um4qVe49Eh^s`(`0!N&cYVTInQ>nud}J9W4~`fJ>v8Q>q@vUH0`lCuy^)e7lgzEM z8I>^kv&g-#Db?1&+!!!!!8fJ0c{hdIm2Apy8K5qTlkrhRwY-<(C#))@!8c~-ciOTP zUbY!mkQ9JOw#??_Wn~%{4lH7ZveoS-8fvLC%;2|CrsEqs&e>rXb}lR90@6tAh>7pI zEN{YSGt-+hY7rcpY6LRXwK$)0r%uEcN6TB0P!J2=q6C=WNph1Av%q-~L_p{`Az_Y% zgD!%C(?AymQT+1hqhcpejG}5Y!QdkM>^HJkdn`&vJUxMa$3Kla^j@P67v|=%T)bmuLi-S$9I0R24J`1OB5{^{(AB6~DdOf`4-_kGoSQ(esm4wGfo z5Xu739VX1M;MqxqF!k+m?h@{hk+I+;pl@*nclCt@*U7owRPPYkkoRaKIO~V6W_yKX zxCpYFB0Pa@yz<+K?Y3+1SgW>_{TqiOEe+q|QEn=`llPyyq;W}8!#|CJRW3BShk=rQ zCzkivV9R5i@oqZi{Q@2O9Te}4bMjR(tNX%Hu`dr*ib9&S{nL*^X5lB8!J)IbKE|@d z*(Tr(3pw4YZ?=%O&YW7>FVUTMb0ssj3~rRZo(Dl%Jtp)g)Gww+5Z0Y`%U3GsbU5zhzwyhVZxQow#d3$-VPFJV+nY7!<3vSmq%TGA)|bllf!wJ zF~P=T8ThkxA|RVnlr;;=YZ=(pI??*slAJEf*TF>p(BVbbISa~TnNOXS=sYI$vsDoa zz7lJ#VRA~EK!W#fYMpq__7(ZU$>uaB^lvD)rHEXIJXoM0@+PC*OQYn`MDcK;+p=ac zt$f8%@ldJTQlzYPLi8@9U0S0ACNb#{Hhtnd?k}csSmC->d(Hw0?6%zeV_@|w9>@3A znfhhxv*b??1{_Z3wa@V*LfXDg^Va|;C25TvtTpJNsKQ}|l1Tf)<(CYG zh$nUttQ~F3&v>vpBNcv(zgIEg3zlCk9@M{lYBkrwxYb+6e7L@O3SE`OBbYyPI9g2o zX~r1QY2@pq*9-uJr!pjan;iP>Iu2A{{&R$20r~N5`+`?wA+6D<>C)yt>N}PZu~4Ix zka>oJGqsU+Q``Q{YP}q$7WV1B7zLIQ7^i)*85JjVDL*;%HWwMfT+}{K)yU)4ObNY9 zfN|<=y4zAUH)<}cd_s$PPEwzsyIxo30!{Xh;Gut78{Uh!Tb;&mC-J`Zn_N{%QT&Li zD$X68;l!ga+}tSqah)Wh@vDiJ%7HNGzk{hN7yC-^^EVX;oXgMP{DGN=u`t=;DD^MFp_E1+hsHky|YK0(QNmU z=iH$)pcx3)7pIDKqOmjjx_O9n^C3ZBw-=w%?H?<9W0tJNY~{1c^*}8t*LK}mr(U8` zYj8Wd@2nuK)7DRNnxOJlxL^Ohn?wv{;yh~N6h5LHbuB)qr|_0C%ArVYO-o&9a|67o z**>jgSD+wWxuoV=f4?p~f0pl@@J8V<@q;>$#pH(xe6oP3-2vt=Xd)3y9rh#KVLpyG-z*314els7*|PeZdO&R3fPp7|hKgm@K2yWR=K zUTX>-jkh;B&A?B_P|L|xGZZ_bOn^D!^!t{Fvm>S^_Tc@E53s!kx#T5{3k^xtOtsPBb;W6&75f%c#|SJva5o~ zwqRx-@}ab}@$Y_#RDsus6V`+6oCN-7d+SM)0lcImJCi>SO5NT((2Z{nwa+VG0;^U8 zuLY#JNE7IkpN$#DXG^jAS#c=IPiR$0pCzVs}GuLh+nT_^v0x z*llR`^WVb~Fv_8h{c2$Q*T5zMh_mNN@WBjUEkQLRcr(F2hj6uaC0pk+%WiwzSG*B- z=IXU3S+*1OByi8$Qk>z#Ir>XWdr(2>2ZPTBkeSKFr^?EWW586Is@-W036J?NZ0;n&T2sh{1T}E39v3bp}FLpyrXgsm5@2(pql%Qk-?;E&Cnh|j?{+Ocy!f^a7Qte?uVi@_#1 z5NEr`Sv@6TAo#0*@Qv;$okLK9M9T?^zE0!AhZzXrv5(omxtj$U(my=5uGaH(xWVU^ zF9`BzjGrQJCfm303<`5_gyk#L>Oa1{TAS5~je2)bDBYkTV_ETwZ=rtw-rr86#CVK! zUW7q}n}tak6Q5t${``C45!0SOjMk7ASx)BN3^cLVi$FrzeiioFI=L|*rvwZN6Lx$F zw+r-2eZb9bHu?LtW3^zT>e&pFpW%=@4L>$#Vrf$vw>TU|yZqa$^r%|tu=#^=E}nz; zM8SMhKsn?qU8BuvPo({w*V?qsWFlI#pvj|h$%CT{Q~Y4l=73gR0c-G3DYj>&>2F1w6dMVi{P~~wgCDnEu{COwIiKxK z);n)Ea+>>-Mm@;g6g3WW_V#ATm9idrTL<5XtI)Ye&bZ>P@p`v(szL8(q~APHI$~jP z8VSa~8RvQYacx-14-s{kr}`>i_!?5<)jfZPE8DVVUnHa{=jA{5b2w_c6!BN;!@3LJ zo3x~(BL%JUJU1CybzX$n0*z1JSj#Z;7cpK!F zT-fdWGq`!C%>1luKNY&`LSQ7QCCI#A&yj)eYj~iL7~A+k+)n)CC$9HaDfL#}c8Hp~ zdLz^$<75SxWa5wf5`0S9Bzu~g+n!g>9yhj3L8>d5`o#_+d7Fl#Tbi4fW$d-?-PCTt zhnY=gTDxJ!OtIs4TVKX+!8Q}?OvW7GJ86nkwmsYax}1eOtS&InR*;=S=*B(Gw~O*obQT7mo_ zM+IfyI%J98=Iw*xN}!}hNnec0{CZG&{|QkA4dkWGIPyo7v5G?i8BDYjY5$m**Vzd~ zG>}s>vQKu^%Inw~^hoxD5=)1q`xn0*H0T$Z-I6o%1dQRYttW%%1Q>~COI7fPZSsrG z#A`svXTHWO9D9eV@@lK1BjQyLLG`~?z&)tQh1r`2f>DD?TPS_qSD3vMIgWDbLl8!# z#8(mRoXMle=5!Nrk4VZp7vU+Xh;)1bLt2O{=CLxORMp!o2LUSxL&$ul7Ml37zy4pW ziq$1-&_Q8*$PZ5YDaR>%1^dqi?gwgxe|-x5a6n&sfJCC6jvw=u{jXkhln+J}DY-|O zJ#|=HWm)#(ORe{hg9dIV7q-r~_92EcYTFtnN?F}}*WTV4FN}MbJMM7R(-j>z-;t#T{;7T|L#kFy_JDwdKfll z=Ch^b+jA!;FLqfOvw)nT%IVxUJTXm&Tf@oNYgyBkjv!9z5n;b^p^DZCvOQM@btt$Z z;Lg|=roPu{%zkV(bKK3cYBEx))5w&}4abvcbr!L=2!diWu6Up#C&i5IOmxM~5;s79 zT=z$HK59OB-Vc5SpG2F81nm=09FmkBL0795Xl<XswMdXp^L`)p8bzaCorov9x}I}vobcMo zPHW(OdL#30);jZri2YNd=K)fMF?%8km^3vqF`|BEK+4^vqBJVsBm59jL*L=2G62TD zhX&eYx``NCAQ@+`+iX`9^VJ~EnhkOD7t%m*bjxPkRrU`c!n@fvjL-vjGOxlOT#3^g zh9MK?Gf;MjYk@sc?Wlz-DWKKfX=2%QZ}J8eX|lF%`UBgC!#Ht=^1$t;7D8oisWyXr z6eh&$aJRi*3dP-|Ir$8^oh9qtQjZJx)=4H8MsJ4tg3tv@_Ph0T*;2PGQ!!HnQcy{z z!DP>xez^o7KmDXiSK(WOszIGMtU~Q7S3kWN|9lNiDm`V-4HT&w7^YpK80x|Houny^*o>Z7p3{M__{WB+R)k zUg9z|E+gRc`p#i=_ZZ`*#0Oyg2MwKJFm}?LNplr*(_mAFQxqGN{%^46e1f$Ten0P$ z4}Qt-1YW!Tm-fStW)@q;ec;Sa9kx6@Ur!}+$KP|>i=n`pfNypy??U36YGY!(!y(hi zfS63QKET&xb?{IC%Tax#P`HLewvo&llYq;}MR`1MFy(HQ(i!K8>?7a=3BUjYO>1d9 z&3syR)rssr45}=1y4bB>U-m9{fgU);5I{GZn}=z9+QmCoaHBu4$sh_UO|u%4Z_ZBB z7HxNuT2~Ypbf<0)u5qv!_jJW|3Dt%YY-+$deE8Y7KlP3mrV!-wC^KVbb)^B94YC8C z2VKhV-5j=89yL(!V*X5b?5_aEHJ-u4DKhKL&h$!swNdGx*ao+}GiGWh57I z=KHcp4c-hqOX~d2vt4GUksu?njemZk6(a*%UVYugAIUx;)}TtyE%w613B6$$j1SeNO>S3HN?#+jI+T(^>36 z=jlS`6J}(4AfWPhV4~b6j93TEutc*<+|zd_Hlp!lMB^94WB?XKV0f{}?W#RvbH=*z z5bD=fkOK3qZtbTIBBu1`DxFtkF8zACo^{#WZ#lLmyh`iBs#M+#^7WPJ7OM40Z&~u# z_Rl*V-bK5e?HOo>Uw=0G3Ar{&&1uj%2oKcv-S0$MHdL_ePTRoCCu9Fqx1g>jsJwb9 zeq7?2wIXv{hmZwCY58?(DhxU#_i_RHb~|j76Sk+;?Zu|7G{gFYpP*q-^DdNGGlli2$k=qt-Ut+iq0T4h z3>e#lUl6Zt@?7!D0U2r7=Xpo)#%tW}GHKHRsWf{4$++> z<^kRYld;tlrTNZaYG&8TMHCHJoGc-efDAW~bK~9%$`W2}(w#{kc;#bahrj$yYd>wQ zwY@(UroX)C(7VO{Qy8b^xlAZ2+=0`F;^$|&1bm8~uvmW;lW+&{k#@lWgCAh1Dc-}d zI%UF1x=FEL;*%^gs1pv^dM!1q&j@9EwsDQ5piDGL+%#8ZY~QS?q3pHYBkp%~cpg*evi*YcYAZ-X>I85dzqa6!cl4&jpqg84u0L z*oXjBe-GXlDL-Z1q}2GhcqBpMF7hqq$#WmluB`o7JzQ;4(@YB2hMPS%hEH~3hX zE4OBwaRpcd{v=2k??&wyLD=^%oq8k2+Ic=4pudeuQ_waW6b?I;tfd`j3oO^J^)BL( zQ05Q+g3~7bt%mEK>8M5A3e`w)z=~b@6P}g{eyU7PAD3g_{X3DtM*p&|+6y28#*)ZK zEaet;p=RioW{^dVxDY!mNh`DTryJ=$U=uAg(2=i4utfe6H_F{c;SJV^Fcl`}R41wXoo+VIFNm zmILtjj&(r+*mOyKa7TfDb@l-tzyJ&i_}%@3RvC2lhDc%zG=oIfu7ROH%ZKG0DU;+Pw_@Eqs*&tFjdC0~fDpp&7dRL#} zJCn#A%j1B@9P$e4G(E@W8$(N~8{qT^ZN6UlRC+c{*rTb@ajDg%YdwK?0b zDMPn40)h2YxF)BW5DQpj7eW}n3S@4{dm>g82gF5Yaph6NCq)9p?DqRz>Jk@fGP4*V zA-baTq}X=u6&Ff@jks|S;{jA`cGIf7+;=n^8yUl_sQ!^$A;ol-Zsm!7Y5kF+(cX^* zYrq7SN6oUzTB7E;_E>MpE^**AK!F3W9GplTA#|W)8m(6VB1DSb6O26~c-zha(^TTe zyuCyi>YZy^>F{Q(3DD;R1a;Yyu4^~k$1_2nmG5H*xz7^MXsaG<8EZH&eFcZ&?O&<{ zY>^b~TK{$o!s{v1St{R@1}mo{AQNV*F4O2YA_Cj$U8j`BB6O!UuQPYUeWtnI_s5Tq zi-?OlP!{_DDqYr*mfH$;-<>5vf-#Mi(T<4bdP|?Oj@}8rQzSX_9Tj9BXyPGtcbGEy za5XP*NPG1_VBhE}TD)}>N|s8zwMhvWNWcg+d;+pgVSCiT6hr!`2BwI?t6&H zq3&&vsQGP0mFbj+Q{p9fM77|UEVa~q5UWX8TF85caH|Z6F$_4Qc98LibKY%2ei1DG zkTsjwerCD43R-A4r*@3^m^dl{T$06iT;uKu;26o7zi-Lh-+>yuZEpyQsLmLBs9{rh zNS}1a$hl&2_++*0zDvlKqQ;JY9G<B2s!mXz)$7+T-`*M)sna?i40H6Sh(bBvRBqn)u})i4 z4R8tfH@!2IJJj2fRfHN*CYbSwPcTWrZ&C(5d)r26xTc>!?cariJC!765T#SHhIN&2 zK6SSU+o=Kq>jHUP<(5aA>)KwPxF}rRtnS_Q)jL`3Syl+=zrT)D*oQ0JbDHz!kFY_P zk$V}lz_a|Ek{%hAbv}ihYK7?1zYwiON4?7W2i1xIAo=yVzVonjfw`;f(YOf*EE*Ib zmlR6@S6r9pefJIDg?v^d_zqX`3v_0r;6mP?YkujVG%k|6q5yuiHqwhr#PB*e*bkh-qp zhmNev$$DrVc0k=!4KPLjk^&MZL!c#^&7I8P zNM$f{Pa>cdEq0^)c0=-LX`#icj-Vi>Ip>XO@8g-LxKqVO6q`NrUBovM-ku95+(!fX zL4sAVuG!Z5jMEmup|D-6V`yM`pd{(<0t^Cs-qpREzNd+J=kiM%6*HX_C!?$HFC6Dh z_c$lO-Jg8oB)N)=J*PB)BYr=PE>b%58~vDLBsM`5@8A|BBw-EMU8)Ogk&-vuK;=k} zSCAD>j$?dnr7lD6V=7rM54U7XDu7ML+@#Vc&0D-9WIyY=B9@+YgSH#N-SOtW+`@bF z+ZgCyAGsVKKMOwgs;oj_ahhl-H#$HuKPEP4sU`A+g26Drh(Dre%6h~YMrXAIm3=ZH zylzN?CpPlrgd|($Hz1GtY!|3qqbphNqm3{gn|gOEYLG@9|3T356_VK^mT$sd(8#J% z$McsQVXX8Zwm;e`2TzAbn3)ZkcgDI9e~mwE5k;FvXb`L`pbnC#(#8ucw2p4f7&7O2 zq*E$SKBUA5b2YgPY8bpWpkIM!#6BwXV;Yw#Y?yc1CM(dH!ypFHfTVp6Q6B{xEDweF zITM`eTFkbz7wnhc&z~>&5?0gjkV-y7$?@!XcO*2tI` zLC<_oX9M7?RAM9(O6KYEcXBmb?!e#c(dT&~B*O)l1dC#AL4y1v3h|-4Jx^G4)`-vQ zx4T6r0zmnlvd;1I-s^ZaYozDS-EpJYcxzua)IBy4JQ4z%$nIP+$4hw9t?Vb8ew82R z)tnZ?Ht=zGd@f|e@$%q9q(bv>Mv6p`pO*r3mb^>nVwU_e{B9T@)gDsWa^KhZ@h19B z&dx)|h`{=J|AX9M=CC~ZEiB-_Ja+0wM_ehhr4gPvEVr20=G$Bvr)rrEISL2VG{n-}s z?SHLekR4M*khE^}(CH1K04g>_m`EYY5S@*qDL8LDyy#_lZ(`reT`B?(vJ!=Pl{VuCCm@jZ31W()jeHI;ztMPn{UvsK*`~fW1?5w6+k6(2l6Sh20 z4<>jP+*3C88Q#0XG;S*pR&Pi^zFLI~g*vO@wl4NkF1>vQV{+zozv4BH!m|%-c6Yl) zS97fqu$;aJ0g3LJ2Sj*2yALLOGCq0uS6F(YZ9+O>%2jv}J`Z6(YU9|}xJTe7|=y*_KKfscaS=|>h7bM)yHbL z>)wVX>UIlg85Ro!FL&{UH(r7czp3ne@eE}iq~P#=HLDyW+PG&=c0*nUnV{CqlyZ_6 z-8Y&KM(7vHIJyiX*e`w@7TAL;rLd@Ira~qum?kt0Q;|l-topb4!wn+0oSQDZ24LE`2b2&04%=RDtW)UVuc9TDrT7u=8Xs zaJ?rBPuGQawBUR?npbu>VN=h=1tFEkL#@7%|8UxVD3JQWuo)ONgZ&+fpzR~YUG zZJrpDN1fdFuz!{B_EXYrFXbqovUicrGfqFF z1NVMmuGV(H799Fv?;nLbF4&v$E9QT%mo1vxwCYFyIY!t6I&gm#hV?M(Z!vq(kB$3A zi>ImI0|56i;r_LyUX%BG;Vdqs>}9^eT!T2M=18{3CxjtBzoP?pjm|S>KDPyjeg_M) zsr+T@m8*JF`kgP_8T$XVPY5{0k?8x%8%yRJ)o%74a!TI8!qFbsN|G++RvrvLiq{4G zttf`Wjt5B=_in~t>ba0AET8+?_#cy}y2{^RM&?G^r|uYzdf%t*JI;<&z3&l*IdNND zQ#HjWv{9d>SiL$|yhZZpZx0Lj7!Li2lf>uE11=ROd5?>DZ|iWWIQcKaFb^|atX}kE zZs2hXRmfILMeR8GyO*DqJgrN&_Fu^={z)6}4n0*lvQu`z4Qm5!{R4T7TR-T5qb{Ff zJX7ZbCF=xjwtki3Zy#Zq*V+AG$`0Z!_p7@qr~Z^Yo9AB8f#dn=1?sO_M?5F0j zI#vD#(WyaRY90$W>!|KWhjAfg2e>~c;lS`5;+1N%zYF-(@2jj9+Le1{h_*vR zy$f{_TP+R#Z=RLM)H2V6=&cws(ncEsfA^74DrtdDOLGx1lz^H>>?J#q6M7KQ{oF;suUNLE$4NPp67W;?R#Zz4e_PZTfZ1H%z~- z-%$t0Is-yxR@O(F5{KzD!`E1a22BZjN#Ca|EqAkwpGLPx0Joq zxtZq0=XdZ-tm1vMcLyEY{NO6ddr*r!X3Q^E zojP{^rpwFsRFPw8-1+2fLBHRGdy9qAP@Ik=^kYu9g*dVKn&OgtkX9K<#WMph-wAS4 z`WY`a&x-LP$pilS;!K;AJUefB>b~)(vX}b`n@6PX8~L5#YlLf?uVKgbfe*7gr62E( z^NjGpLwxBorY|`%7@wK{87}27{yO$!{RnyVBj#7!cY#aU%es}vrR>;Va+t@OycF&$ zvKM)6^HcPLXZrAELBCZ0rQU}L_aw>1j#Rzy%sa@J26-tQylfUKZj@s(;wL02@dt{Vq_QYX)1}a6b};^(NkfX~Pvd57uz0{=x4k(QXfI z;YIZnztZ;K!fx7Ouf=6_Bc<$R&Gy}rSE154#*WXZZX3H&^00Sb$y_Jck+PRQ&b_GB zo9Z9<3;tlZRK2`wLCknWXb)XC!{!rmkoP;;vV(HYrTl(#oqt`A^&z`YwR+n0+f{A0 zQ>ZtFLqF!bw`()MPwB_nIL|=3>fKYAA8Biw?@C6=G4tXlwfHmoT_z0A4Xoajey0g{ z?=aT@tMMlKe1ZAe7Lsf0r>TCvpD^!Ldz{$e_uL=t(4*Zhln?N~ChYld%wME(!S^ff zaQ!3Y&wnR7SOd9Mo32a7d*YCvXREIWZJffH9Lr<;>0Dv{N$da{YY){?{EuwK?}ziI`2VH& zwXlnO@bXdNZdMy}e?h$|J9tLNc}po=A>0P?zyA$>n!=eJ%Tv6ZAiZAe>>ZbvvnB6@ z9=vd0bWsmp{@jz77YetdcsXW5yc{R}Shp}g7?+oeB=1J|J5Q;8#QQ48xVV;TU)C_K zZOKzO)_vY4UiP05FJG2^`%jRUKSU^cPqk>%c`%7f~rG9CuAF+0@rM9zzJl)5Y-*v5BU(}AH|33=ej*u+IR(l>G{uad6 zhnN?CSGb!)y(#|QrStZVAUa?#TP+o}WBred=Kr}sKi;Kb%ryN{^7yT^kM$Tob_@Dj z{V93(Q~MsN_Pw~HeRoj3+*{as4)VrrU)sauO`?6Tmpr@AOSSKBh2eX5#!ISw`F~LR zXfs@jKl(2J@AL8w{&;VMbu-g%68w34r^VmdvVrfH882=8*>7rqP4TB#S3E)d{i%b$ z=d2+7og+I~U+dxz?q1%*|KM*6@%Psb{+_*p@b`P!!S}(s_}fF=*>`}t{BLvdcWVcK z&sstF<2}_+_2BRC#ow+y{cj`jXYD~hkIfIZSG`w?rj6R z=Vh^Gb=U!5*y#&S+cefzqX%pPnfk?}>2^L~MS_ z`=2~}w(C>;*?G%j>z&lg_cb0UnXHY1P4U9>hYOvbj$1F!k~fq;ch$@DT72K^7`NV& zWbcn;OIN+ysorN!u->1j-V*}HZ9EsMm$7D2_I_NlZdKh&Bo~>oyWH4!sn|ujJybX= zi}?Zm@&?J{zU&v_UODBb+{f^|r)zzZ^_h1HcWB3Y9sT07!o8sdhkn1pUis-?0xtDD z<<-LSdjO{eUSjr&i(+qW#tC@}N8MdGF#jX{UZ%~kDgCw-mN}c@V)Y{L-NO8@t2@;m z#Hpvt-nX>yr@G4bW4|GuU*3V^xyQ-EZP$WBzf+~3@4qQ~@q<;hwb_e)-*6bPFOqG;=zY%65ZC(5w z(o;X)Ib2_LuM_+w)xHabyHYaO>+mbyOFK=tEjn=c)>Tf&n7v@0pbbv040%ewEo8&f zB=4M1uk@W^tEJ*H$>H4xn}?<9-BuX-rCpz@m-Q`smmh3Qo{EcaY1>$v`9TWDb1>G7 zO=b+IvhugIPVb?g?$vtfceHSuYU}cQ^y3*w8xH;U7KX99i$BH%v$c)C&kMKt1oeB1 zbmN_YF8zpAHkWME@8iPZTP82oZaP=~Z+rM@!*TxM(rt;hM+R(a+$WBotL$u97~w7nHc)R&KNT0B()L|T}{<%lC zm;o+TFZ0+-wUM{sc>nY!ZEf)s{Uk%v(;+U!GZ>zKP$wy+AN3vN{3?axeN6jp$CNzu z>!dAz4pg7lHn?*qc^?&^)pZGHvr3duT2 zICLY$Q4pU^lUQq$ z^viyV6cm&i!4C>rgRn_nwy*9-vis7Q(?e))dgwvyr3!)|6;$vdh_`}J4}xcV>QRc| zK}7rmR78j%6lsey(`1v-fDeZEcIG#~{msnVoq$1@1b{p0!ztY01X{_mXJ!hXF9Ma} zb6MsuUPw2OR|(0h8=|<+pImh5F^!hXXLW8?7B%j|Ren{A>q_{pY3qXNNV}@%rKo7> z6m3d@2-;z0v6faB$OSj-*Y=0eMshcaho1Up<2Vc^uV9usm<4oYaJ^q_qoe4VC8WI>huSlvk zN3IkaDX4c_iXa4b0cm`&@aq}58vU4+!Z-cgY_*Y64HF{Ji|63|!WnW=7Z21%0Up^A z7{$}>`o}fuT|GzrB68hWlVEx@!5_&I#K#hFHbY+KoMo!`V8>60Xil3-4*! z(FNPIGNHDtQ~~?b)6>oC-UBx=wU(T#QPur*8ZM@_?idCJc+RiZOBs@tY=zQ=GNL@j zjh)XqqH2>Jj>tI5@4G*>vW%E^64%QMqmMt9%Dt(TC&<#60_*Ycb8#pOsHG`5ji;xp z%Wt0J2ipdeA@E8ZU>NcUOuVbTpwtF1Unpw)9hB|nTu0y>0$%#J9uY8sM!mx>XjV4L z_hWN-gU8w^pTaE67=vS&;>FTil-?R=J;pfnq5tcj<-ZiCdr97H!ro;R}UY1tFP1=7FLeb+|*i1)Ouensb#J0yTOV~t54}e zN7sVM^NH5M)A4-S@p0EJJKO7%ot@n-zPpsDY$`+bsxlM`K%YG^#vPvy9KHGFBINI-+lL| zf}Gsd11+H$zd4u~bW`-dSPq^(%0|i7A9M_~;hiW|p4_zKMYrYrr;&{!sD~0U z5KiX7{?oA5Rj)yn*p59k|I;w&PIQ^2=zj{BQvOdf(B{(3T30%*_@5?4pZhWRi{-yl zAd2+U}*nU}UdM5pIOGHGtPcUAt*p%yi z!Qc@6mjL@G&eP`gcdhDtQ9>0w`LxSb`+;6Ae&%F`pAi@zDtVg&>jEht&pLZfA3XLg zA@%MmhWafP;y4wzS+mhzy7qbXsZID^JzWesa$(h!B6GV!jr@3&+Z;u@6uP<%4-OO; zyaIX-d|launQHBX;bgjc`8dG7>(Q0_^F3wFlSw%a;eI%a7EvMSl$fKj`Yf;h6DH7Z zO#E~}R{q+?E4VlWKn2fKIQK*_=Pz$p9`Yes2%;ToKET@me6LBZ&{MBE^uQR1_>^sE zar%(=vCiMgxp~gXsrb56tiFuENC4AWi9g#=Ku47gra$=KKREhHhGi?Wr%s)9^GZ%_ zb<00<1qh9l+oyC0`JP534c2flZ2=(TH{m#q$|=)~{La-`rUEd*C(VUpgUr|}h)iw(Rh_}A#^peltm(C z>a@Bp+qrVR)U}YXuvDEb^mEb2=y6}QB7VEreo6D>I4&}5yYKwUhD#Z&D$lNX1#Q*$9+#V)YYMhOcBz;!pm*Xt(iyR(!R3(X9|!oA+O%(RZg;Wa3s$ z^_%I77)-;h7kW;WAQkYeT(&AsM9$LxhmMLvE7WcOK*;{qaq8=K>N|7XEK==N&U505ktfAs3$^X zErD0}R|xSGzJ-nSz+WM|+N4DKNNPa50?7vTumlY)1k3!Nha<1*Ba+M^#c-J8E{adt zEt+DPQHH1Z7g@4lz!=flFlgOawQqWso){6U?S6v6a+$35MeFZG5l(_zuA2b*_fme^ z4imd{twpth%F8_vS)53<5E5=XG>!31N}@$;`bx9JDVA5X*kz{$#gUMzYlhLkQXF(o z)>-oBo$&!@P{ckkR+U)=;@4Dee9QSfM;Ej_+d?dQ4C4^QgID3un zTQxeR1!(35S%hD`Hn1^Z;FIORWR3GYgPieHLXkC!z6-CC`Zq`j_Zzn66E-u}s7Ml* zA~q7-0W_=JKyS4_9d*GHaxqI$&yBNmqUigGkdF7bQJjud^7@Y1w#)8jdB>!Mr4#ys zy`oa-97AYPN@{fr8dfb_$V+!`1quw)gbH}@DwVGids;u>7M?KDOG$%vq?D;}gS1Xh zBsNR?&s(3EA2djrmrolb)Rkgm`1yT0R-?F($~xlFL?d9~A;98Qy1Q9wbXx3c`;Ri# z_!c0pjq2_EaLjctM64=1W#y(i zAdr?6Su3So!MlYkSpvcJRHwq_zFjG%w~T5ZT4#=nd}L?9h2Mj}$s^=8$s5Cn=|mC{pHK1YUo`TKA+@3{d}d~*xQyj zv>gJGzl!7=+WXBr|~KF+H)FPCmxe4)@9KVuhs^|5uX zAV8k{9hD*RA)%xguJ)Nc!iYT+tMVk78mO-I4QOxA>rI>W7nL`SjtQ*wGW#cq6?md3n{LTXv#t2mZ1K^viUoO zXF!zVP&j{_RmaiJ%tV5l5|xD#Z8|Ze?BHr#5B>yj?DNY;f1j6LCezKYq+PQRbyV1goNBx-il8f_pUJ zM9oi47jnOq%7UJq!j+cD!rPGEk&@FrsS?QjQLqCiAnDdMsd+_o**Dz2IeC{x*E99_ zSMJi!?P|`xzOc?NI_8U|fz{(913=6^4k5-){$-t~I(-AS6)z-5MFW8a23q)lxI9EY z3EK`RuaObTM}@UMWDzNko|JqbuY3Nh{t0snYdr7WTX($5MlvthipxDQN)Z*y9O(Qh z&{a@cYRMh+twJl2u!zOOP@rTYAY_uHC0J}O11WvAt~YmVRrT$NyX&1@m%w`J;=2xu z2JDl}LVIZgkwLfc)&sF@v5xI6y%XutDFYBA&BAfG>j5*KoZzW1c|$vLPikO`wVNY= zLa(Vp3TdlwqiIJDvpeo>48JqIc03^lJm~Au-gyNC6;C zW;-UacQHu`I-e&y`RE?oUSQ~2SbU1tJO;&sYW15m%9zkDVy(JUhxaaN))ibxi}Nw$ z1*{)(@w;CtyGkBOI<)|&QiQ_6{*6H!xjrkhs5E;ZZ4z;T>1eEc*{TFhI@w4pBgIE7 zW2YWs6O)y_vgMf}5_p1imY8LKtPiP)O@hY{o!rk^sEO*NL~`(HQ1w0HCD9MFa>o|7 z#ZAxgmOPF(!8!++gve|33feq-gJG_}bQO@?w?VTY6hdA@y(QRNkFizeaNY@JarW;@ z$`T4;w-|QvX z<9>g_0cK1yUSp-(Sc^0}K64(4mXA#9Aa3m8bFwFEP({TdT466D1Hm{_~7@{2N0!a9#}@TC&OJK2&TVqJbb zIGCnQjf}4hz%QlR9}Wv(=5X5C=_^upV?wS<4}R0g_F7=j7QgIvSdikElJVq*i-^*? z31;j8`aK9Kq)3Sw@=C^_TOFU-8$D>X2 zXSnj-vX5+Z=uOVHSYK{8il6j^J}*t$0ieI?vUB_EWwlOY41bti)8h_?}$7)1Ct4W_x$+)XrKRADhGN#9o=j4MK*E|e>ij+lpDo7P!T$3P?7nO9dK~)s|dTcoGPJf z)AF+=b7`*8Qjx_VK+&B89|dLm&}>y58E{?o?GL1;80b$3g{z$kD|0Ocxl*WzLO=JN z(WcLL1y?a`ALjEjO80p}%{<>T^ok-h0KV_(?sLb^@AGm>=A}#6`Ze$7RV@}G+K(!N z-|)B`K8JEls+DnYTarhgy-szb72>?N^TUToFK^~u|7z4<{wXe0iuu!2p%A}Pu+$u# z9M*>nT8@GB4PW$M+?aa+lO59n`_|tCaxA*ibPV?dNk2;>Yw_RXTp^7iRVCdc{_#RMtPA4UIe^vaJHGEu)92LbhDTsT5D zvfF>orf|XfS#4VefIqv?Q_NTh-G7-fVO)Z`Wr(qPwd)(hZ z*T&&+MTK8R&VJrj2!#X1Ckx~M;!=n5dA?&j zQp_)9yY5+++>go3AzzJgu%EDk^xCSqV03#}bVL6R;Up$f{#pm{S$J@@G8d*)XySJZ zh>QW5Z3b(NohX9q$LKm*i`h*F1@Gt%jzn0{>A6~e%|d8N>54nGp&x1gUZrd01jeu@ zo3N>>MRD0SPYj-9>p)~ARyyOD2bv?e#k6dv-=)%{WOngbT#~kgb8j&G(RwhvEsrQN z61DC1W)H74 z?RFH1y)pL^`LZ}fV)GihojjV6s0#Y4iI#;k4WE=)C7WC;gXu=%P0}-l6za+abP1`y zETJlzO$p^|O7EjdGrgo`^(h(3Xc%wmzeUjCu&5WWc~*+>{;iU5YCum^)g2sU|6JFw z+49&Pv;5vBkuxO~$Gr|Q{u|obYubqAor9h)+r+!nHQ+eLrT_e+GfuX;vE#NKOdoyS ze28Vt(p)hzDZLD31^aiP1fC(k%iMqH644M(xs>}UZ|fJ*$;bww+W+qiMIEoR4UYGd za@Xy5Y`NFJ?tWS_{jQ2y!p-CdeClg*2UG4EL#2pDzBl@K)r8f@`V;}pKse4qp={k)C6R!f-#Jf4;HEqCrD;fRLmge z!S0hb*{Nq~r>maqfdiIJElG0bJ^19xnJq}+qA1YSuef1z1rVYW^@XQe>A!?JY$j-^ z$HMK}Bbbz1A6R9UV1mn5 zgHOi{*FCIBxVt8fUyBFuE5JjNCVX{Ej<72Hvz_H0*KcAmzooE01N-a;rWzZt%|h;IDrIlb;~Xky}4f14F&aE&IVHfwdlJi77f+ z0+Lyi=q~fG+tU5?X)%wBlO;7qf1GBly&Ld@lh=t&-8%}GkV#OKf*!GYm#!!z6(}Sa z=L-}XW&udO{}P~fHqBi41NZ&>=+tG~0PCDIBVU!*0>z(2{~srK!jY2odkoDukaahN8b}tJ()c2Cu(s!X+O%OZBoQeZv73h>XKc!nz71J^aWt-f^>zg9(TO) z$iuzw(Y5W}Vlq-fUDrFfe{fu6#B6@&eZ%HjefBbOg6BE~#e0nY&iR(`I4wsiT_4x! zTO`31YH^c6{SjV-{$u&Wca94V_nU#9wND)uI&fG|x!*S?k49f~zI7Ejbl3Uvq`Fl_ zuDuw{j>6o)%K$K zi{JJab=NlxIc4$L)-ee7{7ZTT!&n3bb4Am~RG$->OfNDvu)o`u>mf!#UTE88Uc9!k zzI9#Gp~2B(~M*l z(|_*k4gAQ*P^eDVs}$H=`?pJU553T_cBJyR7l9(z=h@CPl=pLLT@!BR9|e%=sK5%4 z6D+GCBhXNB98AHjlO_T=M7>RtCE3c8Wa6BM2I1FaMAqZx!=AswJ4g{^b1+x%KO z3Qm2=d*nd!gMHJX`F3)tknv08quk;K!kbbqSt&Bx<;_cvP`o@h0eF7Me`zJgJL2EU zb|+t6C~V3x=3A)9de=U6bg0fn_7G|rY-Py$_n+-s|8y~5Ce5dhUW z`X`z?v7q`u9||39nn~6xam7TPj$Az+jYGD~CaH({-aS(a&idz5Shb?j_pDffi7I_1 zyh^}7n{UX}D8rFHtOx!`9`DfclMtd>nQKJ!=V-kN#0tb{lmJp>@;c^vmF6`^*g)GWh|03 zAPSv!yH@YBJg=VM9pt{S-;e-5t=n0q?-~`PpeT6uhYrsNM?&-8YZYS!3vC?3ulC7v zOGZ@xMu-vP_yPUneuyaxSCcz=h{Q z5yo5hZNXc~KhSX+IT?{#53N^n<{bPk4J8u6K@1IP;U~UCM2mO>Gi6<%BGT?n(*(^p zN~FHtSl8E|h#q0(uR;6Hx#*RFQ*^TPMVuNMlhcd63GYOF41kv~{MNn1o$d7qTSDZefUcnS6HRAD_48$lH;Nlh|`FN;_W^{l)&3 ze*=;I;nv*6`~F$(2PFBRsKcdB4X$v3FEnkmp-`~lKO#edt3CLJ_Nz0w-x!XSd=vtp zmjAyv&zWSK%}V!2?Lds|<+hw%*3iw_dh(oE_3Il_r??44$(1le$X}u`b{)Au4gsTU z27o@CoM1bXFU>Et+j~vLe|b;TvN((y_o!*=Ry3TI^8M`my}?8I3{{}-)}=V!DmP7J zsnrNp6viJHm%Ib46T?TPQv_V5G1@NC@HhKd?|ZnT{`&lU1#|I9*Tt-ohLdSnxB%issKEIg2h7QW>` zN&O$C>@wLHjkbyWN_(=)j9kCNEugE$rd7KOq{|`xA{IuP#v*r@mHx}IQb^|MA73xR zE9Xf;w@6OAh!NlZx-p z)@v@K5ZZk3zU$bKTw(f!M$A1p#>@MSzr*EvKTCRwYp0+x5{qGjC)De4*Vt=|_fd+J z`bWN@-!q=*oTLa{uZI@k<20QG!447uY0lkDux=tL_p0FT{4&8Q-?Z?fDH-x>!csF( zGh|g9;a<18d`(*xc14mcz0O4mw%WS(WB9~hM6pGe*Taa<_Yu>y(vmL~xL)LTBvlYB z-D2$80k3T}wh*7s+kj$`N*el5y%0xd9?}01yQ#oZ$0{?_RZA^z(*G!Nq3osamC0JJ zDCj8pDCn&FMUKWkVcA;vGO~Ka{&y$vAGUCrQ?%dy* z^ga&BM6&a#+ksn9oc#LC;<4481rHf|(WvF+)C|E$-~)BLi5~pCHm!cCwc5tuNH+S? zQ4I77g&*Gd(HO6sD9$kQA0R)DoZ(Q+zb$dJa)Bk^sIG|=*w=6{`JTY;%Zy4svI{z- z>HQ>+0+*m%0~EWnH zu7w~YG09|Ve||-7s3OuU?Pgr^0HUcEsq}uGDOe`pQRfa9qH~1j*ulisZM}=Ww7Da< zO0j=epvcfB{akrEM2!Cil@DE%lb)~Pzc#qIk%O^FVObh+Zr@$tQ+F;J!x=~_Tdw5o zuH;qt*vp@%ZyITIFB&E=;qBJ12tLe*83Yz^>5R zmo^l!1leF%E%1A_gd&;u_|$!DjZsGT^ku73gr@+waP*h%#{);5AdbLLvuVl1$CLit zr1j&Fq%xqFf!XJ0CF>H61O4)+#N_+G)e}JsFe{Tx=ww=}ncjW?J*=mC;?{aR5TLhD z#Gn-qaU9QqK&R4LfqMH#Nr0E?3A*~PtFGy&ZQdr{W!9i3R+z~O56?4+=;7T(mTRx-MpgYC-e_K`|wmfHj9lOFc&EBfs(|i|&b% z5eeXI>dJySf%P$&3=GGl*(#tUiZt|SwdP=SiEX0$H`z&saK<-Av$tZK#djo$quSP@ zI`CSvX-|63L1Buu%vC>{@9wO}>42ms7@bL`N~(%vvfk?l`!ELBVf94Rs-5fT(-QOe zx-H+R@yhjml+&iBc=yy_wRUxS*ux3YK9uKc=7GY#XWO$Kt(XKy{$|h^kHj-jX8)l0 zfY2oWVDWzocDr^d@GL6udTg#7blD^6cs#8H zjt;fcV?HCcH3!fIx$>6ZKDHvwc^_gm`Bo`rVZo}|OL~Htc!2(|T{IxMb<+5fF{&Hb zQKCz}k+Uzc;cP0~*=n|N!7o%2yIR(O1mV58*mteM`m3Vtd`6ywl=}wy?q#T%ob?1G ze`tBTZ+;j%O_y;^^JFQD@fgwR;qY|(?v7gnri;twr!+0{R0u!75;P~6uGSTKkDdEQ z2rwDfronQztMTZbWWF~Q8>`){nNr%nemJ~gcM>xdYpfTeUMCB$x6ap;-`Po!3^Mi& zJor>k$mtpHmMRS@E^GZgG8!nS$LR6a62?3mjX$D*tV|92Do49KzyovS9FbN3b{&;T z6>UC#KAbY4(4aU^8D)-c-8J$0-N;0Z7+$Y_O@P}WG+JhQ5(2(?rQFlhG=ZB1(J7kZ z1>o!t&f>*69z@PvPFkmU`Sw^fkJX;lZzzHF2LzWxXT4p8O;8KfgDi4;EJ< z3~DB`-~1Qb&`qS=eMD>In5thd#nZhZi7ppoz0l83N<%)sUm*lHRTQ;#x36_}xaW^AhS>^a>8#&%wuw{H zaOLY(8Hl_!EOYbhUO{wsWkccdoFo6CcwbiChw%BA<3Ch#k7enurR#*R8>XBwZ4M+5Z;VKr_evg3vBrksZC zO#u>@sg{S|TpaV38Y2&Ql5}XM>l*BrXge$GBTsJ`1XBh1^M|5pjI>_Ji&mS~vTl!? z_BV|oYSVMWVVd>9?|d#sbED0H$E)zp7DzL66)vh5&<$}-sMLopqzFN>VEsbRc(RC!(2p0a~G{1#-(xPo9L~|&AvHeE{P6hQyzpu znMOYB!qW?&=>ty+*wmdnpW~VY$G+L>b+J~vfAHw2m;Z5HlKL{-F5+|vzoFU&#{B!B zZuVm08)nI$)p76Lal+F`|%WP+h{6FTKuG%tEqq)W5?&bZp5*YdTN1tPja+^^#9EVR=wI4&WO&tDElV`O z?myI`;UD3`M&t->K9pMM&}qH_|8?A~Hxj|jR5_p-_W9byCQb=iNG$_;8s*|%dGYv? zPzlrpk=eGBHFgVu6sTPbA#tDoIF`7ZZ^*eO<~5&8J>gI_qh)H^B?9!?O&xC0>DOu+ zzfhLbKiVw)4v3zPKrTwY&u}Anlag?7psZS!>mkplDS(vPHL3mO%mL`x?4x@lPNeVA zNHtp(WOj`mJzCx6Zf?>}cw3e^S%Q|-TzFkte#r%qPawS^%=A4(OY$N5F1nm%*wvCyYiy= zksnhBY`agZ!2WT-TF#+cix%|saHDntBJ^oNXkZkZrN6S-Atq}hfB zzmtwui-x-X#$0vJ%UXa|OZL}tpc1tNamUnWx)J%28@hHL0^Pvh`%gO9HMXTm6E9$Y ziXXK8VznYZcQ2=u2@lQ5Fg>qp%pFxd>r3qWingXTiDzt$1o_g~yhVz}XNg(t#uEYL z{TK49hPD){vm2x&*HVi4#*r7+{cobCq&c&GQGEQ14Z8LJJn5XwIjSFU!Xe?Pu@B+_fCWH2zoUXah_AyHL5MpC1kM z6bL?ERT8ed-+igc8B5HRbVx98)2psiU>x*#iEwWz18?b zfP)VNz80tEB4ZHfGTJ2TStuodptd zPpTo`yNq@`{*q-XU3{@0oiiNbafWDLTpjvN8uSIoczQMH+E#$qT@4#AgEDo$$@?@m z?v@3D&L%Z4ujoVxi1d|4+l~Ho@bc^(p#uM2)gK5;KRBl{Ln~FD?{vRn16g_ZoD-R$ zrz(JKRKSpOc@U6j_gQd)PZPq*RFiOB`$uoOc$efX1rb16d;s9#-vySJRNtl}UD`#r z+m2YV4aD)H?ACeoRC*{#hybU)iRxZu1dxgk=2zk5Nl?f00c=X8FP#W3ej@@zH_a(U z=s9ETJkzm8=G2=fdDp@mHOD~b801(A`O1-VT@fg)q)S%agN8voTYbro^qdYQ?^Mt5E>kGN z=cXeT?ufBXYr#l{&mfkO=!=r$a=kE^e5j7cD*s{^3#GDNw9use&|RS^c(7;IDy}M( z@cEmgAW^;a;DYTN;4PYO0&~vAWgMbukC@V5KY!^?W>ZtLt2fe07nZOMl+>n>+qB#C ziQ91h;$dg zwxjxG=#yz~1*zCM_TGN#m(JVSi*chgDAI0sHya0>(x`8L$lizka*jtN=LtLpLj^|z zOcMmK;BhG(lRr8>03iqE%y2vW)0W^KQ&{)4wt(M-zUyhbcI&ghz+iL`bamB=w8NNX z<1|X5JIekx#4wAlHn8az#PgjBL&vzgh1f2Q$vZj;*Js41Cpj-zzx!c^< zo^ujW9={qpc4kLP_p(t|E8Mb0JMcbmYvn=fU9Aszu2#|jR9V1wH>;f-K*ovDJM^bkzv+V%tU1s=H zQl~_E)lKgAloxI_knwH$5;8zI&&sj5+?yQYdO3Q`k<_1~3N2q+DtfxgaRcq%9N7|I zrgSt{sDXg~%aWapi%vH?)n?0ui%s#7n!UMY8k1`QXgFzJm17 zOHn6zQb6GF=hWP^51`DPliMu%75XrHGQ1jo+G%+0QrS3l(w9BN9B@HUkt|wFb>ore z!${Sax&8s0M7;Rar265iZEMG0O9>=39+%SDz-iUCkef6th;}njYns#d4=#cdmzddS z5R`Vi@))o%?zh2&0~A+TtL{xp>4f+`X+3nRTwgd{bAL8{ciZI#I#t+y^;hPAnz?lx zBPt0EXtY(vv-mgWiM?!absiZbE$$PILrJs&4Aj=i%x-blmC0R5{0B}2)RcFHTu zKQ5aH@AAj8?PgAzogTN8Sa2a4Go6AGoT@fP3?e>bs&aCMgN;x}RF7<1oCDmJ9a^f8 z&f3EEyP0U#ie=X~-nf*4L~M7O4toL5DxiemaI#tGZ^S&k@=i=79B#m&?ezGmp10=1 zciFh&4AI;Q5BG`ZgzMG3mT0y`U*GwT3rhg*{E+~zBu zHjv#u-UKoZ!YV>?Z-PIUZ&ZqpYfYD2e4lm*@Jz_wk$uq4$D0D8exNWaJL|qG1fx75 z0G|9m+`bu!T)_yBg>hm%ixQY&>*SjGLSO1``u6evx|BmAR`tPK#w?WQ@LjRSXTdGT zJp&z`3H(j*o^itMr!b^zo;;8VY*hLU#}JkG&U9ru=3t1`kDQ2zTQ;rBt%;Hpi8arH zdg<(1BI>HT7`;D#HX~uKpIif7a)N3>KBDysnf3R#= z=0G8z4@A>)cRi~6$vJKb?RKJFUpPLv>7e~uGg+Zx^Un5wJ${fcx1xHXNhgxTJbm11 zYlca1MQqvqaR$1$@MzPf=g9PL{VAeH#tB=S63Nu^PHK0<{O`Bpm9mziYQ|10NklEUncW zfLolXXaXP9Gncj>o8UPP%>v;M#PTyj3of9KH(KssjZv$Z759DW;e#j+syG@zg(t6l1@(zdTyo(49gzl29a*)nIk@}vR zcT%4PnzcJQu^+^&B_-~^RuQ!&T3*gTz01>s?ZNV6&`rEu6DGDx&ES_G-vXr6bLRI5 zcmmrTEL_xXTOY`kQ+KpvN4wt!q&wzMGM#4N_!|gWIXD;>cZ*p`c6&0ox-Q(GY5-#- zbiQ!kz*UxQueN65-MYlS2Ww zg#WF0+KVk;dJ^B`oS=*IZc%*R6G~!8CDa--n}K|C=FclRZS&mO@OFA2U0T;2bkuHGWSc6>u0 zREGaUE4BHd8fhrWIWswUi>dK?dq(|6XOrlR6$!j068c%7t6Md%+}CV$PNM6qN-uq> zJ2R48`4#krJsB5|!x!yOh2~}i?XC?dVMw_Z*fTfm3+=fcwPh{go1P#|6-uA2!f+b( zdjfsS#Yfbk&pUTo12Fs_>%_qFKa{ARPv6|nt(}|Ba(_BfC8&U?s7O(LQ`myRW5M0{ za7*{t$HHth$Kb4=jxiyiI7RSkv^EpOToFQO(k!SU_#gyBuPyVtE^x~eQlUD-EdT|) zHU61yGB6$yw!yxo+3_9cO?jo|)Kx{y1*B9X|A->F#B*V(al=Ry zXPbDlv>Rs_uutbCj~(N>)9~&^q?j;sHooF7Q!5 zL7?iwnQFBEwi(Og>&t7Ax&SjVOS@#vJ|N}a(_2=Vs680qHl;7LWShm{gQ@=$=g|_} zxgSllOp^v5snZ3YErZ9NTm!a!-nFFU;|WB9!?Wkrqmn^QDfw^(!NrI(iYw)NW^&Vy z!w+5%r zyeH#c{>&e6Y3JcBnzMRpToYyXERJc{AOHM~IbazP`SrcoyP-7Q^3rUc^d(_`@`F6K z4Y=OgK4SiH_Y27s&b=5^Lt~g9ku#|9;y%$Kf)mZC)qgs=QUX8!=-_pyP#la0#VX7XUF=#8?Q4W$Etg(mk}qWqtY*>B7T zAI=6pyOeK4a+0Cn?tIJs)OGHH|6rsvNVE!p^>sP@+UR1OAe(m)L3!4EjuiYRLB_G@ z(lCq>df!F1sR{lE>Z_*P2;b#?u>#p&Ff0NGWxYH21v7O6S9C6e!KbPhm$DY6OPVSY zek(dHybT-thnbh%&`_A(+g9fFT(3OudQOzUF?ABuTf!+nwR>im#Aa9W1M_ryEdFIm z{#e(smqiVR&(&ah_Pgd4$-q{HjC_`^jLsc#VbfVg5QrEX)6wS8m3eR49P2e`OLmUU z8XR_2RZmVcUPxU!sJr#PME;P&(O)pN_4PP=|(^^*e=4oT=C0t4_wKCE}}U z3(4A0ojx;4C$)R3rYF;k$sc*icT|6U(p@BGZKkF7Hpd$pwZyvb3;K&s_d1CdMa@XB zqPI(LFZ!MepP)j$>RPwmFCS0Q|1Ldw&E794CPlc<{RFP-J!v*&1dlUhzc$62_>Gs^ zPjk`z1~AqDiPb00y^`ic(S1}-9LRT}uONyK)ZQ%5GZncV{*! z7v{PeP@x2(Vsu^S@MRAR=imh*Ge7<(})-6^aE(ywn={oM)C-g0-O)thBxb90oCryaL&a zRvVyNGuR)0At2LBgkw4PQfoy|j0`&@m|5bvmFpIxNfY=^KD#zEj+^`-iu3qo;SXZ= zWp{nwy+~M_7PyZ{Km^W2SU{nd2>*QUjx5BqQ#ttI=L}Fw(9E_}KzOq!A1AqE7^Qp3AO0V= z1jK^$KD;~744!VMo)F@|xYK^J>P$WW;9$07h`tt$oKSDYZBtHziP0($vD(*bF`Vg+ z2oQKXlm10{v1_x?JtAP%r@UKlAP@pW@@e2y>1-a&IJaAPY~4c5x|IR?zPv4mZieo` z30If{1PD>68ZI>9OBYBcrUrpBgv@(-Zu&@l@|C8!LE9kP zAQiVSZc*+Bpv`az>am#qL8g2yNA!A1@Q8>b0%|ybZ5sR{KB9abFWq-vC6!m6el2rl zDE_U#hB!HIIYe55Ya%vLQ7P7F9<>YQh;EN_o%I{ZF_q6IN?OX_+nrz83%PxKnsWKf z!0p^LBD?y>s+8{cHTvn@j zX;NmnA=p?tj44^Ulnc{XS#A`rDPrbUnp@_skhxW+mYL|7l9l_un;VJcu8g~a3K^~_ z2&gECzV!YB_jAwvoag+W=lk6Amgs$qu9P6%URZ)LX0V44-1Z|V69U6pb@MSCsG%Y{ zwg@p?2g(NA@ujiGJ`0 z+;8dn%k8~^4&QBo=c-sR;}Q? z@P>-;-@g=wD*AI|$U%~P^9$wCxOYVX*#69UqW-zsnQf#q6*j$jCy4_Mw_Vi#x!w-& z^F1|>Ao~o8KIV$>lb@5sX5P-rZK}9y!n}41e-N8=a`VIfDQb+fK_B0t554a4vvqLx z;WBUE*A|BcEVFQ&F0|K85Qm0m_?{nEk}SHMvdTs~KPQ!gzd9ChUu7gOwdGKAh*Q?P zRl-K|7N`)THig*O^MV}nd#OCgdsm;@hbx#~F`%b58^wMysCakAakW zYs|!&p}XCLgS^%+HRWwObr+!v5`*KxA3>8)Jz89CRO@b(en)A!2TcS_WJx2TUfoyF znWav-TNH%dd|(hfy(&7SSGercQwTpxn*ZVdvWl?Li=UNX zTFJ4QdIikzSpU;*fCjh;p;MCfJRg3{rxOgSx-?@WILsT3Vn634WOp>kBTWeXF%)CU zu_XTo3Hw3(Nb8^}lgw_@@0PQxL1LUUpU8JJOGA|}x^?!)R8OQ3b0EA|6puxol=B-G zs5R_@$Re~y{kSZ;GwhCqtQAsiCzWc{cs(LfDGzL?+9%&bb0oce#Wys@uRkiS*}`&5 z^i|61j6^;_UE3GuG~(3} z;zYoc&;~yL`Ik5lK-nF5tWnZ*enE>T$`fT^#&`VOKd(?2#!G#FB8F0#j~2~TG!#YE zO~g#Sbu8+i&xfKHjd0@QI z1%VrQay!|H8Ejbg@@# z1s8-nrDT9YwanDT*wY8e5z28!gk;ZlkGdlqx8j*v)mBEyc4m$I-|A zG(#Jk?}PQqS1a~|0x?%9Z^Ql8I{AH?#K{QdIqZ7Q0 z4(=1>Lx1%@Bfim>thCHQ{K~xgjOtGaLe6&FlFqu`GR@B8gZUVcp`;l;m@NCGq0ZRT zY5eT?yU=o1+gb&lmD?aM<3sP7ykO@QEO~p$^DWJ6;Do#B1HRYJNn5mckz4R@`Nx`qnv6f;*hr%-r53$m{UykG*cu0 zD{22FU!Ja;{WkCQ(v)-6-tC-q@}cI4%fFCk^Mt-yN5UBx2bXZs8~OigK+wVJMl;nO zf!{Rx(u3n*(q+D_af*5X!#-vzrde;`B9W4`R!d56rFY$naNqT4NF{7BCXzRl>4VAX zPX5&2HQZnf8!)cQ#iQF9?8QMgAbQaBjef)+Fng&Tdx)RQhi%NQGn>oIfH zP_@hS2hB~qTdxU_@1@4<)gE;x^&(f<=FXwOIl0rVpZW3q;uTS;LoA8WFa8y^K%Tm@ zo$stcx*;l;d+#W28M2ckwHnk3Mq42^T)g+7D~^Db+J;v?pHo{(CPk!M-b%i6f_-(= z+r`!dyvc-R1Ro0eypo$70Q20);{$e|!DTh9VJ!P&7nxwP(RhF5b_|Fv{HJc^@R+8;{-C299e#_Q5j=VCc^*_~r?4Q`b-N{8oU85~$#v{5xa^MJ z`2fb=Aixi`2Z$Bxc2Xfz_M8#bQwxB~4V864 zR2}cK5Xlx1G;bxQPZ3CXf8&p2k7MuaQ;BQ`b=G+GsKGx9`F<*$99fY7Gq6&6UpvS~ zfmVBG9oT}wX6P;!x@$b~^Fl}{&d;_W@eAR@Osb;(r=QKa(q&Ri4Gv-NDCS>c2B_Dv z83b#|URcRW#SNcfZAqXTU{}zV_mD6vc*>I^5&XF+ ziS|lQYtoM(ycc8Z$#~7l5U_BoL0S@d|2V2u{6{tw8N>RP^jXtJozvmhzlXd~hqA zZcl`;3R~gP1neAK!#+>cjP#J*4*16Rw#vzZY`^pHC_3JdBRY*8gA%}knQA-9E_IlIyWqV7fu zJ~~yoi-|RWH@0|=$v@V!{_FPe5>GSQz#jO1xQ-Szl$(vu{sY8%;V*gZ5vE6g*AK5_ zSnf=Q_PQ{#MtXT#KI$1IiOHiA;8N%x$za(YW9i+)~LxZdfe^8UH9bHxJnAO*oq@ zsDi|X&!yrYL)y97IUBdeJkV-Wl!If8MHz?`^SgoWx0Vxw zs>ecsQ=_`?;Kx|Pl z2EJK6yPYAXn;pLMcSBZ~-(qmeI#(!a@-P*xb%x=CtZGRy?GAC><@x4Z5v?EzzoI}5 zqTzXE=#7QDS@t(8UtoGujDBqRTZY#NL^NNIlN%POYF(yFfX&5wMkZi>lrnhbGL1Jb z8fS*m zMopY$#pAODivJc;y*@)&U6M=nS?BfDG_8?*p;@|m%~5u+T^^=6pdn+q)o2Z8CD4#z zMszn1KXqcG$=yV6y{GN<8h%$gR(|L1^Y(j;>9XW|t0{p03eArX%VqsPR=ozzL{@~_ z70oEd=7|1!24l@SoMG*JeOI`{@H*`X^jF{QfG&nle=7_)BPYQ-VuO zQ*qsCf-yWhYW>H5zD~}v#qnD%sPhhjEx~g?irF0k&QxbcPIf5S&MlzZP&lIuYIWn> zU(E~H*sF`j8-f;CD$_QeO~zmIIwEJc%4-ZR(L~2Cf`=UqAAx5p@Qr)$ciX@1RVXO_ ziWSr56vSDUp_p)omlBwy?fU;#<8N=?N#^}Ik)N9D8-LBS=x!`cfI)YYPBMer4lXAy ziI|d*+&arlMm9vBWgRXRgj5*jP_xNtUe%n`7T6QnipsDzokCV2d4(ryxrtPboEZE4 zKF7*DS5UEBEVhY+vC>xL=ASR(!dUol*918la^pg>fw4j;B#DQBjgQG71`!u787Tfh z>PP?B1_Y)c`FE(Ne^HQ`&KhcvS+@~98wR4&bJtxQVH3l})cKUoaC@zr5U6nXdV_%| zvVk_HuWfJ!3c5{~c(%?vk$;s&}a;C8uxxY8AMy)%#I+46W_8XdNg9F51N>aP}!?53+CH z-d@Q57^{A-6L*f`&EML2y9)UhKr?i+v zYm9XQ!(^-MA>-N7&8DJ-+=2&7(%vRfhse(Em1#CI-NPBz8~0pZv?c9-9STxZN;_T? zZBIs1#Bs+)YU?*naPKu|-!9p*zvZy__n&7SO-<`*&X2H)_X{)C8Z)yC-2hHQh4%*X z?0=fkS`2nGQCWwtjLJ*N>X+Xv(l#!WmWLJuJG@jn5VZecM8z6I?u)!I{T)$9IQ6LZ z4f5!TKmJQtpb3d`kMGC;M{eu~Pac>3KFs8IYArh&#lmXTQq{$->!O7vA5|wbPO?KK z5^tK%U7oTleO8sg`=?h3#{ScIK>ylA@)q6AM9$NE>8S-#=UN1zC9*zFa8Iq#bDKPH zn4%qL_kD<*Xz;3i@=BLf*?@^S>*3_!@06MgIdvsg`vt?p!~KjIF&)j?wD-k#Muma$ z8hN!$*@Fi|2Fk}wQ#D>)OfG>r(}3q zPfOuD)vlrR-x%z*0RKP53v!{liTU}(y}sE$0hEKOr`JqC_hdPT%$kc^K8zeZ@ARkV zj$-QSJj$2``VGym2OmElb)%(SkaB)XIQC!Tn&Pd3^mefIm1L+XsYhe3z# tdd?mLKSz+x55Kh@boa8mUm~hmC4FuOH-0It;)V0xlQD@HHt^Se{2v36ne_kw diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index cbc94723780489fb956a7679e9dda77c01c5a395..77a51837f3de28abf99734672fd4172ac3b399f9 100755 GIT binary patch literal 20535 zcmZ^~18}85^DmriY}-yYwry*7V{N>#ofBhY+qP|IW81cUlAJH^`@i3Y%&PK|@#mvga z%*MjXPO5I|=xS+fN~&UHY(>gSsxC*WVfvd?(!|pFtL1Y4x36x%z@lXT3t$MS|AX8r z|AX$}7d~*AG?FeLJB_`l4O&`0KfhF1r;F3ofr*Q&(WwhI_6~Y$`&Cm7UK~wqi6Ong z{hDwX;#(2p&)Xc_0y5Qov;y*MG|pSOeClkt@9^;7Q~K*c*DdV^&*x|5)o0ri3=K1n zX`eqAE3UmB&lW2RrdPYXp0B(doKcA*jb8`j!~5!d;?|)4%m=C=58RRxKKp?d!>*Nz zXl$%2H`ekVVWOLtQ1IXSuKhto(XT99dSM=eOHmjPqsVZGJ(E9d(Wilm z#dxN|Zzz$OQ#GO;oEXV!YujnfU^aw&w&2BAGw&`u26(SU3MIj2BkkR`^UV{*9#!To ze6S?IA9D+Vuheg-*YJua8#pTlrXNDLl$~X|da57L2te45YpckFvgetfq^%z_U4TzA978b|nl7k8pAC zfCQePWf$0A?o`;G49VVz{WO;0Htkt7(Rs2y94QiLwm1|Jcn?+i!yR66rs% z^y3OVf~o8DlI2pjYLc}|BBJI!bRhJo**Fz%xD;#KbxWX>(+fFc3X2r%T?xO_WQ*F@ zIgBlSHe*{b&S>X0^;+xfbPVOhzqXom zE`9Tw`?fc(R&r2+q5g}gr-o^=WL`VD_?&|^K80tHh9_LyT`$xySmSrz$nSq6q~7t# zE5+*`d${QfG;AC(qca@Ehu%ZQ8FD|n`k7g8^TbVHV-9SD^pt#@+in!Nm)O#);s55LL!qAfD1Npg&x9;}tAf%- zX#8JOCSv9Pe`f4_+WCvSO?I?2Ob^V?OlBwv0QcCZ*Bn2k?juF|xvSlScaG2C7jzq7 zT-GyC*5;QC<_EXtV7RyvTTJ2f59HdWxMfGqsMw%L#;gRX80&7{en-t)_F1JDmJmc( z56>t49H}{nD{9I_ZDzFlug?B20dkwb7;ny}tQ?5^a`^kO0;&k6x7nuO1Q2q_-TR={ zrzB07@G(hjXQ__zzman9C1#~yr*fy@qOx1ylk%&Vf5|~aR=K?B)#iWz#;*w2 zy?wvGZF{_9e5^+W6zyP`EZMJq0Tj>$s5TWK-YV@Rll+KC&^> zxIXe~m&R#$-jb<_D*x|co^R=_BhPibw)!pQj($k~TmGRHgn+i7S z=XOV*;j{VeE5M~Ni-GSj{rlSIJ0S;BLU7lVP`-ObPo2m*piLa}-dM>o5_B=%^{q|$ z!aQdCeDU3`h9n|o-xIg-u(f;K!bijMljidcu$S7*GmlMukP^?4Z7@FVsrKuBJ=Nfr zZS{`35n$C550&{@fEs>#I*s|M45}h9SYj|b7&jlBe-Ulk7hjw#R2SA0HuW8doc_T# z?%WnR`8zTB+0Cg=ceQuL;2Pker=OGCA{Qr_ba)SD@-H~Z{2lL8bRM5{IcN~3oz3rwq|=D zw>XlL{PQwi56H73P+;JjAiT<4wR5BtTE>tvSGe7GeC3u&xTECTB&1e-?!0wDFF(}r z+RiB7K2vmaS3Zri9PuAvDJ7X0=RalTi;jQ(%(%@wxoh;-^7gQtWa)h6#kx!gE&b5< z6dG<;Q6t8`;v9H9iZ?=7O7sr#?fvnHUrfB89@C5pEOGDvjV~+AJ+VBp45eTB$ePOR zhboUpmr>qlsH8Ls{^Z9_E_KtONl#W(-*eWQasLaF6CS2~El$SDK3Y60+y7-7A1TC8? zqifddopoDXWNY9$#?M~b7fqG#C#8UACQ}kXHM}EkEla2_X$=D z$f;`o-WWezfgIEE)_~w`4B=H$?!{8|OxW<{b`*%#la zSCjT>Uxwpdf^7z4pt97(J+m(UMpWn_+TUc-1lXcn?6MWdrRlcE%=D-G?UL@`B;GR` z;pqzR%Mz)J9%D4%3rRqH&GN!Dz~zYuMfeb>ib;)>#w5>o11a-3ZrbsRq-Te&M54I| zrmh+;O@dakN>VN*0Yn1(D_xHF{Mbo!k*U+-dC=hdc6}^xXpMFb!vCG} znVE6ggE*ws4o>q<1u#k?hjI)UctFX~XrH-J&k&$gi0ij<=Lz$|7+RSA zvb`(9Qj%%rqcrlPyI2;YmgGefH`5tqbCNJBug(yiF%q#vxRbjOAy5cA*0A+DI4oWlA;ZfB1owH8a!G zP!GYSX9j;BD2^C3c?EJ@(V56c*TKO7#1HpaLADPmy2Ck-D3?H6@$xeb zdXlGtE9)FPKt^-N{Ho!BJW~ZpoJHalh*BeQc?m_cH1o{?Fe;So0h}6Nu6cMLSQ6GV zhyvlyt9_ZwLbk~jVE%Q|57O`M$9_PCOdf5%Kvj1zMLRo2Ienykkas&6%sC*FPm@NwSm@QBbOixA*J;J zZweh5IacTVaK9Kusb?{-zgfC4=MtHYKym$M@UG1nhw z@9$PW%B2c@jVq|72^NR&On%$nudd@e%=%)8)5LK6CU^g>*)SoWUnK|ss1$pcG=s7&P4ROw3EeBM$V!IACmC{!a88PWg$5+b$E6PUjs$CRA zA+u~8YY!ZO_<~a-HPf5k&X#`R7@vQH3jz`XS#3unqS1L4`WBaGyn?Ipfbcp&pki~= zvUII^{9nlqk_(3{#yG}MW*5IJ{VF2rzEv{~!?%(T{~f@W@28mPYvPzl(qC8hN~2#q zkUi{+uO48Q3QxAXfdgNzt9f~$5Z znXHjoc>A&Ire$+}8YvepC$BW$F!j$`AtA*-x`=z3=ps$VIY?>_%vLkG`qUcXbO_nI z)SW|U4Cs+mv9_54>s>tMQd6#gTM=wF5T24WyD`OgpZ;;EPFMS8kvFVe&+^OHaZL#n zlhs4^q}D`wi;0YS;TnocikXE`68tBzCy4WAn|+>(Vc{J&RdH5}NUOf7SV*>8omDJM z)!oG{L?8r7%IPWp7B5$^)*uP=d|aJw$NEC-8jHOw7(zf~L7KJ*jbVJOjF?7CyDrgW z_{f^W4VRm?USw*x=h%iKz`yI#wby z@?By(t?w;S5!r1&l*Ct8s&YG4FA=XR@i(&-r9ovTiwok2#E4Jh8yDxcSuas_r{hKc zH2KO6%Y8ei{;_Wuy2Q|6d3>LU|TTA2?$1=&<^gV8vd9bU&DMKVX+(SELzIVb(`62LF8IO#}Y=tx#evou{c@4JxT2 znxg#q);CHgU)PAJYVM|}>Xxs--5m9#vtHzuv%JCN?0sw)U2{sP!!_$WePs1A{Ptp` zoVWe)_vRIX74A7;BfRoDhTX_NhW+vdW(@3ma)*w%*doWAuM-k4H}MKo zn>)GdjvU>z`cCdTY^D!3>4IZIx8!%@dlY9Qz!Mq~T6p%!7=91eB@!*nsJ4z<34?aZlnUfEZ|xJnn2oGVB>&V}knkxw{Vr46TQ_$oWNR2*NxD1D5E(tO!5y_kNnn1h&~3mb{EaAY77k zGCAwg{H6ZXKNy*80_@DGW|9*&f{mQU`G5R5DO1+FyQ|1Ap~((PlXo&}c1FRs8TfXc zay>lK)Es+lXa9yOh$&5~Z;Sid%)1~h_N7{7Anevejmx?wqW}buiof^KtZw0EeUPlp zSE`P3d72ix^()f*$o?0qI!Bek{v(py(CN1c*A(j~*-5Jq=9e(=eAPHmM9WbDPtO!v z^>mZJ2p?Nxiik#18PEp)ElACJPZ{d~7(5U1<+-w#k97hig^aU3aykVzLry=Bh|ncZACDMX!iNnN zD6yXW2&eU-OO>m{4@b*<9_`2FU|v^oEnI55k!0;Z6)LdE_v#Wix{}gWq4^c1sNZ@x z5x$E%+eR*LJb3T@`?m@2(7tQ{?rZ0*x+evaGh8_3U{~3~$pM^?4{jn* zHg7PT5^|E$(HToS3NODJt$(C?&3y}jtNUheh?S9r;`gS^!o~o-kcG|C0xk9*<3?3rqH(9j=wNU2%tFHf&m)-P*^xwwXD**6!d%5PkFXG4Zv9*oG z1j6yFU~8i=`}l2czQ1YGQO3_xQ#O~`x4OH-&IWn6F=_Mo5K6K4EA>_O^j|X81h9`NMvS;`^8V{(hkVV@d+fmn~NgcOLYKYK5HmXtb>68G#5Qq!Ef0_hdfk zDWicD-LqQ1RFR9517rpy81qB{xw{|9qqR-+fj6mSTx|t4t`}=NYj6_10#yTaTSM#I zw%hea^Q-IJ5v<;stjwBlGUG zMlDP5*@}7+oW({HFMm7OwCTDHV%kS)y=j6s0K|QX< zw=dY9WBii@!xy1vh4$AR*H45DX*>4k1@x#IV8mI6!zCa>fkSj4A_qS02gGNvgq6C? z^HUY^L{NcU-Ie=#`L!s{T$fKp(XkK0>sAJ&r{?Vey9>1ag276>RK)9f`Ab#%B%|Vq zo!RH7+0peg3Zu_jHeHO%#m2X$lJv>j@w+A3@ zwIqHP)6hW3aU`C8yV8?uLrIL~#PKrdd4^4P*wVFs@EVEm+3M5VQtJ^vfrtO-Vjl#D zH+Vt8xK zX$}+cvAM0Te*?T$rQo!@ZW~*-fl%W-Zlv~z?j;Wo`@M7qc|C5OgoS@-83yq?nZ^KU zq`GPY`DWPK2Q9H%7$(^L`){3{*INeR)R9gI{>HuMvrk(&;?{A1uv07J;9$)_x2Y~I ztuPxI5-i7z($K#Zy?8E;s2SfzM<={hn-0BAHCYdxmc@SUWuGCdzH}0O2%O(W2WR|X zoSR4Z&~qzofVPgt$$j3CJ7v!iqq9E2?)}(cct=51LpwHhS|+Tj#+Ow=Keo7jXObD_ zUE_DnwBY#3J!Xg=WuhI4*M>3q^EMm&9R9@N)QQD5W?r)x!8HFut+xPCy|;itoM!Y| zSRK$+#+gp@zDPYKE$D++uyx6GlfGf&Ocj@*>gwMniIU`e_@g)>)!?xJ+9y%5No<&= zd}^a$ko{lP_1Qn7F3FB;3$ol4yL1*Eg_f*F)YA?j5T`!3z?$;pgoUGKHlw#@@wPh>oa)ud05GdhI69$2aCi zsFzyWpv$9L@gE@mm;CqMJ{-=)TkCdrhIpqep)Ag1nMT3XDI2>f%l_utv#|Ps&(e~I z8`BN8{wN*K#r4dZALcA)HGyVfY^i1zTmy(R`~CNNMKl!4<}e0{MZA~C)0=Z+Mg_Cr zt*y`LeV6)#O>w3UgY#+hn&K<4RMDkoi9Gosk$boiR&L=1WxtHCHhp5aFz1fo{C9VW z5dLzyEClc@{rE$T5>m&wD7s+`7w3tM_4uQ`sQn#oIX<9bkLf4VmCj8%EtBYCy+c7R z*0IX|dQoR%5OGnWnv5K;2}ht4%QM&@fQc-!?f2LkmRq%D44Xa%yR&)MXYJ#I?u2N% zzT9CFOL{RT`qAGjnaPNTnbFmdWcHI%qu7E1XtQY7C$h&S(Wg*|t6Ry^5r!=ww+>ec0*QWu5vYak?$&j2Pu|&uxn|8a|Rn1%}&5W;K6)mGQND9{mqV-P z6d(&eClRinK8Jl;^JQM@3lvFlvd;GBUUVZl8RWBGbra)yhS!o|63Z{Uz}}uxwa;*k zPT%GIyN|UDNALBqZ9H+sOo8os{5YJ3ABb{0fzeW+^a%`Opv;W8;PZLgg3{N|ofPXI zkKnp?zDH?zIQprfYkuYI8!@&BAryt#1JQZf!v6|91;o zznVi!Mn7uM8O!E%U5m&zvC;RY_bJe)3QnFnO^4;!o+dpwD8~AN&fvC+qsL!qfdb** ze%y*W-(-^KlQ>|PfxOP`ttY@{!3%dP_y+9e_k7(w;Kqr}I&^g7MX7ocox?hxWRZ)S zI*E*zDQZ5l`!=moh4)w7wzBfnT$rOlLM(B|_NupC-* zQ62`7XqgDPm|r_P+-d(vU#Ai&qK|Gfj{p;XO3HXc-Kj8Eg>YXF1`k46EtX?XkC0;u z^mz6!map<*3Eqy$g_>*z<`3%hhgw=aMrkKBmGRt`vv$jKa_qb*7IX^kk8)xj+Bo0$ z>uq~xzJ1EIg@q3XkDLoqQPIgUz-Vq?6_CxT8HS;#a4n z-+%0hs{m)$eMHqr8%US8dmpb+3}yMxlwmr6RR(z-@(&ZK+5>;11==_do#`j%{CHyG zTO;JU6`S2MFfiw2I4PZUiDUApchp9am`?GbqV!NzE&dli6-az28Bf? zYGF^$P}*+Jtl z;UIVTTOeA5ZwUp+_P`*Z*?OdJOOi7SDTLVnj7k#zF0SH> zYO?Vyy&0VUf`xD!GVARF{nj^(?wDKVI_BXrZ1wY+;z%41l)N|)aX;vT>Y>CTJm3I* zX8`U1ezs5iN)gr*_EHdL5P%EFN+J6Yqbx)!?80nZHa|QjRZJ($5y|GeBYD<`*u%U7 zMY{9uF_Zf8?E%CE{9gFOVx-?G2BpbAdG|(0KRNe4&_Kwy;%Gexw`pNtG5kRi@ptRN z<=p6$T%tyV^bKCD2NHi@3o{IpDE)_$9!aUY43UzYPCUpv_z$rgwHblIn28(mj8q+w z5=I#>sJUZP+_j^}Q9CscC5ma)S5WAC>KMgp8+0R?B#`FdaJ%>}|JXbZG*Fr8z63;? zA-0?K1zRf+DL{gJ=AXG~0Uq*94fY+{==@TeKm)T2LK2E@OtS~;#^yEIqkAril@+X!ewEwt4Ks$gBazIG zpn8^*Nw0Hb{9S$ANc0)m#gnZ$^*$-X@&QL!@;%b9BMO*%Qqd;>*`|iGuUs(Ifhr7r z%Pg{^>&m|uhz3Hx^$)WXowyxzc%&U{($?QD4807NJpp|1eK0gjI(hLY;-}E#F;tOA@608!x`afPX@hgf{}q% z^GQ5R(&;V@(=Sr&1IPBLBSP+W)Y2xXBd_MDtjieD+c*@AhmofZkp}*EbqpVfgV&#{5$%J>&#UK&HMF4FqZ-|QxHzJ#J$=6eHT==tu34K zGuTcpD2rEAYh4SvPt$FDw%T254)f6&s|L#!#)Q;2Z~F*LE3UT5m+w4Xu4JoRTjLBf z2tLQ=eCOA*r|ao&zDNf7Zcsk-nt*-PeY+psCFax*rV z_{KKejMC%B-lU?y@EP2Zhv4KIm-(j!&p$*QHPssPO;yev9D4U}bK1pAqWhdu^Df%w zsDaC!e3$d0##hRgkAA+ETQj}^Pj|Z06ILyI4*ev3GKIUeP`{%`985y#h*K5OC8!mr zQ=cs^w;3$Ot^B(tzByk^@+|G`EWB9|7Ty{a89U4jdGJThKa8+M|H_XY*qg`YGLZaS z9lcN97#H1VX+TjjmClP)uGWu&_$QYdgow#Iu+H{Y2s0nq7J1q^lQF!3K3g0~cP$@=LvEI?1GaVcfrM{QzxNRbLfVri>qfezK->0z z*$wj;f6NO5#Ju!{U5j?2{1!Uzmo5KytFWUb9J9Q$V~m?ZPQfvJhdUy#5?aiy*n?A_ z4Tm>wPkM?ZuOhZ?Ed|9bN+PuJ^jK+YtL#Sl!8W)6qsroq4ZB1Dxdg`ZYYPTXL!`uL z(60AZXy%CQ2%RzpY3@mdw{v2aJ*7q@G{QmG-yt@v>~8&?Jjct>8T4FUpEV+0*O)`K|K|5@1$3ZT4> zqxQhxqJ>$8GRi!Yn`NxxfWo=%yI{IuXJcMGc&5bg66RuKADh7iZjz|ZxExDJvPq0Z)^tJ}t13s2V~ zhC?9P-*VG3Wx}7k87M5{b9bPso8)m4k{!1enxxIjN?p4oH|jAE!sSuil_)ZkF?xc zH%6vSX$7;*wuY8se+~m}$B%RR=fz^VtiwCho(_QndY8jQ&1E|m*N59WMHiQlF>$`v zvn*tT)@_fh_JkW6gyL;=y{U=^dKcM`$YuO7Z)@Rm`E!AiejTQgLN;N#ZeO_DDOX;X ziU!jb)3Pjs)5amUNrAV$zeHsb(;5~q$wNt?vWBfj#uUEu%jPT>Zy`PN@gtXZ0hMvF zeRDpaxF=?-6Uz60V(9ATS#}FaMIRQ#WU_@~gTJzVX8o-*ouZcOWRO4ZKF>0yV5BJD zm0_f%-JA6YA1GBS*29cm?hv)xkh7iT;){> zI`=N0I@)Hn3SF;uv8hSW(%>Rs>#4a#pL(-z z5_Mb6`*}ntm+q;b?xpJ(i%E-7J$&7^<8$YRwmCKQ=gmS9%zfByG?g|_ZbgP7UPjn6Zk1>l}!y@UX>W??ZKLT`ceB}l-lGo-ZkC`gGYL2_&ag7$@f*5m2GE9(cK^{4{SwU;-v@G*^DeZW$z@+unB@0*<$qLaJAQVSA#b|bT%*(7w(tZDWZHZh$UdrQaM?_)reB}8 zfn7EiLQh$7QckUT6>tZ{;$5xh+*Dc(UuZomX}3I80;$DXOiwj=2VXDrHhJX6l^oU> z7@Yv?Gz{~XIbJy17Eyv8Rny1jBm9?XZ`EFQ7*FSDN@&DAuG3^?3clI(V;ajSWdK0vhq<(G9!Zb*mh4MHaG`b2tyUdC6?D;PH@#}-`jAuYBX(&wZtCmwY|!bm zu}#JBviU{EN^}5j@AHo}Gw&}V%wLtBT|eDH-SOi}@l}GnuKnhJq3N1H<0iYcI^)@D=b8OV*4K8%Bs-Ibbgh=d;Qc@U#cJq?rrLE|Nl4B|L_$&pf{qf%aI}r$082>}-)-RN ze~Ak4S8-Np7gc!HvYev#fhfjeE2aRNW3d>6K_M1@>vIUno>Gy;(uIleVl>vMzUws( zW%`&=*#&$VXuf|CEmVi2bsAq1>YNKe3vuEj4qIyMqZeIVN8>xNkHX`o^a^#`S? z2a?qse3F^Sl zr!9pj(uSp3$Ory0&wrMDgkGHD#O_&qMJZRL`=OLtTKY+;hIV(9Va}wkU0I{?%j9$0 zJVduNQcj#ha#cCHl=g{{K=t$jKH|M$QkNF=%q6b0!aRusX=xM9Gbcr8hw**URTS0h zVDT^FuVfR>^ipv0&U86OtUM&xq|GJEw02*-t)(}uXhe8U8!eWald)@1HglA7{Ll(@ z0n0y1=V}<6a7iG#MW}Y8fuC!wWCvcO&NbcmI!H9jBrg~UZy&+1mLf_}XORPTxt!ej zL2B3A|3PoR-E2Ps@oXIN1Ud6Lk}pWy2x_vB_HzxC^dhtnuCs`Nx-7xIw4doVp3R0_ zb7Nd{$o)u>&c9OJMjEe%zBbpH#l{FjDW`rzfLqvgzk_6u_wIf{7EpnPI0&|hH`2hHQ z!UOp}$c-^todr8SEs|bL7NF()Ebz4shBfLLq2IGg(3j%aHiV5RnvILOA6=3eV3*en zYnT?-4Hj0SUNw?l$QIXi7A5{qN)gR?jTMY*_aYrL`Fc=m_l#@nq8+{YUEqyX*q2ec zS$4BqkZT^;my@|!RQi$CaOLj$`~oHyeYGhE5S#(nUg9we`My+ z!dR1RjdB*rP6rlMe-{_H2HH5RfQVMIDjNLdSXfVkX{HIq=a|%vL@q;t+PDI$udxO{ zhM2k7FrMpuO$%q&3bnw1SsI*RKU;NUMc2r$3rVhB>I@Z!c6jjh_j>+|KTHMW&eX7O zP>;PfX?I}l^VEhh+O~vD6Uka;0ru5;@wacP11m9L_3r$KBb>V0rgSISf#H|A%IaYQY?K!xWM-zuc8DLeLd`=2LRE-pK+kFL2}M>(@z-G?8zZjS z_Kc}d>8|=-4q@oTq$f^Ltvq$I}Ltr1*mo0)e0 z;On?kSxT%L+1f|;sw-~TQ}e15{9dj>*b-2R`aPyUIO@H)Yxz}i-!RZS`3;uow1Jm5 z?{_Y|5uoFB*F0)~IVg2KK)a4+r3qJ%gS_q;*>0-6T2$wIR0eCnk~54Q;jhR_wCyG| ztcaf*cgoffL}nP@mBTfNnKn}xv{5#~IP$E;qF#O#(;0>LnF;eoSjc(CW2Mtd@3^`X z#|s2YM2kdu$lPI~Gxi(L*ADf6peN6gN3u_q;xvD--E-M|INPrM;Idd?V*PF={2KO0 zI z`C7sL^pM9Db|LEH(dVY2{wG+9er$vq)!kXtX3Z6Zf%Iy_(63uFko(XTBY1zARZpqe z`n!p*Qqn|%7`ZT-8rdQ5+e^sZX9QHZS=`f`Z0}$)lWtq>zGA$eZwt(0r{KL%jzi}U7X)D%pJug;pItqMVd~8Sb z1eD+P9(*-pSjYun2iU%bchgK$Ir0JLkmdN4|2*68ePNIg^^tjFQJ>l^vL{^6Pj8bs z6|OnXFIRr z1pm)B7+>#LbnAOxpWpkcr-ZzVP49~_xb@%PtM2*Vb}>DuPt2cHPSx2aZ}o;YFK4@u zETn}Z#pF>QnF;p;@h0x!YIf`T8d}J?AfFImadhjmrfp!yZ|IDVR<)B1=m;^JtWQk- zsqLM-EUfC>>L1wd(V;=g@2#91d>2(ItNWQy&_29KFXdH~NlpX3{G1)lqk$gO{k^Cr z;Iu{s`gwaL{7-$@DcInRNR_Zj*x-do6}0xzYSTJJ&EFWw+Ch`%cJpQ#xO^TG zl_r0PewmHK{!$NF)=|XMx8pQ*?^;aUef&Q%aQ`M_>cE7~1j%*;iq`U_CW?8yVbPgh z%F?C52EpfV2$7CmLgZ}-q5d!UTg{f9^}`Z{Hu;b1u>!INTJoLivEPu|))gTs!=cOZ z4PaK$cD37@=v`u1N0k2I5O#JUi4i8TEIeV%8>6IDb}$T|gy3g>WHd&ghmGY{xzF#H1^Dq%lQJX>iiC1< z3RB0;u#^5*+w*p`-JM*qYi7YLC!+D@9Kf!Tg3d1d*CExM_4C>6EmFi?O3y#%!v^?N zDaP3AbX<1qR3+(xw|o@@9E6^#;^Zb1BgAs$PlBIS`Up4RFB}VtD=~Iep{hO+`6{Yw z%qJBIk|@R~kB`H2zs_XPCNwi#NRhFMK1CAgp!3_kNXJ}~hx7he9r)#GSs{_-t|6nV zRH49Ih zWhaK>}Ti`yauZrFqSfmGhb*pD@=a51?Mbqk?uP3XC5^60$0g8RWpDgr z{dh9gSEgzeFB?=d--bo#kNi;ulaJYfff||?@h5W2-}5NX5_}X5&*ZS&MY~x^m^gOk z;T_V@ou8UB;b`eJyH-dVBA`df*XQRwyN|Wza6F3%9qHqd&mYavc`#no?^S7pr+Aqc zX}DV5W{0HJa-KMKC4sxMPy=V-KgVgHM~0M@_{{#;d%N5JUI`|< zOp9wZ2gkYjD=PA`*tQs$kWgSH?MW?7Ed?{~6f?I+nfp4q)0bDq;$9kevP+#WjUTSR z1l!daPEL;>enb&=JM8O9@$fHdhTb39eHfQ?V<|d1M#-iZBe{Y)G?6ATe&5KlqCYmA z#e@wp?b`uM`-#bPIj4H7)eO4;YkM+;-RodE=l&LJ6E%Y;Bk801KR#0YNsMXBG5bI2 zA@k{Mm3Ct{BZfRYO|5N;0~uV(X_@$$__z4Lwn;56j-Tg|#fStM^i5@!MCJi`ukH5O zt=|eBGg%IFMb0*#J4yH6?K$0dgj6PnjL5tN1ZMW+10HqZB`o;w0}$Cccv#ia=YJfr z8NMi|xG(XA5aqcMdz1Msv|mGB7avLbEx7eZ_=l~8e*a*aF0X1U1I7=FxdjDZA&OUn zQFiKdvN4<}gR}CZoCfwK(JTMtqNM_0C%YNr-rcht;24c68jVgGjWQ3!u7j05S8f`S zC8FZG#efABl((4EGehzWQ24{S0lU)*+bcwY!B}g;h`gkTytIfO45XPb)KbtI(Mn4b z5HSejeB_88-ne%`EQK_PyljZP-w}EF5Iuh5Ix65gTH`wE;@%aqd>QYJhEtk@mgeXk ziwPs?NItQ()+N%9>Gs(&EWvXrXyq%dC@V2#tps5rlb8+!Qb+*jm@Nu96CAldgoL55 zyPnGEd0<#Y|6(T@qQ}=5%#B7n`eS<##kVj*Hi$zuz+pfC@V!pe#&&@1{)En)k5Y&P zDn9{W2x)G+x3cT7O2~E*dtl(tG~0%qC?ID6N*X@J^b&$8M4}MkhV#>+yV+A-q3+yh9Lt90XWE`W zQ~LS~k{+-cjT#w^?i!66gYQZl2tIlef>}ockbJ(ODu2uOytJ_IG@pDqIE%}hH7%eR z5KJf-x1yfPuC13gYkyJn($yTjH5yGAh{Z82$F#%j{Z?e}5kgBG%!Ea8A5XfsXe23w z7UKOKv5gDy)fv}O5BCmFPEzEo=_92!_8fc{PQ}-M`U&D6 zfS2Li%4pOLY*!~9C#S_@Kr6)>eb@C}ua{@uw$lU%<JYcF^jXtXX7$cuVf+Jo}iSZ@eNbf4V|-kZv*vbTU+uS24K+pA+L`P*`PF2(mbNTQq(g?d9f=I}3mR5pPIb z_AxHc)^QpOs#k=n{|VPIeaVXO6BZ@#4tqytHHZmpuXh_y5ItB~%zlAU`;2OXz)n@{!7>D(Io8ds(Xf$RZHU~oN=UZoqat|!I z?O6FKbGHS!9NmV$Zf~Po2w4w;xGzb_#kaz(x97LuQB%|@4u9y|b*d{*iBW+x&ySD{ z6xic#x#zG*m|!rVNfOT$`rpXVSF+NzARob4N_y$R>3Mru&$kySot9D`aG5{x{P?s? z#a6eyvtvKUxqB_gQcy84&317OdDpA-{=Wb<56bW{ymCX|(xv4EN(p@yfDTh9A_%LQ z8&Lo{gFpm&^dvwy{VnYEci2!Srr&>FJsi|!#EnobUgk!q_ACd2%GTXw8+A)b3Ng=i zBMLxgWe_n2M8LA+9*Ao3Di1`dvN{YoB@CGWBxJZrU>pvobeRfQv^ES`7p&(wLBy#+ z#A#tg)@P8F#p~Fru_pP)fN*5vp~vAhLwJXKWMa(5NS>dyqD$0>du|xAAq+Vq4A}?> zuOnasG&nxwe|TwAB<{>e+~!E!mPp+5f#XFCT(0yt;;cwvX9H&j0d9Irr`hp25twr$ zFk69f45p;0??N|1%?=l3h~kT81$Ua-mLUczPl5~b;viysu$D_Q#NzUTok$&>&ZTaI zYRe0N;F@1nREfAO6H$D$%!pSaUYLnkP}Eyay(kl5U5Hkdyx5IUVlH(w|F3`p7#aod4E{Q zKX@QY+YfsnN|ldzAgVzh4MRSbL25pO@;N{p6N#$lOP+dEgTCy6s0Mw-15p)y-2+h- zeG3romsgIg9a&i(>q^kKfnu9ZI8hNxJL>Ows#5sx0}}G?A7+@!<~At={m6$YAe|p) z>eTep4H?97N+N=O21tEZ-slmc`swF@gqr2pEE=YxnZ01Sh(diJ3iZJ#)Q6%_{}_e(upgBaruc{- zr6$TJ!AAmavIFIiRr8F{&LHB7AmUX(@Rs7(C|;xFE4dq1jr$+}zbcdGzrB2Qu*P=; z5g*JD{wwAm$`JnNWhS6llAp1Pp#sSA30dd3DPhF0|SE&QXN{@&tCvVfEVU+4D9SIA3{=7rnzj`D`* zoqgVxi&Zgho)o#CBFUMnys1@d$Inp6W)~m&N2U~>C#N{}rNyLxebsXUQy@Ce3`0%^ z#L)#x+pB=!{-ORciVwKuC}kevMkEE~89>m;=D`%)YCmao%6psrT3DDby&3H2X}FDU zn@Tm|YJm3_FrIiBS~D?$$B?9Ewm*>!;s6|4mco4sr=#f*P^KZAFKp`&kUpm@(<+k= zj;g=6mT4`+Cof9jJ{{WjCnE#O*q@CIz~@v`TV;HMkIS$QfnII6l+CW)8|ps0%FM3x zY1*AvvdbIJk60gpts?9O#UZSdkFXHCWz}lJ2z$p=mEqDOLe&Q(r0ggz%e9DN%8c{1 zxoI(b2T*N`z35jFt$#G_TjF)7+B7`l&4ks%ddx&EGa;k7Jsh`G|Iu3U91vUA7LxjD zYZus|b%xn?hWd%Lwg0s2A2x0n5!ep5Jv6NznicEXTRcY}*?T+(q+I~w7l80E3L|F5 z6~gt*1Y+*!x~DXWs3&aL2q6K8Q#wDG}pwQG2-f(30-GG#>}+0mC~u) z@o+e;%T-#_xlK0{&V7H9(fWzoPww}2vG=u(dBxt>Iv}p8=l(Q2W}+?kdslKf!2`0< zVQ<+ZX4!#rEq*s?!Ghi;3l}c#TQo4RXz{`m`WE#qS=!gPc+o)bz@mZtf4K9%zWu=3 z_NJ%d{@)vkXImnJ!AD{VyT9PgYhmWwTGL5!eBH5o_s&BQGmUeS{05KBdSsoM3bHd?;yt_=o9T;N{(7L%)0X&PQZvd^tCH zVwR>p#~}S`9B%^#*kmRT%9lgrO5PO}e$72a+qcbv?axv2-wgR%vphcI9&PVG z1`j^9o=EKLpVL)cg2AKwrk=Mns84uf;}u!GSo%wC!ds#y|JSPSbAf#;^0Wi(Vb^gs z=x+!8vP|aJkmlHE!}Kh`_3#C-={wOUqc|?Y8ip^mC983;>{XZpd}S}4LuFUg=yDFq z-r;HA)ir!|?R#O3%qzPn!+7i*58J!?pc{GYyS;^PXN|pHR8#iyy`YRI0`G*LYvF$~ zu1I^CgSHB^H5Tqq95lAu#?2+#CHH}T^!E>=-nVDte7iM|ji3x4!!&c;bFH%fgED+< z)0CZImAwUJzPaNAD0^$hcd5n4xx-h+x#Q}L?_!JZDwMq?D|>-ewiIQ)HgK%_%D(E6 zNk3eKGTWD4>!5ueG~0)tVd2;Z^uud1{WmE6S=UQEc%R;10^VoCI{Ut|hVR8F^ZCdY zl==GlT^>HR>-8wpwxJz3mVL5lUsoArz1xyS+71VeKC9~_jy|sY@&M4j44UgauoYj$jnM=9{T#=ypT_VIqxtytnGm1G z^BPPhgL2xVwSm(Icx{mW5%Mj&{PFj#f8{#=e*gdg|NnRaq*rTf6jcQs9<#HvyHh$d%giiNVjPtM1(O1)jgX*(5F{9lpb$fR1PzuZ=pPj&Q344H zB*cJ^9~yp;sKEy+G4Y21jGphz+}TbGw1i3S+?{*Ad+vFkyRLXp^cO;G`gO~fG>Ix2 znPkFG>z1!uInz%ys_A;o%=)gUdO4RDE8AP!)~#(_-CVY=_31^}#?R~+r}?zjlM_~w z`f@|lYiq&!cKoVh{OWGW&$V-oru!+Qu@6k=#F#GWjj3jvS=-VruXl0h#yHpBlC$c% zM%ps_#PgvT&+41AkPv)qVIHLjza@2L)bMx01T08M+H1 zKh@0pw7D>c&*3zHH(V{Fn`(@6fAJ_$U%U9nP#~ogs++drQb5lIqKw|Z55CA*C8fw? zUC;5oL|(&6F@*3}uD{CY*jaeZU#@Fd z73XP8VM%7J?mVT?m*nhbY{v^M2yMF>yM{xgynSpTSV+!BWNobSQ!B>NJn+DcPJBws zQ4|_p6I+B)cq!r#9e!s0L>)3rqyb@3ReE|Jcu`I@X}oBmUvKZKJcmsXhBsx5tTLHB zG5zOn&Vp1Fl7hOT)QKuuJugPM`n7Pw$QxLZhED3JBYw^@JY|HKPL#?2ymbODm*M6%Au_{+g8!6aF^%Z< znx?v!XqLfX*^b!_Si%gQ)Yaqf5O6#V*v8b&gzj{M4rl1!9Rm(Rh1;YwOR!j!5vt$u zy>Mo{;eZzT=8o@Zcio_Cn ze{{LF8RC}GfM%g@qH+&+`UyMPMD*Yp#fBeWbM!R!NsFji7CFcyS9j3a7!M)Fa=3iG z)oR0%6e*ra@YfPCir(+-zkCfisb<_1Dyvtx=tX8PJr~1puLcKx#&C3B|8(2ut@J&C z2J}ojb}kT^t87r^l+mJ+=(C?YQ?-|rle)ned54711V@nAvx((^++Z8Y5uygOw58?W zJ=N7%H#0IZ2%drB4f;{iQSt&zCmTukEy_$$M&!a>*Q#k^yW#wNPbE=8XQPH_qJU7B z+Sd;RS{k7n+RtQFF9QHS1Nelsmp=XwvKP~cpTHn6pT)POCyG93z|zPFNJ%OCanNQ^ zyDGTA{Lo%A0ih`EMwLV5iX9Ygq6kq(vOd7*#mcjDAcM?!&!C1EAe9*rq8}k7KZHBS zSBSblLUnfG!xy^{F)c!zB!rATYBz?JmS;_mFpx%Kl6EdZO*Q0G9%0asq}2?%l7xW! zB0w8CSgMq;DbGSgk`Y&qRdO20bjhj?RW46=6rI&3Mc^pSvr?mxs$e&N$ z-ZN50cmshW`tjMyvF|?tP|6YBccLneZZJr!pm(+SaW+us&j^GNsib)2)Q-PU#zje- z>PCz}oWSW-aQOMF_>$GROB8w(FS-XJH-$8_KDt}GfE8))Kv6%yDjC+dx#Y>sz)1h| z1;f=>|l4h`x>85>8U*Y*tlL(qCH0PVW%Vs?{=Ri~fu%#PX bDdj>jruk2{D3Tf|BVHJ(B8&e400960nUVu= literal 18526 zcmZ^}1yCGa&^C%|@L<6qxJz(YGz18N-~>x>cXvr}ch>;H-4_e)7FgVUfyI{v7JlCU ztMAsWx^-)6&U2<_y8G#_V^iG(2nYz(*od#K>+2=+irVHbuGWqYw7mRWJY2lAGVa!P zX0&|#e6&1*+`N3;d_27Tv>N6v9@eJjv}!+0ZE1OFHI!&|%uQ(J&8*#CCE>_OuWAqw znx+3QAYkMF4;p>?AM`>zHN?!JkxxCB)BcLvPW+ZqO3DD!)y$=hS|T&^J#waEM&^5& zfdp(sStL4IYWxAy1uc|5?ArYEni_O)J(zRkIEwjCWgWO{jE*H@O{QT}XTA2(-BU~F zmXatvsoq`PIeMU zg0%)ebIww?{<(A+$E$wson1UZ$9e4Q?*;rcWy^umvf#-TvkG5jf)SmfDb0peqdlTn zm=Oz9RHf-N&qhi-VtaFRWoU(%l0@&Kc%~=UGEeYhm&wo(OVrxyb0?m zWfCgXI9KE>J_md7_!RX7d3siGY&T62ogqGWRiWDxEO*X%(BnuLxMQXURyhTJ0Shmu z+|HZN81KcV#(|90&%JJ!_DX2#-%zDDsf}V6ek-N4%nJ5N(!FjRd9Wjx41tzJns#C{ z8tr5$8Qir0+4>nJS=#(&{%`WV?w>{Ohu@T?B@U*uXUT~!Od)e${Er%#WdfBI#*y{M zk>}z|#1-Si)pc@#l^mR9yWi3ez{0#qBqzy4IchXU>2$h@#B5J$9Q$N)Uv^~1pIowp z!Fox1I;l5jH*uNC*#a{(oTf}i8i_V)K=aF`@w1GOsmMgA3aTO8?CO> zR5&8V%n3Zk&JiH-#}yG(+8JJWGd-pfRZfPH)O7Tkc<`~*$zpwyrl||4JliOzadUBZJ3KhMJWXB_LCru3&m(9CbHB;HIWFnzd zMY3k^4b$dfO$vw66JF(uqisj**WfvNhNc)s<7+$NzE4IXhH2boksy1fOFrWES7m8e zs5COH^H`jCpR>?C+U&5Ph~EnTi_^FN4cPy;L;XVCc9)nqIg6_*E3Z>4eznK1o!fNq zkNAKpIHTw74sPF>4YQ;EHM%_oxUVC{{DbNV+by@L|8WCXU$oIE=$PqJty8Y-HB$Cv z1HYoGqHS{Gu#K+YOB%6tC=ORvelbeljkcALv}5o2|6{VGE$$@SMDmF6^cmd)W3gJ; zeKKXvQvRN#uM*_wMI5m#Fai)Ra-AqeqHWzy%hkvJoXv@*hBk?8rtz0EKeB^(S#_P0 zm=*c6DBMb80tFC#Rj&~BU6*{!`h*WUQm47A+Mz<=hwSw5S&WRcF# zMkNaQVDFgjo7hi6j;%;Du2EOG!@u6bu|M=ExQi_oBR?B?kdtd-BRZFodBkB=bM!@$ zSaUWX#sYIw69KStvgTjfO91LYIkApJFYoVMsnrVi9$7k6V{Nx4guh&j>O>1G|4~cS z@s4rug!v4)E*m?%P-wjbKQ?%O-D`eOGJy-W#MzNb$x26X`mWTIm_S>F+*29T@!Se6HJ!8CvNdikOrNF)SZZ_58V z?e)MU^Crg4nHSC%V)5^104#!RNq?=4m*qh4kdAd4&%^$8B&NUm*}a-MzfH4hx@xXa z)@7!ZW!_YJeP;aJ&Vz&ggpMT7GYD+#Z~XCP?|I7fo8;j^y>`GsFecP^beyOL(C#>X z#tk(lw`Dc?J6>ia(XG1){&$-S-gF5tQ9jS?@C(Rj=GxQ_AcAwkY##0HUl{i@8;|o} zww5$TeBYRQV`1`h4-r5wg^{kl_KJtf0UFLSGcJE1#1Masp2cJeF+5DwZ!xf&S9Gth z|5blN{cn|eWPi=SlPx{wR*w0tGSBTeL`20L%Ukg;mrgoqrD%kVnud|pdNXC07d2Yb zc>W>W&@FE=-`bXbdIML}Hdz@edB+FwA`ZkP0Z_?cczz@>wH~WW>i)^HN4amm9FqIJ z6Hpsdq-@|F>C?HUd%eTElmxx9$)AQslZ|V98t`Tto%c`AQ#!A#kldA+1t(*b-rWAO z4NIInFOPzQJOP96-a}1ezG{%XtN8%mx5(PYPJ3%S7|^ThUUkA-v#(2Y87LDCHtsIX z_!nMZ#x`qqrpzTPlP|~xV9J^hDdkl3uLzis&^YVdmn?KAJj-H^c{w?-E&lV(D3`NZ zT-1KYZ%9ySh5LB7R52;J9c{qk`6j*W5c7^SCRW1Um2Y?`*qRPAHRVSZFR3{+k3$H1 zDDS;|fV=pC?VOEK?yE;h&Ocfimx)>q7e-?j(yBRy$Zsp>MF?({-7;LoACIigt_k)} zAL$w$%-FDbO%=hI@#P!?Uz>`VU!<@7gww}NX9xjAW!L8iWIs5(2_rCMOY)iR0yk2< z60W^_?}6d@yejIMZWFrxxk+FWxmMu9$hg+T5z9!=KwXqOz4DE#prSg{UyX_RyM*aO z`4^KDNX;fZ?`f~%JT2VIr&gvm1OJ-i*_-29??pLQQR{KJMm*_(x!SIvgUiRwk{+tjeJ8ewZ(A>KPyDg!`hTvMMJ= zj25Cmv7x4ae}Dd2mcg8+3Mb|Lk!UHIf5;)Yf0&2BKJ?@Ll4SmmzjXP^`@BJ>N;8r! zssaz0V=@yNpY9)w6=KER#nZ?5?3oC#6~^lJqvZh}N0ySSfxUm;HYpB>YY4sItaN6O z$-+{g%fojfqd#cOmn9+S3t0)TrU(5RNB02-*G{pH2ldYip&bvTEoiO4`Mtvr(tJ$g zIsjwI@xwJAB^5Z#v3O(rZwm8&gvBA12Dg?lyB=9-!z)$kDVz`c*T&{+dkJ{uG&;z( zR1ekwP&`QFsyzSJ5xIF8!fw0=I83mFb?ypRl|BLJ9K~-B*E*&O^Y$y3P8yaeRK?aLi=8^%izsS(n>JA|fIbA5682F?RS6ZK`Q2Lr;Qx=-3T79Xq`3*2rvl8>uVYzTnLv zkl!*JFn6`VBXaYSDC`ppU%2}bDMSxZQcL%rP~1>-TZLK|_hbQx8%9vpMg68zp_G@-tS<%#3ywezd-r*Q-8`$U>B$4R!zS*@8zBTyZw$=wFFik zaMu-2mR2zDhwtL@szPk&$CYqE5~XjztHu9TU*6>S2j?@D7e-LvD=&T~!XQm>`TD1R6raiyaVNL!=oADId3*Fz~ z7c};ka0Bq_?~SibYe(st-y|b+Q>tFxryhdR@G`jb@0|Td1oOOJzKqrwkHQs}pZ6YY z9%`LAt4C!%gGUb@gfchZd_ove<(MkDB5OdpO1@&fRi~T;=PxN#Cf!2hRu3yT(>QNf zAe&zhCVit{=gB<%Mt)Z&W-=c^o=ILtzJBg-Z=CbzPm)opP|MSY_Gf*>m==^OG6n5` zt1q2~d7wo+f-0L3xhfF@RCdmkv4LZBUtHOlDriIdjcf?UDMn^D(x8n*-r#lP%Wogu8 z=Z(kv0z*4(>&g}JmUL&Hcb{gJJJnbo-o{mAdQgjvXp&Ge(9@+wG_hCm@RjM3C`S|p z4sNGgvN8zb0kOz6Yi#p|omp$o)`2N;Rm;Mjtnx`gHA!S1Vsi&!^j};*HMD8QWCwqg zbv|NZ`yzj!C+xyYMM^9XcwAROxt8cgF~*ms^J!R?t<|9|aBo0nU-w;rr{2uB#T$wV zB}JS!OI5-jAJW_@Y2|SKze_uD^8Ii*(%^w%CGqp18y`{dZxI3V6z7MTx1VX0 zc{mqa?U)2s`vgi*@@7%i)=|W}Fxx6I+vYJ3u9`HZAC}K?I=(zj2tVk>LfR_u1*p0T zYP2x$>;9CMx!WQpwa{gk#MF4YlN&<7d>tFh=1r@sf}J9`r{^t&{S@e~ek!{u?nGzj z%YNl6UNp=tZ&%jsF(6EXjBDguN@jYN8Q89V8APu)g)4A1;4JISCa>6^OqZvsu=@v* zKReU7o~FxZcofv_@E^$UhlT7mSwf-V%?@aIwrg*w7hA~1 ztE~CGbjK|&>cS?sew=0X^=Ewu?bJ_&it{OX&2If9IUth`hsn?Ua3FBY&_Ox#z+%(> z!>HY7L1*3*WF?Sq%6Q}|ROM~%d-Nfk-l1$2%L>rlg0ND!YK=ndK|0eb&(ga~Z;0F|bEbEYm)8`2Uxl-fYq*I-a(jL}Q52wU`ij#<0T%z^ zTv5QsSJWFQ>h7%ffi{_b1$4clxcS%31zrE885J=L%Pyad=%eyrjW*x!&SOMwqDp_m zylp-!bn&Kr-Dw%zQJN+L5qWeJmEPVx>SJdF;Gs3*p@c5eg!WNV^nH(;|ITyxMl3^! zp<~PY|Z4w9hIM~m#(MUA~#(MEYT_gRI0_O5M0}j(w(aW zkk4-|*yDV}hv!m-xS|K0`I^7p7Qv9uC`4sv;br;lCidarcN`Cj-iKW_@N#wv>o6)9 z!=$Eu&YYc|LS-zKp}WT?D(m4KV(`Uhx(S+(*EjeAAFk=_Fw#`zE`&u;l$t}mXemQV z*b6-1nG~`!kp$9r>b$YKOEg8owu$HX0aKBDJMs7cQYXataXypL;-gwYAxV7HqOex}@kd0+H2#z-dY`&X) zQ$R=CpJ&vt;vkT|ekWds-#ql@s}5$OsYbQ#;|X!Ch^fUVoQVkC6&eAklRj#*O69xv zGPW_da9O&=3|o&EppR2?sg>c&XK4kOaIf#{6@6j%Fgn+qx%lo~2wD|86B4ctAY)Qs z%~zlV120e(rTR#}AesiDh{7FU6tU(Q#Nbm6Y)n?c$txV~W*!`h$vDT;&vGj3O zGX=dG8HLi#kko0${Znsa))J)vq%2D;S5-;)r`bJ5TO?RCyUEKknFR92#<|fhQEN6V zAu+95D#VZe=#IARB5JymyixN8Pq|w!?Qf_hagFSRV1LLhyLPP})tsp=y6fs&P4uaQ zuH1F$aTJqskpV2%R330!owEp!fDhk>(@m%f5l$=`*Yxt}Bbx7DEo90+D^1Tc-;ZKL zg7Mza%*#DGZPu%7ATwpA^D1R z;(5iX$iW~VTdO0k-j-JkNKTAeT9n;pHV(aLioF&!Zn$yE_7%bhr*4x`;}k$s#3`t8 z=*LqZG_RuLf9D=~0^_66)27a~7afc?aiC&bDo?mxL_NqG1CSu0%l~=I-GqHWl@M(c zJMieFRcx&Pc@FMoC5(J?cG?xfapd7)6nkK(+@bZ>qJzXKPRkI<_dVpLH2ipa8>hKH z_0IbvMZXwBBV=*oUl#4=;gDGFbZQ66&?I)WUnBitpEoPO& z)kWIwYKO1*0ACXHM$NlMM7xz|j?JLpwx2^OIJagO^rVCuprdk$O8e4t^9Lgd|RC!%zx}kmg z=V+%`G6;8 z#P==7SAI=1A)%F2*~#N&Z`>|2L9?(p^F?g!`Oq-(=f6VT<2Eq6?%afIiW4s4_)X{o z+B(`St#Hb@a}9+(=i2tU)I+`Y20~SkYs}>#NZsB#$b$4_%EJ@+LR(d41wmJ=qcilo zVk26RT-mjHMW9~tDkNvy4UrXSD)^Y4m-B{YQEkYz?OJ_ItN3SAhwfvCZ~W`$Co)Cz zB1U6SDuew=4^Iq3DTf}{uqDIo>u1@}9OR(%AKJx;YrNy@fq%ZroT#l)wfQ!7 zON>-Ib7?^F*9*M;zsjUH_AutVsOJ3dIn~%|0!_&pOi!g z@a_;l`}I`j3F;(vr&L;n@V|Cr_QCGQl$4m6vv_new^=Rzh5C_qYe8Zoc*U2Hm zG}&bbf7}-oLkP19bFW_qIe(MusqXBbikg(Zfp?>A!u(E*S(!UP3%-X!LLvJXucXJvm!P>tX^6b|mx;vSjy)7lkW! zIK3kpJgE9&GlLG(q%eDsYvyldy^V1>?zGxly8{|cbJBfJx6R^F{@j`0L}=ZK3#G25 zqFz&-oUpP1mMso=8CaNo!2$Yf-xqvTyD!MAzL7Z0pVt#v#3?aP zS27KQNiW|RHx_Hz>U8=RPcno@hip2M08pBHL|uofqvv3Eci;ll5Xw^2tVtfjQ|D(`&0JD2 z$THd>p-kk=60$xw9#B?~e6h3PfYu7%`IE~*ssPLt$!EOJRZGCA_$WR%uK*O^I1_K|?PXW0 zL#yyQPj{o$!u4~e9dD#Qt&iYna)6teOywpT&5@gn8BQ+~x^sljX8n~PgwL(On<@ea z+rLry!pD&L;|{(18=Xpsg#l6-X{5DM;#6GD(OK5T`{8B}et%)xFKUo@K!f=_d*1IC zQ;XXbsR=s145yNV8-a~_WMbZrr%dF{Mbu38E3$;WPM-Eab9+wj3EujEsa|udm!iI@ z3y%byD``I40k*sb-&OGe0^XXYQD9m}w5MgWY}UF>5TzGQ>;dXC)lH}5_xTL( z0>~N+o=WKs=BhcwHf~Om)(vJ&aA@Qq3fm{!iVXb#483OqK^!8NS+Q9Y76+Q5qpn?^ z8{~xjR3@CuRebVV1^p``u`K;(I~Y+v-^Rv6pJEB7GE=59&*AGV3d>mC)~|G^VV`{b zPIUH3)(?SRf4tPbBu8V$s4aRcSF45YQ=q|{%|D)NRiuBL6Mij~Zf?81Gn0ymyN?o( zk*^QH8EVTg2)nQQ$%NTe{&rf2l_W8fg!$!#pCE?j*Fq74HvOL@r9r3-nNTEShEWFN zc{-UDFr4dVT2x^$TB!SS-~cG2&r@GP16q-+u_3MWax(2w#Uw|aOaCn6W6F+R{9Xp* zVrtaw9n$ut?%Jbh%+_bb=1&ofG95VYZ}byC92|LWM-#mV)6u12So6|N@rXPOuH7%2 zmGrHF%`qMRDn_U#kXb$P{z-Q8OB%@F*J8dQ3f`%z+BAy4ov*w%seVBIrvHQKkntOz z>A8_2%NOupM$VNxh3YL-EK)GY!Zej&@Z^DnczjuTJ4)mv!PCxcSbb=>9XD_XMbu%(EjB41KYV`e_uZo-=u!nM!R1t)km3q@%H zT<-%CR?J?72)AC)(GqCy|I0kC$~^$iA(DGCmb!$ zStyT+mFo8UbGENll;KBx#56AH*tmPW74#k*rU6%X+?PQ+?LTrcCo(&!NjnxAS622b zdJ#kUKM!d_mQpwvEVCXVtcfF(Q{OP zv?Kch^pIz)lpkn2AO`Bt0PGvjgms#RK-BfjRHXbDh?CAtPtZB~1G-um13?es<#NF$ z+8HXG>4^4-R9ZnAfXsGB6@N3FD2eXA91-eD8x{FX(IFW;!j}?mcMTC~C--Fcdchpx zmco;JU>(^c555eJQLJ`Na%M*Ir~3I#!H1YX__j3)0=e479G ztkE>yODX>VF1VJeMfN8+!jtZlazv7#yb*f!a|8F`^MCH3J>Vb370@6WqCV)&3>Fw8 zN?_gar8wiBNcR+s&DL+dpgsAk=hIrBngl+)B}_8Hlzbdz{H~^bUnZRvRT^}jvm-CW z>lv(SoB*{L0F{zG92kD8)wKS?z^!o{_|ZqLgdmm+xmM@0JL+aaU{YneGV%FQKt+7K zivWnM1T+qAW%s%X-l9gr3)J8EX-bT1v?2H#HgJk}fpx!S8`f~Ead{0B80NR$$I_nV&E_$3mT)ipMiFYqt=9bXY{6n`ewbr zt}|Ln6}=1J4VmTfh`fL_$N|Nl`RMxV6ti<3u&Xe|$1(WJinqiSDFW1%ay;>wZw{#I zQvLG}xvx&pmCu*W?dkjI-ALHy%}9*h&0goKzZf1>O~_&0IDfll*v%6Iu+1egULy$z z>1#igoavH!LFqt0GAy7}rPS#R8Ou>vn@ z0PCM~dfV@}UO>q8Xf=U7KmDHj#m0<~b2a}8<4vii%e+{P?e@!!KGJ+AhxGT^&clR_ z;)Ta8vM)jOZ=Oio2I_I1ITsj2BX*zWk;lN8!w@ijx}W-;-kcCaAWv)$*$vUB&;enx ziD$U4Q1(kPDKbqmC`FwhWERy|kat5fe2X=@A7u(qYt*x?WzF|sZ_@cblw~6!`iL71 z{z%xBvS^Fa{nixw;ZF9&LU}hi5S;f(IrDS9Z^V~4F-%H+LeSgp5v0R6n5Wo;ARhta zEAXEdmDPgrg{!Pua1qRBSn*{ClgU!h7I*+|5HMaXhl$p>+elEV61q|SQmBBJ-de#= zLkZmg1k~1_^sV44f@iAsWi8UIqIB>Hmr`KpD5&8^KGRp<;qhWI3JTl6&4cVg0N6 z08wznhEmzdNy!Th_o}Vll?C^Ugn?*XWOvA&anNVM!`7L#O@#-R-|c?hL|&)V`=PQI zw0q9!FY1&L+DI?t{0&vxaMpAP(;Hf&#oGCwCvGC=<2{(>P7lew_ZVI`=)1pj0^Gmd ztFUtK->|aY`lB~dq&OROv~hH!6Sq;g3H^p3t`pxF&E!hMF!rqr4D!w~9(azDrD+h? z5mO$KC=FC_pC7H#rgy1?y~ce=2>&vq>TkHJg&hdRzEHSzp@<&rNc|(XpbPKTi$yvQ zl32q4(s5>wSGW=cGO(N>UWQc$e{VPXjuT|MKR@G4ZFhp)g)v;#myI-5uF;}Q2z!<; zwv%MyVh>vM(nCFbS#ET4;2w1&&niLucJzpl5=1|x?|+aVa_=hT*xy&(2ny-OA7+Ug zS6?oc5@{jb*>caTg~D;dS<(5>>7Q*c+Ex0hajv}I1bbo~A;d`!cp`UE+4ukboZ!$W z##}8-Kh83^n<_6k#J(c+H6Uw@ zHVcJk8S;$GYNT%*W6J6^aCL9?&G>E?N*jcsT6bF?eW za6m%&NVkFffJq5_uBU33@D@AbmkPvI5*dSp-cS|zhHhYXkRIhrK~Yd6+i+gq4Me8z zmPfe8B=!e6azWxw zgByT>ldk5Wc{(qrp1qfl;6f6324i~U&aT{WIJYnq#lmU#C#kUa=5~SASaMmGz9n1Q zOxQ>aIn^0|$BLLvl>vWOWv8z^v|{BfYP$A{=Bra{%lwWz+G_#TE$VlT2R#DX#{Id)Dp<8w5m7s5A0VWq0e)xv$ z*Iz*Y`W%$idyMP7P4eanRv_Q+PIdXn%1Y(VL1x3^CDNl*nu*z1_>anSme29@ysFj_ z(J*wcy|Y_IH=%L%H2u`vh^H6nF7NlYGd5kzA8S0`Il`*G<{A@r2J~4(cq@h4jLWjy zOXqU=4kznaTFxB?e7^qA(t~O9*@)ta(B!x9j;o{x|NOy$S8K46Z$|ai+S+3r2akJa zus#xrWZv+fe|WpE z8<>`!;;kg#lA)?1@9ko6wv(KD>bIhOI9`9i7!VM>Kq{2&edA6Hm?P2IET#GTcm&WJ zS2=M>YhJ5gZ2U5%l|Fjqoy)2EPki&9Tvh-5**IZQMw!3>o%!NzYzOc+)np}J{acK0 zY>hS=k}VVKa?)wuqiddB?so6J<%q$V`#??%gBW4gTu)LXJNuTAvdIzK?r zTSpI^zC@@G6{n^UJA<3+H!!w)2(&hSap1c;@e^FUI&o{cYJp4gc6&e?rsyKSTzh=| zEcLEs7R*$mIpy8WHNDZ|{#^A+`(dT#Kcc|;gN4*q&R3EFrm3rGC(mAW=2d5P&HE>Q zhC-#_s}>Kcr~OP)o?W*ZL05W9hMpY%DIefCZ1oP0K%D=_^9|%!z%jhzF!t5mp|Op{ zuAd#wDSK3=w|YsIfsRA9^XKVj$_@oX`3Ic#3IMUSlj+}(6_DU_z`ElB+UuZPbiWgM zKRc?^Q@LAMLzN^lP{W_-E6dPRH`K&fe;60#m@&pw5;4X^h2UGEY}1OlJeH}?A{Wp)3S83@1Ezv+1BF;_omlH8!7|woa?IKi^WrJbh1${Vmf;U=R7V&#yed zLV_>%r_;BqaIxj9-33TvPbxQ5=kD$nHVl#^O79sE4RD3$*T-#&ZyEuNyZ@63tQz0k zID^6*fw0}%cvZ>P_)T*Tqs=ALWdGDdt-Fs`z!PBp;li1`R-l-Q$cpVFyp9`UnM|90 z^kiIVeALP!TE%x@ZJ9KzyO6I45BN4(OnTiST+|Rh=x40oay9J9`NLTC;SsOuEcsAp zHSH|9wxoj%UX%ezZaoCdt_neG*8#heP?!)+K26OH-ZwcIOO`%)=Y;aXPcyu^r~KX< zefp;{3S^;4(~qAQvUn%rys9IXZ9x%Oq3~q7#^Mm3p0a-tQ6*1@tZy=L17zaqOf}{9 zWQEC#)Jc1O5D$D+ELWUmGinaayd)!d`bZ;dAqqenN#Gs$6=vNqrxAu97=uo?KocK_ zoZ?;|b?DCPnQt9OMb})d$z^(>?DmFycUe)?G6KLi@SEp1mmaGE`s$Af)!8sJ(SbR8 z)UUh~(-|1^@RBxyxn%yI-uool=5L%Evg;?Blw6{;fEA&cw-HLL0g0pXnx)@iSUQ7q zF+a3#t5^*zZNQ#|v`j@@I8nI~i=^`uZ#%0a+q$OWd$J5NDEZZS;of`nW(z_P118{1 zw^2L&b#}#@Om*_q|DpYd>@JzFXl{v^0G41_nzGq!K0@|nZ1{#;)+U=5_cMaX&IMnw z`Y9RNPJET_?2i~_Wr5#_e*-_HsTp&-K@e>@;LXfvTBwUZXkcrG6hBLeO- zZVdN|bq_pRUTo;?25y2z)=J|4^cyK^owwOJuip+af@{PRUG&4zF2r%2`` zi%L6$p+wyxcJ@ZGzNtjO_RrWe=%;(QqUV*af-HYOn^01+`l&rn6rF9lA~|>JP|ccd zJd?$qhaF)3hggf7ddEMH)A*?4)Hr=>vklF7dACo46A%ycE!>=g*(C@J5YkQ7lm-Om6zrK0I~MhCg5VyXQT{^e zBUwsH`rVSz{OukumkQn?no{9BuTX+m$a@FG;Y<=+Zu+(3rt@ zx2_~}g;``Z$$X<_PJRGG{_vRLy08-mnqg#y@P|#TkoP~S(!9;*?f%3lp!7yRI(^ED zR@QFnm{m5SWN+BIlx~$$#zq-zVrt?u#zD-SOjDK_W)=~tYp2wy=4$t^tgPy7k7`Lh zD|y*Yn7|CL)@ZC&_@?>`?G5-pf5)kNsN$IiQY#t{tK;8UNE|h|om$3Nstw#DB))vf zuWGX5lWlpr7`R1V?RIbSn>j(Qmi*sl)ssd|=wr3jI5H<*llaV))F?6_tHaEu2^rd; zT`TS~NM36o#5k9&-oSo)puo!9gZ04bkn(MK$zKZKf*h82es)T2mV@r;@tUXnVuWr( zW=G1inBV?Y`0ZHvT=Xa4OXiUMFYf6xYe&bLnDb z(8=a=sb(!Ma{Jhxl4(9v#b@dfS^uzyvqRkB+y*@gP|Q|;Oj%X`SkC4@uB3IWuU(3HpH#BXNPZtF0G5Zb3CMVQ3=hG8v^^^5qj*K;O|L~tLW|}xSxGZV)&cdy{ick`J>T|Ic`Z?o}oA?wu2IWTQAxtO3Br~_& z^0KpW@7lLh8pn9_Q#M@h$i7K3_#S7=9QFu=TmN%|NhDvo$3@b|o?ythPrrpVWPSrx zo#ShUKgG2!)*Ls=y1BQ67l>M*6oypgZ+tC_ri$YHH%o1yTSzC*Tkc`2L&i4pR70!d zhWf!%M-7xo6;{ESm@KjW4UB=fAeazj^H>nn@mt<5*GQ@vmsm~hEQE4_K!D5Q-#5nV zkt!a|>dVX;TCgRm9ze|MVxq?0s@~SaUfJ>wZKr66_^j5d{qKQH>3(;Mj2ha&NetUU z+S)0stCOmg%PepDD$+1-`ai!c(7a77GH#{wG6??lSfIhW#r`yxp?epJjX>9>{hP%m z9%uvI(VIOy)vzaVD|Sx{M5iz+c5ezuPO26db>e6nMMK|pvi~9af@f1OMQfNVU6W6s zxf4?$>VbxHb}UU*#{P%AvtP;0!zut8CPZF5JYo1A96NpOpU!^Wx_yTf2F0||V^u5k zMgA-HyQ=3?*vuhax+uw1E_)SUE+)q2Z%Edn`#@3)s`5vLNq;@^ApyzmFo>G`EP);~ zS8;dVYtdk;>w5_lRO;w2$Umo!^~Bi#WyHrZdFMLUOi~x5?e^Y{#-q}83#R9N0VA&P z1lgwqS;X?%8P-2sQmF@d$uLfzROBMjjc@4hbqI4$!gIF6?NEMq%UC|9UKmp@u#0Tg z?%5kLJ~g*ZHh)*Xr=X`EIVY;1+N4RV(n{_F2 zQBw{ih=tP;Wk8*wWDgsc(L1*o3{Rk08vmO1G!v&b_%sry*0O43=9ZrIacgJA`HWY5N`Yt_vBxRix8L9GjJ>lXesz;P|tPUNazD*B{?$>&rOX)q` zV_5$fvgVMAbm)E zLZHzrzu$7)X7|I7moB*0=d@dRXO7BD8u9Jg`W5v)|F$xzHJn{In&dXHShyYz+U zDt%<=ME5{L%>H>u;c$FOfeR~gR5N3b*~FG#(F&xT)b?NsYTduVLXKYBJB8gL+idkq zweRq%B{W9WY2Qr}aoe&v*=YptW?^yIvR$}foThsDeUHGUp`sQxF>A7H+B`nh5g^su z_*X@gg;izc@NcZtyedTM8vIu=;mXa|&wLTRZ`eU%oixApmi8jp+?e-1tw{m1TN|tq zg17fTdv8=zM(H=Y)|un&9(8<1ey-CfO&3+0s_>LR3i*a!PwzGrT8o%)_K$b6{ZF5c zw}kfY;{hF5&3qd?Z({4fF=btKW6(3F5-{Q&bgvVA_(%*VqP5tkv!7}F-d8_{{_ChZ zz`qqn*ZN2ocq4m$A$xu!`@9#vhW!aH;~8y?`N7K~D;Hr~MaFkk)B!~oxx0jV=Ct#7 z)`>@G-nkG4jTv+q*h$k+Msvj>C5-n53gsXpE6+sFI|DWM(6|KATu}mkPvVKp;E9aj zi7erH*U-2`(761eamk>$5(n%#NnPkPP6~r+QS!&2w^NKklu<5qW}MswD`~hfQ;zy8 zo33uF^rx6q^}?$fT=AW^Y9T%EOoe<1EPV(d2!Htj23uNJT--Y{fxM(JI>?>u#%{RZ z03PgBJ0@UH60jHWYG8f@?>6kAzEI~)&eknSL(M#BQ7 zj=;9I91*r^hYl1H&W<(XeNyev{@UeExdZT*k>1$iMqmzR{drr3*{6azpn{4kufU%h zZ=S4)d5Prla}nP?qt5yfm1V1-^kwG%HCbe=AmLR z(;rrY8WF#{uYqO`5iZ4PD|{6sWbLEFKa$)+aVMybAhs0b89MyVEwES#p60=`mHsCs zT&QdwBpfLCfiVydm73)zs4U_M(T9#A(iIrd3d4bg(YUnJxcs8I;sop|0ruFWP85b0 z0vJK}bf5=15R7gU?f1sY%N2V&HU0*IIfdVT0PQj4!5uz=*N%zb9qc=_vM{bH>RQ6W zJ)e!Z`?+&xA{GpG@_bZYBh?Y;E}x$jseVjw5lPzMM!De#I)TIOXmvXaf7!dDliWn# z@vYPFkQoW7((G`8)q0ZppAve|f0D#gcUl#Ix*Wv++DJ=Y~ht^ zaHQk%70cQaa_oXdoG;KLmfxXqcc8f-3rBx@CkcTL4O~nW!*-Z z@PO<6i>fe6gfPCsTY+ckx}hZ)an|lg`ARdUvhGmv-vX>tZM}TzHNk%IQvejAn^R_l zuzE49lbN<>Fi1DDFZL*+0(FGK1G>qbTg+`y&>0| zd%_%_3x4{(pGSI_b>?ORMGR5ebT-+xnq4|#v`XWOK^G_O2dDFkj!SKRAI2v`=iK?) zT6SEVnsA*@M6DNGo;Z%1_R6x@0MU7I844gU!uGXT_Zp95-(L|gnECHOx3}@1bK%ES zL5-M)H!(M%y8UH+>qNt!rVG5SO`I-~>@ulW!QKD-(X5nZSA9}ypVy=BDe=5_5)*GF7SX(u zumUS04`-M+&5(VnMoUs|HKk)^#HKb;68W~QWdae}6U4vsMXm_jH=y-%hq?_-m9od~j%i!v z9;!kcaZsGvZ6-QOY_;#0;CB$=p-o%^gNsyCZ}b*3x_BOyiFYk3A|Eiq8!*B{ zD>)pCV0!E{mi9GJTKOrVWW&YiK%NpTPkAV0riZ0hx72>)FG5SX>~&o4=*(?|enLa7 ziOVV%53Th5Mbof`C(?(fhll|ss6#j*KXLB?Hu2%Nvf;Oi;SIKdKT_$?xY}0R3h=*zlpKu8T9^IwoG8-AuJ)k*ON9~lDri5c2>)q$RNSe zi-4l5Bqx*Jf`ogiK7~Nua+#ols}?N;^i))+G`L(I^@M);u}l3jOLOoBxMp(NTpl&| zv59TZ-Q%bFwU3xgrgI!sp_0gJL`s1D(OzYw&aag~T(o}4&+bMUyswoNgGHX*9sUO46J zp3;X0D?%nb9P%T_SN^4m?KfEX9z@{3fCtN>xx$gU?=?G?+B|yNA50BmJIxMINk`rR z_ibYJ8XR+;|;raC5=lx&6P7?k57uy_e+JwvoHRMtMs2~^uliZpARPZ zCx8&Om*bCv?d;uqAIl@JypI_299+1r3M&t&3RR2-yp0C*gSQw4`w*XEk^rNI-A*I$ zhnHXFlF;3KhEryY?hT!iaLbZ|lPWHhVSKS|5)|6mz# zEUyfJ5C2l|?gd%bDVrARxFL>buqh=W2Y!b|V zuDyp%U*^+S#bx~Hqz1;rB93k%0e_#zs`K+qD*lcRi;2^`Mc!i5#nU@-e#;-OMWz;a zT9uA}$Ba`sN}Aob1$RVHQP4v11_`?!j%q`*3OjH@o1M>) z;wxb!lws8OyH<57l)*8k9_s_~l%H~nRd$**b~?+2jco`d15c;#hiP5~%LD?Rl8f%~ z2R6fYwJ#EBRQLKs$LM?*?TbUKW&C~KZa%mTr;6ffYQlmNdvrfzW+s2~fNKS2)*sHXGi zr=7}3#-XCpxf3dwyx0v<9v}SGp@!xmq4F;O^XR=Xx`*W44Kke00}IY}uMqrVTt0P; zf4Ibg9y1z2ral3oT($UuSC|8d?EFwN%`tktVlp4v-t|=kIJ9)L^&&y>n)^f@I>ISw zTO_{NRK4B$rnMD*!oGWM{hgH5(#wFDCIT6|AmbQM_IdyR1Y8HB_$vJ`NFSG`QC9S& zRR1*am=-^YKXCmu{4b|C$v-OP|3}Kl+h7JaC#7Fc`BESJ`&r{>NzYAjqL22n{D)H9 z?^62gl#lg+$rt}hd2K0Q+L2?7^~U-(tLN@W|1r@=9b-PY#=&jdnB`q%r)wgsUcN5u zl56oNzAYxpr@Y+{(T%w`!|@Hv5E){$9G`Ic4U=T?CkE8od+|sNQrS& z3KTR2f{l=%gb*Yc6G0({_y{IgnxKDFltc+8D3A~XK7MHUL81m9sKmq{1~7WQGjnIV zEzlAsxpQ~!`R=*reeQbVLD63bvFX>XU(zJ1X=IX#Agx=0Zsp7%l~vOXn&||dulhNU z7pvOaHm_UTwz{Q!UE4E_*v8MC0!|BPttTg}B=zNnrq|Vh_3ijo7x1gUB|p#3xtboN zjBFp6&MjcNq&KFTZ92B4TYm52&MV+tcT3Ky=NW0s>=Vz23V7Dsl&7kt0@Y3Dz%lTf z9sPDTo!XBK(WFr6$>!{=eKkbVT4r}F1WdSjQRnuN1bc3`H% z6F^=d9D46>dUZd(#?k;5!9juN=vGpX=XwTQj+*vf71V8^g%hnBUqrGaMWy;&so z5^ck-ji1pJTc%5$!m=1ZqgXsn@7Fu#{nAyFPzEA|Nz*9i)2~GvM%}=QG;~r&9SL%l z;VUD>45Cc==dF|HX_n#UH6t>kgo6K+;bJ<`?KREyPtz=e!LnVm8?clax~Z!tHW6?F z4cNxi&4liDgN|V6-yH)EL516-G)u5ploP7oiG6TxJ``+Ab9Eo-+*RCR9E!uwwH^Uj zW?Vt+g20t!8e_kBbMg-4pY#pqJ!%Bw@G22Y=>6g4x)z9AMgy8fzKP2{+!-Y7WHZsj zXEYmrLhZ5B*e5NbIxKRSNuKVavoRh*jN@?mdYiQwOH!o5L_&%#5u@q-?t#nKfRkz# znnGpuiW^^I_R@0&81B{Jz|UBY?(3gy{~RuvNCSGNT{{^J{Mf5q(pQ+wQ z%1PZ|jJ!i4Xo4e1?A^q2KyI*&<%m#&S=zFS@1E*vteY8`7=+J2@do`U?I?W_rjw1N z`xa%EC?|69?rSwPvE6WfzNeBXk+V+`A59by>Qejqfj~D!IV?&|W*yp)YASsvIg;?67bX zC9Hi9FnY1_++4^YGu}6-;e|+LW{l`Z2+0rO&hZtY?vGKOUHI_DE<#L?5eh`{oGNKy@9MO-@R*rxFF@REz=)MzI zaVRiIte|&|_;C)t(4XPo2&tra<|?Hwu_VU-N)+fw@E7GR|R`GVo;s;gy!rFy=24PlPPs><{5pj3q~ zi^&8S@H13wqJ4sW+QT~t(GZ@^;cjC7Dt zJ-x9!|2HJdg|BkQmNnpxyk_o+Cc4rFno9S&yFI}ykRRFKL;fz#MM1iAEvy19EO|zE zE$;f9dqp|%uARBJ2$3PXw@H1?PUXuwyF)Vw5k}}F-nHl1*5clGd5X-D@tXMm-X(4ZjI^A{owY^4|jdeWX|DNKHYWrENKQC znO-{Z^%Z^)H;J&hLUVDRhR0?-H}_y%0{%6;|&c^)^cX0jUev8Yw1gD=b~4X|3E55A@WRC4Vz@SAIc%3!>5W271Ey zQVW>ArR% zokC;>gkZ-Ubb?UmtF!gW(R1q~nIso;K((=7d(sW9-IKE_Q zj%F$pIjyDblN8j2$SC(J3(}Snrsky4afYnU-E)(S0W%$07b=r}9_Tqg1`<>mT^LrD zVN1goQF_?~7?o|TliUFre_vFFTPtg_e)g1SjuNLEZvbRz8^<%P<|3ledfLJ~i9XRi zIH-VdGW9c?8Bqmh8Wo1~V7$M;eT>v1HJtWacvq4gW_TI#W>qCc#%7We=EeeB>0Bbz zr{uYCM}QV=rHHZi5d(8nFOrYz5`i~?Sq+7iM}@?qNV?F*)J4oKN|j9coMp}%U^%N7 zafGBpOS6@$NdO@E<>d`7o_W4%Vf@C+TGiGnJ+ z&&u{z`3Bo}4z3-H#*ytOOozJKl>C@Wx~-gW^}nzO@qJbb*}lJFJsv%R_fg%sM&0MK zbsDGXZX5h}OV(97PGRp_2pQiG7%`>)Ok4P0XxW0Ak22Rh!2{X`GV3&;pK0T700030 T|9Ao7W?*FCU|?Xg(E|hlmOX^P delta 793 zcmV+!1LpkI3DpUZd4C)=6wgvb)dBSYr3ci*yATp-lk9Aws_BU(N}{4}wao@vPLX%k zGconpBYz|e7x!@ z%ap|y$`T@2dTGF`WHyu!`4VKSzBRgou;*N=RD9;Uw@X&)Lr=neG5dR>kagT z@oz0)_M^rLIDQ+%DYs6jf{vLlxLvr{oqNAx^%^dAN0;G$`agld*Ql6J5b0xHFiB6e z6B&PB;JsJqkpo@TMil3cHV!r^-QQ2QhJ$pMM$&P^=s+8E7;{3aYX; z(j3iHC~{g$+Z89N3z1RoRTiWzB}~mpqvH%&ox4kuivcqo*$^s|ejeyKzW@?c8C@7w zmSIc77g2iI1Q?ZVu9MpV8GnDO47XO+Wc}<%o;gaKZoC1IrEMI~w3>^EM(b${^CbF2 zkKv#K!pYRnY-U6im}yiP&V%v(0{1aei_~yBYT;c;c9`L1#G6%>6d9XIPM8}DY^8IF zP@j@Z;m!dq*h&#&?IQ-}s9q!=*ChgP0<#(lD~}3^MUix&jj4;6TYoB<@;S?#H^6dM zFX9MEhn8k5X|V{{q7ImcCr11nuou=DG4oCvyG=BaoWY>sIVz;ZIO&AA46SiA_ok`2 zId@D*yPfpb?w}h^wL^16C%J6UU0td#L%W1GCUR9mtCXBz#hbp zSSe)t{)Y8<^c>ztb)PrtuFKYkI34b_!GFADU8Um&_8x?g@qLC7Q~LL`h5vNDBcmNRgYrkBe(iyuXu|t206^Gs6y`{G^*ld4Lq$K!_qn00000|NqkACD#A| delta 92 zcmV-i0Hgo)0rmlqYXSC=Y&uX7U|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDd4MqkA yV;{F@AjKdA#2`g({yr|QLGk`hUarmvwag40Kt+>o9_0ZlgBu7@#0UTY0RR61CVAh(vejy>5oRV!xiVd}&c1vE(O1HKoV37n{lf=aeNT`d%henfx zznAi-u-^|qo4T_cP}=^RgrZ~yVVREHXO51hfq|(eBqTk3{>~{^aye68;w(7>xVDdR z_EcAwUw`x*d7W@eXK7vy{uDlI)yj^jB&R@^^Q0_hKWe(I^j%IM6bPV&p07-)!}f z^}fUTM4u3idPWD`lTS3e+-u4@Y@8;w?X-7N=^nHeZ@NRJ9u>Gs%``c-AwJdPbNAZQ zV+;;wb%m#%Dtb#sI;Lw*OVj0ShqrUldemR`brCO}Q$eo2hQ^#F9yM>uZ;~ZRoY#wH z^_^McV+S)4WuR$ddJP|rHTWlU*4^H=-pZH2AN-~}gY?nM zhO>OFsvQK4Iqx>(Ub~;%_xlkp`|Q`C1fYBnrXFzil}}@yz5fgJnR)GZGT)CE5%|!E z*()4P+(F1b!EXVA&A%Dh-!O57OKi79c{=YyOaZ{^2)iID7OArZZZ-?_OLXs$*9=95mSu$RG}_;cvN*?Nc%9VsWLF?qJ7pH4DxO7}Z$Lq`35 z-?uE43x>8~g~Fh$VuPQR&!k3gt5|lzS^p-a2<=;Xh+FTwe(8_OnwLvIxo7i<+T)ZG z_gz$57RLMHz35|6RM5g@ihXm{$TU5Z>dA~}KQcEzLn2GZ-9vo@m19*d9F#fd$uA%y z2d@ytoYvQ4j;b>ye!lDP)N)N%`V|2c69T9MR|h=SZy5Zvho=k6OG{%dKs zjc$qK1vuu8oc>9#G*>L+bZcZ{SYy7z$vk1~{hreA6fA3K%cd<+z&7>r)cpj~8Pxql z>g(C{)6o60S+Kw>|7v@qY6rL(qwBt@ApEyDP#wVQobI7b9WLri`iU}oh{`1-M{@LE z%;zs3Ho+Op$o`nUIhh&6DztA=?`+f+imO7(G!C>^BC3a(YZ2|iTtcXqreMZfO&(5E z3gGXMOanYJGZvDZXQuuas2+|!&dzw|Begp>j`O>v4nEPR^V@e7vahviG=k8(irWD> ztkc)Mwnz{%SBy6Xldcxu<)Lrom7Ronn5PKehbPaHd7l9VMmFZuo=DgtoS+P`sJc9=$XZ|z}RJ1(e~h)p!ju&jpXF1jIZ&`$ow8CZ8p4>NgcD3|?&FQzq)x2-Yw~X%lP-j>>Q4d^f8n`Q5y8PePhWvssSr z3;q^=@O+vadVX1d{%QFZ^4{+WoxvPAN0p2uREyZM*40;!fN_bXm>3x?G&~8^aThn4wRUBoj4Ce_%dd zX#%nscxD&|Y@O-n8Mt+ut>&hM8Ui4``(+RSgjqj?kKyJd?=6aF}E<6Qk&nSGxj$6%I9s~?BE76x7{&GEA*%GzkT2v^jhB486gD$0ARHJMF<^J>Lm0cP!+wo!- z*`O)EF=b|$%18I+>`pF`uP3qWH1CZ*0|HD_>3JxtqbvJ9orTztlX8xf5!7`jlNrjq zywAE|jSWss9a7DrK{FbSNZwuU4hcIvThdOq*ERXUgQ};ZRh(f*J#H4yiG2iX68hb@ zByHJ|6D7Pn8zQQ8ppglJAJjx)!4sj>TdrM?60*KP2LzTGzzg{kqRiPY{M@(w#5PMO_0afyqpwj4rR^VO6dki81ud)Mwg}*#U|1jTSci* zwo59>oO}1WUR+wui`1UA6jZx;>j>)LRc~ikuqcL(B8*DCzK*IBMq(tb9=NN@7V%Xh z)pRjcEjU_wtj+FAt8bUqA7S_&aa4D{k})FMWOyhJNe|+KD)V^ADSz1))1zATn6g)Q@-1c!X>g$Rn=b@zdOX=0-?61##+2N<_PO_G4-`Py0haxcB)id>t);4RNhXRT7ET| zLhHuGvq(Nd?Y2&;yooK70&fgfRcWYI$ZOV$0+5+pCLPg(a&Xx=>?+ip6<~)Rtsg^T zfj(Mgz;n~L9iC}k9?7Rm396m_*5>HlR~rX6xGn$zeGD9_+)V3;96DBa5iGx0k5A28 znV3F5?HTKc*M_ukR|1z52E>ElmxG2<3KmfbSIj2@YonWv?3Oc{0I3D7F||_5VE3vR z0N|=&l!BMPy5l=ZVTiE}={+HIe>CLOAXFMW1YM>nB5?(PU|r5UcFcG`X7uy7e95p? zTogpr%xRR|$;wn4Hd-g{$_{LAA5T~X%J0U~vPL6PH@{bMxJisG?6<`>4$y8S=+fN4eG2Tt=gj1-!b}av{oxeO8>qz+UNsV0@YM<}TF7yj2k2%HgrOU;GBU0@3Ex#@>uVgIE8^*1Ub#&(3KC6s5qSoyF{51u6 zIORdE5klvVoWRJXJzV;XKPVt?K$i?}fRn;G4>lQA#G|&l4M5cQ|mFsNwYnWyh=UN!ILW3XJC`SuB8GdX`+Y zG?(>4iQH_nD&#_MsOe%j0A>~}C)tlXKLRjoSCo99QE_EKtah8WeRhPNkFB1AOq5>qQ~q?0K{wk%!3 z?=w9qNd{y?^2NZxq!h_s&mCbEa9?pb!Fe*7JN*j=+BbnY^rua2H9p>Ia9)6)0Km?w z#ggpyP=enHpw8j^Qm7)N4lW;wk1En#8^0gJ8#UN0@+O+m@u~;+-X_nF-3`NYPkr;Cwu@cb%d#^(0F=ZRt6~@} zk7t9RfM*l^QxO#Lba0E@i!P`lPk9W0JbRp8E( zWx6=kx3;fL1wn65h5ghh=5ZLBNR4yMg2N26A)tzr9?@~Ayq)?Wi#)NH{W zOIC2M70Xz<+7+F&NeTnNfq3Z|Mx^Ug3@De!7-4|>a1l&yaB%4)lo?Kh-L2dc$UV7@FZ)*v;ylIS)xbEMC9NHk$T4eH zlD9)$647olrPARHR{+OBqi8)vF9-f468scAvLQ@YYtDTKGGmk(D~={BeN5fW+Qo`u zN~Fzn_eCj>$>GDsctb76aZ8H>YRDSYp~&?;t~f85Ioi#t!8nk_)lU65+JZrt%`jX6R5i=703nq2Ny;a_#FqzePtl+kv!iBx30a{AC%RT>LP5+1GRM_i5H4`Da z7KvS~&On8r)P)YJjqAv{yMHqVj2R+?(Aui$)H|e-2ucrdMQC+1p9aR1Lse!xaj8t) z|Dr{_R1HyV)*_Q~cA&dkky+I5k1x$2isbRzx(MwZGA$KOs87_g4(IZ!-P7;FA_-`F z2dB-(x$oDcwc(ET`;i0kCRNnVj1UyBx`agrTvP_VMymGZTwZ3f7sK~cr7+BcoYQ#` zbbS8^vJ<~4+qp@~C&_4ICx${vwr3%n)lFCn{hx;aR97s-4+CYgnZPHgkBpCWy$XSB zy$a>0V*FGbvi4Sd->OG@>&I5_N{DEC4MIGM4&m_Q1CUXr=3_G3O=um{Ioemh)8@Cc%tg;Qa_$Iy=dW~ zs8x&hML-nUjFX_!9R?5TS-_Evyx06NxK!PTY$R+lgfQ*4zoTG?7{{7)-*3apJz|b0 ztsdBPLGiU{)sg<&4r<#=d9V>L%-;lv=3JbC_i&pQc6#j&-d3Zc&el%>isqd+HVmW; z=~_`_zabcG+wEsh3^4Z>-P-6!#grufDv=xy2vogESRGVI|3f;ej*z0%u`RI;O%UGp zSJ&(>9c+^Qj8j&qLX*WyP-fDuN6R1H+7FwHI&-Q7pL6RU2cQy6Ba5pxYa3=XEY;3$ z21<8UJfiSlsB69zukm%1jFb_P?j0<;&!-+^c@WFU$V>yvkcR7ZypA`i>0K=ng)`Zh z7-dK{;)bkAGD7t0j)ny-L!JLBpvMux`kkEGm!JBQlDJrgnAEhsS?@DAgy8|9aJ(2r z>T>qml&YAP&LCXLH6e9h`ziM=3I#}SShA*`N{iZ5~#zl2G8G6SG}6lkJvuzkqZ z5eCNHWNP>dXq09R*F{!8VCLx}`h^>0XVLbDgDO+qY|r_5cKA_?-Fox*uzxnSy$&@# zIAnNnD+;;));6W$*Xtj|lX%6K(dddy&3Z;K0vUTYu+{otj;4cA^O9g}gRJ2MsPjcR zQT3B(Fj=-{XKeg9?GoDzI!wo{4lu9tzA{(CNEEH|rxzmVm07}N4Yzrll)G>x0y3R{ zi$Lb1<9~j!MYlb|KyQvEf?XNIotJhwuw>tDotYw#RPY`yPf;{;uu3dpl+>5Q$rdF& z9vVl3al0T%>0KMQqg^c)NYpB&5ckP?hyQS?QM8LcAGo5t;tsc&tIz3_4!TS%J6Fnv zIn_?mrNc7t_YJkG-K?EXkM>~+DA=W(TM`J2oUzxoii}-dxyMZT`%DZ*j%aiv#qCeF zbDGGmR^aS(It&gV)OI2s%_!{Z^$uyK>EpwSjMZpi&jUIaL012|>~KMjXi^=`;UG1WjX&M<@4!X_XZbrb+CLjLn?K+M6* z?G1+O?(@EC&-33HNWQzhgWi$8#=*Xr-4o2KwAII{zm~u!n4)2+ClqPG!(=ga!;f;Pjr42$ZMsH#I5QS6>t`$a~@vDf5&|9-5M?FlR<6oa%;Sc5u z#1m)xp+)Df0&ZVNyWW745MR#>b!Bc2JPn zd@v`ma0NI5li;dSKte67mvuWFr)NyCqgMjIYafkAz$j zLAEiM-MM+ct4-NGtt+usEOq3+Xz>Hf68B2{*gt}E^buNpw#)K%CYaDBmYUYX@UT2k z+&Je&s9NzMvvAH{y=$TwVZ3m0R~>FZs|Mn;CY|O^?8$M8{8~OsXjH?+(og!x*O7~M zMQ~+O{;-J7#K`NlhC@B1ecj$nu@suc=CB9sfa=O{0X~tw>A^9$>zXk)aq(jL<$XsL zTGgfCWmCih%j_P(q?1_6LsQ`XMl2?D)oPAQ!0xWnDD}=%kE8Jv-tovS-TS2%42sgZ zsXH1G;Ff73RhUHwlmqT)RGdfValtz+eJNcUZO8}s^06=}N2XQ2eS##RV*4m%P;*f622-85^d%e$0OM#PdNa>YH!F=M12JujdJkU(Fm3Ul%MubBsX6grC8+*z zGLf|(BwUwW@hZ*XP>wX^x)rLB^R5>jnu`kMR+gGG`Vb2eF92syXEI|~gY(O~PseVq zLQ**?+8D?ORVm3)YM!BB8pq;4g;_H@OjnVT#If$$DNT^B%fT5@vM{A64T=n=qT#@}$)T1Jv|w6ynC?J_t`>dqv(%!dMK@T2`;qly5pb}ycY{9^s9%@v)S}6ufni{NcDQsGY1O{hkZz{uKcG)U8e?Q6Io&7r*Uby( zaND1^X6)rRMGnsX5|i&!u;&vluC;EA4fR6P?7g=2Vh4if8iP0Ji8IVQ?$z3#oWM`W z0;lx}NBaXDrsu&iTgl_GySvQukxhvM07$c(0Dexu+p`4ywUNSf6vqh8+hEt~@&Rs# z@*C|mo0$6keRGF=+z3(4M@;LYB?0(O(=5?t57NnnxG|^1%mS4~{fQY*EcqwNeZ*7e zde9oG-C#5RhTg6h?9&D@=ix@!{YI@>mIB{FpcC@&d`cbq7$C0)-qAMPI1~5sHg7lG z`j&7g>{&WZy*b6uDK01E70&G&$B{DTR?NGz<<*<(usCv3sHB>pWz9jTs&Z+joOk* ztGW_60ERE_+)D==$K;>Na{`i_&P_^8x#X2?NUja~$DQbdp;Z=Ns9%?z2pi}2GCGwE z!w3sDtDy-#RR^g@ujpRB@X=`$?86W-7AF$UlOEqRnMRoUMljhRv+qyg9aq2zpz|L- zrmuS%-MU`dZ-CQxvK_=1AAN`?&{P)2u(mf=oN4Ta?-XmODr`%D2xOpLS&=)}ul(<6q@Rs%|WLgwzSoK#Ovoh7)Q#R>@*> zx$XTq|F+JUw4Bt5jta?YuZoms)&o0Z@DE*vqSA)9z!Hp3p>d8k5^jb>Y~1d{f?pIX z2wcY1tr}8&%r1NS`ne^ZxiuZGm+evaZ*T7_Pc?e0UHX@AOC zQ1figa#|CWh1R|$@z6wP?R5uBi%p=rNYO;v&C!VqhLe{iXT=PCf?SR1YxtXa)@O!cdFco3j&E2U$xcmieTH%R9k~|y z`HdDOXbWc($4*g_AAmc zs}GJwI;m>P`H~QoR&_RaZHlhl^%0im-KJ+2%-#3iif8V93`hfQhDHMaGhRmAbM^$> zK<|s)>wi!QGV(-qiGy^qb|8Jqt> zFE@ku?3}=%ie3Z;hWk4w`&HEfruqaYM_n;B&!`I{&v)LqC3lZu3>xT%bNj^IA3O`5 zlJ6APux%z#Hqgx1*mcV9BhzWua*rNCvQhD&?g=w8UP^K7=*_9dZ=H8_+~|*d=*$D{ zmqw+K3Y`%~$sCIm-Mh-MDc31wwF~r4)wLPl`Gt`x#hrI1j7^Y_X+#!KPyHB5*kbOg1!l`Ldy#)UI60 zS=Ktwe^_`>R9U{t>^PUEV>TxlIp%b+G!-yje`x0fxxem^*H28wq^u3C{C-KWk`Z+P zh*k3stWM=@tRA%Y(dQFZ-@nJoll#&!O_-HKD)pex%U?&Z z`24y5mM-S8_!@C~MST@c{;=LGJ~}yR*urSix!bryeZM<$P0xApwLGMF@}5`ZFHqY5 z7WrOGw0rwtXMJ)#BhY&F?!MT$JD=I&f89}$dAaYYNIi5d@4@jcxivW_Kz#U+{p8+w z-8y*Zns{ljQjO-ndK}S9uLl3XEF$FfbzewK+*#(^x-4lTf4_e(5#jTt+Z_D1m40p5 z5iJyLerZVRS@-YGl2R_>ni$ziCexjSDuCeZqn(ftbFe99R8?)brn zCn+<@b~L^vukTvl)-5~7J+k-Qk1sm)?v34@RdX)FvZ9aKBmQ4#RHrXan_il;!*9mvtya_4z6EowLMoc@ZDSSN*b%AyG z!|!U2=M-Zz%XH@yY0{4-`@~sx2QxGLv*+x$cHO>QQ!TITr!?PcP!#}4gu0a)GB(IT0+nipV5r@4MQCJE z3N&;$+y_*rMBOZ0#7A8nRvcBtRxR8+HF@p@_3$nqkcQZB@3#P?Si(V;lz6Pm zp=xz8QxQJJy8$KO7?X-ahhAT1bl5KLfWc516SH!`FLm?D`HBf7{%Bys$k?JNXo^$X zJ4`tv5iuL^fCq6g$|lG-XhSs+1A;#^>gfh!lg+xwX&FDOKucy5Gj7Z@MyFOsLamD= z;5}fms}jAA1Vi?$YQ|&$2c?F(B*^xv!FKrGvHJ?ezJ2n4I|^m1{F+ehpz$A?Ar`o?_YP8URQW^Mo|t_`SX%*r8p}1>bmya|tmEFmG%L z00fD7!kWJX?I?fpf$Z#*?}6<9UMI<=n={V=tty+d1-ayjda{@m`@K#f_-nzOJpj-x z_Ksz46ZNDpi?B3)%Q)^3D&`5-NEG#))8`p2_D*eXX3^Lo=ou&W4q+bgdwnXmOQygZ zxbbHp8v>qnVjXymK{%Haxl=3Vt^vz6b6@C(e!q=Fr>utGsmA6;G*SheoNcJ)2jU@qq6tQ1GmsIJU;zWo#UOZPiLkI*^>3*9;{P>dIKe&4!%NQAw&+t@->?H#HJ%%{DgU`=~L6dQ;d4G44nosn4KYO=w-5O z@}(@2mH%X%1*95hh%J5^2q(1Z3`A`@Mu-c2COQIW45Y^UL>NLac!h5t0h~eYNDr}6 z@Fa&VoSr5!YnsY2JpSP=1j*9Bc4QvPi9|RO0~FPlTMi|*-+=~bIIv;-*btDukt@W7TRw9 zKkQ07ImJfS7&QyGwlSGLUH0SjolCN(9J=nrLzbAK7CAylpY7o{V0-ziMhgcqlTNt{ z1pwwoWgQ|aOy^XO!gCX;#qAQSwD_m^vWrPj(}Q-r1O)|MF|GjSuhjV1ar3mHK$+e3 ziFo(QnJeQ>rDo+sol75~(0)Nz9uF@Z={H&#nc81ZleG`f5ua@q?-O+U&AFDV_s(?v zjOfV!oFIAhNfg8CSEaSk^EBI0os2b;*} zmg5<TaC zRWEhraz|$nqDK?4P7{WM4#QhfzQN5A`gm7f+ekMi2 zqZ^LDqe#XC%CyRFBx0lV9OR?A+~l}<8@JFfc?$EjQ*L;~AS%_A8W`iyg^UiwO)`i= z$*QH(O~PDlSWMUne>50|G%vnlleWSl+rkk+jyTG^JMaL3UC8SuGQmYp7BO4V6e#XEqx5c%(#J&HTi2pGY|8G#?y*c9z zxvavQG}C1JN!_|Us@Z04Ddx*0&UtBbMMTdeu;^>CBmYp{f_xw$5X&op^n4e8(TA9M z4P2R(@Ls^U0QY|c>0id%c-;&(#^&-B;nAwdM3hDd*W_`_<|!IH{`XQ$=f>a|;3fqo zn7}b_9U}=Ag@bLyt`aqo%+_JTC)#{g(ZSHm$A%J^OwBK*@lJ`V)vGRPBKt+mKFYhK=Uhd(9DbCGb+5}6HWZq%e1pS7%_ z6s~B>hpSrtUkt|ICaY3lfl}t@=x_n5eTAgMEY%W{T5?k3qJ+jsr+2_{^aN0+cmA>e zA5l)KwN@zR$u%!NOKOo$bA2 z)!@TVIG+7Eb@JlSISSY2 z3+zZ`WPNKWIsbeQyw`E(ZT6hilyBuW^wO#xF=xQD14g-H#6^Q(h!;fQNQ+V|mk7m+ z|1sNW*v@XSMlukU+9&2 z?1gq@M!AGX&GKw?&jjWoDWPF$g5h#jeJqo4nWiYE@vf6{(@z$g;TK!+Fe<1&S*VeF z-H1!Jnve$GGqLr4ZT)ztOT@TlXozqpA$+MIYaZO3>m%3*%7oLRJI*!?;n_jpTteW4 zM{@Gl{Tl$B*k&(YHR{ZSa7V~Y@ID0?B?F8e0Y(7=li=;+^k6+>*2s=oI-`%OL?87n zLv}G6MB@4!%qcGtgm({MNccr8KnCyXonCJ0^MhZ@heH|JAWSem-FS{!cy|y2lVt64 z^oO$ChcvGq0fhqJ*)bA8P2Z#9l(z5GNY=Es%ms&En!kD9ae&1AIk=W_$`D=QqoZi8 z5LQMqhO#DW`r_2`6q%n8*NI|)3;`^c&HvJjLNbvAgGW@UA&L>?zy_Cj`4O?UqoKDF z4b^1ZFP<_%puQ|`-^%;x5#$;sdgU-Tcp8w98a%&y=?{neaG?v5TYl+00@Nz%j9=~X zD&m9wu1fdbTm%|uSXoO}@G;Nh>dXgaEO0b@PngEFn1Z>Ddj$rc+ zE9+N|D;#I|rgWOso8-pfXl3Zse?Lc`wTn**KPs;EBO`pB< zKL>}5V0N2n%b1msUqRD8D(=awCR6RTv;*sRVpTL1M!Z<#F|e5a6Z4vSMj_g8p`2dq zTF5D@OS}G_5$O|`LN9KuMT6Xx9@RkoY*}s0{cy`#QOY^RncCoRzRqE4RV1m%7*BuI zKqV?Xr|B~nJ28-)$h1L4+T8!06E_8Cw67#%v@g&*r{LB9LVCBpyJO)#JOPY;0!BdrqYM|ZAwW#x%YiyjWa{f%27a|FF0M9vLISoP zIE+u0{5+!xl+2GH=%A?x_L643N7@`*ztd6blRRhsYpui$uw+@w2?#fWt^`*`U1osxaJ6-O> znTyz-TH&v8gx4QgXC!=KQNAMq5XFG>t3YTkuxb_zVZQf3MrXOnxvq@PtL+l9^Fp8v zCu#1H=*NKi@&BFjjJ1I-?@}dt_uH*)#G+b}N22gE7z;C??w`Z?3FwG#YVYQ@v-QXy z2u8;vKp^<0Z2(D0W}{Kj=(g|-(jSfm2^~6~D*4s^sm?j`<6H#3{|!00hmcyrt)IQ; z=UxnY45hDa_)kK7Qz5#w0MB6rD1={$lo$3DZew3!A9IyXD7r~FxNdx8 z%)q-N<30p%AL;=yX}z0t#Bu}gfy;OHmW=rG^i0s7^Iyb%g9O@1>UO&iq(=ZM6Z#)N zTY!z^IshY2RpgsBmpCy4p#Uh6$A|1K-|}klZ2l2&XC47lUw%xeWLxJ-D+i}mqN4M6 zRG`0m#XfJ!71awDLb5Hwx?oUr_*W2`MNT9uYzfP+dZnD2s!xBc$oqV0e|L`4w>=XISTXu!Pk&cd^_%Q`Q%8FIr zc?ggFIynjQN;x50%H2g76@A^+8q!9G=i0_J{1jFlQ_dsC4&XlHCdsP6TZPjl`V?X_ zv!FL_D0C4dOF7y(4x~49TEo_Zw5q4#j!1M4CW3`5!?if~TW+Gws>6X=puG`0a@mD2&Kw&`Os z(P{L7? zoLW6Ln0KJuharH`8K68W-k=mY{ubh^n8gQjy+$CiB>dsDp{AHsqMjCE{08AC5W}ah zZbKqR>_FbAB7qNpWH&yQarA{p1Rgsk(vx@ESsXJ$JupIa;^8J)B6GGToWErp0)roz z0v})mxS!~htU#;9TKO29kSc4FO2C0p#~(5}cqF+}aJDc73Dj8ZR9Sy*qe`9YcW1HL zUjGA75tKK!A7?c}A>ky|9Wr#TDrCQ6K?IP&^U98nw!z=H)b0KAGolK*D6c@p{h?Q= zA|7eK+`8fp>pvBV{EfuHRiVg)wApw~!owG_CV7RxncqGK}%>#`#lntdrk zj$=$54;514SMxM9`e!w9;$z8xZeJVHd^e&u9GZO>)xjp!L0OvpU@wu_#D6xgpsay!6*RSUjq-DpGc&p>hP^Hkwqzx6^5FFaubDPy14hqF>6u zB2;%Ju!K_nd`2OgXo7G#f^9!H8gWN)fBYf=S9F0ykWZ_hKC`~-M6RIyuXpxFqDCBB z%jizs;Aj)hPn_Woi;-^~0F`ghP`dUW0t1j@8!5T>9h3WT0x*gP7|jBV>HtQ2ek6ON zZj_BAx`8;`NK_jkaHwHLBdD{F{_y7(=XnwPqXPush5A#Hr{`aN(2q|A+# zdx39-sAoz;rGyScB@qhavu_}Sp=2@uVuE_O8K}#8Vt^L19YjD2ns~ZfRD8{0HTEw$ zpO5dXxX%5J34%t;k63gEqd-bsrf-ozN*~)}CxKjP%I^Mm6fW;-$w;=Fc8h|S%O(O~ zv+7_1E#zl)WPV@}jErp2mohxZ8kHGu)xnv{(43zyTF8j%$UU{hJ{F=MQKM9rb*h zzPhqxkluk%ur<9m^si}tdidKI_YjltWAM9&8L4Z2u)l*( zwgE=n{ZcD-vjptF^nD`t4^%njnu&E`z_MuZW%{a~M5k{1H!j!*2E0!H=x5aQ+BpBM z;DfqhA;XgEgrm1>y1_!BB(D1qbff&z+O1_ydZNknFD8#*BHQya(E5XM$}-Nfom%T) ze6Zy?>=`VlTR87yv;IawLO1*`C#td6Oj)6eNi-^Epf;VhNz;)sL$fEP(c3aAP4g7* zEsJLD6#As3DW=yH*~5PmX?-X}Csen-5{FwThsng`aBWZj3>aDxQY!m|hxn9v%h1Nu zLna5Det|&!qz|AI6<7hw<)=nB-Y3wsSY0Tl+o zo8X_y*({qvhTw4#?Sf?Jf5?OS0&Np59lD0bF5)izfIskO#(4F|CrMt?sVhb2G(tjQ zelIlCLBHdYfV?S3ip?i`cW?-Ojp?nMu~75xejQgrcjaEh5>2KXR(*AW#rG#BZI{dN1@HQGw(*>A!I1Ok zmu00n0^2feb8ewIFl{z$rCcG0^I&8!h4npT5a(Q`*@F|l#}rtr%fe;@OLX(HV8JiM0d|IXjanAtdV;qh~Y zD8&_iM$NtD8-(KX*}?k|mNRitj#n)ujg!XMyubfb8+VWaxeg_}5a=rzZ)1POQI4xn zd?Ah%$~k>0g#JlgWvJF}Ho9!S~px!J0C{%*i@p%8u9`$`-_Ln42(4*2KS$Q+J=74jJ+6oiM|4^E%EB(+LqBaV1cO z%_hKG$X0Uj;%)wgpR)>X&6xJ?#dK)hyHJmuGOgL0qy94$yJEK3Cv)QwOU~pQ3xBvx+DB^GA_>rdnzbR2^B=zN|h zJc7s<`jwaMu+C>ucQs3Q*f=$1h~~%qPG6eXF%DdTYIp{Za+SdZvXTRS3ZQ3L-J~L9p zH3;U6Z5n0wi+Kj<2#hTqm|5J3Ql14$74& zQ_&=dgaS~6V)bRfg0K!qQuT=heP0A5V+Ha-pqcVtga;5&$X*1<$iEmx3r;e()-Ja} z=nN9p+PF_LSWdi;>5ZH;e1}(u^_qR4Q7eMuCJMd(q;@mH2IovURVlDq?>x4u*;~|E zI(d$)vtG(I6)!j2S@zpJC{u9I1vX`8+LMtapO=@`h%dJsuru`4>?MC_SL~Md&z!yT zF=plHw->S(mz%66Q_0l0IuJp%kk+cCACgqWt|g2WcF9))|NaIbMk-kM^sh$Q zo#^?8{R#HBmkoJsJ~<~JOoQHDgy%+F+|?Mh+zZ)ZO5zjm|K*yt36z=Ec4lpejZwn>w|aS&d>^O{Qnn2a?F9GIclb0gQ40ZT5v zjK0my`Q?_%iGvaq+lkSPYZ3eIf7-?qxuq7|ee5^TXS zl31UU$+><-P8Vz!49i?d7|UW0O;8-^p=KHwQXy=^7*BF`P|@$q)sbLW0GBDbjH`bj z@|^yPk(zQ~rUg%|RtARMj8iu@H|;kHJL4T)0ZbrRuMVHt&CK0sb ziA9rw>{CS}*34f%uly@?T1l5=(hZ@@)Ycz}>r%3%^-Hr*l)R#fNLw8Y-%luZt%%_k zm7FSt2$|9Q8GDCosdHz%udE+&k;Kn}hePpDgg8Z!Hag-C1=`|lNX0{OWad>TU#?EC zhTGHP`~`2|jO}`3?xycBXPucb!&@j-Xu%KXw{%u(Ms3}EHlG9%XV=s%=PSYFQ`l>M zg_>Ig5;x{Fw513G@q+#fWKH4!LNZAiV%QcYH2xygG0&!a&&5s=>w^;UFHEFk`3E*? zgt3%Iz_#*dP(Bgx7i6HU6ru^8GLqG=5)sLx?(TvoQSb>~E+iX`FzLr!CmC(i*e{_=#QsHA3l*Vm{^)EvUi<0;~f zm|M{g@%hk@Jw2<3mjo26ADyin|NavMN;$$SNmT5yX$+$3T*H2xizt){{Tm{c46S^& z^G~eytUO6|BSuJ^Na?VN8<8{^29g|ZYC&DZRh=-qD{E@;D+6Ph2T`E< zZXU{KBW{R_WR*=e*MbYEfQuXVL?bQ+&d~fccSTbw{-@APg|BkQmet4|^P0G)o8i{! zs~oOgcYDIpg#4KOJ>>7^Tr5a;u0=kfg(=S{*5a>Srn5RQF^j4V$1y>009605|*PY literal 15381 zcmZ8|19T=q({9X-Hrd$O*tWT`?c|MZ+fFuivaxO3wr%?j|9t;YC}GgJL^*O{rA zsjlhiuBt%+0RgFm2mLM`zc-<8R0TLVn%mkCGBVRK&@mDUIh$J<6EZO~5i+pRGcwUL zF)%U{Dghi^%#8qq@&-nhgp7nrl7wmiLqbtwbEoe!Q&;~Z4g@5e^?w1R3hBT2i|4=S z272KQn~5jdYD-Rd1)mX+i%7Wc`O{?7SYehgjq@*V8fhl;9~cy2Hlm+o$Uh-bz$mDe ze_>q7dGl54rW^;r=?*4|3V=QzZj~>43kt|9peRe+eST@Sm6xZK@tKmP#Z_%Tl%7?8 zZma2eKQ7KKj$f?Q8V$SPg7)!optBvbT9U|#Sm2ZZkR&-Vhjlsdp}r2o2omP{snAuc zF64pWo?3^{q#rvuJzwC%(wNuRVeW)j6Fn%g+DHNE1%%vQ+BYwLUR)pzkFy-{|L{r^ zZHO7jG+_=xM3_SNGvJ6$4+hj*lWynmC3TrR_D)vn6auo5<9cq?3LQ#c=p~uQKGjqa zcBgYULj_T_q99Z~_U2Z_BVqr}}s8RjzeMyN)~o#pcj_pxF+R-N@z;nQ4oHS^&` z=bVd-=T^xEMVCA|_p;U{w@bRVp-F*+}@cRGNUJ8s&H zyL-g3E&dja?qb{R+NAoZRI4Y%08fE8Z)fQ=1hV0Uw1S*0OFa5SRkmFP;W%Lpn zd5zpU0f7G)SP~=^yfi<&Hs}5rR_|79prNH2LHFkdt7!$dWzq@`%p+p&X3JSc%otP> z(uk+xd^M69w#xr6;J*^}9cb*7?39o%CWkj_gc)kjDx8@shVO3B1aBguGp0m-vmxw3 zKTY8B?G4#sG@-UM_BhrK3J?eU0T~F#+}aRR16M z1xv9TZc=f6V(Ty%f>_i-`;pf~?;b1~BB>=Nql_9TuLsTJk~!h*`&;3I(9vOxQGaB< zU;JsBp>Ra{?~E2`T^`$M`DCsoYl+^SJYQG9PgFO#c zAjJwgF|&h6wu`_N4~IVl*HlwUpK3OjeFnY7nz~+S50%~m0nd%$70z$3a?vuJd(G8K z#m;3fg^>B%iXXkEQPIDR$bOMT9=}X3gW{k1%vM?Bug6{<2Nj5RQE z*{XzO0svRNgp6Y*-lARNm%4#%u@zSXH80!JsQnTnWgYf_s zZO2lygVko!`~RK>l3L=!3r13Yo9A@Hc83WVVBXQPVsYpWo9_KSs6I%vM$3_j_0olx z`iiq%v(GXKQvQhMA#-i_a$F{q^IiAtHhtlLOEUmh@SXR4Icv(IpKip!Y;Di`__?O` zdhPPbzj*mvVhq|oz~}PSIeF6UfF70jR2afZllG z?Sznz=es@-@WM^oy(g!h>-0w2!uZMibA7tRh{EfBXyxm)Tl8Z*{V>S;f%=PStGvb+ z6TqBit_P0l;5Q4{B0kzWaK;|**wZ|tmtuFqxNG%U`nZM^vTOU2^oeGY4D})F7Eyi= zi4OisY|Jq*=(W}DPJMRqTPMAbEX{f9T!D|?;Fa%Q!S6%-yT!MsNXu5+^0_9LrFOpn#1xT9xECFn0x%EJ^y7Ms}=PKU5#|%RVroGn(yUfRY<{K{?2qq{ps{}Y4tXG zH&A^ueJuKhS{qBae7EVOt6qaaI!-8;9-HweAv>O(#Mc+Tk8bJ^74j%?*+h5NN7L-Y z@Hu6i;NTuk5rbQ#ADc7m`hp|X z5G*DnQG0cRj_z&dyuJGqhwT(-KG&VNwr!Ps(7H$mac%a#2h$dkWXz+6C#WRazqpRw z=uFR4N!?;a#dukdO{)ET%M+bq)UsURvMMg{+SKj?_a-Enn|K|H>FEFYnpTB!PMv$hxHs$Mz#fX?1)5E>=!p9(KGuv!J;Tl2 z3!~_@yL-ZmnJZ~wxYILpSBF*W=(wmKKBsfJZjG z>>^D2Z+)WBO^l?DqV)qJuBm^-EG)^L0J1PJa%Mo5hiEgTY8Co>|B9hE_Oob5go_bi zbJU_C$;@Kn+4iIDSPY|)L@%jRBA9o_*Q)+wncowQzEYHiV7DY2k1F>2z3ni=+nA*e zGs{neYQnFN%3?{~CKnxUAE6%-$M2ys&eDmZ&5LhU#4@?GPe&VB+05J2^|WHrJiK&m zvR^!EE(!(sNLVor|!HSr5U|c3j^}Uq)Z{VqXR?Rn=|x z`McU(2tLqlkeNt{$T#VadR~}1z`%L9VkDd4eRlyWQ-psk@v(p5)|zLVOUaabQp4|;Els`8?m!xM>r zG&yEBCZkX((w8g(j&|;z*h}kXmnNNyss@#SLppp8ZW#_YA9L+x5IWU#%jQhyC7_@k z)AS^=OPZ-fu}k;?p<%wzeUN$6?9_V8?Nv;-f=jMPW1}VeU_V~ho&)kPB;DA+gHq0$ zfhpDGe4!FlO~$9CT~#64uE}Wnu^l(Y7zYbbynsKU^5heH=;V<`mi>8lR((W~_bBrf zDAeFll+V3ay{%}ovKJ~B`uXaJB7!$uxLzEYG3k8ThUWf9`pFyPas7MQRfa{6_Aqlc zM$HMT2`SnHkfxfGR@ivPWbg>_Geirpn^hHHsuc?|Pz^9rFab!rcK7v(s>zU3B2dBS zn&d__!tkA16-2FMqw*IvM870MBu`4tH-^bjQ>k?=ESLieA$##)OPhWpp4IJv(Lg!ZE+K;X zppc>nc^8!nPowTc)@;|1TSWdpX%Y`Go3&^cthfn)nq_O-6%I=N%U=iNgH#V?D|MM{_mBo7lG@`#8gu_6 z>YFAo_wOIsWl(oLk33nGqH@%J=J0UJ|#;hu!%p3WUJ)vcn>LApN+;cnVOn&5752$Vr}F*Z~|efBe`dd|-_sCsO@R3SO&58Z1^+8H;|-bjHq z7SD!$_d0aonb&++J6>udAjj;MP#oRiOg+G(DUf5iNvxfBKmhDfTTFBUe>NcRV@-bi z$xj9iPi(SahU*MbODe@4{u$bAoGI zp-V-3Wf)7);Rn-<4*rXS{7=R1mcrOSQk)20n+MLE;jPQ7Xlt0CWvv>4b*&oZn|^&0 z7Asdb-gUhg$CJkf9~+){D$PR3d_R%sePg6dXV=LqrkTo;ER!~jWoIa3ZH2Bwo=FCDLt~Z}b!2x7BnO*mC zHA?WoDxaC;5jYN?`WDn6yd{2*TR9cZdV|KP3l?9>Xm;8*9uDH@9jgMIVuC?|U~czZguf z&9OxjkE*Dz2rA?g#$zn39Yt2=+T>VoH|&L=Oj{|aBaXMl!% z^}`MBP%nv|>Eb(63a|@&?K7+?A@kWw_lVAGQ^7&C6!UV*1MC2F`z1DtbRCoP#`1rb zxgoIEQf*zvYI-0rhpdqv=k`%5G?NcXO<&%o*AKLrkGt!tbD=NE_>|+2u9UN*jyIEe zrz=E7^q|}H&ttdsE4+xz+xGt19q@oJZiQa1Ty1gLB%?=_1Xu(I#;IykDc!4y!3@8l zc9|Tsqi?Ym-o(=$yFVJ|!QdM|t3s^QMYug06*j01E2~!nMGQKJD1#sICG-#ava2?Q zM<219Rvn3!eI@q{9eGTT=nuq@$ctkMjhKU^lRGry-r=)sYb46ZOM%XWQ=u> zVWYp(6c{=$ZfOet+B+{W^TtQ6=t$e!*QMBoVQt_&ZS-ypwR=0oeVdY7^q4#B7uTFE zy{+k=aylzU?c=v&?&76sNZ$-KGze(g<6k}#kknbaUoH}m((?z^s=Rv8X!&gp1JNt# z^^hM!#-HUockd2mZCkvsmZ4?qS%Cfs1T`fk-8wDJQ7P=+zu{ReTHekOj*3ORdaNXY z3AIR?OY$AImk24<#BV*T)-QWzDCo#2Vb%?FR2PPDRJsz7O*I)>D}AlQedw~h=uLJ< zwKc&~(C}I<4mCBw@X*nRo&wa)!Y3(zPeR}`?rQ=I4WNngwuIr;h(6*v2JuvDTNd?J z4Uir~_5N*bySoi9Wp@U|?R)7G9*uA?AAqczZ~KQK^SYE@HEQxGueIgyfgbhzp(t0< z@dh#u1ub=hM_cr9XVP!dZSx($@!&~Iy~}zOfY{Hf>+#HSw|jOJw|jzs+u)+fNx(@R zt-p0Vn~xc8xy}Z>ku3cq9G2MDG}or@2m-9s*#=rQCBW@+N|hR+d|XRgDJzb9-G{| zcr5s1iTu^gl0YLZO(pEs z5Oo+1R5xoG;V?p}^<$p+Ydxbm4ug;^Fwv5{)E@Ze-tjqXCTZ8Y|RP^S*k#wpWx z806Lz(BAw0jo^^shUa66Oy#HuWtx?7?8YLF);@#$3l_f22_l2G1^@LM@&M@t7U3s@ zb0Ikk91>%3|28&Nkg&-V(!|(l8;mF_dGR^()#=#VPQ7l7F$Y0{>0Qt0Ek_CRytv?1 zWth9n5cgpR>PWfKXF1UjE+@yTc7PF8e=xmVB<-!?{LFIusjpdA@)%YQ@kE%M z;Z?3+Qoi#RJOp=!yD?uvZkQ^t?O+Pwb6@N!&X^OAxNMc8qSN@CPl5EN*s1AA_eS_e z*AA#*Y~1T%AjF=GBqETm4=5A1YLY0&zpEM4_27)_Ugv#c8<1(46xFX1h2#X;HXMis zxkV4q-<0jyCEIH3pmRFn$zHysD z6K#dPIEQJlsg*zY#*kZ?KB>)_`M$D${X%wqY(+n|4%6G2`K&`SdlMQV8%T5}G925{ zw@=Hz&DfPNfogiRjg!;GPmC;f37{4<-39=g33-E3fq@()$Auia!7Ss3p0lo)HhqGb zO_m7eOUH6GACMBvas&?uMWx4KlJ%SXQH~-+h)}hMj)fiP_+SJHs%%As5Jd2=R)DsO zAJOFWhouln> zR`21wD{o;2MjouuQ$=AqzDb+JSzO_Y+r{hCEf@YN<8wU5mYXvp`}LRI*X5fvwd&t` z#Q*#Q?Bh4(^4u)*HPLo=A(|tpxx^2-Sys>M40b;wX?&r4<{ni)#pO^27qJ@r*xwWFLh;OY|3ZVP1txm{W^>k3PdE{D*Ucm%Wz(i+o9J z-Cw@&+m`7o4e|5hwZZ7wxYfM%Z~Pa32|JQ|aApl_mVX%E3yyJ*Mc zlGBzbueA0oh$O z7yQMMFUEbRaRLg2Qzzu!9GJd(l|4!C2bpE^&|_8-e7gg4Tyy@u&fa~II`JE<)9@t8 z`oS5-B)Ko-kCk5#KVwtnl)MI>!5eCa4abeqCFuk~mbD5`l&biyV0{Qy1=d?{+GJ}B zC#O&@_pW-0GJW6cnf$==H;=@0Igg@x^G=%2DWj5ShkXctx|5k<_$D(JN6S6a+a-sm zoqwe5E3O%&|AI%On@Uc-=O%oNZk6wrn-3h%)2(?*z3%+C!po!4E(iT1 zT_FYj1b1@4n)DHX|9L+-%{pZ>vRXl3?)JhnCI>IWT<)}e)e@&!Zy7u&^R8|fnewk~ zcM&jRP4MZ|DlOqULD9t^x-@d(I6Kigb(#s#IxVFGP7dr&E|W|6%9H+7|I8)fzij>k zTm@bHcI<-0lsX05rp9zQ={OX1y5FKs$}-rDl}aQ=n?{u4^o z?(T4rJi9*2`FvGcC;q4(E>`F3{!|?JViW(U&zW}u=9GVaU;fc@nvd?o2)O!`W$`I{ z*#1y`_xPyd^44~-Z;>-Pu9v>*YXl^izUa31arw{&R=v8WcbAWEZ$7%NR?ol7UheP3 zKJKe^C)}g*s$P}j{$0Zfzn|Op$y95=Nb-5k@LFI$|1ed`B#BcGS=(z{5JUp2nkw$NGo((==eE0(R{89G+N{5=O0$gq5M$H} z!`J0Ad#kI~yZ&#gDjK2g07^qLN=$b}84ABZb`ZaW`4xBK{<;TPXLsKj( z!QT4`QA?sbLj1HQBN8F-WgHeELp1P~OGaEs+1);dJnB})6AMd;{y~%zMyi6*Y)Cl} zsaz|BL6Wuf4|RZslv6P)X9o}lvlbLUQMVu$H1l)@+X zo!uWURMHZqP+bB+H2L)p5f{-!L+}|Ab24&U-wYkgT|EK5oJmo*<}*TF zaz2bu^-&pT5xwmEtQ|JX>`YIxVA#092WL>0J=v?AKTrcEeo(QDfCQ9Lh{v%soC;l` zD{3LCBj-rmo@l;JjS25gJk%?XUp@{d-r_=8=OOfkI9XRK#O)S$04C282L-WS<6#+s zM5Jtm4Q36WGLME=nJTOVqK`MDDbGBDv~i6~v@~Omh4^5JO{hSUbAnR2riYvutJ~-9 zc&q0+Ayog6sGp4{9TdTR>2-0}6`kY?I1qw_MzZz3{t7u5HcazXeIAKI@HSf6T!?Z! z8Fucl+vB@+iH{uYId;(XV|ne-iT2C6W!g!B)M&XQs=1)+F$WHgXAi zt(jJn-i%$n0l5K(b`4(etxVJt)QnxD0ac)VHJ_KDL5pVXUf?ZV)RWFkui5NguzfLq z65Ki%Fptz*^rq3kAJEjd_krxT4VaaMzT`!>#xuKaG_w@&%n{XsTzjKklbre7%+G>b z3#D0uo6)s8eHT3OsuO+l&DAl#?fTJ?qTW!MYu#v;;^&el>d9($U1NqL(B7AC4RJ|} zeu+D?Wjs3td5M5-4R4mB)u870#1-knXO^PT059O#DB6zP08g_9$j!2y)rHpV&)0!p zgQs1K&ZYfU1+;aZ=o^@sF#2U=?jr44iU3Z7nI4pNPrf%~L$!JX=uB0;8N2}Z%3UD$ zE;N0u83BlOtLPhy8Gr2o9<2K~&4#!?_hSABw;46<+MA%3;Vd8GT8ro#gqd8unQNen zpJ>0hZhs;ArBQCQMnk!vrFw&Egm4X^1tRZJY(eTlO0fZn) zslMQ7zZO-|)Q)qR~fv6;U!k2g<=7(!7jbMty zO}{GTy*imtP|2E$<_bw;O7mNTRC{M$CPoomL~+4Ndk{0`rjg{UR9UT32E=|7etRtU z#ZbCzxYXs)lSFB@!v-3$sD$-oOZ5BG<}y(9P(RSjWM-G*+TpiDr^}@Q> zs+-y}9hcxmYQ61Ws>|>!$#`&(3vw(~YMp}Wzw3sx4~yS1Cb)vQdfnI?hMjH?z<+Ru zh_yw@JYGSq)&ou&0eptXZgpE_Bg?}4n}1_df5>b;*;$?~86Cf*c8P5*qC2klN84#l z@QSy32Cf_0&^uYSg(3VWln^Bqm0XPFl^0J((tmk=<&yRzXx;Fyf+VSM%O6;Rb#EFr z!v{YX&%pMk@vOp=w-Jb@@=(vjIUEsSVauZ%ZJG*Llz}fbp<9$)Y`8o{&02)7L@iHcrh`~S6d}v8DEZ?E zR9d4pLMQ8BhqQDHl4BAwquSDS(r&coK_??uVxuC1z!{0*%Il@?=WrEjjvAd==)AB$ zzm*W$(C6^ncr7tlsIOM^<|pQfrs;!{c$eSQC^h61QgvBz{El8#|8FLQGM_w9;1E0s z>0*m7mp)`A=5PEGQ(4P@Qz~L1;5XR5XIX)rttpKzkGJJhyxxXl`ZkiMojv1CwRNwR zxdi$Gl`&7&0^APZA<6hBmjnjs(5^1f;uh!R$naq6kU`N}Y?g2uP;%HP&kGGOnMmhe zSC>B!GDj-eXlog{x_rQ>95&eY^uNtIYMLb~mizvAahBD9bLh)!V$eqogOH}}5V16NKJNL^9(qpGHisip7#VPHqV@g`#-3JQ(e=p$D^ zk&&uq%L+&;dMf@T10xESSV9Uj{t){>bb}^3H-~0lDgJYn6-G(j*mYE0vJw zL7q+ODB=IWR`8r5s%EuHOC+!G;C7wkB30wYy($2+pttC z&SN~JAzAbz3VbJs2jFn8Vfqzefgbcs7`v#wfvLku?s1Y4NP3wc7@l6aZThom31*0_ zY#4r#U+unLggLeD=31aJAo|(qlM>Br zoF;?Oe1*3U1^we&hxF|6;)b_w74tTdiaIg0XL{odGPd%H0Jic;AofDB*6;Bw){>cA z>;n~Udf`X6$4Jg#%~N~hY=Sa*phR-Y)BU&f>qYk?47P?POCJL%aSHlQj}DqywG+0s zfmYp3=c*hUde6yQOCtL6sr$OKj=oQ9jlOC451QRYA;(S{#$`EAdZ~%qyXscmrt4>m z%T?Xvljl0`?v(1MH}h*iwNLfZ)w4w&P}e#0T4k8?8!i`xI)m#TCUy%|tJRF2-8pxy zs-eyv6Bf?_ubjH1Q@3SC)oRPxmxmnQx|1u{YKB$9_wDQ*BbfZ=X0^JuUTZBF0&Ac> zH(b5ysum?NNDx74VDa52Kkx_wwZ|}Vu;+er4`IxJ@%`CmFTZXn)ajQR9v*Z~L)Zs; z2mll3?c-6`DZHBg5Dtl5e#ZddKoO&-pn`@?5w$8Ve9D&BdD(*Oe#JlreHfXI+SQeBA#Y1xjH3Jl z5N4l;XrG02V8`uY@1h#fjc*=>cu}`!GE~hp*P}2JzR0rmF|0rP>6?a=kxzbjvvT+n z_d7G1I1@K)voCn%^MnHv|04B@#|7|ec&`PBMZeXQD-EViLQ;@xEnOBfJ zXw4VfEh?)_ymu3JHS5dcxx;t=W%bS*h73;D22ZYyNEkU8V6gEyAzZ#d*o8+g-L9SJ zS8|#ipF4VSEx?CP$Fy0ff(O|$(Nr~RMi)L-sdlcGkPhSPP#JouG46PlH2T}KV-lFq z5P8%@op?~r3K!16k5kl@B%X*-K1539xj#>K6NUP_{GcT9;{mna#I%m89@uQJUGm$7 z4L>N+!oGgsgtKZR>M-AlV?rG1b(&ROUP-telp1P>%7`w!aD&ek zyD;uY!77`noowK)5u!B4wA0>pc;@E`>;;|Mi&nt)>R9EdV%5c|KE{3{J=D0-*m1DP zQcX5caW7O&p*2Fl9GiwW^&8)PddaVacOWAiyo#L0&1xGX|r(=zKJ#t zt)qwTYs~CbOM*1XU8*1-V%b07(SISL*KLf^7ft0x+;g1BH{`8%z+kP0C}=^s`8Y~A zpHV9{yo87U2loQv^d}FaHFz&_waTRf6ZzTVR6;0T_tmz)v`s-PlhX^b?n4av#*7`s^xwM@+!P4UFN+#5X@dxdG?F zxLHWfUiisb_{mim5j1FDpk5cprU7oqUwE@F``cjV5!{n;<_<$}k3w*-LU6x1>j<24 z2%KXGoNEZ2LkOJc$nU?bm=RlpX`FAtmJNIM*1&rYtkKtz53u8h18e4oSppWeFQ_0=*vrqip6QGYq@l%W4^q(My zhC`q32QII}xqDDu3GH5}?+S{Eb8IrM|9F*e6CDt;ALz3moZ5^K`PmVUbv|&RfvA%E zB6_1E4}-*SahFBA&|+EBRw1#3U_bU&sTvvd_N*SNJ~0$Zb(zPyz;iAk0QGD}sFSE} z9Vu!Ah&v>S_Ha(ZtunPwM6|C|52S2H)HdSIzxT~JoQ*gfP|7PjJ!kmVQH0i2gw{a> zAU5IPn$ITf0h@puD4O-ns!#5;t?T$}49*>izzf7xE6!3CkI}dV?M9664@~hj6)iSf zn!e3rx?BATvo`@{x$BBgl1qmN;Jmj$D2TGvwXnbbrPy#=2zI|S?Pg34C?*;`c5$pz zvU8%=$gIuC7gP{-EWO?a=7=6U{;{%Yve3g3-=;$c>MTM~Kb>Qy(AF8cLvVo8@%3pZ ze4J?yU58~XH!1k!tAw{x2U`czHNFE(4cxVhKioI85Inm-o(;}+vuu)m`I#tJy5+bS6C5(j2V zeBxoxgYb5G!?xMqiB-z!*$jLClype7XDcvnDpXL^!-sOhb2!{#C62z0ugBhr%0{uk z60Ct5t&qghF|tM+h;x7<%;a6pa(x<-$xy2TwAwQmS3*zp&p08i*`ulckA>CdIRR{=%Y3H?lJxF$}`XC!s@f1v6FiYO{%@)N5 zgi%SPLTUkRUWC47ZyYmN2nG^gL8$ggsJDN}_ml)UtX#f_iTeK-MFjP`QFEML8&S7| z@D)^0*~5V@-^W&Xi}yr(bKGBh zp7yJUW<<;nGa0rmX?}JWQmnDbwJGp5%~Zel>GG0qSG-^%(09YXyY-NS3lmxP&osd% zN9~k4hM(~d2XTnxniInKEnu6`wwxuaF@q#T@aL$~=AQ0eNQINItfR0hXrDI(ji1Foa3B(W z<?6WGRsY(u8~9TU<-8a}2M)X;3&lne2c zLfDJ7yRbt1Mgg*SDS0pJoi@2)eSIP=93wlc!MURDl}HB|%oMOSBD+{*fPTPomy1s? zJhc+mB1w8HW(xE+Hq1W8F38IVEy!B>KT#Ijuo7ZQ*wJ=sG06SWuHbuR zPXrr=pC?2|ay`Z;mK674Ep`Dlfq*iKw`gT%pNaPe~y=(v0 zUY&(#e8Vm{>Dp*p2Vxr+VjIxDT{k^P;jXKYjkA!AqmYfO5HIjJ!*;x&3&Vk4*@5}2 zFh_qo^(V#RIJNfRjx7ZDcAPs<_5&&bho{V_+N;01C35b0e3!v(Yw*s|7-!77C0`@r z!L^ip8L>=7OGY~+i0rK}z&Mqgs2NrAJwx)nM}eE#~tt~cVivko^7MK&%)-oIbk;qaE_@RvZ;TXFI|IoSbk*@2v_ux<_%U&y}M zwrB3(_sMX#o^ZFB%8~6Xq0dtho&yn{3lS^uK7)D;efp{v%j__^LD{W&_VV`fMh~W&CNwtMN34Qv$IoQSv6bN_YWe0pZ?X3$7AeqT5(51l^y>& z?h4P@E4Y_Oh9>{MT)0X&97xhxM7QqC8rQAeivPAxfT3SZ;egbN+;-CI>F7b=M2XuY zbE8Jq=11cfyEQM)6z4`E2N5KRgjTXE_ZBqbd1iZau3^ygKwTN4Y>C#@FN-S2z5)y7 za2oNOp)ncp6WA3Y{B1sYOm-LOrzZCY0{DxZ{e`YQBjJ>X^6?} zP2TEmUz_R7t}af;H`B+7(=_&OXfmCTbg9Ph=m@tM10Nu@Qs?KUv8(neF;WATE;tEbNCjZ3G+ znC6Il1^uK=cc^I6zR$aOS_IW|!`Hup#EHE*V{-gr-tCx>mJ|pytN5lPSc{}D68_!m zFv(=fo^!-5C*=&Mh!^uPPdnQfde3E@m3y&>;LZ^^NBLf~KBB)MW8?U8Kfjzj;k_UA z$kMxVB?7ao?Kfj{z}EEuBg4AhZjD^Sp`IO=AAC1E@Z@Tjmja$E0532nFJy}MgoJR@f2(Q%<91bV5izT# zaVo)o8bF462nPs^*3uY{<#ST^vFu&TUI-&|9Nm*~CkhM4M(3fw9(6{1g|0g^DyYw?dG|7en2Nb6HRZ!e`4Sq6AS<;&u{^dIVyLi{zHz| z9?D!x98J7Zp|s=b_zXq`&Nd$yr|$I_G-vQ(pui zAYWf@pap7{R=-5ivJY1ka}%HI(M1a63kVfoa~WK(H$0m+yldJqC>diUg9HBfgP{CO zL=J#3B321w_luWMN#nzbK23iS+G+c#ctBQZS&T|?k`jnH{9L__(3WS*+ zz;3czmAjS~*9qq?zD8cjzSJ->*Z~8@*h(GQDP?SK8|)N@UVAYI5zV4>zDz!2Zw&q# z5N6Js%-t3m2xe;7rH7mv3u5!YuM)oq+hj}fi}&HEX>34bCe;Q`WSj zw;pU1;6B&*)!~cd5MC!~9zX2SMg1YN)yHW?u~V(b8^_1nm-K4VOX2<=Y+;qPz!;u6?mT> zMz)jg+RAW&=zt&M<-h4C-cQdRDX8E@1!XM}D$q@0C$AU`_-w^u_ZP88&r81?rb+C0 zer$jcuiR(>mLys>tdkJg?~d0*@IBlXW18&-Z|s7z8y^9R&G*?f25*8*2Gc`wyQo>F zg3&$ZY{+7io)BBrPLp4)GYJCF$Pl31X_Z0UTb&(+sDe~o|LO<>IcCx8AqjOm3>Nrr z!d+zGq@C01f9TN8sUR$Qk%{T+Eklc^C0N`f=#|m7wv%~ zc2ZiF?Sk!rWta&rVnFSq4TvE;(bEA(%0p=$;hM`$Qvoge8kLRm_ZnD9zJeoQu9Dx} zRhA!{ZYB_{k%Xf+YWIoD9fg3S02ZS>-7k|}_n|3>OO%G2OLKT7)fV1-?nnyb>`@uy z0(Mc3bdZYaP+jVN9rQA%F)yOgW<+(+nJcbm1YJa&Mo1X7Hi(s0Wt~EOP zk150SgW&XA2=G0h);Bu#Z~$<)NPG#25|tooKQbhc7I4f-c!d^_=b9Xbn&r^A&c$S* z0(P(lbc6Y++NiQcmrJ!Nbn_SG-xIGchkQhR`akZik(6PP-dJvatHb8f+3N7a%#Oi| znx)ydur;nR9nLl%pP3P?yUG6l-|;ntTRdUrSa z5W65BvQsc*Mp(#e3I0qR@r3OZ@wC7{%Y6;86_A6ATf2anB|wfq>d)Gv7THA2i$TAc z*`yYcjzyO7ldpxw>#doys7^zHYE(!q$dVG+hIcm+!Mwm4vxM5f7V6nV*@3B{`1_OX zKqIV#Y+wTpNfmEgmB(r7`y-CJVFW2_TL^NIsU!lVN!utBolkCQ{k_NU^;&~LEk{QK1OMi z-3?k&Fk+XN)9=7iDV^|PB+DCP4YBok${fya2JS|RDIK)a*%r1FNJD&Z$z*dbcJ1o1 z1_~6+GY#-{SnZRcA^7_fr)Zt#((P3UrgknmyWJZ8!5sGv1Jm))pvaMFA*4!RMFjHT zX$wGVZ?w>-+x;}imKcY47I9Sh*!VFZR@W~{Y?Gf zeW2&irjcm26=gFCE_|YB+KYKEHB{-*;kWdK6$?4rB70!vPNF||!fgW$BNQT^E%TOZ zN_pxUo0l%@JNDsi!Co$Za`?x~63OJCWqQdHG-Od`qs7Nw!H}e2_3VQv8h!dS-Fv#u zxpB**wAX7 X;6OpzLImr!4`ws!tdp*g=N*h=S>fA38$+SuWgCQu$Y+AT8T)hM95VuIT{GYb166 delta 105 zcmcb_bdhO7bo>DZ2)F^I0~sNV3dUdm|NpOdV`FQp52!Mn@LBvs8gth}CI!py3f&6s x@e}+cUI?TmFeFaktZ_KVrO?NiG(jq*My#`*DI-@ z4;uAgVqEn_r|6!p z>Q}F-tGih)m&>2=vvK}28g1NlNkKgVdeShxS``@E`QTh>I9{TyV zTz-v;9>>?;%@@0et(u+1>~QvFcQKpSeJ$Pdo%k@i8-IO|8drU8RmV8*IOWDguOezs z<9CbN=KS#FQ=P#}vLT{%{?mZ>BtEy`ZO>0Las!Zi7LnUI8gIpfu-AlWj@lJzsH0sQ zwa=rtH9xI0fPYs`%?jX)C~nUVJ}xqXe~Dm2!8iLKGJwm!1n^NqK{syKkJt5L|9Ed+ z*D)PlF!kbToy(ODg=WP)2dxd=4BBOdYX@1oT0D$mWZGG@X3VaJSwpnV9G#be)%{(G z_MinV7Iv9t9YXw8#G25IG&`&1GG@f8lP@rfPt8(Tynif;qWxn1L(W+=d=y(3j3Q$!%#Tj}loj$7|9cq{v>s@`ZfP@!Rgcq>4qEnPtX{UgqpczFS z8GrAx3eqS`n}M)mNNN=XMMxtU3S!y}T`Db3Cc3kxSV{qjYJ_H}&1NE%8v+*7r(T@`I%6o9QECNc zF$HxfMxJPATBWTk&`?D%a@|C@3~VPSlYcm4kAu)CbyEYJ@h&`w2u0vHE#tBCf(R1Q z4BcBnFTjC_y%na9*iWN@cj+UIqo5*?M3f=+(`W#kH5Wl7;7qDu53A(IZV}AEW*M-f z74KqXY~dloW`tFG4@)!hj{dAV`QtSQJh*ORuD<$(D>5*0JIfvvfw}s+T~P+_qkp2v z)mJ}o01iZ~gQ%c#_0>mm&;o>GL!2W)T_2HZl@20K7#T@+0TP?k`MQ5A^VdNEN z>-(E-L+aZ26;Y->lHmi=5ghalM|eak}7)A%fs7zpVH$7 zPV&<^Hcb^?>!?GKkf8`7FC&ylD?`lCf60Jeh}5fmzRsG$DJc1I$ptU0FmlMjKJG+N s6H?TX@h+A&gjL!pxb?uhX+_8?7z$$A4CD=rjEn^FKfO=+1(OQ}#COa*)&Kwi delta 1220 zcmV;#1UviL3(pIXTYnD)00000000#M00000007Sg00000004La?3zt$6hRP%vlqdG z2Sq&y;_45Ondy(2xe3Wd&`U6Q4k5;cxEtbzfERzXS9R^lWJtdcrZUs)pTdESS)^r&)VtFXtee)JZ{d8=9B5(_~Ybocl>_);D77T9)FJ>1nE~8eA&;B z#o~KV^fV0rFq?1h_iDE0ll{rJ?fGOjjkOHV_rk;Ie)#n>Xk7OBuR4Zxhb4C|dJ|B4 z9=?0j)@S=iU#1znBpU)+r#~Hd&%$#L-sbE$BXp42l18exZ z675kBS}5!?%?5<{t%!A@8EAG=%Vo@n)gYf?7M{9PSbw}OilYB(FIG-Or>(wjv@L@Y(G)fE|?xoT2Y8C7I;X0Eq#VulwpoQ^eW zbJa>`pkZ{Zb-H{7$7^S&W&jPVXc%kbCBTddQ|Wr?BQ?Y7dQBt#H9A$<$O2)8t%QWm z_}FI9%zt`W5gA*H_nnH+3-Pu@N+}C8M!*49wvk9dXNaW+JC+X-V@<36g;g*k*}3v5 zGSG}#Vi3!RkwC**C6ben#8~KsST#D8S_S49ZKVh^3UN2|Ld5cKDpFw8O^JZrI-#hm zg=Sv2AYV)1I4j(+UV<*2Q_yOS>b0^X)tbEOQhyZDDoq6GoKktAsBMH-9%dMA1sXMiy%29nPJsvElxurW z0e>1OFKaCWAi`#F1H6J4Ai@mJtN{ymgICatpo3nBoJs=+6h&t!!ZuPVfuR>7#!}QB zsZ{Y&8x-_rF@6zCqk;HE-uF&Yd84nTD2mV-Q_&1BRUgbi;6PEUrCO_1U#%wzC|zJi zsV}^!`BB(l<}?~85z}TAT0t!-P1Pv?$A9aJpx2ZFJJ85t95^5$=#3W>x?2k>)ZXwf zybmwX4D~NK2{K?T=w0bsOraqOGf>qdG=K&~nxP~FCrhPw+6+2H!9gtG6%TJB)SE;D zR;{>*-8;Y0$JMLeDzyXpVAw*U|%1IP~bjpfVU)a5Y^R=CQ;YWH^$- zT^l+>#EDIMN5WVfE1{KU;FMUeDf7)^9Ni}0Jf6Vu*MFNyQe diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index a6820cfe26d7ab6b57e6b224ea831c27d98bd72a..be040ca9d4138705aeea3389eb4abb7a188e25a1 100755 GIT binary patch literal 17016 zcmZsCV{~Rg(_n0KV%zo;O>AeviETTX*tTukwr$(CHt+lG+1(%8b*k%jo$fky`?hXX zH8KzoP$ewzPwwyoLO)d1$lk%s#+s0cg`Sa~iBQPN%+ipMnT45ZAL2r$7v?h-C>3bod4HgP-;C|8%HLm@=#;-rp*q_o7LdHW%IfV1ht z$&TC3KU))=VL06RzQiPq`xBfkaQhMCA^-l-KtYjyetj!BmC0t7aUUyhUdeKB9WO7c zJZ643XIy)llq!v9W@Kb+gjWVRA~hLRid4RhO6Vh-1aOT?dKTc@kj|s|A7=q#0`$?F1JQd#Gnxw zoT)AQ)gf38-42}!crg3Go#GaayYy$jpSH-LN~>?3wAaA@KUf5PUbre zI3~7YWNj$e;{X_wn0m<1i7ozj3>0hOmh;9ON74vs!)@6`IT@Xgq7~qA9y43Ze zOI;KNG`{gDp?-GuBo{5Wdal-7I?sGb@S#UF_^o(5wcKs#q@=>Gn^`lOb^fa2b^j3M zX<%JE2zc~V@G>*lm3}A7usW};*Nj+vz0a~8n3{$?oT%jOywDHRqM-x@(U^LL=uyYr z&Rw9e>Ee?)__5thM{~t8Dpm;u*)C>wGxio|4NX?5>e}!i)!m(#@ds-*vg` zIv^qW&?CsN`rT||BH687(71#zTP}n)<~4>n7{MsyI}eV5Z3P8Ny{k(Tj#(IwC>x(; z`{i=Wb?fZ{iSiqAJ!#pTV;?S$!UkpG#s>_^Vz_xjIy-!D=A_#&dhbt$qRUHaK*ZNd z_pS-*gJ&=0$1nWJr;i!QTiu1@RXeXe*|4}!;aGw=q3;jX1wA;Jf4;gFP^i^|@ho;I zH$Ed+OA7YvJa=vcBoWa!;iwt?G*n97WYNJ6^tzqrN`~F|-P`he*_YC{ew5wV{2u|a zSp-?*l=Ak+Ip2y)9`DCmA960Ad}Nh>vtPt)x?LzQIdH)ZNc;oG%^T` zu0G-1NhRdrJ(YeC_1)6|Fm9EWe8PgkyWKzgOdJ+G|2#{eQMQ&4WHWrmx(X@QWZQq6 zY7}X8wJ1x$5LR^~L{EKJhrqj$horv5dMOMrA3iBmVShgiTaA7AFGIR}n@a6*DFOJo zO~ys=~_TyA-I=r_==f;uW* z=&#b}W`4vuamSs!&27C@nBDSs6Z3`FDFKIH+-wukiE9M&w04}uKZugg@opAev6NQ>TC5?}h4lu} zqvTvR@XtjSCtfD;r4{Bn`nwa2?eab*Z({>U{HwiaSBP0nzhD|ezV&sGvRqWBQe*zK zW+M$ve%(zbx~G`)SO~s2;3vHQnJvDDPUQ>*F#eg=;~SC~upyLvmibIF+qTEkFRxL{ z3Pn{IN}HR8HGg547j?=U)ev;{gc1qpntd%h3Vd$AHwt=YzQ33DRIy4m>pG>(mN-&Q zU`QbPf>hJH{A%Sig!fWzV9Oe$+EGyVh#RWs1m{f~fA!qb|Dua$s9tnUpvT!qrB_%E z6P%S#iMK*oc;LSaw0NaT1wjiy&tSZ1bFFFi2|%rv*F#VOL5zOsI-L>s8V|@=GJ*po zgsL6=F5W}ZT6eyp92A8Op2GQ~6KK|ghML*sc(b9%>nP&j*zu31M`aM5Wb#R!3ih-+ zw${0xbw2EH@=8$}toe+3yyM1=0gy?jX`aZp5|4Hx53i^ySl-q2{t=LZi#&mLlbx}6 zmof`gg%8QDl4f{bYcXzcUOBX+7HK8O*5u8DJ|A3KK|9Bpow^<=f;(9c0bvp>1|xYS_$i;i{26;X9MS*1ufGyYXMP9+zqoN>rc+d zUB%r~^U6!!|XHKo}pn^Emi$*uGq&#gRg?bjpine2BxI0|U) zB*lB$P3+gFGquB>%vG)=mQLavw8u{u&Y(O8+k-A~-m1Bo8&N+SSvU2_0KqR^3dyNt zrELhN4sbTrZ3$yI68Ep6#lWH0v;RBE02( zCE20Zs%56ylJxHQY^?DgfWmHN96CO;N~!PCOqw|cJN1Hd`D|4|MQ7WF5s+9hG$j6G z86eG1y~@hlmr1_6X|>^gFTA>JQ3trN6wR?vwm%Tm3ybE$OZjh3)=f$xk4JLt3T`>Q zc%rr@3d@80svF-Yhm%?y4k4XQ8KK#TtYVL_6A&_439FH*N*c3odEdn^E%YL|oiauq z2(>^e&=%^6s9qJlv6;1HwKz;MK~mufqv@V-r5+MKIJ?rFnt!Fvv3F}zeljZLtGLDh zH%o-u^J>!kGWxO;7%L<0YSKk>XB?M50H+PQuCESkaTNepJ*pdW{QK9NgPI{N{M7&M zei78Fa@LfWbGg&Skzf^U@zdVl6LaO-Dte`z?77>{g#8 zv7{%ZGe8=Fkj!+}3CV<{tR8M8oYHF~%f!*^s$y=n;FKAuVkhVL91?bx&Tb`_2i(1W z!%J-b9U6IXx_T3}Y;7K@NQhXVNBe5aV}y|n=+i`8(Fv@~_#*)MH;yU>vaAi=%*l}g zAQ@oA(1cCT(dyt8t3;k+ibEAuwon`&d8GzF9@R|t$?2y@_9v3xR?&=d&GCIsKOMzV78 zu`oAv-;*38xLdby%GZW#;5e*p5~argY=ysxB+w!4#~TBVnmp0rk!0Pbw`vXXW^WJn zfmtYkZ%V9TKvIVOdrklT+!L^IaX*BYixP_R?)#lSCV_AJEQ^M_#Y(aX$^5=D-^iLe!n|_4op)x?1g)2y1V?^40e>f<@ zEj%{0Qg${oesCjYC;U=P8+G3UgGWW_pRO{B%~d**WGk zX4+7hrJK|eLspVw>~w2zh+OkosF@LnKXn}I=g}2w^-iAwtGhBVy4;Yl4VCTd-gtd?3-llXWq3Ldf zAA}4Cv=zMUSyPDd9=(taVm>Qz?b)p=D0v~)UJ~E8*`c1XYw~ZIc;bgR^JHd%48IjmSqq(E0t91U{OgwBo4w-I1_P7yKc{3 zDHB7*;9S!_)XzAuQnX;}ZFi&W#pIFr>|?sU6G_jrfL|j~`p3|e4M=ol`bwpjQQk#v zQMX4}xxko=(9b>)XMYw-Gjw1m@SE(6f%qw4uWZ1Y{dHg4f#n3l9|ez0kAuUT2JNzH<{5;&NYgLgbk^y=7fwXX0J;CR@(h792IA+F&(DX zY)P}fI$BQq1ha#+LzMOp+X!)F$lzroQxHKL&qyjKtEqB@6WZyia~)eV+lXWl+#vPc zpz~3dxgW(8MH&@3oum~9ZATSDuwB9_L^;Z)YcYQBL({Cwcyfy2{@Jt-wVG1xmvlg- zzpYx5`lvB5J54u!ugJnL4vGngRyvk2NHaJIWn^OFf@F-P^!{9;S<-b%RSKpYYFLcC zB%ig%HKyqO1?53RfuTWCVH442iljHAZVVBwzo)CHSPW2JGI!A54+*DxfXkaG#gRB$ zFq=@6{-e`}R(wUyG}!v3AX#iJ%G7@F%McgE$V<`lS1X^aK~f6GWyc)!cu!x)sFgD+ zw6po-z}=;3vN>8Oam|pCtGh5eCrq|!s|+VqhPuhF*YsO{PX2BN&0SEj!psT@YvE{)lOD5SCu%P(0N6f}Du@ zaTJ&=dy^v;eymopbvhlU{boCu=Xr0bi+%)(X4%sV5%ls5;nE-1IjiKmFeL&q?UMx{ z(~&c}boS`hM;Pdh(FCw7L%8#jPB)sYyUinG1d?*TgQZD|CQdf-MU3LQGC0}7#K!}} zC@>x;BuU+C!#1?5g?#ZErDWn>S+B4kE;Wi)(dPqqq-X5G7IW1(ozi}%k$J~*=@6IN zahgSm##iwn=FF@LX--tZxfPK224@m6*t z+0`qHydk&RGN5mya z(a8;uBE6}?`)=?^#_n$U$JEUYiT+QPZ$od}RyZhE*XAoCxcS-FXyi#cAyUWazny6h zaUU;8|5w%MC8-#en>P2QDw~D(;~*0@V={>0G`+?*_Ofuce|9ibfsfi5K_xbuM`J!0rAn z-Br)^V5RppBmtu5LI1E{v_Dp;KSA#_gSWo@b2CB-c!n+-nZ8bpA;hm_&uzYOgNO8z zdCc6c15?^^MB{6KR#r|mmpQ^B*%1Qpww&w)Vzl~{5{mxKB$qNd|MRT_K|nddy@{L8 z*?Or>vC^t6)%iA)r~v~?GARy?s5_=(^s}Q2;+{P~V)hq)Oy6zvcF{oQg^ zf7fLzDWi*tNg<8v4kWW;+x6ZJR4dKetttCl^#$4unB0jS_r$H%CdBulsrtS@fry zK6wzjs-4v9;<*sK5KQtEU|jpXi}VXk3r_#ZG>}O4-g2f;r5lH55#)8*q9dQMd>ZVg zn@CI(^4oxYBfB!4u6**pxIovegsQae6rK2f_`RV<^bIPS-w3(=PI&{!)@X6%hq=}= zw8-Tb9R@ zbVRwc_%2kMZ&i==#5C9F2UP(uJPN6K!b;jkTe7!RoevuV zE+6)D^xEl~3q_*=^8_*B{R=bjeX?!Iqu>*^@ORzc+$}0$HjEjZUy*0ABu3vPCdoeW z4R30vH7vk)`hEm$1oTR&nF+pTfzZy6nCOM2kr%U!>wXcHzI!wQzi8oFsDREjoBB=o zN85*)qL&(s0&_nL59UHhK8ZtscxFL?%IK-OfTY=2DAg=pwx^uaHCEV?09O_GAW0?j z=`1;!7&Qurk%)-@?LGubD#D8LZo;T7-HYFtL)*a+Ks+_x9M+%O(%)TZRx!DS(Ep)E z&M-dI!I}swv;x>iMT30STmP4EYG`W)H95!@ig5s!nxu7~dT*_-kCGmYWcX~9!&bSt zEnQ!*^17m4DO9=f$udc{f_#km310ZtGe}ceAdeE?YpuKDp!`jE2(b-!3}u?c!3Q@t z5=9)f?2BBHkfRWT_P6;|^5> z4kVH5b}ImIH&5?VUEmoV{N0M> zUbvpi0>KU6AQ|-pv4@0wa>WIsS=qM&X%Ep83~Tr1n8tElSnHyeK0)`;7tLb!Bh9eK z&HB^a`JO}2$HWnZ)p|5>mqI>}2OGaeIjPq6QM=hz_XNY{ZUB|{?%)kUndQkGKA5%pn(d%Z0zJ9Sj7sLO)$E$A zRUL`^Z>;SP!9P`J4)jy&NZZ5Y`-g-x2>vmbD@sdjD@qVN;rtP%A0pVeN90S7(J^v5 z)(PPzeHH{jnO4bfHvPAX7peRKkxI|Jp8PxSet%XF z|4#Lg9-%y6JUT$X={HT~erV}CPhJ{ZWVp6_W=tG;PYfT&vjDIhR(|hckD{+P>7r#W zdH<@?9#QIk{xPGkh~cMnM?bhX9`f}(TBo*<(?x%7dG!1j{*L|dvayFp_HTc`AkIB+ z=o<}`MAHRg6_m+c4BFcDXl)@%D;=itsk!+}FpGHHVMHTL9D4HdGbOty#%p=!#)dvK z?lb%W|0t^hlw;Q1=-xuz`3**)hy;w(Q`U1P1ZblKDo0BpZ-zR@%WA{Y7vHX3#>#9xNs)v}xp2Q}p? zF1_A#3Q6ObTo}$?J^Icb9ffjo5_pX#H#@#DuH66HC}5FOM5jF(eKRPCy*~EbEt+q$ zEXg(1~Azv2HAKgU>@C{`m)+^f4jo^c)JO$9^TEl*LNtz zervy^YQ7i>HEmSn=eeIL`zTVZ*2SW%J?f^uiNDBBE`Z;MU0jk*EBok8e0o%6>fy5$ zdr3*Ech%dE|DD53y3Tem!niFwHy0K);pVrfmg=HYm40n%V|uME=`yRAD5l#sR*Kz{ zeF-~bh<*Lqa7VOSO)FKq3$!EOB8DEpEhN+~uAaOpx~D3|_}#IWl91ulNxZ9R>0{#|}NS)ToDsMLDlJOL2l1-kL zX}YL(YkHcO&<=Q%f6{#+q^(zgKCTzjxD>Q<->I zzpFhkkZ={ys(yLeS@*X=l<;7j43%~(ayHy6Ps6O*KOC;2sxAXWMrWt5!dFvyjey=W zVjjB5;+vEL7|vO)F$oyldG45Y4fM?ogd(hW`Db;5y0|EDL66pwDN1uBJeZydyem`0>+<5t2!+Pq*bVTp|M~DAt?|V91(Os3M zmi|1TRio5(e)O)o-Tcwm&%C$|gPhifga8Rqqh zwU0dYIDW;rL&3#NB(RS7sTvr@O;(*4xyF$s%4QtRHFYiJzl?#~l}D9Y^EK@(_?ENvE{>+HF6=h{Vk#glzj}#N z#&<`g9I`A5|5|=!7VhFmx`UOH))ex%ntlm-UBEi?k{GHs`|_DcpqTu2rS~EB8Hvk| zTyOaJ@N8PoYm)NT^w!ty`jEXx{g#XV80eDIJTr0B_{cuV&bph-_%0g$lKnKG>7Gvh z)b##reW&>S{M`S_+Aj4u=I-|J)V09!ah@K%9q#;kDW7Zf z{mw%xIA*X(O}blOD{lX6STgkS{!SPCI6&n_L;O98lBgaf zNP!1}p;SSGe@6kUCAKz1wz#Aw7XItWJZR1Wbvx;f9$WjMNF*5RN8pJ`g^{Kg%g>HM z!faHFlO*`zU69l+z$FVqad`q}r%KAj{^`ao*j9r|=PYG(%QI{5Y3N=HXZMJe3j&_` zqMXG36wJy;9#S3a&q0n$0}etRV60vgNH~tNZdX;02L>ZW5>-GuH@EsP1eOB69<`{? zu{}vYAmZQ`kq<9B`O0{msw&)*pS@OL96@_;rpn>CCJu9wdq`LmbK9{D{<%Us*!JYQm4 zQ`pLxHh>DYtLJwjHqfxHIpt`V&Thf1sbF753wUITdcc~hSv2s2T|Q!8PUgs%Ha!1p zlF9FcY>;AIYZq)+&hLb6xWvB9%+W5I-2z@ih;-&NCDIwylwsLa7vjgIEn*PSbrcfjq2t)oc{drCy{nqiOe| zX|V58jHm~MDWZ9Uu;6>7s0X=e_mb%}$R+XQ(R2S%@*X9M=mGa?zpIBwK@?(PO0r<~VhQZNjr~@OWq`1g}`biTV;u9J8Y8A+^ zq$zZRv_cdH3X#KW%?Z1zgimJy)29J=Z4nXj>d8y?k@TSB;${Pd+#r~2W#IND4+@ z{!-mXdX%d=#ot+4ZBAO|;-JViWh9+qi_rlp4AnX3oz`pCUAxU13nvhKV9ENOHxikV z0HYy-)VZxPi_wKI6z%bA$E0D$K5cHIdEXU>d5MVXiM9!X@DinktOC>?YDL<8sLU9_ zkaPrrL0YT;62Z=s6~CV9x!G&w3#267Y7figsGCk@Er&jXRL;yKzzDtL)q~>pk}&lQ z^b)Z<|leL~(M=Sdyyt=?h#Dc9(&a z7A5XkvF>TAnH5cD-o?sqC7S?DVGY4PtB9vY^Zy3*?~E5P@u-9blYT$%H|jwKAy1 zIqejZ`ML%@>OE_`*jsgS+HDLBc4YSk*%98`EI0Wl`MMJ4h*Rd@w<-hLv4vm|$Ql=W zeG*a<@V`|b7({91OJ1RJRqLou3L5Z(cOrCT_WT~c{lhDn>V3mLZ%#cu-nL{i}ftn8!iJRmgAr$G6+x6g(I_| zj@p;ztsuOD=`-n5(>A{MTbFJ|rG_%OMRrv489xW(={=`-MbtGzv=3h>m^M3d(U401 z$MP_6V&~U~NjYp}xMHxW!=U6><6%kN;EF{oEjh5Vak~;S2U6Aq;bFF{-Z=6c2UTGn z+?gB)`+HF1!nq@GLILJL5FQY+zi;T3Da%bMY6vAJ;g`_A4@WE<<0W4!e;WOZsC_4e z(Bm@2HpP0lm@+_AqQ7a+DFjNnHP7%5B}Gi%KD10Vn{%6Yk~L4bzUnf5WL#L;TkKXb?(7 z&Vg8RZG7f>&nd~1xOmSl)W?1V{D0%5ez<;R_^y+v!55`?BPJT`R-7K6M%Ae)x=IAH zv2q_9?_lT|m}al!^p>Bhn~)DA1fsdckRI=1FMlDXUjvr^6C42c^smPqSZ{p_&(m7C z4la{}IIB`+DwqsXgbt5aElW|~!O?RZY%4s2Gz&#=hBS(G-2g=}Uvy|qMrHpeFu*!h zF1GS*?drZZIub0GLh5w%uRBXZ7o3q`&>Vph@nPsrNX$qiROm`bjCdpzRxW5;QXscp ztgLzkGP~7kRa+&StF^GZgejil1_p9N9$e*O7;}Rv&De}aC8e-Mi&=c zcC41BHuw9e3boDuZCjn^o+1AUOUBPuCXv`!qI;Ag&v%#H7~xE(enDK`ybiv|vJ+rm z=Pej5fk6_%wlIHbtX@W3`1eYs`KdKGW8&JuUL;$|>6t5VN}nS^fdmI`)7&ut42wqm zUj!4SpjVyfUEiO75eSKd#dBO-Wy7V~aK9?!K1YZ-5@ui2lj0x4Gb(g17F;oKXf)Ou zSJda3#zw2~DdZlo`bEvPP8PH$g z2~nIwW*EYA4ytu4!PD7N8+6C3y=UgtY*UfHW$Nc`m*T%?dUmgrMucaMaqcJ&fy=Tj zSR{}QgHhDGXHvIMQ*~f)s>oNzSLjeIBXXMI{z#}+64u;7Iq+kl$|K_4%Wz@8SRNG<`258`8{y{w$C#j}75u*J4zPOrIS z>cl3dR)L$`ch3n+o0T}jr8UN7`KA?yWK;g^`(Nm>eC)BaXGXb%N6qwTaLWMZrYWYW zZ-n7?RDCR!cABCnrSYnjbp0D8I=wBn>~4@>cRXJ$_qraNWHBxUv}z%_`#g^uLlrxX4UIHA>6ta8K=8R3qQ znc%(Bd1TOe#K?IBL0|&BZH^wSd(;xyzEXR{NtNiMu6fWVdYvd(kCQq1#g_2y0SpPh za2{CiUA@iTRef&YE9qb`Jqv^h#yc0!z7p?_TwsE%t(X1)f#=}-)%{>W?ST|_G;Qo@tOHsAX%|&xsO)jnBGQ(ZMCq+hH4n6f*Y|W*z z=m=J^WT)Mu1|3DWFJ{}&VuPXceBsBXn1b_n2V6S_$SD*~CxMs6R`f^b_SUl5MeByN zN5}1aQ(X|&ZY(VV+-84BsZe}sk~Rg&hdIR)BO|y!I+Cmef{rdv*U3WJnlOBD4P?xs zK)Vf1Tx+-&$lqPg|D z+F3^0f9mOf>UDJ};EbKEKn30H_XY0MH7qT!5n%%BBWzcy4h%Z8x$bP`9Wl2f0r0J< zZe`1}#{Ft!OsYZ{{U23H7K^DU)IjR>mmf;)hb-Ysu6+H;ygiH-5=5YGDhEfTmT@wP0IU9$1+lz0wI zoJT&LM?jrNrY~cHftbXW3bdif)YmqNd}~ykTx4vC2s!vbe|a<#U`sGpLhy}S&(vSW z^k2k~T*Mdv>G98)F9fGs3G>@lXfN40kK8(sKs$>k8=@s^$FG<{kqG8{@7DXCQunRk&8XhY3^ z=Fuu6I)t3GbRuJHXaf>|)$R3D!fYFcz&WOoIS4pQs_1AJTz&HkK>hl4kJx<`f6MMyE>`kQs>%LVr<`4{&FvP%HT zmAKf3LeK6rn#8mqpudST?giXAJmz?nNNk;Gx>-01H~>#!w{W=@sD^XU-~?JA1LC*y zWeH%d(Y~QGk7-3~%@WS3F?}2k&m?(s1(ifIO#5A^J!bwg26L6DI$T;}i_#ZT@$?ay z*bR+4F*4qwBINuc=))iHj*RDkoaexp=KzD}VB9xFb6D*{$huLu%RG3)IQWBv(Ac8e z7}Fk-umdOz^W}jv=GbM;=(8N}j==YZZgjlKTEk^`3SP0Ls~d;$so~t^YQ?zkbFAvt zG{O{8P+9K!&u8(8`@!qIw!kou;nQ=iK#gO*fwok7KRlK8HUZ=>IF85pVs)oXbIdy- zo`XT(nY~*!nl%5x`yrOfqYQK+ci3$u&h@Yph`zmK;PM!k^}r5_e~y^dr(RG<0K}2@ zrxqpV74ryI(c$y{^RO!-NFcG(erH&KB9MZ$wNEV&ia1;2S~3t5`_w^Qy>w2N$py?68I)3(T4OEbbWYpzhR>O9bCYz1o7Y6iwh0T%7hk3 zmiy=z)HHL}$kqvOK|qxk06N;biYhgUroT~==Do(#$CG|80QjI`%medv zdbua@cI&LotF72(L(5=r(EBEAv?Z9=T+{UV(ktcSdh!VBYaLhuaTXhaDT-D$AqTq! zDy9t;frJnr3JGw!TX)^XS*^QNtpDzmP&BjxW|Pwfsgl`AsiWU$LD-IKA^lhijUyn? z2_p%wC`66cZYi%-6Hpb2vAF3P!cjO7wE!>Voc#2jRIjK2NZqZ3Cc_mT90;>@!+84M_Qc>{2a7g21v%?ML)Cv zE)f7R@WPpZy164X;l5UR@so*EE6|u)c#9PD+Na(gwe^TEiH^AFIWC7#jUZ^jpbxA`6lc z+dJ+iMRmIuYJruy+nN2DF~N@hP1DGeUv5*=8cd{cdpcIZ74^dOHNs1veNJG~zGwOV zgNO`PwgXm<16K9}R?Y*R5S;Y-e_QKXkTAJn)Fw0{Um*otvvBN*ouRB(ZDn zqe80hM|$$UEJ7THnOEIJtYaghWgv1uGvkx7bb$nr&vZO{Ou`HXL=IK9pm{58rehv~T4|HaN^!Z# zlJD`Vvu@y8k>_WU2s8V4P{;{H5(l_PM>()r28jgyZCG(x73xG=T|cBj8Gi5q)r;)) z!H+({2?oE>S~aGlWq}Zpg&}zdquqfMB1rA-`m;UExcfJv!3=-Kz7%+GY$OaKnv0nG zix`}X7`auV?4P|d2j@}cJJs%PcC;0YpA^mZu+yz%+ z^XrRjAQ6SBP4J@=g=MF|f*_rsgjL^kg~?`Hpyb1MSg%ofdtCbXvZ(b(SA=*}gb;uN zhs#V8e+(eBE|(nxX!eR#2mUi>D?=bFLb5fHyK9KO%|t%N!d@EDZ*ge$1POnB_hVE> zY$`*7D?`LCf_Tl*?^4ijThMO<&}$)~!l$QtH8NVw?uKPnMm*l8&aTX>#kV08tc~yW z{i>Uu9zM7JWo>KDY%a1X+Q8FapPlmOmpAjg#?ca%4lK9A9@30tavt?rxr(`M5?HRb zy)u*GaLC2gXYa)DsktkbN8mjZ#}CQ^9-`xw-$30$4b(M0Oy0pKxSU5?eN)PJHU(_I z{(493#jA43H4^JUfMwF+OZQejkxkzAtzU5T_IsYn{7tX^nP2|p0JxiavR`r?a8;I# zH@{FQiEBRuT`9jbcWRgupQtkYipay6$aX#TG-WVO>&IBOQfllB_cuL;Jc8tO3g!S- zYi|@JbVDcEkqtd2Dhi!UB9YPkHEFbs8upawI^D?)Uha{pI;VJVnKY}X(8nf?(LKh< z?tU9cYlA;gX*zWk*gQhnOh!frtGj>CyrCr^C9{rsiBFj~^{t%UrL%zz$MX>1{scrv z*Evabq}2f$pIw!9o!aq~a*e1B6Q0GU=oaNHNOTZ>H!lkJaXxzgSne66T^Lh%6tBOd zK5Sg7@bpp<-m%Y0f{F&)-_RI}qaL_)5C#x|u0VY|u~w0lP+^?;l>Aconx+!S5Zo`K zoRIYW4tP;tsH~!-LRQf@e!EFM;P=Z+E3a^)GZz(g=t$Bz43kip-U|)3({DQ|A#cc$ zV*zCE_75bkF}-xs=c`Zd*KoykmhTPC@s$*kD7+{S!F#>`n0ho!glIbNYJ_jgXw>9* zDENH$W%+e=`2~KOJiiQ2Jia*`U>I3Lg)JJ`>2II0&i+>MUex&NqSJBLVK zPR|dGnoV4dj*0a%hF@Cuf6NV{33t_X_nfr#pSzVdu`=t#zClRW2rukw#m+zn`d$*-3+3hmcwN^cIh`QoLd-$CfL;5XT5*U%nJTJKGO1 zRB5$2d_LjvFs#=V?xQ}vAs=aT1O&V}yXC5+T zg>Ow}3F6n!jvFLt;NQopyG=|54?~4+dItFooA5HVzQ>%rRDqiJ!sZ#QIlx3!_d9>? z$G7{z7un}~wo0_#@!Bvj89lLcF>jMA4UQVLGVC=TV&0<9Qpru$XO^oc@5^3B?y>a% z{#uTG8gO>OGX+F%4L{O3$vl63UuGWIG)%N?t>)arn=a2qY0vMxtI^zja~#-&Ox-#F zPkreC<9EDcj&wGtZD$vs#JQyuK=HY9&Hc$faN6us#ozqcMeEvq8|* zFkM%kXolZyasJ@nn#0DCcX7d2faMNL;x)DZgaysPt^S4M3!oaIY{X!561{5^!l!d8 z=J|*#W_Wxfx^GG)gnzY4+(QY$3a%j$`^t)oHA!=Ll6-B->o39nC(F!xZb|0#%v&wQ z-533sM`O~n*hoKxi^#W&U(z%10s13e_U|o7lCE(!z!RQ`+XW8*_g1^;wiCyVAmpxU z<_?3Tx&+ShT*U2Li!k1f)0dYoX)9Lvo!ZnLu3Ngl7U5SgLG*=g@rs=GGTKh~MHk)+ zF+tkLI|k_X;s{Mx?Bobd**QPejzQ~IAnzi_1L(SaEbSWyLv$UWXq4DW4Iz@L{ERe; zscPv^FJ}{4W6NpRtt6k<9siPY26&sE&-Z&%;~ zH495L6|}DY`ofN}_dl@2ij;~-r}2Fk7Z+CvnZYde;-PlR)M(N$1t>&<&_v>lEAP~cNHX;q5Lq_>+Uz{}8pYC}x21N5}-@~t; zX`b8L#T2aB_HGI?Fl9kB@#`DLr=5|5Dm5-NT>T@5nE6HXL?g8E;yjD)9%{x4r1o0V za|_y^$5}s;9&~CLiVZ*v#ePF>nBJLYVl_xEObe)2bpBh^k1C);jGOk%(b-0zi)soK zzLV4A;7C)dnU?E8c&9YkF`Xji@PaD?>e@fg+*XQVi%*JAc4m?6O#obwAoMt=nu|75 zFPbp_v0fvUp`sYZyL7+VBX_`7fz}W0b2;>$`GA6IR->)I)kC483$9X8#N;c&$K8$Z zM=m#C3Oud=vgOO9 z;F5St**Y#~S?sd~pXN#J;`;VrRO~DQorMJr$mEn}owy^C)&7-C@hSRyy=G)j-7o2U zbza$foXl|Vt11afP~<*eE2Qw#<+(_M@7^%D=z=I*1pB028iN7cJn8TEOC^=5#>q#u6FP0n)v8rc^)a~3g~xbIXwFm!OM^3GAr}t;F_wqwsb0w3 zKC8JbFC zr5O+M`y5Ax!-(9F9yRi~+1H7=HtYahKJX;*_CO)V6 lUFyj$OB6hR>(dI>MLdQA z9tKy!(7d)I`jBu)C@%fBW%F7ssYsX<#C{BZq(1Ja_jS)AJ*@;AtW0ghB8|0Y=&IB- z`rj5gW+iD<&NiEjmD^Y7w=^M8_abc`%&-Y``U=J|R|Sa&@agQzG`T(T&A$;H!o(-` zQG~O#sfWHBe{sf9IwBMW{MHj zk8`FMgs0nc(2WpeiBV-a;YI>B9`bdz|G8bFr<5fU!WGft+))SXC0-Ps-=^H^xv7Fu zVXV2lJVRFXHhUz2YbRD=btC-cdDhSs%ba(G__LU=j@@4K1Iv#gZ?f%CM_Dr}SLC&7 ze6#>jj(~lOii_+gPCI{wGSf@Kmg-DE4N(2B0DJ+1{+wP#hhMmkFIk=Q5f%6pFS-vR zH-#j#KDJl8fE8))P|*mh=&Wx`>62T5k^blA?&+$lWr7uYzIY91j>ejbui!zc3SZQs z5(+LO#3q{8mL1tOl-|;Zs_UxFe5nJ58*z#)bEY#8X&o< zl@9A7Rx^y*Q&C-muOy9!eTo7t@Um1s8?YfRl9dkIJRROFb-IzMzTSYv&>86i6**E2AWEDs=Ga*sX%^Ye=qsFITr=#&b3%kpoJyR=&8kB zpL35WC*HMlJBkn)vU{7<*X&fjtg|~bgAieaPUT&DpKUGfdzYtVxeA~A^}rhJm+j?d zXDB9T7}0Y72LJ&7{|q$C AGynhq literal 15288 zcmZ8|18}85vuLuhla1|-Z5tb#8{4*R+qUgwV{Po@#I|w5m;e2Bt8U%;zUiLsnx2}e z>8_b-pn!mY)WCs$L#OXg_#3O4I67I_*%C3a&@<9A5ed6kSQ`^DvoI4evNJF-GcYqU zu@EVnIJ#OGnGh)$7+Dc95h+U%shb!Qi5Xise@pVO{bxE55I(m5k3hnZ{)^jr{)^o~ zFMMFK@x?mqDC`~jCQ6C&!N#6)gEg6xz{Spuk7OK;Q|+h8h(+Pof+f=hCDBB~^UMFJ z|7jO^1a^DsG>Cn&*N%6ib8Y|Vx;Kg+r<7#R!jieav?+owYLg3I=IsZe=AbptT;SB zk%CX{r*BvFo?lG(j{nlPn~t#mfzE_P7Np6!mvAnmkc4I&H3}sUJyr%LxIqwaB0d;gFEe_>j$R9jZfF?zH*iR`_@u{!T z650>^k{>U663-8$H))V|lDrI_T^a+(wkczkiJyhlbfJ?|n%l>5W_X_CBImuF5R&to zRcGcjR@1Brp2g-EnC)gMqT^fU*!bes@-^LjXJK_#Y{Zfy=5$);;e0XRmUvA)n6hoL zmYnCN@YLJi^TOxz7HgAja$wwKh715(x2rN*w`NRvDycpzw^jfO9)huKLUE3&6f_E& z1Wf!$fuM#{!6>Iy(9}QD+=jf z!jHU_lRVL)j<*HwZUKU!MOc6hCl$$*d;NgfBI$3_38)X5IT2Nrwfp zK)u<~4GKqSSAEXk8i?FUI>?_WGB`wc#378MFF9h1Ia0!bn;_$(F9$~HWOoe%c6`~% z5cgjPfge5t7)`o}{%s=Ref+O9g;0j;*WuZPuSQr|aj z7p<~Lfo8!6?Mi`{=!OL2f5nc*w|IR(?!N+^dd*f@qb|l-d%oFr_mT1~X>96paXQx9 ztG|xVE?nd}jm(Zi9Jwc(Tq^LNZ&&1qSk=F1QuBM1Qyjl zrM9{0E#?12;ATipyvL)hA2T!}kj5hi+Es)E?7MjXk_Yz_nXZr7YsyHNvD%##7~r^U zmtzsG{1hih>eA`$u!=A1yX@O$de8g#S07N_cPilRvN4S`(}IcB(N*yAb6x%Q+VxXl z^YU4K{D*6V&&8)#)tuj;CZm$lKW#Md(eedQY{I1UzVNep$X*vDk%VX@Bx&6h#9guxf%gemhv zFQmA=|D4Gd?eW%u3(jQsJI)zls_hB=q0>k8+xC6&j`J4+kZpnz|25;CxNwidn)ait z`U4(L+V}o-xVsGuK%b!}^U-`gtZRP25BnH(Nqot8cuC5zWQaO>Ugxt2gfjkGF*5*O zB$a-hG)OkcboeG)y$W19G&OwgJO<+xKn>U zb}IacSe1yk0@`xROQIB)-5aMCn;lemuqMe5Y{akC(nENQy;%(GG`&rlDEi6Nq8myF zKQ;je8YsH1ZV3cwA*eYwBr7u(5KZxgv)@ygea>y~Lyp&6+{q!+>ZcZ5+)KLaCy!_n zH4jzUY4(E__81`c*B%@H=B$Vp%Ib(_dCHA)60445H?7mx{iSa#N1SXLb#y|6J}31% zd_0m8YgZkiK|SZHrP%+-Kj6%0!ORzB`gwpp*W&DXMK%AsPJ6KZ1jlpbR7FLdg<;wL z__3@0eR_gsU&Q+`i8z{2z=j_!mBjC`lZf}aI+sVpbYnkQ#r9~$&dnmMVwnYb*DRXq znZ;4&SR$r~X!P%kXZ9r)#A%;!?p5X!-9q0Zzo>hgPTucB6MQhE>^RHtU4dE$4T-LQ z?sY_b0>O@r!1XD(Yd5n=_-hl>WAxjWs>$i2tk_rd@$AUg5axqAPQvHd+)y&@ldrMf zktRy$(t+g#)?6_m#ulFAdylBKm1}ojWX6f3!$VwxHRz=)BFkLm4)UDLqFEVIj;ndq1*LI%m)!64Y%tap~6;j-az zTR1!L$dHz#n?LOKqe|b-qQx{su*-nfy2>!Eo5!Th$3NlX+p$Tp&}jlr=!Zq^n@k)3 zdBRHWY9n@*wW3NBze+F~a8qUFYih6gSjt2zgR?+?o~Y6-Jc!#ry4PpSvuek6HPg0k zJ*~d38)a<}X!m8sr`))I9{VGZA-wm=$IoyUuu9K9!p-ZSo64ME;88#4hE0VTF>fPBTp0AB!JnrukDq0Bg~#wS|&e;R~^! z924d}5&>W9+U;d-!n4KK+=zcoptTn3hE!uc+7+hOy1ywx!`H3-Wd+rmbMafmgip?q zj)A9XeobqT>Web~5Kvm9Zq&4|wS`*$H@B4~(VR|b? zmY4B3W4MGS_8*%Ebn{hlJy3OAABg`D_8t!@@K()CsQJ~2ETC!QH6i2EKXvW!>^ivR zmL?__Rk>G$>j>h9k9KuNYJ_(Y$Pjt(&W%7HrF7-9op)-L224osD^_B)o<$`cGrtrNccF zuewDhr6LnM*kgcIT`EcB(WJ(+BWgRou4nRoe7B!H**cnzo=4W{B;7i?O_ifwmK8I# z&7{afNt3OFZd4rC1ZW(@A5$kP9f72(g?OxRioX;MR0Y4 zo(5L#e#4DNCO;EE;o91Oh8`?uKD??ep#{_O!p_l48MGh5IZ*-843dN>O!03ol`P80 zYOuiGdISaIn6y-rlpUVYv>nM6X<7v3a!7X=kv#HH5DmO;xIb+0wET3CJQc$n(PX>_ zjf?i`l-g8_5Q_Mc-Id0o!sdg zyg&hJ=$v{{)=>#ry70(+Q>~_k<;g5fc7ue6u41}}jyTz0OzPdMi>4UTaDhBOm27_F zEe=LX*W)c7YVkn7h$V|6zsjhGm@gc+}m?#(nE6?iKUMU z{+jyxq&rUy(!}MWfQfbQNUn?y$EyGd(1YY{gKw{cZ|A_Y)*Ys@I@ri4U2rwU>5Y&M z?pE8iYQ~YBBNeL=E}Pdsr06N*eh=QVx_xNrXK6#o{bYBCT;It!-YW>Q#69^%^`wBS zb5Y3Nxhi4f+>o?>;>|EsX(C^{Z&L7)gR@{CpuEu-G2O;J>@t5BJGqDcZ?w?iC zjbm!ma7vHwujpG}@$Y6DeG7ceDvh||gX_+u8ku2;m?89Qd>pr{w(iz@4u5<;Ct~U~ zelu+2wCkQ)?)&atZ%FX35X=jFWO4+)wb+6`TLGZ2R$5U2brz)UdXwVzeW5WszLz-t zADL`{$8FRM(vRhXFu`D%c?PWOGxRu@W*9L~O_AcqxDe>li$5``SBa+Yol&Qd+JaA_ z*y{v(c;bdHyj8+zf#NgN`EQE@Kgz?_DD6xS^!=V0lKK&t;4h_L6 zW4tU_YvQp&Ue_-JcN@fhhY{2EDdqiXpGxfO>i}kEo+t2b5 z#qO+3sWO~Wsr?#^xpx^auPTbm!t0hxrm>7W7rkSuAKAIBYM=&Tqu;6zZ&NJ%@xHE( zzT;9tvlM5wbDUQRQa5Q>1=9Cf0(;XL+6sGvOQ-^>iwRHz_1({+{(F7~p#E#;tp>r# zaOlxc-o>OrVEzj{ng%(RpTgdGHwlC} z>Wqy^6v+KP`*nrMCo9pIX*)6Bta;~O))B8%!ZTp2@<Vw&t(KP^X)qkf!_)u@d z=_rw5dVdQ`m>8-Lms^kFvG(s>n6=qP-ZUvkj&aA|brEh~LBqRCXddT?M~=_svn;!_ zzar^nWp-h+8MwgySYt@XcxM?)(i4Qxjt>{bL;R;>e@kf`5G6qj_lFnOg7MAwMW!dt z&%9X=*RolU^t;h~Hx^50U!EvSTiIUQ(S~fKH(RTK_=D|IL(2Oa$8Qb%C8{2E)3!*Ejk3XzA}0{j}8KMZf(W0AO32Bh_1 zOMmXW5cVrZJ-p?gIwxONxRBxLjnmEr-+Rw`^XBiGAlI_km-VdxKX=<;R&Sfqv>@T4hKHuW-R>&CPk)mMy5*q=PfsEAaxizVp5m>Pj zOmX>7@ zXRI*(Y^zwwHYsmx52n@~l&y|*dn-!S9gHq;9p@^yms-61eOqw+PO-gVPsjp5vPL7(mJInIzLTwK_tlG-g! zQ8P>rHwe0BufgW4L!TmXs8_|$!JcFT+?Hj#A?4nz_r(IUmeBW5pay0gqQ%*ss(Mm? zRcmKX_u`y>9q0hod5_AY!V``X>#CK^H&;k3X!0RXw=P5e_Z6K{=N2>FmUii| zSC3YTEeD|l&f1?nsqEH0OJYJyEoh( z=oR;AP3O^PYP@jfa=rpw*S!+((oEVX>BB!H&Crl~8g6Xl*Kj2TevlK^Tl)gn$O&1+ zChPtH{i(R$+f$IVT76#v%Q<+xk(O`?dI6^hNO~iW0SmOUzWReuv@~aSm{)ZBhq8p@ zN-;QBy`DipDilJ-EN1WVRVe&rg~aA}Abt@eld25^{&IFrx*YNw&I3>0$+m~6*JP||~lg2Fvv zztl)S6x^erqS^9c`kX7iD!-4PIaq> zMM`YmPEhrq@&X&VmzL59jiMR9@F~;09?6S(oVqAHJG-EmR7QlBwBv;dk{e>&=xn@Z zpWXs%M;T&mdtc4}Fs!GUtTx&OS+A=@I3WJaEQ_paK)F(wLO9{*8bG|LdttV>^zO#@ zd7`QuRAR6N%Bbq)WU9WVMQ8PFnB!*&pMFD9ttyyQt+@9<&`;Yfj5+zJm?JBKnwf$i`Sa&%g^*O|I{YdwuT zoptF{zV_X0`lmuiG+0jUKUIb7S)9#IvpE(3@HypSuzT2&7qmI1Qd~1)Uc1zR>S}W$ z@6DqK^;1bH2FNCI!82eCtF0eD1v}QShNAzUPakq&ae&b+Xo({{bW~cPVjxuLtv%;J~9Y}dsOR!EpB&E z%Fd(nyb#^y>S$*b8#19i+X`}67T2X;>OsF@XQwIUXQ}5qD)E+@c&nQN#6|KA#CVQ+ z@I?g4{FR)Mx%=B|Q0dfQU&yA@^^xz?KmIkN-rsjYbbhQ=&-iwhC{WzeI4P*6Br66q z2Uq;F!;2`x{t14aazf-B=wN8o2r{Dc8-K`xJ4B;bDr>hv! zjzz3b*@+Y*qGGDvfaqt<-yS~v#H8F(W{ilW;AU4xZj5+JR)eA7lUR9Q%gpCB@rnUS zC=$O?HVnR_KB*wFXBIMOBZ=X_+hL{{8E)%B#}PwLWC0G3*f~JBm~f7$9u}*C)j!n8 zWs`9@FiE6QQP&L0W6wmCG3wk&J#^!*2z_T0NK?lOEI4=Bbd;m)vUKuj1U#6RRu~qA z)Hv7ddo__jJM=A!vs8O&5e=`%ty*hwA(FW{9*mxI2y0dvw`vc^Fpv4z!ksh%G2H}v zvPjc-EE95VegDS1mWMCP(VJzVN= z1D4}1(?e&S;=+ieg-7gX=RuxTC*8RiZf|`#zj`qTQO~ z#M@$=eJD&D(RZg5f1+m7dP7O&Tai9u;+ya8nF7<^JxH3c%fK z-uPlQQpSCb+_~G`n=uYildHcG`vfvS09A(d`~gB$)#rk5H0Dr5Tfr|a;hOB~RS$l# z6xL=>>htD)uN+?yNN$fE7{@l@dAqZpjhN!hLAoEw(ykWhB36@ta`F;e{pgd~eR z;R8Zx#c{b*)22YMljskqAi!ORVb{A>8;EYa8ha@bI2GKhwNYm^d<+ExBDQ(ae_WEK z+z7rXXSMQGFN&t1Pa)J71QM55)$2oI)=b@wx5)t8P)v6Uus-N4Ln2B+Afp2zYv&(bpwZrG*qv~yi z{v@~0^YUzKi4V+5{kdjkwdMHFyKXWG=CxN)yX};N)XO^C@f6o}bxauK24NfDXs?ze z+NA4e#p0{@PqAdw+O8nRxTiIrdtZ`sHwGGF4HWd~&g(vW)&iFFU+%w_K1Lf6*DjHl zugg^QZX_Uz5W^M-gQxW~6NP*85@=tF0{h)GBJJ1(o2AWhbrXJhBmrmaZ_HN8@Vh7` zWhZkY-w6x0cn7L>oqN{AJuVk5fYpi#I>J4^*_LtX*JCQ$j12PIa(9sr@IF@pERXQ_ z8jka=#leqRNt$7WcLT3Jq{^lQdW|*9#S=0|Wsi^JZa^u;-YT2Oi}aPP#g1|r^n*p?FP*1nY&gV)rCqG8*(S%|h`(OH`Cw=Y55C z0^PW!u|;a6^~LHuU-Lu`Zw)~LGisgrn(;1CV=a?=1^MMx$|1L zWSWFkZq=9bJchwrV{maDFj?~eSIonJXM%$@_|8VtxA9-IoWpjaIx39rQHarYO@MVnREu8I+nbBod#zQ+l+3W#G^@EzX}gUT}6*8S86lNR-DO6nuU~^`ABEj^KrP* zW}gA(>kW%by#r;BN3#!K%Hvq;E{vrfNmIowl}TgVPY{3U1f~ZQt?R98R!X}ps4)J ze+|UL++!+kls3V%2(Y}0cd}d}bQSl5Ug=+JwdG*=Ba)hiT^rN{j5NkLL|-ZhuiNal zkIB{vE9`ciY zJ8hjA%C_?X`danoU8J#^2g^1Ex0Rc>BC8QRjLAe4xR%AOpS`X*NPwO!wU8x(IDhv@>}fU|GmZe#y? zvG33Rei2`v^4rzb`{$=mgwf|(tM;_O=jCW!F!;CoB>dp@kZnQ9PyOp*C2t+$G>?ye z_zqZ-M)KLleU|r;T{`*o=kc0VpjOZ8$>Ef6a;Ej#`QD9syTmW0uCA_eW5t9}{Y^&a zv+>_2?&Oz-=~v8s)A{j)+fv`cyN=H1Rc+s-Z_bgMB_XC?HDTXPN_O5!!*1`yTt!a; zCj;NrsE3%B;;CETxP(vBmY?h4#|rCB2(w?Z1?D;(bga~)#~Jn!Q%b9uM&EVGo%wJ( zU99Y-5!3cks;aM<6O0ev!}A8q2F0{|8{GzluJmKcJ4wd%-psULbDN#@w)LBH=5?bT zKwvxR4#`sVlL$-hQRmwjh1;P#zUq=>M})3u<}2g4QJEuyAF(<{3rE zNigFEMy0?%4dD|h5gvnLqq2Lua+QYUm5Nz8%<{tv@o?;|BtRoWtN>1&BYRO;zlaGSVfg;e@vSZ3+~ z`bx?$Q4S6F0d)IHxZH}40-QsEBCkuKdN~LZlE!y{9$uj zd>vMEhQq!1dv8C6!#O@WeTA6z8fZSxI}L{<{G2CiEQUOq_B#J?zI^cT$K3zo*QsqW zL*NJ1nk>|TS)aqWgv!UanEQlkCKO0aey&~%&@kNPhXDM>xJ=5=F`fHFXwK8PLk#O? zf^%cDU(syGv2W9A_Q>Bfor4s7>l8bOy2Qn>p*L^SZswouwV3l1>e?!VnYFDqpMkoh z!MOY$X+9?ha``Lj>CdcftN9G*WfjJy`)sf29EQJZlvozhy3}MTVX*9TgLxm6P08?^ z&`s|`VGiPY7u~w&?3U5oa^RD0R4Y#Nvu3ku{zsP>4|+3_R&z>z&+I%9Y!+x7_4Fm! zHL&Lc*vt8JO(Htt)1=)P8-Ha=!Z9T^iwN4WC3%;H&_Qq_k*J>V=uVXcb5$KwK2<0|_q-#94g|rSE^<*&XYdp^L z<1SUZJr2aJQtZubR!y_{IiPj1&<$H);9f^4L5|4Eu@1w)u)J1{MISVc_t*Ho+>sxq!$V6lN&zk zC7W9c({Rd|!wX6mI`i1kS4?ytx^=gVFpsy2Y^aBhZ$F)Hyz%ixCUf>>k;ju*vG z8CizC0c_%m^oW;&7)edq!_+TB-0&~zBw}iEmlcwhHLAWzt1~8o$Z!+MsEqJyYFU%t z-?m?_QDea$_{FI5?eLB!#ctF{AyuI*f<aw) zQ4#zQ-S=F=Efyp3;_ZA-{<78lkF1-B*FQA*t(p2F#(5L#N;i(NTso0Dx_cC!(WFN; zv0j4L(_HT%74x&!Fm2^F0-n*)2e!M~*7OYm+u#kuE3S!6TmXiXSAq7zur=~`sNg#T zL@U?y28L8eJal7a^O6}Ix^1|$Fj*?pIq4^V>zju4;tA;G6QrAsI;Zs9a{`RDI?^*` z2}k&yw8Qx=4s#WeGl@R}$rmSJt84)>5}9h?Q;X=3F-N!<+7o^Pv+@#!_yHLY<)IuO z<={(Q_!ec?61G4|t0Be@sqLw}cqa}KMc8TrzQE})B9%!QxwBQNLq@hG;T}b~VRi8` zQ7>}Cu(N?DfoY>YfN|d8yz9}P6p%AZXGG2F!r%q)d?zKY<;do<^;u**TT`#>B}B;; z$FKk+>#e)0R&n4IR&#ZKSP!t#!%sn=CM@^PzYCavq9$DJ@e$O6Z@@1jsWDM;_-{7E zCkn0o`32@Q;?sfdawpqp4gd4QxMn~HRmt-Y2A|-RB|8WoBrr>tW;?L;1YE}EhyMfy z7kJy7cv!VI7$Y=(uF?}(uXlZz@_)hi`Z&4H3m-Hs{$yM9LM*>+foI|vszL%%Pc{}0%wy-ABq`!sHF5FnK{Hx z<0va{H0nu)9MOp}s-PQG#3W(x>!mZyP>(i(AutR!L#NiMS&f`-hC2DvN)WM4mBFj1 zMQCa&;cJ>JnVaV;CuXJo7sHs6`ccD{6C8%FD?q3oCp}rigBz6U_vP>3>CnMwB$@(5 ziH~5!ngYoGgJdCu0V+yMAr-KJhNqToYF3mn^_E6$7#h{PKb&&Ye_4Q#TiZzxzI8hTdwm9 zJ%y>j8{311OlcgWD#*gHUM`J!dhYb{j#r;w7FIF()`gzk3Lp2Z0@AEOR93!y(^SHb zC*SYZ$IPz35^8pBNR?)P;SNha0J$WZ&XlbR@@;vB5uuBL*jc8R_aYt@+s7u6{B=iH z_l`LbzU2cyxmFjdLqccs2O#J3g$Wn)`8P>4ud0PYAeV^h);+K*N2~PU(JV_w6M(+u z!iS`qy6ZE~^S%M<$C?VOvs!f!A$0f!-4AECjiP!58z(=HJzQ2id>gwj*mhQgb=7jN zO_qQmA8d`md6=)7163hM9-4-^c~82jST}cd9=fg9&z6@Rx~V76jXr&8bx&^=*Cuto zb<0=JmIV;HE`N4ZM?vejrynf~wuCi3k8YHzR;n7_y0h(T)xjP;$Il*_Km64rz;s`#y(aVxv+ZFwC9D$YVeMklaAR(xt$fltNg#{q(<)BD%xO zDcCPckjCC09LzKxefgpFm*Vv8=mEd0+RAusD7c%x$$)nogfh>Oo88e6H)MwW_s~mv zPSMOL(cgl(HUC-8mJ-xg7p*D$;p6t-*GYm&8XP0uXcHBE!jss|p14+S1 znunh%nE5JBMXJu6s1dZ`(-Pft2wam0Tq_7`KO`MOrk&t+`>d!j93!;HOyr2YwE+fg zVSxCaIfJN_O^HX(u2EQM^<6B%Re{j7ShB9O$J?o)Ts$T%$UP6+F>>3nDiEq#6n>_o zMnH}2*yY+`c)RfSKk*^|9ql#fLF;YMz#Q_-Y}RMDrJeUYkPEoIRd=Um_IWl={?1}1 zr7ZIUBULK$p|oby2km zhsZn0;CIcEC2f^k%h{AT9oN%|63Tv8B{@m-to+nvPE?ZnTW#C=X8 zS5U>PO|I=B3V}w&9zTdKR5x{!0MKLb^57$z7xGGpk*-0x7iuR|wqskiW4*RxLjLx6 z=F3*3F>3vs_VwD!#I|EBfOxY`VjbJD0^2bI+p%5Su_iz~>G!`GUj&FR+Ke{>>DTLL z->=smBjGT{CXy(`qt(2GP+7Sf8QQBod|Z#vk*=q3zL+t^G5pZ;u+N3}gMz)6iuc;zAqKfo&%YlWbLCtjl_TB=*lA{s zj$dTt-vEWTl7kn?HY&-FL9$Jyuw}QW0OLeVWizD0NtWtXFO zCZTttxfA0!n6w>hu^n@<9ecDLL-0p?j;(7C0i1*a4nhGJq2B26hBkdi7>+bV-5`=F6m{IPfj3VTo4*52`V^L?Y-TFy?bT|6 zUzVg*cUQ!4{;KY2+Cgr|g3(zUdJ_TAIx@)K*zty8-R!sqXij*xs`rul-~M~`B9uw- zGmqPhzqA+asE;Wx4xzr}PKr+aSMeiC@jq|ZnhJ0~UnmzE(<2vK8pz(Adi=j|3YfN9 zirE|5mqN12b=nWtv2?iC*&2)~i+{DpBu(wOzAWq;2z+XT05;;=%;xMU;9(*ThNrt; z!zzDx-Q%A#avbP^7$hx>|q|D?vEAPhZX-QF819ERjdc&A}41@-iN`{}%YfS{Gv z3y6K2p&U0D>4;BUOBMg^v1sF}jtIns$2PJ9S2 z$mvxpaD5@0)mE)F>bS2zwhm&c=D@_6E}Gdmtt+S zj5V0}V_$A4MQ(^9J6!(9ZvEP~^2pkbA${LAH%ObpzE`2|0|*_s$d&U+Ucd)!iai2V z8N2sZG|Gq@kZHV9pIHQ87Ve!J#{r69WKAERUicQ|uH=3>@^;iDy#!oFN!XV6;1p!A zkzS=ob2Q%{e?C=WY&V#e=+Q$Fg=Tp9?_*7gI}sG*BYKQwQ%zMUPb%!PnUL`^1s0D_pNYncmaC>xU+3MzmN%oW0c z?%u3y=WOc-Ny*rKx;(}!r+Kmul;7HagBcQ{a_c#r)==dMxa@EgioiTPdkxor2-t2S zsqMrR9mKMNe?qN5lXmSxffN7;Iwz4-o=L8?2#sCQ>^(K(lE7%%DV^6|WaKAn-UrTR zXXH4rrL3Y*p`YW*n|rzkq1BEea!w*@U;{qjwEmVxK|myin%kk8$Dx}0p_=ER97H@0 zrh1(Wy$BZG5E~FV*B^ifx#nz#yPrt^G6=A|AxGvird>&<6~kX_JVX>T0M*Do6%_q! zcYi1hqneUyV3`=$49=Bw&qTZ7p{Iczk-24}LkvS!yWISG5$RPhuJ4ZIBz~l?KPdLl z<%UA!hQ#ECa2rubYUgm9P?(6^mDvZ<<+tI3t!DdpwId70H~hykPoo33a_NQ?p76PZ z;m6Qzp+DKWiOgA(PvZPxG%sk=LpYCQog#}?}Gk}!oR)y%?wt# zx&5O9>IhRRC(!k^C2%#3OuXe9oUDi{M!cOyBHW;~3)DgN&CQmn?-}_XN}u_GEg49P z?1hAykxu!pZL}JndEnOYq;6~x#Lg4`0 zwePO$B-V2hv2_r!brG?366r;hY}iB;bYmG-8Pa$~p z;X0BNae2v&XgtF(EK>9+bauc~rJ2VHZPy(f{DsLO!N58fU4-U6=ebbtT+;~vbsYE|xflIInUx0td z0e|D;{X*POA$_iXM=#xWTJU{cw&?v(2f+6^lK5VV0zko8={+%aIc&#rrX8;wNv>Q; ze9uJz`=Wqz(f8?ymmbtxD2hFPioH0wp}g#H0kB>3&9@xk&plDz9Z}vBwSzi2Vm~($ z0!I=8R}uoy0fVMv1BTjmtK4wMhcS}+CaSs7^CC|!u|LC{(6D}E-BROWv z2*81YS@ZIRG?DXnFy^^*c3{o0T|0w*?r~@~w4?)SHw1JoVI*PEOS1B`QFb`0e}2MY z5LNq9Z#`3jSJsD?Mmj^!Z|i+DfH(uA>WC_WTL1(iJ~I((6gD%F0w)UeZ>{?mQD5Yn zGpdNcU;=Y2*x+V=^wu(qLslb=p&QsbpiOQw@;jWmj-Q`r&aqF%FOc3J_D}; zJnkE~=2#eBE0@yp59L!zn|XRoHn6JeaB5@N#ntel_6}XVUUw-3J>XL0d7>FI%%ck{ z)DYr0)W`AN@A?T&8MqQc7*_X}7LFoSgQBcr8rq{9N=~6J#sph##y9)d6*kkvw&2n= zn3T$#qt*NEYf?HriXQ9YmM~%kAI2i8Zf=uaSM#ggrjaFnjorh#p-6M(3hnVV1oLU# zM0oP=wfgy_6-a?wG-$z+CWu#ZRh*p z06w3#`+{;ZhN}lXtC$4HR{n_`_9|T%YB&PQR{?yo^++6 zcUbhJAz$DO>uc3%W9o*OmHpH8>Neq$=VdA&NB2sG@?JY`$VI>w$J(!in9%ESkJ=>` z>Dhhbt!JknQ!Y66{^H3_ToWfKB!U(y@8y*3BjM)8TlKj$yk zrJ&cUi8r3JH?pL+;`mV0+yza43FnHB*yshzSmgkk7Ko8P(amVOtLZ z^S7PMpIIC>_s1zQ{HOhULI>-sa z34xNQK?9p>T}{fa1k?XWq@#ezLs?lK=W-6e~K;&fw{4g3uZubGw@6+va=jp3%wL9ED^WVgG2n{MKmvXc_8L ztAU4*43>B2RI8~I?jC&3KLvJ>7J+CJSO8`r-VJA!nzlYi-Lgu2{wE_H%2XsJKvIZ34w+ z%G7R3z5TMaFi;lOAkj=0`2(wub{L<~s9CUJyV;2MHZv=-;Vh`#6}YY#<^A^{+CK!| zrxs3~Si2q4#u5HzSWI#>?|_prn6h^xwe~tA_l*n+(n3Ok=hFR4W>nQ_mn<&Jbj);_`PitJPF7Wl{IUFqw=Ipq zT5U22>i78CrNh_&!#GBdVmDOQKAJG0Hi#uyNRYJ>956y{umd?MUI(NJiLAQ#0Uv@7 zs+_mUDE4=#^sgY1sk2=bSVDuQ0@AMr*O$km-1YnR3Oq=giIZ05m}QZVf*6wn6{LK%5>x6>H+s!q=g$;EpJkJBVog)!|2Vu5)|RvrR4L`?@HGr}5)c zo5SdseOPA8B6_bSI<4l&6PV5Z)wH}pXvQvRw#v%mFtfEXYY{el}<1Cp?1;M|eW~#5<>?GrIK>q?X4Jn>`U7b$8Mr~rQc)-<;m6^@lf~ zJ5oltk=h7gY;ZoPDO%2DdCf{5`A{<&%3>xZfolh&reJv`NXHyHuYcT~GSZ0{#fR6Kwf8pO1a~yqd7< zaYhCCsRqY@F_qmw*rI5o7Qwu)i?vRV{Om=97)5(YXdO9}p(i^ME(Kd-+;Nn`(1hlt zre2@MRSgbiW=7~-;tRGH#U<58-b^`++j+W)KdB&&z+3`rQDY9?zS$YU4`Xlp4~0q(PKa{vDj2%Caqjr<#BKdm1-|Gb7K}M zk4-L-B?GSaNGp z;LmapQ6aOkY3#rN&_V#l+9@&}Pz-8k-^tv>qzi8_1!C<_D0X33TgqsM^ZpMSdyrazgZ;n#W)POQ{A6_&^G zD-pwqgv(}Yd*s{Fo_i)$8&yJPK0M74uF@_K#my)oO%9g9Tv(8Cswyf?JpXVEs)#qP zwGm3;EL^_zpXCu=y<}G;4>I^RzDYu)quCg@XI@9B<`S|+O{#w h+LH5AEUD4geCF)#%;n<*A_njSa)Z)+g=f4ym{D+iGN zw%=hX^mYtw*KJbL2-?SwX^Sh&zC3v-eGo@Q$0i_Pt7>#G2`kZR5R^8WDl6O~UQgsV zPRcbnOe`T`Y_A_;TD=_3m#ZeT>m4#1zHE*-n>qhC&R(z^2Jm$avpE~ zl4}W1LW*quDUq*yNyz;DW$Bo}()t%bzmLsL;dbrWGkI(_CrJE-1Eg}|yl87yCGWGJ zA9Z+I5!-uj5?(!;ATM)X`4vu6QsKil4}ElF8}}-C)w!xBtR@{|Ek)9<^(L29Bh1j2 z#=1Ae_oK4KZR2v)@AY9;clWzOeCP6K{<5(R1&gb zii84c1-rew8x)%N9U}^n^(}OO_=$&jftVdQ(cTNfDXws=&Wb&ZNTZ>mLH~7wS^|iF9yW z@8Ea!hd!Di0y^q$gOF%x~Pa(Be- zUgCNG(k{^)cQ>)KTg&vzUN+1Yw0f>_>y9bsXsBb&=YK*Av;e_(pQ(t2ox}$0nA^Lr zx~q>)>hoW+u>k!Ednp%z7qK0Q#P1U{;nL!if%d3!N3%!iJ+;C6D@kbUkMw$=A09K*CiYsy2Y(<7Y*JaLT? zV1wZGl2L>@*XivjT^@q3(+!b^DlWdp+u^<8At@sq1AtGT-b(-J#o=SN{W_iVT4$Wp zTEXluWwA`)3mN;W(PA=La9lz8%LDhhKOJ=sdfTGm6XhaV-`1LrV%rA;1#fot;%t zh^9W%c-ru&(RE{@$>wzBp~dg4>iBN+DrcQj;Re)8= zKdFzzFmeR1A#y0E6fY(0_mg*&Dk5Ii*mINnQ0$dP)bp z&LzyA65AX&M20VP1~?-4!%R14tg@5N?yF4A)BAkidG25U{8Z&!GL)?j54955+BM|fzTNexKY&B?p6p-}AwN`P?Qv1&H z%5`>hU%T4bA z1ADV$5;N!}+4Jq)_575p`H|QY)2Bwgz&pFhiKqG+o~-U>=hb4^_MYgoFbLx~+$CS2 z=9EE&K%>$Bh*E%?Jx||g{o^xPtG_&4LRzv@eb=$7h@#~EhsdJborL70XCX>dv+N2; z+g0z3;^d+$#%XLY(}eLg=MhiUQ8=PJVS}SW6u?saEInBjH+M5Jtt zIJ24%s-;KI{*RSjO4Ja@v*f=`k;^^eIPc%q3o!p z;t~fd%xn7ZM5_3fwwPaUJ)XqdMFZ0GV)|u855t#PQ98?69}^#$7Q(Y|E7%o zo)vVr98Gg){oEej1LP zlRLQ(xQnwes(ME>9tWKMl)v{FC3^S~KJe28Z0F9LK=ZfcSe(Z}cy1NE#(DG%#3{&H>v;A|-A5nf z+E83(W^z1UtPV6h+LR3Bl_WV9J}*REjV$k03fq`pJA5ikZq_>K8Mt%kik@n!nyz$q z4$qjsZM`BmUm0(EO#q&J*S9*Qv|x$VQ}1!P`gFy-oD@P`v3up2lN37?$Grq-C)f|aABci%V zVJnC&C;4Rr?D*H3OnjR)!~7exhwEIm-Rjo4&3ookiAl45BfvcJM2}4>;m-Pph-x|p zf2uj6?&qKm4m`R+pYQw*R=EE1Bu0$V#WH!u|P>1Kf*`6AYgBG+}$;f#LFC-y$yy~`=mj(HlCN^CvV$+6) zq^hPd><(b0M;O0i=Os(aU~@}erIO1fVkwaQH-KdsB+?>}H!_+QMG4PA{%Hn;E@zzj zOVY6!9Z%TYQe1Q`RsKM0H=A%Q7Y=*=-D%V7-+gSBMx9pBI0Mku+T<41J|UjN?-fGg zzGz-)!fsK&K|u(|7oy+Vx1>Mq%-bIUPO$1f_H24}fZlhQGcm2wK^U}R!+-Ag2CE?O zpHx}D9e7#_;`OMA1)hu~@ZLk5(Pe_*;xX~tjvbiqBrD-FD_%#>DvkQD%jaXCL1-k+ z>d`KxffE(?{>~jS#O>i#hZqg!|7EXAZqZWxMKFjdMLt{*= zC{uyY4xz3~;{w4-xN!OyUG|lYU@aUxy*b{Ca3Rfz)?buxp#qW)1C==jU13b1XSj4_ z@z+j3^{)?95{$t4)Y#oqQn42$x1)(+m&?I-n{tUa{(qra2-I*uKu3M9@<{N>MAjPeQ>YbAa%cm zYMoQe9wf}lKpeE&Bs!9Jde|&;azK>+v@gP!^UiIRi8Px+tib~>7WnJ@Y_aSsa!Z>G z1nBG2UwWG~6PJV@9Qgqtw_yRGL0_MQrw=3}dpq?;G%k3^PODoZko?)cXq;U^c?8o+ zT~nqklD%cfEP&@|#*2K@pibBs2tbc>@_p zc~FoR&PB1CS|s~+@K{u?5~mG1cV2@=fDGvIvJ57$;_leX1S@ndq*Y}oErLH?i6)QM z7>^0;dAl@baye)-X(}h{@rEQYA-*O?%CxkcU}83OjfHV;I5a{5)EnO{pu^>T%N;>K z`It2Uo#9ms{2?w(9Sf-2V`bjdcDJt+%SekxIearw_M=s(h#&px0^69zQ1KavcvT5});uKToJ=V_yaj5$1qz8+3G^V@ z2&18KbcJYGE(Oxa2Bx}#M6+3!_pP|u!vjE&97Bq-)VfNv*S;b+IgzBa>XNJ7-hA#M?X;_LOx;-yve(~H+|Tdo2HR=bL(L}L71fp@>j0h& zQz)SEeqVAD*()_!YUP^C?e3O$r*o-4aOPA+{e3M^p#&4&WU*qrtrV>Iig9Z}!C6t6 z=`x3Ma`1i79VMv;2!YR6cf`M7gXD3-IK@u?q^(KJ`M-kyjd2R!h)Q-R7uYyVDy~4B z7f7CLb5AE;u%$r<9rC2(h_exf8ma)m$;bHBqFvM@Y18X#FEM=YRAWDFz{dWS0_HBi zxPdGxBM=)Y7ZQE)?KU-+c*_(s7aL-F9`kgg=$<5EUDeBC@B*Pc8M-m5y6wP*oYc?r zWV0y_8Ib9CpvdCyuC&eLl%ofL+s4bfYSyHVO#XN-3pXW43pew2UX=aIT4w{mljWDE zx2ALV4>F#UU@F%1KEmoQ)1`k;x`pWZfWo2G#Yad(nB57e8l^OgzSIq!Y!V`B#eH+N zitTWSO_ClOFN@x{e6au>-4C2is^{TWE(r7R4L0;?7}O*;2*!1&kA-)562Nt-ZQkDTjR?#B6ms}g4 z^T_r5^}j8Dalry)k>Md=gI&bdQrIs(v)rn>Yf!Zi72(mw`&k!yrBQaS36{>?w3Ex% zTbQ;8ngTM>U|E^CNsT95SJBP;=1DY2b@Zj#7N#^}QsN1hKeUddKu=Anj1LsU)e!Gh zIw@jAmVf0C-2E&cHgnSk_maI+DD__MIV#3X2}Mr`q!fhad)O5X+$1j+F0`)v8Y{+&JutMBP`crc9HW7Y z952a@;KLAfPCcA=npkMUEtEAk{zZTI%w@Wu2NhUa(EA~u03FxjWy;xMLT4}b-L~+c zR|x2Q*41j=+OShy;9e{Ta$ND)$vvBOF#@7xq_Mu4DPQ&4x7RJ1{;mIE`|JTed*Y~l zX`t{VlVA&?Bx+ByvS%TQ?fjS^4lLA6mWGkYrN)X###i?OW?zZrH;A4$L-cQkyom_H z>->8lcQI5>Yxfj|+R!?xu?WJe`7Dnv&zzdFEXSXZHIDMPEDkQ;YaIZLf>?k_Kx6T> z3e!a5Cg$bFl<=ZDYj3k}YstDb;KFp;?EBop$AxKqK{&HgsoKf_UjtF^hA1rvTj3~x ztN8!?+5x9bksA#FQx!X(xL4E-9l4n(A10y^s_U z(|@?Gvwv<&GzY+M4QG7Mb!b*Yh}Z}XKlMr_8-xLzMD(Sw7K}?ARwHm(Qdd@FD8@{^ShRd<& z*>egqit8!Xy?qdAJMNq4po1^#DbZP-{4`Eugy+njJ*6Vm;SzWU-aXjJ-VrmSU5+(M=`OHoGS1EaAF`Oe=#Wsb@fh!wOHJ?udseX`v?Y-3%YlF-KP7#k8Gh^4YMB9P`STZclRt;!nX zMv(YwRY2_t3td$6Q18oVuO27XaN1UN(K}BghsY0450677&5=5NHey zyr@7beN>%uiQ&D8pw8T8{iEG5$01B$f7WKPhl-X5;+d@YzYL9%c9;sN8D%0_k!Kq z?qK5E4Dj@;JNASrY)1O5gF`me4RYAC`l4sD(xye4u|ifX5xqZHm> z{i<5;$TQkh$amm>t{3#<14S22OA_-psdVb%;XoR&qN;#XdiLKJC8o+}`E%|aGPY93 zy9pOFKa>;~q)q;g->RJ?)9!e^$2{>=?!5A*-n^Z|Qs6+${EcEIoq?c54Id)?C~!vq z!0=2~`b_=V(?;((iMU`M)K9x-grNJ|<`SIMWnR@YJs!5_!?*QWUr|onsPjc+4;3WX zRgd!nf{9S6$JMUUVdY$Px;;&~cC~`CQ5<~(O&qVZN&YkUqb*PPK;We#ip~fRho^PlQ zC)v5c+rI+^JUGyMqbs~aK}nW8hOGYu5QovY)UE4$auMPBV8-&nTV@wK;nzs^z#WsW zJ+<(iBsC1fKNI8u;GF&s$i!az7V7J|&|qLMMy=APW5?q*s^}oimeKILfN^@Yi`6r# z7MacGvm&Tk?czQg_0I4F>YMzu!ztpaA0)={lh~4ZtC%H z0E3AD1R^;VITEqoVW(>b0=5nggNDc{h!&@BZ{gm{&Js01FjLGqS%%KTTYm~zs@1yG zM}lw4Q!|O04t=0}_bcujX*bedDh;xj|8Pp@+wbeLhwB&LrMP#>1h1*XbCHIg)E9nAg!(3wh6k!x$eQKX^Ivwf7c+gJ!9;K`DM?g?^ulb@(4IiDb!{x0e8SF6$&Jt24Y??lX z4!r@4cn1v5lm)4d3~kD(9Dg^eN#_UI9a}1!HJ(iB-bF<8Y|~rbmAEG}LNnT&zW0nl zJES#fiZtplV#R!fnU^T)G7e*{`vN*GvZ0)K+y7g1dvBYGZS|VW#NAa7e)m1 z)S_`|eS#@Gp{iYeX%vaB%ePU&v-hOLK0{3Nq1UM=|N%dE8N z{&>ssxWK{@h#CKQ!zEAli__cM*|@gf4J%FqV?~W z2ow95n-6=3qdPY8%1bh;RQ)kdD^TD2?D_nd_2W0-Pg#oEVaV5c zK94_`*o?bAD4nqGQxgN5&x^vD>Z9wjGl-!mveQ+}J8Xn=Y z*4bSTetvJfB7$V-fcaM8YmOvsyPLXB%S-`it95j1U^yE2gpTa zPC)!}BSHD@jc?USCnxi&q$c&e@82=VdsN5GT5=ZMzx16oUq0KWV(ekpQQvV@FWH-V z+MJIe4lM%(GmZ2kx0i6#xuBvGosrUh{zNUL;o%|h-S7CisaFHn9w&>uka>U$`AWHa zowt(tA(i8h&j_CTORaY_!zr_0L&*;vJ2(#099Lg9c((H(WOZO__DPPg`jd0pY0(>= z1;ibOq-eYBzh0VLhM{;D304L>*0kIjkLgsTqw2-}-8pA-WtsX3Z7chpU=jnU_EOEB zUwkdF=`Ls>lsL1VN^%8tbG{Ohs;Fb<$vv8-NtY<)1r4W16g-#Oh%e*DRaa0bJ8Jg8 z6{R+IwI!@`Bpd<`HT3y7)K>&ZhKIBuKBDWVcT7$EW98^3d_|^nk~C4AUPgf>W}~~b}hxHMZ)6RtXMi<>q?Twbr4IiW@ zGzIY^xN0<-CRooXb$n^d_EY6~_UUpM%#(|Mw4xR44Q*HHOUy+o$@hDS`b*Us>IeKv zdM&#hSXLzWWKOHC-f*a22mQ>wa*})W7L0wvp0=1rqqi(L-x7jZ#~oY>#envWQ6TKo ztc4`E96UZc3wrVMGKWGj??5+h3+6S~`oezO8hp>!Qc3H~8pUG!`g1AAlwfK+*<{{} zH6DQ`R8Q+Q>P0bi!d-G%ljl|sIzGo#DBL=DmmSYq;F=}QX#MC`*9j9< zD)sj#GP{8X`X zX-br3*7saJnAI@w%KtcP20Yo4G;qL-vBX?Qct438j}gXXhMh8fypyG!ykc z3Emg4?|Au6;^n+yP!_2^=wVG-x%2EH+dz819QAUb^Wd(MruU*JMI=bzUU zr0DN8)0PSx3rOB^R(<5d!fbtyIrp0|ZMu5aUiwEZ($eC?2E&>C)Xi^^;~0r?q~g(G zS0^Sz$kp4=QHJB3gZ9$yfdb(AYoSpJVriqSFIQh~=iXJ;D=zSREzF=!s`;i#*@v3e zAFpSS;OQQkb!)+@GR_&Dzf+|?d=nluYjmiVk2RhrSvB2fDUAaJ3#7dja_g;>d5HfX z&k5JUzS`)K{VDD||CNI)OBsgwgPx@3?1zQB2|rNOdb6$PcMne-b(aB-{Kame3%|-E z9;Ir>9qJYP_TCD){j}%XS=8Ugs1L4N>ZNYTX!*pz@61e+C7n>Mb9kId{#Igv7?PO~ z#O_+#VK&EVx9yhu8*7&ui3U3>T5GuO!e;NnDv z`4&`+n0>G@$P7fwI2agZ;*dGVC$V=dMhejl8!N{5EJ{djSicS=X#M9DYjwVc*y)cJR+JQb%nqxe4UHeT?*|R-@;@~Tt&2`;Y_GD& z*(jpQW3qZ5;ez^Z1sA4dZ2y#%lcFC=XEIpRB|5CRG3WP?&Ap?Cq`j!+ zwg-y?`jl}NvkkvK$}}+6alcd2N;%I}ll;E35iM5;_l*NiSC|z~KzsavOOXa&LDckY zmOcRSRT4}|RMl&aJ+)xL`)m%&Nmzm1=YCbxxJ_MhZ6ez2v)JExo>|>){pi`)oz)|K zpT=>2gLka9f-A7Z{qhCh{>@r<#XIVeP6|+Ktj{kCm`v|##M{T^b3&M-pbmbJ_{CaL zIJfdUxDBA4?apFCDTKoJjOwqctyP5cLnNhdKL1b`FD}>)VS3<>S=Gv)y5#-w(?_2C z1npOgePI!;7D5+d^`xXWt2)PHZ_=0O>Ej~Qk(M-f%EBo>Y-5^kyIH_;#M^J%V_`pv zkMz>IK1~+-d%8&d?P!xVF7YQXZ%@W6WEM7=jT;v~p zYt0(6L1)@-B6SxgE!W<;m}zgxh!M=0`D!OV%RDWzeGJuaGJnJ?eE9GUN>7TapN&sP zJ3@H=Id906%G_igzl`n{I_;j2U4hLD80A z#d+6HGT<4CC!HlDG>e)h29jGAn(l9?sT(Fv$mij;V?Rxx=HfUdewwiDa~7M~U-LS= zPrBL2n}6lnwCMU*WoFF^45$Ros$f>g1m}!)!x>9) zBs|pHJ{J`Rbi7R{YzXEqM?`F5gJwoO9o)bkY;t1qV=e>@CXB2doYm++TaA85m-97g z(4b^j*~Wcx3mk6$c%dnh{`@_$YfxC@L@S$&Vv>(78_aIVsHgZBlm;hmOK?7a!{nDz zT=Pft_J;>{fG@+uhqSxxWHWa;GI}oC>B&Mni;XJCH*i*UuBD;da__&rk$Lj)6sEgh zanX92D6=2mjRP5<5Ecj0w;^12hHmQFje+mMh%d?BTzJEf8(9O_A4F`Qp$fPlV3|6> zIok}JA`0Kk)IsxM&lAWE1d^C76#iW>Escnf4!7z9i1 zHz(crx!hOcDm&kJ>i$cx`8z!+h&3J1kuH4X3VC`c`T}?l;=NlK*4{whBtP-RL^4X*bytxWzb%^->f>?%u*D z2U>A_dz)!XnSNwfo`4>++@-{>iur8s>*_DA#nE-9MUYz2mG|8T5@zRXZ%<|XcucwH z#rQ0H&;YV{{q4(C1_{p|cFL@n@W<{ii;G&mKz4h@mRDPw2%SQEJqKyZ<;Tr@sZtkO zfTeF20coQ$WG&a+{I0EHNwn*RrMwl1m4APCbL@xEhr^Xm|HkV{XS-lvs?w9HaAFprbB zc7gVCFQ14`vy;|L7AHvDiA~RXIc0ha06O^Rb(XK}G>cx@0&L=0qHnK1&K${y7kVc}zufZRYQtjt=QdbVUaYfiMeknk`))d#NqP?6 z)O+<$ZD^=6jlAV8Fwwm{z14~|10Bwh%BIlovaqf~=jf3rnb)WA zk{&CgBit5(}Efk9KZ*y7>=`=D}IWexV-*>h6xAyDj9P+$Vy z^&M5?n}Dr)M#((Uq(3VAzfh^t+6IjpN>+?4;Pb2Li2r ziZ9qzLKCa&U@xseXkTp#^m5A`22p%YdTHP&|0 zJH^={r*@kP6@wR9i>9qL2iV*WbYKRfi^YzaU);+C$lGmdIT&DE;@iTz(Ws?1|E3YF z#nJg1pBA=wROYp)Q?=;MIH+5>d(Ub^0m_A(thdYdym#=2^*lfldF?SY4{=&wZ-GyS6F z=ZdJ#v;L;_sZ9c_+N;ml8Kb%yhi7w<{-AkIm~kT;_jmAHxJ6|^qc(&%+bX}ms$&q& z_Ha2qyU;n)*Vs*@VxYNzC?7a(G?G#70BgY5gLlQBRxf^M@1!hFX|c6+-*{hoVk>aN z;j@ZY$?5AN+G^EwlEAJ&qr!?j*IM26#qa`m4XQDD$^$^_H183uES#GfCuRHQ$HCaK z|85am!>8o3<$Bels4H=Kx zjm&uv15FiBm&ov5^3N};x^2h2d6l8S&WCSlbNp|~8pUV0bh?Ia_#tvIEa}dxDX*xa z<2-NkewObOkXSEOpf&y1t6w7K^z7DK!KX10xynDJ8=pJVIZ>O;ouod!!SN0wa#+gf>NGf0FvFQBbgoJ(`Y4k55;o z+bBK!Nk*;~m4y;uSeBDdv1_KHFL`Nrby(f$V4`Ez@GCSK_g7N}S(MJ$A?FHiaVn3j zHCOpm4HUNq2;R(m5u?SbK|)T|FZF)K9F#E?(L+{N@&h9~!`|dpH&~r5_Os@Ut60hu z>zLr%dLX5a0i1OQnf`}GmE+co&f?wY@u^QXAPMZbv!JKJ z@i7CLBacBA&SYa;r!qzwZOl#X2UHvg9=C9bK^suE>oJ# z22o8b-mf_adjB|E(meDYqbfFEi7%MOq~^n0T_CdF?$f3*y={%ka%10sf_#6^fwpE> z;QrLWpTlhW_>0-IZVpu=XB9?#B31GDHI1nea?s0S7@8S9Xr}ti^rjdAgS{1b&$YHY z#Hb4i`IRM5^_JjNl;LY;&hwPPpSfD)&HC4um9{?^NsfI2qIBP^R=$lT8npkt-&MO( z%jHaL#!vZ^5+laxN>f*CuWfffW z2h%xE$dEYHe-042$F%Ky3)S52JyJ5VFb@^1)$2adw#XGAM7_@**skv(#13lQp&|JSOsl)B+$(E0YHb7SQdqkWs^7*{?avD< z7Ju}&u z{_43-o$1_Yc)GUU=5&H{P!G}9jZ7HcG2iquUFw)H4klisH*`I%Un4f6ItP3G>3#Os zqK7IB?H_0Y1=Gv>2TeqdH~Gn`<^O4wY<=I#SP+OKT(|mXb$WUPo}ONZz?euMoR4quhcSF}JB@$h@;-V0kje&Vcv9yf^|4==DTDtTqWY}b<4>0Qn}dRq zd0|D39ZV+S@uAs$T1*bjl>E z1%OnE&9%?mj|poq@yk-+Z|kQ)F%!wqq#m|M#&rq(rwLltK&Q`tDT;(UMeH`j-RW5{ ziC%^>ykhJke`J4*R=wO3Es|DANjY`MdIWE89qaG?7wlj>CR6rw))e2-YXRi=AUO9ycJw@WtIMk`>D7vthSPPu$Xz9b1rq_}6UTL5 z_j1M0)qv0;M1vwNMB_vRcJvCQgK>ee`=r<|KxY>k0zpeVfES7UOV_@a|90h+34tUY z5k~#ujI$C1e|#(Sy*Tjy0qgyqy&=$`_TMq>LV4~yL%Sv>JEYO`!Jm7T|8L2xwNh<| zl~OJ20U{9$OXM<5T&Pk55Fr+cGE1hOYjRp{@9o_GwA#9s=Jy<4=HIvOaexh@(by3D zxmQ_yGK0U_yS2@}!Apy~2tHJ!RXMr)1w+1Y1cWbVK6W6&oeC=Q-mk)18jDvRt`EVw4O6g+B;I-NBFl7wm|JgtGfvglz{ zheat1ar((Bfh< zAB`wRy951KzliQTn)3SQCBkKJqQm`7B<*E^LxgfQ1~C{=bSAJ^0M8J0LR=HSV=(qr zYI+SF%8T9K?^7;8)u(YCj75Sh5kH`nZ#?1W8e}wQQhtKC7 zr6klg{xJ65#4GPawgo_h<_i7=4JyTCCPxF|_A9gLrWc;!$gLU((VG~D6zmYrl9o% zpZ%K}FjpZCgjZHm<4@6%vRtN#jIXTCv zVAtOep0uz?njeC1_BrV&2oT=6oS_IFxbU*;7FYyy4$4|DI9ie%-=|DUx+!*v|Bk-%cU*?x3mNV($g1sc2SZg9}#LW>(E4s>-para_DkJk;1 zsltE)omGH_*e@V_&X1ZCkR^m+_4=~zq-;7!nJu9{J|CI_sc!E)#K!&!>ntd>A=czk zf}#22wVZ-^Q)TglU=A|p`L1aJGZ`4;f>kwm#%EDuE9gC0wUr-074F$ww(Z%MIjx#7R~Lw&NfEtAiEl_g{xoZUGJ2KNJ@OPBsNW8=Cv@2qYJT# z*<8ER5GC9E;F1L)1=ISraSdyt$o*599RBtlA{+Vx*T1Ea|ECV3$KF-|(Sr}W`=2`6 zc2M5u^TvfxqI(9DbZ@^9y#2l6?}7!Az$0<)05EU@XTh8wm3ukx!>}O(d!N9`&67HY z9e7<3wuu8y6La+I*oQ+G=x{su=ubpFl%4$aYj*D+Q5ZeYpxn1&_|5;iOR|v(zVF|# ziRqpIswyG4KnwBgIkj-_bw#CvHNLtF{Om^&c&og|xpdFI=9g8+^e(na-DBay>oG?W zAQ$gn50_k8aa1s?xI^RdJZMtjZ#!1n86|(`pax$}%z(m9p%xn}_GfJ1y)(9}AjO;= zI$T#6M1%5=$Nwq}bp9;D!F7B(%Y~r6|`~i*`XR;)-MbWu1JG| z<*)EFRSU1%uMT6Z#-JaeN*y1l{s5!<9`IM)22iDHAuti_j!0gFU(_{TU7OrHbEf^q zrOcrl2G3>r3jdBHCQE$|K@%=eel@XZs0ndu@XnR#?Ebg2t;)Y#X8S~oMIxa+)8!f* zk868J^|BNooWMz~3~?U&ZsS&h7qiTsB_SHvPe1w(Eh>8duB|xwsBsAS=*_$UpJP#-7^~iA)p=G5R zw8{CjE^J(@u0HLnLfRVB`$h-9&!Y#BCPv|VC z2tBU&HZ>t)U65WD4guAzk8)TpcB%}fkpi9T=k5O3Xq2_>q?XUb0$+Qw(lYlIB!8OOHkH6-e-_K4ASdHRf>xyIc;>+{)-!@ zYAtSRh}8Yd^vzZn*}5R98Fi9nc#fq7pjG(9&8p!&Q1^XQOp-TKR{8Z{my=(jlpxWg zgxX}zF8<@GE|XA)+M>K*((qiP``)k~#6JE!uNlPXYVQ7Wl^buw-ApSOMPeDZlf+`p zAQ}ed^xWBXAPv0c4B451B(2GHb(Rql0>76d2G(3y6f4-hQ_UgQ<<$7X|Gw0L&p*9+ zx)=F&CYkU>;-~BCSBHt#R_P`FY4cordkmomT&^ zMq%|>9kO-5IyqB~S;Z$&&v~{Pt_IHM)=Rn=&6UH{?0pE33vX2p+RKZfO~~K-)P>nazWh4fe+qJFl{n zZWXm(60Z5y$-j&d4=FXMAjXXVh8XiC3qAADFxp&}Re0PgJd5XaQs6XSL@S~6&ai!) zyV@uCt(wg3Qq1Y;+kX**>HQ9ukljzt)2JYZ)*tT?b#kfgzD-(iDdI@252*^dFMbnn zx`l}A5X;$Z+vySK%^j`d)=c25G3QCjw(dOLW`$b>ZRH=zzQ{V{&L)WnwV=)TN&h8!nXlbNS!RXJf9f?hbt9lwFWA zq>e(^YKs3D2rCgX+xpM6oVK2~ZQ?%wBg>$Aw0TQ6Up0N^%Vl*x_|iWNHFHvk627}L zo+n?v_|?$81kIXWWoh4($OJlkp0$Dgui7t;zpNj;C*>9&SOIADOjXIZz`^6r|a z^U}(Psc+?%dG4q6Wy8E(q5qXg&~ZGUy^?rWhVba=t$lw(&W>B_)_N-uKdCW)n3qeV z7!g$$!`Z^jw&(AW{i!U0EiDZpp}_ZX)cBv)T#bH@Gp>EVQIk2|^HFG~r|byPcY3j*U>0*CIVLFeK9aOcRJU zelMxC8CU;Dzb@~wYTmzhn2n=k4~tYKyXTC}ZaqHnk*pcfPTD~9&=Ivw1rwX5+`LSy z$w!GuE8V~jpQDix5Qx%I$gBR}Q!7$Bl7WU#9Nwt{3|x2P9E3x!tNmF!uUo9aSh@>e z7(LX=gK%Fy{I;1v#RnQcsh`wtbYWwj*RL)-{rGqCl4!YJndGNi#W6gq5jw(#%u~tF z9wN16ij+IU66)LFMrx&svlSCFbXFpm_H=TBHXGyWn zs1SQj2yx;@@EP9A^)4&A8^RqoiV8UW4~^cXyVA9&j4?jkHa75f!?tc2(U;dUd^Pg* z@MxugZUi4d3=+i2Lz8z#ul)BfKK=6C_Mu09VoYQ`1g%WkYDnEfKjnpOZ`PqOr#_R8 z`JuC?)+8N|eCox{i(1W4)}S3=bms7cpnLOyhE}Fehsd!E#H%+SzN9lB{-;#aU$gHl z|M;+hEa0G}N)8?bB#g9=7nBo$4etcpkZSTKe>l9!YE^pbve zF`1GJvP;K8xB-=}ii2szi8dWt_^=)0;O~9R`{{L#{mSA+N^N#~I((@^VHjJf7@6oo zE4y-mfQ-9;*0QDb@2w_jk71D%X;}lr?jq@|e(_V~TLzbU{wnw`lj-vWBiAw3K^9Ca zK`-IVF@EGhWP6ymVcBVQB!cxgrXHR)@0vZH*q^aN*c?;WpHf?vO;pyp#{=`OTG=>h zPNCp-Nr+(ki!*|JjXyoY<>LFWD`W5_1}7;MCJ`=YvXlI$*6ictFv@t+&I*LA2RY>4 zX%5@BPXP*p4gaC!3iOpZkrFH3=qy?#U2Hp=eJEw*xyF*sr0Pn$p5zWA#Qx8bx1x&= zGwoqu1;>-0ZUN=-gnu2oz#W9FLg%6GWbtwei&`|*wQbDjR~Ne>#_N2Y|I>V!6z)5C zp>ox*ROwpwOr*SgB`xyba<;hJNU+9ymmXEP=OItkb{2J5eUQPgODCW95pz)~n45|Lu+|p92z^FYD7@QrY+fYHz`f zCj1s=9dK2G;#OW^x$+AybEXktYl$4etWpN=i*+WJ)B2osB{L~MwV&RaQ0IL8mIZSl z?Y`Q*V%I(i95tE{Bnqf z6*bVl>bo6SzPAD3C*o#LrUul#5~i9n4#|(kA{^@~)4#t`&P{ZCIZpG{iIZ{u?8B8t z{ZmQ0MhSN=TGTZysu68-X<^-(8-A&E=g=OnX;ta{1_{e{IUTnmP%*XR`+xagI&BNl%F?shP}gv!sh#G^Tgd~U)uU*4+}H7U^~FrSy4QI2!7rk)w@x8aUJt>Q?~<9 zpoPtjvMdOp82EnhShlqCEzZnu+c!Pezu}T5TbOONn{QePso`-YJ)KdN%QzoW-)TuY zS@?e|fw0(xXFczn=-Vt2K;_{ta#L4*cE{+~@&HP%g6VJCVEb_C87@dY8}o0O;V2y( z@+~3r7Evkc&KboPaa$PQ`U&Rt>Z{py%@0YoJ!^RZVO+#V(V;K!WG-+zQ&7msQV<6F z5NhFaNo+8nRynYEtvY2ymkqGor@RkD{|e17Z?6cy+Q~!-+49H_7p~pEIJgkY&Wj>U ztMZ7 zIpA``8=a&K-U$Og54=AHE;fhkHI`3&e7E*Zv}=gN;B)6Y8RzqaOu!imz4(|g8P^VY zua@&!I*XHvwUz+s56ZwJf^yGc=#-VSo@2BXf`RB{1otmHvW zdz1>-kZOYT1k|^QJZkAWomd$5@e(VnC|T>LafB;n@yW5(oyEmm*+*QOW_hWtH+!i6 z>2-o7UO-T{o%dHA*Vsq+vc=B zLL^%b-|WRH3U_{mYSma?47Tul7tp41fF%m0WuMdNF1bd=+NDwyNHzk9Mgsf?BC5cE ziDQZhcX9F=GWm*%mnD_xDflaznGZTIa*$XYp9;!AX;so!m{NfnMro>8d)MEQiRz@C z@Dn@S;=^MgEg6_dBn~7)zm|&Z@|>oTa(?H3l)S-*t9Ivsy!@c0?3^k`6sr?i5X_P{ zz6l$SB`9f9xHZX*qy0jI3jBk~k|Hs0RctQ^kzcrcEQ==D#gD+szNmtJrS=PQsz9wl z#ff_oNEE~ak4p7jQ}Ngr2Z48JL^p@oZ^nEUqyWJFCGsZryekL1bMP?O@^B0ZBCiTa zf={@$)1GU1O4%mp5MiMy+9hoxAVm-l7LUQX1q6>k-R{XPseN#dg*dD2YqR;oIWcB2 z(SA{(mWZ*o!J}NqVeic&>OOIUsKPJ`hbF1@2jzt8JCI2qSc3P@SVw&}JQse;0&*fJ zfIXQ`5O5=+8p9~?MA8cs2Ev;hOD2~k!4A*3M%O~m^WY%U52aMg+4)F&P*q_5krN3t z`NP9`nl=%LRj1(7L?#+;d`pIbe3K&V!#;xgO-Zi}A^Y%mVbHi*MjjySM0$HBhUMFK zB!i33g3t^%x~u*7Sj)o$EI_nRQ%n^ba*|+n(LrK8pFLUW?iNw^#Ilh7qQi8{gnYK! zL0Xx>USv#S?7F@n0d@NT`(N|C(!N~Sm-1oOLDkRE5B=B{*?pS|DD)i54e zs6rBvUATKb480wbgQ=ASR_2v`yA^L9M9*(CQf(V&EUHOQgo4!s@?As`)4H?93&VL$ zGmAdZ$Yq$~{X0uhX1Mz`LyQ&F!FT^fqxFd-PkAsEifj7Tjmk@0|7K0BCqSgz+td-ePz+t;@@?Y<^_$rWf zCkZO@s zdd|KgHC??A$W; zu7YdQr!X5`3U1-;-xJN|^`l8T`i9ll2NZujdNP^dx!EK^DaE1DU8XaWN@^<@1ztSv zIJhaS6%w6&Yr)4JZKng01t_|hYE-^jRbDD(&uc~D!~k*=C-^!R3{7Gsl3*NQ-q8Tm zB}l+rboa3RJ&+%ymAl1x3PwkR!v)xDU$B-)xM`u{fJay&#xd}Xv950kj z2B%0#wSn_V+OJY6+mmQ!kb5pzzxz%v4H^L zDM=rqCy2}b18ZUzuEkH1Nf*?R#dE}a3tH@c_cTweZZ_wY-oi}k7TJHb1w6{yL*?-1B zLC+B^I?oj*P)_KuESJwqi_L1_ebs$9R2W=251BaWQ{=gugeKn=zo51&PBJmZ6-S7q?;= z!nB<#7&?kBS}9tr;L!81$!cRkvS-!JRQ0o}y7?BXl!&w)M>qL-((G96Uhs@T@CzQ8 zplb0TiGrnJbA^<9i$V=Nel!QSbZ;)M1zh9KrEk8dg*|WFehU+s{O?yNrL7nb`n1wjVbgQ)ZJabAp!%9YFCm7r z)Nkzcf*P>~Z0isP zDHycNiF4*nzD|Zx*R&POju0Rx-*!&p*;;*JXaIS31QufBvPl_wO`4Y&D2q?QH3jtx zc}IVvc)-2OC%wN=t3comgo2xnx=e8=)vwdDB~#hM6J4=m9>yzLyq$z;4M@+M$CgKl z+XL<3rTBU!G)`gW?+5TIFNprFb==}o7&HiLN+^VWCm__`EnaV1|90y+mY(D~sdr9k zc)szwl>ZOh#{@Wi5=UR_EBl#{c5E&iOS}f#OW76CBWLchUt^bI-o*k57DN>Ki;!C^&mVEq9%dAfisX zR6lmLFD(lSNs04bKC;P7d`aY_!J}TZHFhl>z3rM59Znztw-%Ndt=uISqGWtRR0Ax< zF^QB10-)bwV_xC}3ZS9`1093e{ApvagOi@m`UptqYjWKH4%Ug|;B1s2FJ5anIYqf& z1akxc6+0DoBYKLfE&&yM5rA&d^wBN@UgsA)NEitku}6-K&z6i$x4|YD&ns*!Sj`Li z0d;H0F~Q1H(9;mS4%%r@EbMm2Lct7H3gl)7xc>`423-plvsk?$u1y3!r)XQyx0toS zUW`pqbbrH`Oa25-Mx(aQ+kM>JF9E*eJ30;D_fF)q@Q|KXe139Ll+L^FoWQ@7)d8vGDaS#Of#=w@zKRqBvZ>mT(v!OD|Q(bvD9(NkNxw1kZQ0drncp?b$ z0=bFn%i2+^NeuH?-}d_L{=_FpNpeqF*fIN_md}zaT~LuAuoDx}P0&QnJ=oRKfR^I^ zL(u%QTLuM7K@E$JM@uCz6e|uUf*>${w$Po_Lg1&X;}=J@?5XI2NpD^nzbRuKjv*jZ zp&7NO8D}rW$M-x563R3iGe20{kZ@psm=71AjZ=4=b~^msw+xgANaa#jKBSzTu07j9 z^+?aRAxD;750$o4u72)O+?U$P=g&}IOdk4Nw3_r}@LmU{=vrToSN)X0fNwfwbab%{ z9TPSDq5k-Z*cTntJK|>^bPNOTWHL-BP~wr1&kV%RB2JE!(>m9SG)zyW`~=>ZQW$eo zmymzfA;ULKgIq;soJcu?ve)YH=LhnX`;2qnNwpkuqYGFqrVUn|LJ5EP)7p>%tL-&5}T1>$p^e7zw zPco%#i+6oso1a*JM@~}*&REK&`lA)Pcx)gB^^GI5kd9^v5)=laruyR&gFjE`Q&!Dy z0c_Bd$Kj9p(!CbnmiXDYptWA>@}-aDF;bo+v7U`^ky2qhfwTnA^fyyv@%a4dH^cdP zr0>Hl=cRo+pNh$w6=D<>L8@&CVYt*5A7@ZBmgkOWe!6o;%YhWo0@mTFQ_uT+E)p)72JN2P?a@4iv3_Owa99| zh~toV+ItbIN4B9MJpW(Jf^T|L*yqUt-Ro(OZO&RH$hEzfo}88crL8T!aiLlNipV?) zsSb?7?-OfgCW%UIldXP;7YaT-qJ$}Brr@_h@}E$<@d>M7&o8Q=x&rTVfM@J;8DG() zI#^-VR5gfNgXBb^%49@&&%dEHevuTvQi3ZRPH-g^I*BIaqIBwet$6F)ter2vU$iA7 zw8x`x4sXo?8;;6=)-xrol+ zwg0%ir}+N)m}fa2Q~^jx!xgs0jb{zGKfx0h!0V8%{MP1QwNj6&_x?l5s!TK&9qWqv zzU3J;%g_KLT~oNSYLli|lv-clE;`LmK>}oZ-TL=cuE+!vtO@Gph=^?@xn&qFvohX9;HsS$vA=I_3V9BQdno(U=+`V zl?)1Db|sLk65PLt2U9lwAP-k9j|_m;v+@y`&=x?5_xLY!Z*6-4VSAcee>gEK@zgNt zE`&eDx^+>1JoffAeqrF{`&ZZHGP?E(TQuCh48Us;CLePHQj~EJ!AgyA0r6b@ZZF>3 zVx~{yHAs_%*FYc9Zmi}q=d^PzbIN~m<=sCNt#f2Py9V(69Z5DqvDJV~^pY_AzRkHr za~nYa?TM-zf{uIMpx4oArG|Vi-sUnR@2upUS(h%WZ*IVyKkctC6KKAhbO5BwrnfS|uKfS{LoJJper~0IGZ{F6%kjCM$Lg20u zYJ*L-)cU9&km3CG*llcC?s1_huSiK#!o8VAIt@}YjWoi#UG+q9xEfsS%w2CK zE7N2Iy?T_0t+LAUsS~817cp>gzM$YH=)1KYnijg+w^s5Y<>TQ6-i+bj>qCJb$R1ft zfd<3jRLpA*fYMLy^ScxCLhaCjXn7f67 zezpTAyT#AHOtar~6mPZ8W_4$|DmGAO1^Mz>o+>f(vRH_xK(U4CSmEVeb;el`xHwyN z8hHB3-$dA~O=9n*FNcFr(YGb1wQqq1ZEi#FBXpP#C4=+6W&ig)5l-1tO)U!w@a6K=*Ove}BUl zb~E^`8kvdyD2Hg;ZEkcS|Vh&qT8H6 z);>w8b!^u+9Iku!@Mp#Q>~QnT#l+&$GJTW#5u-o zn?<9(@UvSY&0uY8Wx9xvU}1jM3|@ghRT|Q&(pIR2@uq0D7S)4ER^fuu4#0Z&IN)M|IkbKKF(X;YgF4&_$KFR zy|U}NEk5b7U~`w{3YR4VoIF>G4D;n&eo%@tuS&#Mfuhrm$kw1>)dJ@vx3g=f)~C?o zlVn4{ zHX-56!B!F5ndOVj|DoTMs50Vr?Jjei__eWuYf|P7o?sK*8>Dvky@D8QoWb1Vg=rQV zeBteM?+eLTs6z)Ia5dPpRE3FEMrZYlZp$Z?Gx)+>`6`;m^;`83{6DjD z13i5CWw|`^N%E_@bFu6H_9WLu{@angE_`mJ|7V{5&$#~2B>c~m3je1PW1j<{kF(!Y zXgG2>nMaFMmXXQVaOw{q%y1_s#sBTnx|sL?_%X4$HvLdbhd!>xT2txK^UOzs0e6|G zF(LyUwbP%7PM9>56ARsFc7?iBDs{O*PD$gjgqs!~> z8sNUmD-gkHe6>>aKF@FZ6090XqX5Xgu06V70 z@!_36mM+*`fL#-1}=^WP+QzVYJ=7MDK%SkmZ)oC<6d6e8^r9c2 zIobEEGIBZaCA@y~hZv>doB@zxasx1#DozF)j>~$O2Q5kUO{aFoXjt*HSOWkC)q)k6 zUlFDmfj7ksmq4E^ZTF6a7u`U+BffprTXHg}v1$4X9FYgQK1 zT_g}OPrIA4%xn1}jup&yzWFC}Xegc@ax zcOd1%MUji-qLhcH@x(LTUhzn3#fbPI2WoLy(CNdWL0i9kCD}1HhAsTn(JKB zIb6 zI5oPm9iBmEtuoROWJeR&r5!e$0UK;=K9Vt zV}e90Q2#>&(q(C*fxno&vY<`u4ryS%EkMs3Kas1Z6XxmdV8E!G?6bkjFSyDSB=F|q z&WMPr=E(oM9yOcKspR_i2s2jpKlPiRZb_=<3E(f!z%;ecfq8Dyjtv@)zUBD*Wrj$h zif=#gVWGkMqEDqVi|cKv@4r#kl?S3h?eW8$b&Nu42;->^AxlarYmLCrv zg0E>URwXQ!hU44@eS9RIQAr6o`Oc(?D|<+nIwIcMhx`6TFN*#9fl|?olxl_P&?P$U znF|Q^G7MLbThZmWDhC%*mi^uvRHwQrXP!pcAgUeop7+>%FgYOC$HH7)32i9m!(kSA z%|4T|63Lhy>ldVef6XJnoy4b5y>zt8IU zbIEu%UCrLx{)a(#)09Ow&;4O}xuM}hD{;_&sI$G^P)4vkMd_~tRog3*zt!2SDza|B zajLsC>~-K{VG%qpUJ)bh&HupTuh`PZFs-Hkb|>I(Z`kKvSMbw>zQ!nMH<|nYV1G8K zzXeUF;?rzZvapf8UL z=KYsjXj5rXJa=j>J8%_?{cK5Bb$w=3}r;tth=|);nxfCDIVlJ_lHu!DYYSg^r z{-CVY^~EP{nlO?Wz3zZ%Od>p2SqtrHBx%&94K;)BeHGNfC<5+b_oeO8rbR>A`ax9z z+5OLr`SPE+Rz>o*p*3bWVwv|sN7?^PwHgaG5A8jTae)|TC6m1Wf+7;mTpg9%kktpBWv%=ZMWvc+F6zsfY5eE#!>`?0lctpB?f8JnT9OS|GK zEs3K|CO23!G&75h4Te1t%(YaA4aTjEEFJsWXJ2j|<64Otu1-NC2%5j}0#A|Ran^kP zUaP``GR5H1{;>S_nD3843Bg z0I+4shvfShb!i35J?k_7jK4brsPTf@>ChipBnF>f@b7UH6kDP}k$M{X?t?Ok5gf6< z{Db#v`N1j1a|l{VGw&$Y!vBL?c0o(nzNAU;r>!`N4Kf`spkX^BX`z97%Ecx1=QO|I z_FGR;J~i`SHmMN2=v*hO27H` z)VBIuK6Gh{)cz7H@W)!LZI#DXa_^?Q2bPH~9(sBH$LynrtcVHIKN7JERQBGd)3I8oT%E>su91+Bk1%})| z{}H4%SO|$DUQbS!jIb4ZV2cY3uhc-==@>4y1(GYy{O5LT{Yu^>p|w`-W^u zvFe`nhcX2YKdlRXagf+U9TaBfS5pN{V|Bdl;O-GZJEh^=1KP~_`iOb806>?>ogj4; zLauloZ2n}{EEzgT8+A^O9ye)C`Fta#^)ztTvw`TYTlMDF=Yo!~fZg{B2`~RC_0buS zFHCD8-4NHJzqyC2Nn$jan9T@Aqr43PPhF?88P^SBf+?LMdNIM6xqSU|AINUjOUr*&|ktL0y>Z^>4jZg@-)Zo$JYG@V{E)b+?M6p>N>PvZH&)2=JR{{uYOYXblP delta 28188 zcmYhhXEfa17dD(k5D`QqBHBa*i5d~TOeCWB-UZP+(TATPO0-0YZj$IddN;ZtOw`fa zU@#bCl);#J?*H?w^{#h+IUn{qdtGZ^=Q?MfwKrn@`sVueuV(bd9@qY_)sg+b)$W@s z8}RDq7kDk%Pla3W!^3a9xkXQZYhrIpQNeZgfwaxrckf(dzFf_^LA{N$lDJHY>qAvsdRcuDnUT+k6_m}FE}DnU8EvGsHH zxBsOE`eKEZ_E6}Sm!X8g0xcWlnMUP8X=w7bRO)n@j|Yn_-G;-K)mfjH3ZH5waCSeh zp{%wB3)Mn-!g;4S)J(bsKl{E13pr~!?IqA`$URzopF4|~hi5O$gmTZq%R|6gg#bd% zE@8mR;C}X2D%UE2=i7qGJ7YSLe3&{3nD8Mz~kk?8gnB^0U+cdPwU?d zZ5Wl9!YLW#w*X}{B0*S_xN+>@ZVrxRz`?(NqYpI{-Nv9MR$>^A34Z|R#|kN5&FgY3}S&jJ^5oO8z8V& zt`7>>IcgrKl>PKmFBWFO+%>YdJE$Viy?XcqRNx(rwPL_d`Uzi!wEY&KvgEx2z>>Z;oin zoZ?&7l*3FZM>EVdqAP5UUTQ#_sIDIWxGB4`qfnq2s{1M-?~E#FCXz5X4JwJvURJG)BR3IwR`0u4o^<#7$d)3sdAq9nsNKV{z$x-h_np0e<&fB*P zjEX40t*ePncL4v6N7lt1tgf?Qu3Fl=%&A}G9+HrpMcKibBVe2mW1)G6p`H*wgTk~> z{y(I(5{XFp&yltwUvB5blNVFBZR9_oqRhfy^Kyh73$txNQWVfyu#V>VLHT2$AsmbwjW;$A*mRNK`Zk z{t}srwl8TA0fm1Y8jHV#PV2a zT9!vhC3=_i#%F;roj&EGAvMdFUyLU$b&l3``s!Q%=T@Yz<{4)A4x*8T^wjD3BXqTy z*LJ=zNSquN@(31=y?pi<*m(lHdAk-lFfpvjN*y;q&$|7@;mL#U8hfIun3i$WTeZIy z&*f@wAPr>D(lm@S=wZrTDC-t2&Vr0na5HD(2$mZ`vQ2qS^;G2ET-XX1QS_H6mNeH0 zHd8$hIOtg!#2v8Vv%9KJtK13awihWK50w9rl4(zCGi~tIcT>`-oLJP+y^Lg3#H52& zczuVxDG1Eo6U;_x4cQ}QrLf$7alI@>{WiO`eJX5dzYH!#SleHi2A|@S-Kiskw-gXDHF`DxDt^bA6(!Hw6=}#YYcE)n07P} zZRj3mzEQoO<~;qmCWOR3QX&`>RT^B$aZ%yDM18t3w4Q~ze^LW=_EZtF_Sm|XxK6F zD0T099o4h#dwJmh9hfOtR448h;Kg4#&j`DFUkbgrbCKy#VN+J|=6q0tS&{7U#haNJ zs&AXF!GQXOssxs}fG7bHA(MVsz0 zvozGY+GG{#(R_NeAG0!WLWfV-D(iw?-lsqhg9!6b(ZU9##D)3O7NGHTc<6H--qd;h z1F!d}^Rdjr9Qr)_v1*b>D8&I*z`eK}E=3GzWT)g09l(P7Bq0HX`=apxEoiwQ75tkS z_D%bG-ACITao@)EpDq!_UhaocYU`BX&JL$2e2y@U+?LMXge5NW0CjW0z6H%+7yA+= zzUr6zp9pHWSn^f@@T?Fsok5gZ=K4ZQ6`?rMBej$$x_B&J(O?Ct`(VF!RnDBKM8i^5 za|6;CM-^-se%XSbIwT33AiB;xrNI_*$YD_enCD^^9V^4lnWG3y>LCiqPMKLDOIVLJ zvZi3EC^ae&CR%zhL3fFPHH^e_A#hTRn8XP`vJ^z-0o?|M8#f2|jL5vN(Ajx_rh890 z1F&=Vp0|S%Od~yMrzKHKj@Drw%oB}$ZJy?+^dRj^?6nviwM$@%SudJ&cCV@%eOh*| zkP!!$`bg4V&A;@bAqBsx?bYj|0ff>!9kE+$*oN@o-V$_XLlk(>uLGKBD~6$#V+TkH=5LRAdW2nP(T#wy{ z?c&xV`y*)0&7#g><4PdyFEzSWh_GP2wM#@emhRN@X~ErlrGI=E zDLj)hUdla8j3wm@V*!bqD@{UfNr{%A`6U4Dv9B3i10@Ax8587eAG@T^N()00-Ev*A zR3s?R#XlY_B?nJT1 z+nUy_s}^}edfw9%z)5Rjv^tVY-gH8Nqkriny8`QclA{~^X;7rVsqNZI{xvJ6wZ`QI z<>u91GG;Rjy;0*bS)%1r7EpXq)M?Pe+k@}ftuR~qJx(7g+zE?8aI`HlY{!{6D41t7 zK$qluy88kW-F+BxIS*XWKv=|Gm$l9dLU9n+tF7}F>{)+hbLF}yuAavvS5BVZ6RhQ_ zeoWXsyXBg@bq*=nnyZgXNa?Groa_>BGuFzI`*Z8>iO}@m!aJG#kzZZK)y03p7qgTq zQ4X9y%Gx=TE2gWs$i|#pbLF)nEnpSB@))`1_o<_NH`*0w>>(;S4=8mfn?8J$89*W?}n$#!>v&r2(33BW|T{G44J@}SHw72 zUVo=}8`Ysp2YWr}VPyHeYwaz?LuRC{txRi>92ex{Cwo?iqx%j%lz@_a0SRm-xFQL2 zWg_m#xG6Y*k81i=d;>mO-2T)Jw}a7dI=|oC&^EC2MND&{{}lUgbF1%+IK|2UL7qGk zh2{xm`Q&uGba*ey*a`?$J+x4aeeXNipa;)gK(EVehH=6nSmz% zcXByzwJ?iU3leJCiQe!yBrbJ5c^al-4pnf+g!T-gXq#>GL%I}^OO_6zWiVgr40V`M#pSPN1Txu?{^52M#jued@8Wv*HABU;A46g=nZP zq1zAxuij;nkecx{DF8koV|VgcwDwr>TrF0NE0-~(LH%%tSd@!f=jp{EErZu4;>JIS z2EGg1Gzdf{euAbSI0$24q=tSpo!g~vZe3_l!|vh0e>eEOdxuUeuW;gwphKzq{*A@?&3n_)4Qgeu2E?;w#Nd2k9C%F& z5GLlqCH9Zh=NiQ7N7|_O-;mn58shSV?(Ar#04XUmg7i~eZs4_|u!YO0c)A&@P!6aW zV14C$Mc#b!aA4PZ|41zto?x55DmPXMdyIexJF|)q|C4#THAI)VJ=XpqG$3Vni*j{~ zaz>|@a44M%KexXBAF$BZ?q$WJoQ<^O=P%ru0Qex`MZDH9_=F6hEeZb3-70!6-)Wii z_xuxj)T|aXOM0I)b@BO(OxWSdCKVJav}g`vB0s~rUfpPMTAUE-k!UT=ExjbaAj3i` ztO!55C}n+4*Q0Yf68c*2&G>GMJZMHt?p>)VYJE(F*M&aYp(7@7rwB0e-rm7y4=WOq z0QcJ|){OUogk0jxU{{SqcNOUtC6g0ddp4-62X6Hp=ltaYb{Z7~y7Xrg!W&A+xGXcl!IOKz_h9lMX^9B@q#SJtR{cPxn^ zL$SHSrbX`B$ea8uZ0)p*sZt8GSP`%Y^uR8c-{4zsKC`C}PN)A8&F4}*5-OoAY7=UR z=Who#w21b<5?%Jhn#=-&^apT|n8SMRj4A)Ttd+(2B0{!V&#qIj{+FHt&6|7VHluzC z4jNZHJ%t*JaC?C}`d92(lgGZ~8lY#U)jRELS-X5QWGdnUW}3pNJACjjyY0%GGJ2pfPc^^#!dS;C)CxZ}bjMhc1yhx^L zB%aKgG{s|N3PswcLqtSl{?j5?OaO(%UYshws zG*Csws*n`MCW5g&ow(u^H&yGFtxulj^|BRc`F|{F4PQ&-_ z!V@V>6|dg^fTT0Apc0r2>OGdSUIeTV$zRH$+NmUWy>oG6WJRD>;P%*p3D+1hFe({W zpZ@6kH9{CVhQs9T&g-nQv%`74f@HxP^Ai8+ z1*EGFSD3h#^UJoH`A}ch?|Y>~yfMw|-AVm+e+&IHc+KKt9btncTRo4yWL-5S+5B0f zBQdFgb=W(dJW*sfKp{2AD?s43hpOK#)ahHjbJs<@Dwa?xF)$y0BtC)9=Ij~vdlqv+ zkACVpBDpmmZaXXQ>bjuP_bSY)BtM@%xFcjK*i3k~t@xU`$U)m+Ps<=&uMqovm&1v1 zf;PRZ+*xblCOC<=XU?Z!?9I2U_^Tut$hn*iRfX@;cIt^JI3gGE8D_b4q$-^( zlEpg4ELKsnQuc2!nSj5ZB99nfRJG#qvNv03xxP+qmG8G#tIIJcby$1d%WwC9N9STM zrEdOs{nQ5w&?&wubx^zJob)_$q$h+CQ|E~2Air+=c*m)@h)dG?SLcpG$inm}_{Bu6 z^$lhPfp}g_!$wz&DMh^=*WEtG-5|R*{|+V}zCj1~ch41icu*R~rN^feuOB{RsA^;P zpP0x;?f-kLsEl9v6@71&vL}-WUdQESHGzM)-k|?+1^j)_bwf<&fO^)cIgI=bt?fTv zgH--oay;dkdmX1YKe;DIE`iCf!e#1{Wb&vO^kjLoi{x+eAXMNL?VtqZCRorWHK-+C zk3MKTU4KI9A>68-WE0l0Kt5hpv0k#W484DX5%~o|z7v{||KTli{TEokMzwFUXZ0@s zk^f<=;$NiE*v^jix~b0?-_P>~QwPH9?md-KE1*FA@@=bUf9<;`JXi9WOls4l_*czJ zAwR`_bc@}a3phCG_PKgLJq(t99?x()UB&bHU;f2s;HN9PWp(N=&NrprJY;HQaChLf z%^>s^urb_4KkNLj3zOzkoT z!*dKMeb@NmtsIcL$3;GOoK9Oi?dfZ3@KEgan@+^Dxg?=Ka@E;;b@|&M4^QSkEwcv%1Y0LcT(+z0;PoaE^X8CSUc-Shi`^Y_ss8P2-Y@!oND5yEjLFGKTWVuW z&8Q&zLHKEq^VCd;2|o07ZDOogr_TOO!H7!^o$8B+->S#y9r!b&JM}$ZG);RQCX=r{ z+=b6DWiiJd3Mn4teCpEWT;VYG5zSA3I&UO$8S}Iw%lh;MRUW7BOl+h{h^UCTdU+cf zn6w@xZVYef}8>kmnCVgXx1-yiVkgGX;6mWcY~SP}28zcNdZuXMz@0 z9tJ!Xp%>}az4)uD|5kT$phz8YuW>()Xdhn6zbwG`yIV!Q^Q(ty10l9i z_h^LliY&gfU;QnF%ULg*i?TSm)ci2Qd$GLLN^)&wN|=pP=)Kk#Lj12=L!anm1AiW( zPJUWF=BOU;r0(6=siKsZPqS40T)W)vYVnVhh;JIz8IAIlu3b~v3TNw=wo2uOSE>=w zwA-ZbJ3Fw&f&uST&sHt4_Oh7l8Zc7CMTp;_vXT;5bI{bnb4=bNR_#z}Ib>nyb0kMx zz+a?xUd$UlQdYFwt&F%{EZ$t(qc#=m*%%zV{82v$k&! zr?|Av^vo>`NRROwg+LsZtZ8VZRZMx0Bw~X3SPNNFv{Edzcx50zD9{KVo}RpBl+z_IV+O|ZXm`rAfS_V^6SfQIRYqcH0VQL;(A?V_E=oCx8_lM zCg16I)__4ij*c*?-;ZnTbdROgD2X`i8)@y6f-0afOvQ3z2wmg#p%>F zQpQB3KU1`DVcqhPllqP|#PirzmB$gntS9B4sCOhR1Mp~-Ut~-mvjG~K6k#_9kEvqe z!r~;#q~}+y@o2}tiNbJEn3l4*?ri+_OF&Q=aJLT|I&QA)mlJP*^_;W{a*m7?h;r)7 zHbk4;?WddwiY*gc>U38(sX+dyEIuW~2k(b%5SL(+ITh(`t#dIGKBA+q^VIjxMI%EW z+q`#1QPJCqRX{o-fnq(GL3LcMM@9Dg_ziyi#@)thn;YwAxXLl7tH^j=*yk4ppNxJA zYqQ)g9qBqW?OIL!*!kmC&&T4YX1p)B_P^#PTgKf_=XcFZagxJ$c~G9_7Q0&Kx4jMC z{*4Ly11ev&rf#UJ+}XUis4L`*liH$yNb%?2SP*#jz|6<&y)VE#lVuk3G=#`3K)80$ zP#d(%u@p(;X6nCAn3;FOCaTRa3$fOTHnZ2xNO)$qSGeS|mT`~JC5eq?YNXDdybff` zDq!$Pw}7JUe`Q(BVhtG-UgZkomey3H5SBkJ7)Mpwdh4W`HHZM2KrLTFPVW#5z&bsqGis)PNYA1(s^YY&Y z=)@nwTuc>rw};HUJ4lLT{!&HB|LaEYIs_Z`eaOK2(`TUacXM>T*DvNXHqoMr>KM8> zx}Nm__`lt~3DJ?hiS<98IDm7P+Jm#07`Zyk<( z!e-F^g1q136;^NKc#&9*xop^LRpa1i7cKftM;rOh5vcrbU5K@zRdS0 z2jss=H{i*Yc|Ocg#>AlrJ*^@2s-b~Kiev#XZ@QE6manQa;&D2El^C<$?7;eUH`&F= zInk51)l&i(^oOOv>B_;bk{1am1T~EJ<4CZ5M)qCRn`y0f%OOdOpS89++dh8XP(laC zsZ0v=e)+lC0S%Tc%Pv{)y<3<*!2Otq-%ropt_i>-_qgS?4KYZqJY}d4rIeQ=j?sf0 z(O>@v^;EkROg%_BfwElIEx|07OsO#ioT4F7gPKQ(2Zb4UrKmQQnv6rkId1?PJ|TC- zNiB9&7*QbI9A(2<5*zDjH!jH?ijcn#{r-0HDfdyZ-wwn4j3&bG?nXy(+Xq4JBO^j# z27u2@Ings}nqg*SIM;{=?hnM4zg*N9F3I@gk?N)s6RRerJaMU~@fJIv!ocuT%{MU? zD|ICo48PjMbAI=whs@A=axf%9?tPnV?K3QR3Y-5>#~h@ zBI#H3H=~`o_?|N#X>c_?rHnRl2#neFFaYee%UAdxg@RHkyS*>tt9SqHa;JP-Jt1Rz z1*^8){UPL4Yq_^;FLdg*{v^I!kfk2io7i|+r#DV5vZ!&p@a(B!h{=nm!j7n>1ETTQ z<96EQkNpcDwIOpK{}EosP!1KSrMU9TmPVAgNG*Bph!{05)~y10sxEwbFK#bI}w^Z4+ryG3Q^Nc~a1!kb_Cm+jzN zlhD;W1%*<)PC<%OQ(_ICC4M3oS$QV^?!T!vG1U_7FpVF71zEu2H}^SF)ncK|t|Nl%?J@r6NCI?bc_S8!Z|3k3&?xQ=77-^Om)9 z7FctXP%J!69kTDBgVu`w&TsxmfZ$R5l&<)d>XEXdrpm1jT_;(CBQ4$J##>fGx3Dyd z$r-d0Jj!_w$UhM&JE{O$l@c{!3e_`V{eb}cs*e(B0gKl z;>U^pwcr1OJ&Um+EoW1OvJi+8Zkf?eB)SQ*w(0HJWtQLc&_Q zcotl6-TpWpfh|*ax!rn&3&)?-z-S(+ zGnItAbUI_!V-~mv6w*9Wn_-xt8c9-oye3J_)|B>?2EFFMB>Aa{&MIhKch{3f`SBsm z5$Exhue29Ge^xUxtoVt~lMf%JRc=~+37yxbpD0m%=SfhFRgAPtbv5bnxh(#29YhY+ zwlU^^^eIgU(Zb+6mNa9tBajn2Q~jz-m28h7xHCy(x>;O3v?US^C1YMlp}H0X*4I2l!A zGfQxr37FDF<-*fsp3cX(~f`ykE%Z(nY<^PToNyx6Fcq0lVOm<+4h= z>B$^<^?rp9G+U>7$8SV|XL?zn4_A=KE}NZ^@155UU;_h@2E3y#*=YO&sb$m6AzoA| zq+*zhckqna>fLU^yx*G*k!jR}_Qw3N5L?BLQ|k9LGsa3uW1&;xay`9qXL7 z)gbT@l9d=+W5C3Wb-X;#kLEwLXU0N%}WQ*GQ{;;a`*5R?O4+^Mi;XkWB)I2;$^iq6Q!0d z3jLakRvY5pO{+9itFUz{Um?R02ZcU}F`xuN?v6!rr|hd-$z>BFJUso*mD$V7k(t+LX{}K(q(n%GXb3XCSKv zf8UdN9M>r{NDw2|RI0?XCtSl57n|3TiBIx0X1amk*7C_iVhw^lji#&8jXU3j0RE+0 z(=ShmS}6BwA8}P0_b^8x=d3b|34?}^0_K%aB4%4u@43;hyg>L^dPmVn!EZ}5zm3~p z->iR$ZTTEAH>_5AEvKJ+^uYvY!kgc;dbHcu(blm=ezh%9pRDLYTiHZkU|#$dn)Hu) zy$VT@I7Gy7+0}~l<`*Wp|BZ@jlLra~Rrutt{~VeB_rqo;l>#L~kB`15@Vj;#^xE@w zYJ}xF#e(R~xG~SqDy5^yPj^m!Abzwutc$vU`4Y-1$%AN}yXmbgkkSc?{uu`LUZ-?Y zWDaDFEuHcX-7@!5?_M`I#A(P>42WrljsJ`C>;G=+Ye>m~J`aB_@48O4sx1H*RGrt7 zN3A4yj5%nRdA(&6W#t{9&*<8JQT{hP`{=cKCY@5+Ktau|*OdhlsxZrWqvLp6a$2c7 zrpF(AJxwV_&*B=3zkM~Ww;TVZla)S}?Vj+?8@S51A#ru6>i4ep%SmnD=vmbzr{+Ge zyyd1>wGyB$+)1m~Rl=NdEmCm;h}d~xdi?e~_hn)I9~x7d5KpRw7p-Xe9=)cR-qkS1 zDPNwaj(w2|47!3k#DK``#t8PucOThw=BqoR_g*J1i7BVv*4SyPyT~(if@zfWQN`x*WTkoj4}(p-D0gtl&&zeLw=!uG9u_J6fWmT1WZtIBHa4E3@{ z#~M4XSwu&i^Rh~wZ%Oshyt@cTAQRnWnU`$XKVk7?dpDs_Q@B!4D5?Ut{;%bo6$dSP zhPeGgi5TL&-fx0lsn>bUGTG>&#=oqFplqViDJ3^K78m>4xCb@|baEfUN7Dcy>ZF<| zk8OaV$0;4ol z7R$6_NxE&b_q(dhszA=9rmKGe4s_s>-~8?00C-YbqC~1eUZxt)!)vUHYC)`O?7FPX}v%M!R7~U)A{ET8-Rm z(49ZHZcHjSUo!I8JXFEG>z{69bG3jn-*sEj_OhSDj z1hf@Z#_iR?`u26kw@^=UiSaNKWZjh2On(YWn8QqUH3Zm#q({61mQ)E0mAP+Z?p(NA zK*aJY_}Gxe_n~M)%NR74a^OpORHO5M6K{!!p%8EQFSv~b+sEO;;hAZeK!qAcEXDRE55Rb>`ik>y+2s%nLEA`mN=||&%2`(b#5L7iGq$S3|Qkv&r=t->9@32Yf@Xf4=Uayl%uBvWpurK_XLkQS75Hd9rI1@ z${|GmV87SKfU#m>uiOh?u%WgC!CL10*Fmlr#qF^cqQqnll@`m!Di;ATV>F+6X02CJ zPtstpWNsQvTR^>)X_}rIc@u%Pem0%X31L{W68SOwh2L;(Jj`pAHr=_w=Xhzg)oGXD zpcJa3`8mFH+hpBGX|8=aErfK5UGwv@R7S2{bPo0g-19{*Mf@q%vwNTlK*#6N6^$e| zmAs_4CI7KXxyoX0!UudIQZ@%gA0JQ#0Kz{vVHfw{PLcm5krC@oT5hy+K~Zq0#0-dZKnE@#@Qo^^dKa{ z;fyWb**KlZOGZiByOZOfc@kK}2s&KJ`owdz_q$eAw~GEy&})RnR%mh_FmB6m>s>$X zU$0Z$r~a=PMg2TNJs*zuv8c+HTS}>T#RB9XLo^{(16E*S_38|dy*t~qqwtTUB}&BH zP0JpkZk}GMy-gZT=n5a*uoK(D&V3KIMH%gMG9nh)HE1pa;LmeU zW>LtNBRNaX4`BeATN5-li#v1)=;vRX1o6O_e5(hGLIIRPK1m0BW$7k>`b1DDAT3OVPgew|&)r4il+b6>RCKz#%;?Zy!=;F!! zj833NsS3HP#p{Fay-#8T#_43v&{twggR7ysg=hcGZlsS$e~3$+7LpnYX*;x!J7Y_2 z+a79jS|xRG0yBB5=)x>b!kRQKNjzx?+HfjCSdricmXqw&*PC=(@hQF=62zZN-8|ef zz)X3UvK;$yVgKQsk4B`pkbfktSfUW`Axc30xZ57)-Usjc=K#3vAVS%Eqv{Z7m~JC` znb9!&4;->O|4>ocfZtYF1euJ0dMst!sfKkw8$dc z_c~-1&L2tvn1z1$WhmPTaFCmkiNo@g!2#GuA3iaeGER4$T;P2r6@R2Wi;d~__A1% zXe0rtCMl8=0Z+Uo3GWxAMjB!3L{*y!%0u-5;A&mfkc3x>VFbW=0mSSF_{&RbOCZ=Q z+qckTB`#Mk54}F6zv8?!|?}@iU*nR=MkxpY@2RY}u(`kCEQsZ;mn~YU+#0 zVhs??ay{s}+@Y1(MYWYjd;c8z1gv=4Y0w#1JC+1n?qcCEsEP0Y8xOVw4umr90RLZN zU$HR_R^+9+NXSHr@;aRKRZX-PS-F|h?1%IIzb*CYNqipZN#*_n0myQHsX-tP@HiN~jiYdFa*fY43=X3gDroT5trqc>`^>=3-d6WJ7OuYowi&)fy z9}0GR=tw{jvMK-)AN55x=K24)9bf=D_68Y1;HD|BBR1#sg!^x`4B1ym8fXIz0e$-- z3kboi?S))L!j=E!8v^J#jHG^*es*o<0|{py-GKdj4s0R&03n;L<5weS$zn-f8R#4s zx-RZeR#c=aI3|fXLCM`fdsg-1FzWj|wCUYmym^I{}%3F*7>n2?~9JCWh zHZL1~LXRN%=YP;1FNU#KU|eXYuBKPQJ zVE(MunO;j#|4{Byh~mMeCwvHFHKaDHzn_mPY$3freUu|xof+2qFpm_wrWjH*K!b** zlV5qX2FUfEj|}z#KWq0@hFvb2yM2ykmMwt@okS4ac}~i}P-R%t6X-ZcPXBVqH~0nr zizc!5hpXU1i@>$CRt$qQ7}Czkq%QC(={Q91t^G17NvIEg<2=;OI2u;)13=0f%tVG> zY`@7#Do2Udo=s;Zb%J1rpdCJVF(Jn|B5f75CO78)4A_R_?x56)MX!Pa-7v+M57!}` zgd~jC)HxO@vvN?*8A`x4h30s?(zg4LlTaCmKF8SLG_Kg0F0W>NPF@L(z5#D1E=UG0 z!(|>Gv+hL7Aun5M-Z!9OVyBafm$c?euPXVVKESQnpF#G=1MB{uR6{K(J!G_v$~Ioxqsr-tTNHs}JGYVk^w>L^k)!d$ZN9s%@ zYj9q56s=(Kph64I811y8dyiy)N6b{V0Tgdb!q9~>gXi|oQRh2I_JgveB z_YFb9kzb8+4+~z9Od+$;fvvUqC%&H?mPy&tfy<-?>d@AYZ=iw~(zzkN4ci!)+2-d_ zZ?BOvL1Q}BA17gXZFf|b%K?J_KzoPu&f>y-4+z*+qYg2+;Nb9VIh0QhvXF?OR5;Vd zx6(SuEsOcSY$y5O&vB^x=cIuH(gTolp!Iz!mG%xGYCaC*+pdER1W>T)Y0J9d!ek80B~i@5^9ENi}8lJ2imS7{7*0@6=Ioa-L~W1%vV@1yqIUa?o` zM?{O~b~rHZSp-oxob8jH&VNWNbHA(bj!w3#(491wLW?WE zKEW-kS}TwxjBy^=JZZxGdS7YFfVV0Jwr$C-%6EK+g1mkA=qhleQ8YkW8u7hCybHCcq~H(%1Lv6V zDgk2CN#j=45ZNn5^Pem9oz>ZcVReER4KF>-qFs_4faJ?DI)l?t@-aC?6n&?c%(+pw zKSR7IMlubj z9B{yR9mSTO@(cPKO(D7cE_@9R3_-_dt{HW-ddN6+NQ#Shb(t1I1W?-vHrSXVJ5%y83Pw3~7^XuOODo;b?A7}2 zlWb41J&a)+^{GmS`0d5c;3UEJ^kcovxQ_<|F?6KCcgxYywTYCgHb&oE-$0Y?hUiN0wS>12MfmK%Z`16zA8LafZKMgApmofN_0iRW|JTve+D0Plp7Z-PY zf6iNbb?d+Q98Wz7ubc>m=;w>Tfm+Di&@19{BNBkLF|N7skegX{pla3?(UIr#^l+Ja{mqBk8Yy}1M{2inZ(nesgwM)_Q^4DDQM4{ zi)Tt4e3Wj{AwpcGJ^>V#EjRB`&YlmE-b@91|5RK1BicMo?FK)kQdPG`RVBbR{;jdf2a?7QMB;0HqjeP7nDr9Wx4jY8avUoBT+4Z1M- zv`kcD?}R{+O;o=zW#IfguH9xYxT&HRFQvr?LkxS_TiA#0PtpK8zlF--3DpHof%N;1 zZ1cBJ%6y}TcF&iee&)62I@8eXRE0?JoKdhGw-HX z9iKQgWIktn)gc9pIq8P~{MlluWqvHjso3m}Kx&!>9k=fX2L=7m#G{3zoF0|1(>SMY zFuj31_e|02E`2R4w|aAoO;FoV?J@suq!#`Esp&eS;rhO|M06s0Cn5+!kmzL+JqeKz zC2B%~=ry`a5Yc~#k_00}ZxOxC=%aT=A2p*h>I^e;U;hv9m%aAcAMQHqoO{pOcc15Z zcAH$eitYA~W})cw4+vhbj358KPC56{vi_p*Wg4ZKrM*>J%Ok8LWJq`m>z=1 zwx;birI)m3rxmKKb|jw`*T+&pm`GPNMHTd+7kp9$T;f)L9*gHvynJs3;gDIiW-WF} z4!_Xu_vU?V+F&!wYlCt4MVy!7=e=9g|K`wVWqkRHwhT0_`;Fx;eD7Bv%|YW--OGG) zKEtwBjZE*#Uc5;UTQ6TukrkmC7B-&TTR>lr3F0Y}WHnKga~0fku;u2bn|Z&bSRRG@{%>yu;UL zE^d_S)9?T+Fpj>d8Wwre$DB`ykvhcG;v_&O8E|x5pNTn&>tUDEz-g)tG%j&wL*06; zW-@<1bd6}_Wr!p;P?|! zm*#itn@^g%`8mdqQ`OhI_>&d0)fG#X+(T~Z|z}T~Rqb4XO7@>=@BKGr|9aa!*12Jt>y4(Gq)WrvqHg+Ou^Um;OZWMq{3Nb_LL8s# zP`-N!^h*ZYTJ+={oPRs`-QpKws^%yPOSSak#E)A2Xcmb#9Ox$gVf57^K%@;%l5dgYF!DO?N^^D-u--LMFcHb%_LVVFX z)imx3mo=bo6eH<(kkrA)F>tHEWjFB^`aatLYD!AiWEbuv`?XQ_&_mOC)P(Hj_ks5# z&?Y(P?P=EV*kYF`#5c~M+xKo^%kIU!y>lO_X!qfjt_5U5j#Lr{drCaz7+#s@`~>%Apug zZAyvKFX1&EX&h{xx^!Yvvz(cl#$;B&MSlV3%kCLQ*D3ZN>QqKc%u*(5hGe`5b$<+m zpBs=4CU^aTh8w3TC=^{Y6&B=VH#VmZnlrHCbFo9GSc>F921TEwXZiHZI|$Dk-2G+n zsm}ex?5P6(Kvs2eq-BFmvsP#HP?tC3iD;vFJZmdY%*!$K3NM<#F_{P0tLY&)tPvx^ju_qiWD+od-mUy#?7M+!IUBj=cmOS*=an1T^v?*mo4&M`@90_os^AyU`JTMX?(@BCNba3w%t;1@1ZvcO|L3w3fwDoiBSY)TuY~#c#nNN zz+{@ajZSOJx)mR~X6sA(WyS6LVF~WEL|C!m*vh9Q965JGuVh}K(F%ks1vLYyiK?^- zVdDhS>}|&jU5fov|KbURM(2E@EpLdC#lX33Q390CdmeQd)a+C{(YA+|Bnmc8gt>kA zoM#D5fSo};?%@K1gyLs&1zt2SGhP0mS6rKTaG3Afv1y#AoVQLey!8JY2AXtHEE9H5 zp=424zjnV`T!I8=k11ehgMguf`DI~6Ezv|=hmM^l0cgS$&ucbh9R>qvtLVPb4BKKw zjYGa}uXN3`O|OEK6%0K~MRy?r(7px~ABwB0z|Ovl8Fm~a>w>@PyFQ*1{}{{1MOwfW z)q|d9i^|6)MWq(-Mal40l`N+h?BlumON!}?U2XjO+~N(USYu3pg!^@?-(wKJJl!Qe zd$~7fhK)3MgschGW@Bd)M|%0M!KKeCO-gIDHT;;PV%6Sz8m|BS(`f`LvEO;L++#f= zsjF&~NWtWkhRph3*w7{YX`(dYl2L(;DOQu{PM$eJ$Oq$}i`|ePC6>_;Q zq!X+ou3HRSEh_{%`OPnJTFuA04O%VBTIUQHDb~qWQ~{TJy$@B%^SbC~Hf(RmJMr;F z$sUA`#6Ncb@7)EB^1Ho!#LC^ga)2)CFLJ`la}=uhHyED>-?9zK3-)E^bve?XdJsoA z515LkSgZDF*>7lltG&sMo$2sr3@D)t+BI|FZs^MoULh54f@vX!_J6$%p+8gWV}5Zk z#Q;^A$pRuqgtYy#w-k+nq-`jrNNiME7~?{*0{si>rZpqUk#l$7C=?d*m-tY07|!3K z5UyKJv0PxI=B}|eI(IiDSy@ny+EpxUTXsRENO!1gG~W@lfECAWKTiz#H*OF8llNMf zV8N{>*l+UwRGFfZ=oUF3i&?ewMgJ0?*H+cdc?+m58zMh^aBz6IGBg~a(nbEDt59}W zn(K}Nrw;0I!ctUET^>P*zMInIM{t<7x{ zd$S+#3!!&{y(6HtlcK#pHnj=d{j&ubc~OJ-#0=NEfz)0#>4~*vPOTyPDuda@V*eL_vwW@VgWBsoyJz1f;W=fPmipsy7VS4J zo8(VDT57ebapVJhSNs7hUJ8@+vhD||^BETM1iI9m$7RuyU4x7r8+of;RL`~I&LCgA z7IIgWyRJ98zqv6Zm{-u9QGF}8ifKqHfMP6qj&w*|E6jA9vo&er7NFrl)0X`7%nH>9 zY?X7=A5_goZ*o`p%AqgA3TURBZ6B()`|0ql)D%%XLQ1kWoo{e>W*u45dYZ3FQ-`P~ zT+d0Zv(e3P5Iel@!jk?-vEse?2Y2(PP`9+@*Pze+aP{yPQjyxtdu?e6hyEqrkrcDT zq`==4r{`~$scZTVm7zo23&Y`{eZA2pfWtS1(#MaPQw?_NP0W4UE(R*C3;N}D5C?@N?5~Qwh(Pu|CCncvYm);14NnYaXh)itwg6Wz(mxLi!FBo}K{oFV6@A zSRLKXKoMZM?NQbA)%$gCsncCUca?_UC{qf4_aSjYLHpTslU9foGil?(E#n`jj{Zvv zsa`iI-S{RYsI*U2jPFaaDu=d&Enf{xn(u?E0qVAN7t8~OV*cLe1C>ls|~mNZ{LnYiTyaTe-qM<5*FUi@qON| zkgJuci_woaB>oOKcgD=i9w`f8ES@3AjBSZ*mLz##&9fYSAk;h-Z89e`jCC`{vp6dCF`|;H}uO1A<>qP*k zlz0;@;`Z27K?mIN29YhdH#}m*Q28KnZ)*DYm(A|<9JtnLpI0VCpk)IJ={Zx8y*Qr4 z&exi?q?i#K1J_AFG%(%t`gYH!j~FyJRnV%o(NS+r)8P=PG_XXoe#tdOey@|Vbn>rB zo@r?76D%}ozcLjv2?1vz{(yKrVzxCQO{&%L+% zB(n%i>QFK{8!oZEQ?})$F|WOhh(Hve%>{r8YtOA{2EVF9z^>8HZVTE8k?1gq0lWL_ zqc7Io!}i$q-NjFz_Km6|S#pxW#02c}$^_vK*f8gSvtBbCO;Ol?iPLVr#q{UvS)DW1 zy$faK2QY#|wtxuEzO!hAdn<1?VD&5s=z}x`QExG>FhG9xV1DKMbxqj$=fQ0PR~N^l zuV_5S3&2;n;X2%75-L`1F`mgZ&b{UN*Fp9r`O9(W2Km-eFEC6=Sc?%kNi>Q5*D7#g za(N?=j|Jx@uLfRDZlCUFHVH!5sFHCdD_S3ccb`UgS1*1|as6#NNr7jZ&!M;7yMsV22M;!# z?NW~Vbl#9tI=HnLzxHl<h zWDN9P1{Z#)tc`RbG`yM_@PIWl?l@;L`$|OS$siIPV^{S|gHt)ijk=2d0xG&N+pqY< zbuKbM;#VSKWW|rY`NrDvj@^JMK03++Ta8h?30wVepJ-+s*KNI6zyx~b8p^T3oD23u z56}jddu~d6>KHy=4(3DkGCvM8G@0o&IP<42Tj5}$R3`8o>Sy^9SVfI%dyPsG%<+kw z`%qS}lLvPGv#X=I8~osb?}GenBre%-e;-N|ZiA?ysH%f+cgq%Qo{=GdsQ~^@qPNI~ zw>uRW@iMZ;CCRaUJ6_>1di%ipg2Avihrnc^pzx7Mt=j-pPCiiIi|8!%f@G%oevq(p zL#q}!k!$Y{JJVZ?ri0gy5?%7Ji)gJPRfRjt@%)zP63kfoJesVR`N|O zog&uZRr7#kV?JZBL@_eJhzil!4lHEgQF20{k?j#Moq>l)3xScgM__jb-mM5JltlnJ zhMqd63}ffA$b9d?_#kXGn5kWyhO#7L=YC_x(m$XFN*hv|=pQVN6%Y1SVP?GGF2%=S z#SxUQ7$naC2`P9T34cZiZgt?m2BE%1VMsKI7-zU&A(#GsNHYw0hQIu_GR)l~M!{uV zZmOObUg`9E7&~*hcX64ge(E3OeFNKEg8@UFZt9`-{OiXtYbzP zuBit6hlq0WhHE8IeL@7ojW2(!eT@p7YEUOISDJTTpaG@05jM?hn+M21Avy7S4-A<1 zDX3sm1rnm#Q7$a7I*JV{-FYAm^te2hkx&i%VPjbP<^%s!1D37Aj<~k{@GACb4U3Mo zU4=>ssa}SyZFXi6Utt3Rgzm0_412`!?ZoNIcDPp{?CZis$=wq=rA(<%LJV%k0bCE1IYJkg#XbLJo2)n2)@ggTCx@ijP$~P!)*;1Am<4? zUf-vV;yd%r0LxO`@L`HqW2X;Rq4MNi=^`Xul$Dox4N>v)Vs&S3VMgjJI+mH9tqY4Y z;peT3cb}dOIQueFxy-yK?n!D;Sg##~wLUoWTHUf43_CcFeRdw6rm3|IAmUB(PthN4 z0-Ax!arT$pnlFHT$db&3HnQV)8nFq=_jc1O-<%KVx$M18Ur=Okih|AIyl#~O-XF_4 zz;vFT`6$KUgq=3jPM%Y1LGUFf?R1&tDBANwmLOXS8Zg@%DEV5(lnpt5d*jYk0F1ba zy&A-IH;76jsYW16&Ac%OIG{Kjq5=xnp%H10*&vQ*b@mw2wpU-nt{sa0-wwG=17YAm z9``C*&?V5Eu%pX1av7@yWh#C9iW8O1fw8AK2(bL$n%67ZOmi{Ojr(S<*4%c_@-j^c< zEJUmWC@CCNK?uz1S+5LKXL5x1&$*jEz1)6dm6YW+X^luYr}yj$`@GbM|oY%&oo;5YWq0 zumT;IjsL0Fq(we)Ix0{ip}kitWuuspp#SSF@LL2+Mzc1CJAUcSQyvdL6L-mmNJl-md~2e zpp!!Jd%QkhRfzctfaTl3(!?#K5$m$0h?RWpU`DU?$Qym`i7;>{{4AJYt@IhfcN(@H zgn`tN0_JWxkd_Z`$y(<=Gy6?VUBLJ0DQ5gvL2m?P3F?AFpXfLfan6(QF7RU#1o{2a zXBq5?04cN&A<{?od=V&WHh;3%WTN%TZ${*`2e%INfyqa8kcp#_V5gI%snyDWeD%MC z3eN$ExmK{MM9^X$MY@G<-S8x#)$tQWkhy)wPnjt8l-NFOHJh{qD1qI<`W}POo)gG{ zk2eM+tJ;W0cQTJ0urrL%*aX4!FR<7!t1>eTzO@(7-pXri80YtYuXY~EbGX-Zls8`Z z9000za)P@rfBi52g6{TO2iovU!N!Eo6E0sR={p78LXlh+W5Jg@0E3C-E%}`hH*Nd~ zH6Kw;kZr<;fiL*Xb*=t{!7Mb45AxlZ<@-*=;sflrliA!M)B@7xR|vGzZH418k~Qm) zfd34f#UKr1gbfC)ep*}IBl?z}tBKwMp0B~7))HexbMA?~ODb`qEWBFv3iMG%3XX?x z{(X8PzGYGTjQ9Jb1=DUNb&`dyZ;W_HQ2N#bal&3erXM!W&k0)dx$$J6z`EZfGvgKj zsF?mmUf1|gNC-1|N{?OR+uM*O-YA$Hhm#}E4O>*n-BMripZJ^qgcr{X$6f###8+SF zB3y#GuaG!1p-SK2XRBIs&G~D5aFf@ksV+zfPvXfzqV`~#s?v%6Ysc-^&@_@*CaDrr zb;@6k{0eQzELDtU-!H5zaRM1lRmB-odCdPyKi$ui^^^*)w0VHrF{evSxH_ZH{0d4{ zdnobfXMB6`A{56ZLb0o#igpe zpx#vu7?k>{+)!cW&-M&<(7%_XXEKZC61}$UyOo#?I<_ZbB$ZGwjI%XOIfq(qFa&v~ zFQ)1ngP|pUalx~KiQ2?6{jsM}DP!ihfm^EQIuSa&-@Q?GWq!7<2yGi(-tVLNG{n9n zrpr+dd>UjgkPPb;`F@6QKfZ9b#_a^?=eytzTO7F#e4+>}zt+5pl41Nq3S-onP+yFQ=ZRZVu&nfGh|&H<(+970rZf6aNfK;G>A5%o)S_c!E64(5N0?ks ziAA!R%Lmr8RqRvfhCY~oDjqD6cy^>xMaKh#Ri9;Z%uGULLm@s_(>&Bq$E{i=r3^i& zkNF$orM*JoqxAAk+)S;HbUsCo_iFuH%2OPm>drkx%)Q*)htc<(ah9$_EmjY6) z%H3~$uBCkhs;!5rnol)dRXn$Tmqu+*jX}!ND;$p9aEQ&7N~ic|h;ej({d6h|&xP|G@&&$4VAW+8BcR@cEr%^MSWmX4EH=!~qH~Z4e6vYz* za_OanH9V!hKR&Frx1vf~cy^}wzI$-pe(fO%FI9t|5qa#na0je03IGKhGgrARspVAX zMmU$PS8cI1zHE(WWDaL4n-c{Ha+B%oryIiw>YPs1o2Ww<>AY%LMkSj+Qg5!^;NP+guZ-=5!xP^Qz@R_ZhSQO_W zSV9%9fj?F@mUjoA5kC9890mt}k+YTeQ2M`$K5dG5X4BE|?z!;c8jQ<9&xY9Bjq^_c z!po=w1tQNJPcYbBx_^gBKPMhcew^ZtH8Dr;VLjBYON5j2SQ?a2@3j4mRI1A=5tYk zVQvC|y2sXyvx4o5zfRK+Xm+k9++p#_;LX!aq1_?avCJZLr=P$AJ{{Ji#XW-~|xKx zW{$O*y*Q6KyB_(W0j~g8=k6;|-Pb~~V7>4Ae;rnmgYP`8^nK>+xAR9e;{N{Q5Wg#d zwtrK%)TAPG>EyG@2bXBzzUlFrbiesGb{j1TxrQ?}U#QM@n-OXPTc;=gb{`*|&CG;7 zOaM~qlsRhrh+$r*5hl8CFV~BQxL%SbP98VCip~A(rPit^=UCa8(z;huRR5Fp^lTz` z>7Ci6(u>%Ol`FScpKwP@y}RXZ6pEsD& z9Ax*pOxo3ZXE$k5G_#|Z;Ix#p5^Hzp7lAG5t9#$3-$^<;7ZFXevDh4l@efDiE%7%Y ztFD)Po2#%hHE5)LPCz$DL@3gJxhcz~HB9G-*7+>#no9OSfX|$N0=G9*xv}=zdRzQL zaV3Qnd9rYNwdf1AVN!2r)k@PpJ50OjJKi&ao0$_-SS1m6tCW8z1jLmw?q^ zwM%g;lJIGP{4NslHe<=x{i!i;Ls`|f67_h?k8|GSy3(=xdUh0B$9!YA`1{z_>eHsm z!yh)8FV`7GFqd(6c1O%L4pzc3r;LUM+K2ra2lFO{lGWm}lcoWk%EGI6IE^!0T2&>* zJg)O9uo#orYa2IK6d1BBM6=d`RCNFg%{iw1s7Cis(DS9*eTngBub;G=3_S6D6^y}a z#-{VFSmHgUv=cKK9jl)eer7}zy{6bMp8-rB^S{g1Kdf>{s)_MpZtKD} zu=VCI71H4@b=}9m)I)tT3CooAzxK3w@jf@w2<+Se)k?HNzC|h&Cw3Cf3k3134YT2^0?TLqJh7EhX!EJpVS;*4 zflSErvoWhP>$^(l)%7D!DQm?UUHR@n?xWBrA(sd3xAGRd$_-|ZGG5b`0F^T?Y!c^B ze~PTJ9!M`}T7B0+d>G7%A1J~`1jb=;95(J;t2@-P`gbE{f{JS1-J9$xzUJ_vTx!9l z!{G+np-N)hkv&to+%8XK!tn)nBCC1s@Q?3^y!^;J<*XcD4l@C?24uc(LT{6zuo6Bm zf0v(&{M#(Ddi3QPo}$$>@&%AO&h)mIwY6tjAxtgjwjB4Swf4p6@ThK*YR&1RP4z(i zaMc>zmD8*apJgYmrA}{xqi3TJUJ8s|53$H21kI0mK=O6b7A*tW%_T)C`?gn5evN)o z0h+EyqFq;?);PT4+H4`C6s_YbZjDJU+1I0sBv|yR9Z%>wS)zFjU=ofpa!I+rs={d_ zKB=VO9DV4_>S1C~CL1g&lCb-@LZR2?-Pe!8TiFYiU-cpvL?Aa6n9h@N6iu->k(bT! z5m!bp?#j@8yD~#R{};>qU#wK*r9S`MCaS8nkli_Hu6xdS^=X+C0MSrp7>YY@{Lvi zcDZ~@Cuy?u^63(nSuEAX`ovmn; zQp`-56nQpQCGTr|uN8EivC)7v(^(|--JztV58$5}h|uBJGEy&#p+y{B+ff+Nq!+|C zaTmW9^xBQNXagyLy3TWSP?p^aJKnSskEcsZg^_QsgwTeU5k$8v1TD2@fdpLjjTy9eN z=W#%p+3#8vwq~ztN6A7_;+vQuwq4YC7LBgs?|yfYo#_gkHlbrn0$(Kp+}^Qf3mVG8 ziv56PMU&`h5j%~La!JI z;{Ks5_Dk=y3XvV=mWKzj9KvT~7qCT6Zfu3>{b=aBdH|1go@Z$?5h4n{DvsxC6UWB? zJi(bNeg0Tk--eDIGhVjrks2~$Y52_;^3+w&=n}D0-L&Eh`B9Tq3Wg`TH)tvJ{JYV_uzjw*u7)sdIhbRj%$ZP9YU`*(K0n!R{%hzvo# zi)&=ofx4OI0A8j}1Y-=*qi8#WU8o1`fH;jmL$_yMk{sC~P{^2=SP4 zW|lq=G5R;umm;Qe}|-{OSMQUigTCbbFfx{WKH8ITldu5H^;U7F|M&%LI-cN7a;?~9=tru6 z8y1peyBhJ#kLW!(1off=sD1sjb`u1W&VS_X%QLbRDJ5u-ZC{NGg|zZU7Ioe`(OV@k zooP(4SLGTDdX~uW5WCs^XAbQ))boZlK1jUDwBA+(aNNfJQWFL6i@g)a$ZM* z7lmuPH)-T$(>Y!5BfbcqT#+X8CGzZE)VCi8XQ|*D{MuMN(v=j|Xj*$Kax|^{Lq0BY zBU#{LAhOruQ!qZ@`_~SdIv^#&d5Cr8`-`Q7*nvur(%DAWvNz7+lv;j$nZfVB=F{{y zWSqqsH?xxxP5ly*_l>iQB41ahH0j%gM!h+$s^frsW>I#xPPs6xD8uWHjN3xJ7^669!j})_nw_{ptpIk@9MYL}cF>aXQU|M<}dueYD@7}$OIsXF?RIkVyQ_vSa|%qNm~i#}63 z)r-h&t{4i0TnX%B7<5(;_pY8Zs5(Bq%a=8Cj2`U2#zbSZx`q}TL1KbZV^dG7#-iy? zD-`}RS1=*Pn8H5=MQ#IS>yyG_<@-V}jQl@8YwhFwgym)VXnk9?h2oj{AKP=g)Llim zrC?LAhR-wUN?C$_nHQdp2h_lc^mo1b!N=ke7Vd_&zCC-@69N78m$(40yPh%ALgPiQ zG~(m5^K@G)?dcaP)qlGfe_MaP4b4}ws{fRwMKFtnsQ~i_9{w3%@pb)@W{a{_O;@{o zRYjq$*y^r&KkM;~pI1+&CBuSMfFEDJPr0a&Y@)nLadtKuE8$3r9c7!8Q%WCo%$Iz! zyB2sFyCi#&gVQR3=%cNQ4D>U7;%CX5_-x^y2yZW+}Odp|j zMot#Aa{cqAePe1W%@``+rbvoX9UOggYslQM1{2&V1;WxiXJL< z`*u^iy9%(0Jj6&Tz6yY2756q0pZlEr<5tc4?Xp=oIYzJ2O4w}59_F97kEIBiA^sfq z<%Z{kV>Ia38QI=%zduk4-@B_gmjN5hxCv@g+Mz4$Rm zN(Mj^s_d<&K9b@Og30y0^Y|WWNf!FU*SAj&3IS2H&D3gYh9F#nBWp0R*lk6Bp2U8* z8^&ER7W@=lJaMHsx#fa6UGydr`Ul+x#eCBi>5XwlA9}J5x|99U)Kj=y3nSlFs#+67 z;N?L@+Xt_4l&Rc#2Xf35^RcKWC2$SNJuwQ!-Qb^pPY05L`>dN>T^*gNL#o!f>d{f{ z06l90ZtksdfV|J@j>Pz7-Z;uV=p%MBD(h(eu8Zx{i$`qS<4T!^=BHLgWf{BT3xRNf zsYKgkLDFIkI^Ibd)*;_X8`M)-zioVF z(o63yxxmJs&+q%c_j&G_XP!Iv%sunYIq#fjhKY=ftdfrW>gj!TKfRJBc3$3&?rwb2 zk0qoer1_ruI=a~MJ$n3zPfAWw`jO-#De1?2hIU?ljy86D`X6kZ`K0*_wfRi#tofeV zI{I9-loh4C`V1Kv&iMZXnIiT7q{Q3*$pG>_5KX~DS?t-$WH8IEYrGvzT3W2(T~-E9 zjJ`eBQhFU?q}F^)^T0SLRg`v;^_n?wi`nqdGOv=$8 zgUxPl9`E81+3l!EmM+&DQm+Hp3nu(x$v)%OdM_oTqp1t+ALpstnsG$6M89xW2p7OL?7i%HyQ$V}Zl|ERD*r=e270izW;AQVa`bEoabobV>a_wz8)dVL zI_$l!!my`qcGo{xUR`26%NJj-#=^02grc1B8r;dHV&14bO7cgR`EnYa`QaOYqF!Re z^a}|Y!7RB|UWzR9oqtWA1(Q7kMwKk8hr{(O*Ps6b-{|)YWP}L)2EGu-UUcJcuMht1 z#U0&AN}<-);c6~lDKE^BWCb<2m6hhOJ(d@bE`Fitt?5+7krcm}%{J%Tpl$Q;U7=Ca z%IEJU+%g(5OD76nICYsFE1bB-5-=Qat@(1N=2ABtldiR4xzn9BS+?=d`c+N}u95cc zVzNKW`Q3rCmagdn-LHcl6dBD32w44C$)0@MkT9hYkO$F14qiHm_L+-sg$a%gcx*^lYRWBA5 zSspEIxh5tXv-X>|8e8#26;O>GG+C;cVf9SL_I=atzk_D^plB4WYdjQ(Z8aU`YE}MR z5wy4DG2M_SJ-5*!a|Ryru?n00HWB!5)MiVNVX6YmhV+KSzN!Uo|&p|sWpeM zH?!$$`*QkmE43bYmNP>Y?s}S7#YPy1pv~+Q{d?(me{rnIj$RW4H3-t=eW+J{{(LrA z!KiHobA4DHpm zUhGC}TvApm#5L%$WJLd6>o4<*yX|RXdEIv{^V;*xfowm$>JrN-VcOnn8+rR{8nPZ+ zYn1QR8>~c&to*W^mC`|MD)&vEgoPGyFShWAhHq@iYHHbi`^TW>i!koyo_y7aJath% zT}Quq^C9EWQR>IGY;Yc`bw6o;ZbLOo$8$DZe<<-;j3FmuIpdE+o;F8VMYzR@CoBY>)ebfY5jS{WM%Pkrc<}#rbfWOW*LCFJ zfgAzuUtN+eeIUkl1zS%7yu`%w%Y~A5Drf7ym3~bqyEW`$!}qLMiJj{Dt5ILe1;{sp zS-;svmE=&JJC?D{9dGw~HkaAA`~G#!7> zK7<>cel)yC=k4^4P5BLVSyz&K@f|-O8<7DGT1Jb*lO>Pvrxy1Lxqs}w6_1+{eC^b9 zqoh!&;ZaeIUTDYB_z&Zr&;iYuHRDCHCxw1J(D$!=s>KI-p8bx7WNULGpg9dL$NM4K z^D57y;|56~Uu29Y+i#i26geRrT=pdM$Zur=lXiZyWO7 zH)mbw-+8kwV-5H&ji;6n7}|Un)CrYV(pmbcbGyJK`QDuOi-#5QjUdFR>5FBF?G)jG z)$!4pa(_$B->y{EzVFN`?^!e-vN(R=QfhE2!`7e;cbhtjv=f!D|NW4kb>nHNdj5k4 z$3d#^;Kt*xCqJp`S{+HmOdP7eqI5O;C8CjS>X?y8;r0NRS=?+8&~i$9Eg0dVy|dY$ z9;EP+_P$qAn(opm)6|3vER3ZiMPF9#n`br;KG<`3o{s7#Z?hwKJV5cU?&AS}#|^he zH{Je|%A!Q~3m6ksX z+*eHNmDZW3LTB0chu=r3oCI{<=Qup}7kjx3*L%7E@3BaxY;bBWs=qgRGZxk%RcH;< zucNHw-u`JeV^u21#vju8_+Ft2NQ{cBXnT>H$!SLFRrK6PMI9;R3B$#H5sV z;&F3rQRYvLMRu(=dAHb7810lB+864FXH~=Un4q=aFV~e$4?fn*xXO2VELvP}B0-qB6asg_!3{B2KLgtPP>G zFX>PdanKhF{n`&4fzJM}H|ZT0y_@1bT1ThV)oMJVXDQk*`bW_Jxjf&l{19zgG=blY zHyRMXvHMywP`MG#rtzoECOC&7VJ%?$)A@(&ACFI;??}-Eu zgH}6?{)Tm7oAkf72x&#*GkHkU%9eZaV=f&wk@BT_d$-D;t`{o%fz9&$o^JQOE0l}1 z>f5n1oyO`*RgPINDx#6M`2Q_nU1h{Ojz#ZB)AT)URz6!$|8g1fP136=ZuA@SU=G|& z*^{l+YK_SFP%{A&=r_Boxs^gcQN=3kEPFpny{)+o_Q)sk_YcMz_nAv(R|zKT+_dy; z^Ix*BlpOv4Sw8n4)m)-K&EdZ3=U&t$a;F(w6}Ugk`RrsN$9o;_Dgu6eU7S5WmM?*87~vLYffQiN@{i)S;?Ex*&eqkno?`)h5TeXElXmGm&hKw zSm(EGxp=vZ9h3rz7D`CwJSkuH=8|5+dmxxJ_k-<#`4>4#6M8-Dd}e7viC_7R-}1)g z2`6T=6c|=Sb5vhOV~1W>@boOq?OjmcWf{||h#q#ZRNltAExiy{&E(1Vt(LYn=$W|gZSVuw?|G^x z!aI-|wq$=y4vyk>Yi(bobRJ}%Y8qUK(28sp8U*dbmWWz3+8&SS7_cLjn;GEIPh+CD zYvzW8v_(bp>mA?htfh~8{Jscm2exFfFG+po>KCiI4J6YqdyGVAm=Ri<`?t%cohnn? zwi+}0+aZ%pFXE5Ip4Jq+1%rBUH{pm7Wd>Y`IsSjZsPIZ z1nwvwX%~$#%o|;%xDQ_*&;&=kcOJ=AmRIkX^%Xn2x0LQN*UQ3l6*6I%DtbpWja;lCA5?|pNrkY&nP^V~m8Gr0KtYg3wHbSon(brq?NLn!K(U|OC1MdpWy!Bq1o&7d`&VhEHGouyT zziG#YQ6>@WJTa59tzL|BN_3EmbDSNc!88VuF{e)5k21fPS(~0`93)Ov^`W!H;&#G7 zCaSDy?ULq$8HbO2XGJ0UgDS&5p^7+S%9a{JeEid*ett%BCp~ZEO{Ty-xJknY;ckrI zi5?A`k{|cse8z6g$~nj{-_tsxXJH2E4sUrehe*>_-x>AI3*`|5&e6KEyZ*IKXJ zZS~NB44*_3IW7c2a>pIV4}9IFwVv5(UT}C8AIrBtk$ZR=O+P&TqxN;H@6)>%7>b!E zNP5C20Otk3TKbXsQdB>f^TIv2$FCR_-FYXI@;Cf^$Rv0OJ(_URov&w|&p!zn?^Yc^+OGeQ>Leod0@+Jm`|Ri{`K8o#qA1D5Oq*;y3@(Ygqfa>|)>nnU#OL3> z{9%uX^ItV7lt%jQ3{~RDd0W%I`MLGIc>gE8@m%Er-*xg!TEV=6ap^wta(%)Cm#8!MzaedVy@3}b6itK zY0FUm=N`JpIXN?`W)$3Kq4}?5g=%>mTz+{mUou&5*pQi0-@BEiWk->ua~D{7@9s$JMXK7* zc*(OUUu<7NEM#0`f53Fj<|qBPb%Pv$8}4w^(}J+KEhs>o=Vc?l7L@X_X2Aw7M{3!n zjU@CED3a)CxB#Q+*TZ_K$yV2fXFe(p7&2!)DOAVXOLw;E?QLl_y(#QadB#UP?gGO5 zXu%nctO4K1flDJA_(~z??4yNcSRrmej^c4lT}wYh(yJ+}f3;68Z~@<1f|&;(j+Q^( zk&g+jN}dtNmoqIbqh5ej}m1>1TI_gwFkd;bfd9ug*<1(r>)`)`%N+z1XxWi<&vVPJOpO|bi9 zbez6+>EZMjoN%Y9xgO!fpjWJ6An8?gd7v(%OB27d35u6vbp_Qv>1*ju6h?= z!L8%{Q+4G%PqhzB{gENCT%`HX^DAI9q3V0PyWlMEjpIk1ZWY5ztHl;^ygG(i{`>lf zbh>EmW8u&3Z3Q+%hNcKbRlt{N4rJ+zML_|oO%`oS1BgYS{ndLUwx?f;6oS_CJJkcN zBqO;p4t4((wxbig0)-Fs5tymx1}fKb449xjk{q)Mrs>Z^?|eV(Tv|DCC^wKmJ97^y z_dLitgI&qpfmaB771H|(N1}e@Y|*u2Dc}drX-wHGfy8PwdIwXChV3jf2K=!m7+qf! z0f8X$%=oR#Hl<_IVo-=$QQoyD^S)b*q8Das2xpMLUf;Rfqdz@vlK@JbauELhqtKvs z21=qD_p%iO-aV0z$g4)8;~qz|Ld3>_FnSOn#9MjM47#*={u$hPuDT2zr?vtj@c>M+ zQ$#hvUtp1BO&e%b1srBzoTt3FCy_jKzfCrllp6Haa^}fYj3nnW)*<&f(^uKWdx<$tnAKvA?KDTE`_H#L-n%=xG!O0(J*w zxGO9icu^*&@N~8HIPwi}h23ZH+6F;&wI|{rM?WBm<<2oxV5-Qh-ptW>eg-(Rt8S!W zVGC8CoCA)`e}i}<>D8H^=6?&j@4$;J-&=9nUbeAj{H<#d*!24F(N|uirX^UqTll}x z8zM&Kw;sBcuP?D2ex1}r#D9-4Q0n9q4fKy{w{J*2Llv7Ns>K5RL)(P|1DO`mvG|=E zfodPad%Zu>veinf8{_+ z{DNTJIHpZh3I{&+>mT4(Uk<6i#gDcL&le&{eX7Jz=LwmQzx3jc(NU`68`$s2W&ypJ zQwPlavx4L!+sQF+EajVeJ9UkIUR&c4aS*^gAGwwV?hn6Kqyff^utqe|%xMXJSX*F1 zqIK%{fV^&#V`_-eIA}A(>oit;QV$MOrhAhuVI0@NACFYw8(Qcr&gAK&nVxWpQo;Khd z>v$fyfY&+Kd{3r6syfZ#2jN14$x!Dz-#wM(cFYmPU#Aggf^oy@uUUX!NZZR+b4d5t z#mFs@!Cmw^O2-Z=l`dpCr!l6NX^8u3ZdkImPdS2@U!e=dW4aWR8{?Ye0%oRlfysBa zQi#80*ZV@cg%0b$^rQ?_U#}I_(Rl=cKsTEHqCX0!MF{~WLp7-N*P!HhZsjf@FV4(2 z6e5C=cZ;Y-Le8l$aQ6sECVRqRXY>hbAAgaH1R`yVi{w|Ki6>pIDxFX(*&o|d?x1>V{_t}bM{#16d&yyH=Jn+7=qb>^$vnw}neS7CV(Xj>v3%)RhD<=YhTkZ$8 zndh!7ghW;#EL!!6)wDs+fHXh*d`H!K2kk{*7c6=02hriAAO(V$l4zw9j`>Kdeg@1x1Y7q2J%A#d5MwG|LK3f8(g)dxw+0P7> z{hApgMSqdBac1QZTd8}95OyuHDrm|yyZ{*gQBFV9($h*!XOqy13Z%S%E;XbEyw-ee z-wPQ|tmJRr0o~ilIq8L%B}#s%WX95Xki}>%XyET=v>Ve)(a_=@xDNk~^5ClGHV{0x z66p&U4#{Uzd*~4Ot^RZGAs!P7CTId{b~@B@juP0R6*N8OdZ(IY>B}?9)|2<9u_R^^ zSuZp8tQ;o-)(O#xI33r8Ru_zX0{}4KyE5-~Sb`bnXp*T9($g+NA~GcIib$0z1s9Ha zKZ!nmAbDEESmc0j8G7U6s2&9*GjD-aOqSLQfL7P?Vj6fijpJ}!6?UqCd7&vg9&J2K zlvuIJ`7{z2hgy5heYl^|P996q<+{J3c3c~GCfO+*4=|hW=^cXIicdS`gVUuvR(N_3 z*ijQx_as{52UO{l@8&I%pns(t#mfzw+aL?Q(}i>POI*iPs)e3Ish&!_u%wFU+RP!} zYI-V#_u&*BsIzEwCZcAH-+#nm*)r|N)>3{9?DK7_nH$76zN%@|y(F4rNLX@A0_sPk zH2qq|?rv{WdN+y4Z7wNMUPzjuqrWqvSACr9pP7F6OLER^qU~TYW907mcDY1}%uer7 zMqcGzx#~|SwBkJ8`I9wzkKTrLy+f~0UDDxudzn<+3H^xmk5_mlthZKQvQQV+6@_Lm zV2p#B%Rhmd$mwWh&?77!DWJmK*-O??-=e6+c|3Cl^(66x`zidYJEevMQY|C)$dtQx z%q#;?u^C*ER0F6s#ij?%{KzL+yFxH8xqB-HoCYs5m@@tO?SNNN?hJ?J7!R-b1qM`f zof4b!!C#H70Q08MSY8dx3R~*!@F>)diRn z?lMbr4#{hPr3X66HNVY0U+K{%z3Vw1@H=h1c?@Z7YEnA8WchKDSdJr&FwG_-#@dU2 z@giL(+Dxd2%A{2Euefk$;c?*o*$QDSoDK+dcPfQeZ)*e4Zlw@P%c`v|B)c)*w9gD| zhZIhcWS-lOgIN{t)fF6Q?^j9UKA$iDcK_jCTzK_}?6a2Lyv_vtxh*UoKq4dH zWPiRp%MI#$cboTJ2jd(a$eN(AWjH+S)rPC#vhHaEgE~h~wy+$hN0m&CP;>=WwK1CT zsuELc;#k1OlM(0lTYLT;k;kU)3%>JF1=LU)vd}$tW{WgkTt#a`Tazw-&Y!Ps*XRKR zY?b@H6XLizuaJ}|YC1ZGRaJQ*7(x-el8is+m@FbJr>|}GBfVD$+MoGX?G89%~^0*_UZ!E>e&p=--Jqf6CP$9pZOs?z3J6eYSWuXmWFt6 zE*@gQ&R>iw{R2ldxr3JmqC^~erFUy359hnSq=`LZo5%NzWtD#|jpccsd%4S3+Aucm zX>P4MBBh67?k!mL1vEMfVCvMwxi>5JqwpRB#zgC|P@q81QgmAU%fBaoO+awD> zY2!L0`cNV2S7WqoBRO8MT#+~~Kp8`9kI{3qsF6?=qY+OWh~@+3#- zLRsu$fPyq4cRXfBIPrlv@h#Ry4y6JHlc%8EQj!2 zCGr>ocG5#b4^toNKbPW_1n=jPgF$Hc_b4f-4-s^jBA>J{>#Zn zqTq78=fTr^EJssx5d0r0Jhp);7KQwglJ)ECDZ=720oPGxQr)>wn1@y=)A>cdU;b@tf1XT|)Mu5uK_hO8pV_Qy2Ml@N=Yq@dOTVnY}0 zE(>~*3gi^{*3G|TjTm!@Cp^pFT~eALJQD@1fz)1T-uU~2WQl_}@~H=H_5U>M0Y|S{ zvHNXV1;|f@%JO8ut3jG?fI%H@Cma|2)vu<78CkEUkE+$ob1sD6QJD9#6=gHc$2O&- zKO)mXx-%!v6HK$H>pht2KYVCeZXBH5e6RN6#}_@Yd*sHF`8nKAoAlpbG2ENf(gwnR zTYbcyPDO5w_gGCYps&+{%VKW?sp^fp)rr z|9Evlt6v;Kdx~A}5uKdc%Q-^se*CSpill<1qB5f9haN(WaIMi7?(&^u0;jJw;buDt z?%bEapYNv~z+Jj}dn7ZbkSxQC<14sbj@E9zXAw=1oKM)RpJLpH95Nhx*P3zG5cEku za2~eZA6S4L*`yG|ZB!ly_U-9{A@HmlQNT5l)$pwA=_DG7J>T0U5`V`VKWBf}OtH zdr5he!?KzBD;?JZ4-d}jP5yDjD0i;;V(i&Q3G>Z@9?h;m;BKHTT&8s^ODjOt~r5raLI1D0dS4yRtvDIml<)%*)IA6 z|5-U#wiC&qk{b)Oqrb>s-9Zu(EWc24FCghkPeQNn$cR)+5p2~|}cYjsu+-(BkX+DbG>;c3!1TNpA3pN-=E%Fs(R9^{td$!3q)tM(K|29+H+V1Q_U1;S&f%0bm-P5{!{+4xOxMZc zyy49O@b~*66AtZB4Bmy^=F5vy`QfH3P{Rqd?E&IJ3iHL3$#~XoiVPp%?B)06pB3R_ zbL0dQpGczFQH$bZ&nWqP`{pUl*`COWo@u@2W;KH3KFHuwY6aKtDI%9*_}kDXGyHmI zdJVpbgxg|@BUFX0W%27WP&FslothJGA;(Owv0_M&sLONf^!6Xp0^&XS7TgpTv6Bd& zk9~#__75YHm(M1WyFmlvx9T_2u2}tB($fiy`Q-2s)l<#)20YzU$Huv|!0FG+hCl-x z)$BdjC81@V;VAbs20(-_*IpuTh8NWzgK@8w=@5o9MrgNcM=Pfk{-Ck`oK+z1^1L*6P6h+@=eNrD+z zLY?OiTQ>p$Wv>3q8BCQwR(!zrT>$y~pjw9hC5jCbm~SO-kdaA*;0X)kYgN?dZ*f20 z3y~No^CU=Hiwl#_2k3ogZ6FHAl{E@j| zseKUC`C(5wm!k;QR~f=Tb1-6ZX!qxCc_Q_>TlYkY-mtRrXsw&fpwMrXPb;|?>AYsK zOgMY2HID0G7>r!^*w|1N{92g3GIR;p%DZtneONX7F~mwu^_cI5EUPZ_a?!nW1`8Xu zEnkI0#PtpfGLRcRAVy4bfV~zb)0x|tdbaW9@KD)~G9}|UMywj6$b5LPbd|b-w2k5r zG=aj=?Q2ZA(PC)EW%lWX=Yzq|quNs@9<*iLvy)#;5588_VEwo3v#(OTJXfG+DyOaZ z9g#piw7%v1!~I0`TjxNO!NuLA_O@`jGjngoVUK|`yYV=JKLf9`qSP|X)%+Lb7RhKu z-O?ax0yhIM4mJMGOS{*lwJhcyK!mbOWF)=d_f`G0k>4g>?c=g< zWtVI)>g;4>D{VNDZXh03y75iC`UsOtZCU`XGmy26GA}h6Y>4NrF6Lj@s_l7NHT9pW zyh^~%swO|2>b93FdCMKfS{irOL{lbt2_vuVOS%ihT1tF2ucGW+Ap1&{Z?QBVl~~*I zYNsv0RBchV5K8gz^IxM1aF}wzN=_k&@Yo@juU z9=(x<(%Lf|mKDamUvkKJc{~JVQ@w zo+UJd1>PS=CTzWR8<$RoluKG>ryvT~zZD4j>UC=#^R`c}nZdLYI99cJeXdv$$BIEw zgJ&*UEZx_==~uLphu1^hqavJDd+xFqa&MG`@W`-sQ<^+%=3%dw!4aW^s%oAr)52UD z&lIn%+W|-FR*}+)tsH#hxpdA4%bE4shRrs7s4DD_Mz)mVW2u81%3-*qL~q zcYA^C)nC-@E>aV0U>tArbEG93&Yh@4k7V&kQr(7cSmEw6kg6lj`tT}-N8Yz_FvV^l z(ovNhH=u<_%Z1?}lz51AHxR6BiUID( z5pNxhuq0wiy|}}zNA_ekyaE>)9dwj8ELn3;W&fdBy*sO`BeH@3EeGY*5mwO*C7Ych zU0TDw`pB3ecP?|Pg-I_gkB`9IjHG8ZfMcVTa!nAa2luL^Z6PMvG@ljDgN^=`J^Iu= zDG<{z&!8^IXJd#be(LH>lXIa!h{0)J<5&`~p!IyF0{i?dRTN z&$+aHGjK;BR0%_yHEWl7>|=_7s}$Pk4_uTbT0nBd$RQLLAk|u31x623on`N@t#2qs z?cKzLmg~r)(E~ErI{EjEG)tj2_YVoI{al=yG^Gu`{Npi5yfs(jAP-3n@{l=u%uwll zM$W=nVAQ)9TUdZF^45wT!2a$ZuDFPk)1NpS1l6TZJ->`$l3~M#U=>KV}uCZY>r&c5X~g)BD{)r=tM4zOmA2s;23-jmeY2e$CPm zCk?IT(||Ex>re>2ugbrFJKf>u11^c!AWpzTWcZ2frb+^Qs74HtPUEs%$LQ-8A6dzu zBrmkJNUKyKC6Tf4?2!7?CVPRma>z?qo%Tgc_-Js7P!N>FVoAqD2 znbxM*&bkXx*O6ylZj_b{h*`?Y{DQh{lB$~j4U7m<>WKLc0@(g3yAaO(C;4kru(`6I z6=go`sk?MOG=c%D{tVuZ8M#)-PjE{F2x;ZMee!al$F#$|O~VVTIDtqJE74QV;Znf{ z6b`6&4n0p*x?nqY6VB}wi7TdkO4_EaoX?uvJt8| zLgV3dD`*7e(F-Oh4mOb6ej>zrd6H_P)3XR2=7*IEr%|@xH?#2(6r-p&?I|fQ zJNbM1-$~RSRcm?C7a;9cv>3b5bN#}~S?el~Gk3F=?d1o*!%rsq=lM}vxac=Eyh-q1 z3T`FP%nhmJ2P)g2eFFC9>sus3f>WB`Nlc(iQq8SN6?&GLyW$vWN7k%C6vH^>nMv}q z91zadjT+GT*#69NcUK67f^T0!Vj8l zhmIgxF~?XP`U>P-7t7^3U5?#AA>>&$v)6X8)T+d%2=pbU6Xp;TD6-7Eia|>LGVZkx z0WkeiTr5Jm7ZDKSg#EHCa9ncN2i`aD;r;!y<91f1fzet`yrudp;6lAq#pOx5HS`1W@hJuAc8Ad z>#}Uv(T|B{V!t$)_HdNPg7-Rv;o@zP3eg0}_>|pDXqlZ1{F*{H;_bEL>`UA28m`Jf zs({)}JF_>qwDHH)O>C>gHwx8SV*a#NH0@51^^oJZTf=mh&>#2pKA_YcAgR?;XBlUr zA+Ex7WF#~uYzuIWtlFm7ph{S5{fqzS;XYqQEQ@Q5O}qJ`y41V= zm+S9eec|x}-8dCON@9KG7}}}+9iOS_+poBjb;h3TuePecOXk~bIWIhN{%uJn;fUZ} z8QVPh6U;yRn2AJ*IiyBG`TgcZ7tpO`%3KN;N{0_dA5s&yJXg->7|4Jj1HSbM@kxwc zq-X!?%@?gM1(N9256mSdSo^7hAawOy-|h^AexP&XGLW$gP^h@>f2`OUU#y2hd=O|PVGck(cJ9mVE<;?ki4q8eLG+gre$98XVYKN(L5Rei?`9?VsIh}q5bu3 zs68+|FIHaDUh15fwj+Ni4Y{Gu&m}ryU*3W9&KlcnE!>$z(zK?lzM{zT)niYNc%PXC z3~j8SvAaKM5Ao-NiL}Cm5NK(M1pzqTgMhj@EFi*q+*d`Lp>dHQ3G4TivSm{^tQpnJ zZcHC2M0$t9WL(}Y{P~@dv0DZ&X7KrL({q|eHUP=Jd9|RRxkRC}9h6sEH!`l)I}Q0B zBp{?X1Eb{kiwXm>5>#%XQUPDHJktbHDUSk@)pN~0kLd%=dHM1UyPWwm+t5Tthsx{k z-T}ub8rdAGIkxRZmX};F>pO%_j@Ya3ZdKQ}aHZ_0W`n&87|CHMLt)BV`uLMqoF#+r4 zi&9F|eeDiVE9Y1y04xl0)5AacBA-1dhuL?Hm0L!#a?Me>g|fLWyq`WbKZL=jnS|JJaT|=hFDU-rm&G?EY+Gz`wlV0NdDqWN-mP? zFSJ?ZO98ed6XeY(?2kr`Jw2sX9z2hCKUEC;4QTm zZSFKDd?lIU0OgX$RJ*8b+(g-#_6fK(cF~7Q^(KWM>nSB1B`le~0p6BnMYryMJkwx1 z{--(bOdwI?k1pRU7h3K@Trr@^EPB@{{Q|Xjp)UtEU)Lk=Tp;Q24g_zDlQxCtR=dzn zaBB>F0tn5eCH)q*TfOwDUs%#-Q^5QUT855QsX$*4cYDn&wVK%@kA2%!&9TL6`#>__ zzco78y1gvd*&2=)cU$3{bbAy=jaNtljm#&$k6r`-FT;vfoPPq-5XrZ#R8T<*oUj$#1qid);_8SbV>Ti!^eV|Z!3%A0iTKqOX5FX; z4H-CTXTTvjJVVi14#YqZsj#&A+@4DT-m{B=iFibj?t;SbM~J7(7hrEuOsC-Lj^^MA zVKY7@-qY7{yr-l5{(swEc9enY$;$qP=1>YGZsoY!s_5r{ofZaC52wPko>t4MoiU8_ zgZ8Zs08@_Y15LSM!}do(V0!Vo>q*d+H@!!_nd>AM3_$q3XNUl)H!iJ(rTMd>CHy-9 z8KK_u0`h|E8}{1#gLf-|XTK+WbB^NL-sgT{n7_NWof3Vz%Nub(wj%0h&=3sY=;z)oYX&k4%e_FiO}Drpro|8R!TwInLjs zgVP4}GJNF#$@^?6V^+67I%|(WamMCJ{BJQC^%~j^TjPSf zP1^eo%+C>uy_b@5&|!-Zgb0~`qd|a*S0Ws z6H1lWFQoxZZ}ZO=o)!#eKfRcTxgFKNczz*BYDcy)`8q$tb5Vljo-ExiqqI!p?ZP63 zdd?-_*J;KzlO?Mo%$n`;Y-j_?dAl?@C^f%{XiZp+&3g*5S-P4dRs( z-q&|lkT{DDW?-U2j!>FoG{jfE-G-a}V_glG(Vtpr_Or9ztt>?rb_-Av^s}yxpj_0G zAfcmvgOqa1(~M(*52rDIRPj+>NdAM=k|Z0X`Xv^lSVbOIa^L&WXx6hOtul2^roXfl z-v8G_Tri(c3ngxP?PZ|V(eVjx5{X-ScY4*s;UhD+h}{YZ`R!{GD(bGwj}N1vUmZ(- z3t<__0B4wA$fx3a$`pxke6s^VieZdmk>C=Jp!si)Zqd^X9$a1MMd# zlWWeunv^_8bqv}nSc6B^gAe2{MdppMlnTTJTRDo#g^6eww0I!?^z<2yZhIG7)L}3d z3BM~j+IN5O13ti?Jdnp0VJ5K~vD-PGCzi;@9gTousE1 zA!o1Gfb@6^7Myo5e(D|`LII&!PS4zgkSFUkmyG$|`JH|2Uv}rrt*=|LtfB!z@0xn~ zq&3W$w5qbhUJ!L%^AD`*+Wuocmf(tCC?#UwIl@{2L7}>D)m{>(5(H2bz|i`mt8ZTa zv_vz=GW77t`Uh2&=;5{qh9sCo)Je=8tG(Xtc(7f*SU>dN5mS%-&Mk?{S!*R5(JiAt zClJAq0ifehNbp@N$)N1NP|4*J9R+L@BR-Q*Fktubpx0Aj?_AfN>YsaOQN=ir^hyuaIYv;yPL-1}k8vJII! z^0w*)ZYTF)Kg0S{|*<3Eby zs}G#Vm!o)^0XgB10pFGr7)2CLa>5Ov z`CUK8SOHhXtPRoQCm=SQF5}3B__6-1J-Os=U1-)-E2t5um4J%kDI0TUT9{Q&pw&;f zeiBH(3{~rhHiU+lx~R{!UKM}?o<`S7L15kiJpqM%@5fw+i0D!~;>*NgrGSKrN>(s;@%4LoxgPA+wx(bI zwjTcDw%BqzOm`*yYXswqhV#eov&bN>W~Rq&eDA$OApqxT&rRv&j#wp->OG59t0WuF zms*4Dmuw$Rf&>87lD$EXCKu3Ts1u_pe&UzVS}RpO4R3Ew220*R*t4Kly;k2TNDsRp zaW)mSP;}P!Ef~Fd(jWPIA%La;8jpn!*a4TDbMy*}LE;K(_ki}x*;W&n|JTlyzeB-( zZ`;@=hOF5psqo5B%5H`hl8`pL$txjy$TEw)$xDc$jj^j#_AO(^8d3(?i5Wvf8e^^f$hh67MrOC+ zI`nD7d3-7qn=0oV#MOda0*BI1hOKTvvx;kHdd{sY~o;92|9weiY=*!rGG=?-|y zfQj7S)B8gQwCkhrHo-JhMVRKRcyaQr@Ge496>)mIf1+ec;NUG*L{N_P?Ga#Fx%k5^1mXz86JqM>x#B>d}pRY(ZBg zkRK>5JAEMY?CIAj$;xCmFnVIMzd2dvwyMy znM^FdO3!+%EP;Hw0%;DvM5_dRTpXnus0QVi$#ZnGjL(2v6-mBO?e zuuQD0!^OCpSB6bJVrQW7FoQj$^rn8#&T$HLU&u?Fyix$C?y@^FBaaLYvI+veQqwv2 zqT2phbM1VG**Rz$2-@+NVSb>z>`!I<)mgC?zc~H%4daEc(#nwL5-1LfDD3w+rQ8GZ z>t*%bnq5T#YYTF1#?AuRhHEO<8`MIE59gOSikX;yR4bfCk0&=Adswn89da$CZx}-2 zdx#QsBM@}We1SO)1_S)f;FO(PQ?mlO(eQ~PW_;v0?(MNv1PkVH5H@;UkJYx40o zdv|fi-cJ*5Z?@E7!1os+d!<2-i9VS5@{%wN2D>^fDbzP;7a$V*n@KPCR1YrtK2w!4 zu;sj_gR*Sa#L7K*P9~q~udwWo`WYULk2Ck4=U$CVMO=3=l6{gJdZ- zOx^<2@x9Cy1x7Tu3AU8h82*(hHe!31e2Gv}dx*5-VqEAmO@A1N4I~4UJ+gOQZ@ZP)}=|9P7ahu&Ii&@D~Z7yzSlw zL~;|d&XOZ}Jn`Qnggt?TrFabLkn+}@L0~7yWN0pWs|mc^6OrgU6Dz@=R0Ydt$JEB0TXD8=`DKbpS2F zeoBOFe=%Ixl_xyCo+TG9JO>ood1qbTON)qKnV7LEBX`-;uj@|U)+d!NPK-=YA@I$q zxwLU>3?(fRbVXwVH0E~vc@%QE_JXl`w8F~%8!=(3s`sy}NvO%r=ucFKkx8kg+&RMd zhQ{j3NyzkVVkeL#Ves_o5yAW1Y0rz%+D+Rgt>UGNE_~chIZ9>?+`pv`;xzT0*f9Hz zG)r4)P|cB!LGa*i_+-3`R%XB<`{i#(ukso96s;mTwqbBjHiZGM2^ZZfxulfa!tma` zsq`jecRTB)cN?+WVJ`oX5g?E$CTU@*g2te`RudZI-B3F|m1V9L1q{6lHfvWeRq zVXh+l9c6T0UMhWDzn2mf0U`Y;{Mn=JA!fGv2SfSJLe8DXYchNEPFdf(G9gXhW#&nc zQreGL+!6m58qP)LOQ9L2Q%kJUKa{{O>^SfEzD@J0UTcO;#CER7AvO|Tx?_>g`=*X* zq5^om`WdMkH77iN+0V-3BtLY=$OQQ=l=zdrQEfqYT&NAgXJ18r#2z^cqCP9(`E1P^ znXHV`_YD!t-P}TVJDLR!=c4;K4Q-1i?x$h3abR>gL#eJ}UMS?Cu@B$U=?~gTSYpg? zEPXV5^!5h@pz>$`Cl{nvR>*MnxZ~m0J6yIWfs2)$C$J&^fcfD*XdYu9uS4bLicg5B zNA!e8k8Z$($k(E-pZ$<6^?aXx^sIv7Mb`Rf&8R7r{AB!C4?PkIWc4@k5Hsc4)6S$zqB{8br+WA9)54=iD!Q_YzrXeBJ=4)m{)ZD zQovm-(1TA!8J~&4kr*Z(f*--_gcDPe@D(5E#IuRBOQNdZFBGQ}l*INxG3O^cbfD!>*v;Xqy)h{2%!`DCU9>sWB@bA|77P{c~2_4rLMfPCv|+n z)gty*T5u}k%2Y}^>`icG!f8WwPvYHI`r6;r3>4$BMuSxFQ-4F+F7U0oTZSC%WsD0y zW-OyUid8vipJiVsB{LZbnFP~y>d+H(n0e}EJ$iylcd&{AvK|ZnN^Q%Q3M8(?SuV6( ztleLAl2@G6qn7S?DaHCPr#MAHgbfKI-TE#tTn4Gp^jEU79}6jR#ui}Qg*kP;H(%<@ zO?7Cn&|aO-@c1?|Ez5DQbfAgA!7d>lJ;p}xyjBR0vcJ_0A~A!rS$69IRoPn>RvB^> z;zusGuQRpbuj1i@8*?~VVa%h(9G4I>+olqe8!jYjW1G7;3Nicg$kgahl6&VnEF%sk zrqDmhzT~#k`fT5!F@ZKNCdV+sV*#{4i;8O*EwU)5)gRma`bhPM*}i%4Yq6gBdgaNf zMkEszkAV}{%4xs<+UzmJNl*1LuR~UcqAK_a`y#%X)zO0)Dm^!x1+;F* zN*YD5O$}8xj4)SO-A<8N7ms4z$h7E37%eQ)tI@JsE*@;lc2^I0T zvwq#lCv0SGJY-gB02zM(2kpVSqrO+pt1}#Vp|P<^Z@G<{=Et!onD^!w%pjl&##Ee9q^NxJ|ye@Wx5YW>dQ>z;zQ?jR%iGw_we%&&;{v@pQyAoskv+f=%yF9ND~;P9!x z031j>Xnm76U-kTb#i{0MlAP18dX9BL1Ptw zg`obBwLod@+FLI{u9uJl22nK?_1UU^e?tUN_(YW9o<_%uX}XCfDaD<*agr5b)(dtorfP?CC(4x!&T_hv(N6)?se*>JxCQ@|3m8w7se zuHELjnwutFWSStiEkW+{OPbR}sotoG=P7YJVDa&>U2}+4=^=g2mS-rY_(T)7vn(#K z0EGFywoG-sbEqj_CuDxz|1tjfO6AszHT`{$KuF77P zcSY}8W=_)I7<$yy0q%#@2Bl!H!=9$pU{UwS zHTS*nxg-qpplpg;tD}>MpDC*2hW?oNEahD7P-Oy7gGxc$33eBWs+RVoO@xAs3-eXZ zy%x*>^CP)90ZzjG(s>c5=fP+_(XNi#Q(RX1n&X-4akB-UoX;I)Fx>1!H2o>1ZSBos0slKKAPGtb%qbO##n8Kox+w)sF2rzu8}#WeaQ;QcH39 zY`)ELA-MLh(qnOwf-hFKJ^{>QX0$XOks4@Gwbk%$y~{MP}n(>=J#z5WA_wF?FHpA(8_GY7ytFo&(q2FyvODt^#R z%6o|4bL2EtG4dIG@es|kT6ZV>{z>S#t2t5K+^vS)+dN8@RI7u&tDQd9azeSIwEAn{ zjK;RO;a(R<^G{+_zvYT5s9-g^lz(0Vi!fMC*}L>SdW7NuAImlpiXlZ7H9fRcxLQ)H zyvF<(1Jqz&BrsN(7wac`evl1j0D0k2Gs08;$K(tj0AmGMki)x_A#Zko)bIpw6P8^4 z8ItzoW6eh0M&bUYXWzQu1vA)iWi&kOYV)iI+z<@?ny#jC^r<)q-O}Ivvd|o}W^mL| zDHeURowL)%$!>#7p8-lPU1f-)>k- zAX3b!$U4c3ze*}uZljaTf`L+B!9h#AP1IQGEY!K2+KNa3rPCu%dlY-mR!}#3G^6X? zC`ZSyRCRv822)rxu09W01+w(N{DY9QEOt}ZY`#;G^(P<2B`Dy-bLCT2e4H~&@jGwR zx)oxJMGtJW)(k$iahw6{lQPWQo21wlKJ5Oj>j%Lu@thx`$f-sRhn03R6z5Uo0WG}iEj)0tMpIBqMsNDd zgv^&ub?Xz=TA$*2^dX*s#qmyU{uYT&e8lD-_G1^u_|Ik13SbY9R%@)7PP=V4vzcyV zVJ<`?*+uzhC^ed*vkq(2CjF9;tT%S0lIV>p-^%lHNWU~`FpPh?H4JZEaC+wDz%|=* z8;f+Ausbr<| z{k_=JF?wNhh{joj9zL=s^jMR~mH5E-$w3LS_0}4y8tplwMYro8AJy!#m2hbGmDF}g zwh#~uI#v<3`t3FKtdyBy7~PC&LPhSp(QC~6b1X0^(~n&Sl&t~Xy=WjLRR7|FyGqu+ zK&pzd{AQz-q$VNea9l*4*YFoFiQ|XGQXjX^^WDB5rhZ~czC8WotMfOs@0E3F6kE4{ zXi9;U2$xt`4iVw6FWQndzOmuYT-I->9uGIWmgt~hzTUi05_pWo^A~$-Pm5`xJS@&A zQ8Uzg7oti1HU_Azm!BA(v$Kxa&C=-B%Q*$JE(F>Ht^#qrCZOB_8z?vX!-M0)r+G&6;g9SMPDm0?Qe^XCDj(2OKQX~l_+hmR-^>o^px3H zeIz(pV>v9`@w) zg+@G2)Kl6KLLg#>rEUu@{apWSwRiuD=&slM*!^NF^MN13GG6i`Bk|1TntJmB+?edT z$U_QI4p#g{%i_^Y*=tYr*VZxzP@@^#AB3(6tmFjXxeS{KUf7sC@{g zXL1fM<{xx;Rae7Kq2-Ws!_y;eA7+3l@umT17HjTaar~=>QPpQ+jy!hz@2l^Q`&bw> z%x)dB{x$Vo!0}42-{9O852b%PU3lmBYhTpl6~t8C_iB>8U4olD6mYKe=J~Q;UCB^g z>b=MJUtK>*Q_gj4slGj1SJE$9Dyn7DtEqc6Hd^irv)Slqyrr^t25zx<=S!gZfeb&@ z^4&>6`Fse@9VL?7Imm?T@)l9X-8zK(r2L-u_)_Q7E6 zV+>}@V*bAW-@VUs&wcLm-1j~2bKd8i*Xum@%|=BywW@hHE6oo&}KOS~m9&ZvJBSpcWn6~>jZwuv2M_Wx21-rm7gYL&&(n;DH z8==8JgN`!$9^C~P1m9kx^~|7-=Uln!)FkkiO7TKv;36(OJZx^BY3s(a*(2Ldok`w! zey>IM?K76p1J;$t(nIHq45P;fg3+6ldavsvYUl5AhU~rhqT?&!<54x3z$qc=#&tvM zn5k#t>zRyv-6vm*j#7OFg*R(R%+s(#*4aJm$Iair#+_y#Bdf@QW_>R0+AoRfo zN1!R+tVyp~;3I`!CJW9gLCz{e8rRdxn21k$Us&4o8e#D&seXuV?}-Ln*iT%UJb(By zvsJ@d)BBeyLtZa`cMJeV=N~W7kEp3GdJc~x=9Nv!@N$o`g*To0G9_tNt3Md6jxDrR zW%uYxQmmYw$+&$8y>8`QBJLq!D2P1D^lPz6y9%!9H{K#T$ej&^;1zCOJhh93vu;5| z3_ce2f{~tIr_)^4J4~73EES=*kuz<%*&p8yPs{Bke(~ap8Mc}cNNdp=soHy+I+|Yp zsKmXC$ERY!>3UR_7yl@8QEa*COcF{jFd;+4#Z+<0T$yxTyjsdsF&@Jk=kn~Xxnic` zzuLxBUbC6xMldg=Vd(pN8BKQM()IrSG#3^50J93DG@A_)%vK9YN zvzPMr1|~qJ_Y)08+Ik_MyhC-6#^o*MWjuC?n4bJ+eYemYYpM^TUYhpCV$_sJN$CQE zru?mN0Y6R0e1FwvJW|$TpZZeh%0F#QCHvG3?WLoVefH;6N^TQM_GI88W!WXm37ai4 zef6KMN*Ak;;}iG${&;(@f9Tf--e)nxw0oqYmIRc`r9Q>ORp@@6%=}{ajGEXLzHN!N zH9v^#5|?H@-*~PvLpU-o`LH69WFIkWvbp_z>pm&(b&3*rTo&M5q^GC}~5?6kl-!EJiot}2lqYwX`lUWPQbh_pb z45e~)I+M~nl|uWyK-G^_%Mk@2m?fYn`x%@feuWq@PnO=fSF zSgho7jPjsDRf7AU#)q$mzdVfr z19vY1OXz@5b!xb;Vp_kRQu9WC^XR*K-y_3XJw@WIT-E^>)gNxTykg-N$^7-=;mhDk z^%P29#D34fR+ABK_JLsxv)-M?f0LEY>%}XsnuaQV1qP88?0f>V-_=dv|U&Jq;#vZrt7m~oCkdN$xo#aT86xu^~F+a75hpZ*YDo+zcEj<9 z9#}2?f|pD>YA%wz3431H+%cEs`l?PDpSpmEo4+-Z?iZp{)J#Q{UuYMZC=%37kyYk+ zt>@!|E3VHz*uFFzvZEWKa+t%oadsuTOK<+Zz7{S9KroBnofczX_}-G;^TWbgq}kua zmbcVIL7ag|+n*Kva)kGqhLRdDWc?ZyLp|RGE%0x14SxVPJ>P}xpj#lwKf7(Jk+-XE z%XadG-UUh_zvRcS$W=8?Q|x1VL(m#i$3Tq2ylC_+r$jhV!0N7RWOaT|sr*FzUyD&a z^|&T8gyrU zpaCh2TKn;1$`i%%AXF#7^72VzVbtvhn-7-Q-wWuZ_arT)B+v0=C~~5!1xY^}SU-JI z9!`jCVICj^wbk@jik4uCy8K z_1~=ZLV}`a#`7+7WIguK1rJH*jj~=;W#;~$Cc)OM4@izLn6*t7q8S5nY~2adG|Xw8 z4b^HkYH>|Qm8`Jvp_t?5LQ%xnt*ip~sY-@dwFYb(nF>QKc5dKC-m?EZA#xi7^eQK- zpL(;>zI(pwbjfNkt9`;^9 zX)5kk^n2-zwDLb5V!h8?=O$5j!`8;ZREeP}cl8|$^6}c{g8hyCpjwHN6h5PcHLKgN ze$=MBU40juW<`jsNmGhC=Jk3lmT>#U_C-d`wTaqP*Sv14% z6M?V3_wU!S0E7Rg3q3x57JZOK6nQkl8#QoavHWkzYMZ65%zIib7bEzJ^j9IBs-0-O zx?x;VuimubC$)!`BD<_<-nV|P)4V4>6xHC>^bB}^yIGt@C{}*@mcz#%|6CtY^~>rF z!Dk3BYAv}nH|b{@FzOFxQ6uI2M^79!J+~PAdhIF){x#QbkqwcwC;f{VYL6?wA1a$o zPo~Dutvj9eGGiV@bgDe|B*zu2{CHR80>97I`>n`7{v)CC;kj5s5vp{$-1eb;Zg>B6TfCMHDr4h3#1!Z_M)5`(o55cdU6y?VuI=B37V#YWi0=qFh`pBj{y>YMHwvZ5wN zRZA((Ra7?pWj?XfR0h$4iXMWBdK{@exzi?D>HLQyiI7Xx-QAA#z7R5CI#4= zOsKHs8C$L5+3q-xoUK2bnq#sPEJbqiW3_r#2uZ&;{13MFO)R8CVao=zI_qGHQCEMg>)XvTlPw16v@h1=Fu!XO@N$@ z@b3CYTHhJr4b%PkUt`K!3yUUSssEzrdLA{^t~Dk-z@5JWY#efC&?82>!|Is(EG#VU zqxKS;;{B*6K?xJEa+)712XNNrYi+Uz1al*=bih&?&atI z<(0NkzFsNA5tXRM>H)&X9S&K8+Niwx@&nH{BL(MZ`@;##KC>(?FT=Q%7tuUeub8jp*loP@(uH!)SC*kC?=8O93v}n9lOgFQl4GJk!MDs+6 zPYbM)sA}}(%#T>79yMvC^W?r?LX8WWe5S4T>d}v8ul~9Hk2b2KmKaM1YOaiPy-~g9 z6fR~T4J~@+qJ5PloOl5CFJH@#+_)-)~xC*%fy+>50K=rQYZtWtXO`n5W&MYHR&b3vwDBz28rj*mja%>M!RR zs{4xv+YZ)fdLg zs?VF}`>n#*np$N>sM*p|GxKhJMFz9%q~^`&7aD81CJH!4^g>l*1aaS7^P3IPTl9GMiQlCKp>U@K@ws+`Aw*qR|!E!=cV>7dOi-<<3WH zoc_YE*oPeMsFh9Y8Q70ivIMr@0F}oKl9JQc!N!so)g})~%k_BeVu=gYg7v*H(V0>x z@^_sCYGo}U1YkL%7Ro6GCp1aCZ=q?+SIYRx7XmzR;i^}WxW2e3`)}x1@Hyle`p9}V zb0wno{MvS(``4dYbz*np8>y-zBd0zfkL2VI3k?pU1u;hci zwj-6Fx_NnPosUuLMzTV%ebFf1rB-(0lRkW=VfSRfkJ@Lrf)2%D<;JovUWjA<(*_Vl z*v>Fwln@|rBsh&6KSb{${9hOkptQRd^lPojc3K1T@eZmY-uGVc`Li>*n^RnGEkSFd3Yn<0gFk z%+l_6G8D4EP292BU9wM%>t{MuT<2O-ZhELBbr!`uIN@Av)nfllm9R=}3K$b0?+U6D zuB_jmw9bm`wNWQ{w2J!Y?Il8`f6<&oW71!MyB3@4fEsk$+oOB0+x}Z{mAuDsXJEkM z&I{hhDjbncO5*pVBmK8Dh3dSeYyzvkU?lq#oi8D)W3Rj_Y0(^cVwmA1RJ;?k< zNEb&ajR%Sh5wh>Z4(b&wL zq_u1hOuL~ehJKFVUKq#GyRAoK?)SNucYXj~AU$q>K2)HeTu+|P-j@y3f_1+W zKg)@=HmxmJKaB6ff7K~4i(+W087T=Ao=C{%=Xo=rIZ+a5yrp_x)Fqm}BVAW!f5*BA@L#%UOQkZ$>7)BB^NF2)4OxeCk3L-eF8%h(T&Ylj zbp)rcwvx-Oa>)vzgeIjalLZU9Re3M<;HcgV*2ha|UlAEg%6~@zk!EYK_r*Ha4;%L; zefOfC04t{B2(%8P*(WVeP5-11DrlTt+~qfQK8yhsX?QD?#LRnm+Td{3tTV?NJZz=j z4*o!Vk7+|JvBgq%Hu5L$$4cF@t#}0%^MCIp01{foz(nTrlE0thy!|Kt+BV5V&fevZ zj?m*GIpt84(JUv(>D4N-#w&~0{h3!@^Ata{5=^C6Q4%A0b3FB!txxi`vkq;REsv9B z(I<5kep-g{3IW}6#F7S2yt>kqllB%I0T3RHGD(h4)|8>^juIP~?q%ScJM+U&mSk6( z^2gSYZ4YjH&QU!K4Q*a1U;iN4Blc?h21WG*y<(zHn7C)=Bx}SLqfL$HZo1V8ncSjI zdazp5`X>g~<;(12%t%sBCCxp4zZIYbpPTtEK}r>1%uh-(NGBG09iz5VOK%>zid;yd zSx-FBr>1wC|MtT05xG4GTA*SYTzP+eu|B{gb9SplYDgCIaDgH` zCsb6(Wc0p9OE)z9J~x!Nw`RXL5o52RipEB^;5%w1)kG3W$JHE7E{I7(pp|nvD5=cU8fKqEQ>2Cb?SnP1+p&5x?)MBLR)LX@h>Cm z(AD`j+#uOV7~>Y7`T2Rn+`M`8zQs)lG_Dy{6BfpI@g2%a9WzJn#>>qT zMT}99Y-=`QFRwVwrHfDE7UyN%WRJ2kA>`!$^;@+XQ8*= z3(5w-bmbMaD>-5#2j%|Lo0oH7vcD1Nlf(WmdP`~eULQ}#sXfHPN}vg3OEYlzvCP{|d7^0q`INI`FU_}#ar*NFbWH<5Ba$@eTX#%hKi{;` ztOGq>!_6eqZQs^nH3%h)hNqD~EiGgAij zoowvzf}(B$JuFA}b}<^wCM4)T_Vu4nrf`mIf86tGU}<*rVdZ|UMz)*#+&?YHu;G2t z^=?A1|95OwDwG`K!4Vo-b{viU+S%7Fq?g%3ILtY5(9kZ4gp%yO7(HefF8WD{Q}H`p ze!J5ud!pGM2~DGquw8&OJCc#@vPOK7+ z3qGHRL6ag#)1ohrze#xs=^^Z(b4HoZD?%B=Ilx$>Q0dW)`^S#FLO5zN-g0FDzj5Ug z7x+xCIeEk0uiJXyAVHqt=^R~h=wJ*!<{K>kS`idZdiJlkQ51^E_^1=6WmPq1 zyD}CF@TSbYxd_0t@$G*tKxK|?Ag|=Z1X?g294XoqWPXkkSnjglG`;~k5 z7K(a;r*k&HLteZR)P~Cqt~Yp0H@A@;$aH8!_O$5yFB)$z=H;MOFvN}K&)X9Hd+48z zHOEFxPj$GICa@`{oKSJ|e&04=@mW%_IE@L3)6UleE%Y*)2vT z6xk!$6N1_K zV#2fRG@TGLYCV`jBg!jEg7LEnCeU~27COM>=jjs^>!&H1Da|5<< z-t;Cql)J!#>Mxb2At>;cM#C{O4n6S2(FFASZGvp~-`Akzy{r8^{I`v>-SJ(`!n%L! zj08Y8uEbDkTFv;vQ#bQCf!0QtcL}n``1acG)<#YaMjCNN(i)P;nNs2PluI=SrN4o&K-yokNF&2KBKdyCC==MR?Nzw5r2YMhc!5j{c^(fN0 zh*)g0v3lEevG3vzuC}w+XM``Z@0?oGHV+2aDr( zyHK>O4!MZZVj#SHgBh24TOup@e1H(eb>I9>SQcK@Y#@)m3iK`k7uTt~E)HkznA$De zDX!^kHN1w>I6C-uj8}F0cqPuj>dxR)0wzqdKUwf#s*+5Zi@=|kDXpUf2D44E?e)=f z*~%sOr>(owpGbCYFp8mDcbWL7ZDQ)}*?^~;+LW&(9Pwgz>RVY&m{wWG*+pY2Fzo7L zC?o;zpU?L$DztPxLqnVTTaTy=N3^+eFE{M^^I(2JUl`N+;^8yFL9y7~hHoAry3xqR zD#~lcec8R6$z3th!xBcy``TA7J$_aM`s+k$9<&%1Uk6V`;QdYcFb4p|USe8QV4HT~ zI$zjyIVgh*+hveSu8&j|e0RR+G)Iv}d-xsVieB&WnPMmzx~@X);Gptjp)n<7WkR^T zBoixV(3?V!yCH&cr@PLi(|==Qj0Y!JMe707a>c%f@X(A~JkH@K$rSSoFps0(sHOF4 zkzawBU;Hr+C@_O_hYq%ZvXv@fQ!_`6FQP0EFi9ZT8|YA6;h5nSaOXP6_whVF3n`2X#(cvzdt+9Lfg8BSS zPl|`GCpez-w@J3U6^!L7&tx8O)Mu!7I&r9)~H;FF60x?(*358nT~5995M!P7Sy!t0znnKFR;KFnE3P&_|HwP zSxM&Me|ho~Mix&2h6sD<^Z~R~?U^bKw$Yj#YIFwdxpz^yU$WOURyq@?!FVy?_|>&9 zFj1=-n0L91j5h~~qM@utuUWty?(qf}q0G(`prfZ=SU0psn5G=^<$w8%2Y_ghs_*HT z@I2I6gnU1-;kI2C`K>F>8^P44Fe7CaI7ESrb*O};ijmv10+y`0ZWxjNbN2xs(`oT7 zb>blVG)Wi?sq@ZYSmrnFix8Fj!7AdH2)^%b(8{9>5dQr243@K+#@PoXa8h@{bITx7 z3-mHH3~-Wk?YUo7%BV5 zAbCJtlEi(JtcEEa#iqrz=j@j?Pm}#pNsAIe7eyN-|KKN%WjcWESJ_(pDk$SWbs85o zoo__)?EXUjBcBV8WoJW^%p>og2^Gkm?el0rYT)V~pyYu|*sBF*We5|LQlG-RDwNea z)3hku-1y$~Y{^*;5eQ%IGCy=&d_G`AqR|8zK=HUYtRiHNH=uAP2R1KV-F6Uh=dU*B z2EuFt%y)vo9CKa|X{)FwRcWGf%GuQe>yqys82$wB(bI{FKUt|gnz{XMCM{+x!0Xr9 z@p}*35~zhxy&4;cWgM}B2A^PRbI=z0l!tZALT=ZOi8mQP?U7xVD7CO6G~AKlB*6T{ zi0Wd4>txLM*n^2A+mM4MCkuF7#5XHxy`4u}{`wdOuIQm~9VMOMuj&K$XnvA>TAJH# z_b=9t@5?^BIG+h!Ns;&vAVs2E^B`@heK- zM{HC;tcJ3F4SGVUu}FUcrjxvcF<`w=id)#!uu3qj^Ul$xt8VT@X@VH&gf$nUn_pItqFw0Y&d-@3=p5rCBmJ?^ z973E|y(~mT30J+<1 z$o$Ng3maqio#(Z@nC?wN&|+L5LZd)AMkSzj@c z=Q4e5Sw>$1rvmGLA4ivB>I3fNrY^?K*W=@f6E`3YJYO*N;J>mp3Up1I_!cJQ-XEDH zNY7<~2}H@vnd}XDm+cAQw4a~gxcw>v`1Eny4j3LZB=3d7 zZ;ap53?w7^BX3M^-Z86CI8AtmGQta`8$r(1GXPU&D3d}}A|7Yg){h+= zc0K=PBoS(@OKjXlaNh_i#O~emBQz#bgi`50)oI))k|GoD&A`g;h6G=_dW_$NMJe|m z{_n`$mr}%WN|8sGR$6oYm7(Y}**Mm|kL+9sYHAE13 ze|raq#)qCUgFtIDgLRDetin%-{BF9}573_mDeM$s{=k)vTYZ>U={LH%0z1>QgE}gj zhp=hXtosr*u_tK;l*91M&!wlKrgT|d|564ADcdwCXox{ zE;@+t>-=@*3fB^vtzv~lJg;MgnI{4N^nw-2o_FJXp6cdHg5+#u*Ex*XG4HIC=01Lf z0gACCJ9R}t9>id!0rKIr_+|6tXAvJf@AP5R$=z1UY$OIHX@4IaGj?&RC5hBb`l>LT zlww|+lJxCE}`M(o853?Yv~c~OVU6o=&DWXCF{O2 zjlG;R8hkV3SN}ud3t*F0;_=zO%R~&m1*EF&I{WpKYM-E)OF@R~LE#1@qCj#U*!wfU zc@hp7r2S7Y6}k6HENM2)Et$c!D!Tj5WE3;=|5}Kvb3c!UUig;OfCNHz1Lv58h)S-A zdoky5-6P@%sm&z+J?N<8bctZX)E8Fp8ZU1QVieeV6w%z#T-3^B6A22tir86dHx?E< zajeLZh2M657Xe}uTvgd`a{z0^|?p!O7qP(jhM6RPqd>D2HJu+0UDaBUxt^B69+P*ewWWvh&1nY zo^bsjTG=AVf*wBi4jnk?wUSH~m-Al37uUD4p(%9~%W~v<4^q{%lvCoDS;nF*pC%BV z>2POe`SV&pW5Gz#t*2I(sjZU8i1uG-LFtxP|K|E=cxI%URj!3Bc`uz#3YrDrf4OMy z$yBTG0Ao>7i>hW(tdH=r5=m%qf}xm?`U+@N@xRusw25<#U3YV(-Sd^GP^0ax9{rM87)Z#|0k#_sua`5D!$LrS}vs{!-22>1>C?jD_DP z+&M(ARNg8=Gp5FjQirz@S5BmJuu9t}SC9WlZ^WIeDBQ895VWbIUR^`r_fV}@IdZ_W z0a}^%%f;XqdV!o>%4_y2Ugl#E*&97as!M5P=s@T0P{=b4-TM(UIN-P{%|*$nb&);= z`Ym`)hv`#s=6@YMddC7Sy-QVl?@!w4{9A9GEwOpCm;ugY?Ld{FM)zE_l;Y`Tz#t(< zYKPSf@ZIO`$ujJ+i*@0jb&+))SQG_CvSnN=L$k`sI5p;u<6;mu+m3%NI!EvrK4$vXlcqIQ-bQ@*co3y7n2J8a$({MC7emdfEs zLYxE39r_+#{PG&`&!Lz^vI2WJA0y2HNz`U6Fxi-h`(LV$3)3i9zrUXU&3PHq)lkOG z)0@4s9-De!`G#p?J>-zcbW_s(M523x-GGJS?q-X(oV=UC+)PDbL!!;#RCAPEfYDp$`|^W zWHLqpeMI#o^y>Iu2tByo7AqS7$>1#UAOtV5vmI{ojxaPFtvg z*N7rypGUa0Kq0k;N3s`6+n%#75QcUVHSQN|z#FC=?G8WgrV7s4LQ zh81C+IwbALInmYwyf;I#!a+33 z0CYHR>LTcon9qNNi~csit+sa#WYiEB3#-mw@IDiv>~E+9RN&h+#IjaF}iUNmInm3M@ z1m@SSM_vYm(lr5R2%3@W>cx;nnGMHjM6-=XeFQDc(5 zt7=yD7aHJn@%Kp|DN`MQ>v+>WRB-$EF-1MWW!WhjC=E;HDN`ZftN+goQc%?^T2_nt z)fT|`gDRsfDN8_ zlE=d{2eO9Akk7phScP5~bShZ>$mrV!!i8aga%Y2L7fZq)Bwt8LZfFbQiwus@N|75N zzGL(&5ejoMCHm&Ej~iy`$wgLSGKpl3y7_Ea2{ zFzJH(SUbkWS|bd7Wku}fvCtb4O5<&cL^4IMu1r1bJnRnYg{KRCz74={av=LocepSJLk#SljD z;0l)Zi$wb>TdS1*tG6f^XS?3oUMP%f0167IlA5;0QvdOd$m9OqpZb5<`_2nd<8uPVY)V!w; zW#1=09#C8R*J0gC3&u`67_NqHH3ZX#+H@R|e}B;+(wsR}G5`1Y?~+m8ShZ~($m|_A zH4r}{+iM%Qk3pZnMZ(Y9hOd>&GX`awm-#(8?omIFx(+&49eT25!C&6!I4{%{GyxU! z?^3)=vI&Vgw40O^^9@`Uu%t$jFWAM7+Lo#5LdZ7%tva5ybuI3Rsz>+l4lw^7DuLNyE=?&Z51bquE`H2N8KKzv*I~MLQZZxarq}4Y3!GkP z=4r2j=Cgkby}IWz z9JviqTr+RGoI{5=tDk$^*9u0p#-HQOSAA#gtOgt7PMA4IWFMkr;_s11C3l4A)zCUc zZpnS*{^tCQG}YGd^i=G#@n9~4cq4!YRdlv^C}L0bTFs|cH|n>oogb@7#4m?T$tF5a$YD%QZ78&|Y3rOv{$M6G!RPPD+!F{#o6VGdF!|<@M|5=@+uDy)pG4MxT@n}- zufVfpPcsT^UyJ9x&E|2He-YW#F{`dtEYBLwf-Kd4gI|gpoZDD`5hXk3CJ^T2fPf3K zF0Lt?Xxw|}h*EhM!ManT^w9(q_6F*~g!YLo^0N;T8oy%&{nID^Lm;X0H&F^P`4cE+J?z9Y>5-%&g(xKmFm+ z^PT(0x$TM!3xf)no5CGdymJEpLzkTXP^mY~hsQeU{*^Ka7Px}PCQHo5VFxf(4#SPl zAYJV?#|g^EkL3GIw|me-Z5DsiLUp4O>TT9hfTWXM8bs>-M8VD`dp_{K)er2j1qARY zyFDk(25!K&7oC}hks4O$o>ckm#W2YxE|QYxORBcGmbaVXt}{J4A(Odp8B1 zr3HaEC)+RFl`$T*wxv6)5R8-$!hdk5(Sj;C;%xTz?x0X${fy?+^87Azq8DpVuaXio ztr{wV1#Ju%#5N$;$sHV=R`TcPusmG?zA&mDy{|NOWGS9-s*!>Y8NS|rqIt_Jt+Nvc z3`BtIyV^L9SiSIQ?s>^|1uZc3GQWE-F2f0??F!=Fjg-Z(sSKAKm9*yt{zwAw2sC)r zohwhJkwc`mkBG^Z?TfhM8>@_88atkfwq!rO8TQRF2MiRZ0!QPJ4>EmcsV)LI-8w^J9<}8adq?EZw^rN8yrzE71uSlVuf1veNPU;FPLm$U)tl>4y)T6B-$H!xuyJ_ z3Lq1emRoDlrPmMflSYE9_9Ck_?|*%@iFfd^063?if5KfJZ`a@KJuEs7`-GwiRrX@( z@Z-;p#|j)Rt?`yO@0~Vk&3%E>juu5B34BC6+qOEu7x*73XwLCGl=64AwNwg^P4q z$yN>xs7!>ZOz!n)(smWV^jLfI*~a%wj+D>CVj;C&tM|4GVW@C5Mi9qQ!NJ^UrF#D9 zExYUtp%$+fb8#JFBXpvqpmxn5+3w&w>mVx2l?{?YH_JPRbNBd`yzo4TXcBVCZZ+wU zI}EmZqrWS_D%udXjTgoNreEy!kn6SWU=Pt}r|gEgfcLn@heJ9wVNACgRtaqSDbdlj z>7E8)aw~t}F|?yX+u_8r4-Ei`9n&3R*0lZtD})@p*+Itm0h3SJMz;D%|1nQFXSW z&qnlAYq8MPgACCDuj~n)M}kk+Uegm_oSKuHO#G&oSFl*?VB<8oN^RG2!g%BTd=QnZ zc*t*BnZ0ZoLAsuDX%Lm0IqTq)e50@cQJ7*2?9K{$Lbg>He(Xs`xk`yjd^qWWTu;>_ z#LL2Zy;OX;RF11gAOAi}5w}HP!(r^9Z;1o1$5Z!;m2+8qBdcvgo_HUoYmf#Z-ohFgE`l*eE2?^0CWsmq-rR>n6GF%C&*pEh&Zs^ zkwy2wJ(0BGlcT^9=p(=`|5QHeL?;QZfq(khFueIJWuoI98yO#i<#60?b3IBak-ohf zMs)=GVlN&ix_x+lp`JX`Bi5IIw^JSw+8n&xyygVrK6P9l_Yb^U2V+*1?Q5FCd>!L} z=q_73(S?a5PmrkkKpFc)GZ(vf_sM%98;p_}|ABpPp?F#$ z4ahgc$?ba$Y0DgcCLH;kAqNz`{xNjKK~%6Ch50s)*+#kU=A-ay&R1mOM{YX7#P(rA z8xv=!mfZlaWN3qSAHh=4rtc!uUF0|}b2=71HQ|AKWVza*knu1~tM%CX*C0TA*oXi0G zKG7Yr#XyfwWi*H5+pB#g=b}za@pukMiZm^h#en zy%Mjgsx?ryazbE5kOR<cL;3Sy+=PVx+<$QsX* z<++ZHjvAv{uAG*-L(kiAI?x-WMvA4bhG`TMKVH?zQ%_BX!PTcPVV_`<>n|K32g{M^3N zB%MZ9zjy27XDI9}D1r+^XAdF>9~co9E;7|qlu{BsKvzi5_%Rx89ChH&$hcC0gQ-J} z1o)(ZCyA5btS$$2i$G@NlpJ^pADvxmI|L$bJB>MxSy5&&;aJ+|F3dZ7cq*GM-f1=) zajkuNE5~{ga9oy(!crL^dJy_lZi!4p7Ps~i=%u%Qv;$UGL`H@F$0T1Zq~HQFkse99 zi&tQp&ImxBjp+qdlUx-s{Y*D=Bjn)%OC|ywA8}GIa7$eF>`2Xjjimx)VZgrzBka6EZ$~CCc&jLw~4&u~WIs4}> z;~nUzQ_$7Zh3vl1Ea1+-7MkoNO!LU@Fya@jbuZ^69J%+>DC6r_*-aFA{l;xp@XD{NsJHx5{d{8lR>&#B9Qf<&wkj8`T7H~ zKU1Di`CY9?0t+#Ak8k{@Nu((8^dfX^hpcZG9RhZVEkzJw8ZrSw zyO#iW;|+%AhxLwxftrF~s`$D;|Fctjzpsj2kc?i)p)yfo!E}H|B1;~sDv1!KilRX?ik77y%13STeIWHI3MnedB$I#(jPmsa8 zZIl5vxP^3R4|v>P$+z@P@pH&~QL^=&Mk8M2-G4=hFQ2oNokP#K2Lw6RU<@R5+Uii) zBPe6(W)K^m_-hqQ=AJ~grtwmER?(JUV99;j3jZkLM*&y0oSo0Parmz;1gq%V@lQd~ z-@MQ){FxCgZ;9}6?q=3}jwV4srU99{POh^*0pjwI=(?@vZhB$m80rOWlum4XXp|&t zGo>e_3C^Sm{Mw2$zed}0NV7qI$|ZbUB`DanxvWFi2T1!z(2bW3TVLe?SfajRP{-cg zN2-fohoJSpNBbGnOoPb)7Q}#2x;#SgV6TT|>KxnC-cBzL?GH!Ppm9yZ!S z_SYgQcmDvIx{8oJf`jfnK2Ev(AJ~ZK!sH;TwUb1fU=h~4Xb|2C(4zoX5uYOtOyygt zloU58rR#|xR>5yV zTF9tB0#0LmHx`w2;;+9tp+5-HTm|d2M@?min!EboTP|<3`@F1Zi$GyQeMX`xWFJ2M z-VXjkw`?*fWhkF@g#agjtv`$!@5Uy2*d6r@?%E+#)Ju zY?6wVt6!KQWh2Br1o^7YvJPG{BemKFlj#!Iq!UX1gmvtYp}UA`kU_T6zQ^i@X}L^$ zZPBl`e^mo&ide4$BJJY~L^o=Ij6M>rY+yLIo#xH?gMiQ2;L@ENAYezo`54E<(o$>$ z++fAyyC4S!UfKa-JOZrG-TsFnIyjsluE`KL_}KnmJJiMLO{AS5fzaV6c7~@q$?o_MT#Izr9vQt;Vn(viPNh|s4SI*DONawIVVwLqDkgrtpsz*G#c_TQ(Dgt#GnzGH zPM*0O@>7kt)N-^Zihr&9#&1=wO{_zkBz-|&nz4R;7AqPlkMM~2xkBZpAh4jdj{vEq zInFhC?tAI&Dk>w>it%tXO7>g;4Dtr+{+1ySfa|_pXg{=FFI4qTeZl@93$5wdsr6TD z))xL=&TYfkkV#ljArMr%JVQHTVk9@ZVd&LsQ}O5#{4sjK&;Gj48v_Np!|TWY3_(sQ z&Ib#Q@tMqrZdVAit6XNGj@N8Z>pZ^Os0y4PTNAS;CG#tncfl2R<=A>tM(tKRbqtI4dP#N1#B5u{&4J1n6VS-i9P zs$Aub_0)JD$C{YsM~z5c4K5+J3&TeJ7+BO>_nfcd12LI4mLuuhDqO^iH#ekEc-)L| z@(jc-YOCGr_5=iXP7U+Bc1T74bCrke;CeyB+r1JL(eOY~R{Tr@qsWI1-wUbKgez{Z zgYcfh%uK;UzW}~DkO+$G9ItL$uvod`43%Swnyz{|SDWc)Fu>FmuWAFl3f6^oE|kPn z+G>~J0Z~e^`l$Lx1)x$@y7p)^ma5-(*u(JF?<0&H9PHd~CeF^@=kS-Kk5gQ2ty(x= zL=flM7k>B57SBE}D{K|mh!l3iVo&hZ3D~m~2ys(c9jxP3)rm1B_@yam?4=^pkMchpVL6S30+aho_d2r)MEk7kC z*K-V9SkH_uL=feE6!U=-g(ftbtdfWKy`kWsHx_ObY76a+0ubU3-q;2aIE?!wi0DAkv;U>Hc0?w((D&4!qiT1tv zeo9mxdpjrnv6eO`fn+DC*d_xgl+xQv7n@_v&4N#PJ)QE9ZOZ(G@Hy(puHjGm4j1cgKgQ@!>)~90RvmPsuliXksw_y$Z zhOw8tAotK-^Uxg>FZa1g=R~ORC5?HgV!ildGx=`<-yt;fuG?|@z&SiAaOOY(R4l{E zgs5+O%as3$FDler=rycAhg|0Phc2h7Ex73c)u%3qG_< z7PWt#Ckg%m1IK6|h@21kUuZf`FO6jj@vdz3zfc$al38mop1rxyCmKVA$Fd`8}MJhwGeamyAgZ__|>ONc?uMgSq7oR{Uzw%>IZFF z(F4{bdyc-(nu$2@xxy{O?mKv*7TOW%UcNX=^bB$Q4q3!2JwogCwQ&=EBa|7YRQ|!U z;s_J|iTm&^W4P=;{}j;9iYB+^yz?q7_%MY8yd4_To9|tJKkJW-6r)WX!Q#pKq}&+Z zqPKca3~jXF&PqiMNs#4Ds^FvFG$bGd3}uO~__Na3efeY9KjL1<-Zz0x2RLRS7Go-g zOXzMCvh(MIq4938EE;HJ3Re|Js4hgH#U{Wnkgz&+OvAuCk`CE_P}<5h$)=EO#JjCb zqpXu_YIBvpFLw4;tW-_LA&-vysRP+t7WsQE{C2*fDL%^x&Orc}VqzEOLabY3|S zwP~?n;AFd&oxg6*y_5~v6Jc`2Xm3Gz3f~Er_W=7$b(4DfPBQTB$F zJ)}3{rB2qiNML7p9M-!0$J_XQT0RqxWD8>K;Ino$3(sJhTt20v{ktSsFE(PbyVi!AX$HAJ8?g>AleF!-*rb1PgjGVC2E zN_{Y;(_m-=V~KWjSy_I%=K94AJ8 znHC%!lfl*e$~uKSZK;RU3+>hMeJ{uw|MmVjFV{^WJ(+1IAAk z(B#D##>HQsE*Y+UCzgRyzW>$p9Ch#T+l!H@i{Sg*2ikJo_9`l0>OBqDeq3?CFph-u zDyof5Of#D`Q}P&CaxUkrxstqr9+I1-$d9u0`{=)&O)R1Nm#Y(7ZRrcJSiG=nX%#Cw zEDR#IA5y6CY&Q7nmbr>}1gpac!2+@USBiI9gqaljO-NewXG3JktbP^0kCauX(Khnm z8d%@BQpBOb-%JW-VYK)>^D73v_kv`~%-o^m#eEl>y-gWX;=b+dK(PI4HUv$cT zW<+sM%#qXJp>@KN7%Q#e*JRHGRAfG)3X7w{jA~mU^jgI7 zhK;FQ?ebl`&5)7V;!^eX4gx%08|DhxhuX`B2MK0lw(+(yol`M*e_j-REq@M5B#FHi z(fb&g!;P?KJW2f~w~0z$*%yGl!dko{WT&0zAV3=rWe=oSw?{dF%zV%if%jYnRy|xI zC-98TI72T3s2MZRBy&Apm9AO+qjJ!Ca_&e0)$BXk>2FAXa+B=Mh2Q=3K48KR*LXN%%gDcTiW%Y>s^?-3GIf?|xMYa=ZF_A^(xwz;3=( zZgJ(rYvRjpYk17nK>xaL$J+d+?FJtf?6h0-(0XZ2nVzDa;+JO+BOi2AC7Cv}387%z z*}6>o4~+~b9t`i#Cx#&&)ZAln#vbGr%~owh=9%HMZA>8*gImmG>sM6-Rwr{Zd~h{n z=|(B^=mw4sM5S*pHRfOsGBX2~AyTouMB5%jJjwh+TYncn637U_m(iRk7}2gzkHt1u z;$Ly@)t1W}&4+;-?Ph3|XV`+BdLN*4hqtNjwNny|DQ!Ktou4ld(0W>`5+jd4gl5Bw zJ`&0Rv9w_mmw0xxhp9Outlu)TSht6}wI*ELO4vM#(e0Ow1-aFZ&~Fv6NHbg?(jfJU zhZB;QnQ!$)i+aTwm%`Jx6FoX#j0M~;jCZqpfYr8;*QrnFQ?=a!8R42^Oe&w55hT^rpF@_AuLDw6t&cPQ5;<2cAjOxv7O^Sr) zN>O~xSlo$;*VK$=Dm^TTTOIHkW@;>jTGuZoNU0c%le@qDB}l5*nfuq8sbe)2+seNF z44mqwiJ34v_H@|sk-E0)s^V|A1bq4DB~Y+4TUm^EKS5ie!9OQ^3dGGwX3lPA>W8$3 z$K@m0E-eB+$ai=~c-XrM;Or_%Ve5&bDJY0{b0=E__$?74h_Sa_2(|J6PbU0B;P42WR8Byc6ohsCy_e05CD0?cX*XF+t}<@Ab;@DL8Mgl z4>G}4nt~LkKm^#n#kCTaL92Kkg@DT0(qF`Xlu80tCtufECND6-lb*OoJ;QUZ({E&o zdZN@;+!9Vd=`PsbF}V?b8vFsO^9Ed(ErmesJf}O2tVR(r&*C{O_wCV;Jo?%$JN2UH z=TVcDdO>!G!XI(l7RQf+X)G&j24;RHaqh$D$BTxHPa4gBC~bnb-YW5Gphp~1a{~vv z)_FGrdtQJmEzP*?GP_sFhur7yy}BfKXt+4V`{;3z0RE#P?9 z!T~!A;g9ZeWWs`SJLHxt{|{T4{(h*Y+lWG;l7@!XL0)rPbEMTTibR2f?^RK)|0Zfkk)SpN8v6)k%s=NacdU==`NEZQRaN7W`Q>=$qZX8Ji=}~T9G>Ic9i}eBQgK*} zT&iwj{4qp_&^IDbTQ4^@jCZsP-+g}Uhw&>th}~Ne`(X6LLQx6W6h-lRBL9)^4^PLc zv94eH_855mrAoKT)j*qRz3SI0T@_OJpm#qjl3PENm`bWIeJiaIJ6{TP4l zUTFBscw-3WR=)j^#2}~_LdX+6USJsskbObkH}Dj z9xn{YLP|PyEt96+=X+`A+ex#*XQ$99V=fYqFpYaZuJ^Z{uo3$krx8A!VR`>->k!1) z?3CxBhe3z8P8@SQ+AMmjAzL0-IVF-B2MRD+s`0sa<9H3Zy2s1{uI6(0(nk$H%QFo# zJEC^W6Tc4JxY+GKh`;CoeA@2HJI|_pT~k;bUH!njNyeoVJuVt>s_dH8`{j;A@Cm}b zlm~CFXl*Ly-e~^nGE-ODD_kb5Yu2rE;u11Swv+MgtV*1XqHh*@sf6AcXu+1|C)j+{ z5|k@4K)WM^65D4OAn!pQ5zYl|(9P>%?}LB}ktYFHU#9uoTC|hH%A7qJ$6CM+HOe0F zUi%~C^}e0;sygA`r|-blOJ=w>cYk3TZHMLLtDE`+_G5m6FNyL%;_v(k)u6WRy_12@ zhq`w1ln-`bHI@@>ZheCBU8hWnPyy*+5GdJpL-bvZlz!VM`92o!r diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index 58f1cf6a305c356289c293cd1de162c835a2d199..50042a932f0924bb3e75b42e98fd1c36b9a6ee21 100755 GIT binary patch literal 18741 zcmZs?1yCJL&^8)^26uN)u;A|Q?iSoVNN|EX!QI{6;o$D>?r?Apdf@W@U;Vf4t@?Ji zd!Fr`?vb6@nwg$O`|{;W6#~R3clkU;K0^&NXBR66ds1dr#-EJLq#~|Xwx*;ktSqEI zIhdGPm{@)?vy!TsIlEbzn2{qE8&LGb`p%t=W2n(3z`^|0r|Pgd#d3A7`5bTW~VA~Di{ zINAtG2z^b>&Kkfl@vY(G%)=Ly4OewNrfZ!mFMegzPH~~?XFtHA`sU)Iy1x3=q^WuC zE9;f(LrpiJ!B<^hf3wEI+S>Yz*c*T4GIly5ya)rb07d-NUdco-(V0u&b=L*w$uIz% zRd!#v6-m47RC*WCRW%hj!q*D<1lVan?d>4)@>Q~>@1Y@SvxjVw5_WYK+V4fWEknxX zN9hsfS^Fn*%JbDEKS0P%gwlqqdz67JD-)OQ(GgG_8m}3f#lq3;7L^;yCba{iv2tE` zkDX%~^Q8dPf*~5W_xpV&j@^6QZ)aVf4+eh8YaghybyZp(84RCzu76i`qs_4j{Zl#4 zd@d3*TI1-cJv-4=U9(yLofV|j(x6X(nKR}}b=RW9Cvri)1^Tv|B_DO`Qv7(YyquRZ z*&esAU{ZYV{$qHk#<_f}WS_ufQmeGfpn2BYNtMs~s^4AZYJRukN{#cdLx8zn9*?I+ zTa%>2#9+7>yh6C}#9sfL*--+zwjFPCG5L_#H=nUD7i7f_o%7UCvfaDuq(j^Mu`RWRF1~(B4*J-qSj>7>G{4!*}# zpDgJ#;F|5Y9LB%yE9>!z&v^8Lh>m~JU?bgz{(iuJ7PHxxpr>)P3K%vHhw!rJK|;NW z6VWN~VIn<)`JUHP9WYSPu{Udj{!Dx0en~r4ppSqLNOWG9CTBw%4Rj)9P&iS%h{f-T zS89k)8xB%goMup~Y9!rdCgG*FxT!P4D?3mktxTptcfvMvobQw|aNg;&DSgD5GZ~vX z*LI(Fxbh9L#_CcSgZ^7YzU@X2rj<0zY63qxG+>-)Ud>cL=OLM`nVkMl z$mI5u+9O_)(u(Bag7B|Y0JC(L{q}oW_;*P#$nI>R7+$c9{b>b~Nqn zU4QK5(^2ddyQzl^3MBLS|;#o{%^7aoK zKi$|{O#0(3JHaJ~9M#gpoflB(2-;n_&50Cu&EeHY&_2}{nXv9xO}b>d>!}mZbVS)J z;uhj={4*-q2xG%*KxN~yzAhPS)8rJ57?0@|xkf0^ThVoeJeeR^B>9$tu<#GXnM#_u zfj4ma(bQ#L5>5}4WNWx;`AcNmUs^Q>_26EY9NoJ8C?U$Gydk%(kk`jfQrZu@|DK)L z+^0OUxny0hM$^rh$=FY2$$ZZ}NvCO*BYG%DeBC0BQu+xL_j~`r&6g4x-M3<-Hu|=p zO?lc2%gVRVL1>pxe?4kr!Fq15vts$`q6fMHZ2s;mKmO5()W8Mj%kTFjQ&qV{2B@AU z{}t`0p*!rbHF)f+v!BOB2g(3H@>G4K9|Nf9@T2#OCiNb7|4k{rxspsy=X{O#qdL%J znFsgD_`1A>9Zsu9sx-c*15g8ae3Rc6$rHme-_^1|CJej$9PJApnb?>Av50vuR;bZ zArJHpKk8UDG;kuw`7ZxF!IL+ooD#$D=JSmI<-CDmH1@*#`2pG&j*X>+l~iA?b!RVBq11N4izvVj1hmrIUDcIS@C{ z_RgRpp7R-Vnx7u4M)iBy7&W_t{Ge-$Z_mG3Pb61;W%+MAWQw_tUXL%O$y)Zc>|#ZC6Za6 z=vzE4&h0~HXG?*`fy11oTGj`I%aQo7Yw|moH$$L$wZb*bC68SY9ky>+qS;&rq?}tw zQat5dmFw+Wx@Xg3@7J3;w9rV^m10#>v1hZK>@&%U@vc6aiTt60x5xWuH)3c@GH(Ri zGxWrUZ>%|}sPO?aT5>hHmM!P}xpPn?Qwkp`IimZ<@)1c@kb@tJd-R|9)fIy4Xu~{> z+AVHriRKQ!aes}mnzO0Shmax|xX0PYt!Y3mc!fgq1mKE>ksWM*`)ns+^v}v|F(^BZ ze0wh1ni0NnF$$zkvQJ5ODKW)O0z{$+YsKmURh*sDzhylAOhNb4%^yv^O(DaTsJeYu zA`+Of#ZB+s#2;5-HzlaR(x=;&cnKTv8x!j_G(mfHBiqjp9+TUMpH1l7q&DcI9T|AF z@S$qH`Y}CS{~=2BQj<-FW;|CD)FBc}ON@2KYX>+~(;zcc(_HZLOx_37i@rLoZp=Q- zTfOD1=7DoE&0ZyW8b9_MYynU1nOM)j@sHTada%;A^7|Br;I_)b=u^V)k&kNReVPUL zIKiwTz|u4v=2WtDxQepjFreWkuHlZqMg|v(9pW=gU)>APDGI9JvM$1+xuV;FyiKP`1Xy?5o!22`yt zFgRBHh!(~qiF3BwZeopy#zxNKX|E?L{zpsAsLV*hM->s8XBi{kj0W%6gb^`o{`o#d zh8%u(E=HN_G}W&X4T@b4_)pc7g6-(HHF_uP9wC>!WwjPwAu@g$|I&ZN<7Q?;n_$>Y z@%-vF_}-WwM}`qgL{!(8@IEL2H^>>)4dADSEy(dYR`6G<}?DcdPfZ)aPC0Z>-L` zAlAW&+dS3dv=g392r*_=aIA{vZ(v!~8C2D5zxXgs6RS>SJ=HG*KD+njrzur6D`@Um zAO99_9=Si%jBM0bBhSU;iLu`a0b|L>;SzuP!mq9={C zD`TKg?rNq*fw9=f^RO1Nsofhw@u^BxJUx>zah#*spHI359OQOmGn))-yjHWqc3lzp z-tk$832A?hC<4}2VM(`+9Q|5E+0wEbTP1B1V!hL&Kj``L@LY5mt_8Co7yJrJd zTylRpKmQ_-;272z`msM#SH-=R?C>iilZ#s*c`{tpWD>KEkic%}He5?VjKgDWK><<@ zF>5J1xZkc3G~iglU{=-Slmej_vx?nQV7NGr7k0dMMAPcYUSr2`#dFn(!+}5jcdjq_ zHx}}IFeJS%mPlNT0ME}^6fN`psZBF_w_!J&LgVG+0Yo2CH-qUX`R$|w38@BoEKH=u z=j7Vb9HAgsJ9#|nwRD!xN?m1cT}%C6fZPNq>EI7bxLQQ;MViOwN5{07j1lXr#?J>b z75#PS+S4&i-JXfzA?!H7LsFrwwWesxtfu*`jEcii?Hwa{e!7FNf4xSKNg|ptC-X48 zb7XWC@V>ziUDVr*VmI9!M77C|*50f@{qRr{Q66Q)OGNo%N^X7%)E~!dkl;s9QA9tC zTSG8=JxiC_Mu7B4*Tp!#9nF9c9{z^#t@oP*!mEU zDD-;Si`Xwk(=R6}HV}`7(YSFfglXPH9Jl1u7Kp7;vse6K7{UZLbr*22j%liLIF8*B zIs{1i7AC5COgXQ)`2mv|?gy*>A0}S7Xwg^uqPG*?*8-LN3*;?gScyjW-i7KvBNa(@ zk(i%$LYx1Y3oCu4ZlWsBtu@8ggG8BC*fm{r0vnS1-P^Uz+Q9=;{IQJkT-94mwM%SJS zz1-F|Y{_uPdhxB{GwAwA_o z>o}a2r|M*m*QSle``+>`xcKB>xrUL-_u7esEmpoieHZK=FKav63owd)1bmU}JKB5u zMt{q3OR3AIlrZ$O@~PW-B;|j*#;04lC$Dv0I2|=25oIrMs)SAC)my6+}9`>=tfZonhl3aTm%_-sCE_MQOH`c zAgSB}EU_Rscs{Z@epHv$0N2Z1=~&c{D}ueY%64e_z0LkK@wYk4o6Fs4a$?oN8K|UQ zCzKTIo766e2=`d>PoN|j;f})l3HCohtSa_R>qQvm74ZuFo)eWCRra#u%S;d1#%A4p z1$7#n(WV|KKHJ)azH7iPa!^x|WSQF|i`IMrVmbU^pW0&Ah*%c-y4ACn+)!c^GpMOX z4fS_X##+vIxN*fY(v$iXCDbKA;on>vOHtvKCsHgZeMbWLz9G)fXJ;Eqs2l>a&|9*9 z4Cu@4C)fr(!+GT=4G?R259xoozi9Pbs@3{$PFh2BWQ=@u3tj)}mXpr#>yb?u*2Q30 z!YS5*6_kQORoiBq?=Q@F)QikCUpoJWkLFPv} zqx|n(^owL$2+h#JpZ!D_kq2kWBXN5C@OV3);EQIg2u!OdCQHv^LEP7dtCAX3xKWf~ zWE;^>68j|aPm&0BYoXXG(8JwbId>w_QY1vH7lT$n3dNi}E_AyO$q!{(Y1-90E)qOE zuZPC3xpmUbr{7x}F9sglRrdWD)f>}Zv<7a}_W#+AGRD#q;6Szbtt3=C1+&XrEfeyNh3cs0Mde-HTM+^>|nlQi* z9%5<%E8OP)G4_Ct?q&KZ%7uIP8}8d#ryh=JiIuFV63vk+|L0N-hHf@Np6C-lDL&cS zRjyH3g6LL&7M_tB@mlVURGH;;WNv_T8E*K!NTY|A0CUHzcR&>>kK)>j(cV1w-pN{c z+{TeDyIteYU3e1fuD)0(&+!#DT^i6mlxq;5&tDit{CUD*si1Iab;mCl1cMG-kI)1L z9!4|%v{P0#q3&wo8lnua-;RWM;Gyeg@QuJfw`9&qj>Yg1Yf51dIB$}?@YzDjHSFxy z+3<}KC<5sb@Fi|Jka#StiYudjQdzc+%73%>^T1=9ur!y<4*a1QUe5nrU~(fn*CjcX z6WC4*H$KqU`Q6ry0vh(g-1wRGIL;iifV?(o{5mS+%n`HOj@-6SdUpT@!J;*^*>elsC6zZ3(78`+0tDHa%i*2FhO~2#%$=TwnTV zT)14HaUdLu|A=+|>0xwGNiGPY{xH_@nhWx}?# z*WN2J5i&ElMIyKh5SAlrRT(W&q0#W%d?Sg3#HNVrrA>!=e1{$U6NKg(Hng^>({|s^ z;@TO6yU!4Xx60k%StJ7jVc_g4QCRf9q2McHa#>0#@8mLze@d~SV@?ITge?TVw{cSc z?qX)xBADOn5@wic<5|`txAzQ-v}@X{qcBYzXY~HtS30vP>@+dMT;EY(>h2CZdc@Uh zw%c<^XAmXpaeXqqg#KtF(d@9&Y35>iG5F%5#Kz5d+cHKLA6|5zM4yG!TTc*9!W`-^ zeizAUADa7J=dGLvN^bTPAx~r`|6!gp0=j>G`vA6oz9rxjwX_8H;3a?S)@6sHCq#-x zSWdoau0b8`L+lp%fji;;5#+y`csk84I#U+92kp~)eZT2S=IBQ7zSa$o5VjI#*X*Y0 z#{3P7i5#-IK7(Lo%q2FUithn;WsLO`Wb%B1w7^fmMN97o+qkua>eZC70_CFa2@dYy zuwSot0YB@uRH0a-C44Wnk}J;TU`hLnKW++d&HgEP{uH>eeF_##%QMmHjoQ-WmeO_& zASMB(%ZMtZbsBAL1C5kZz9&P%c*Q+S6D%%IyGs6t#|ZBBD{W@rp(@_~_|^flMKe$3 zz53!CgWA)`uGoP(kDIhqRHT^LY`$*KdN{NQ^qVRl<*X^(p@%SZO{JM}+o?FM%mkFi zA>I^b3GNc7L9UXzK`t5+q8N8ZlPG6}{Rk%olSn580XL#6y&>Gg%pt7(%pvUkOm9>E zt+puUG45!(A?|2~A@1i^zfKoClSCJM%_tXq-6)sa67-uXvnb^$D?R2ZD_iEN(VVn_ z|9>)m`56Bnt4F_Mjt!gYCOR(Pm~SqHGkXFPlUg&|-wWj@SsCB8=nPW!oX=DQoTeYr z@Bdsl%EQE}#=cJzv<^`a520wPnmSqM;aKlyYBr)z-S-Btre&tL7FIQPMjNaBXC{&O zQoYlJ4UbQOKbfOXjGW7@KAJL8HS$s(`x2L*Izcs|>oew|9p-*ggnJZq;P7ceQM54( z*{VeRVA==It~Dptlow3y=mQ@RsArdggP;A4x{YF z&y2|EEYt8v{itkuHvxIj%3$f3$??2dI1zPZU0njbQ(fe2!r)ZNC~*g_`k#ycV@!{Y z!!4eQ(d{#tm1nk96P$t{u~~{KRBowfLqiJn1A~kbirBn4)Ls{#nXJ1ztsg+HVGaA= zk}0bBX0F#|rw^cIw15J(8=DWe1;^8=Xim^GEgxnmZWZc7nnx32h$M`jVp(Kct2WG} zKBx5VFPIoC-kQ1hj+DoJhAV|xjL(5Nj|o==}dJs zz{+FG6OD%r)0o&-SHUb2aH7wtR%SsR4uJy%Vhuh}e}R8>ev?0J+x#GdFO;V6`fb>+ zb*M!z?HF{m$2a1N<{CXocfI26fo_|RtO{Bt&2WKkqr%BWrRx})j@_tSxAJYmcsQn!ip!VGa_-V>EZ!Ob8tA{gG|Pw_w9TX;+! z_QsZb0E2@MZ{9Y#&@%9yn|j62vwAg->XWzXnj_~-)-s+4Aa70q-j)94WE zs~z|Dlb9lCcrF)?M3^;>6eCT`Au1j2*3<2L=5G)`@CG4tsDOfEi|oS8D`UAvCL{4~ zeXnMS5NTgzly&prH(FeC2jC&DhS3l<&~F$3M{B)6qmZ-d4r|@NN*Fs07xFrSo;ji#A2*UJXmrpyAo*p@sR`QL` z6izu-O@CsTQMk1d;w&R+VWK{C#mFMR={hQ8d~n3)obV0P*?^IoiAzI83Rlc$eh$x7vIpY*E2pB(Rf5YO8?7tN3KWHH8q6A zxp6;z*#=!i-=o4_myChk2#EJ$hQ|so15eatGTXqkqqo_HaUW=S0t>{2_x-1QAP*ld zHoGAhnq@hu z8Bae3V}}2 zZY+{ZZs%)Lm+u0+J&KS_p+?|(Z=x~9ltUXO1F~_=0zT?-5}`j$^dAIc)5(W)N?VlU z4wBD*>fa<1nc@yL^E%a%1w(KB>HP=~Eyiv`mF!1vy_5v>lRv&4BGtdS)8;UYJ4pQL zpa;Ml9*^ISD|d?}Gl~DHp}&%NrmFX59%D*4j8q~{JTwcNHbEsAvZDZ5>w&RjAgmlG z4zf$q3Tpi__{=+3k>4D(GraZ|YRk{mylzrux8CB0rcG|z;BTKs7Bvg?wmb_m>71g> zKFSELSA$G0;MXOc?v2B#vbiqxNf;5&T}ea{kzs+WPa72H*djyVFXWdB3xZpQwlONn5R~AuOm=_6HSjj@>&2LWh3e&_vM- zdqO{auOqa>hASuE;rHi1-oO9U9cl_sEvgL7I>&zKY$+AcO09xqweuYm`)M>%`uw0e zKfY=w4hsnRbc(;3KpA%>dUb8kWs3%wJW~h0J4$~93j4(sZkx|MCfs)*@hk_^`U!e} z&)V3gK1E?U@r%0;CAiO^0;2{_5f<|;9#4Y(`l^Mk<|`1l?kKMa5MOR`w~@2^dpd|- z0sp#hB7#leroT_f#;g`H8*)j!;dz0y4PtLD=VNb_pC{k@-diEP*2V=y@c4{65f3S9 z{j!XPxoh_)VSwDZU7NXfUw|Y?YYoC)DZ;u4YaK{yP?lGmmV9`=6S+hbKp5?(Mkudj zQGt}e6caX+d+o#&*>186yz%kt-PRG0T9jHr=T|m?#A)WFR|s!hmlzA2g35Dqzx^A- z0$;V{)s%O<;T5VUM_FRHnT)IKwSJZe>8>0vC$2{O6dtDYckNik2QH;pNEb)Kp3H!Z zR9QVgMgEju^halU<=L_eE*ou`IWA4x3vP?x;!93eKLeAf8#IDtDT7*{VeZ@7oB7{B z5u&Bn|2(qh-@hVQNIO(<(0^TvrNwVq*f-aju~z#Zck87O+K#7M;PD01&M?O9bN9gS z1YEEapfOtwEWJ#QWAn$aUP@i^T?fop)H=ui=NU@mUwMqy_&Mb3kj|FRl+AN&aNA9` zHE6CS=mT-9a}2fio{n38l9Y24^;9u)~_iY&cGq z`=xTLvUluJxN+m9IPZs))?`WStHx zwvVZeYVd`E|IN*BZL}?atA69O^aJAUXgC=oahk)qNwwtVR9Rh5rNei`T zp$Xco{Q_86JvPO5+^ul;nUMVWu=D!A_!sy5#n2xHrjyO!6Cm5tAJHtIG0=*_kwx1ubo?5^PJ zPZP2yf)7PJIhuP6OR6gWaxgVJo;)ntvzyfi>o?okrkEOr_Fjz%yIL_N*Y?KjhRFCN z4XqY4UT(cBw%ov#doIr`s?0U@huu2I=Ym;{;%nUtGyj#sq%$|f*hfA4^=!@!lPOuc zP=E|@VzFARSKXRUdtJ{+^jh5jif)W4ttU};%{nB^5n(#N8$VF(HxpQXlC3E}E5Da_ z|1hsm&XFyt5pXc^(k=46?W-fqQ9HhR)P8Nr;M~SIM(*&N-z4t#XkQEWuI6dpb>D>) zM8JpL3fzaHY@+h?d1>@e2ip~ae%wZG|Du-R0)@Qk^1Z#8Nh%BaydvA?q`!oI2PgRr zl(qkU7@5*sUUV{2F{-$O?kc@RarKkx5Zxs4FerQd42lZ`3tcvY_xLCd!LflEa$ z?H*~LPKfLpeO{Yxo~8MuJ6FcVHnrpUF#p#7(K&mMdkih-R{q>lB3Sbt@5rR+hXzf z`qU7B?T$KEb0N^Tu%L;(SC%lKQ8IMUruY7cT^xUw3_CQY<63b7=IqYGzque)fDa$%qTgSqW^Pgcc|MU@#VFP)#-m=r->dlfz zahpxfYmF|PGwe^mlKIe_T@;*kHz8dE{ z&f&kieZ$!DePddV{;v~9+@+*UhJAFu0`2prx%Z!c6l8D8B0-VDTa4#ov@ zKC+gM-lgUfvez0M%!K*K&tIvZ?C73higV^R{@*Md7eCG4+ zt|Peqa@+y#esa~>w(|CVYx99kyv@MNsIDN?)FBu>AKUje&}_n1fB(QdDCh$6VcY`o zc4W=od+>3Fs%AZm%ckc;8)J(0o&_GSGK$*w+TMcZc(BiX?6jBN-58CkOP=4&Y+er@ z4x7zh4_wN+RSYoCecnJXub!_*H%-GO^PYy@cXhW{GS9-1BiokrOb_}?MJ6KcFn<`{ znU*^)v8Q)976d!xzsS(4w}$vY30H<`)`vB@5h;`Z6l^oImW8{S@+4BOzyC@moDkqA z#MCTj87>ZPs6$k~9dyw8b|Y7o+#yDHJoTNIM>vy~%A%OW99<2LcXqEut!+CznWE^_ z9&hhVH6I-*Ygp}1#-M0gHrl}YNN)xjaRJoVA3@1l=0UmMqZeFME687ii{dFB#kF}f zQPW(;ehM1#$UWLPQK(T{z}<{6RF1*<8ZMQEkY#8vj{h=a&o5k>W@!kUL#=$DHyWLb zA~k=w$IQdndJ!!}o!^xWT06D$G4l*sYa7~&c`?xro&;_BE{4HogMf+}6kFgxn;JTbYTdY3 z=h2-OU#Az}=mUQD!=?Ga#awWQa0V1mC9oN`ksk)AGV_A8h9msS8Q6^7=uXhco(m2Y z)`eIz4g^8ZybtDP!K@j<03~K(I4lLf0&ie|e!^aVgisC53xvIJEn9FKL;c+9(1PfdsC@0Ht%mL%BpyYw|Ear5SrR%g_Lj zY#_*Trkc|-76NDi1C*NaHJ)h~di^KNi{I#g-$)Y(at(ANx75UKWEFk|zyNJ$wyb8B z0zt7bK>C^Y-v7pqS4e9TGw)Nmc3*)+Fm|k#q4;)xFxGCBVSt*sz@L^iFhJ|TB`DyJ zKn|ES@<0&PjKHrMjQ|i@AV_XTz2&it zp}(stL*&12C&psAc9vTj=c`D!Owa|4(cRKnWJHYgmB*=y5$P$g&~Q@W^cFDDs2Jvj zp9;#{c!Cr{qc~G94efN+*uE)pYGYqw^eq-AcgTdK1!c&Kd_R?e(yPau7tWzs!)T#2 zk$`LQ@_8-~Z(XGPHCuT?h@I9TUF&Gi1INVDlz5AYKqt<7z2W}PvBYY{j2a^L3zoJc zSeY_$t{yWsz9omFDN*OcRCU}?E}2)Zk5f*h4ASYqJZ=T5$Tf{KB4l=f*bcqtN3-0J zFm+xKDkqWun3hbK&|lx#I*jn`DItJtgQ%8o8(!Tebv|)XeKH0b-Qj6el(28!okikH zbDB!H^fd~1k%!!{|1Aycv+VwGMdR*Q48uCJQ`8lQ-rw85dbM+_zMRq#wT@N8axfT) z37wy6I-Rj;78~Aq{5qd?mZPUxUQSviWq2Wkc_h#4uBIP?EbyWK55$-hNl)tGt(={Y z8H*+z1s9#m`26^O6#U?!Lr8RX(})|(5i*S~%b$(iVfD#eY>8{;Z;(+#5vK;T*-UV@ zUUGxH=Dgo{H9Ha|S6FxlS`9GFd5MdTg^m?FenH`^PlTYV#^xvEDSH)^nMu6Jmet9k zq{^qeP2~Je9ZsH-l9}B1NR(ruvj3(`o=ALt2aETZILOfeDKk2EN(y&OO3MoF*P1I~ z#p|s(L@Rsbj{In|`iRvXuoK>_9Zu5;GU6d;K1Oy(l*ieP++KG>YcJ|xmSlw0mh_$$ zOC;Bj83(XK{%)0~<-alTR08Fh&H`~c&EOtg2RI9hps3Lq+_V>xiVCUoWqi%T#PJtn zP_3~tLZ%X`CBkY=nIDGxw;6r$*vUE0-oqAwEMVpb$u5ZriG@X|=ynVv|F^NBx^~X6 zFw>h>hr`qU#!RWkTW`Lr=_EM;tw@s&X7vgJOtX}{qh$Mm?orbwwcTb>{(ut+xzM6_ zk0*wQ^*RS`U~7bk zC8l5{AC6$?PPQ(2_n~Hpl3QH%h)i9E3)~??m26P>EnR~eblSa`MudJyYRqV{HI9rz zkjHb9)y=RPI|nz$D5D!13%0d4aO_(5>?+6?Z{DcPZ*9M2e=Dd}LKf8duxpeoe3j%Q zNWm9DpU{FPH7=!$qoSn85H6~F`g{{oOWG8<@k zy!C*mr+dcTaIW{9*8ZNG!Bs@Q-HrR-_TB|FOU|#;UFW^-oSI3|S#1p@rO-@B0t_+_EJkMcjWF+WlCxv0H8PX1Eo5Xvs`o9~Wr1 zbIdJ@kNz05Sf9C0U%C!6mX$85Gg-gw#qP=9hnI4(Em{I0E;S#ueuqsQ4Nr*l#wf84 z3`1q-SX&VLMnD6@Vs~1X=VN@*h(7LEvC}``D62|Y*tmpDr^~fvr^{Q(wNf_oGCsAV zHQb(coaM(gKGdwq>&rWK@1^cy3*NgJ-Zt&y4QqhbBWjZBS{4gZ##o$D<`*t~r;6BS zQ%z}V6ogtVcyG`s(vM6I9ytRd3qQ~!i$H4wN=sz0S)&*h=84R;^2m~YT|U%;g7f}P zTp_@V8!EXxLKjWd|5PiIaKjC0VnKyhTNQ#=OACZwDA5^6zK2)CQ-X%SWu#T&7X}+U z6{%YjYU78Lvk4?%nS$p?&r_gXv(1u_sxEXiR_E}3j8tdmO5Lj$N6)oVmUb|HtM`>o z9m*|bM2a|@Bkf4m04>v_8)@SnnJ6JJd>dP&5?*3Lj&I_k1-u06SSrWGf`yfVC}Vx^ zxtS*@W8v?)ZWkyK;CfP$6zJzxu90DJVTJ|W@3L7Ke<#k6tS`EA?{zR2gt~<5Jp)Am zTvGdcq$FN}JZt&61E&bqL+?MMr-F|BWcHJK4$8(g%hCpt)=aY+0|R!k{5g!&l$r#g zPz^-Pp7Vb!ymKw63_aZ*MwniveCgXBd(2vK?@O=#$DWX2%rF#m<3X4EMl}CT8$F{G zr9C(egGwa!i{O3rsWnnHp`C()o4f*>W+iU+iFHhs4u4tDIu{Z4wDbud$eB1pAcv)} zx#@ql$h$%vSwj(5P*XS?GS9Ycr4FkL9UuHQtL6|6?X~v%F)wi3#g^Eli-wk3vU-qSd?9E9^U*oley#_p`kVz2#V1BfdysuBLhGdip1{a!-lM*s>2{^r6-5X(~25@SgtGZ5L}efetH{ z;G71TZ{_oibdgy96vsJ>gjV zP>7Hq2bT!<6rYepe~}O`)fPWcF8>KXdONUxDK~vdkejqEZphAGEi}z-{oYap`-ve}e^oWs7x^BQhC?cXrg)@_yI?v% zT*;SPDcq)Z_6_!#vvgd8I#1It74cIEE2_QMrI|*H15Xj*0kgA5D-nzvnH>D;!-|k8 z9z5pGzicgk8B5Jhg6AQfroT)ja6$TCSGiQc=u{o0?^Z8ei@D@-fh^Y)VbZSYIE>{1*qWpzc$jaNc!H~594d?f?ih}uIG z@-!5`iO}}WUlAk1d8xZEb1*__wS<1zcL0UTNUK)}qdXGa!wDV;2_BFN9>584eP#_M zicJ@5zsKaQp7=bJ@HCWf3gz@xH0JXHi4tFh^7W!{520``q5R1wan2-h<|BQo&@$J% z#HsH?(S{tUn+g10!5wg>v!1E9+T`Z3lUS{;g~4Ow3i=(ldetln_x>Yl^HluemYfl` zk5!;^jqZTmV88}!ZEzggxrOp4f#mklcjU8c_<=;YtWZ>W zy3y4FeY@up%{i6Cxs~MB^8ZvRDmPOXG31v`ryNld*a0V@#N`;6p>~bfCJiJMjug(& z&~}|kEG$TilLMVx(%B-!)0mqCn?MBas_)-38|V+e1oO?JJPZ2I?2XQAu)}WN z4PkU1WzwQ`O>KYAJP`j4xf?!iTobqa65OdE(!Ocq=>Q!x4S8G5f0>A=fEbG$D~}vi ziP9SS#sPqKa2IM^pZGZRr>vE+CxI>GArmx`w6s>}tFksgi$dnBs905tAACQ3R{q;i zd(61(cO1)lFPlb3oxF!^#Ry$QDd@>!+n@8~B&8sJiqTexko)LF%0Aqofh1RL>$*#A zjbq=&A*mVbCSQ#&QwD7h^uKDmKA49HBl!sCy|fEkZ#ZdLbx>iG&i5#LtbSKkF{eWqewe_GxK zIiVG5t*eyvc25UG=-Vl9d$|AY3mRF4t1Ysil#wW?`zD^DsaMX^t_ zznijjeL!iS#&dV-+_M@)gVGpM*I<7I^FZpY16i<_7e2d4p~b>)H{(<8g));IGE?}` zfpcTPwC7_m@EUMEaPirCSbay_AjzZrCQQG=Z+6dy#PPX^4^OJ?S<~#`ZI%F?A6XT$*Ph4T`$w&!bWhM(u zFODHEn3A+E(SP8fIE&`$*rK=!p=-wy87lUx&Z!PJJFMqVA_&dFv<*WJCY<8)IkK^I z8}r8(w+5x=s@M;I8K1SE9LxLnz(1ahrKprXWAVlEn`=Ilk%E!rf&b7K)NE{iJXB;q z@a829L87te=JKMbfo=#8ZgT(7E$C<(p48HIQNOuZUnV~CPchLyNPG%|0b11Tkr-~$ z^x=KvF!Qk=f(L4Xre9GFcXWER&=nfY=4vdXN$Qs>j8?}WB^-*u9H7wvzrUkBKr)WwCRZaFbKT0 z5~7C;FFw`@C7#S<;v!8KHT*5aCT{5Z$+o`)-5vhhW$&k$>V7B6J(&-uv6@3*JtN^! zvwHe~9!S{~OirCU;24Dl4+M{rMd!%4Ot{VMxpxJnrckCA6MQMNA0r?VVdDpU9XJL# z5E`@T`Vx>Hx%X&YEJi&;q8g*QdX%=UaWl6M7RfdoGr8&O5L_dTW;>m{bME(P(S z!eyW$)sLZYFQT-~p|p)b%;w*s3DF~4F*r7fh8@jFg5y4}MFn8s98xRokmalOt}?&9 zr5Mf)L)@5M(?I@Qep2`OAvEC!X%b$C-J|G*mUBZcfDlA;BXAt*y@k@Ygwi&J^8AzAJA&mq;sxPU$!#V5+)cXh8mL49 zC!&uR)cynRp_g&*$3X3@cR-#*6S1eh==&1mw-z!G%X*x z2{3rYb6lLfc46A{WZJ_K>e(x|K=VBf-Pl=lDZsg*W7?x;+B0X`TQ(SQ=nUKTL<8KB z`(BB^^+rB@KBgh%tMZD}9Lebl)9ngde+${T#BvVBaVo)aO2KjBM9og+C$e!`)KB=> z2+Ha#xf-~vUMkNOSNrR&W zkKM+W<2sa%`s_R<|A1YZvpWt&s_;1#Zwn0bNB&iCl`T#YapuO`A2YoS3mbXGv=1<+ zU?&iQsD`2CVl4SKQMjQ|Wic$5y0PJ=NXkhHp8WM=%qJi(!YD)ekl=8k)8l6j7>-Lcf5|C@yx@1#(q1vgni8Am zjAj1k`2I_Gn{Vz|&D7dtZc2ITk%z_A^yxs{C}XkOuA61fBUnyc?XGsDe`MogLCKt@dID=-zAVV;aa zfH+yVoP=%413S#h21)v^F$Q5ziKbtUM)W~QXBbfM^cr|B=~3M8ir~5+!iui%5@BIQ z@#$L+SfKI0#_$IaJfqDg!29yg2c+^gU((5by?=rvARU0LY&8JY~bMAHiMIBxEZ( z-Bso#7s~YbqE=7U>oaHN*L;ysdok36y`9l$sr)$i{!Yao`TBy*bShY9;WRyG#?LQ{ z{pkA0a5?@S%KLG?9(&N3GF^;>RAYsVTw^HkbB&N22-R5iWT*NJt?Ylxj^Rx)@l-x~ zL`!U;3-I z`9UC!M*=OmN8jt}o=+sTlwZ0Lw0HVYR5T>%#|1N6ZA0 zNWz?}cILg7$aJNaV*lRZoZQ0&*iW3C2Y~&!%n5N(REP0$0SNalMH3{s69Nsm!{s%l z{I7`rPXPG`2KcJ#t&YH~MS!{a4CMJc@M+?60df-WYfM(*!@8GaY<1OLI*01^R@m}9 z)Vx4cd{%wwo_}dn)4fqKdkg&VxE$=Dq`Vu0j5( zenr|#EVOaZRvWm#wb1CdiJMEbU9(^x^Zi56`&QY{cN*i^FzVhX>&`Ul{swh;<*pe2 z&NAxWj5^oY@d4DmMe;r0;N#fgs^i%4HpzFP!S`C!y;;`1z^Ge>I+qXZ>#n*lIb<>q z7o*P1rB_*Kp8?Ix;inlmwgL0-z0&^mn*G#ui39Jl`&!^#F|3O3%PROTMx851wxG_{ z)_?EdqhGH%fI1sbO$%?gxezP3lV z5ZRN3nx2}TUZxMbdypZGG5 z3_nQJ;DL%J{xFM1-+SHFy)z5!5<02s>3UzidiSfb**$C!V{FsUTR(>vtAUY8C4Ehp zzA$r!pU!fvNi}TW^|+UFX|Z~9d&j!9?T@u4*0n#;jN9m$U%+WGto7!ED=D!;S4Beu zvc4I=ngV`}H{|D9IY$+KTF>@_>4E~L%lcxfT83?z!u0wUcVPkNh8uF`uB&UN(J!9& z7VxaSE>EteeeP&EZXC1C!w>>_2Alp@Bup5uGTSi@>I z4DeU3z1;;bHUS3NCE|_v6!$n%*(w+VNYo?q8Zpq=NEo=mve9e)3ZddEs{>$ji z*H01fVpCus5Hq^B)o~AN9KzTn)&{@c*j;@VH6J%0(02UnYyQpX0w4Z?eEu5d;xFiYB!Hj5e73xsxp$z1iG~*-}nc-rHf6= zF^Yf{#L!7!J$@d5lVG523}GaNQv@AF(7(C{A3zmul1?PS5|#kez~g(-xp631rs@a} zQ>mx8BdAi0Jll2%!7|$2jOBPi=B1KIpsb!w*w0>@x&vch`i622^OJBmm9u3qzjwKz z6~(QDftrQBiApWH(@$EdJkWz@EE#@M{n1mnPg;cAByu2)D;(@9ghwGJP`G@(&FsJ> zDN%*Mj+xAtG|#wDp{p(&PiXhgxiR3`Jk!>VLh$U*oeq(cz)c^K$Otgv*1p_SqY#n zZC(%cBsM}3+RxbB%OHTB!F0mfOYgsnvdgL#?;#K}pGP;9N6O!6!KE=U0#P6-WiJd$ zJo2cb0`uMG`pFoI(r!>WI91D_XaXgueX|I?RC#Iv${;h|)1lb}NM%lh7zhZ-55t|} zD@4tVP=|Z)p-WYWxHCeW1cZz|DD}Fj$+PZ`Fer`0q^w*L8d}Kbt_Xw8Kw3?Q>p%#Y z5dk{D!BVB91$h=CW)tGdvFdK@Lo!`#?m*@8grg)$&GzoEP?xCchnpu-#2q%bq95Y( zq0@SLS`WKFiZzhVR*rr90Rp8Q;awxD;@Ay_vXwB`vhU|33S~n7hDb%Fl}~p5iDjHs z6jI%Y5fUd;dX*e{<|=;4>KsRu??Jo>4~f(iQpEb`9`ziqNP7p0hFC?AzO5CHZb6Ln zKQ(t(a7WGf%SBwgh7m`7b=8;XL8%H|)WQ;qT!yoaFt4dRymKhLr4PB|@H}1W5JQbP zO_n*)w&7p7f|fycibmW=lyBUGq%s&ta=57lbrDxHgxOtHTZdmM825V+1*-36p?o&s zhNwtZ+hlVsxLFFgk#SEn;bPzn%};VyG$-P}06G=EsvTR_Aa~4b;+|}Q>!h!8xKrKk z2`vTkWA^uwzlU?NAlRap}>McYVV zGqGd$VcbyyffDYHyaC8eyRiD!J@^#J#K>R)Ie75wz1Y!q8ei|KYA$MOZZ0a57nbB! zZ>#CmpJyLaZ{=-mZCx-}j#Ihq&5S}lHOUhGKI#qX0r*c_LtOh_yb9K_!{t~Xv>`38>Ij}aFD8|>to4e)i(H>WZyUX?ev;RLi zGZ8&`1OYXRU6GWKFX9xc&=kwItDNJW!RT@*3k=(BmxdPy`GQaOWf}$91C<|O<1pHq zJ9XNIJ=qx&;J^6`;})>D>%-f0Bu47+h+jv_yp7X-^MiRE3VV1oSgBqfOaAfA+(stJ z_6Ikq%LUXS?FWg-*QcZp1{2<}f$9`Az!BanXe>kl3z`mkC}aoJ$`*x4bS1Gl`pIt3 zj7+zMdCi11;y(x^3B&3>MSV<_D&8EcPa{W-shKmME{ zkr-LiaQ&;fT}&49(rv)a7;ePKIP?8(tuVR9RF~?^?3R_*I4i}gLkwNM~9fX zcoLujx4BuY3ocG}N{=6H6NpALax$ZEGLkbyWfXZ2&L)#VTjVXfv${<`DQ(h*1n)9l z`*TpmtqAVEYY#$lKWE8x&uwJzm|1i`kCW_a{o|Q^A83;Eb;MY^?Q4xwr2W)^f}_tW)o}{FXkR@u^!@{Lp-3 zSQ`5I6So(?cz_z82l>b0IRPlqWgZ`fTit?-(V2SE@6J~y8s-axp-(2aaSmyBPw}ai z8sUeJ%XMcjb|zoTViCSPeh=z}>ct!UV{Aj%)L%|Rz@TL)S06(u%+_+x&lforpY%`c zSAtK&cY>1_^0$NLi5!6JGUY#f!K&o;L2iYLOPQe}!>lA-vd%);EHSBbQ0i z<#nxltGqoK+Rr-Fy*}}qYOeXzND(~{op6`Z*@fZM7O8AkcylVBWh>4irYbMA8mThN zZYXA%DG{>H=F{hk@RS&33dq+cKPRU=s^mDWEt$pMA8`q^C3=sZPcBBn9$r;dTxXz*b>XMxig`(sc1uOO&~ zfg&0R`?{^f?mc!Lc$A^1%R?&;o#B&Iy)cMS5%({Py#wV*SoL>{Iu@k6a zV@s4`)W)CilZ(OloJ+$_tZG6}p+Y6=3)JaIWZi}Qup)dvD?2Wq%W zv&~fVA%0P_x{6hcmMWX5{6({hN0EhsxzR@A$|Pl3<+jmsJm4q;@vGceHpDLi{*}I3 zU2*+g8GTjgOYDh_uqZ>H+JaAJU!e^q1@X|6-;NoNGC?z@sKbtT&FB4-XoWD4j>UNE zy+2P@JB>FlVNd|j33iQw=X+R?K$7@Y!1wq(?k3GrUHRL0iwN_D@8+A=TgP=k(e-!A zMXCBQlszZmZEGc-2|!$wGOh*2*8~_+KwTv_G?Upxoh)}wZx<{faTPm}`I-LIU7%O9 z%S?Mf@sc$j4xCL|BD%W$V&wX^RRRpXPaeFA#{3s%Hk&A-=5i+XX4|js#!)}J3}6h- z(^nJwrQPz^z3Ivq<3#OOkj-074oSRW0%NaEwb((dx%gg7r{rgz?C&MY*OT#EN~qUfPL<|mjkS5TUxKt`QWoz+phjh@ABRJ1^(In zgFDeT^|zeg@jsArb3EseI?9}m?fC0?RFZ_j8~91`?traNl&t}V(-Rn_A99kwkCfi{ zw_*t&xDCl`$Le7UZ7sK}aKnO-pyOCNn-B}m$Ox&VD5$BZJp(G-0yO$yFzu>f!@^no zsDE*{csN$<`ROV2HqK(qD7?BH8m7BLBdBAV9_^MC~6TYSZU_X~pVk;b_@eS^HGgP1?j%ZBGyR(T-6$D&59Lhwnwge~0L> zZy2@*8y>nk7ipCZ2qo9P*V@yZL0uLCs5u_mHr<8FtWSJqDVuDQ(o&Ek^6!HmBZx4M zIvCFwrzdYr0wCwG*_J71%eo++L$HxTqtVEP88^Q?)qV=gW zWVNcSnsJo0UiRS#W?c7C4I|T&@qH{EIVjAGO5dW8UO))_1BQL$j9Slk0#r>vk2*pW z&J64%k{8YX?}m2LHQngr(0!Hiu)%1jZ@P9=pr`+7zA4;D^+BY9W$JYr`?xFx<8Qvh zc7B-lH_VNUr4ePjQ{y>&>$0E*XO@6!Rc>)9O0*NpqW>oS5e;*s|KhpP>859>{OM2eKbj zp;>QV8nQ%4WZ6_$*~)UY7hqN*fi#U2Q=!&#DDBigMXyy|x~My0W1O;2M= zROrf7k^-(!^~CM{B8)4&us2MZf`Uy%m-w!^Aip`km~HaGg=6AZoAF9To~r!?J(Zt+ z_={r1ng)aFMCK&WR;|q+ht@`ly^w=#!O|}*HYZ2(uL(*EHU@fi=hQVbLe+#VSJ**j z;XtjPWEqoUZZ1b2+!`|%WpS|gvW)k>9kQ+{VlQJOjLrO<)7pw1hFz%q4y z!>}_BEC1SXFgjsbDJmrG6-JEye56chTtnrn!^84kqUZ z$9w;cUGEzNo?)L*lugOw>15@zw{eTvIJ+dQnY*Q=c&zSAcd$6SEAD%1#xFEk=WpH~ zO-~a`91bz~f9|md+mW5d-LxgucP(}rkWAbd2!02Hi5uJZ$(h2J>=41pq+P7vdz<@m zt$bHQG{a#ou@j%QhzYx7>saGm)wfL>pCeC$q^{xKQ+&q0p=@@rNLHKZgi9^dyp=Xe z?&|Z!H?=7;y9Njuef?`}Az^G*ux`yXHH?p?{bRO@=vy#P6uJ1;Z|%}n?5-M$XeCU~Q;EX1wiyX@fMQLr{fTP|&5E?c#Dl(K6Y62flH#~o zoK}M5ieHJfA1%)Z1WS>P@i3rIZ33V6$Jw$;TrJ1prxU{2BKUbP))uiW$I?<<-5_T7 zodqx|zr6#hxmXy&s_8c$pxV2r)3I(aQ^#xb!D=K_h>^hk2iZAO7y(m@6~b)sM4!`t z`Z(Bqi384@)Uq#})QWxw)$d@sVD84Vr{>^DhVR991)dQ>CQRYH^I$JN>+NT#Wk=&`rG6VViI#dzLqtDzRMn9!P3U%9$b=bCZfg)?Z$DPk1BFm;ma zPc@p1Xi1B0iSwrm8H?Iuc1>cV#U-qo*uxh3Z^G+=2y{xb;BB!2bdurgn=Eunp1(S; z8CsxhH1xmJK#PGD`S6_vkvHKPY3?z^25yW$ehBEZ!S}*59n;&;u+Yai`$lAwQtZ`4 zMDQqCe>;g`JDDIRqmFL#$bbEi8w#;!IvPbrUGQjS7?GV%2+ft9DDfORC)>x+ z#dk11bQiaEYWn+2GtE8*sZn=747}6C8h4V5k57<*L@Fn@H*x6K5EH6_p&|x;&w=Qz z6^CBeQ{<%}cs_4Q75SMmpBttf&x`|$ddSZn5gitkzd%FiU=vTd$jkz%;6WS z*3z^AsD^N4L3D7qqW{#oTppk* z3gsdlg20_aWj(`LRND&<6R5p9@8U_-D%j8N#c&Xc1oJ{3kvki~C26gEerrY}q!jf1 zxYb$$jO1Kq$uOo;@R_*e40daLI6Mp%_c&@C-Vy931*d&e-otjb34BvkCnoE(X=|9X zxMF(2uD|etTW7AYEMh@HKcI~&kyv!#kZ=`#ahi+I?&LCyd{Z$H{+t3j1PFML-<(V@B|KY&Hdk-fItZIz9KJcp|Keh}6U!C)i6%9gr_PNRXu^>IC`1QK znPl_>P(#Sf-W`_<^`Ilz>pP7t$!$HrqcH4_!WH%29MDhdyWgqWKxZ1@95b2(`xhPV zI_$2oZ1us%(@mD{Us*Awb;F>7nJQ7(!G-0bAst4|e{*H+A#=7PY=czwfst|;;!SQQ z>qrY8W)$_Px;nvWd0f@iCYKO?BS+te5)_;kms4$RG8$COp_hQw7_MQB16qcDwPej| zm(%9K5P=oL>aSLcQW5Lo+~T_Qz_k%mSWCJL>e9t;!cqMQ8ok2cVjqqc{H>>N3QmxypW z3s`bLRve-_hOfpxhHt_?UMT3lohph}n8ML!p2D$ao+=xz-p`W4Dad4PG0bFLG0aqo ztsLe_WfA2vvmfL%s~zMlA$*Q?V=#$!oZ5+Wn9`4OknN;Fxz--TKFsXJ*w5_6+|Tr! z{JGVd#5~3oL_5S4L_fs!7%AJ~L~WGh^g}J$=|{#qSGM2d5X*Jjy~*AtIA;J9HLX3D z%g0pO-x6(a4O-pQBgeBjeuwGDzXu){_A;2UN^*CxS*SMJ`|(KoVHKnGoQ*&4678lu zOlWtdiSRiyM$00k);!18s6=G6cXfw(L=y-17nJ5i)5IZ$WgFuS9W0qUCHe^p zhD{wUY3vHHRW$Gh-HY}K3P$%F-jwG=nSnRmju{@$n^eOTYj@J?bel7n zL5#Z$PW)ko!%!=%PSemW9_PsE=x_NUjmJe1%$_(;JH`80Y?jA-&lojaHr!Qy7`rJE z7P#EQ6cbv-cBDZVF&meYb0!}e;lYxVXF!5WO~N&6VRs3!xo&`zQudgxsGtq9!-~? z-ETTj{p_ZrAd-(#FY%3>#Bt^4E*`;jmZjN+!~NM1#Hn+G6{~5_bQtY4qaWosv*W~V z{yD_3F4(CMMVqmcY5dRF+MwD&)Z`B0)r7T3)`IfIzdzv?(mewnvXu{E`-~%RP&0{0 z5>?gt>EFKVo_*R;zueBV3ex5F{a-Sxz-jF^c0<{58b~5n>*i~LV1d}p0u@`_(QZ^vbRNg~!zF zK9*Rsx?i=(yJ8sqJIjcv_aX&@2#KmI)HaTAJbQj3lu`!NMa_%bddTf9?1P5v>;lEh z+oJN|C-*RC9XR)^o#p}sC*~@*&XX>pF27_Ad#{O7M)T(WvX<*TEZn>haG8J#5FcV3 z#o|rr&b6$(o|O4uOT~;X*Schx+F)?vdUGdT!0x~Ydnww`4`}RcMVpr8ouwblOM$Zc z_k)gaMA~p-S6bO7Q0)t9jAJ?dNO9#i&jLkuNMFFeQ~lX{(*~?+Qg@SNhEZlhEo8hc z8YQjMh?}Y%$n|WZ{c}{1xnw7z|M?PArwHKJ)MM~R;boB8uW8@8&~MlDh9k^` zx_Ffi|82{}56Tecag)9LNZ5F*~h?3Ahz&ZnqSV8`k?=4B=iz*2oUhRB`l4nWc>?*gFBGL(uMr z6e6!!4o&qF;Ll$Gcf3+fU4O5hX^ps`vM3&lc+OQ`g3kpeK{|G;E(7iopZL%tMBVDx zmgtO)hHNLC$UVw9-V7rA%orpD!&m2#57MU&_G&YZ47J^UzjJAD zJAZ?Za&Nq`zsz&9|EzdiirW^dQsvKBCrB^(*oafz0 z(+zvrS>Azns8(^8Or=*b)-F#de;5)z&6=CzpcU3hsP=_6?7zTi>Nq_=LA~--#BJKK ziU_pV$ne^Gs4YKt_PR}z+5*N6O|#y<5+Cdivs!*tAKWeB9d3jh(Y;@H6XZN{4ly+Q zz>BF-)7=g@T)){HtW>^37}}$nW9{9CmuDd0#Da#mgvo#XRyhiJVlMZ_8lx<~BcRHu z90LfqN+tV+-&vFcb&|fsleR(TJVi-f>5Lw~<7wYj*%@T%?O7O?M5y z61*Q{-7p^xrffrV5vj1qs(1mLd;SuN2ue2RRG|8Jk?_~G{rV)^`G6Y9=Y0Y_+o#_n zB1X1K+Q=#IJmNy(Ou4Q-kyCwWr+?c=|KN}E4bH!=Kheg@2a??sxj6deR1s=JDva=p&zPFh8VcuA!#qd)hJHm#Mei2m6?VkI|3F zhpp$*81cz`WBK0cYKGmPPoZ8;fTn&1ejA~DmnKAc@Yzh&BCp05+lH$S%opxqB3?M= z*A3=Yg6~#Qt|aDuX0xh+PlQqIh->fkxN@O6wdN(%?Sd)%lf&7EanlGphuD+YK8S~d zk#@*lLwC5|oD3|47vLAM8~jm7hfdOmU4M{_rfx4JlVmn8?09|Y&%~6|eNL|(qO*F! zGCI)0o#qHr0Oh#&H9+z*O>mK36-=(T2S&fIW4HF9 zIOiTQI8M`9=qhQmq)=ZZ54)s=1$%$==sbOXex=iHyT8%(`Puk!j^)az-tKF2y_W59 zzWijfu=1GNbykxrqWc>kd@t0+jCS{Y`SNeQ)%cN;y~ADjZiFe_=D6!;SHs^5sME#} zI#wD90;w?D&9B7Ik4RZ)?tJ$CXS5awa%aeKsEq6FME;~^;l1r=i>{s2HF%=zesAQq zKod#H7hi#Y|Dkj#!Fkt%8p{6kxJCO1?OP^uaGrCx%lKT9oH>3@Kb95wcHL*^n^19| zzimyX#?x4lL#Q%HUU%+g{p0&<6poFDXC6J(yonu;i;61+*E7*Cxf>}PQGU$zKS=}K zTt)oKr&7Romc?($li^YddRN1F7SZk4;1+U#DnTsWRPt(abG>8L^lc+R_T<}yZ>v7ET{{PglV?;h`30eK-t zcB9?H{nI5dcTcm;UkOd!_SY~c4{NS=>);Tw420*ULFD1+S z!WZdoNPYPOgXr|oL4u%)+xhI`Gw$V6W(y4c&?UkziyH@&jFQ`ddO9NK9>-Nd*NtiL zVlcMFDZ6>KWGbxOB{;q8-MP#!fMJ6}HW5No!x|#g-x``F6`!{9D}nc&WlADq49m(CBT_LkfZl7`qxtcD^X0T< z_(am+e0MS0HloV2lvb70+Zard6^zOURT)qBFH;GfS0{jP`k@0?!wW9PZIWC%&)vnu zoI6FU7Ju{Y@nal5-nZcy>8kOE{$zPQ@vH+VHwv?aCXGwK=we`Cc}i=cdR@^Cgg!2$ zpM321vDs&T-9!4_0g;mL%-^UJ{wQ>giJP*vCKB7u| zL`%p&(?3sduX_gVJTL4x`1!wh9zDHj?YeJXk^uFYx2fOJVsk||+o$XOI0rxB)#K&R zv-~mA^tBc5aSmVD!7F9Q$4dn{+jr-S00Ix!EN-`#0sWUHjVe#6v2y9&pWLz-Us`;h zseqExaX`-3<&xQFBPLPKM>_ECMV7;_{m$pf?d84+%kw`x(+!`(o4$I$wW|N<_&LG8<6L-^%*61h_%Z>53nrg?u9Cf4>U`?xXBeQZ|C?5?%@ zwB_zTXp1fr7qQk;E)yq<-e5iAYo2dYmx-<}GX|S^`7f8=_c9)*-7xNgFyp&bwX{## zE2V~ljS&*Q3V(DXw1o*hAYnVsYR3`l^z#Q2s}0a<9B8&jP$8$~Y$!HD2741N{6?oc zw0n1yGRaKCHwy-f`XtFoD%y$Kt_9oEE>c}HOp0=C2udsXSyFs&R^D0!K_DcNq;f_p za^l_)G;`HV7W5?~X(xo$B1fOBmQyZT+PP5#eQ6^(GgSofT1=Ft*vFcbnz*Rsq?N!bSG?K~>dw=r&YxKcF`WSn zr2czYV>yUACNBPu`kaHNv)vSFq_CD+#3%0P!HVtj6eBtieSYjVy>nnv22CyjEY12B zlJ=e%q_CQ@x3I}{BN56&u{pqaj+^FrNcC5VCZDul;56eplXvb-Z zu$n5_AKk~Qj0%+N*DEYLT2h9b33v6EaJ~?f&$~haKn{!aDPM!S_%R9=L`Y zt%iC*uPl*vu!b2+Xmx8UWxn^&(z8=2~=X>jD`K=5~-a6(U}6 z=Berp96_#SBJWBwO&Sdx0Zp6vohS_jl`DYom2KnQAK~@pNP+C;v-~h?hEY!rGbx%4 zu>r2%3qok~e#}eZ+!)OUq`;<$d>@d8VNG9N5Wt^W^LK#?tqzW$XAjKFjNFfSk#|gU zGxY|$peNF(CyN{_Vb0_+>RKfE`^DjirW|4PXb0@kr zKY!OYk$1b9&zXE5qz13rU%6tOe^BHGrgJt7W@RBR-7qgDazB>xKS0ghv>GVCcQ8dg zq0e0XGavSU`krXfnPX|Nf7z{cjOKrEnKx@TVEI3B(sEo0+u~cy68^Z5{?VHiC2b6hY}KwF?aXq>i=S=-ddyy>qZY7m38OlA;U9-ijoeG!;E%|U{bbFIOCXF zzL?U60u*cf-<2zkaJdSb(B)xuP-!DBl3%-XK0g}Ql0|BIxF_YZ8R?m4py;L$Zf@6{ zL+3o6@$Wfzd|i(*KZUAqAK(U2;4P;*%d0!tpFXPL0p%LoxK8cRY^^pFD2l{K#gKKx z59e4sW{i}#{oUpTyWxOQW}g_|d6fI%{@5F_3BNyg&p-SIfEp*w&eV9nzdfKWvDox_ zmNzg3_+mqUVL}B;|@-CJ}#i zqb`&LBPl}1%!S(cGHI+8#0TnmN};SNszU3~A~i$@LFRQP4<&1s2VICt4;m{qM`=X? z4BP6~SKhzK&Df*ajr_dQUQh4J+j1~+rP1Zf9l+)Q5S%H8b*G%IvD!f49TMhC*+! zzxS;+lC`EZ8f>mwHFtYEs!nPr%~=K1Y>JsZY6)%+_^-V-5P}cI!!boa2-tl=j|1&Z z-0TaxEKt29^xOSwU5*iDNXB~^8yUo0U0roJ|6yDsh;(0C#yGX4zj7T^pc6`*W$N};K z2Or?1*F?}BUjifVP(oV(aXeud= zvz=Kj1)fn8zC6Vc)?owN&@@MacqA@?1@=#ieRoqMN8Y$*4{v*w0F#kGcs3hxCzG{l zP7qm&FuJQ1$59FV=SrIz2cT@y>CwNTlfNFP!rR7eLoN193Qmvj(kx4%rGF#NV1yp0 zmw`>`#g&jBB&CEOgmy(bPFSHMXP1bAj}vf0kQ#vI5=l(7Ncx3&0#o&Bl1BZj$=auo zyugWTI9L%qd8cQ{qNzS6R~TvVz_x?(xNHsrst8em5A2AWuk5^8{k>OTB`UNd&sT{7ri8BPti>}7 zgM*}l&9}U4_S@*R_=oX!5s|s2E1DkR5^~^v@(Wx zj}9T&c#T#Mw^R`BbZrpGKT5j1g}P-cTIWBCe3@%NA^g~y>1!V0xGcv8$?>Y3cCO)T+7q(B>}q0EDBZM!nJPE z2HQn>?LEa2Il^;WH`Wc8>)Ji5gYH`LpdmlS4vvT2>pZ4hm>S0FO?X2M#5u-*=hOFo zF8V8bITz@S*!Yg9jXi!Z4g46zjQ!Wt#!*81^`HW?$oaS|uIx&CUjZPThy$~AxAAZG z%RMV2|Ay>+@(mDJgzgtDyFtY?_OLbmj{S{=ET2IY2~5{?iieR|#*sXV1L0$fni zEvVSJ#vsva@M{xYTXM=7GJ^Cgv4)!L8%cfpV##7=$#v9UYWWt286i@&&9h2l5toLc z*fzIv(89e??6U{T!239GQWi5Nha&T;k7nEpvWdLlFQfdAyhb|z4zg$?kJoaifIi<@4O61nMYHUn?Z?#rMSF}OF{}OhnQbCdslgayqK;>`(56ms z-iz#~+XAhf-QX8O`avsT;1)=DrpF0;DH2i*3;qO#-ShZ+2+^Gs-~bVDAPYE9^BwtmA$bN-%+h%O z5Tfd3o#O>Xek#AJmSBKLvVF-q^*8lPNKkIXeK778l)DxF^L^b5%l-)7?|G5;X_>9W zhRWVeeUt_qX!wrQf5b5W4$uJy%76nd-w~oWl9E@Fl6R8nN8za(;VB3RKaBC{s1Ko( z7ZO?!E&@*`lSWE;9a=mzsdiPw#p!d+P`14KloG2k*Y0&#l9vi5*D$QQ`KTVi;!OL@J$X4Z#c*M;?B0=3tg|@{EgtvG`Dx$*LfH)hUo6ucjWpb&I`0xPlRmy7|Rhr ztZmZ6wmI`s`fm6Iy5oLzb$W%XQT|1X^yeP$lRR8|$YG1q9U26vvI1l(=cCN>(Itrm z>7Ac5wDy3jFZk%0aWZT%VN-=!3f?h=+%pA-T5NokjS+*8zC*e z1{8crVqIWo$BV?kcQdpB3?TO}An>1%5o%bUAK_R*eqwurJKKrw%r9=_y6~Sq67q<< zdVe8y|G*6p5QX!AaspLMP(R0`N{;WUd}HIjtBvxNMDF>!q9M?m;am<=!}bHjEDZfjlvTS(wQ7ehzzJ7x4WPo!*rwcsn#1w|E~R4k{ab=M2>XM*06+DDw-_uy#XY`0Z=l%I9pVDI%s(Bs~u+wL^H zM6+QB#FgvAxugm|JI$K+`&%VO1mJ^kW)WDt_5FeTVC!6IICfhHcme-x|D`GKVns<#rovXDhUW zFUpt4Kzsy4@36DNFH&*kT((l_BW@YIkD?5zrn1KJNSX=p6Eua@TMADq1@}Pv)>nN3 z|kpV2&Y&xc$VYSU>_%FgjhD~UtOm0fq__sSIiTXVM(dtHXj(oJ@uc(h^ z2ReCdB`xUg2M_;7t+Bf`3ZbtBqKx!TN*Qa8El8$JOX(JVU$wIrQt9ySd}ZuN7=>sG zlF_L1qW`<{vu>^wZeQG|obJGTN4Vb;=@wEjrD^jEN%I@Y_K(7~-RqYS;0)4h{P)-* zU@jSZKeb4pJCG$QWB1gAPStv;i|--il|yvrPjr_{^baZS>;$lfnV%}i`91O9^Kv-! zY>3nFJaK`tWhcgehn*}i!QTbPFH1_=AYWi1JPs9T+{ixghgOLt(DON~5HU8K zqW{kuv=*y32mj``>jEe2MPXGBF5>4TP80 zFhfl*ml{JEID?;7X9qgEkY8i`aX~QRBv2sl%V-dLg!MGQrJCM;44uI_A zIO!gwJ+u)>$~uky?c>#oO!m|72-$GpfB-Q(@0d@Q@A?tWqZ`~%{hb7Afa$OwF?@GM z3>X(nBR)9plml7#RtXddBka#(eo$z;v`TAu zSvsBlOc?Ju##0IbZyawYkR#0Qayh8*S9m6JW_GX_4aPlH#yuwichu{9-w`Ze9OD~F z^DBwoo$x0@+*u1B!s23hVn=w17s`6T&F?4NnmD!h5bfizj!7hsW}-WO0emZ2QN>Ru z1h@sm4>S7-RS{|^0N z{14rN1KL)rA<@m)uWpS|r)}L&shS}-PZSQCV6Q=75bUn6?U7zUPuxydUpqz+|ME}K zu$#8ixcAFOB5<`$c)%^G@0kb?9$H=dk*;t0BW}IZ(|1<{_-z{fQ7!XPFUeT90NsI_ z&hYk*@NIV#H!qa#M^ay?;1ZYXTiDl5EQcB_hn(%W_f8?g2U5a2Qo<+FC(zx9RW9|Y zimSiJc~W(W&kvKQSC$Op+dr6XP45i@YMP!N`21|A?~h>w)f6p;BBQ9{@EK%{=cP zBm$0jddIF~9C17fM}0PqVjh#@>^561o25gOi5DlwRE`H@a+Wbp!#MQid&JG1 z(t3L*(=uGGiN1Vx~JC7_xbP z#MracoP+g(FvvT>``6cdog7IjV*v#Vkz*x6yr$Pa{|vdg=(2&*$ei$VFd z_;=7~-|7IC_Z2yIkC7`rgmGnmasF1t>PN~FUP1bw*~3AoixGkPo5XhT$KE`7Ob--4;Ij+Xn;5Ut?{JJQ% zG+;rc7d!1nwABsuXh;KiQ1ENe_R(%yPD|^Q`&0cq`pcoM@|i(buQO^|q=dJA%FWtP zchv}~=Z+`Rk1V~-)K}l9Ujv^$q285? zQFx2YzAcoTTYiv)z`lqXFSU)&wV5L3v@~(PmxvsFpT8W=Uq6bllqNF~D`&^|T|%$? zez<}SM}zMhoWD@c+y)8kp-EC?$C&Dsbz%;;)tvCX!C5cIdJUy}WeW>G z;ZKLR>s;oT-rj5LQa~NgQSmW&-RNx>0pAx0+X^>k_>M5SfT_v&69|qE@*eD0%_y$7 z{}4E;2B}~4JsI7B3^#h__+RvY80Cj&RNiY708qaO&pA)s^}EPUi4-_>{HpW6qVkRQ zC>){|KKCQ5KMcAHynQ;#c=5TI7*?RI`Xi7eTK++5lHi zdDn*eZEO$^8E=AwY3XU{>6y-daU~dvtz{AdnFty9TU=fU8Wlbw7ukq6@CO#^Lu_OM zRWAf2YW~hGcs~*NMi(F@oZs+^r$^}2WKXcP=D!pD$ z)MEcgVL}&$v|bulznod?Qa*0ewdcloYlC`onbZE?#Vol7$|Yz1#mM!ObhP~jkR?yY zzT_nJ;)?t-7>(^nJ)da3dzsf()hUILoTYjneQu5+X9+uLmGN47r8M2^y{RY%+cs*m ztF|^%T%SD;zi6|51P@2HODC~$60z;^^*vSgtZk^!6Ed~ZY}@ost1Nve!SY6|Oq(0S z0)w5Wum@|&L)kHomQl7{;+V%E=7dW%?Do&g4x-&bie3TEl78>?dl$652?)Eh&@4#H z8{QtwzOZfw*bjVA)+?4)P)=5x*HFw%{{zU0P!GR#srTDuZ0zvC9si#CkaX1IayYDr zhhNCo^F#UgpZJL2w`~=s!M#SAP_)MqfysAIry=&zoz`K8M{ zBxi-?)e7sMv072Wy4OW8A&28^&YuYwS9%~D?Q1}JceYks;oH)L31+agRY_COPQ09E z)QgwHO9;x+##9oj#!j96((lX_MS7-@F@AjTYsEt5MEpSrf>P<_0jQuPxH|C3IEUp%N~!A<&}w%2D7lFQYABQ0ry~R_Oc92>E>?R0u&hu= zK!S<&wN|`eG#hLW04=2bWaLa?ADLxdW6m{41E3T_v6Bc8!^)KDu9(WvRgSq4$<#&LGwSdeM>>>IVUiY=pxs@OMqAz>X|@va#3`Fk$q!{9zAcX#77->-iYjnr3*jf!Sd29p zmyj}~gcj?^UgF?TTYP+g;0?TCO|O`X+T*=Bx5j`}GgZAh*vMgfTOCUL<_%B?0Incp zmIKD0nGAY%SKKHs2P;Y?_>Pm|g#$6e8Yipn^V9aJ$L zhvW@h=&s+s|7Ie^@xb)Zdye;LXSlYKl5IsHgIGIJ2JTI{lm5mCaap%WBMDkVO-gr%#iUr5_;6~G4X zAJVJvR>%)|P9pBAl9I>T_X72gQ|rSQHzE@GF_bN~e40Naz1Cb42p3^oFK{M(0?_f1 zlC&kRzzCB}mEkhm0q6)cs?IS)fE$9}=$STp2;o4~O`Nz4iAd%D1ZV-5{*UceFW`!_ zcc7>rU=>07wpTyC88OoT)ZAUc9W~=G6@}t8ggELeYtN$xr7Cn$3ri?+8OqkfysGBN zj=}Ior77|qhv(^12Ma+XPLpL$cC7nXt{@QEDH?GfR=Iuyk}6;z$>F9J)J0s)5N20x z1Ky}u3dVyTM1ktNSty?^xFIT%bvD^t3vQMIZe-jOEx3pjuDu+AOou1GZlOMCchx|p(#e#JES}cueVahW~wYcMR?qUhx-8ge^ z8AgWe-UjqFD_tn-RV|0c| diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 38a0971..81a345b 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,23 +1,23 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618906802095 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618906802110 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 04:20:00 2021 " "Processing started: Tue Apr 20 04:20:00 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618906802110 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618906802110 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618906802110 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618906802314 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618906803173 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618906803329 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618906803329 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618906803517 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618906804204 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618906804392 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618906804407 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804407 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804407 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804407 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618906804423 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618906804595 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.005 " "Worst-case setup slack is -9.005" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804611 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804611 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.005 -699.357 C25M " " -9.005 -699.357 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804611 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.425 -0.425 PHI0 " " -0.425 -0.425 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804611 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906804611 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.248 " "Worst-case hold slack is -0.248" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804642 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804642 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.248 -0.248 PHI0 " " -0.248 -0.248 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804642 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.400 0.000 C25M " " 1.400 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804642 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906804642 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.412 " "Worst-case recovery slack is -4.412" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804657 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.412 -127.948 C25M " " -4.412 -127.948 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804657 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906804657 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.858 " "Worst-case removal slack is 4.858" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.858 0.000 C25M " " 4.858 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906804673 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618906804876 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618906804986 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618906804986 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "278 " "Peak virtual memory: 278 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906805220 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:20:05 2021 " "Processing ended: Tue Apr 20 04:20:05 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906805220 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906805220 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906805220 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618906805220 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618911786832 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618911786847 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 05:43:05 2021 " "Processing started: Tue Apr 20 05:43:05 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618911786847 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618911786847 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618911786847 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618911787035 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618911787925 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618911788097 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618911788097 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618911788269 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618911788722 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618911788863 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618911788863 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618911788879 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618911788879 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618911788879 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618911788894 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618911789019 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.844 " "Worst-case setup slack is -9.844" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789050 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789050 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.844 -724.767 C25M " " -9.844 -724.767 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789050 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.019 -0.019 PHI0 " " -0.019 -0.019 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789050 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618911789050 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.952 " "Worst-case hold slack is -0.952" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789066 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789066 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.952 -0.952 PHI0 " " -0.952 -0.952 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789066 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.385 0.000 C25M " " 1.385 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789066 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618911789066 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.389 " "Worst-case recovery slack is -4.389" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789082 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789082 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.389 -127.281 C25M " " -4.389 -127.281 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789082 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618911789082 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.835 " "Worst-case removal slack is 4.835" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789082 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789082 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.835 0.000 C25M " " 4.835 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789082 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618911789082 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.000 " "Worst-case minimum pulse width slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789097 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789097 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -3.000 PHI0 " " -3.000 -3.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789097 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618911789097 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618911789097 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618911789300 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618911789472 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618911789472 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "275 " "Peak virtual memory: 275 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618911789722 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 05:43:09 2021 " "Processing ended: Tue Apr 20 05:43:09 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618911789722 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618911789722 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618911789722 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618911789722 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 3578a354cfadb6161c10677aefa7465a512a78a9..70a705b2920640620301e8fdd30f1ca78fbe89b7 100755 GIT binary patch delta 14063 zcmYj&b97zb^LB&AYHT;Q8ndw)+qR7p+i7e!w%s&#W81cy_xAJcyVmdivCr(i*E}=N z?3sOd6YZPrl9X=@hulwN(=l}ZdV5dG-apZ#z>x7a^vy$6*-b016 zK|#dC8%PJ8tmyqc1{cYksPOo1$dHmS+GFGQ=ZH)^^{ImdF(vT9A_+DcLW-z4o(kxq znoYo>0RFXf@(|2>O<%Ifr~v*vGB;46AA}A!S}Zz>zHu<-X*%!xFnfPXcaF*a$!%px z=gq`K74&m;xvSJ_w)KJjQ&~~5iu|rBm!;`J@Y*`d6Slz~J(vg+;~DsNc$z!Y{m0)u z$Ygb+G^H=i4q~WzbK}d_#ut`9B&=^2C-zbAHLnYs8!2{c&yqiS9>7;ct^nT>B0k>C z+qT+KRP+6p0Y(VZTXyI5oXqz{I&w6CQ%GhXu(9`7yL}$ z3w!Q};vG)h^UvD8Gn~uOgPm_eY&b|SJFE&o%f^YA*NhO>1)+EePvDw4XDe!K{*}F* zVpm5loaY zO0>Vduh&-IQe;lK^eMkW=@v!V{sS(5CtoM;d5mYMIwyC#K!lO8uh@wXS~_MrMGVJx z&$FyTrdh?~b|?463m2Z07Y9h-V`xl28!k6mR@Z!nHrSkSZD98IJA|RBw66;;I}d_u zAEGP?k-;wQ;V?;~w*fYlN^9=Y8wckp9GM61wP9z-whnKdQU7Qps_j{eBKTSQMIkdd zcAPtYzqX5L%7XR1BV$h7l>9?Of%6Z23LVgx`OeXq5mhs|t7WXJOO6Q{a@JuNWiR z54(TUnu15ifmxI96k+?%M6MHxc+)F*eU=ODfYU{I; zdsAVRg{SY5QUwSupwk3a`FrAv<7Jp_kZ}unvlHsX2I=-Xgip|B-QiHRZ{VB-U=dhd zz%uwYaPvpCcXkrq@_Q^8@E#+&)xN-f(`U!`t3C-hlARXFm*+f{sfsGF+T1eQBPu4d zZ&@7nUn%?&w~opw92z730qh|xA|GOn;I3)_!Wrpjvp!G`Kfk#1k*Q^DZJp5B^D^if zHHu}xL-d6?3j3#8EV+*j4Ap?8Q)wlhPpj;QY7X}Tj zlPf<$AhyVKXdv-gE}pry$>Z(xVD?>ky0k!NGIQWO3>0yzW1ofac@W^Gf)9_)x1~&H3OcTfke3 zy9Wf(*o{@Qq-VEesjPf#ERK_}ZPul+^knLQo3;`boqSSOUO$^n6k^aER{|i8YnSi@ zAKd{Ioy>a@Qjdyecmn>s5#;ge>)tJPTSS~GcwA_)Pv)bS&}WbAmk-Qg6%^$s*s7(& z5%#V2Ci`y3{q{6gt^o^wl*fc^^TxAIWVm-|9eBf=e*O3gwt*1j$kASmZN>IrOY%$| zWxlT>r1zemiIS&KX{7y02iFD42wPPBmU#!b@9`Q@>`=jn(I9a4NYDE7BA#&e(DRwv z&Dp}o2aoE8jE-{c99uip(PUD_E0?|)3Yvz2C*u&xC z+Mo5jBp=G2%nj^k+}b=o^j*rABAN06*vE_hOitCq2xsJX z&+9m~Xx@zHJ{u^%DOsaRPE(*9FTCSYI+UxYM42i$yQIdaI7v)D540a2PCb-)|Aa>I zUBsMoFJlHAqdKHr6xWtwPQ|?s7O4~w3suK59Fkv7u-l=eHG*e;FgRiZjs}LtZ%(84 z4iW>B7KQ*UKX&R<09*h@P>dfd5Wflks=Ud5z%RN$aJ)xkU-IOG5R(}Mt7GQ=t1w(Q z>IWA+=ldV9ADPV1S!+_yR&c=<)~Umx#$rPUW6~D>Mrk<~#nO3=;;b=fNc`aMrrsqQ z&)&uh)aSF_0S5?Typv&lKMt^{Kk{Mxpk$m(yLW|hZ%!+z6tL=~qH|d10)Dx1xmJa{ zalIyUPUFE{ zl*m*dlFBU`sXJF14xA^9Cotth_Bgyr*+>s5Ky9<-Q4F05KV3@D5gvQtwd3{Z2#w}_ zlF_-C<+Kvi48Bb*!>#01Ft|m!j}{OTfVz8b(~BDL8B%(R?3c{}wgI`4fOj6;10*Vs zdGHpCM`Y~gc)%C<_0y(1)8;HML=0|?dN4lqk%!m|a79~FXdWs7QsB!BgXL}oxNA*z zq6uFfpNQAUsdi0Jq6w_GwmU?eC!Z2Fk#GY{6XAE1@A{P=DoGH)CdocuUV(KhLF$!r zot}=ya%D!o5ZuRq&qOY^NDdD&00V4 zpVjEtd{w3+w7%i08_#{reJqk|`+k#HjSzv{S*8Ycw-DLr_6pG9XA;SX@jc4Zw=pb^HTF_zna)Pxjs#A+4~?Cw{Gy>#Ajfg$KuqK~`nv zX!lv}!Lzw~*9NYzkCq8(q^-F>d~1E3Gkb_N>6*{3FKzgl>Re`9B4&E!P4407Pzc57 z@Ac{C!&>|H^8|MFsrIxQDHr5L?)*WXbH}&uUx6v-spOf!tkKS1&a&Q;d1Riz7DjB* zFPYDx4`usZ7sac;@x)JI2uXgbZS@w~msp$8YTg99J&n6-YrGB_@P;-0(yz9Sp19+F z+w#5bxmT|psmA;FF}sU?~Ym_0ve6l1pG_QM@(j+*88NSjGW@q?0E6JiG%USkw}CA*Mh zhQ@jYjp*b@7x_r;S>yn4%b3aQq#Up+gsZA*r~pKd*{} z^p?2K8F-s$p>F7BK%JnR!r~8~4g9nwme)5E?d_2dzs5e7?r4i~7!yscEYa&FHtGas zF8WsaVir?#0|#JqX)wTWlTqe0&q%9=z_nv27YxH7E|+~TXz-&c3Bo=TFGd+Yx^V_k zxx6~{1R0Gokw&OKh=~x03hzSK9(+UeML8cXr8X`O4!)OEBb4(Y7$% z@8d?<&ntP59b_5Vlsz|I73GYnCW{QXlXH4-*;?NHn8GI2EG3o<48h01AqaQWl)R=h zKcaYsg;6g+jQ=3q7j`~J&xw6Lu=BE?Von={G>j&ib=A@=z!^reQF!&u3~#Z;94;j! zzDEG!OQ1OqDMTX~9n}oDeUM~%P3l_I#SN9Ctz1$tqM^@A)=@5eDZNZe-qI-Ggyv&O zR(W5)hJAVqJFQxul1q~9UQjkoAs4ax+Ghb{&#N0e#l_DQ(BgwHWE_Se=JQG0q%`<`B9;i}!3OlaJuEOM5D9@o1&-^fgDR`0_IT*@yNSU_z zz9e-Zn|b`f<9LeT7FjKlnS4xXfuT@9^vpLtGc4biU!QpbLMMh?J;(tT-iRRWLErC; z3!iu!CPYh#pLabEk$X1}zJ~9|%Z3$PSy%{5d5Vb~`NI*78gpTf{mT3rYkzG$BqhQz zUo}`5ejAshI+u)l05NPjm{U_U4>kz7GeN&27y0i&Xq(RhIt@Q6p9~ zJDHzSBi1W-u>20uSVsi-S%-2F&@wcv1GdeetZ))~1y&c8(Vu8qhYe|aNFakudev+5 zc8ISUa5|XypbmZh^Z3HzLiWXn{RriRGanBYywruE#!;;j#lN66(rq8JC$#e%7r8mz zwN{*AOOo!4bh7FujkfJ}kN8FQ0`r~$TV@N1{o`v-<~3YP8MGu|QI@a9r6w7gso_sX zh#|!I#fhV-?igw-FZoI3qIbROchbX}vpSy}MsJFu{dBkv=$?e23#~Ave(|$}Kt)7? zMXD}3nHu;R67r@=qyyiTEkTe}^cEhvb2Mg!1sXhm<{jgr#J8LUl&@wU<344I_iTFh zgO;uU_r&Y5Xn70ptvW)Q_A9+tpoBFf{GG^WOP{=x<$gp%KmsEOEuPaL*}Il|F5x^& z4^Jt2qJDVpkoQCx0`6H=WP_(0_p$hcrI8NZM=$58XmK>}t$l9uk8UZmnx9B7ddir6 z-t5ZoiWr81jp^UaG3Q?`DJny`o`rAdRbcm6D7N`fZZx3)AG+S?%o4|`S%WQ_w(kzg z)F}>=sC$g+lwa?-GOhKz$+Qbctb5@G zWH;q~yBV?Sh)BurPB|;yIs6%XxOQc} zinsl;cj@)vPbr#0kt$6J%{0UeVMo7qrAmS0&3MvrM){r;V%BjLF0Rl;it#s6M1+_M5Ts`JOu`!=8n~s@& zN(m~D@U>Kn;PY9!=RLqTkowkKE@2RKBLT$ZaD-mr&N48Xu^wjU-6|ooYtK8)A@qcDaH$DetMk zdiSW&-IUJ08Or6C>ip^yG{&hICNrc&kt7@QSnMMAgmHa{D~ZeCY>ehf8FJb{1Q-x< zfj50TI1ea)j(!e`-@o-`R9wgbtt%pmhH1;Mk9E>i{9l{bDkEJtrT)$ z*HrC=Up;DSq*jXTe9$I$t9~Oyx(0G4%sIlE4+mI5;HXM9CgV!QIdcns!YzY<20qtL zeJg5HEo-7_H5OxU9rv57O3`c#D6;pkbLtPybnrU~?h4XNI~u z0$dQ&#}fwF3bjZ6sY?@p!h^4S_^{)%cqiNtf@|V1rRXY(bP-S=7qY;kcSPXBa`E&h z&5Hp6sRXU&ZTjn4*o1@@7vDhAJdl~ysdD-w_Z;~2-D+M1f*CvzA}05~#-+79gf;j?MOD^JjwICOyH39YZF4%R>aePoQp`1gYs<(Om%*Vi zURjLasK|Zk9lRCURTE_mJ>Bo}k@M80RG2%IZ7tU`RgL$A@6e!WIY(sVP z$B1m&3g-)twaLi7I&8w3BG6vunTcAds1ng2cK!QjYGq@qsOpF%-3vU~5;FQ=#qgZE zEmffR2-C~Q0c#SpQg#(GYQE$N`+N=G4d*0Ygb@EYp}44VGCk`IQLb`AJb7H z%J+*usH?9r$d*U3n}cuF_xUOnlm>tSrWZy3v6ibZG!bdh!~jskWSXQ3SDzhkJ(fy8 zZ>~ZZi9OA4-Vz<-zY>bjZ1(qn*xYBdd4ChKa*5sY7O=&^31!=D-a>#6(Hx6y`?lv$ zenNGP7J}EDAiAn5+D^SkhFH%=rU6&ahWEEY``f^Snpj*2kMy)1#Z;d$CNImUF@D?B zJ0N*TCYtwsuEu?~W={dX#M`hfB3tpqw-`c-$FRG%X74id+Hil2`Iy`11($4+D3uIp zl3Ku&26Rq78p}fL78oMeqT*Gzx@0P^Dv|HDfqZ8C=vZ!V+t`#aCpT}4J|rto^PR%V zibnShhiv6;yM=v8Bu2HgsrV;vQZ`gJBVfFWc|~f%$1{^Zmt?-dlHXa#X=+=3H1!%{ zaGw~pB#gN>~63nww})RzxcU!6Q@-N*EjGHQ133Yw#f zO*?nvVEN-PV9kt`U;lgLMvc9B5_h_7u;*+6p?MT^PzupH04-PB*8MKC{Y%4ElM}+K zZ=b!_JE#}6b^i;s^=NUBANca_7Q#rx!L`R4)|6RdmBfyb`A$SO0|5dJPd8Cq-fYm`sk*BB6={;z_CmS)Vuv?Zi@4PR4U3Sv{@PLP; z$E1z5H)mdYTd3?V8!JVTpw6X*Qf*mU8Y;N!l7R5RLnt0r%gR~w^L)6&aX(! zcx(^Er?Bk!^!;r6vBdBAwe{8$_?&Vc>q=i^(AI)h>XM7V&NRaMky)r89eB4GqS&E&2zt4!nSm^j3i;D+1 zMBplIVyI-H3F$6=M6Z^|)t%SMBe(eTjl5)2MflB^?xzXQ*C`88oHx8ERK$gk1cr^u zGhAHMsatnz$-!LPvcIT1)@q?bWez?XE{QTl;E5m1l6K548XEtEh?yuAbzg$6OeXkm zFh(hK&;ZZVu@zm{GZ=~n|2bvf1R%1;Skz@;WH)$uX0g_toNCaHSQxF~+z-}%sDt7lVpmzLxqdCx` zy**-X`r~gF z=b;_MzR_abDD}t}s?d~)_hpQA(d%v3A9_mf_bkpoSihA4z|re>og4jOc9^xeg%~^Z(!rHjxSn^tE9uatAEdu2K5W96M&zkR{rM>HV`G0Qm45l5GZy`u|mfCP43IP={nPNrCSR+D5XW}^>E<7FpE+rgM%S1b+^XrszK4b2rI_Yli2 ztJ?0SM2)YE3xO7?!~H1bu237X)ABkpB&88GW`deX*Gh$Bf%WC!4We(9VSNvjGuuUp z!io&_24eyo9j>x74$g8(Z-QShf~j&VqE%-t`V%R@;> z3(EL+qC063c-_mlurP<;1ZaKYEsDTBjxatbRyE1NzcLnZwF@USqm=A7t0AI>SZmv! zoSQCq{P{vg0?IHV#`*4LZqs)2U78UNK?cHl99^;0q`jNENSfry6V5%)NCQ*uy8x$9 z;P(FcfO3rEmg2iBhen}I%>k0l_z7cjzQ}}hDAE0sn!n?;PDS}8X$xH7ndUM0SzIi& z4WB3rg}iIz0b!hy?w^|R?Wd-7W;d=5cdsMSF4tEYxlk28W}(kLrpum{lax{V0ycCB zpw!^!t~lNsj!2nEdnfA~CA4>z^SH_fT211lCsVq$-8&TebY@NZleE)Q2$UiszWnxh z`=OAfqxh?FfSQp&vDNlOWzpE~g@`5Mv7&j)^kRF_FUgPw8&%pGhV`XldIGIAn=4pz za(qnAHPX|JQKXKqU|>VL3pa;p?6l!KZHy9p>GVXUK)v_yx*hq;?sb|D^H{|Juoba0 zD{T2%7C+dvKD@=%h{Bq&^d4j|`d6&aR@f;?nLL&3JKX`D_`e(6pNyK#Gmr5%d7H|E zE*>=b>qkRyHYoUMLo-%RR?eIOo2P`O&p(MY`0F7G#M%=w-HhbuXG?8D&aqM$mwF^j z7ZRK_I2+@PYYhej;%m^Y8UX1aU*9K7Ubcj#1*?{A&>U_K$UuYluvkc%)F8yT$=0lJ z!dq9o_?~vk@aYDwDUGo%MLl4b`j)CdrG{U^J7>Pp((y*YrznRW5++X zizI0Zg;dR}L{$B(tg=KOSRl5DdXTn4|w-P9$f#Un#kf{--_pPut?3_L$>8 z?Sy~YPWb<{xBt_ookaNO0R_PM52O2k7}l>L@3#a0bIQpTt5}MEITA(A7Qt0v8s42LHd-$?x{3SQX+|C54^+cjDmTMo zbK;l9pJG5MSSzQUSl?W6dVUvv760N&H!A2|_FyfoEp9zvNuh(fmWb2E^fYz3Jni1g zckD*4`vfUMKe7>@y7nhvsqt)(5!;Iv00z*bdk?H?-(gO`bP;6d2?(_b<>rJ{s0%1J zR->D-SG>0kC;J*v*1F)rV#Q})>2AWq-~~7TAD-%W?+Soowbsy zB^8e%F!3ekqY^?f%iD-7ZK$BlUI+p`Odvr$hX!DorGgzxy0f=TQ zyt5$KduU1(rkC|UuvW}3^LMalr^k|a5tQ@j@)i1kc~cdqfzKE}Bd0+?mbwx`z?#KP zZ8rkR96>THlFzB!iBVp^aO4_=28~rji}tOy+3)a6J~+;h93McwFMDTlG#>P!#+;W& zQ-@DA1krJXnm~S~J0oF#U{%ES<@`AON^B3&BZ*038}@qdxdvim!W>C$g^%&%1^SOz z>vBffODhbaoWg>KIKgt{I@IN zlnL;Gx=+L@Gx5OIO|$2qm%ofT(XJGxqm``9TvZ(6S)jJ8c!*9Zt!1Cce^Z*6js?Oe z+VnWf(5=~Fbd^nI<)?A{Ezt<8ENf<{>p{N@k!@Kq+z|P*HK0!XiRM#4lUCs^BXA?0 zZc-`tLbgR@3tKz)mZP%&!MSofPOOL8wdr2~<;Kq4o~4>63%A?hVW z`5;{6r>f(El`;{48S}0ku`?Jsp0M;~o}^yC7H)dJ6}>rDFj_I#_C{WUXw|qtWR)8m z2)WKdItt9Nc0m16(H*`A@_Tr{nC6Fo+}U5TiNZhq)(PS+fyBo?5m9!#NpnPp6U4Qx zJrq0A7Lfjn;}(lCX4dU~;HujEfwh2#3(e8i1?(ZB%$ayYygI_f05^`Ok02u*|hvc6}f&4gH z1E-N#!y&1+cX+rvbFaHnAMo@4w)#a)^$82m#4o*i)H* z%fEg44bM3`pY9pJXfqzC+v9BR>Y`nQ_D5{^Z^wGShx0S>dT5+R&*Ss$X6?R?ysvAf8D?!KFQch)Ma??0<7&Bk_5rvKepzKhf%hRA;`CiH^dEC&SC=ANt(AF z6Eu09xvboV?aF!u6M>)#!r`k05EG^E$mP`8^XhYhU*x3^V2ItV#gyjCgFst^*UU{O zTO4H>1 zAytfZ;-)|b2tLY)0A}(IEFf($@!r924Nhnv(1Lv!DOgXw1%lcj?t$M&m6Q+$0uVgU+k( z0S5My)lhH6*F5?7CjzCU+F_^F@X#)R& z;6%g?kloTTbuVN&U6-SD&8~F3{as*sBdDdq^B@G3jFB6m;;vbtDxp=Glm8M!+AI!_ zTebps3dC;Ki*qPRF%hvI6cC`ez5-|0Gq6I~?`tS1o=0?6P#)1A36c`>>f_MNG?1KI zjrl365LW*#m#g@5$ckG>E-L~ue{)q%D@`H?XmvyOdXdte4N?O@9X5gi0v(Y-BQS8# zQXg^hL+IGuTy9KhOx>^+w_)X51x1xo)C=ZBMjf@f+3>@#2LVL$QD&$#HWC$y)Ko=g zdo>T+>^-vHa$&^^8iBVM3fx8Y_6kRMCl^8QTZ841nzkbD1({_crvBTF6}Jq^RdP-? z-?Ky88~;q)sH-(MNx|BrH-c-6m~BPmaoG4W?p0NnK2HDLf2EGU;w24W3+B%`zz}f*Ui1$P6wH z3=CR6P!{9_VNaVB^2&u^bcSYZQ>m4V8oB{@D#oflwPIQau3lu1@B(y}2GcF&am%?l zYEqLB>l4}x>on}Q+<4Qquvs7JJn@NVC~G@Lk^oGAO~`_1cbA{?IE*lLmp&N!eyXm@ z$+22#$m%u^niy}nWMcSDj%)@JlGF;6V66wRyQ-x>8-ze7%-yb%_@iA&&6@nb2^AE92<V=qr%VI`Z7RSR`CyFZr$W0VU{+8&2-Qr%bFy7x9z5GMPcPI5f>6wRCU2P8u7+JgRvQ-xe7{oqlCF4$|Uq34D@YW}m z;Ix{UQ3A)N`b)y7@T^_?5B`|tc8*NB_AUecBtFL(_;3=vBH&_2LZJ;vM+Fa1WP&JF*N$N1m4He34`ko z41Dr)PN+=eE_pJ`g&cKp@|NHsGT(x^VX}&l=sF#bs8(QB>Vo+pNz1+|vaVTU|CNh#RxR`ej$m*}llH#6IgL2vYuoy8GE{13V zMtZP7tu!5Md^t*Eo`#EHr&t-v*IoFn-FeJcXaR=~gV--aPlzsrW|RjUllM?{*z>Rw zK%xwqOOU=E2&pBM#K@lxdG3b-t?9`|`tB*7 z?+7D}V^>)$Qn`sXlba>(hv*YSO8ZEfJ4EZmZPVCf_()s#=o9!HM+*&(YlrCV;!oqX zC=iumg>60lDSEzs+S?)!==`}1kb;cL;1*{;wExr5#})V6D{r|;!X5t)gjg1frzF)| z<8vK-93SdjkZs;*pDfmE7AHoS#3e7G)Ui$YpT?NTE0)K57EO(3@#b!d6qRdf!-?0J!-O7& zjv1i~^yyCC%ygBkCX2#HwV{G^QINBh zQ&|i`e!784AOAK8Ar*78`g$*sN;xer_0=XjspbDiz0OwdSRWL1Mv9YTgOGqT+a&YY z25y(sC}-i05hxaxyjsEgA+&^OlYT*(Y*+&~|~=T7+q34Z!~(|x&-m5^HTvhe+hiPTQ4pkahAP|U9S z$0%Bcu>yx}vd8$XM;FPg3z$T>VnvdM1)1;|^`UxB+d<4%K+M~9y~TQM08a;A+ezbl zgUUrhST72b7_Uz!parv+LKkq~`Vzl#A>U~x? zYAIteI>SE4%v(?7!Yz&gS0L|-sZYRD3iTH?v@B5|FLuQT2?u`#V%XGH8_B@{QT_a3 zv+icdNV;WK4^!i;Cg4#k)qB55^-@kwma&Q_U>L$QWEi6O4@}=Q6RQk=^C=ER4jyaY zuG7iiX5nvRWSaUK&phqQidFU@e?59?G68h}v3(4TaXUH6^2r!8zmwWxaZ`niYsKNgc0Apq>me0$W-{b(PPv&;yA*d!*?P%&x6fkcB_eY-oK--=ht z!8t!aJD>SHniFnvn;5{sZ-#S7yhk~}|@s5nic#%YLfdWObw;E*h z5hGXQth$BePV16;=e+sTi7ZYFyq)pt8Vc2+C}Und`ynl_4|mH@|VUDU|mOu?3bU z3hD`1QenA0@28o3MZWI5NP9ZtCI(Opm_gKWDL`KI73MQpq$;ETU8M!FzhsS(yyOEB zoQiK7zruF);N&_9;N?#A^p3sBgmDM{&t)L-|4aV_dnTt9)H|q1!~EgU0~yjV83{9d zEMjf% zdW8mx3aM-|G;oO_l9)~;O8FuK0dbG!=0ptVE;)mgE}*YP8HH{qy?5s6hlAhWE31j4-8AyJLY703q+Sz2{|1v}<0Ll6 u>MZ7pnSsb_nDGXJKr!?;Fb!`D4fU&LVx_WuA)u5Muf delta 14027 zcmZvDbyQqS@Fu}sf_tz*g1ft0a2OndyE_a{a0~9ig1b8ecMTrg-JPAh_kO$Q?C$(= zyXy9LtG}wQuI_v8nI?xshd5QxD={R*-(MN$KMjQPzbXVK_rJQwhZA4RDAPe#{aA78 z5%FXi*?N<~+NuNOUyemN>z#{;eMa~7JTvS(M{4?03h~lFmbfetQKS_|{plqHL6M zt)ela_Ptfaihq^=`aaQ)aOOiO+zIpEiSXVTe}$Gd-6a%i{StQgPWtjJEjVI9pP#F`A_9P$LvQU{uXX zKpHc!ST}>H78Q+g)Cklyv=8pn>9ODx$W#dG z&=*RF_THX`$nIY2fWU+H&UdUA5>3nTZRR?;GjH?gAY6lxfezcAe&Z&pro$J}_X+~$ zV8;bzY4T5mCJz5xK`NfMtL8hJfSq<2QSJ5aAalTX_!#-I!-YErkoZ<%$lCViGnWN| zH7}+}CPd#c>}6diMsfGnB_J;r9nN$?7xwNGDfXjQ4Nz*=Y|I#K5vw8tBk%3p94|p@(t}aUgs0bjMQa;i?@w ziubF`A-y$XtSF%;oGumTjG;FtUhuXKeZ#}K?L`w`j4-KN(Nwd+uYnFW<-<1+CBG1q zt<`R9F4F#?1+eouQBOl4*0cJ-gwrzvT;FoDWG`hZ)~5G+By*`d$Vj}RvO@ZQ2Vp;{0|B!d_`2)sdS2!l|4$R~E8 zO@MOyy#^R!lvH`2GFl#p(V*DjtE3ZpG*tWaTn3Pa~E4xkdEavg5Wbjr0Cg?iHfjU2PbriS;NR%S>BB{fAj$}O#mC+xL*eL zE3y|m5SY9F9s(!+Q_cE76Z-eSrUPWhw@u%%G=b`D{v;siGY<45YC6BM6zHAJ{JD4& zgUQ{&*4CDg=5ba_v26_@mDy-SKl4^tI~0!{e!>aUFdI*#sTIjj;I3;WrE!7D_PRIV z7*$Vp>NL8oZXJu)FG{|8Hn>v~TEy@NF)3(b(8Ni$CtJ55$M;uaEK5aa!Z>k@rE+ihmOYX-+D$yeJ(>07LALldK2GGMm)X3MblUC#lD zj=({E@R_2A?{{hRxciLt!~OQfmv5-#BrdLMQY(a@FK(%578X{4RFB~~h8--I&6wqi zQmd0_*-NnnqaZ?Ohy5i(*CF3w*%qSmt05F!8vM9T2iQ6>FCh|l2F%wFcvLU@n4TCO z3>PRpE0Ff6zOfs866d(gd>i9=Tw&`(Ud{*On_GM60}Yo*xY0N(piK#r3`n@o=Jz|YI}*ao z+;etYVxys(3;IIPN9=<9LVJS{Qj&Zfr#ZYEM7t-LKgm~cNP1E9(t)hG;2$nHdGRd> zz>Xi!&434bhcHnrg^IT8e@zPa!8@k#6iVG3bgm7p@2)n9Wj|KU8~!2Fhk%N=KOY*pNgp%a(BmsCsD&Z&ULop;0x_%MAb}pR7Zxv35CXF zP@k=p^Oy?C7L>+ZW+$?0hW(atBt$XipuF!uRL$Wy_a*q2G3zXUGzou;eGBos}S@t+W|^wj$6nSHzr$QNTm*6J~aj9E<4E0%NcIquDyAGkl1&2hkvhx$1(5zvt{^SmMq*YkXL-o;;i(0U6|U z3O+7Y{SKZjL@?{RaQkE_n0QXw-UQ!z7P1kNTMyCDOXeRzHNk;{%I z-f~Zo-=#*(l`SzY5RLWOMoT z;@-DDA|4juw&T921Th-DJS2#?O_gb}N*CiMy1uaL>Jlgp3UC*9lIq7^#DSi|HXgjV zFYv!;`_dRvw}h^pS-|c?Z%<$7@zdigTI!kNddzi5kAC@18dG#KK7Z_BaZ~w*r&t)$dIGWT~?g5XthewLczNkUw zwx;GkQ1f%|`1VI=7reJ*nX{lsZXYGVB*PX6-Fej3`s}#bdlH2PRDSt|8`MCNBFPX+ zqAM0nYh`jxumR1b6Q@Bot4R_i!uncf52snvxBK~LE~2{W(qQYVq;rwOHBNODMIh4+a+Z5xVe< zMKY?8{zYaIt77lKwn;kvGL2tdYm9Kr8xI0u z?&$A!tiBrMQ^Xn-M+C;?(2UGBGhH4LJh5n!X@BtxR2AE8@6qnz!-GwiJ5(iL7TpKIfinpHjX0)TF6)JznWd(M5Cgi*dmF=fQ?N?`ZoKCOio-ylAaiZ5dH^-c1?uu1FCg(53IiuOJG5mEeD?rh`O|RV8PSiH+{D&w<{+Hx5q?E)5Dwk+Jm>Bp>2Ts zfw%$lSiXaT4%H5F)P`6BcVgr_efdvunhKrL{RsNXpLS|fel*seS5e-1`_{Oh_3LLI z!?bSQ6Kox?FzpLHO^zz+n`YK!S2{|YW@-sR(WmKqD3<~@h3^D6ipfmlkbXyD{mm5z zL3p=xj)iFAU0DF)bD6>BxAjCpG}HqpfkUa;OxgSo)MY<2B2SW!c!s_hIf?~RC_r)5 zOb02O+2{4>f5nq!;j3FIwyW;bIru)!7#4sWM(J1nRcMH7VUvM9S^|Ok=|=k?R%G8C zB2#0Bp%i@{< zT;#Z^f5|A`A)|Z$h)5NRB8_YLGuFutvQ8A)2w6AegkKC;xj7^<3p|mUMWcbT>znah z_OA0YfF`yfk#gWG5;o388e7F?6W^e7zEU)Ud|9-fysWM(0eJ7wweQKT3|(LQutrYU z@_c`daYo^zzA1HxErldurY?t^I1))HqqA0DP^}P|81FX9iO5YFO&w1Ky6S9$$ci4- zCylIe`;zw0waWEdqBeeDWxD(*;uSFuvktW2jZ6=`F_%zbK+cz8@c~SoSwKWG0B#qX zh7oo@_F-c^5iz9B4gh?#t_`XaJ_*^+qgNbq>`N5Q**f=T#n(wCI@Z?VmT!r7&?#0J zww$0qHDb{3poK_ZiOx1e68?Gv#Yw|+LAr@4KC)h^MS64baj_C^%3UtO8`Rc*K+vlb zIX5$h(nQQnA5*=o_$C&B>VtPMsJ~`9mfRI>`zDo*v__*CH51BN&-z6?yI- z2F{4vBF?hAS2Mr)6{P(oooML?Bb%FHt-_!V$SRQj?7Gz$@JTai-XmuTaoDQ53U;7r zEYXNPo3*ZtFuK3?#5S}E|A2%5HTIr;E<&YZzmb4!3Hj0T5PCTp4X_g3Uw3L7)Lbd4k<-)~9pt}M*QS{qj0IGHcN9 zgmv834x*M2uL-ut)DXC#e&IY*=$s}D<(B*4M}!_q1)2Qq&o855+rAa#Xk;4)-|X1ax>aO9ZG?q*8gn+2Y+7kQY?eAJ_QC)9 ze$ECVN)Wsjw5|l&XosCqW4RnbggwsKl(Wesx`N;XoYp>n)=p=8L4B#JRN}`q!ggsU zg<)IF4X1u&d{CuL8qMrw53ZOofyjpy;1JPTEEU5^hWep2vDt~LeDQrJoCc}-P9a(3w zh%Fn+WH^5XEp0^XjEG;}$4J^k;0LjNk8YfGstgIU-&Eb4VI20+6I1<^?hK}P)=)9q zgfGB>z=*Nuj;aTHaV_YNsNKl|=b3Kr`77;%vnTv5+=|i#AAJ3ABa8A4=SoNLY*moZ z@5QFXVe2IjG5w5oL(QYDi~ztnBu!iZJ;I;IKi$6+o|E()Ual)pW&@UL(*ttM9HP=w=F#>E5$e(gW!#`2$FvalKJssmXYNbGp zFRY{1Sxuk^x!UwR>OmCqfL1`+xMH!Ib-f3o4}#nzZE~k>siuUN#Gh9{(NI<)56-;T ziXj5KxsfaszJ{!;>V7mpDLDA3&`Uy#6Obp{pnjwj8lz>VU;3yrCwCf3!%D7=((+A3 zO>Q3KCWTolIXG8)_kEr<-xE6*`J$bw+O@aRIL3&`*KKGM!+3XgSQ6 zNsC8ZC~_@%>htN9NHY@DW&J(&>0#p2#Qk`Ov!@4lM&Ma&@s$obs(OIYCp(!M3g9uXHPouc9uA zT`~6yeU?MMjCM9Q*D47E$5q{KmM;RTw{a?a2%|-=UZQ9^3>8`Ia;GsrHSU`Aj@Uy$ zO->nX{_mhtVLOyHEXXFw=sFBzh^;D|p^8c#wX*ICa)JxFS{wUh%}BXgdT6Lgn>Il#4$r`0 zTL|*WISr~5X=E12NjR!MA-h_Z2&B3X2=he_=Wi+W zQ^mXUTdM>`-kOEnHPmu9+Y(M}dYZ$hqX|0qc+IbYJ8rMw=En{W2~-o4wA~~zxRJHv z8|*$!p=?4U1#2>PlZ^3%(b=!bJ9ngrI~sM`?g}>$dc&uFpdg*M2a++9B{Kl8|DU8W z5}GLBO2m1Yx>3HptQlz7uu%zJw*;P0p0kCHT;lQ;%Hz!>esxT`Mt1e>2mMOcu47q! zaEyMN(L1XxC>?eyYdxTN4$=hK2H@ zWwbF150qXp(2__2eGC3#lw$ac|(5UUDPA4{vAPaRY7Ohb^ba$rUZ z71QjWD-=lK{nS{!e{h@4MYH$@Cn)p1N0@NZ#{m05b8(!}+o!TPLexv?yhbXF1S-_# zIkJ*teloR-@qdRI>5poi14m}ev0ehJKrw$#jnz1rJ*pAQEGMp)O=>#1m);WHmUc{# zR?aLYk0E5Kn3B!8*_d`rKY46yI--#Ix!%MK6%}VxUhDg$wAtRnlIU1e4PtdPJ97fN z4+v~WCf{7ns0em)%)yU#EaAsvA+sFfUP`Zg|J9!Ktx75tiW=^4`8*EEL#U0pL6WAK zyQPX^mbut{w+_|eTTl~Ik-AS#JL{&xNOYQD0?e594-(FT+;Z+=*m% z1Px2GwiRfua3uepY*M4AJ8bm>Lv>jC_755GMQV5fD^rFid|Krd05gvZjuR!w0Ygl; zF3^g{@(YW%N-f8zv5F#9zGWWeK$Ru!W z$v3OBW;^<_KBsL9c(We~(5C`{>JFq!SUT`Tv@o}<3f<(mxOWp?1S4k~9>4HpMzUI~ zkH{W%N5UejYkJ404)~}FY4^~%Zbs%|jTD*zvK$pe`FG&Ls22Z-KQe@x<&PaljB~p zA-Uf(d{OeE6p`cYh4ly&327bqyD4R|42Zk?1f{NUWu=VYXz7H-q*=z`vV9wpQifQD zhO%ZcO9XKnT}HD8p^wFO>Evo98VZt1K6Zu~uGTI+=x{8YD+xC)MV)-vDAle4dZ((7 zDrr$(eMCXT6k{prdR7230?T1+u_~e1J*PcBF(U1Ban1H`wGV+%c6Lj@_u~zN^h8^% zZXWe6eoW@P+u*lb8EX{x%MB|1=_P~9Nq$Rtzgw7e3Bv+&l5o<)i5YO+)l-=9lEq%E}EUj z4bUpX&?|R4Dz`%w@rY7#Epf&A{JnG|f#Z(>zFkCel3lS3%~8#Hchg%LVAi7v?UiQ7RzAda`98&E-c< z*`+>m&os`67qR}$)^_$nGZjTv_SZhTaeWQdh0bDTeOF&fv{l0h)j2xXVXMuj(ql)& ztB;NM?vzNX`|}UxMab5Lt?Rjlp$rVa_FI*yxU?QStbPrObJ3=Nu@qHGj1gVQMqE2j zw#tlKg&;FLStCY$1C#IHQmU2F{)YE^x(v^7oEb=~z1s%sZ1Ojz2kmKMKb>%B=xN1F z5rTn`?e53^RgE8snnj$c-!tssr8Eybo65m zrGE}QKCZq(`nycKIOYS)aHRI{t!+XD?Up`IjsxdKd!_)*$VLPTeeySz!n6@NRV%49O5o>zU{pHwDRCInp-6StX@ zva4cUr%;lH)oF+FrelJgtQdjjnW)HAYnuIaDncH2h0$k*3hMf2j`@1%RZ_ zj(P#46{~xfC4fV}HZ=pz(L%UM++}U-p#vyvK*@(vmvZLC3{cgfX4TD$f6&> z3>7w7TzrkOjXbe-&YubOC`Ae`iQ2)xh2jf=el2ss8N1gBtj3Ajzy;CNSnWV`WWkRXF2pSt} zUeRPle1IAaC8dCn)}N>2#QB5KDlJs>NX|kWW>#@yYYda)@{hX`r%CZDwF0z~94{7# z69qUQx9bv?_LB|FpA(i+k|$6464Jcy<8(yS-gD_=fpUNbrL5OHtn4RA+un>h(J{9I zJdhB@Qu+m;0iBH_Tg8afV;1iJc zwhBj z{f8(3Bfx-tlV>M1m;WqJ{9{=&n|TnjX~;z1^9K>qDtV&kE`rm4fPw!2=>Gx8{|Dgk zAE5JJ0Oe_@w+HCo5%{O0|D^!U^&f?m|0r1e2Z;Y40Ox;z-(Y~{<;)fVKmM&T_!Eej zZO+-LVu((NwP4f6+|@cBYUTXdSN=j&zQHMBJ`-%*E@swlvHk#mQa_f>upn!xl3q&h zWsoK@S$Pf1OD=$1ooH}i+dQZuPBDX%g12A}c!V3E(nDy|DIR9|DTP&@bKVSPlT(RY zyx;yT_Wlknu&nNlvNqB>hLgt3%92IO-Na3ZxsA2elU@HJ=7Bg|voml_s{NPDNpX>( z)#Xrpfyb4#{Ar)x2x1Xrm8u3aUUkc81}KdQZ)9_)iN)Znl7e>ep|$)yzlYAid+c2H zwd<5Vb_ZQxv7W7sQv+L;p&ed#xqq2B`}-I`11(klFq8p@|CE+dG0734q5&U3#6 zs;hY)KX8U}-t6c|*z>nvUNFu(!O8m)w*6jCeIjuid8#Q}{9N;g_H(MX1^Br;AyAK3 z<_Li*Qt209^l(VL6k!>Qt>$=z>4e zc$izDGy~Zq5LN3Xll16`qbjc}T0^4P2k~3Eo8x7U7sYilQ!I2&auN6VN)6=IPzl90 z_}j}y!h4#Vz^#7>wzJjK^I7HrN~mB?oI`Do*T-b;j&ea-zKoauS|Rjl=gw3bQs^3A zs-pgv1|m*ch?O=3Ta^PuUFT_zWKo_mY$K!Kb)RukQyNegO|>LR+caJ$LlJ7A{==t~ zukrD=aCi9(eFhJ}0wg;soD|S5dQ5f^F`Df%OiOx{Zh%+-9sejYFkdgu+H#14cUk(A z9Jq%hGRoMt*DcUEAVH#agY%;!VWEapnLxBlk1b%UQ5Ji-6Zg6-p0T+?OE(W@w{cN? zu1GI#Wq7DTCE9Jzbco^Ma;<-IJ*JBll1-wz*;G;jxMMpbcgQp-Mxfe~`rtu>#%byBTjC!Z zUKE0ig$1v`rG2*_`8nuo!BC^WVva4VQ$rvewr^1#jf7-{daJ@lzlT7{){P>LNRXt|A`_#5%wGkmg*jteb_Ry41t{Ee zD9-R}9z5`l*#~Ti(RrRq%2|g?|C>{b)=L-Jun*J}Q)&4`zy!-7ybBm2-WEqSx$y|_ z8$tnvi_`N^inU8%=yA$=m+v8>ZV%eEk>uA?6$PP^FBG#^QK7~jkHc82W+e*5ED<0e z1=#MA!|@E~OTZr=J4b6*2I;9GcC|5oY`#*6r2Wd7xtK!R-c9_#%rJF(C+glPqIuOy z-P_P2bdRElPkIinw#<&3(uB)qAC@c%kSgsM6jr@TB=_6ME5RNfEzAs5Xf{|unMX+D z>aNMAHhWAYhW0A!c#2q%>GjnAbKvt&ZVEUWfmy{kD2KM9S?G=lyW3S4aDG@l-+x2}qIUf7`hP z9l9qR_QL^RjuizjOgQX|LE!sl7=nV*;#*;UKNNVjyb=aDrrSZ43$o*l+)aH&`=fbY zoIZ_d%(XJyE;OkM=9_dpUuF9fH-%TfnDZ~W5HK#Z&5HrM4}fWbaV`YRX5^kOiKZCo z+{8_$vy4Nnr`1=;(dmlUSVIYJA`8)jL{8B$&KUnF6=5OmxtXrlMr2%{0_P-!H8_^3 zCR<-q#gg+u@A3pFw;bItJnL=d(&H*YsB{gMxF#L@jaSIp=JUA)dU3a%-l)KA1{xQ! z8O9;6b6c_?om?~tQ50ET6rRTS?4Zi{794dN@T!>8&;pn%4~fkvPSK8|cScR6Wg~0n zgO}8@QQI85Q^hp+K1vM~V*n>-Tj^hgp#}wn^QDbF|&CVkU%g6S}(YGSDh-6Xg3i>WjBPX^dcVNOb|mt?4)@aCKr`H4hrC-BO@qLn#@{ur-C@8i5{_} zvm)@)>nw41zK25Q1y4hBEW_{==F!tcgY_YoYKq}BixmE1_V2tdbmTwH|JIYt86`ud z8sn!aaIh6EX+ELwnq(D-fCrZq`(P4bfRJ{;zEd8r6soRH{Q#ajw>_<&C}y)U8?+3t z@|l8NAEIfeEjRGD^FZV|S?QdvkhxS@u+is$*l(;Rshh$%ao`Bx=TJq5M-XwLP!k7> zxDeRMkClk(!0Ix(9@KkeWW#a(Y51ymO^cY+7>z9vSC3R%iSIn*njftx_jmqnOG2Xl z#MD1ZFtd|^=W{K2f~@H<5jqj}SFU*5r{je_(aP^pRcB=&urK9OKpa-Ljn(|r8io-%R1U0#XnDYXN^ zXmIcGS~Hb(s;)RDaj=TqGJ^K`Aj1KjkIszF56NPY;;7VGqOG&_R#|Hof|JiIX030X z>-}Scu`60l{!p zbZ}TQLYh;6EBnq}V=B?ivQ9&(f}vI4J+nyb4p&1*nq-G8xSVTymrZHn|68jYnh+mp zAXEO<=evba4yfhtAHjRfJh7J!sEnODXkRk{>f1DPfc;Owot@!zDlZ@qz%GAn?X_po{r&0V*i_Pu=&u)$xv(DrcJb$xLO8>ELipy7pk=I)|%u%I&&3gH5s!X zc7?kfU(N?8tCKP{EzIlhps!2gEj=Zm!nZ4(0@wkK5;_YgVuYQ#@O<&GW3_D+U)E}s zcfWHmg0yA8XBL&wLe4M+I&8f7=}-k1FA}YeHs)&W>j)dHYEte1f@1}8bi@}WPFb{< zZiH_Xt{c$gND91+VtNO!9Ib%MM3aCQ$0MvVK#-9=})(3J>(<~s0eEt zgjE#&I5(g)&(5kauejNb@I4a}mkgeK7P`kan3S80hjPV@i0lFiL~TPkf|mJ{>YyET z4Mn~2^*I3Z!2bjztsnq%mG|W8!hv(Xk4U~22ti8Fl}=6{{aWi%t93Z4~FbN%tz*3dAMW}&A0G#wtpUqTPVgEEc1y32!HxicODU{Pz?As;6UcQJ-jdb_BQCiU_k(4LH&|!{3$)&md$pyq%9?5d-vN0840=ou zGa9$r>QNtmwwHWP9)&&OC7Y?V@+rSSB2;fmA%x^vOy-OUdi(e`a7QQwPhTOa3K@ zL&;yE6BiT+jy_l#z{QYRq%(jns<>voQcAC^44xQecU&*ZJBRV;3$6U? z-~Z2J<(<5GaXl8_>6u@Lkwyaz^@tLBJR50GDEx}usS}|?EI#iw|qLE z{gc)yC27cL{fEzBr;(AED95=c^Be@Uv&&t%e)w+Z5aAM-_`jYtT1e4c8Zcx zk*u{lwWk8m_zE?|%A;k))R_8RagGi}b`?=8tN?{)>pnSKc;T3!Doh5B+G>AosV{T#lxE@WW)xM2IQf9)Hf7p&hP1~%HM zAd^XdmM{FTMOCmx7euf{#lIHQKtVV0=vItx)hN_FH9JO!XH%Ip+Z_KFU6?en|9mP~ zml>P)@Y$9LbcqUP2J)oF1P*$ak&5=~0(*3@uW=@VbpHM|13Ja+oA;~NVV5}bd zZmPi>?BLZ^96U^smT8cE<2M<4lM^0;UMF_@>-b4DplJP^K}+DL;Ts!i)bIv63qbhO9>M+815H2_z~L zW|6#xF~^Fea!$E7LR|I#o3H;JfR6=eF&_oa@hA-Mh8(;?-wmOY6W&>0fy_T!F=(Wd z!54THE=X{mNE)KffS8>Y$Vf4lDCqc?hwzaB)=%+5{mgKKgW|cvF@jpjd>MVJps~QQ zOU5`DV&->$Xzu%wFt(Z4& zUb*gk@E8UD?FT>423?N|MPFTSZEI;|X}x}bbZGdc^)|LP>#giz);2I3D{I^JUP0Gm zLIZ==p9lyHTW`JI%YD6f(8cwKE`{CzTRvI62z<=Ec~s_qKIZlR_i|p+z<;mf=Km$@ z)U0m)Sx%l8)pXP8=H2;G2N!O>dvT%RtJmva-}SK$seT%@?C#>=L&w0&iwjm;Us$&I zY1H0t_xX4?Ti%|Y&s9NuC!Ru`NIYZU5lgkZ^5gBq!~~MuWSP@GTI*ia{lvNISLp%X zqP~HPshxau%~huKr++o~u*rLpgq2s+4c%7dYU@+tQmt7-A1UkHmJ>CLAI+U0<3wYM z*!YPu)du~L=6?R*^?ns5zo$hWHT&LQ(=%%p`}sIms2l*Oxd>;JOcwn{Q>TcQMh^Y` ziLX|lCdwI>Y-y?GkR~=ddKdAhbDiq5{By-8>EDSX;vw_VahyN+e{XN9=A&f>Y)B@@ z$jD}>5_Kp4^YM1g5SC=V%iAPpO3C!0# z8Re@uRq!+S5Azf8N&93AA2X3T;fff=$Fi}Qd<$aQ(a-o)4I4?2d4E1zcbykoLB>ZT zODGhg{M&;>Tm*kWc?$bRVXmkZjY$8+b%s={OGblvzP`8!X;HnULh~=;mxDiZ4JnGL zppd-Oo-%SCX^73N67`QV&sNw^;V8$W$0_`Y&tSWr>*Kid_=wrJYOuRy4&fQ$jRAJ}(U}gy6&+J8w zs*faULa}B!IWpD2&x)hWQkK}OU`kUGT{X+BD)@-;m(7Kdo$F7@$(+JpU-!e#lE7dh zE&UVKY=4bEl&Kj?IHf{JPu6O3A9xK+^-m^JfzK84{_)@(M4dc1N1%@Gt)+abQvAyw zkVKD8! zk3Vpulm8|P`*~)Xa$FoXsHsbk&6bSTEAe5Ik+XZsOY93K)Fm^nW++Xaw6ilLX1217 z`e}N*##6wstQeRMF-pzJ&A053U9a?=>rJ>bRa36SJ0M{KK7QcA=O-F8Up=S})%>WU z;LlGH<%!tnT`2E-g@p(W#ioefX!^7ul z(AA_*^02Vjhy-3}?Jrye&l|(G*u_&<`hvq;Ex>h;j!Y{DewO0jlpR&0dVO=I-?Ohv zHHMmx$VrVMakm-UQ;!|LRGP&$HB7_xrRB@GsCz_{WMcD>gWA`2eCAR+3V2T zDj?Bg-)KB1nN_UM6Iass>|Ll_frec(FdO?P3IB?LeZXZ;>NKywMk$PmZ(0y7emPh) z8yvBrgg0_(tlt$rEHVRSN{Yke%kryF%ky2jON&lh}JvZviJW#{BIv9 zsR(UWZq!ed_0HZbcjY}=H(KdWlfPwNDk=DIr7g%>c7pAUpB;(N8gWr7}2y! zbkB^yvW=TomwXKCS&lY(tt5}PB)VK??R#gl$Np#!?*q_}ygTaL1DkHA$^!y`YPzf0 ziO>b`UEkcI*GNAgpN|^$l)V)n>Q@f{H-2`SG*XW#HE*D6+%(DKEde9&qfH1~7G2IE zVV>;Ql#V+HxeI(#MgzI9ADo(@_1kOVkx2Tq8an(4iwLMKj)!6*tw`9@6p$ z-iE+#F7yt!cNM%jZZr<;irkZDxv3}Iqt4PjYn2;<%yV(UrXxPjrUg&c@tves8WGB9 ziu*25i#KXBGg^HN;hKj!YWT}sIserC)n>SLxs{rf@#bH6&rfz&*IZIfxOBFU9(l(+ zMzzfkdxdiTYQhssFXvlDbw^)C^ls@vmu{Yn279j9kT zy+Vx!O+Fmkjs5L$4}ZUzO;Z>~=ssCeOijK?CWkb5+OYb#sa2Qa>41(I;_d48IBs_2 zf-u_j>6GeZ#G~owr$U`;WP^!Fn0SZBE<~_=^#OA3OZ;wbk1K##j-l*#rb{xC7*EEa zHpgY(jLqLx2gk3Mrecq{*?pLu*z$?Y{MtMUhDKklZ^|Ps- zVS$#h?@iWuK;ylEcj=7VcZ1L61=d5q#{@#I$dA8-#w4)|MEzR0G*KVImE#Z`2Lm4d zC@DU@XYEvrEP{~AEfXg^9M4USvkb5@EpQUZhi$)0-a{=@WVX1_wLC0GD_yqf<|{;) zdy%uK#@ z!9$2b*W@DVc=ZPM?7GW12UQSUQ&`@0QBJE}ab0y3%?uwf%2GVX5r(6-NX@b!)mozQK)IutcB8EI zN21qVjq}xw_qpx!HCh5zG0O2*2_G=CSqJt#LuW zeqPH+-efT~NLs8C>W3%xK-b7$>Z+_kpB50FFN)8ox3htx8*)qFcJ=14vF&3lVjwZ1m`vnUUMgl~bRlxP@V9WHbc&rk5~A`RGio2B-hy3p zd5}6TOxX@18h0x(QMP!pH~!yqPcnCA6JVw2-4zGz+?(|p@ppk163%VEgDX?sax z{7z~kPgwOMY4eAp?-3>qA;tA6At$a8s8M(EHZ1CM-08b`GYO&u{=*KcY|%r_Q)5$L z3pJKBqZE8DC#{LvDNOmLb&Quln)xK;Hpgs|pq}F{IwH%OoU(!}pB2SvtW0NW$1P+A zV3PN{v9SX-B}QGtSHLnZ5TD~{!_-wI(vT+S8s0|78W%2)@86ofaTkNGLtmXKuIuZ6 zrnRZ-`nT1cCY7RsaYXS(p2Y|2-nh{k=C#fhH18kPi}`)5Ll7^)bJn0QiF`%jeVsVn zcfA&(&h+r?v5;0Qz&n>4rTq~)q(Cz=G4{#DF^m%Ufkh3aaZ+3dB+zSday0N^uWLLZ2pq;uk!6USDZl-qIO29 zuij?#6o*t^$K-5G@wC=jeJtL+ynk&@7kVkay9NpyA8dqr#_P*2UK233WCBn-RSx(@ zH^f$HBh?UdBL%$(Z$+!VMR0c#-AGDw(cL0Sw^A8wD46VG=g5~c9taa{Fd=|HgrckL z%rf*u*RElF6{16p&9ya?wDKg8D*LaN}mRT__ zuUlrL`V~;%WUQK{8!Z+|ZW-*Z2nqVT2KEXTkQBT|jO-cKtO>t4Ub0A&*+snS$LQ9D z{?vIFStTM3K`C2RGgpe)%l6}o#z#*AKeF7t-@W8brZooNiQ7uEv?VhF|8Y+(q<)EW zfjzj1%Hp^+!oCUvhj~Fjq%g_`b6}u|pK)>Tsqs|9KBsocBjT4%2!!Z0tg@}wMrLwU zoRYOvJ3m$!QhI&!Sbpk#)&uw zF6l=j@3^GyshVj8cWbJ)qqwgn#x};2i1kX3%%z3;I;sc~j|sa4~OMZ^})WI8GY zKK-L_u)Br@4VJ+a5xZ|6FGjB(aMq^Oic0w3S#IBBcdNEGhU~0Q5`|0*i`U9$iqQ~E z!Od#B3TDUOHRIdu2i6jJ<8g6idU$PCw`28&X2&Ch!ao~kb6TzoTIuv+9q$S3GRnyb z6wTEpk9Klw&vU9-UOU>g2VsU`tuL$YMC$Xz3!RKMmnVhl^AXnIH zql^G{K;RJ8&QZI1``ZfTTiNaYd}+$Oh|nwYoC9^m8!kVVpZM(soT59Y%>LE_rs+^! zvC>T#WD6%YNA<_^-l699x_E4VZ+sdzIEMMkRlKqmMzk z;dhWst^F3wkslT+#Xq1Or-Yui7zoe;p{!HV^icEFxD?W2;uRNqoZ?XiYb_fjSBnLi0uaOVt-r&|1*!Y2&Fz4vrNureZjD_0_T^ z3G7z>LS^@(3`^%ft7ImwSH4MS zD34u1SeAr~`hvv!shYQ(_fpty19zh_99TQQL^&!~a=rGufGn~NSht9}o<_Ju z%mI1zcz8|47V$~mnE?2YweS{6_Uv+1TDk)QW~nc)yBYFK{oM**a7P27bK@hI*5i#0 ztba6w+0-MHS$YU9+^H1eBE$w7BN-azf?FoW09|zH=Y9e=S-8m-ZbVZA0YyTZjq*CM zfo{@sTt;1qdl>n-{*=I7GFd0t)IAdQp@M3xnqQ2v2i~Tu^V4zjtrUw@^4EYx2bYJnKv@6;W~q643BV`RfPf$=Hq9H z!$XF|XMwZIc^FTw;tqeHTsV}0f>wl8(_)WeZus*V(H$++iJc<>1*m+dce&#hdCCCb zh6i>ymKJM+`>c3JF`^tej9ABN20}SCYy*(vK^L*Ng2{lVB~v^rQq?S{{<&X%j3j$} zKV}8vvLpf57`)_2GT|1wKwcQXityy1_Lfa zqAdmv5FnI!N`)S3vD!ZcHed6rhH`+@5;iBg5lbh}uTn>kc#&Ke9hjbZ(lV`HBPSiB zS_aZoMydD!%t~(a>|-HgrRJ1J>5qlWEZY_4RGc;1>!b4CxRC)*@nH;MW7fi$78;zw zyO_|}?ZVX@uMF8fUZj1pXX{vQqqzwcE*ab*thSZ+4x@vC2_e%K;{lY>xmaL8n3LfZ zQG{S7Q?o=qQ1xvQ^fvAQ@FktH2(v$>`c4?7I842})2^D}K=B-_wilM1!s;_)YEU^2 z1})wUNP!hrsf!`2d9HxQ1=q}Kn-C~d$Kn6Ccz!}_I`Bwi7+)C zZ%h~e6zZhIOzGlJ!bfv6V5rJ3_Uenji+fsPA_xp@slg;`39ee?wEcucOD(^uTjPk5 zI5qlUe#&%Ho~a{vz>Ncl-CU}Paz>ABiVI5-ETKBl5}VM+oFZqElhPccE#va`kW!zj z5BywY-bwEAL%S3(6-^}Dsg@P-p$MP~LP02vxqFzuH1j@@I>)3w5{q=tz)5-1u(vUR z`RKrKP6+0~ZPW$KijgQHZ8*o6z^#NTN75OOgu1rM%fKuIw^FIc^*BIoX=2#HccrIt zIUymK<9CS9IOa4@kiL>$a)w8MiSvFRbK-q@^3Y^!b8V~g zAXijF)JL%5)|vB~2pwavo6sOXcJy?d_xmXz)!K zHmooqh?P}BRXR9w?205ouBg_GQY~_17~GPzjiK+zz6o@eN|Q<7y{VV10r?k9FhBa4{D&QI0l*AQ0gutr=_{D4Y0c?v%Q0TT&F ze;}&-X6%1QP)k^zL4;K38Z=h##+|CMbOF_;s4IFOv2mUeFKyuWr2N4LQx6p9H=()Y zz=RfFkHv}$kp-w6>-`UFHet z_-0`86v;U0Qef0Y3UTQ)$Q2U*$pMqbE>WmwWy81(1tQs$BB@C{(xggxaT8h8xh9PkBhR%Q%OVSEP4 zctW)Z9!uvr0C^C&A!|KN+BlH_K@tMr7(s6|5~mVDNf->|3E8KRw6NG3MqswgXs!A4 zLY%eA_$`?%c1QaWp*P?Nr4CmlzOUO9vX;PoxdHQ8=d!X2M>MB7__T4nS0Mu%|A6pa5YH!G-{L z_1I=ORNHuU!8Jj38_#>(LE7qC%+9Q8skN2Yoq`U$2PK>ydo|82B{r4)gp=5SZWWpd zb8RrN8f4naCSoTE%V9#$`wCjvCh$Js%XgxpK_>_!Z_o(_=I90qqEs~Lti`(m(0SB7 z+^Dt6Q(TvdiZ38piF^aP1jmyUqBj9`mvc;E*c`32?rd;qT3$FY;_?unTNPLz@LIO8 zh7z@f=x9J(h@i!}ppM^?F~(N=dZfrwiH|uU4bkkJ@>X(M*i{s+m&;`Mn;e-Q*d0hBYoGY(`HVOm|g zMunq4`ZfZ*U0&fO*Vl)dCm6(_deUkcQs8es{eXIT97&J5f@MoXr-V^nj1lRCKTn2i zm2(J(uVU?@r8d?X6L?iq{;_Rif@Hx3mrf#$<8LEZa0Y{bZGb&Qy&hAR#k{6}OA(1l zKR%;&J3nPFE|M7_i#JwnEj5t3=&~JY#3XbUhe31rDm3EogMiJz9*BA=rd$uNU!gd;U(w@; z(PFvK*tbc~If4f7<4&rX?Y|Trg62f(xLN#`9w@Z4r*zDF_k(}CiGoIx67?75d`vty zlA>Bn2|uh^f*IG-=us}E;OC=$#no$+ODX3LYxFTIxFU7bp89~p2(1ccMyN(JkBFe* zZ!>bQ%j1_7Jc~o{op-(`^6beJgWp`S1Ln_eGXWx`$z&}-MhuE0e?;D{a3jn2IW$8V zE!x6obgneg5+KnB%j%at{&P!{HxV`#2-=*4Ypijc)D7edFBCg(suQ`{q9n#dK!Lyv z0}uX-4Uz9_3<D+{reWM+8FGjZn@sbeM#Q2Od(Q5?Kt8Q!%JWvITA zLej@O(ZE7|$z_Ho7aG~f^*M$aE$Z<3;N;`it(4;ydCc};UJGudGlX-68cC}>P$Ltc zy%F3+{Dr^5N^FwI;T5v~RBHl{8!U9oXc3hfP8zK6;iVVz@yJE*4|kn}TzfgGMO z>LE_XjdoG~O;;ZTp3&{L`r~bslG7+!*rpmnX4T_(?(QD^@;@1Kh18EVh2Nw6t%oqg zy%GURaX28NbEALz0q-F=xN-~xT!k!mNzs?!EoLfv=1YibwJ^z6xdXTb!Rcf0Tv7B$ z)itc(w3N=R5WJrHPYI1pnJb_|6OOB`a%-FcSNZ!u5!rzz`!0~QRE=b=v@BD zOW{>|U?PaMV5J~M0FDQZEHt%|bA*-<90F6PhhIxcj#SN*5~{m|$H4}_+=k_>w-0oC zkcUWuzL>87LZAIb3RiEJEvzpWoqEb3-mY#1(o?J3gdxMbY%vS!52bkCK|kfd8kh`W z4kyfniDo2dj@>2&D#ca?^$SoIAmfJY_{F=`W3?SqQ!$PU*Y6>MX7Lu8zdRrHX6Hww zzy#RsKz=Ho8IzK$PHWc4&)CY}ACsHD_LHNM7|vtf_GFwRFz`lLonGk!N$u#5 z-&BawQlC#DEy8c1F>iUc38llZU_e{Qv&C!zq97D&Wq%e^=rgZ|$drGJi2UV5suRD{8Z-Go{qu)TM}aWcw%%Mx&+-zeU$WvKC+Os=tF53@Nw^ae2gulA zE!%n+W^Y@m?CS|M`2?(c`b>oZR|slx#}c?8^#CU)2;f{(Ez|8rbkLk5^FKRBPtj|U zfo2hMVBMenmvGep+lcfO`q8NEYOX zYL`{8eZP!&a!*h@YGPB^3k3l#ILpYzepK#OV(Nafyra4RLi`_k{Qs_;!NB6U5N_Ct zGQwaT#~Fg(^#u>Zq^2{j#pUQxp%niV#6os=90u#Kw1Pc!mf9>nP3PLZLl|$P^alJuELR!*BEhnG$Nf-Qf2^?eSJk&DxQW7> zHJ;moYH(b>K^U$hO6RwGsk1Q1bMM2I{~R*gOrKW^@~$!_lQtJA`wU5Ev1{ z(xaTuKC71cvP-T`2(%?v z{j*yPp{!8W>ao|V!&A@;@Ox;!w3Z;CSZHorZ>ki{0Wp^&_ju!GgV6hWq+an?m)fa1P$vgDDq+(2c-`kq1JU#3WggJM=;cax?tA~#1{gyK>d)Xf1ken@ zZB{nvA&haj6sRu3fksFr=5x%O7~h1MoRScrRM=yq+zpgMaN5ev-1o%)P*TJpO?9h8 z<1|LF#s1ZZhg&XTEN?=yIYy0yufn68R0tt*0d`3%cVw+XJ}1$H5c%K^It){0rA}=~gg{N{RCS6s_gLN4gX|s&s{*vKw1(?UWRdW> zWd+0f)X2XUvefDZ**}sZV|da$nF6Z%R6Frquo1Vnf2x@Hm**^h@?~7^`|z{+A4%PF zirZ`1ab@fge$g*~VZN;r2`r-r;+LhywvGu>(K(z0_bPLxyfrM#3DabpNe^OV@-{UY z*PE{1C-DuE5MQEfqUsM93N9r`J-QHYTN)fZN5a1sgl)%U-eIiswL~lsT+W+%yRPNE zaM?XGMK@O%15tyNcFwBHpF^ZENrKlGB&Tq3*3#9J7xVHw!sRMd^9$?Y$^5&F6o>}o zv_`Aw)JzdE@oSPjJ+zM{tM5_HBx&u@vF=?ZKh6*)qcT@;di^vWUKwa1<;XA zx~j<}fei*Uy}&f%qx*}XAZN*9HtfO&$@348(+V{kr7!c;+FwE-l-1Vy{hd$iT353|>(52jS7BA#&OY<6J>C(s51N>$KV^rb<+j#VcU zzFkvgyj%gHxGE`n>=iiAl-OhgTQhDK`-1WFE`c_ao$O9fObLB$F`hsNgk^{eOp&D+ z*qd>mrOJHqo%QU`1_>&E%Vt9!EvO5h)g{}9uqq@jK|kbx+bnF9^MGDDmU2o@r;+qc zIOjXfAss9n_6Dh_i!Sg~!~)z&Iu9(Zbgo!gIBawY^8ob0MNZ3b$5X&EY(bM&3p>9G zy@ut^;5NcOgq`p?Sbq^)XpB3=%Pth+^|@pqQe{;Rn}g850}XY-T@|haBI!P7Dqy@u zLMz}m53z#=1MQ}{T@wsp`*3P-jXLIcBLufv*`P<&rr?`QKJt7P`{844XEfj5D?1=@ zDDLj+Zq_X!{2nq$2izZVO;yfdL2z0aP@67cZK9!X@ddeb$11EzePRlGKHH8axFdMX z0oUd_g^9z?!2nh`X^UA{AJx>$FleYgUL&8y0WqLFAlyc0j`gy1IKDx^neoT(+9pk3FO;IJyLBLq;0*_Uzr*o2m(r zJ1*nh)D&={Xn1Qg0saq2WV>K`nxAn>RmLm_v6g^`h3^B>(>)IW5A`S|4H>HZM$)pOfM3}M0}k&$lbw{XACpu8t&>VxZpSHN3~Y^aJy?LTLu|xy`7j~ z!5*mDU4d?%iJQCkr!bOU6p1zYM6{{6Ucp|a{x>qzkNsrQJiRQB5AGFku*~2OR;r$Y z5ny$IbKrw;wx6JO;Jt#y_%Gmt*m;xIbJ6-XK<&YMo!`3-)U?&&=3rhFsX7~uxI7nw zopSzMQp<(A;fP=iRINdoF?g?FF|}px zHQ~jne|*8_AR@B z5#B_yvqZ?owV;K|@7~)7yEG(LP7pqzUld)Daj$b{fL|c|Q8?b|>%j zD+blLL)R<#9|yS6&dhyD9ojH|Cam4k=6|0tX5iCp&X zmEI;R`*o&TK}|Z$%b_q{{m#pA4%(GK*m+$=-mA(t z_N-tIRA=)B&j+52dz*7jkZROQND!pzuO!Q8;(IJbK;aAGt;4GCd0W(fvIa`)9nST= z{ZbmUgH*J>mD|Oe$SPr$le8^W%vn+OopT+zxaq*lYRpC%)UDQ9z zTM7j*mm?Ius~MJ9VH)3Ab++J?GB;~vPtJWkbre~CP~5fW3CFL+Pu#WHg6kJO8_(PW zycXCI#uD+|z8LZ!+^Fj#U>hRVe>t!0fyOOw!nfmSZsoRG>d22gS9+VH;O=43(ZTIw zqvh21?;uB&dKvV)(`Fu+-#p%m-0XyAmCFEJ=(&v~e(l zR`9}z*Nyr@jrtyzepz`Vpw#JmLj^#1DxDyp?;|<+;B_yWKMCoNA#VN4k2(-*4&PH@@vvGa?J<9~MzOPc zbAo9aJ^A`i{C_%~JJ;!wM%EHp{CL82A&XapH6$~RGPfiUb7piCIylDOu09p|2_0U> z-VPt$`P_?Is4&)$7alPq5HuU^AzO}czi0BRW+FysKm9znD%xyiP3JD@0qB$V{upw7 zw!`-}N2Z`^J85;=~hxpp&=*>2`Sliv^$cE-uw79o?aAZDZTK70% zEC)MB%UwFM!_P|EybH{kVdV+UjEj*Kk%iXLTicg_Q{U-Ty`fL%5_wpkH!Je|ZS}mF zXmuW~DYzNMyMUTe=sy01Qd!*`%{qwRX58W9?p9fY3>zZs$oG8q6=6%DeNFSDhQ7QJ z`ifcga#+cerv|itAjPa(Bgya6+*Os8r}Ie{Q6j&u;J8k9t3;3PAtSml{8s6C#iwbE zZ`jWJj-(|@({oxg1|j{#2qvkC@`rZx95@)G3MV6K-L@&-ujqZG*$B%S(uIBpfWN2Y zeTjUx&EvAiI>ReQxgc0N{m2yMYl=-BWajlOX5 zx@GyFLGrncOsChgKmQ*Sz$}|_zVU`$FZzH_%!NVem9pv2J`mpXz^vGXX^+L&zO#ri zfDNsgGP!|~)@>8nUilm=e^#%1?(iZN`EMEf^ZRhxCk3B%3~QY=+W5ko!Y+weEg8Z2 zrI;toT0|d_OdCOIBho9As`~g>O#b3=sJ?u@)euuZuz%;6ECjEu@GnnSK$|H@=C6)9 zyo}k=kKa>Y*{!cT`d0Iw9%z~CfxA(D!`=-yenCS*J3jHF2`|M(rni(Ys;b)en(+Tf%g*Q9KorOH9NjUt z_dmi)2UGv^(1_ZD2;&aKWHqD_F*A7k2S-W=cR7cr9ah= z=gP(Hzn<0*rrM*2#@nXbp_+;6o_=qZ6_`Qf1%E9_1&|ts$Ggl{3$8U@h*)()JKkn? z1AyvOJN)hqeC9AlynsJBZ5BMceQfYOsead7VJVbn^T4tqkLB zomI$AK(e_L-BCF0u&68a!AXR}t6?;lrVq!Xnl2fsvU%IDXM~Ej?&V4QWs0)UMri1a z6IEi&m_B)(*c)KX(ARVH&NpV*W;&LV}5RAsHk^JVa8g>C6SiP0;`o~nsP(Q z4(826p{Ks5De6mHCN0K|%j41dTAjU?9f>5)hWsdhu6kVgYk{GxYk-?~;%MHkBuZ6t z?YzIwUO)+i5vAGB9bKb{f2}szN<-xb|XBGN!Tx2 zI~e!9f*d?BtIT~y%|+vT3l!Bi$AM4U>H3jyoxp0B2bw+FSo5dyhz;kI%l`f2X37?P zZxI~30`F3>4(v40!B6sW=%e6}igwZ|^aIYi-_IUGye2|hHcdpkwrA*cwC^Zm*PXpi zv<}ZagIi9VyxHm8@WUW~o-EZ7n)Ke+tOM_V8!4-rNbLxqB_UjT;MgbG4ZUNzEN4m%v@&FTw`=>V&+UtX)#3Vn&>%5!{_{GyFf7DtyS9GZ=2C6m< zKUmJowW{Kqos+#lYkAe}p=pEe+0e7GXpgS=-~XHE!|(5r1)E=&CUr|U93NGm<>W43Kh(x0t9eiX4^lVXJ5;FPIG)?hvQ% z_;@DN`swtQ@7_2INHJz~d%p3=3e8e7F#flO@bmMGMSk8G)k^gYL`ih({*GA8ZL`pF znSWd5#_R;?`Mt;j7c+lU>kbJoIU!rX6Ij1QMh?M>;LulHu7Z6lABLYfU!XrEMjpZa zZbR*Bc+9U-@8*&e{|0@n>$_9Ibdp&grGCLjFZ|3F|4t~!`o>er?d%Vwdw(#jmC^S7 zSW(Le^adj*+YwBcIjzi~#|IF&mj7;>z zbLH{`k$IA4a7voyT)%&v$>&$4BMrvl8+<_?R!hm9eCtk$>gcEJvS9yoeSmhW zNL=^fK1e@QnR4DCi{C-feqVQxTJR_F^A=E9hbk23vt7L({)T@}^(MD`sAqhN8p>-#u|KBWtrD|V0$XrKko#`*Hj)W5&FQ@W)ls=yndSsuXkVyW^|T;9ucaw? zO98JRWjJZR^ASJ3vF!J*j%laCC;q2g5*~=b+8OT5zNsHU(ocgw*YPu~{WJfAn!vrT zKiZ4vdHXO&%I)4PLM*sdu&CX)RZ0#>>PKx9K^Azic)+*y4C#3b&(M9-8wEjX#Adlk zNb6CtxxAoQv%QNA{;0rE1)gr{VqcNh8mgv>HM_=oL#lIeoiE(ZOG<*y3|Nq-PgRKC z){8}FKb$$jefD;`OIfm{t@dZOc;gQXmK~QwWyCtf_jEL1B?FhtCHkYD*KxXk&1PU8 zlEkL0*mJL#F0VjsRLET8kZBJ0ysL3NXVB-c82{(ab*y2Z$M0RYHJE)G?YHLfUdz>M zC1td$n@QW{i*6%viSM^zp^_1Y7cd)u!S7{fk9Vl^;$6fP9yES*uVp%mqsC>D+i*D6s}(t_k+$~_V%99d0f3VZO4V`e{xr( z6)<-``r`D&&))>zQs^T@ry`jthu^g}YL-Z}zT=npZW&8$m~o?Sm__Sg(NF*Uya$x8 zkvKHmi0E;V2fxzEw%kZOvjunGyYtc4_iw+MhiT*Ew|S2D4#OTqbI38TWC~V}p-NDU za_CY-J=STb+Uy|R*mF#P?#v%ENIFs|KGrIwJgN7;YnVF% zST4Z3d>Mc5X-+-xz3g~=sh~w$74!v0xoB)~BKp{&L2JM-Kvlc)@1xo=}TpCXYQ#z%uE^96xc%R5`Kf zKu{jLIc2K6SI4~*oA){b{F%=-q@<+4j%_v~VtPC;j5SE0GQ9s*Ym@1ei2=(}Z|=jl z)2hodJ$Qou=1_BWofGim!bW13)!A$y?0cFZ8{qdBE75z|763F%9oCSt5btWcxlCys zE_lI=_QpyevrHXT{^kB%f_*H?KD_-N>F8P;lCGTF_4rJ8ocD(_UTSs8Dv419q<^k{PZN}^lyV%=X42BdM;lJAWM=eNy zJN;x~^trpd_@^UG_Gta?ISB@!Zb^0J+pVB!MqoM_>)$nycnK8riHR`1geqoWVC9Eh zXH8n(2od%`*>M8z*Dz_1YXLV2$?(czu8xWM&hIauPH6;b=(WZ9FX~xi*)E?f`o2ev zH;#EOQzyPcEgRzhCYmYH0h$AnvP}Gy?*ay;AW)#=ylYJ71=BVwETCi&)AaQbQf zz4Nc?HWeryYbNO?U<VZBp-qOP`=8g7@ABj?au{)IipWVw<+U``^A{nNH=fxX zQRuk-Y{uAG@KBSFk@4G6Q1ock5B%oJoduqc&fv5KzF(s%su<>pcM_TnJ~|c>rqC4| z#BaZ#7|(B9CEj%VQT^(8{$PZmYVpB0g=JqhM_A0BpRU`V2P)eq!TnAk=J1EruKP$b zy=eWPef`#B&a@l)@GbXvxTh@CU&0J!xaU-QeOkbv4l!hO9}>}b_XRWTS5GTni@#2i z(9uTi-n+KU-I?rv(Y<@y$@=RU=wh`Td2>4J(=zaL-Wem7!IlI;;Vwhhx@0xy1+#Lw zKg|AS#0rTz?|1yui5W-fp40JKySDKH0}_sR>!|vMN!)*Vo0Be>-qxJ58lB7pV()B6 zzlPLl3a!Y5Qa&xSk*a?z@Su3AxRL4@+u9?Y5WPSz;V0v)-uiz>=$h8}3pL)$zUmv^cKtYMJUHm~?Cx#A!Co*Cs^K`v_QI1;0}my?k_4YRjQau8>8UY(GDb`- zT{eW?(H!>?HoHn4^}xd#2L77Fcx3lrcinGK-Gq!q*}b*Bo5zMy-)^j>VzSHuoDibt zg)~z8ZUFx3d$F!PZ3Ee6n|Rf%bgUUcZ=W@MhxMpGCPeGhbxQsZOWz*P^!xr_6dg!W z$#F>%LWP_y385^9QiKYrq`k{&#o7u9>Y`@p% z`}qCk_SzozUb}bQ*Y$ipuj{^E7#VyI@5F|uy|LW@7o!U&Qmz2rj@hGtMjqE}Ui0)d zVyxW?T>CX0s0#-tr5u6dk7S4^i+oa>ox$7pOa3sC$ET_a5|VphlK3BlzoS%p?CiC* zSHf#QdY%!qtZb79Pk2AXW1pa=!L&8Xue)`xA_Cn&g8T;T`24L0{z2|W;R=w&(KwgK zSN|sdYrKfHtJ7YP6`6;!2YKFhy=_-6O<$G5^ZDN;J70L?aCl(AKICTfS!@iGAGiV2ag6M^DkgK?_ z2)wuKm9Yc4Qc+UJXa}9e&Mx2WK6Q1jX24udoca2TsV(1)X(N29Ww3bdFrU$s(DBP- z8)3U0{C-hT{AS*%9dLg?QPXgqJvJ<*v+q`jTI}2v=UP~{Zi6?QxV;QV=Cg+B*tILB zwYi52LlOz#)TtpkE&$Xjj=!JVDo6*&6|Y6WQwp1@NSgpVBq-#gbOB9aUN9cQa(2Esm z;k{>xQd=*LO)l9HF7vGqKj`rRax8*X7v74kmbmw+bCq>-V%QeM4!ji{=|fud=}Brr8J zV83Vv@#nWaOtji~aY|y1UP(SW;N|j<} zr{wTta1QY_>O7lLx5X?fW;~V@hy|Is-+!W^%!QK14eRHK5s65%SJNn|=raRhHb;@A zb%_BHnVn@eG^YSdrwjw9xn~86qBXQ0^y(D}9lX1Y4^AB2vSD$c#j$d`@YL>hY^oTD zyI`HcIzI+^8{F1;$bzOE?K!}-%1Mb5^tqw|aAcnCcF9c81rU!Iqc z$pm2AbDn5-y707W0mwNSRt;O3pnog!S>hdiKb|fJ$9L9rzlh3&LNn zb*5H)M3S64{mB>I(f+F3HPT{9pnUX|0oJB*XLQ9613?K`S=(OjEc5Sj*>Lkwstk{u|=uZ(ATeq46|I`y-SDzTLwhi3BPtKP!S^U z)KVnbv#ddKCJ!eU2X1NzV_WV+_;6`$e}NT1j)h9?N?2Cp!Z)fL{C9cbCN6WLe@v_^ z19FZo62hi1L+13B4~Tg1*Z=L|T{_OH-+>ht0n{gmHfF!&x1$N`K*v3$(o=z+@oO;D);@w|7DTJNf zxa3`FIsNA>EoFyyGAH}Xvdg4+X}qcMHNs(PSqX*E4Dwhcn6FUgPjIWlWx0@-^L84~ zLQimoVy{WyH0@8xjt$va@IEfFRkaw@K6HdJe?t<%X}IC>>o3Z9F7Gh(@#cB!&nqgv z_;l`hHgRjiUSZ1(Lo`a^RnOloDPq%@2Fma=QXs43#w9EHh8t{@s?*UquN%f&Hr^hO zPWgfyFi+lncTca|Giv8@GvJZVNFELtZyz>WxHmpwFR?sN8J@XO`D=aowEifMyJu%l zQy|0|2(CU=mgf*fvv9x=Zz2r7m)N~@q6}Yhu(^!;T1ZghK6iw-6CMr(nM}@b?z3Z+ z@tt2h*>{o}Z{EE0<_*KPY@ZSyl(z=xeW;N!=8YHV09I+8AN8%oZW|mwUp6zey&-;p zX=|>LHwY-08x?DfBg)Vs9}ZIUOi3hW*k+Jv3(?5?^h|tsM`g8LRq-s~+dzmt)2Y`c z3C7Uys+t#PM7m1l{CzI?(Cg=4&7lX88Y1JfSgZL&L)CaL&a-pQXS~rKOa9j-v=sYU zFw##$F2`z*$JnEkE_OPu)$QE65y03N3hMb-`Gsl0ou++I%xuW%cTE3++olPYkwgq+ zU3#`W5L`#ar}Z?Xk?S)3jt!6Ch@+Y@e0Csnw!b zPIdeB3O9erg$_6NAmdK8&ZN_^WHR$LW$%@Z{~MWXyKuwm86L#d>^;Ir*~@JXunGS( zq5$_F_I)i@kZD-wuj~BrUU3c>JWA$$zF0VZjU>^3#Ys|cQQ1<-BZ~=Xe_tLCiuzZ@=mOIjN<%5rasu1`D-X0Z5syz3%&Qjd0yJ5OQi5pN= za{G;ys%2d$RaL_13VZjQD_Q%Na}7J5GeYT2ve?&R+6)#P1zGCn%go)4cK!-EH@aH{N>0n*> zlAEz2S&bx#d6hUu9tkQEoyN>9(B554GCtq0CF!ck_jt>fld_YIHH*Q<`pa8T4%iXS} z$&$u9kDp{*3LqcbyKZ8iey^dk^b$L^eX+gL)Q(^MgK$$3s>CgL^nAfV9H-CVY247r zn`1OK*n_3Yt~eK?4l#Jhq_o~sE?_MBSz2!r5D3NbultK(v4R&i0! zsf<^E$=9roH`b4>AB5ksvRa|6Og(A#(-k)pemz5~3v0R}P_}D~BxKY$de)K>kzULQ zOb%D#l8b5k5Ypoxil7PNU0jnJlUwoqDN_UF?JZ!2`Dtu368)+2I~u3xFnh(jHpY63 z#9_by8ZeG0Np`&IXoGw#0G8-g`d|y1a033#_~ybJfKLy7Jd0f^O1*Cn&U7`?RQ;AD zvl0Ej#fubA8Zxk7R@kzFM%fM064S21PEhH9C>?oxFbex~F!7;Sv!E#A?;e}?5d^(C zv&#+VxHG%Yt^NnZxMEn{S(*}RsW)qIZO01J7Q~aJebmxIzVN9uqDfC$Gr*mJ8??RA zhykYAhs6S^pi9q)b?tRKgk3xWb~;vV1L(3OtAEJ`zCR+IO@VgQK1C{BI`cV4(|*4T zQyLBFqeTDm*}R~QId<-D@Je$4apx&{f*mz488&g~_8A-F!^{4O99A(a$5; zv;xGY*vR++?DUcd=249F>v=Pdb_25za51lJ; z-WV{jq|EC_YWn8`CW0z(j?)2RdF^Lmd~@dd2jiT>-~vg0m2rK|>dfr!^0m^xW@I_| zs2*NO|6UY05^wkE`7epum*AYdGDN5>ChmIXeFbYf{?Zn&^J}DjdAKdb^Sm=D?SF%z z75t`XB!Iqx8b@AB(sl{|el*ZKE(LH)5cg^q8lNQ6Q4c-^MO^Bs$B%)B` zbF&Mv^QHFKm5Q}f*}DK@2ay~bnzP4JLXuwtSqe!^K%T#bC@Q{>5P6!d>?5hum)|CI zNLb26IuDpLc<|yb?Z6Q402kt(Vq9po;ykpjnAP#+eY(t6iO&t;{XQke+IDdmY1a{~ zp^yZ83`!?9y_lr|gv37k*?MP`!vImHz@%ug+zUQmtYz{2*r0{puuNDavLFSC`m(kKWSea1j!*#PJBDRy zVUt|alrlG+#O*6pxVK)!+T>*;eZ%|G0_N87_F-kjtS~-(TICTkm`zzL*_%%2tOJaZ z75PCSQX|c75%fPH&+$ayGw@y!Z{zA5;ycPuM$&flL(u1ACv4TZKGJ4^8ZwiI7z$_8 zzr^dEkAmSbCdik$_bI5u^QvLNIWcbB-W#>djGF*+g@!E&8^**oAH%27ynE}v^%nn= z)D2XD(h};oFI(*?Ls}@DvSZL5SAep8w<03`jVer&55uEVMota_g$F0J7`ztg#pJB( zhKWeK#Nftw8Oa3zKsA;zIhr5kf%sgr&>X)LxP`cKj|$=66I|DRpZ6ZkMvV|I+}NAa zRs1Y~^+eMppz$nrCT>scj3WL|ruIOilSDr@02=`EPeq#EyTAdsOGe?<$V9v*x4eX6 zlCpZeN_In!q2P>?Tl!H1R@o?J7$*X6z^m z!>KhZ+IBjF>-;-!00%w*C<_Qk&eTwph;o?Wtc2?z6F#n?#YnCy*nH2(4ttu4ZOfdd z_q$g(Okn%jnb1sqEz7`^gJ#IF;)}Dxdf1g!*LRpaq4z0U$P@&@jeV-be20m`G@N}p zyzWVPW&FQ9o9Kgr>eh|xuR6#ZE;ey(rpN||^(girQ||>P=0=JPsJ(clPH}0nh_z#w zud)lk6Fd!5K}>?jmmz4%u!I8&l3)9W>P`}w>q^$?SQiIz$@QIEBtkB+=YAGHj#%NY zv)1|Uq#X(nm^M`BUL^cb67dSoeC~PMhLjgp90y?{wj#W2w$?S8C@IO}y|SpEw#1lUw>LQU=R+_}r!0)ia>KTu=mZ=qj96otQ- zq#cj^izu>u@VAK4bm+xRi4G&!|dUPDefNP{B* zP++pv@ga3DD%{+VLA2QK7+W8{vb+3?Z=@JNYmsMT@URM4n3g0$ z4cTkQuKNJ3_`t@(3yH+q84f6gy+FsZVm{oHO$+6&AeFoxqGofL{beLnSdRhAd^+|d zAAHXKb5DdKq@@TWwYnmJ4PYP37VrNQd5$|aGBgfEYL`!d;73`PTFPBqp=kp_8@8?V z>#Gl`jbR^Okj*X~{g3z;_uP3Fu`K|z;Q=~ZYj@GJdh+GGcu{)pj-A@R=@q+ysL+-o zpIBbOO(Kv%X^uNo!S9?I(%~}%xK2|WzG+$Y&CJeS&!|DoK>T~~Q;$LUcJ`CemKJLU z&sxLEh(>A#B;w9*_ZPHx$OF4K&9O7&s@Us1wIgil>%G!5382$2_Zow{P#Nim&lR+V z=>i9GZ|qzAA2HlO)Ywg!e18@-2z_IIf7b(e;9Wb03b*pU%PS$h1JGhsY?UVLo;lB) zCO`h`FloSNAZB$38=uGn0v9OOiwkM}w@Wz2z?>mUEeV05i2%$K6sQ3@0DTN#rXwGj ziO56n4Wm}m13;n8!WOj`VrTozHA>s@xgW_iF)5I|J*PbK0-F-?&2S(E^or5>N?Zx( zN?XpM{u>AzSTxyOMXKEfM?_ztxuKMzZx3M7186F$-(XG080p1Y!v2fK9eIoFX#Xzp z74Fo^Q_<0$nA0dALC3VUwQZZ!9%OCGnh*3ZPuu=rC_INb607BY@A>NZXYU;m*23+h_ATIgwO@|Lp;k@?#5a zN&~C6!Gu>?TjxfhrNTL9?4UM`BP5&Mk?ioih zmtOODo4qEr+@kYk&Hojr_Q~>|iAlM#e}83L3>kOs4+gZ4+A>Df>wQFNXR-hEm)|gW zlhV^MG8?Aj+qe`Gpr7r#0au6%9QU^;?pR^iE_qo5Sj%o$^A}rsH?n3*S?^QNg10E> zurLM|0h8}oPn>7r9awTI?G=zzT)0+zCF7UuwZlIyXglOb#?29KPVO$xqu5AJ4}|@_ zy48e78nZ{KuT&Wo1i1qFR_hxceODE>V(i&=-h@-1?yJQ2w>roExb@RJ-u*}sVgS-% zAjG7K;oRPjXKUB{@Po{_z6{!9^7Ub-zqAaMTk}b{e7Ekhw7Sg|bbfkE;apwxH z)$USmONJzPfVr{lYT)KcQ%2sFp{;Z18#9RktL14qp3EYOsA5ejMNYhG!4ufxmB+UO zim6ak_}9dFX5}86vd&_?EMJ42+9ifd{A1x{J>ds@k6Iz0$$N_IE#Il>fo1jMQm8;- zMC0Z!wkK9z@AzJc)3P&uV!^Z4TAbf0fKKf$Ct_oQ70YpWqtWF_-`>C_AiG;T^X|(n zwld|x9+d5%AER_s313SYA_65n8<%_y2bpGL)%ieao5@CXkSOue^hy<`zB)Y_sDX_M z=Teh&=i!9e$)45lAC%yInqCh1lb%?iKyUoHmOH{d&EevG={RE`-UaX`l|FXZgB3p@ z!*;G8Wbo`#(&qs#%c`pm>wNjcHDCC5_OO)DF=q?XY8{??!d!saf;!d<&n^BE$@Zaz zrR|X%1EyK=IZp(ZFk&X`wK%27#|HZjyr%&+fU&n(oHV&n*|vT)jOK26NqGXAh=MZ7 z&ev16pz!Vo^B+2obBppC*FxKhlz~JgCWB!XV_^6SpkCab)mLVy1z)@Uz-qtoF*A<> z#8;)K!z>-`HI^yj6`v8&F`k{*Hc#1IZf9QI#<9CsyStn){QkjLsin0d)Q~XT=3ZJ6 zG;CvR$3N!*a%39wfZ+Km{XJ>jB50NTK$JafgRQihTZpOqEUpGjc zdOD@?>Zr`Sal@MlHBSp3PfaBzXi)ZBf1H^>V0&VT#MLF<($Dq2Rk{CcSih-2Lu<`j ztgA$`uv=r*6H!JNr@C&Z1Suh7Ve2o~Wch=U?C;6}ol5A&hniBx$eAOX-mrzyk3}Y% z`7WvP8-^zZp;9o(DNa;DlgBQbT~!Zr`#L6X=xhXTJ|+m$M(xlJWLi6Bq~cQcTD z;I0}d_926lLO$_ppAth)l-Hj1N(t? zYmFn(LBPt!?<*YjT7G}!yvw|+!K0~XG?BV-=qyMztRJg3yZJ*Qe z;LmGMJlxnVmY6r;&w8#ucBEvgMjdZ*)Qo2EyZ*>GdHH2GK6F#BO!q}sAp@}q-_qpk z0_nb*gmZR-FHYerJa1?_GckJ(e2jES8w2}aWW>%^-w~DZl4@J}cd_he%xlaer+5*t zFg0A|NR&_M4H@Ih9ryd(D(@5yt$fx&n6fTLwMBGYp>+j=#;An$F4Q!)FX4i;Kz*xB$`Zm^{I%qmNB|{aNmH4_oIX<$pdpTmkxF(2M2WQyWI-0d_MV7`?m=`jG^c zhD7^sh3wD)yMcbzo4`uH1OIz`gIsGf;zi|5eK_nKq&Rx&*OUAJC-T47{(h}31B{Bb zGa(d1_P-PSGNmOhGKCXs^6bz9wx~p1@G&XzaWrd} z7t$hG;%>q>cxen?N`BxBYz|3|K6bj%OAe`_pL5?WyF(t4>?=KgpmQ zJkb@XURV@ykVwn`D;9Dka)QnSI0E9(MpOZR3mWq{;nCl8p?^=K)TyqZ3sDkTbHPJ;us4f_bU1YGl&?C)1JY;D3^WzW` zj&70X!Z6x(mkGyg;T(p$0X1x z(%`->6es}oUrejtWEWI{b(?c4VXcV7w2sovj8Vgx$p_Fr`uM*kp}m>5ia0*>&POdB zg!}JT)k#m-^V_rog_>8!u>!NG)LW|bwqd<8TV>JPM z|9TLc{LOEu3%oO~-sjpbYL$#c`ro3)$_Cx=27CAh_TS_AR<%3q=NJfyxCwd!O^Mkx zFHPKQQxytfm$b4rQ#r=J-)r#QNW_p?rfmQM5^4_HsUip~P8XSMqgu;IcEbeoAol*_ zFud+D$uVh`Et*vzm^Xth%ZuYhCf5A+-&bwH(paefFKH@gehXNQFW-u~J_4aYPb#VvVwtUVyhCL_Ty6&IwM!2yeyyzjt}Nch z`M&;Z#6VneGxL@?Z!9a~_L2Fj%zP95n-hH}lOFEsnT_|#jJSLxwBtl}9rTEEdnTSp zpINm4pO(o~MCr%>QWKq?nAi1ULFr`KwVf*`>w$*a?Da>OOun$4F4-!UVpkp4bic#S zN}#EUW>S-hDx%(z7%jd^7v>k;x&#xszCZS5W#Phr@m$M$An);3|A zBtGnBtxSGi0>#AK>k(#v4n7M)3^VMw8@=?&V)8*Y^9Jp^OuD6fOYij|AZjva*MkY( z0hMcxFy(_)5#3-n(&Ss5@*az(4D8x{qrb1S zR{mSsPdTLTEX#?SLMI;QgEFwh?hzGSq}0$W?gjLeKHr=L|wgX29!I|=a9*k~e!vHL|vDWSpOsJ5_`ck0I&f|NdP^wW2uTRPwQc4L5QFm4>*6Ek`$9)+yVD63+B@^ zw4A1CNqG2tEL9OGtKIjfO)-F+_)OxWw~Ke|K=Jr-W%0FN43wVD=LwrFVzUxSA^T$a z4SBYPy!bB6d+N^~Huiuhjh(9n<$1;-lJMlL7*lX*FeQJy#T2$IoqLkQ)8y}@J84O_ zY6p_14YxLEaPm$7E)u^@9L|;;=ljs3jzI0%y(%{4^1`vCp*k7t0~d~(3Ez03>Fg0y zZ17PXahSTB*re-9cNZ%epD8gC+T59CT2{K#t&3Xq0Z%CErPE|3UrB3QjVJ%e65o)* zer1IBev2ESM=6x=1ls9m_>Zo_+363(&{+eWjh2K!dC}pnz_u>%9)zZJ`Mj#;Nlv;G zX6G}oXSa(3!%1+Ddse88MJZ|tFa@)UDjS|9_J`ODGzf~C6Ac^=-{1s ztio}^R47}vm>f%|=>0%5=ZQ-CY@WnRO3bKtHTq zV-hcsMvOv3mdB6wv2!rB0zKp3j-y7?;h|j1{OBAE)PY*>gc&}15DZNUus(pB?IOGS zsNwkY!JL?rd6x8J-n6^rPIP~fozY(y& zUMT$520ZwR*l}Foz16qXjnFZc9a($0G7fJ=9cEOl%wJ|(x3zo+M$WN5*^787|LjK8 zEx$}!K}3;!Xcn(y%AN#AD;PviQ);#2^yOwQcign*h)3 z$5me-h<2TT`G*cfytkzn++h3W89@?#(7W7_cqWwXoJcX5+i;zE zOL+1wv?s$i<0am|vV&Cn} z#4y|% zclh_fki|=u=kK4legki(n8}4)yU$KPG<#70z&V>pbH35u1DnK~rhi+ZK`sTdXySnY zb9A73Q)nV_ygYF#i;viLZ|-G3o8Z`o|F32`cU} z>SP-&j9phmBv9acgjgtBa#qqwVm%SBso4yis#*jOHOr(g2JM6TLfraByDqyThY_H; zvIRq{_=j;+~?YCX)%@k<(EmcSt+rk|H-s_ z4nin}_bLVewdJ;2rOD^b?5Nhr2@${>AUNgJLRRs7A03Kr%pRz6L}&s3w|~ z`pd1=csol8?R@WT;cL4H6`PwKmH2=kZ0I3By`PgALG_exUE-mUD$#7x)eHFP@{Ng5 z8Iw7uIaY@<^EynHnfrlf`=7#zm@L$BqL!dN-fl-C3F`ZZ6@_a}5{cR{5% zRz`6)KeGlg5ZU!H9)Ui$UX-nfbev`I$(ibiEcp}iN?M_ZNKTm@{n6&`NOzma5YBTnRcP1C#iv9SxTys zH_4h1-DICuoou{@B}~1?H3-wQxy83<*0oD4KZ>4xB0iu^J?&p9IEiw_-RgM|^1h;mszT zgdvpDE7g!m=5I;T5Nz}p!8iI`++4_?%RGvMYtP$VDz4o|&rjJAxwf*oK#sdC`cu4Z zWBF&3+*#af!Lr(HUl?~}!>+w2p=%vr!nPl#*~hqV$n^TlnY6NmwFFXF&0*rkHY}bL z(|> z=Mh|-isr`s_z8%=SYa$;$26G*hmZayGFu*ak&rbx9LY-XDmb%zcS z@dDZ7rwJ^r!rt(&c{CICD+EIF^bh5_ck_2Q`RI2KHtqm9_Gfin?PSDmMKYztr)F(W z2UIp%BZoDwn{a)}^yF4Q4bf-zUlYD2TT_c=2~#^Dy2cpJ!Hxc&3StZG`rGKBgvJaK zcnegX4V`HOg3tw6kKe~xbKCSPT>bypWBKhiv?wX!xowI{UfM$41g)ih0Ml)=ekfvXsE zOy#v2#IK01j8y;B!f(dLNA3W!O#H@=Gb?e~Y);(}T`>T;9~Fa^>};8B3|eUmkpb@! z_Ch;nl5GKU`XQUvkR0=j#BACxSXKof1+FkGg9azHbkR{;jfwu-(w8d1^>!Cgzf^FU zygK2`KERi~9xIhHr1Lb~b4Eqg*|YCiTB7r|>uR>;vJmC_Cd&M}7llH*h90C8wP(;= z|2yh@)^WXVqLS8!pC9#C;yz}e)M4Gwc8al8pm`E~iZ}M*1y`f+Rv!#5tU$T9VVDYEQLi!&OAtM@P1bHo?&H1OuEi36pMTD<|Fq ztIB9p5XzbH(Z6k-sQ&br6a3BW?8ZH?T~*NtmzJYYOeVf=&`34`3=&;(5TMG-$B1{_CcP$j%DM+W5gO4Jo$iaa%&dtGP)5rSSM@qlXn!SD=$7QI@pqy z*qBKQLlhw+6>;a6iCwor{b z`AD)y06l>Ft2DJu>;y~s-$-v)W0G_9B}jeVG+PJIwNSv|qY^(RRitxZeqVBEt6ysu z>V=a}oQ4!2)1b7%u-f!`bAD;vKdY@&Ss9|`$&rAy>#TSglp4;kdva5pW%XjycATFD zN?FC#MPhB48?NzkWhmvqm1EA^KXVN9)ZeH#p;D)OdZTGL|xG35ugLS@8qFpQe0 zgMD9CvIqB5UTg%j&M;R1SPK097M6ZLQ3{*QCBB`}=zhCZ1b!jn{r0~=)n(s0#bKcv zrDG#V>m76j2T53$wLCRd24y7-OSP7x#>fyAVJ{velipJ47%8GGfB1j=woaDcYk|S< zl{mDrc8Mb}ZlWGsU{pe`r&gL$dqZhn-FHECV{LEksy z(6z2godsT_M16b>{1&5SWV7AnZx{LnbOFc+NdD*-4-Rc zl~`TainF$ZUOfvqH9;HLuOjb6ASgUDn27%_6(yyOvKFRvkYm4YvfHe-c-WZ+ItVnS zWXu1$cuwTfI=z@QcjNqR_L@omHF=a07vS)ev+gmo$2>S~4%>+8qAI%XiH8R|RHHXz zxlnEA_N-k!Zk<(Hft^Z#2)t4cJ?q_u>3a38i?aHVV_ zi+CP3rP~@L!pTSuH7vGtN6x*+G-H3*fGtEFYE;QO4CYYkXPhI&1P)v zyY!Z^R%T0UVWGiDE73!m2wa~u12D%|AC|Kjd-*P%SP8^76$s%k3l=|BzQ!j4@3$&T zmek20GgV>hjk)(kR^-L9p zXU+bOLj9a!A`H{9M9J(5Z|#E(QYfyBFUmd+`mgokm_@_#&5qHg1MHzI79*)um;^{j zO@1sXg$Q5_Ny&Nf?%<{SvyJ8)V{NRb{ytlGjQXX;*U#D}WDeEt8QYhU`cHnr$6hGW z?L%4RL`k|&UD;TE@VR@Y6ek(98SDJ6EO|jt2iAFD1CA3BK)2Uv z(ZE`<#%G*@#aQwE`7*!80?Vi5z}CJocU)L- z?g!7t>`BEJ2}e2$2TF=`?TwQb>kh7-akf`V8}1m%klZl;P%CdikgZI8Px+(H{Iqq2 z`|6uvsc8APzceJzBeFZ#;Db@UNTvYyczG8jPYL;C;=NB=;C!QYUsaLy>8RIdUcK+s zR1fr>UY2J`!Q##scXQwm;~#8q1mo&VsCMcY@&hO%R_B)%yZ=$lrpSXM4WQ~uqvQwi zs)Fs9NE<1!9@~r1bF>ry0gt@Hv!IYgzhkQ_PxT-3&nNM*DL@y47<&K^s&{4eDf@9yIeraC* zc(PXviS{j<&CN=7!~NJqb9&-;271c0y|P2g03rr@8x-6!vB*uIV`{sGc>0IDd9F+7 zr%apYbDkA`Ynr`N?SXV1Dv5a(I(ImK?v7milav0%3ThKstERah@(qL1dt;kzY9_Tp z2>m&pSFNf4J_KKqfUcFq>%Z;zc{aV*RqWohx3j8sSchwH@~X?%_{b~I@@97g1sUa7 zT3PdbE*rJ8D^*YjMD=w+FJ35chOc0HQYr&@*1dI6KcFTSW14OoZrm(SOZ%Gse^+8- z7~rhPs;-;&hkRl%(=d5oxGc)6n%cx=GQBZclw37hjS4r z7drO`rF#+nj71*P2i>NAvGFs~(7pR$Zsq~-wB8;Zr>SOrBvbrZuVzEBKNr&Z>_}=U zkE2+ds)y0dFAYhx1ujiItWUGO_d1l^X#aBTn=fTNd+c>?Xm9DvSwkZYN^jK@m8_Hc zVGkB81`kh`?5{iV$lC2wX3v#;*xc%41Fsaf&S$xwtgw0WbI!0F7iP*fzkXwkTkZu9 zLIK#jzs;{OrrNn0^Zo*N8(V20dcb3#sTkxCu5#r?MW5TeLFQY{69KPg%=L)7xizQV z^^Jf3yE5+@5+J}WC68$44CQcaEe4yVW7V`D=CBL8-O3R!v(RO*foIggL1nOe4bKc@NU$envxpJ|KTxyTUQqSv)PGHb)r zx~)?9QM!|r%PDETJC`SD(9|&4ZlXX;|7?}_n-BWAf>TFzeLB8yB@X=di^BQ&%%H5` zBO0EuZ=rL(gtQz=8m1#rPy{+Xxia(d1foY0@vzob08PRK?=ZC`vbwx7jaffT#>Qa;K<=E1bkoj=8sI>cMFN@!fsx#Rbouw_M5tlChxuk~XR2ujA z*y`m>jt_%xIQ9xE;$LI>mFiDMimu+Gs5_OlPsA>-4d%ey1kFZAR2F`DYEXou`m31M zMytgul<@4NljDu(ySAULh_;dsIK>a(?&43bl#i1niZ53l`Hdk`{?BU6SLBO~dxS|_ zjTW7)Z)9y5t+MK&>Gt}7JjF(A$H21U$ISjM=DV#n&(|f=fJY7@tOTd)kBFQu^M z?!0S=H*TWCKCxENtWla$(>Gqvf2wOaU@5|ffT=sOqvLVLV0`6K0_+{gBT)B)oq_q@ z$}mzEXV~!m9Jw9x#q9`7kxNUBu)P^~@~fAH&;Mo*{idYR-~PPZVhnS9tZDj6aJt^&WjlMvw2t8J zb<5#E%%`xT6b@f;q41J>|^1`vY_H z7TxBuaGiA=-C$mIVRyk6;<s;K2=0C~h_2^n> zfrgsw;@&^(t_=>&e7ksolZBWQQkN^?w@&Y6vwR+EYKCK5BAYILwIG;3l8}%AjD0GX@M>t(z9r3)mD)I z`|^(^f9;a2oTc+(H~7(h9n`d3-s}LHF*uV0>2AbuXJ{2lhP$*BI` z?!XGhGhhnN#GcoPvyO9-h2ZFxU9$CRNlyv%cJN?+%E`RDcJt8Oi<#J>}f< zh-)FgF06VOIT|e7MAY9S^NvjfjGPbcA)EE~>IDBancKHv*-)?RFGjhzoSG%%$_Isc zc(_^_G&p>sjd9#0M>LNudXAW+ca>DFcvkz1Z9YBg!(9XQ%n|I0la^g>g=}#Pjg?ze z$$hQ&HvPWIslx5Cx=?N@8_0WA9CEMYQ=v=yyAf-B&GVuAUN2NnZ?9pG z|4Z{~@~vv~29iwoUcP9Wx72ei@G%nTtX-{*;`F|1PZ?;RNU_BYHMragz*Ot!s;vs2 zcYU=RtquHitzEWT3ExGgVBobz;HrH!%~lr;d@1EP<(eS}i0qtgvXY4`qxwT=yPFI7 zT3O+SijM-kCKKnKef60p82ceKEn7ae%9JPi$`_Vd5yq5GHwAjfg#=$r_gr>N>A1$c z*>oa3Z(7c8u#Zy8D7}erv<(V={t~`?5t{^`({FyS`rK20tcPsdL89oJf9+=YR~Iw3 zLm)1L*r%y8=R?wF=123Yd5d1u2e%8z*L+5vkqhkORw_2V6z)r7LOe=_@@*6 z|JZxazNVJ%eb{o;V?{+pP>Bji@gPbOX^E(SfEsBcC}06;A_@p5kZctjU7ARdD51Bg zG^w#rq{h&Dl-^^2^knb<@cq4o`{Dh-k0HsPJu_>qYhCMF1KXv=%#|-|NGsUgJnq2{cPP%X+Q*0#Q9h4z z&UrCdQ?;^_JM3snIC*okf<$v1+gSXmz!2RL8(K2KO&#l*?ZE9bElN`iEtMJ=ik)t- z95i-sQ|@-84230?1eK?4W%p+*-1-Q-za+|QOZPtM`WggRlDFQ#$o?o=;@^=Xx5}RO zoGd&}`EVGky{h{H-M%w_{91%dGHrD|&9kUh)i(RQ`l z_q=x4c^6CjhE)w?jyNuY2c?p<+XdoMH;d|jl@B)z)_m&H=yS}cq~39K45d9_FEIMF z#lxt9gu6!O7 zrxy=OZr*KH2-7bZH_v#}Q;~|91-MZHd1F+JUu9*@#J+4W!m^7VC?{|q?{eke?OmJ5 zmsXrmxkNmmi&HLDi&%F;y>b7wuuzt=vYC++SSp7+l1<+^uChnLX|g0tqp%w`7!U29 zFwl>BJw^-VOy>_z%@@!t_eJD&*KwAL-`u%a64|U}TXdr6d{Rluu~82p&*2z#wD82a zoRM#SS3;hGe?yx6;dXiF@0^&Z?u}1~Q?aEbj}|?cX69m3v%_U{VX zysBnwHZ4H~pIMdGVpg14bs{!LTQ1BpG*ziPHSmo0gr{I?fScss=w)!GW+FVrLVrO5@n@MGyh)o=L?ZQo-qICC=m6JgP~x$#0OO2g^so%(Lq+(TtI=VpY6!zE6Hr&FVA zR;GOvj!DaSZ#M1dFrH+SG`eVG+9B}SH#45|q6*zu&{MiQonsXhQJQzK>ovtICat!KBuhry3R-JZtLoIx&rP-fN%+pQ$Td3-Yg5<6vo07WV$k=2Dzr zbi6o2@(??dn33Yx+okQPq5L<2a@dAcW1GDHXwz1DO#A=vQB@&(-PR=-Rq#~*23 z8q?+1Y!a-GQ5^W?v^V4b^^ChVjpJq>;D)g{*`xy7__RIyUQd2QY(EUAEXw#p|E$P-C zJ@JPKB)O=Bj=XXC@ym-x3l3w?&kovu)<~4}QF5{ch9+o#@j24@Y9_JYRqUZ-CqG?M zm9DmZlAUw+-Va}!#P$mYS|%Ra7COmqW&Fku_2m1eHbmESq$EhH^w@iotlO-MQZMHm zbg_^n>2_EtNyl`Me?QvOsD@vAiV`KUFcdrY9-Z)LeNhynESj;@9;f*^0U6RoptN zLp$v#9;wbT<89EHnav?~gp_Ibrl!7gDdWD8cYk%n#q0NJpWU4!6A5ao=W^_jrbOd? z)0R3`5^uJ~YxEKC+k`f~mAzEH`o0SL;(3COWyKh--NMgnQ5y-gvw2|`uuauh<8$<7}|MCEllNSTI!;CVb+|d#OGTD znjL!o*=;?@wkf*aq>_U3T>m(NF<5Xux|?a!oD<+y)#f>6>`3$n1tX#TKMI!NmYt$} zB$ASvv%ci40#;*~IEG7VJS-m6PfxLmKdd&dx6N{FQh&yBpo0BmSH%_CO`XLbu9Vl( z^k4ZslUMEyxKo1e%R1i{^k#fqwv&|6rYVR0WUXUWzIN02;;GolhK!V|I8Dm_Z^sLA zQmvZJRT3H!{TkbT89__$Z$+C;p5Nk8C2^&(Ln%lqr75vSNam&=wd~Ye$eC!YF>eeu zjOmWCPPEe6x54o40xRe7YoURrFv z``hi!OroIItjlNSK$+BHn*t@RWRa5x2K4>eT;iCQq*$Q$TFO(k6+fwUKQpf2*eOdr z>*6=Gh3r)KxxP4`-2+`JxKt5N6ZhD(-Dvh{%hIiAp8~0|e9Pdufm4{XO~&PS#)ceP zt+wuqnVeQu4v3lTJ4W)dj6Xc~JjLej>r|N>71uK}M?G+1N16dfw8qZiu? zy7U{LMbE8G6ka$lzW6*eHO6P-&wPJC)V0nK5+|R`ndqvO&pgWB;ovoN)wFriP!QB z933m?noH1?Ns4OoSjR&4d`g$cv}*3S^V#9{#jHC`W`xB=L8hV+dwSom-33}TQ)U4f zDcy$xtTTQ}>h=73e(O%k>titqeV4W-A?lugj*dT2EiX@9vZcve+3u-w%;*(8)Bo4h z;1sh?1?2oF(S@X;EsCCUk=B9Z7rH&t*;VO2xR#@zOIIhlUmP~FQEuvBC^QuxVUBpD zdR(rwy-RvpWuAvi*=z9JnwdSWVP~D=pjx0U<>_8f%3bVSAX#T*b8bhkIb@1=3^to| zory^3%Ep#5xAk|}%kH;URTMsJ=|2=}rxP1zkc&%lSrk|wqs3lmh2ni=DBSexb!xkl z2@aWSGW@yA))IToK#f(^87!mjB(<2{%;o<)EuC>eDsmBLg>E+4%D2pU8W%^-{?=jS zWlrzZJJs3N>Dk(Ot7OryAU!5au=~ul_;40vhls^8|BB5@tbybzlfHf zx|*|UYLnb*zkKUe|84%i|F^h?Vpko|UOm;!*!Uj&#*nH=@^pjQa}~|K@~36?ZhG9= zv#$M<GZp=}Nj_dqnX?R8^YMq(fz1{CCvRc>Fzj*g( zovCj0Gs8r=+?|#$%#PYxTD3sZ#VzZ@=n-kVunB2( zqg&QyV8U00s^{0eEw+B~hG=&2oF^g6y7jf&(A)EeV@;D{Hl5Z{?ECMsAg7`!#Wg)Q zN&RZ`&L=}_V$f<-d99p7A9-dr@77)M-7KH8XHb62Ki#nUlb!L-toYoRjIuO`gF z_Vh-T_wOpd>Rx(oxq4jL#`864H*d|6%dxU5rtJe}MeE|StmAXnG%{j5HqQiw%P8fH z?s+|&^CI0$GN-+H>8X4u#k$KbS`oTL^R^_KdPt%+XH$2-UN%3?ip$;Ekc)p}V-w@y zF1b6#d9zu=#VzK)(_PnuR{VCZ-jq)II&yUPmbea^;K#~u|MA~<)?mQwLhdVyb^L#* zfDg@^Q%aYlTSv!=BCbISu4&CVxw?RW8LTYd3POH!JZv7|+= z@7b)jq+@s0x8)3#8b7_zj=htyo|@b}eD#85*9n!shonc2;xo?7I14lmn63NUdEBx^ zA@{q+M$_D<3Z?_(f6R(^yncLZ)0cyX9>;H(c z2(74Aes|^c^Y6JX>xriLv=L<+cDyEKPfoT>Tvng`nz-C`>n^`N(x`hSca60r)O_YE z`u)z!lMO#Gha~M(558kJU}~k5cAsiodqhqCb@n5$6iYc%^M zKc>aL;bQigIHC|gc5-9oKaY=_*~G`WCj_ne($R*t&buHk-m|@n^z7MKyY+nCnEd-} zneVwiIxT&Fl(9Lvp_gi}n{G(=cwvSOwrRTXBQBC1`w5k19Gg+BK6B4uY^NPbK4*vD zf2o!w_|+YI>i4*PezE(q?D?IsnyeVVZDBF7o_9YO&1ijEmw7uU!!_LT#i3M78_0&a z&NA076(4VT5r2WqXuMDo=PpT#Dp_k?!hRbWpIeczPdVrJNz26tYeGVSFDsGt-+ztC ztxDhd5@(oW8HwJV6m(t2T$|UYHy%u~w_0=WNwoiX z%EY>O_YJ1IMX}F~SEn2AIBwcdbNIB4-RU)US4DY&DI$A@Lv#xDvfgf2Y3FS_fc)-;GkNwpVgwc z+%EHm&b_()aC*NSICfn1zG}2i=-@Imi~HGK`fgdy#>R?0e#NUjO~rYiS%aC4vig1W z8SB$Fih@EN;xpb*gl~q&p=r@ZOr8_}>P*2_jhvtE$4+~sKYXRyJ7)IuvB2(iq}s7c z3;*763x_E5G8$*7MPE7jk!P`uV(M&C#yID&dwN zj;MVU#67&yXk8NjHZo&DCp+QUZV!t)9_7~WO}DyGrmpAY=E-Et#mlSOkWzl7-^g{e zv^qpjPs%InKeW5}xxcg&b5Q-{a9{cLvqjb9Yw&`04 zw8-7_=4I9QqJ&B1Csl>6o)%FuPa^FUaH{QrNA+XAW+h~pf6fF3@V38opyiXLjgx7G zcHWsAT9uZ&4;m;Ova!kB`F?Vwc>R^!J^AAs4e~8lsf2st&S;g52DoM(eu>-KuJzpA zuE6iu>fGP|5ffkRUaf19xz&|5kv5j0a#5vsB<0+M&*17`hsPhRqh&_UsoVy z?cwBA&JHoZ^fXFT3^P;@u69W1&E0uF@^i)--06Txs(tsL7`E~%&{xx zg4p}9n?eAj9xsfYZr|8D7L$_uQ7-)GG%l;@ND+0Ht-ERGrzz{@wr!U)a_====sr@N z*}ghwl?wJ1_I&!f99>g$%bgB%&&1D~Pn?$J@hc!K2(egi_BQ?GYIE9TO81wc4&U9i z@@IDr-AQ4`1vcSN(ka7lm3OC8ExYh1?sm!>tjxb5TVUUM>Z5+?=Bp=-ZDud-%J`Ib zr6Qhe!c^NOpJOJDc^;A~zbnVyMfJwy;#cd<*@1g+%UcxKtZI7oIkM9}E_0_Pyzhgl zP2Iz^@Nh=T7Ud7r9jX@7igf%NshF@m$5sEvniwU|Q57-~TH1Wx zl+OBkv@>JJy-nw7i?{L`#n0{MD}unUptH>d3Mw{nx%kkVPbw<%tk-2b7_60l5EyiQ z)xdA-L*kl0RQODEuI0F_O|pE#bc#!(%6p^7k57s^SXO`IH)*9GRWrpeK6Q._Ww z-Pk>)%t}AA)#KLgUus$bxh`Ih%$~2QFiTIeRP}f7v2nBf@Oa^~!bkbM`?(()V@;bn zl`Qj4$128Ke|02dVH{13!c3LIk!B!8cj0b85$>}ln+T#4Jy2l=w9aM1H za!`YXr6ipC#za{Jd-*d$E;YeUiVFta(3 z5q9suQL9T*;%?toFS|SHhj%}IXKs!zK6pU8udZuN1vm7cW9E0;P10YUzL+W(V zd`wwBXJ(C#_dZ#9C-40~y z(}IQ7PP#{T%hTSP?orz9<|AdQvSkfe$V^PSrQwM9_|Aj$jD0ty|GIx^H+rsB(`qhH zHVPJe%4)_MzVcOT z_~dpy!wk|G=7;y8$ldd5e+NRhjEjdjb5HJeab-SBG|hCE6Y>9^kvNy`OPAv@t$*l+ zFHI|zX=C`jEyRkiI9(C>YeDk^+sLS~t3?gA`%(2Q>C145djqRs|J=3%Ylhb9Dm4!z z-L4xP{6$kAF5b_5pK=TyPGKGN>JG+)2fM#t6dL{=emd-WJTHcu-uA)kfq z@FXiiI;*LzPWV)$Q?z8%2!)IKPC+PmjWk3A$0BH?cT_XSthq=2i?T<&H@1e0!79}M}?v;BkL`Q7^mSJ_nT91d2}dAt<-$FnOl(4xH( z)zIMFpo_(@PsKCPu}5p1^Zp!B*Po_K*L2^tlBxNNeZnp*N!M*!*7`eQC^K!DSQU~z zs-_;t)k^HQK6ZBacqHvv0Q5%t!~L;~Zp*(vej+Q~VXGIR$1h(ZNxw+#vHz7aaMqwM zy?~{6*+DG^Ey#)VOR0ZaYn$4NolG+)GtF0Fji=GaGoQo%T>N~_bv?QD#)$i8TdGXR zwzYiKZ{_Wj- z$v8NM$14WD`GE-Ol5HQ}Imqx5>TG{}tL;hrtuHX$PD=Q65*?{k_u#IiLBHN#MtSi? z1B5&F`Kv;ZnFm&uvE(KXncU4&1g9M~%!n_Z{ekQKLqA{hVhq1HnIS%z0S#V4{<>kd z`aWzgd;&}Sx@Yi+edoB3e*Y<_u4qQQPt@Nj-b}upfqj**9&~B4V9W_2ga?`MM>rSSWTD z(2V!u<*lDF(hzum(xaD=DqxU_QKf#u{9Uz9#Wj)LB>dqr$IywaH>K3_C7KD3X+*?V z{v=z7s!x}@?}Z@wNCTNVTE#L`=Pd?(8~*ENz&NUAj|nonUf3d7-q@WbEB5v#mAR8j zi)n8C4dlt+B>eQ#jE{K- zOzVJUBkCt7I1&V{-?!@<%2`DwWES6jnG`K%YR^FiGHi-42hr_!DpS$#Z)Y)*D`x9@ z_O;AbwxiFwp;nes^~%Sp1C61hft5^_ew1FnU7mW1?dB;glj7h;@iI&~;UzNTUK=my z=xCA2Y)Qc)tc~vB{_?D)IWI>urb)690&Z6A5Pg{hFQcNmDPk&>5ZSOAZ_iWcE(6on zuJB^*8~rgBE&;wtdzfGFCX;C3ItToKd*m4LHlm?3nr=dd*$44Vbg{pNxbalzleaqC1JZ;Ex-iMh6-DKJ238XT(($&VYzlrSQe zkw7Kt>i@mQ7c-KJ8Q+Wl@A44;Yg>dSx2RxXy=}q(XTpQs;$LL;gzR_(6Lw$VEz7bp z)KIgt2$*u9MgO?JC{MU|<+XB?s%cQog&@3N5En^Ik_w>(u)y@#+?_3(9THKfJH%zT zVi^4#PrFTIw(8H)vqjCdycfmDP%+hnv`zPCK&n&tp(* z3UexfP;*<{bUR2kVnNNQ$AnRb(Mv;YrkG$iBFDONh91GL+i5wEH1Y84m2TUYJCLkD zCQ-(4*a8#%g1GrNHQ+DFggp83j`O@F_T|Jd61L#Yc;%!^N82|97N_283LD8Q7ie<@ z%{k$y%^Jk2M&2K~61**w5V!-9+6l=1`rlkH^)+^NP@sE zvJs+6h0$i^aH`317_TYgBqhIKdxwaB%5Nl(5`rXcHy71Bie*0sPD7LyX4OK}-xx4E z)C*+5g18IVj*pycqJ|-QzoDo|ChW9JjJ-n$k{1t>qmcni*o(v5{Q1(N+Zgh&mzqiw zR}y+R;4~MJ<+y=xfj0mia1ADWwV%txWy0fHa1A$Wu!hIHF8WRXiRhi6>_00cofZay zD_S}$cfi!mNFXpR%3GkjAvpbUHH8}P!5RaZc@&8Z{nsE^wwq9nG8Xn+n@Sjd zNcXGOltEps&l!vfae7;Etjxj*>9AYi|RX0D}H4 zj%(~giR$66WV%pNQc{ZD9$1SU_~*}&{3U(-+IcHAuQPKOUm(N=NVdTC3QpCa#w(O? zO_HR&lO*fqB~GeDs@AMs#!pBTuRx`%yx7&U2?+!EDqvi(Cyg-VSQLOpWyeQpq2U

Q{wV{uQ89+%n#I}9{zQc$Kas_{rZfuMARN<;>yp9i10dO7r|m%^nW8qi+&=$ zpSmLmhz&V}5(Z-qLiS^UAT;=pwg{u(ZHJsOkc}9o4jp@iY@`SJix3@{>y{3U zA*5(MEy#aaaafN1zmYpyXdB)mr)O9of@NAoeye7Cp5&8PfRrrMrV9cd4ma=r#_M>_ zY9Q0u<0Zk|tg@R#-YYg+KYU5HK%1kYW{)x&kJ{LcX{{#iZ$(u=m<^s^X;)qGnjQ>r z7;w+{ORHgkYbsRLaTD;0E`VM8y;Qpce%LW^nxV|Fz1ohG3N1z<8d1hjdyY0kTR!H7Tp3vfJ6;ctjO(mZNS;wRg4X_R~ehf5ypTZdpEN{ZfpD#7Hlh&iku3 zJHWKVU>gK|U`>bmA?z7ZidhiqQc$2~KSXI9_A+jQ&f=oFm#P=-b-s%!+Otmnq4PaO0H_!FnhgbpoljXV?=;J%%Tl7t#A!~Zk|7MBbt+EYa>y!*SB zn5N-m;N{GYy1vcflYRN5aWKwS{s|GuDc23}S) z>a~AZkkMuI4CWb>?D+G`Ydj!q%+OkB?UL}H+D|5Az8Kv-I_E&FkmiW9aKqawykMiF5+Mm)tJ0FF!N>1Ys>BUwv< z_29Pi)UE4VjKxkX9?wBuESg)wE`Th1AYsx@oHWSk9Kvzygz3ToRRgGMcMD2!Cx(4% zto0y79Kp*88XQ)Dj)QyWGkctf`O2FI#OuTBT!KpLGG1^Usf zatZt+&3?=!b1`_H)tO!bRv8nVp@LV>->g;v*>1xP#T9c&<5hy%83E1sy4 zeGb?OAGtdNMX>_|yO{v-dr&+AnxlsL2(f^1OqMA)I(QZr*Ugy<%NGVXX$&#L1+JRN zTsU;;HmnnVisj}>!w87os`<>)8w3z{aCa)?c!)Tg@umj$@&5=%)-zFmk=5ahwZMu1 zI?E1;(;VBm6p3`WD4RiMH>r4M{TBR;{{~OwzZX3*+ZXaqvaW#kq&Af5C8$8uk<-4v2<9~-V1Aa>0v$k?cG%*ff zJ7NO!y~q&SdUffoiJX`Mj-qn%v6P#H8Txr-tr8}QU#1FtFde~9iDhWK|04HC84IG) zhwE5~C*Y`b5kY^Rs5TCc_?eIwtN$&;GrS{2S+k?fJ_uK50l{l7GM)``Q{T-tkY;u6 zyfmH-p5l++c1s}EnmCR80P(PJ)A5&vHNLHh%ob!Wrtz5ux~HM~*W{cAax06_{5RdO<{)h z(9jdk)HqQSsEM!f*PRn?Sn5!3(E#|x4gONt9>(3EHYPR%bw^asRVXDyLgJ42554;y zqJ)+cqJN;7<=>X|Z<-aw{+xsZm;8zGgi;7Ph0A&c5fk67%n7$!%H=Rsa&7??87hRjh@ zh(dj~+C{N!#2iUNT+KY0&eS=_VH#CBT@bR<#riUR&;~(Z&rk=OhyRpSm5rFp#!hgM zZbybFi^;SX2>h0Veji>&N~SSCrZ6i-8AgdJJ?>2gmWMk?;+sz065^|vN18JjIy*TV z3Id5!ehFz@J{wi)A7nDio#MZC6W7SjazErV0&dEJsGvZO9~@>fx)(6PAxOeoz(yq= zX@(-@S3#-E*HH$wG|V3AUs7Tu1CXE88#fY@Gbl78)&T?5_ByWibrKTHH90aN-Vs&G zfvurf7koccZ-pfaBbZ{4aMZ|DNR~~}`GVo;j0)$%(B)9h@;87Ff5Mo(*N@x`=WF-atyo19-q!}-Q=K>z zWz1oG7YYBRZOD%VrQ{qnY4-L@Ur21dKv@w%uFQxxiCql=59DA&#qV(F;qx9c`^4x_%(KW za`2nh{!G@%*3v7Q6KyZ)tnpVTAf^DJ&1nWc)y801oq`Xlx5Egz25 z{~2o3$`6`@guw#YdkgTv3aG2ShiZ?9ehu1%A%T#s#Ikc{p%5pD~WD!I?zsl=^aMr*k%9vFx^MJos zSZSlUhwK~A`U>G`py(4@T`rUxcgisg{-Ys+TOGq`t$d;GwfA3(Tdqnhqa3c!6{ckX;S2MZ8KYX)6%7qLjm_4shgsedjA*fnW;2t*WJivo~Oeiu1 z3p6_Q)R-jbCt*7%8Am7Qz6t)24}P8ax2dCKrVzVK=x^Rj^>-C50@g6}DtWdXQ?1(b zK_4V}#ahOde3bVY3BcMZ7sOag#0=XxhLs&gwoO1MKN0?5O|BAAC1#hF>_~yd z8mg4DM5Bvcu3KrNT@@eCmKYY-FrSO?3|k&UP@EdTPhLA0WqSt_{Uf{$BAh4F z8r4cbK5EbA4fLYCPbOl?tZ9XAt#@{qs-J>yVE2}#M(dm6pr-&W7xSxB?>L2!zKM3ZZkPzgt%gh!vJ9jIyM^*v#A0G`(S3Vx_Po4nPI0dN}S z-GTAXgsvL`(YF|qIbCki$%q74G)-0MQAD{CN;UzOf3ggcZ54ID<6mU{!7oFz9?T0eU3^p0sgWS3>Pk##X&JEmCpwHQer_hHJpP2JG6`7R8}Iy79(!cliC~B z(|QKaQ~O@bVzloc0OU+mc!|n?2Ww0F=-We_FcKzmIEv>Tg&*RQJ2nPqqf}= zvyRi0{9Fz!(8t$^BR`0^*PRk0AArhI^l7_5{9Wj3^*L(EO%w9F1+(B|7X^V@;1iW` z(}+_&cSt2r3VM0B{ZQ zoaWom5`qjxt;XQAVab#EN1&!1R8koOEI7}iJw zzAza~Nn;ckA*f3T*R8n5eF46e@mpZ%J5KuE>qyypRqg4Y@S6!hJT= zh3A^MAF5V%i|*}o2eykL0;LkxdrL}MBJigKb)p^N&%{GLja5$t|I*}IKSF00 z9Vmx2*9sL04L}UzX{WFPqza*}F8db&%y^lV>$#CG{w*>g6>8&R$~NGsW_*PeY!TP~ z9m-S`IEBjs`+`IT^jrK&2Mh5MQMZpX(pHwu4p=PxAle!S3QFWeCt?66Z$m&*N0eu{ zsh(yuJtKir*s!Z4Bm`RL)+CIm#=#sRq<0h1EE8t!g_o^^#(n_T=LwX~Cz!NAy5o{r94Pi{yBdDJD(FpZ0QJ?|IMy#-4#-2*j z4bink77b9NChssYzO4_uvb9*yU+xJ=0fRH%v3%|8X{f!Jl{@VqLLn@&q_`J+3458i zdl}llG6Tk(Py&b(Z+N(E1|T{yYD8g}_|LOB3Gf-Tm*uMq_dL7~LYnq?cyk&upaobE zYA58{PTbG|JaG<6!B<+qy8pAK_6+GM4~bt{KH|eGP=)t71RHZC4lm3MQN!n`(Tf|@ z;de%QK){9`%%MWWVK=E@-%*Da?)~9YRF_kd&XSpxs2$g-;th-1qx^z_N5p0z_431RPs1hHW6Tif$PWzlHsG|pkQ(Op zI$D4}=G0o+2B>-I+e+Q0&T}~|T5{cLPxBu)5@uycqloFyg|mPKm^)EJ;2L}<^^Gg> zdMayNdZqZB*OTxr@5IZ#Ah4GP_`n{UcJ`Z}uw$)Twb;(Qfr0(TE0$iGC|r&@R2yPKo-L{z zA)$jvzt4?y0&Ur@0D^A7se6DW97y5~kS#x`hFT)QU;qtMs6E4=i=XdKq(*&(vi{d9 zfui|0Jtn@8rd&a<1tYao|3e?u6F)f$V%UkHP?gM{e9>|i$oPoKX!CO(vXw&(yD-t= z7PL2%5#WLx1e93@_2$EnVwxDqH9ILEVKXy6r$3_6;`m%iVHwznxg6vFV@Q2wl0ovu(&dnya-g3 z7JWorc{U3=fdy(4qP5I;r!%77PX`E+fxErFTZ(ZDSdBI7H9}EkX(#bO(uc1H5B=Z? ziGpomE0xluy@T!V}j0lj=>(OE@5pipuC!6bPf$wF9?HN1726wm>}v4k;J+ZrAR zpldS!u4MBaJd3!KAd2C17sL}^2d8iM`m9>mu^^T zuSeBRCKS{1i=%+Er^Gxg;yQ#cSBFp3yLy(<{_uJNDgRY_?@%tce6gq zbT3BVp6VweBuT$QE+!)PTvL_+F_PF3V4w_v(+BfJlbYiq=d;LoXX`o25NfVAp#lMw3&OrbZK&jx(yd8j9 zpe~Q=CYdA;Af#`=N{C}|ZNS3wZX)K8?Imuq91@vMP^`ls-!UsKDw5j=!Rlh( zHT`QX>|_nVObeWJYaq=UE;6wINgafl8Au@(_)8KraTqO|iTGy#NpA)twKzOq)Z)~9 z0>Tw%2(g-&h#N$~W4=4O-Zbi@c1=B(ebIN+913{9SxDNEZv_n1Q*_-amrJzT<%Hed zlW+pfY~#(*yCW%wYJ2`^Vf4F#l91KaKWgjCpe8D-4lfn?YFbi&Sms zNd#_9Nmc6$7uyOPZ4!-v5;dR2R0KRDXoG=1XqP(q3Mb`@2hJ}@{8ukt4Q?M`@NO18 z0vU{eR60a7n1U275DQR3zqv=9g0a5Bp1u}n-*IHgn;tfK}^|*NQ#7Kb6NDsIJx{QuKx*dg; zM1fM6)Ax+i7r~J}CtL{!Tq5a(2Bx6y)XI%yrZB?{8*|9JAY;IFcYRs%tEd9N(M$b> zQx%Pl#WbUpsN?9w6gU|mpdtKr(n&_mi!DYx%nX@*H%y~<^M+ItDK#THld8D8cATW7S@{Vgz9+%KF1zP(M(!_qL z`~_a&j_%6Tf4NdXG6Lj^yY5OYob{0b09?Of=%acMby+qQz_hC|lky2V0;r-hLaC+6 z^dj4R^kuprJsRm=JwT5Kzd;o+@C7Dp>M@D>EyxC>+p0x;ui^4CovVKsQ43*EZxY|_ z0Q%==CnmFmoNCbtlzt+C(JNdy-;Vi=Y*=1s=JiJrSDHJ!UkzZV5MMgb7y1~NGe^eC50%L&oXZZo~l05(cGVkvEq=d;Qwd5!+2{gbJLH?o`A)-VW#K!=* znZsMu8)xF9M1O#9u-fN^zP$(|1k9*}3d7T_#mF0QU_(ymJt6opJxJ1zBNPH$p`rzk z1AC@yXN6ZS5RbEnOehrajS3vhgv*u~hkK{zz{#`g!n8@L!$MtO2!bZEilir3PBSgW z33nlFrYn*?N%|Fuse~~AWq2K}Asls0lB&JZ2JLs<)bA27!c-rjkqd^<&FyI6FZAT- z3e)i2z$(**%U2;QPU=`IHflivPavS1Mbds-7T^MD{R1$tgr-s4+;tu4fAXLktdI7| zn~}oeUs*{(9BjjvxoYji;jHjEC!pm^)pM0W2>*o9%Tc7O$b|?Lumt8A+uW(1M64|b z>o)0tCEH<$4g+%6<4cpeFTUfwywZ$w?8R(kBgy~MYG9^pB-IzR6zR= zoMtlSIK2=j+(Z`aO>_YnIQU=*y+jig2ZLeR+?S|2DDMvjdAcaTFq0EF%=PH>-U^jCiXb!Uh znbo@s=rZ&1u*L_ZM++PV*01(34<2aRk{?bwrIJL{X%m*4hK0)o+3$n2R^8Vq{r8z3a8JVXOm!t6R#)X8gX72?dc&hHc$-_0J z2W#$qcn6XfqN*IQnN_lm1zO5AnYV^|gF$@Q~s%b*Bgf|;P4{;&5nMoD3mD4ye zUHVXkbEo)$lnCso!5_K;S)g_nvC%o37yC0?NIu5)UAlTrYrnxwRm5LO7(2%-Ga2F+Xd+d+L3Mpm@C z4ZC{5J0bkLwhQdof{EmVw~@fV^Ixf}hrxA}MRCieREF7K{c$j+PYbeLG_)Q9gOGmm z`|9}0ZTtsdO6md<7TG$*02d(dVc8j}j2d&}KdzS{1NKpim3J)|7?VFQDWl9xAGl^* zR1RjVA=F+MWbzjb<-MgwTZnlh4ro*g(iQ}WtKFGQE0aG1mWcXH9wW8_e0enuGRMJr zbxR~Lu1Py&${W}Q9n;CR=grbGem5@(4cN?Q70%XT8P6}=Q;@_1_`0Q7m~k@-GqOb} zo;j*dd1i3wXH%0$n@Hm=L*d8A5y|z>R^L3mcl-bU-~VS@@Wg5LTAII^d}sV#5pr|s zQ;V$ovKRd;#O?PoTcmTV08TpSbM z?X0Xp3b`0@!lD`8q?XQn*s|Szie8Qg_gBBv$Y&H zA6n1K=_E2fJTFDMcPuuaPA1$rEe73h0dz=Sq9?SM5SH8&gaGV90a`WAo%5*D8t7B^ zVjJzj@~-A)H};N&4Q3PKE@W@xQPl$N#y@wXA3AxFR$ zo86rD;vCvG<}`KYadw}!7FsQ>La|e)&~Df*8oOSg&#;`9&+gOHbXhkA7CfhA zZJbwDr#@n3?Iu#tj?}IMT8drP$!Bh9ja@y^b?o!v?mFW~(Jq@p^BE^3g3M`(=xstULie^t=SFQnjM)DEo{TXd^y~l_%ql{*joH7fylH>}mc%*x=tJ|90i}Be;TZ<8519Gal3uWMBxeKM` zMi0#Xvu-{Ugk(IY*;}UwY)-~|vA^z1`P4Z9PUF!XEcNQSeD%#GT<^Qxb>5)WK9L!C z^2qx`+Z2fA{eh=E%Y^gJ$)(XgrU5PEw?&{{T9|hdl8Hi+Gm+j~#yW0h8=br9G^S@e zGMG9&4aU!s!BO&3YOfdT^w{qQ^8{yh6JFOje9H6S_Rt~8JU~c@-Jq?x;d#EgZqV7h z)0op^W0U1+A=SMQy&bmZ?##JyIjmsUb88t)tw%{=OczV;t|hheB{_p!+xu|D3fX0U zp4!ivtdyHi84!O{GnhJl6A~Hc<3L)sDn>GAh|`$){WE24k@>PxA1@@!EH~e(Nz`Yby$5`wC|NOgw)m8!$op?$=oc z=`2_43NL71HJ#;rEuPiJxw=q={n=S6Yo9KVsHC-bb>t>(ZE+wwaM`HYz6~1pv`*Gk*E>gRPipa?26ok24V}y|c$#i^ zmQJ?+$874R6wcncu6zC3XziP>vrN??7weFx^h6bP(r0w!Je_m69_3nH_8pBIt3%$_ z$vW%Ee!BUMdZNi1H&usB)D^DKSx(elU#kHvbVyh2J5~F(Yq&WfrF3W2bYx#0(q02} zl7u1TBYbCTY+^6#BCPiyiz4SEx6;ULI=lz{efl=V69e(7v({agNUUM~IkByobgfyp zV!h6+zoq!)#4bSJL0n7CNTM_P>*QXA{04hdY8A}N%2GSjl=z0&KgK^7y)k+N^!e!f z*s{XJO$F0|+;i|Z!9N>mj)T4(`B@*#0x-%jgR40>wN{Ur#5V({wM61#^8HH_m8ivf zsabn|CCia-6Zw{btqFD|Qc=AfUrCeucw)XM=1Y7PeEI!(ZX^Fg>1k z;l8>9&`0=mm16~YtCM#d>r$rXan#&O%`Ny!o0?nkA7}g}@vC!k0Dl4eyOG6^yO70^ z|EA7`rcMcBcM@9=+x|dpRev!4!T1yS6JXVc>3m4#D~4}3zLNO18*EW*d$5(qwh>!t z7bb2Wah0&GH@1^mf5rL~!#k!M6k3Z|I>D`egJD$f?MeiMz~^Tjl6N z%%nhGWmk_8;0A!ZkC?lO`3&14Y?m62O0(W#@~YPr^^`aYc@wf5n16!lihR|V|6Mq4 z4Zaz1iq~fF+Jf~^*5|Qq&ia1V=dx~TV)lY>1*SFn5Paw0`<+=gVXa<}AHd$2bt|*p z4d#3>ZB1Mwd>61*xf`->W7Z8=XAf(Be1biTp6g?8%z7@fyhqP1&CLE-wQfSp9K*p3 z;+iLkJBPU0CeF)Ik2;^wXA|TcJvjrp3OSM*H&dfG`dIV@NTXE$+HmsEMLw==yi=g4V-y_Of1G;#}}t& z{VlaWqxL-3jgoMt;+BG~6~HRHdbFp;G0=j(ikrBv!QDa+F9-VY zYMljcVZgT$%&T1L{GVWQZbC0-)*kjdY-IyB^_gL1AfFe%nfRx;?o46!ZN#hhTJ3$9 zuSj@ufQO3IeU$4W)6Y&~N|>0P;NRrx=F8#rq~0T%4;7y2u-pS?6jv{JFCD0NIEckQ zVn~xH6yRb%_JRSMAE(wExK2ruTYZXqgv)#~NvtCF3=?~Z+^=zUb<9))%<^P=K#np= z>J{MLm_p8Wft>#Zb7~R{uIxtN$$ua5cL(At5;v5%D+BpICsDSG&5djdRPbU^fcsdMBYAda*bm)e``&No8>!u=c0e;)BUk( zeIEV~jDH^-6^0}ACB_}th9hrBs_$nWM*a!;7_u31CNh}Iug@e`Gjfd}c7TZ;#Cizp zfyklA7RdLLkaBY&E8E6seKhW%(qg9%d6+| z{(-z#VtXNAdzAeB$=wGzCQzd_Y!bvDHfQocA`k3&4#> zs&C+4MqY+gxj*FENse~pQo8@K1f{kw=PTeYH8>Ub0oQJ@Z4GuYdL3W9`qJ+bU;Iq` z>btl$CjKMzvrYU8*40^GfnVL<7nqo9SU=-9U(1QF;j6WtxLwq%OkWc-hIOBac!i(K zwF&MzIrcx8Kd4W~p!UC`4?73jW^5gNHa~7Q%2xdCllc9y=~_>Mi!Kf>CS&j9u%AnR zV|m`I={rlwdRa(pAz}~k{;D8y2eL8eRecXs7r6vkTiu^X{`<+r+ly>lRbqZ*U5GQe z7g+>Z7QlDd%OE!+OCh%*e}mkCERNiTJQn!_vIuf7vJmn|WC7#>WPao!WOgC)9wL8! zr21Um5C3RnU*uS1Z{#>+FXRMd59CY8%aQ8y^v%fb$QO~MN%UYn!L0uW00960 zcma%*O=uHA6vtm;n||2Vep_v8wJKCEVlS<=2;1FhvSzarHHFV|74TR+7vo4yO}q?|C@Oqn-OZKP9kbt zT3rC(5i<@!qJEZ<6t02C#8HXGrI%M-_88RQ9J-t)BWNjs}u ze^zc2wNM*_cW?e0f@mNVop+TIL4Czu0{Zyfy(>`khl)VxjLd63@c(P=qif7uy=i5W#K?PIPffiJbYZZ;+uJ@K|-0=%8Mzvz^1H8U2R0SN`Cusmr@De!t=8 z$9}%3PS{EY)9?W8IWT4n?^cF{MY2ON8QKu8cQ`Fpm&nJ+ jZhdU4RpcW|vP9zwsyfB5^&%J5HPEjC96ANzIiwCUsJR$I5WJ^uO%RTG@o`q2SMy0i6?1H{fqIs>+nzQ6GPv&)Oc^1;QroUAdVx2iltwUzxC%Lt?->=tD z>xhct@jfieJ8D9M|2O;F>~(U~wAPO@<1@5Bofc)~Ekm7kEE(4zrJh4bCPkJ=()uZK z&^ak&9I{wZTg@vL^jnT->lB}{wa83l7IB6-?pUIz#rCT3@$mHWO7-W_!P{2e9pm%b zbaBR<+J!mcFZcKFRa>gG^KrdgffD}>isw01BWq76JLf{UdB~x-a~*}X_)kPLsD}z%&3YX>AOAS+S{xSd2LzT)qPr`qDG6qIGTVB$R_fb zl_xq1mDZ z!G;}o^IQY!x|r8B)C!?yBJP~}>3q)`)-?W7V)?d%BtTvQn;Y#KIE>!2=;ka4~<31X+kh$JBOdi$38K3Melq1T@qQb^+aHHgE^tiTI z92bk!_Ca%eWMHu(($Rx?|Jw^ zQiZ&8r?zVHeP^))tVFE#p16@(?l2QxD4)QE?dNHyqr$}fXNA^rnvQwZ%%QaPM!NVbeEGj_Ft-Y=G?kI$5t7eL) zy=CNp3UTeMU?*=}aFO}T$BfiLs>I6G&tmf(sL!><8tu?{-@Hm2r4>F(#lO*_)1FCy z@0BE+jA3j2sCJ&5fR72tMDNoI%~LK+Lnm&#$+*Jt99eep8HJJ2MDyu)MdZpt(oJ9G z>;23A4a)z2m;adq4GXeF_|KV0^Ruqw# zi^KmypkqU8N&);{_1z4>v+y4Kq0eB&wfj{DXEa?BuA9YP^yc$m^X$Tj$cw5_=$F~_ z31hjOfIDxZsR$?NpUJxK?KyWU_b|CM^RoPlBz0NYG&CLGQoEyXvB^_q2|2ZqYLj3s zbQ~DlMFit-Y*2))kPx$Q8#Cf^Je%MSqu-TAm3uo<=x%w`{d+p{wG9$SeeHS<8Vujs zOx>t*;}QojN^9+S4>d%QX~M9;2LXGzA$Fpb2%nu{O}5{hUbpx9XM~^LL+!9l7?Mzv z!zpWOt>~yivULHzPhymyIw{Qx3&lTZ! z@?$`X*n{v6<%K@&n!%x^ooD8)=8NacS~XkyTIb9DjAvEO&s@|JaMvE--b|o3UlJ0} ziB{09Zm5wv!|0V&f@j@((w~TnkUKwz)a7e;@!=jWT@s@JLZx{}5ZzkZwEu(aQ%-gR zq)WuO{@0RhZ$$9W(6`^!CVeD}uD(tV$fP)ar=lyAl_^H*(Hy^OkD`qS-=Ck^myq3E zwny{?Ogxt+UtxITllJz;x=;AbiS>1@)ZIfdSFp7-4-HeOMD!S!H9w_TzkCyQg z8G>t2yM$DBU)lhoa8Zlq_AuzG_P4&)X!DhD=zHU6rw(T@ZmX))5N?V)k_<6`@1`Mx zu?`(+3~mqcvciOSM&f0sSj^YIDk(3}c1n2n1gDJRk`MR5Q^NSV1$KhGiV@~ba z!FMLAHnHUIMBKF2xv|@TE#12HcLy-z*DuIW)b?19SFqV~j>i>j*FDG!j&TFX!Gc?p zxvBC~IPRoLmGNu%7fEJPc->-C2wK{N88RX)IgW(p5HiQgk*3~8ygkDS$$F65{9%Tq zE-BpQew>VvHe@ZH5J*2wtMU7W;;&AU|7g}$Ff2EUSmMzUNoq1cqM@qN80NPK+QHTE zKQiNA;Yh2sQ^WVQbg%uonHV(+rWQ|d#pd2Q@9n3}lX1mqxXp?eVD&ADo1G$slWn5C z|7jGxg*}oEO(f0@afZO8w4toi*Eepw5YECKchNYgYhNv^#@pPg++`fM=2spBeGvl`oH2r*zejq8>?VlQ2ZvL>QT z(C$5zj_QQKyx5JzN-(jQGZT55k2+Bo5z+@TRJ-2C4Z!I#~B`0=jZKtl2gph3oMVp3C$ZKw9|-9h++|ZSSh;AwBN#PINrMO;X=s z)PspJZGJHB91Q!Tzc`uMehV!eJsO{Br~dYu9N#x;Z8xo6N=$wg=lG}g%n>bE(PFQF zvQrDgn+Dl)hv+=(*$r*L@f7HDMgQ|-a2lChmoz`=n#GdP4#xYkx5f_5R1KXx$4l!4 z?V8Kd9R03G;!y58jcDVj-fS#9J!oImg!0ytNcB``HO1q;2eZ-nINt9*YPCkGoBCzq zen)b>0seby0eo8l^UnLs9%ezl#nvYQ4|%=`K-zPr$Z+M1IA(>|yHRP}N0E@GPC~lo0@_vZocDrYPmy$TG*MkpIgumAE{&|w* zJDei0_$1s8vDLj91T0SdMD!YoggHQEtC} z$&k%R-jZEIg|8x4;w!U1*nX2he4fm$|AFbKuJR1_O{Tu$cyUN5j6G0dMY75$GvF~F z->UEWBRSKHngW4J1Y9n+{JPVg$!z1}@RnA~@Ulcr&1VG004TR=#b3E^nJ2XLY1FyU zIkNlx;_&+(Jf%+&9@<>KHB9yL_`w~Dys^WBkJBx^pubcGV;6QsQmPJ!4jo}_&{T^t z^@B8sSFDlD|CW%Ed{hO>*37NOdq{##L&m0;LUK8N4MK)!H>cqA30F6^1>^2f*DYS! zg!{$TiaK4B9~*EU$!HLDH!bE4D=T8`hxv^7>yu{tuM%nsChiR+OR?HJ=#ldC*KpxI z&5^$H3(hm-A2l#;pFV8scysKcVXkWTSCDCnZ#J&X2EOrcO(=IRB~IBy+S?V>*zWpa znV9P1M-i}Q?S|0D6D%3fgVb$< zA*rioD4+OS-4}^LokeFOA8KR4NOkA%f&q>w(oXdkZ~=_lt4cFO5^&kiC#r&ah*#qr ztku!3F2z@{%Nq2rVvOpy)AXD4O(3SfdmU*o$q57 znCn@FEYE@sUpX4RKJxjT{eaV;B;My-Jx*WoG@X_nuVt9{?IEs!w*!kM8IohD^6(__ zf!CvvoRn=aQ+R^AYIX;hkCMho6bU%A8CjvX))?DMP(6>`qcMEfSkb10+YMFiK?tmq%Ej0As z!fPs6rQG))!N5Q#@V&G}I|<{(EhT%gnv{RrIX1vou7k2F^8rF8BBuI-9) zNJwPQ?WiY<*Mvl;N*0-An=zFoWBB#FYfE#Fv-U`aOfVi?0^=anEeYq-hc>~|n;acr zfg7+E2Uv{~fgZ7yJ)H*U&MgZw(`S9bxO%tJ{7}GBj5T*Sd0ngHG^Er0%dzo~!||U! z{you{fy0?naFa-yn_Uj=BHzIt@8*<=jO+{Y6ZgaicBbLY29>}03uQi~pKo0gdW!b- z#`Vqh0a^pf&250;`RN=cd|2D);8GdKfR7eW**)o{3^kESgYbb8MrpdVI52KMK^4 zQr?CtIJ!HC_ypj?0`~{e37&-kIY`g$BBU3R(`;i5gBQa@v21wJM(q(%4t3@ul>tES zXomXrwfES4_SoM6UvOECI+7cnvum+-Zvk?RCOjExq_v@C-lGyZ9W+*_XuIegJ;>F% ziPg^kzg!%cvR^g+Jko!#NgdT048u9i#KGp@OoTK67tGXi^e1P!G6TR`#{qyDZ)<9O zth2}4fs8P4W*MoY!0K8)(KcZt+Pl%wMq|Nyq$~yD2Qgc^EX4}*V}(W>Z&Orx_X6Uo zuAttC-!-#g@7Zh9NPqfw`O#V}Vf&F?q;YIb;wSELC`fiag%R`)BsXWrf$}x2VJmbR`kk=NlTR0BX^D z6(@8Xnl3lNwDuD*7Rl(#*kj%B--M5Q;LFgN94^}|7{FizhQvka49)@>#IC`T4e=XB zH`91y)!MHD_pI|58kf-Q6XMq;Mu!j^Yc zxRlcq`+$E}5N%(`zOzfrgr@Yl646z6!NGp4WKTpVTz53B%*o2lM95u@ zwIuZ=jDoZ`r<^PrMRN>HPy=VjR2DE|xP!G+)6|ti*N?|Pg)c#{fCsPP_(aA{SBiz) z9Og&IFLtFC)+-LMf<^N$*m0t*GYroPH#2`C$GTF^Rs7&kgMl0o(hhqQ@CL)6suV*a zL=%ti?5+!(N4R zu;BoTKH|}}!0qab&fGB6&n#5;Fk*g%?dpKM!ijHDpoy9#+;G({eLPg!TK>B#*AV?1 z@kTvVm*(mLLtynWkrkcv5m#{j`g*_&to~QTw8QQLtihCJs%=~`47(dJpuel^We6IG z9l+;1@DPyJ87;=1w$Ns5yU zUcENTE4I1%<(wBAgu-|LCR}mUF-zbY7q&_e!ya7>+sRqj;n_5Zjpn)uFP9?&qfO}Y zbE5dQ#M4GHc!hsVS;5p_y3{eMg;&;}5{=fUjsP3i?NBVnCsgVA=Rg(1tmCjbrQQ}D z+jNGxb%Pvd)Qk4$*x(C}8^_(g-dII96dF-sn$!TWEYHcF!O&# zri(EMp&qpzlZHnF>%kO|DuTP8IgCeELOb}NJAz2w;wb-O(cq)4RJS4LZ>@<7C|>b#9nm1y5Z;KX$2+aZ<%luE-YJkE z*GJFnIUd0hUIWOnOt?SRmDdRB%)EFRb`j&F`Wa zuzFfFt>XLU=EqiBHq z9b;1_99|DAh1{!R@F6Kx`*2Wcon{3lJ56&G&@qs8*hE9Tjs~@dRLlNOOr57Z{R$Ff zQM_J4wilppx^{sWG^T?EFU6P>VoI2!b%?&Dx5_zZG399*BVZ>zW~JI$k8=9o;s9bp z&~!ls zwYBfgG$Y;n(lmAjQ2fCA0q*6+j{4#n4fWJ zj>+Ekusn-zPKhy{HJ-Rp*OL^ zn0nSV5Pq-JGB2GIWRLX$+`$yEs?kum6cUDY!@A|^#I7rn1?=M;Hp@D{7 z)~d4SeP}Xg6ipkL$N3P40~L9tZe7zo!!H!wkIdOsSAj<&KRYZ6=mb*?R7HlY^*Hxr z;WB2(se?eDs?u1sle@cZ4v0xXhG0+g8Ezb36jsqh`YK9bXPP0c0a+r*L33KfGR9q? z7sFKtxvphp&9Tc>sxwGh{K{K!#JMLZ=v(H47{4$chG96RMIpeIVSS8WCkfN?8ScMPfPD9H8@Cto%WOEjE&Klq6-A;mqH zG1l{C4v(&EZ}lKf$3V0>#W2)c*(I4B*>#v_ik<(9`v-n`y>~JaB+TXL7(;M1%#0Mf zv;&@Q-RbNS4BWyH3?Yk!H(m3XRkW%Oew6o6Cng6jOh!NJVJ%_pr+GA1eHAG=e*e@b zhb$jfB8gtR6|XcN7iX@-C3G>Bctgb_I#)Uq2yA}`PXLzJyC>^t0jl17zYM=|)ZyD& zp201GkL-;_ahKSkh$;P^kavh0IO*=DqrYE7#T)?&uop$yW9{l=li?tydfQp`byfXx zq&*FpOv>YsnzDldfv5U{%6uGX5eGa^l=x zS+wdv`cDonFBi3al%Y6rY>HQN9DmG-fNMdm;)*qq%jzj&jP^n@(^IgmIgiUXu25ePVmbrt7-s{f?3hOOCIT7!D5=D!)OFlX!T0XX41JxthG|b(#eF zKui&}P1;|#Ny9QBkm`(+@wxOw+$kUvJi15|n+#p7?Z@PxUL@gjdUV-HcQr1iU5UB? zD8cGkQH*`PE%svj1Y;j(l&G}iafgbSypj6RjBamR3r6c%Hu@ipgrN)AI@vRPA!Msf zMVWRB0UJzNrE=zeg!?rRJNeR)l%w<@d}WgNSH54PRJ}55wpd=i4~tDk>jHL!qQ-t$ zd4Y9U8vZs`Xxj%j?`mDehv)|IAjiyq5MyA`Nra< zem{IPIF5|`$qn?AD85>s%^1TBSdV5>|&Ipwb6TDneBvPE!(nKVTv$oOvovH_0`Psm4hOPi^qWAWy1He@}SGMX1%>_)Dn{>aGfIioH z?>ea$u6Q&}2Wu@kW~L+_ml#249IAnR<>`30Gf2bbytl{xR)03xJ4pxAqavxD>H;R! z5Wkr6B3wORN+c`^Rpyx>f;#_?z2FeAXdq1YLVh6=S1yyn62So5HGY z(ly1|M6JFkI8ETV%q{P_h)!p$Qp9jN%m{Pel%imJl{?T2*8K0_xeEQ5gKRR^$#q0^ zk}|v$tLc2iZ7L!V@q)kLgf_{XBe3gQoHSj=h!M&A;@Y&YG{g=C{`clG?P7I(5z&Iq zVDgQ-`1phHm?Ox8NW0NtA?i=+8zQY5<~uI!y2Es|Aozk2P?~^4YJ4tE3h|`9q&t&_rw#NjcsqV40{`$D>e-**Jv2zN*EESO4pKsq3i3AVv8( zy;~srckhWYfQj~Vd%-cIwVD!`osUGe;L#PZ{oHaL*bLG1&i0bwMLR88W_Z_)C0o2m ztV=PJZP@2xOajXk=S|0(0VCj1BOEGO$BW%)bu^DF7*I6@UjkUGFVJERuyOtyB(V>; zOS!0N3m2LK2$NzufMFxncAD^SbS?+f;OJPU9cmJo>#=$<<7$^#VTwTZ>4(ZkE2yf_ zMPFS`ZHPCa98F%xr#aqDj(aWOAeBjdt{A`xoPZ->qFD4D3|l<9lV;iEcNN$Q#DO)t z>JKNw^^uk|Q`+%}nNg#3e6+-QaZ>O|xAss!K@ zSn~&V!cc2MxzZ$v>fWK;B9EfFR{Cp}Cb;)SUOa<{!7f9p&;a%k7zS7AsvD~{Udd2H?S7i^ zJ^U+9Dh+PmHGMu<6S`cxwSbAfL;P~sFN`2N<@s9sMe+o?M7xPwzEMRsL@&i#(y|+L z8Pr2jl^r%1$OezD)5Il%-UKL7gWn9*B}Ihki{_dLx(AgruS=V>mD^Q^bjCYvVAKh~DP()@2V;eq7o;^14M^!+U}6d6DMz0MwZWMjnLRzY1eY$J zqeWIO7X3|U9Ofy=Y+o>Z^XC!>_Jgjjs=VgQJ6<<`82@S_sBS(5WM?rHwMjK*C_&C{sUo7c zJHB)x2u@rK-_ONwP<=6k>*FxVM1A<)1xz_waQn63&(YhvQhOlRbXC~}bZI--%B#R7 z4AqdjX4H{J`db+2N|&z+cW7^RUYY>uYjqMP#yE`H=0J6crWt;qD^p?qatYflUFk%$ zt@NiXrj&&L%uv#@*>zhLr;QksY@D`0TjFUuG51h$Le^D89OLa%ocr7%b=(xC4&=w| zRo{F)>L+IX@jf%6sR!zV5b&*z^_U5qeXhJ9}9cR{;>P*$AMA5ZT*sLtZ zuloV;mFgEo`0k-pmaepVoCV_xJ*f94r13vz>`h0lbPAMyS-QwUCqPyD<5p8%h1b3x zo72@u4|zKkeiWtKt4?8*h6~J=rc>$7NRmjSk4fBkTj~1g%dR=cLf;=}!JD0bhp08A z%X8sxrxzmf0=K;gRZR5Po%{vB2)M95>kUGlE;YTXTHv_1q*@)p{qKN!l&tWqvlCh- zyJUOZzfkgGraUgHkg4)8;t=5z{r>&%DSPb zxY)XdP`pN~%fB5{9FPAe8B7rsrmwxat&70%)Y-I3Sc%-MvzfU{eaobFvo4y-F%CB5 zO1CbkxJQfJ#&E(>lA$>fDuF&;4e_2Ku@;|ikhm<>3dOIW($~WTQS6#BjeI@KqY0HZ zu2tM&gl$wFQ&AhF_%oN}#emdIT3%eA%t%fGbO#Uczvq>|qBI3RL_m`IuTBwvuoVFs zxY!hts;{m4avPtp?3ZsJ+IWm;pk_SqCa;Q6Z;2(xX>1%7#N!07LddUs+Q-0`KpYSQ zF4HR6*@j3HO_a{veE&@*=*k7+>K?z)oio99)jKH|ry=bV+B?8jTIOB+b52JC{EKLu zt-wP+sJPYrHnN70)X1!fpd9Obyo4Uy~1w0PTZ0__d#HX1XD zdd;=VK7>YO@Uv-_D4){K&?Z_qqCpVfPG)8Hp!KMZG%4ON{i~=D)Q` z77i*H`zV?CgwxvO-Em8e#1vlv7FBB?u!p>nu&svF^%VDH)-o21GfAvt2dpjA)RcCL+xtH?PR~-Vo_#bZ{G{O;TU_@7I#@ zM!X}LL3_$rrQJ>A-PMM=j%vRy(b^X1P*NcVlx7QR5kjtQdKDI(7u}B#*VDk939(|QpkZ^oebEZ!5yKxszwaahQt>f>jqYr z=>O7#l8V)AbrJ5ok=nY5S5Rj!yx{V-1;3Tt)mQx#7bSsa=T+S|P=}hoe8z@?iC-@& zSUsc__@3&$W93noZU!m!xI1}@3J$|8lP(o}Q0IhaL?%R5Ptq#4>a;82(edWU34C_d zreCPtxE9{@MPp4``1Se#!AG~Y>h>C(4v+N0@C46FOH*HGCVCORy?SpMx@CUpg4Km0 zn$GI`9~Nl;aevk_O;Y%Ef9mf;+_aJ$!B7*#aZkEn=%f1O!jSPm!GabEj z_FZR)l{B4_u50Uf4}Qhplo@j+K26tFeO08N?#Wf02qicywB4w=vtUMCL#x?zO$`CR(hF6jbk&^=OB zkTQCq>olhdtm``R!y-`k_|zq;bGoh+{UO@IBgG`KF6)L7HqYBEwAG0^3lr$}!{Ww5 zTLabhg|@~+jtkSG8>Vq#n9h0W!Z3A>BzxU3vP(+Sg{}+rH(^j*r(^u5go+(vf1|Giah+>#aGg8~OmRtA;NeNmTH)_f z*ZWm#A4;5uEV3z84IW)96Wl9vOAx|r@4I=Op{nrEw@>-pp(o5=1#x7^3nSR92#0|; zZ<*n~Kh<4A!q$`5N)(jOGVf>kzT{E3Phwst^&6t4pye4lWH(fN_WWjFmKFH`?&m0| zR3;!3{k5U8_0NLenu#)-*yTa}wcm=bPSgGYeG$eM1@bCr)Yt8=3 z_K~<_ zj>%Er-oaen33rd`W)Ao1QAf}@x|C2(;`Tl{+*YGF(wcDmjqfl5U7W97olBV;%n05) zG*h7U{wp?S8*I{VJgno*&$w?TjFI6de3t^T0Mie@do%V=(1FvE*vxv)1A^i^k0VV) zjISe{R(Uyww``#pq$)#BeAnvrvK4IjR-IkUA{{b?B}B> z{){Fa4SF&qz)o!WYZlWF+K)`$8mE3Ff|HT^ZGa9xb$90Y_#9=MUxfaK zZA?;A<>hbHE?aMP%J-9`>e9I%(Fq3gQ3!#uDT8shL6YOii-Nw0OhgFHtb|8&izHnY zgp7q*)>7%uX0a!3msJq1Z+c{ASkK$G301p*Bh^9~(>S z*5829Uhzrxyq35h%O7yuV<}+ zj9W9F2`c7oBTR?7S`;5iEL$fBK(G<~RRg|wR}(S6Uhr<0hrXIJWi51C*gp?X#V*l1 ziXbUCb}tq`&}`Z}wC)(Ld>jAl30c80an<_fDEzKbVvD*0aqRK!KjcsFR}=h~BAbLUrd^kj^luAR)1&wVZJW~37uaR7Y=dp)g%9^a{fV03MS<b(AU&- z9iS2(`j6L#wtw8=x|3L8_g?1bI7=@5K8lXf_YN0Ug{>jWhTIO22EL(b7b;i9wUpIc z>*CBV(zdU4_a zi=amTfTsi>@9yxHJv(Neh!_@{d3>Cf-gxv}yZps&-6s7iqCy*Qtsy+B zoAIsklw`iX@b+Yvio4)joELn{;au;fxE04+)u&!Kgw`QsCi`cmFAS6d*H-n{i>o)a zNgw*m9T_@Aa&B%t+Fz2B>E*2J^6c)Bix8sVi7>cgUMvso4ki(s-`t;*CdE_tZPR%?)~KPvvHLA64|TzrpZ$r1^UEH8pPxyT4Lv*n zol!gN;eMO?kUMq>F<_iOIG&SQ1afk^fIznj{)GF-?D{=Q;$A5LillvoI>3g6KL z-v*V_+CQj1^gjwJZk{Js5tM2{tatC}7 zZhHCBuV=%BWXsrK*K;d1wY>Ue>Bo1nVuO^37lgKwRy*JB4z$&VLZZ9t807VCJD?z; zu*imdBJ^5A%_9=R5_dP{cGo^A)cR7JyyRMiTh(G-N#mED+9XESpS)T|&r3fO(dGM* z&L(x^BzbvscS#N-o}o{!X2ge{Q)RPX$D*TF{8hOVQFm=L9!&TWm98wFi}d_Df(#)< zUfvyExl&QN0p&B0ln+TEjA6~c@8=ISu0yRI0P^u0i{!C8({%d3XX9QtDFxAX?lAmX z-mB+)>Vaq3sQquw{>WHMOu2L=gVl@*njOa5zkDQ2+!f@W6BpKW^MX8knR?k&32U&y zVx{7NjXBHmQnp@#^}y86ihC`>BQQN>x@&^=iOzg#<6euw0^d((gI!&-_)%^h=_%2` z+o|okphzs8r2(N{c}jr=LY>cQrKGs>o&`?5@R&no=cDy~;J1@T%q6qF@U*|9bl@$4 zRNff@FMJ;c1(mNM%!KuW{NCs+7ebC~iyJZfsR;DKo~aBOn_H7sIvW5?{gtN-d5=3; zyRF%(>*nv=t^*`-esq{Yoil%*MSq#grMji$8S1yP=|D$4wY5#IM^)oMX>&omWkra- zR7j_m-(3~ib&*n6%{o8y1wHnhGMHV zE$KejQoeEgTRz+hux1hGa!kg4XOdk?dfOi0em~H=;(^Axk7z2%@|0*&d&3V9K7UvG zjd$)6dr&7V+IZW>tzg?mS|6X~GgeA>vKXu_?&LAeFk-xdB!QWZ5T?-$@`zAAE_AiL z*O?i2eX-{g0S-DYJc&@udGX_NBO#wQJ_Sh0f6C(jw-+vY8?)NwNj!YY+x&^rHTpug zAnNX~kF-GLGWFDyK($}r!HJJYA$iP2=c)Nj!RlP(lE5pzV?7B^f00w$bi2h?c5T$f zrl;!9$90UJ^P*2Z`l(Y+PezAalI|kT`7@z~us<}^S#c~kxw(}$7l7*VXhld@d10}m zGtLIE{gtB|V&(JrUig-$xokgUT@u(zkzzc|-+ z&OQbxsR>=vUBfDPpOUhHw#_L9P#<31$Jdpy5t>rDe{h4mHuMV$I!i06gsc*!#ABP6sMHq z7pG1JRsB^<>=XRm62_`QDEZO`RKIxtP*+r$VASiKmAq>oN?AFJ^^-YK%xh-CzwEsH zz+dJ*No+g!rDL-C@!6YQ#J9|Zr%2&uYVYN~xv4Kv-eqxwOR&z#$6p6MRwsZY)$xG^-bI=(U%`%oR#jcZsF zYdufgf(F0v{!uyglzuca8gco;-7sEnNW9T`Ne{UuWHXcOd_ha(MmUdugZGs_&XjU{ zoy5K_$}8CDdn0!kcONj)ZN?K48C5@PsNdUtF5apC68}modw}B~kG)Pg#Ojm4w<`jR zh?YHqSNWfCCnPj4xbGWbpaPkQNN{M9L@ssfeFNWJ(utt6&S&s2*L^v4&1#M#z|2`sY_148Nl9vRhIyehbWMA6ygKDGu$0aKg{!Qlb z*t}t+z-)J3jk}826qgNHp4h>3Oq#IwZqIV$7dJ&GCfpOHd)E`Jz2VKNYKN++w6U{zaTZmUgTnKa<>8aDx%6h$u2kJ5dtE;sdQgKpz*=9#TLSedSc-gj}q z^Z3oAFKoimW5Onh|7Do#^Bl*^ehK1)UQp|);u-G^MDq<>$0}&dLB&x766Z%@ZF5 zKRW3LNc#(YSp*}+XfssvNuyPA#j z>-!#l&`;DXmrT82jWK>5Afe(+eZ4M$w#GetUet8{tir?gVf<{^Lti@WTq9E6?o;as zq*~*|dmfCo%D)^Ea_d9h$ii{Hx(g+2;giQ3MrYN~+JAmA(o26IMG)bwoYxLc)O4c} zzY@^%9HrB#q3D(3ll6S>&I#HEO1B7pfXt04Q%hW%J2eEYO_Sbgt3T?#$xXClJ~AQn_%M*&3C%hxa-8{sD^vB&OG7 zf%g~cc0T&gj+^1=kG|ak*ztr|_$yyMwe7XGog=02t$rC@m$FM|yZZZ}zz*WbW}{WG zv4=ijBmWzMklxwuFuNIN zyN$Wy$oK>E^{Nb#YoUE2wOXdNJwW1FJuG&alH@bX{l?Spe7x{3#`pMh*NlswUW61Hh(`Lg6( z6G_~vH*(%elOGuX(}Ps=T0d^vmBYQ&y>_lW@WemoG8D(#q<ZM~1L05aAE>qN$vGX1o>Zam?N+Q9iRmeNBRD z=rT}1yNzX%pAb`t(JtJ%!%d(5dU#4KD^K9*66Zv5-44JS8GB4$5C2CC6nFHE472Jl ziN`BVZ$8>PA**~{AMSvzyxQ(_{9oF^9TOFwX6GB-+vJ_0Ra=A+u4T(LLo^FZ;r^s} zeeFMnl5T?@fw%FZ+( z=;`HEClT#_?A@eu3ik+dp7)cpd3R)9uMy))Ri)=!Uo1S;UcuK)MA&(Is#q!0?xPv18}B6+@yUBHW9(k3tvm|~+7jW!974SdNLE_HcTZ+bp6AF_mte7B7L zZ|@0o=F6vhkF6tp)ynj=E>`)jg3NIS8bCJIl)sL(t!?XqUob9(4?x-DZ*P09d$g8w*bMJ8-gf$mL zo?@Jhdkry@m^DRj5#AV}AAm0|;_ZxY%3H38{s8+Kup(rwB+D%MG1GYdO1D6Le`H*5 z$cDdfPezrQj@E1WQFXH$)^ClChojtuL%6ot+ah1esM)EDa3EC~zlE_iE&!kL-Ahud zdFZ`L5pbzC??mH=*lq#%pHbTR2N=l8IEPf`tXJN46?Z zUwvfR$7X~fZLD7eVUW*P&$xj4gZKMFJ<(6#yZQSzoH@rk$IXv{e0um(GkYqJUDwFF z_;R+A!~jUVHEA{X`dIRqpjU@MS|C&7S(&`41(>wc*_*{>VNkSTg3D zrRh5)l$m;Njl&1Xaoxz&lo|5#7e)%V0p|b5(YePn`M-a>gF|vkk`O8+gp@;$ONyLQ zgd$s#RMI{|W^5~~B&Ud!Fy)lQk|bd8L8f|uhOKA&Fr_=)PhG!duu zVPNo;*k-&fzofeSQk;xFekh;x>`+hv?Aak{Y`Qe+4?zvCd@jc$?tR62x~$aY(0o`C zpU~CLb}*7;{UnQ=ibO)69sjTk7uw31rzA??OAgR4k|uiZ6OhL+!+u?l?za~tq1HnJ z&Mb7V&=P<`*ZuEMMo^Z5T^FWYbs! zXp5AImh5>x0KN~Z^-9hS{AAEuMUS#F!Un?+Zq0dc96uZ0`j47(3I3*8Idh7=b4Q3Z zo%{P(#J~SI5MWE|?7(!nQuolgI1=W$N*^y*6WmB<51$`!Ub>6w~-pu zj`BPPA{2WT5gN_?_E^S2qp=Uw70eVAmyA2gufs_TTiC%$e@B1YYl!{dHy>|12T=!l zAA?kc&;uZ4@f07g#VNvSms1~#s$8+8VSGeZk~2L4)wmHrT37P?y?>mzV^NfDCc6Hd ztN z(B;eO!6ZgGW;cg?76XoU&H^VGnB z{Q5;hpZ7GSYnyTS&V`KnaKDE~zkPb1_Dcr;XID~kb2)pnm0tL?igYCEV%1J7-Wk@IsHp)@>;HwF%Hn zo4k1F(1Q=VQT58g@IzcuvZoWgv{tfMdE5|+g;d}2;lQyc#O1pRE;1(<6TEnbM=zH0 z>8%D7bzIUy6#{t<7=l7$+%q4k^OFc|ZQEvkR~suJ*YU z5scKf}Ky&V27NX)GYk5bn|)NVbDe(y|Qs7sC`*SU3$&q z%Nqha0d`tJ=o_xRkW9>06{dw3$=9dW+=gPoY1j0AOs3whM~dcHU~T)|Coo#zr58nA8MgQR5>4!=?Hu9C(FZ zl5wXB(0%t0{_ytp<<2sFg|Q>~f#G5_n52s|X4?GdcEzrD3E#eqzcj2#B0P$^I;1Zy zchDeBJUhE+_nf`aLA3f|9@D zL7#tBI;}XSN&^nfo5bjdLL2;!K9JOxL6mxK)fk{!<-~=Dj+^J)%Tj_Tt>eax01I3? z>7Nej(Gny*H^VlX8Yd-l!HqLGRpz=Ie!%Yf^$frWL4Fx7y?`e4CL2rO*no&vc6w*A zq?GH~pRGoCuQpA*ax#iZ9{mKSn%R?&G+MrCbDP2P7In@f8mPA-t#vTvs5DXU@no)( zE5-n){@vnZFyUJnN$n0gOA%he@Gc{yMV6P5TP&n?7cLi*8!V-hV{4sr1YJG#RDw(I z0qNNOVDde7>v?^}g_;jN{5n*-)=wB=FK#<-lliUTbWKRaK*AcNwb z2#@u1mo^)93*nDE5PP!ft9{*^f^eTp+qF24Pr|#~Zx2TVEW{?6@o^6*5g}ImclVnw zUk)rPqB~dZ2jcUfBajpvxxuh`@9v7_js7%e5;43~Fvj;^)kV4Hp(k zQ-43>9=ovjT6^*Azir1;R>xztUea@n^|;lPQ2)_SdDsaL%!8u_)L z@-bb_DU!u5PTQ;CVuVT8+tK=fkqlI&*QsAYqwC721~X_YZY;9MCulMB2aX6?ZJdE@ zSPK|ttSWz?l!#i;U`+SE+7xpXQb8#HGgzeg{;L)TKID>%Lz}n-8J)%#e%_cwdXv|| zy`bm38lss)MN1dx+^>w7A2*2*>f}h&wxGL-Mwar3rU{)}yyVH5X_UoNBCf{> zsaik&&0-A9Q~0yHlTMa9Eqpjr`lFaA^#^3rehDO1DmlcJ+I~5jI84~oSZ^ZU!Kp1b z7ImU1CrGz)k*7H4HH8Ae#KYskR5v54EWWhseJG{qxS|~XGI@73$9LGk{FluUW2)-F zs^sSj+C2BJ&5+L(HBzj>IfEUhnVz!zbiJbaiGVz?S#jUmA>-LJ#Sp|9okL z5Boj5K(8!Bx68siEiYdfC8LH4LK@aa^M3P4sXb;{>;#PpTT1q7z z-XTUy>P7^p;Q8@ii7qdCZe`~P_uK}&?tg>Za)if{TA?p?&8)Z#<9+}<7EC(0WBq=a zN6v(jA7N6APyEI20eka!3ySe7-Gfj0>VofI%4a{szb2qlO()O)&nbQyilBZEAs4ER zJ3Fk9*Z(-4;oV3l{K*I%A*3P|*}oxip{ksiOT4EG7qJ-+%Tb#?MGk|~kRBXvczJ5O zy)bl`bT>wqBQ*b5GECaoW=!X{1}2ENMg*qICmB8LYj|^!*(xjDJYojb`%yxaZhzj> zmGk~@DwtZ!wt^=Q+a34gpFB9F?%Z}#I~RcL`6C8&W~F~t+Jj47XfUUWS|*qP|j(A9B7A6h-YKGDH*QR>;2xnlCZny!b&$y8qm4gA!6#TJHEpVo7$xkIp9@8 zNFiT5LeMt@Q&jv`;`gGMG%RVWX=&i4U>Pad1c=oP}$Ou^~J#`GfYC?e(p zWIUDMfNqOb$ks4LoTbXjN4N3kuu|V&Z8GyzSArSbD9PkH<<^(mZm*@K8>l5FCX!aY z>m>^#;ji$#vf$9k&LQR4JNHKJvuEEMq)IkF$9P1^wWGQ%&?7jd;FH&1LB-AjaEN^raI{0Bgh zrG{slFO8yWP*s&_+d1cv{D(uHZ!`s7%oI(k^0VCv0wBdQIk;SB@O@zwNy9WYXgTsB)|+ zBx8uDd%U+gd*50%S(+q`PZAGb3>?kMy@`|J5aWU>Vu_nYVv@B6^Ur zNXH1O%k=?8J=3Bj#uEu9y5%qq9#fY} z=mDGuwK~&fNk7)FJ?rFr`@efMl-hg&ZzIZ9RU$Td6Y)XG%6S*3aH9=`n-;T^BeXa= z%6@QY;x89Dz;_MNt^ye@Xh&ZuNc7?iUan{4UOsml4~&&27agL`>S?9x*}!ARvKRZi?Z2lT-Hi**r>ytnC#tLHsXb)_n-c@1MSC7U== z#2`gr1eU5h%5b@<475@FfKEc!X>+Py2ZyBroO8w%oWA$=LDPkie411bK5tUDL&U{} z&U%Rcp1`i2y8hsVAO8U|1VAH}cf5mFs$>6mm4(4yV#rO_5hQBEgiVKmjnPUF=d7L%5c0H$;+KCdZ$xBk)Scrm50 zwI@Z7(~UPws8!XLLHGgAu*B2JuFG1l^_BQApME4>TNO&&sqFH&93<2ThscY!J#VMN zRBuiL)rAPZ^*^ zkQcu?_jW~a=;o&~5C#Thjh*@hD|ej3XIBkszqI_($|6;6Mt zJQ}QjEp&D*Sg9mg|JvzdGkQY(sq!Bv6}Xk<;MK@brHt3Ut1|lBO7cns>ud^zv??q9 zB=Z(mB3MNL-s_!EaCPPaKnPmm?|8e7pmaFG-MXWgiki${Nh?n6P7!(_q49&^>_(l=S)1Ftu2So9?KpVoBZ+v;!Lz& z_D_;(5p(PacRL?Xv`1p+9> z6IfnEh>?>Y03Py5qCU z5uX)yp(tH+J#`!w{e(`gQXj{_b;~MZj3Bi+-&JBShjALSS6BC*W_pJu7Rlq6#$jjl zeN^C!)_OswW=5j-WTiEa)a#^te{kI? zz_5|s(iIvC^yf;!LI(jcOi*535nLO_K9Zl-j0m4Sk&}?yvmc)27kN{g1Bcw5@^_Xv z?cngXtG?Qysa~*xZ2R7`pbgw7BoW+cz;2hpMpZ7M`zGYQ9w&GM`T|kr^ew9VxD!Rb z_1{fhjw!Z1V3{X_Kc47ks3C=2{G{LlcVSvh>85C(4YKMl4}Hyh{?CVly!c5G?rePa zonq%LRp4SIRv`D?na;J}dB)t%Y8d>*^0Y-fQN@5adm_R1|uyF?goYz${?J9voR9w<+3u64!-?}_5GFGXNUDY-8dz$Trw>urvEw= ziW}&OJqGM96M~iS<;tUr%*DkQzb(?+b$m)u2_+7Pzj!tW)(1pAIND&6*E2cdinXej zoYgN@<20)ggi&`jICm0^k=z`YwB(ztkp+(lkPh**qD$zj;GeOFWN9vX)j)GcN9jYCA;o0*Rjs0(bqlz-CAr6;T9xLCej1@|LT z>LiZFU$k02At0Z^-UP=uAN&GRrTB%99=eHo&WYQ&jFnQ&@GeCaI=>HWq{2mJjG>c zT|}UfrIyao;CcucDajNZ46U`pug#B)JpT_fJg-+ab} zl3#7nGg#Xiy!qD-#Q6SsXYch}TmK>yt8iwQfH`~hG6BiFhf}*b*3H+jGr#QV6T6#& zMbA!P*G&ID2aYCRUI_)<%1uLI?IlMUg!*|+_FC%HPEPH%9G5ZYyR%Jzb*5v1%R}~H z`O&+{BdP#DTVL3oO%9^3)6`l@S8|S{5U-i4W6=?hHTn@9Cr5wBLmxf8h5a3TQ)7n1 zE?Qs$$jZ6)Qk4sRHz!SLdXhlk91@k!G-#+ zDtiwB@kko7R`cO^iKrJ1=8atqyP?BzfY4L-^%$p06bBgR!!`f~3*|#2&^P)@25qAt z#ZHdaqtkQ)>CP(NZTE7NDW5@ERc2>bs_Dp}@Pu;Q*cMYEz5PT|qOqi?nG2TKdO8XJ zeciz+O=+|WiNRnp)e(vMwI52ayJ*ggLlsUrD118oC{7zLcvm=XR`vhg&Zw2bRKjQd zLYx2p7`z=wOhU{@81TAG@^*YZ!3#Khl$HL%9`yz1EPfZwZVXEA1sWSi_g%-fN0&!e z7?T-s&A*TbS6VckZRhXseUxLDR^{%T^71`i+zOf7wj;J(9q3FTWT9=g0{ux;+f=+J z2aY*8d*ONukj5S~zxmFDGz;1(?U&({n<`wSGOlGK_1K`TlJn0njdBMyL=(KCh`ANd z;X!E<$G8KCbt1Am>hLm9G{UW>3M}0cIk zdAZF!9+A~XF2di3Z0CFUqU|#`(cmeO%gv!}3orob4|XCI;aQ;{9$P05NB@3H&0k3# z&gq4SZ8zG64omgdhBi^&ny$~1{_WCHZ)n)IS>4e?S64k$=|qF9hN7aPdRxbrf47w0 zshwT^_dWKj6;-;P%v(@3loNZ5%6n|OR6bbx7erQBByJ7J_Iv; z{+^~lY32|nlrCl|bmZhjs3Wh#lArJt`P4;PI+mv%?{wC)UHE|S`*Opz&RWQmiKBY_ z^eoYIUm>ao&1=jh+}IC6yk@tGQEGR_{v_v~ZdWEG(x@*sI!p%R!GdZQGsuU8c~`D$%nQT5IZP{FU{ z>!olF(Pei@HOt!1T!xusfZ7Qwss=wKchkrx^uGltcIia2c&~E))M!p8*ue0L?~-nu zg&8P=nTj#qlxwgcKY=6OF9 z-yaVLok)`I&-PNlCI;IK`FQOH_J>m51na5-)<*5twc_ggQvcR7?uYa9Qt+x}4WE-e z{rX4RE~^%D#@mtuDm&e?DUo{F8@&tX=!lAfv-In8?{0~zc;oR?30Gk}9l~`?Iinua z89GJ_tgLS{or-2%j$E$7RTTX((Y3rV>an^b(9Q*&nUE#2>F^%PWc{;dbM@Ydr1m%h z&^*WLyTRWorQTw_>>T61SoD%WWCg7*jqaY9=`ADN7wb<}>m zYg65OcDhUEUVx&|iA}j!ZmmeL7pEtOQg`~G{U_D#E6wg z*h?3kCsBMww)>;noJ%?`W%6Q(>t#;?`NC3*)8@cN~&efd+F?n+* zc>|n#gkMAJSG7cgMrZ`IG3cO-WDlCEviF$IP}%T`9G1D?yBW_?ueiaKeIc3j0#2ye zj7bl(Rc+YZzv`~2c|t^{DE(-mL_H7nnFuXjB&bX52WZgaa>G3tEYb!vx#*;jv=w=m zNXT1UJ8P0%8&I=6s&~w@*Gu)kyKj0L-}81E=;!(h731CjYf#P6M3oU4;u)WKHxwHB zZN1xATQGaJM)dQkmrzSk6kkE=ri1!u!RQwp`wp7^7qB2ei1F_n#CWY2upZs U=9 z>^b2pFp*65(h@!Nx#f{w66ryP$NFA369I@@9`Gbw0I!~4c#K^t92kN;p0&HM8{+me zF0&L42}C|U0<3|bj3psUUgCr%Cj_RuD1a@|C45T=Cmp7SO- zHt6^_B}#pytGVHV>MKI#?)p1RJ0pFZ|?+5yJU#+9lc&Bysp|AceH9z}%z-bi5s7j7L`c?sA6 zLaou+!rBju))q8&F#IU)tbcZVyJ=kyia9IDjxV6~uPINvzD2?wOAI90w1PZT>-3cq zHYyuIzxz;%r8CLOxPmNE63wLjWmobSV8-oJ6nH<_OiQ!}_W5<}-Wl$5B13SjJNUXR znk@cwQp>yOFyC^qCb1GymYSCPDxYt*=ZYF@DtDzKmzv|ntruK^O=YGaNWsh^rULUi zIv9oWlzZ~b7RF5so82bWLX&MeIe(uSDTBq)79Oa&W~!USrSFWFFdFY{oK(Gc*4_XG z;-D}%R7dFVJ~Be~uSQ|2-7gyNgg)NDWfSGK9BO~Ub-&L}I89|R>d3SkFgeovCQEp_ zeJ=4q6nD9xpDK%+$B@$JAR8os1`y_omn49-^AMZ72i$lMB1d7!NjJcp4c@Ec1VBts zAZ?ZGgF&@%?aeGJz9#Kk1S=|1azUnaVs#gbO+wJjrH5FfC}kE?ThK~17c*1s3UjgR zQ==$d7*j`7%l8mcH$zp>kS7Bwd!gpAPv9@sN;?d^Yn(UKW!DaPrA({t^TXx%;%6{> z_Sc|mnoD7_=^uXRP2ph>Zdj$xku z{+?b$ho5V*;QRQx0l%@slEgn!P?LC@m3&v9$X~@1QHqkAtaVz4i4yV#s|^jx;!Cfw z%8!ENMIYzE}9e&I(>aYRI5VzW4nGHf&vkUK&GjI!ME^S5YD>GBr7VZ+B9AOtOte=rCs!;;3 zwzWfQqAjS}xIerpVs$doQ~D|&xJ_my|HhO>a<64~Vj|}avap};db`A9@!^6}x>#tW>WBVYeME->(=~tTcfhdD$c~7@-muMsF&L)BZ z-%m+$1*Vy6Cxcr$gYXho5r>L>(v&K{Fi$3lr>3!hCrg}pSw(QX*ojTE-kalBju8wVHZ}w8wrNoL~9{c#*cIizeS>3F707)l*T)0j#{EQe)W+gT^c@;1)9;v zM~JL&Ny>WH&=jj6co(f3u9gxYCyjhk1wD9hpno@D7b5(yAJdSKqcliRNamhqUo;X? z8-gRL=F{ApZG2|IuUDlp)h4z>=^#xjAw(hP-9z~f!X*w>TW`s_UJhx62I=bs+BwNc zlF&?9s0lmBq&r#xMtZ^v@x+{EJu`$NSz%czczKsRTw+HKpa>3vgIT&n%ScvyT2B(iB&gSiWg2|=Ced6X`WJ} z>8wL+$bDlm=3et_qIWw7r6|bJ#?5(4Pxmozv9$Foq@yf7TUeH=85*Un_jBS-f(Ad$ zUfNQDQe{mM{W3)pnWBi11vS6{J6)R7f9$d_ytAp^u+~(n0c#uEGukNI4^C2e3Or$V-9 zJwqAti8P3XU|}CD`5xr+eX15^`iJnc0#$)BvISgoAEiSHpL5=XG$@z4(&Lnp%sSfo z=_n^*Uoe-#ppN&smQ)#Pk+tj>U+U=Ob4GCG@n+{Wd}8ej;2OP;KNe#}@!v=@-N6^X zFv2+_+XMz57jh^ohXGAU*W@5AZ4ZPLZDJd>3s>K~Wbfa7)ei74pO@@M zQPYGtWh6vtB(7p?Tbfu&Vmrj$y?6;(y$jc_SiW$IH}qoMyz_D#G~ZS+?3pG^N(%3s1*u6BnDBfA-Q_4zjt* zXa2?v9fCPmS3~cr+;1)Nx2+%V7CJd+{O=#b^=^M5^wCY) z*FwA;wVb=j&KfIeyKrT|;4@uiJ)3AS^la(efeVPtB3T#Tj|!-kn&A0EEhaG$OBxXJ zuKd_^A}I5T_zg{IrU_5P`fNnJL|V=y6c7ogN`$uJB-STu{>dlFhxuUEIge3P%uK=t zzG6pc`;5&Nk%f`S-~i!Oy?%EL;*sbrTa_0|U~(v9T{CY;16^$;D(4R^n~ z$oQ=Fe|ag0BOc_>Sj)xowKAwD)V~vygR<5)nTlE}(0%b(Ir=%gn*fSdP1F&cqqpqO zKB_6j#;~Zb7VxyhE@_SqPJ$c@pjpos*&?IFd?`1qYW$8UF{@k8y*QD}j;E6+{L6R~f`X zRe0JBGZ70%^wz+;RepR<4EVdN$!*cZif0gN@^d0RA}gZSU_CMb%RXCUVt(a+t29us zl1ijzXWGA^nZPo3iG(zYJVD8+z>~YQt`e6j_3+g1W;nCF3s}NiornXbT3(*n^wXXr z+abyJ;Z!SlwtM^c0TPjMjdM}yw=7LFjaNz>jB2~e29oqInYmI(9}Anm9JWKimZtVe zevF&7IFa$C1v46>wO;Ew(!nNoUV5_bg|0N$pM$eu4vs$_0OAT~AH+wk~O5Nq$wkOo; z`7_Dkrjb?q_*`mx6(J>x)tNVuUu6yJ4+4AjTdd><|G|WArs|X}X-JF%uyd7gV=M0C z98X`qz7kSHREGFYWbL=(PxD<}oK+}LAO$cRO}0|sg)7CL(W@{qYWtYuPay+QHKqT% z%e4?7XKQz@K%DVXz_fSsVm!0g1dpy4Z?#wdK=gqLjtB(7rrs&x>W~D(cG&&To`vaI z#eSEzvmcuCnNbGSn@{(A?5Ry(zamFft|}U5(go#2(1X%rI=vnw6D6=!zt0Umg?8`U zYVur1YyP%{8 zgEy5=tD_5<1@hOuJWT0I((VX!RPccsi7N>(-D`QW#k4rxhqg71_C69Gifk&vAPj%=>9-~3}MQc`o zc%}&dCZMtN&8R5k%gtI|kpw&-Qpky@TW+NN?}?Tk-7o*6G#GkN&*Ah$j(r!t&}$Y_ zY-K?@IL*w`kZ6bpC5^T72n@g8u9eh#R=O&OO{8*{mx(_{x@lbJqt1?wAlz9w=}zIr z%@P|}m@;^Ed?zh!d!A zwNO;G5LwVH2S6gpju;$9b^^FxQy0-%solk9~KgSI8VI@KM$e>==PRgErX zJ)W&J!nMDsI$D*_60SQsl$NAae(|Ha@$bUdY~iO>OD%pLvgeOwm3G!HtJ3O<4Hn5W ziJdBg`ggaD-%L?#R@K^QK<@K)x7{T-;oLGs-DlYLcO7Ri5m};< zqv5eEHx>zi2|$rTV?@~lz_Aaz`vShJ$zg3IbR|>;G5YH>On_G}b{3+m|I}(b1XZ}{ zY*yN9=7@WHsbxXUr~ zIi_O33SL*FAcqxb2iqyRV>Sb}(lpa%EG)jlYPGct?3pFB%b|?e^L}BLhHY=F+l|an zYbV?NMB`6U1{9v_DcqX_wC1e7utKU&kfLE4mgqb~UmSRxe0nqT-pA_}^xNelaa)|a@#?s&tWxLcx)YR8R{atFEtZ}UbPEnprY116 zyk-Mjs4~*j6p@MRnvS^y$b=tTX*sEhZA69^NsVTS<^`ic@|4!O7{#2fw_zW+7FHkf zmt`H?#gVm2D0Qx>k$u|58#@V z!Q|fY!t8rIKu6yUfV3)8Oy#f*zJH?-FjXDgO#ND%Chngc_A}Ov;=nrk?w1i^%G~`~ z9{yNw9~4Dm@dB{^d2t54?8&9^^@{)9n4r!Pcgx+c$xbjG4l__f>cH4t5v*r+d^3PY znsp@i&~my-Y&R6lTU+$%T&pSn9;?&_;7HCg5;d6jE|CuFlOfaywmaxAJRga$Sx6qm z7Ubd0MzGBrK+loRzxt@Ei299o_WYAsqJWX8I2PzGYJ`S4CTaSgb^-!Jn&?{xRE`;m zCLggR-y>*Kj?1BreXsv?*W*s0Uq5g1UiQ$xgXmo&ZrtrK68`j|z6)e``y z5$(%dRo%e;sE$&5f}>EK*@BMMD$U$0cHl# z#!;{>+eIs^Dmxe+Qf~Ec4@_ze%Tm^!bxGv`FyzO3#iYj0+4pa&duWRG(oHzP3?tr` z+F&+{n%yPY^f z-&3P3u@IFJF080^wp;NhXeQ8BA7aGwDE&wECy0uO`25 z_J^jJ+ibFC_|K~byoi_M6M?{ZLB^f=rUD2MrTza78M}E@ej)RGhTOmB(0>r=r0cVK z8rQmd-K8e9u)C)(1O*NmvF=prr8w| zK^b+zTkLm7*TT?bs?G21RMd$>l>r~T+1Ni}7BMAB;_-dgZC!kU<&_FDr?qXkVY_*Fy2I@-hs_>lYUt9`#N=G8F0==_X# zzQ&S`THwR^+okzRkm)}u>)*mN^v`d`etLQ(1Xqe#<+}khu)lXuLpKxdD2rIy{J>}$ zcPiYE6d6r})B`+3PST_eL+Jmm<>9sY*)}Z9LBm$P8{m}N&JJ*bVblO+748?UV&gD;J4PG=Pn3VzjrVsMaB?vjZ^zSM_9@fb%hVd z49r@FZN~DNoNyF%7GIcrRu4iA;PRneR}MsLPu@8+yR=LjJAZUMvsV8eZ|*C=bk(%J zlbDh0v^^0~1}HrP>2bDcrT@rA;oKX4tk3T6wo`JN(7+QnGzyV36J83dQPm%qq?_mo zs-E#nC-A_!{Z`q;?Hu?6$f(EX{N}^G@c7F%^v%P8WvZ@w1HZQJrYb-Ktr{zOm#OoK77J~zB=pje zJ>plJUkN$A^}{V?%wy`=V^tL%*TU`IS~z>%mOrQEiOsTax0)4~%<6avLWynLOD)Rj zdFd887Zt^74i^;KoN?Z?#ilv4vdLqXX_5_1*G*uSE9#bu4T`;*`LJJ0rOMD?y5IuX zGMi*Jz4kEC!6_zzG{6@AmvU7haRbhkwRW%c*?dy$vWFyn&NyXsWe>l%%TG{jTbVC4 zi8>NBKR5RDC{r=eF>q3EV_;jTT#@jhu%FE;y=$Qu=rs3o=!}udOHuq`>j}(K?ViuO z`|okQL=pC&`>O_J9#`id_zE08)fkD&P5ygsU^bDUBuL8xZTqEP#Pa;aj`?uD|4iPH zN1)0}J^iGIt0#}5Hoo<)xe%l-xG$YpNcgaUV1D#;LP>|w*Yw zh_1J_T;+<#%BIo#(*wr>ycXVe&5WL}V0+$r+xx8HXefBz{{#Qa%LBT*ik7Y4Ekz7H zTbSMsHMnbcc6$GrFb7}jrVCkaLC-|^h#tq>2tlBvX|c@z#kt@YRfp5Dt2X>855b9? zg^O(KKL?tNEwz!+M+ zGK=ixD&6Z zeD%Jk_>9=GGQV)h+B^Ebc6i{sC{d(%TM3Sc*_z%7TdDYqCduPO*Py%>c1jq_u%uN5M$<8k-R zA_f)|qONO2kbrM}x>y&X8v5tw>NmBDgb&{j+g2u2&Huc;c=T+BcQ2QHBRs_4J<{Al z*SP3PvURxap4IREjt%_#-Z*yBOkquTR`7kcRdB8FCbNv1G%@gIVIj#$L+f|z)FWFQcwk)yq_&1xccFh&}i)p?AVe{na zKy9(}_ZI&b!7i5Td%Mg1Tm9ROjYEPTnJ)eq_^C!Y1M_|H6J-l|$4>H2x=u1rxj=q4 zz*-8rU}TB?nla>T|0&b?*4y!#=FFPJ`lhz9jPYNax8+qm&ld;zed3tS210IVmK;Tz zJ>udnQTh&@4QpZ#rkt?NC^!_yPA1VCcPvP0DHim$GvT8vdAlbo5SJVZcfT|od)AcX zkj?%hw`zOX;kb=${9JE%fbfYlYWCu~P+u8xIKY0Fw4>3L5pJ$f3e3&Y`Q8#bC zPu`8qK1?c|oV}9xqIz}37ttv$?HGOmxI2)%BUk~dYmrpNg)=ywYFaVuJqy}?tUN)g@n)9xAsWV09)jE~f zUEwk_FHQ@ue70{CO|u@1)jI0F(uPJhgPnIkoJM$Wu!>ZE6eXqac;v3dR5X+aph>(_OxHKkl{vjydPVR8k9{R27mBPBAHLl2+if>5b$~FL6P$Y__?a}Uir}(3u0|=I8`SUJ z>$fl#D>_VQw*TJZqTIYSUK`fAsQzq*L7IJRaa@pACRE=BdYu@Y?Z_SzuI;5ev)1mL z?tQzmh4s3(LvsS7q>k8uIFT~|yd%J&cx3#Y`W3f@TDH2_d9WJxD&<1Ei>nFFMb+>v z$EO5KoY(I={}j=$x|ovZFYc%G zeh>!WTeFQF^YAs4w8OuPu9!kLS6pL9|E&Mw$IYKNOscu~!A=l{+1A8<3hp1OU@BbL z9f0V!?3c`8=9<%vILcjF@r>W|7s9O+s`f8o4y{ghyerKoY~S?2Pa;c+FBKKf?QeUk zcVoe{@Ym+4=0&HUPyg%JH&N*?Eog*$R~En0f7!uQ+vlNhf*M1EG#;{G-Jgblz71{Z0ry}s$&mHrNk58QR zVqfZx>b`Bha)D9trae%gVQ%{CN%C=C%PKB0nt$-ZoZ#U6JaT0B3yw=>n zE1GsDTo23i57jQb5`=qcZT5@oU|FfR&0Edi@8n$FvGqqzqmR#@CH+Pl^qCDED_A-5 z%`7P=wEcqq;A*_zt9?h3I-?Ut)%RZA@i4q+Q{5j%()$BPnqB>u3Zh>a-!|boVc3_$ zwvT2&2G$KK4M{)^m7COBaa$v7^nz7ULtb@=9b*qheeZH+?_aOFJ zzT4ZsC;Q5a_GW|xyT3o@Mj5a>HjtVB&7*no<dHI*6gLU7UPM5MO zDsVXbqkt1Tqu+e7Ef{1xb!qrsbFrcQa?58I&+GM3mE1td!dsc=DdL)iC3a&-U0|^M zji&DjCXfc(pJ%SuIgE|Do~+BjH_%D1n_7IF*8{$qJu@3P2p`VyV*BH7M}%N-lfPFZ zW}}_w&kd5{n-1_4jequ)=~e#f4PsnwdDdJwvl~3}m23o+%`LS$+;qhfX`*s6V`eRH zKqFVfq^A9G(TNmLo+id3kId_hN7fboMJtr<7Feur%&9eWe?cVE99&my0Gr|9P<1!vldj2bCWbI zN*9}b*Zg_rF!4!mdXBC~IXSe2GichbVn+W>OzYF)McPo8a}Ia**bHeXG)hEX>M4C< z*e)X+>sIw{g%dPd)a$VF(yNH=`yZ59dqAC1&F&IW3{1Oio;b&f%}6`zeN4-#eL>Pp zJz=4dJ6AqpqZ?RHkI8$TAv^f%IEh2;vSGHn+!?stqjWBL{`B$FrV3!?uZn``=hfL) zvsH9Y+w)?q&LCA62BID>g-}F254){R;-dQB$A;xw=Q%JGgZ`yk)a^N#N&9NENWQF{ z7kbEc;(hF~yxxOZ4HZ0gxz>?6H%lU?(*DTc=o6B2qY1NJKrt~%mDkQ1E&dNzI8y2T zP+&SA0~wO#+xRCulbr-hm&g${qKEX;6q<4;9TZ~ywd9lbEA`&iJY;(VdrEzIG`BSJ zTzrOk-my;yJ>>dEeI=X9?7p^i+tiu62N%)TN*zYu+whv%gm+q6($bRZsklpL3yd!v zBBT(12E`}ieePRsTJv|M88{s2Iy30o+0-N2$WF0wGD*(pxR1_%I)*zt%?dZa>A>r6 zP`9=%lVf`+P<@>!iV7Igi;ih4oq z?)&uf{55#wo@AO2RV>5Yt!B1Rr1P<5iCoMj$Dv){D^f$=(eTo?nA3HR`6+YpvI>OP zznmPU(YJ;VYIarM!JN~Yj64*0F(Gj**Cftbe1GACs*VdDt@&BGE+ra7TE3x2TW|i2 zi}b*ZTo-jH$VQc8?SN?mMmlG`5|UM(?OSz@ybm7AjJ;TG(+Z8Kx!np%sp-Ip4N+{76tZzi%i#)F!LW{AMF{ z_6#mNEtaip*1q-(6FZUlIQ#@>dR}*p;CVZ=k!{K}poDdq<=qLUkB!DljES{U0}+Fu z*di_FFI(2ERrDQc+pqd(3!E?7KDhan80wVIM%$2)Rzpwu7>ULU4IZsKt>>ZgG%9t~ zB+=BZCjL0%Fz?QOYmY^-RNp)e;_E~XzDuUOI;2pNbk$bQIPYTL?0f(=t#4-vZflTE z$is)fYbL7BjrUTrN*b$mYtYFZ6XbVmHl7b2hJ4~Vcg*pOlRdmJ?L5!X<5}g@DBG@a zU-=#KBS}SerCmpg6Nx6~mm^Qm zwk_GXZp}OVgcUPrxGN+ytNev|DA(cMq~Y95dsE7RUzhb5?i)r>zmD_&J7MN6HOZ3q zrev$RUCOmNr$p(oO3WkHP6f@?1XZ2;+S#2YXV$m4{cDDGTfxk6^~1$|M>FfRZS%ap zUk%ca3`yWUbo=FNpO}oF751Fg)jE4^bHy!|A@)kvGk)Gt=dAn%QPW$P`i{^d?^hES zlaDEK%10mOP8b>aV^xbYsZ(`DhBcn*QIW#Gq>6$&TDt}N4AJ~P72rMl%Lvs^_+qXIR>_n`dOnaNE{rb|nMtVl0U{`{E zv{n`Q)aTcME#wnLadOlkr6q+lE7Uawau`X7^qnnK5E#jO=AJgsE4&?C9QBra$W$wG zzT4`lZ_2clbKC3M0^Ou;HOm|MuZ;^Pdx9PuBi_Gx!FY1%O`b-m*73?qoSdZ<=TZGV zk@eNR+{7Frbs{jzYB_Uyd#_q=alqnf-IvPh*FH4oVm==+3(1aJ=~1=zmE3!JV#Mlf zj>KX?U;3bo4AoGecy0Vm;Thvg4}V&F@hBFVOHU>X<8!7HSB(Dc*rwb*lZ!Zf*K$4}Twi zu;kkupB#Lg;pnay*ltP|vnjH_G>=I-Zf_`@Gc4cBJ(XT}MBaW$jddingk)MXm-^M_ zR*?I!KWj@KaoD_VXI?>8)t<;FlZG_z+n4jZCn~q5gipI1H7+>rzx^mm$o%G6b!VDd zx}Q2r+aO!$B1@&TEhV$CC8hGi#{R#07LjGxQkz>RYMSWZU!QGlC*L}%NHmu2p!2d7 zw2D|Qb1Ck(k0|Ii-cwvs>zptU469{+G)X$nV;>Qc2LR;ugUvcS^75jBN(zJ~Pv%)V z6!|I;K0UX+#{OiNB+u)KSHe37R&DRKDIIJzv(5jQH>~l>H}G1*G)Fqhmz8lmMf>o| z?Y4!4#-u)iN=Yq+MKpr>M`>UGrM9Y-2V*d&)k&{dJ1|f6Q!S( zYs8$KZ}r~!a`8*#fj~Wa+C!Di(13edq5VrW#fq*oj4SSw*R1?gqC1rSm@A8#QjL@( zFMr4jI@S4(_%5MO)oQs1*_HrICNS7y*?e*Ll5Y_;cS?^Q*cw&-?m_61#AUPm7W3UJ z1+GPdtZd5%o6C_H-;~f-YHQ24mo_A1M)hq?nQlBjX+tJkJJi2k6E}rFcxdkl9JZ+E zPV{yyTP~>$O)8mfzDWLD7rCd)>_XwkomC({f7tl&8y-`fW64QUYSi`4m<&|4jElcw zkKN{b@U8Wp9lbR3+^p%f#Db&c7hzkLA#0ZBbzavd>3!}GH>YoTEs4p+&k`!riu}|| zzn|HwYeLWK$#G!aiaqIlW$7ZXB{d@`8@povStEvUSH5n2Nd5JnA+C|Z5=khdQ?3ck6~QoKaefk zTc4C?o%ztC;c0Q0C6U!(6T9T|&twC+-3@Lpv^ZuuT5RF6Rlew&1$kfBW9OfA3yJOJ zqp#jZ*4~+1(m1QWq~!Ll(tgd%(W6nZt-V>M=w}b_G+Taeqi!rhX5=%u56{K68RqUT9|$ z`B?l;(s9Mv(>Kl*2xhKcI^vL&A&oUG-xhf5kcC>V&pC9r?#Ts(Ll*n$l1**%@4`m* z=%wyKo!~CUsv8iul#f@}_hNkN?0JiE8PoFyn1Y986=);&=5g?@V{h`sZNY zX`xKVtP7hTMsrM0`VSkvsBm?A(^F#lInwRkNg4k_^9`;Bi5sq3Dr}hhkXN14CK2uV zWbEL{S1 ziP%>8t#`XjQPw?$-32f1`4L_vWWN zTAx*Ud?sn$I0oJOcw4i+QTdKci3d~38zSb4Pt0dr&9}MP8j`*x@mb*7*&I<*ivZ`A zoH05lLe?mKi>^6g`@CIz$gKFgXww4v&Dk=CGY8)J*w~+%jksj%qyNDw%G`WUo0&)A zhO8H#-DCB9OSALhxspfXGfCEQ&#t0(!#@n2EHWNzT-t0S{Ap%m!r^#x6K3Krks_a~ z@0=(PM8onAckB0*z}RgklkwYfbh{c1R36<){AlMW zbk#M+c7jpOMot+f*!b!n68Hr;tMT-gpu4uebP3x;OTp*P~XMehw1Sy>7yE$$m-y z=R$kaz`yx=YrdtX<=W!(g9nWBHmqa}6^iTLA9!Wz>@*wzp(!s=eO6+i0OKW}vfbTWrr`=bKj#-N~QdT-x}F`|;~z zy~M=K*Wi)Taj}Qq=BvMt*Gu|mW7T<;k0*Nx|H>V7Uy|rM=KRUxqQ!#VyK0wo2NBC$ ztrU6Ryhn)dp3hbpUO96KqPuzv((|qM0N;|}UOXCk)7tys@aMu{gYSOz@1MHV`0goU z|58lZ?DcMArn~6d#a7SO)9>1DqFh34JS4K*?*+D;9{y(!qYN#AvAGTH6U{&Z32!KpK&4->!N&eGdz zt9CH_-ShZ6+s>Ifgyl&H1rg3@jYpo`=?eWDK{Wr}X?vq4_>yf==4_>5l}BEXz`T5e z-c~7G-_E?-wXA#b3TdVXb6-?&g}wz)b|0n3ztl*{v)HNNxpC)Ab%SNnv(m(~2U|AJ z?cbi|-s}F)Na^0>;bUnh3xZfZCj5(xiLElBTZS|L$>{VN-qGLqcy>!BQ#l|(>Yn-S zy!-+&@@0!lse-I;KTK_!Gt6#}fClGlI-l}La4?&FX1*awwxRiC*9-GcnKJSb=ccu? z&lvsZq8=fA8`vQB{+;wKS#zn+v!=UmDSNS3?Dm`JnP#No%F}#ty=Ee*vpKH1&F1zg z3dVWvwPF>$nVW#QJU^T7(DnU%nx$9argt$Wy+NW{ZXNj+Nt5olzxn3nt^aD`tU@#0 zlQsqZkZId&cJ^**!Q1j@*0wDL@AgmT1EuaYmNkXVKI?T}?Aej{!TGmtl;i8$BESnP z*yE9SmxKV;8R9MrEur^^R$B=fov&r}K`0tWm=(f zkkALR_Ih!*{Eq82SLjQ5HyY=qCT${d1wFk zKuFdL>1w&O72P&^@4uUFKUcrtcXLzLKN*-gw5i3{U5U+`ZNCJI4j*`1JlWVc=A3u2 z@L}Tlkv8tGT>QlaV=!=OU9Fo^?d2`(ipDGlEmyxCHLA{P%KL{K`V(s2rIl}anv-jD z`pUuEdrFgK3ZA`F+mt1-#jqLYS7@I5`TNz%YOv9loK{D^lh8`O`R&3R$8H5B*VkrS zUAKxbKRV#(ai{lmdb4JszQX%mD!~_lOVu*nC}jEhfw98QyTqOEgyxIh#OHhUt_@{3 z>&~_K(i0N3*5tcAiaRApyPif3JGquN7Vkhc8hqbiqY@y9%W zP*+K?knVpMzgT1PWJ-Pq$!O`7S$}itr98c4QU3C6X1;&q&^EQ0qv^vwO8%$5Je`gU zAgvs=5RxDF+B{sf`>na2LA=O8<5xtjInT*|+P;|aPB~v!_>>uOEBd5vaq6x;_h%p8 zxT_XswBi?$(HadfjK5LQ1yiZ(UliR75+p+AUA?5}s%Dj6N znd}JNr@8CM#@+)mb)1@yAqz&CLYdZGLearX297MFqZN~O)p~FO|ImMTib7A4j9PDx zqCCmxS}a2qj|P(py*|+cb|8B)yB?nl+J}6)`*ev{mN@hYAENe#2LE^K1r0Skc!b!- z{CUKKy&64^JtF*KiTL?MGsEwT(&HVE56@^>k3Kk3(Al|BAUAGQ?_=CHJH}v%3wM3l z%MZTtUP6Jy*v_z+vENoI5_sbAUMYNfX!yU~*DbK}PlHl?+UD)P8@J%kUSk--Cnm`M zuG#*bZeRWMpKv%${u;{2A$k7W=0M^2O1Wv{e=!>4$W~bJx5fuP%2&Bq=XHQ41X=v@ z4kz7f3RfQfvG(AI-DZpG-J=4zz+Kb4dTPEACnJ+XIf6=)qN@pd#?7$>hQ+J#ML&#O zpLX4J%<6k+CRX0hhMoyob{#qG{d`B-K+~&HeY5zEc`{%&bzRr zagxV%iX>CQ-2C>7Mk?{ciXkEG6aNG1pt2x8WxV6(vpWf-gB|X%)L*M|r|Rn?@H*2L zcJxevI45|S+jqrmt?U*hyKt?CHkbLz`a4bYz@_^o)0C@Psb7EXU4bNc;rkY(QtH)% zOw(zPTd03C|FjU2Pt>Hv61OkmbQZN%NT2T12bGnx?O)m%Gn~j{Gt}g&v6EA-xU~JN zoS?BwAFULvrZ(@DUJ&hv_SF*8kV~1oSWT?eM6hx^`h969bA^?)#mYmJohXu zOW>as8j~3ssJz6Gn;%^`ot;BkRsM=}JPf#P(CErnOMGF_{W>ihAEYdS))A$(${{Bv z)g@wZsedY;N0S6@+og+~t;R?Mw=cJ@M(|7DlD03Y+vK>KS=!=^DXS8V4E3gDm$lhh zFPt`$-ipmfpMLec!%RA6tnvc$+J1yxPm+#VA-!A+uyObdf5?i~A*^b$XVsUj)_Phu zm2F;cH_tdrKqRmFO0u3l);*7&+0<3uQIdKA3RYgC`LB8(=W~SM<(V^OQ9-k6V;t4d z_HW`>q&?|uMDsjk6ob(bAr&`}331TM-nO%a=u1u?*{(gB=TC|3m=rT!%0GIC)=FL|4Ma(wS}x+`F5{{1li2$*WJ52hl}0LarkH{i`DM-8k&^n!IFb z0{>7V>BEwAOy6{k39Polcm+$x%poGY!#RAvM2@R6^6{n5ayQzc{uWMKFn+VC7nKKH zoa4BbX;i&p`W%~sQn>08EJby**#)64?B}8(2!9vy+lZ}t!!8kBs3(|&*j&RF>F}!3kxX|jwC6Rz5*Zgq52`p~i60E90<=hTv z%83$31$7ClNyG>T{Kif@!Xck72bN(MkSJqiY``%M>VCXY1P+LIw=>`VIn!2nHAs05 z8rnyTc*9U^pb|`wYLQxAH?+MAQXlLaH}jz86xoAy(ZBJH$2f=i|54 zQaFpg(F7MMUV{Xg_|9<1~fAX;bls z?()^*n58Hw3Ly?2q9A*x;Sm#{A8}9yno(Qn1+-U$^XK$qAM$|nV83j zDNU63a@ys=pDPD(+GOL$mPld3AQz@Q=~3UvL}FHh%VOP)tWA*6#3z9=Wa`64zf;mN zi;xuYLcy&$!#HWwOT#}AY?!nneAgi`uT*P@zNalNyC`euLEd&ovw;K7#+3`YP=buB#2J`5vN_&AzMb;X~HQtGfvRW|d)!s)ZmU&Il zFD^}&Kc+^7kE9A!@Yr67CEaBQXA}pQ89?|4MgypMi{R~gtL)z?Gg(+n@oTOL!X#8 zp#(#sPLT2viL%E=UEBSzKI%J;w?4ev?r{EIS%l`9SOqjFCW z`lX=YE(x^#>>I=(>w9tiQ{@k+(JW|pI)6i3u<}<7}S_1D!LdC(A`-!#n- z!>dcYBps_p$_{+3JgH%|9|a_hgb#4YWSFVK#!1Jp5#koI4m#QaT}t}+UXZ1e6NXWo z^&i_gfP(dbH>myE=0RU`u^O}r7^K#hDdH+Bu|@Xy$|q3I;2P7AJ2Dsz1t`Tn1rCSc z3gwt#z1xDziiVmmM&nt7KOS@#NPUCZ7X-h76N(z8annMPO(A!eEs8}0mE%#hsDJ}O zs2j5CzS?;0rOJB`i>C2=e)IhJN+(!P*RP`kPSC@)TcZl~kElts;`r!jpK?V^)71#H z{vV1ib^;lBy7-?Ozgq}d|M?n{W4&g31@)J!f!fP-J&9gIHm)KreDnoi$}6xZE*%Pu zhTc8E27kExRJjXWCeEnu7tjw6=INYRi$h4Kis|Wy{S44QL9qJx^rI$Hk~jivm9|ZZ zk@kZ{+FWkz?^=eXKSziep+2 zifN0HoV;GxsVFA$|1mTk#o{j{T(HzP!xQYtF zjHs2%XPWfPG^N4|P2ojxGbwe2e{MM+`8#uH#$M4r#*?*V`~wb}z0M}FmV|}dPzMun zY?^^--F6=1UfBuY%Ih6oczS45x^BnT65Ov}uG!ZBXGp*s%at)KgU z(4nt61bU8W5Cj2F5n)8lK+^8TlQ~LD-3)g~Wyg#@A{K#Cm#~K53;&G}I+J(Zq|;8C~S*EBFt^m5=|# zbbiwGOxXQ*E#3*W!n>%mT6es_>9};vBIrj_#Kv3A&bToMJlsZl{Mp#uz!&6hBYC_V%=jNyd zHY=SQZdlD`oP4S*H@dGA@$QDdGq_m{f#)lj)s+-2U@Z*=heV{|?PFK5KUc492JY3C zL&W}MwHAb}aTqsvBxN}i3ne|`s&+uU`8EI#?3&H=94l9(+L%sp#o}>Xs`Kuu1fPF_ z;i|vjDrO+_nh4W16Zw@0Z4?~70pYwvqA{npbI{?-b{(vF#-)4EG>~KL<2pLq>LbYe%&N3st< z-7(rAz58$%vh52R^#dLznDDpDVH43W0I(Ya3k+tX#@i#xZsICfe5U((=t%pjwPF)- z%UZP;=Mpt%`qVG!7;es}f%PtgzZrOwNb-YWd|fQl$E;~5z}FE?Ik|{nfMZNqMw=k~ zl+f;t!+7Zifg896r3t7(!w4yihpe|E!iV8GNFD}OtIb5|kw!nM%nd{@OUD$GcIS)) zlC?6YWd?(h%R&N<%vAU@R!wmh{=OoZu{&{?zKUhAc`4`8f3Wj|Dp6bka(vB1rA-D zU=9H`%cT)mZ3^B)|8ZNKf5KBe>HNAIYlN_+@U4?W!Psc_^g?K;(jb0s&O(pJ2}5Cj zQ3FEtr0kBdg6R{7{s~WZ1M+VQ2t|#lB)*4C@!HF@%}PG@c$|}GgI^i&NTe#}FU1-3 zeh}jGG0;oKlNIFj`Z08p?cD;;A7ZEl9GjVDjM{?Gr%uy|lxE z?LL5P0GFDNq3JBw6(rVG9$15{i;;8n%bmu|kHCJ`)Pw5yD*Ue!hnc8!Y2Z~T!QG18 z8iz7MpDHim(iAl!=!8%=7wMv*s@CW!lD8P1lP$pCQU?TQ*%{C|d*mUVW%L-?L8VHe zP(n*A!E@XLlu@z;X62a!KJ1q(i6g3|l)9RL2$zDU;)HbV_5FTvzyo zuwgD|^l=crx_msi4E~lBxUg-IK?9QTWUT5eD1Bw%%OGzIp3U>Dt#fAxJUpmY_DoEz zSlJV#N_{+@V`m#QdUg<+Z}q1!pTZe&fxy>uz0O7RVlHSx+kdT}?BH^o5dRB2MVvPM znn2u{QI))+X6QtMv9oQ_jCx8vAx^;g%-K7P7Hbm#5X)9i%S!Z=wnEJ zRaC>{8W?TiNeNvMv3lp4$&r9u#E7y zc_-*OvUkvD+qtcT1$B)|7OTEgJei)=YmGYFvATAA9MExr*5Nkj#+vv#jOVN41%Zlf zc%48161=^mJvw<8JP6399z=l!Fe`LmlA6Q!1S9Q)#3rKJU_*vaAb_$QPJojL^(ut` zR@@^{cz#nf@e~jd2ar9iC)!9dz~gZOj|?PK4WCXbou{9(6(Rl+;7stfYAp3ZFIxsqJT{+EaAZ+VAWuCm(QECoYQW=MT zp_oA`C%sQq=^5&{34BWUKtCF4fP*djX4lUo#go62Jl?~j_skJ&Pp|?nEOY<`yaMD{ z%!4`vg1zfFubk)_61nJ&3^eEXghKm9NbYnxrf3B9y_NrD_wvx^4sU5sPhP}Sa-RdL z7Dv~PdIT+O<)#3B4CoXrqK>ZEGd}*fkXCnJFa?lkUxI(PdLf2-Uup)xIJ?v*e!_HO z`N`(be^y(#3yy96>FY%7LN!*T7Be`=7SQUjw#lraeSO~7zG9bMgE_?9P~(hy*c$(LAgYbA96y*o1m z0z9ZoaS)}+NhZ)!3H>O$4nbAgFn-zf0P<4}3fe@+Z?;~K?a0lt8VfhHa2FQfG3a6+ zCTbb(@>2m`two{`b#5BwURwQ080W4I9J_=5epva7b=cDGJ^obL6W_39SH`I-(U^5m zAwmDO^(lJVVlWQm7D%0|BVlxU{cn)(5!jZ(HSsK(Wg%b>y=c*eY|(}3A_?V3&}H~x zEP`|9Uw+A~ClrN}u2y4vM#*R$PO^{D2z%i9Hao>_6fV4Bf|C)y{FkJIRB9AW+r!yv zP_oMsM^X}cKa#VcUZ=f0uH0cTq*Q13@9G$UYj(->ON1(H*-WNJOjAy;Yk;t?z{LW@ zD+&B1EksxjeQi?R1|7uYfE8i9;UOY2!-!;!twk*NLxJo5D;Ppu34&KPa(kbE{yPi) zqvS&TD0)q|FGBa2@>x%No$V6_yN(bwfd-A&AMHEKU~b>}!9=)2$6LGq41 z)%NaN04XE31SB;PAHF2Lr$d7s6!} z@mwB>&8>_o?w3ZM5yNMYny8dVtAea=5rUb3`;QhArJqSl3%nWVm|<03 zBCMn&XeKO-Kp6rZTQo_Wg*SHNG=J5&{E`J4%H~N=*77W8v6f|ZZw3QaLfWBV9`@O^ z+12HcHUm3ZmTPb&gN-H4A8uj@s<43<>2^SN$V3@!Rt`D1o@z!UzmmRz)xtMV0kA5V zww<$25VY3L8>>mMz&cNLSA(#}tFdKg==fAIlIndvd;Ni~{Ma-iRzqw9fw@2uz^)!c zWbcr>T)#AYN}T^Q;KbP7eajFoUGPa8sfmOuWq_0^wC3PwmP@Tdu_o-^0&Q3W*0U@( zK9;10FFQz<4O}_iglrGgzGsmZwls6`ITm*T#&mLBg!<3`mf>zvyl1GxP=-{H@&~lE zqkwcALdHzk7uK)MFxoX~n2&&nK7g$yuc-kRNa3UsRy8`8qi*&iGwRL&g~z~kEDVz_ zWrxAw0tZ1#jhMx69mWjcpG*N{fC;2~{W`1V;AmGx+RsvkKv>GHo6pAIKH#|7q#Tv>N{rsV$p=tYqf#JGVBi9uACkAGdANPPk13`FFAemqCq>fOE2zXyE4_ef|*aJVTf1{`%H z6tKd00Tccg%o%>6PFK>rp^v=ubygV3oW54fFpM~|PPSje&A(ycEU7@{YK`BcIlPlg;si`~(NYU)o*pkP=OI;pX$dQM zFAx$ate=LHx|A;F-m6SKm&S#u={F5M2SI}Ro)L7q{9*2L5%O`LuX;yZUmqs zL}t!jh{yN|Dh6!KD}nkKNQ@1>Mn__L5Q4x=r!P6Do5ayJ1{szdJvq+t<>h}n$RMMK zw$Fuj@|VxROjlc+Fonm9LvCq8jGAY&!O!E6#|Yh?uX-3>2FdNUJZLMQBL+%5-xf)@ z5=0(s3Mv3eTVoCpn&L7V>=2e~8AOU&yC=+u{CZwu8t@jeM;iF6UgFOc>Wjl2&0 zVd{OPHIaq~S)m0!ON3h+3r&Fn4Lrag#nKrZ&2i!SYMy=1N^MWBVAOC;U5xZA1L%-D zNnLK*KEQxFp26m6EO;o*SzTkhD#J&}tIPWr{47~F!?oFr8v<3cMQ?yhGodnU^a|rB zFAuQ+UCuRDk9`B=(nJzk&m9h+ z)h9_A0wW#Xva9V7T8ix*CP;P!d0d`iIzR6J(i8KHHv-0e#I7G$rJ%wRvCSi?GOvZN z27=yOwn5Rb)VjLDBjQN)ZR`QyxYrQF6^%3j{YGJ_y2LCZ$F$waL)`!h$qtYY!W*rP z022Td=lq=13&FMf>o4oY255nGg2w@_>Wd{={YR3ekR$;Ejzpqo)OT_?(ETGUV4h`V zG>8Ic-vB|LO@4!X549Nd)?#8d)+9SYtGk6jCWMV7__&x%;V21QfZva?lZju@n74u| z@D5V?D65JTED34^187Nj=p}PGXnx)3XA{v8OQGv#2@kwMbQ&PbF$NGO#SW@@M)wBU zicSKBGa|gJn0Bk7F8INsBDT%{2`Eo)FXa#`w+C)qi#iTgMpc(+rEQ~u+U!YRP7^w3 z9E7Z;Y2i9V3B5%9BdD+Wh8qLJ7t-R-^9n()>7_XW{)WBuUmZSxraWRC!zDMYW}Sw` zt;713K{8d)y>F0ny{Q?F#(|_DU6sPK1!b}@*#9tWy?q@cGDGJhWLk{G^1rY=>4Mt=h;0rXPNZ{pPpNNpp3nli(kKNU@C_Cx+2Z8`=j zLN2rnd#O%7B)0rTXJ`-*oY+OhGWJ^6z3Ar#qT@NtFi;90c6@{WH1lIptwVrLY~|(z zj40X*KlDa)#@iq9pQtZj$R+@0V{B@W)mR@-1vZLy@fNTt&RSl&fy!=wghlpRyqxF%*nepD#KL)c(Z66O%qg0$|L9H9v_qB#eI8z()X*m%LAJSky~B z&=tTp2Wby~@tJ4Fn?<5g>s4%2Qb+?3 ziRe-aqgvq4XdWo^3u?-#)NQ3i5?I5gLVKM{`FS_7G|F&FZYb%P!RdWtob%M=>UB(7 zPCn>{$D5MiSkU3sh3jj1d59$NAO}bs3UpljR5;I!n2gz&^fsE(OAtzCJP{F)^KBCG#+&U3+6a1ER&`2{?_p!Vkc+8(d}d=!cMjw}@2Fj!3qtn(JBAA<0jZ&2x8&JBQX@UQw+NBKhVfh;m$kbgP_usgAES?y|Z zC9$s#TE4d2R}@W?{J-F@dV!?a!H178*8q#9GoDD1S;=9KQ3IcgJfY?;uj&$XQ2g_L z5G2bE)0a2SleYh+tYelLUR4-{%<~EbHM14$%%i?=q2D?VSqP}EQBmMlZcP%E0$<7! zfS3lE{f4zEaP@B(+=-V7+c5fyUoe1p{)EW{PbnJx4yL#T{%gVx2j$N3YckB*7pq=I zAwWSY^#KMnTTDX0f`kzQGoM#%i~tAb&|co1JA1?6=ND=7kO znK`r*L1kV!0x(J>P0&E&)qI#`cvkrH2ZKXm7LjNjq&i~tp=QXsR)O82lUG0f?|52y zZ25@5&j(D|0MkE$;LhM;v^s;e2h-1h&7g-BJS_Nv@5N%)^R0qW>w_sL%sDEP0MkOh56TZBVbwpd8|;e`YTqv zmD>pVfv=fGM-dP|fJND{Wb=k;-?7p?APaT?k1f5VJpV7snsoOTUYj|v7cu<7YNWX~ z|F1}7EI7Rn-~0xrGjvU(s1^$re4SREPu;)+@8UT3S%~@ySXO=VK$UpPtYC&k7N;mf zKw2DvI^Zvx7kUVc-(GVv0>N&gT|Bjqsjl%9a&(_h{lMf&HhBYPA?)>E^<+dt4hl46 zC1g{3)N3hM)J~&Jz zSY&`W9kob+RY1BvPCyeu^27;s0C$|=$!2}z3||jQJNgw;D;8$7E)&D7a{ywm>lx%B z6jm$dPO7W$#e)kCK%}F0L#$sh*N!#009{&(!(O;D39aX_R{6oEq|r>{19)1M7fF~p zeQTWi5j>msby1qV(_=LyRAPYk9A@r>@mv3gj}O3g0u_EJuXByZ262hBfv4k$WB?S! z_QG?zk$3-bd8Kv`@VWS4H~h7(y4Sz!)>Y-^ROi3|I^+lZ6Er4P%bQWBTa6KEoVIVn zcPxwYmiaGrY$fqCYvHc^nVaBWM~%uDZDxu%38^x6QJ?-2z%U8d!^iqbC)OzfWWQ1u#q9;v#sSi0u5r_{2f8mkPB0j5 z+`{Eq^@)SQ!iTNp#KB6^>kLG|yN|f_lZ4lAMM9ezJH;@?&LK>IXsY@V5mrFrWMDV{`oNfmE_BW*!~qi@J@>|4&zi-H;Ji#JCFv`h))X zq;%H;;~1dCCwR%%5xp-+js$qsT|S~d&NvWF0@JM+U`BZAD%ps?UT;Cw)H0fK7_B-m z8Z7U23d_AFw?38a6?eO79U5q4D4uuqgS2hS2RHAPYhkO4TI%4kH3>VFftG%^rG3K@9@nCZf}Tbrk$KotxE zf$4p93I|<;1h3W$lNc%^$A!=Px-Ev=d?+zhoQH1Q3q}xQFg~2DvXTdiklI|@&8m6| zA_uTl4|+w=+?RPeuhPLLl~+^$7dWzUiYuaeY$#zQ;+RmTk0F7T#-xD7%g`mN+8AG6Set zhGw9$0+D`8@ek?ODj7V8d0t>Jg`1Ui4h0jL_=btlD_^1Y=?QD4Z!p4Rs?or!C;*2L zkj;MupszV>*ygRZb{fIeDm^E;w{2cEa!PrXa-k(r^H}TMrb{vMJIze8KyTf{>mY&9JTOxcu4fXnO@iIR#tIcuiBdZu*m7r#dx|Gs1xxa4CPZS%CcVMm{mr zIRcu8c1u93;TrEN0&MyWZ~fTz3c6-ZfcZc}&ZWphP~Fh?X5J9$^7i$!V*=wp{BzU% zYNu?zV{3pQAd8QTnrDZwXrToyFtr3q?nuN8^D5(cR%txWUJhACiREp8J-ieg$B0i^ zQuU+hGx>*@|GR%~LPxOX1ny-d7rllKuGTntYCxK`b7K#igJ+J8*wFh_*3L*?@%5zyk?+9=~4J2oCWy5;4wefu{3bN&B6`TsBm zU<0n_OqRN_y#ojFt~k(c>Z~vSCs4~~bRvaRfDK)s28SrdE3NQR_RD8GL z45V}JZH1zcTGgTU(}mv;wSNfFqxGD8lKP)CHYn?p>QUlQS=1GtGxl#}#?tYSUpX|@ z2dOTk5~t5sB;5#TgPsJNj+NZ5ro+%XymQHf_p_!Cjd77aC_|&^zs1-^f>_exiW{Nk z4nMC**QEp>aRE;G`}|HoqgW{BK_Qd(>UdGbF`6;aGg_)~o5)&wr@OiDouZ0g-J&W6 znTi^RJ>KMgSlsBS`V}Q&8|5y!)VO%Kt^G+V`&q?154UUNp0bz-SCfv6kdhQFBTvHV zDx+!Vr@@Yke;h?0$3LQ$h2utkN(Tsgl;k`)(Q;`dr(k(lg(GJPT~~i;HdG(b(d6hR z)3n^&+K9gj|0$i(9tlugE5yfcIn_?rQNX89iEPc>5>hfdbv3HiHs_tk?8NfNaS2B! z&iA|is*(1C(i*ENOsry{kR{z=-T^Kyka#-MYj}7DylBFlO;{f15{u1|33lc z4I1)?!O7EIGyWZm-92h!Q9k$7i62F~ZPb7vU*bSQ{o>!M*pc?$ZOz?1@!R2UYrQkr za~drwFHgRx8y@A0eLHfU(+Q-wT=KFbf3iJ#Y_hq-U|a+nPO#@!3XOixFZcFM9B=MRCk5lA&Fmukc z&TiH>&Y9pe<~(4ox5L_4_T6=Q&RZ#Fy56)sbf_2=?ZFJsEr!habBf--788Cj81h?`- zD(%l=ot|934*11#eD8_6ImImPr`y|%J*S@@JHg(HJ3aO+?(~RR+zGM!`NQi3cd;K( z_pApj=KlP2jliwth|XQi)hOppyNcOurF7`uK}`@yiIKM({S>qkyDRZwB{~KweKljAG6Zr!o2aXWH5#`L@y_&cO^(s`f=u5r3t_pY_L}mAjSZ)h?6zs~kT2 zI;Fj+jqOXPjFYvd74M^OQX#ANPWkpp{q$<>N*P<|np1Q_A6@e;UGsUJw?>OrI{Zm-LS1Z=w${{*OvvlHn3?QK-S1;vY`)GbwD$0<)(+Mof7Bgb(N)gS z+Ua^r_iE!JJ?C7l)z=|izDn)3hK{VN8{MFNW|j-J@jabqHeE%Bl+&Vt7VY(p^z7cO zeN#Z|>GaV$eT>$s>wbUH+C5qn>cmD`JKn-mL3jAC-op$n8th07Z~D#F{YLCe85ij) z#y3jub6z@;ZbtT|u)dr+Q<0Y;??7&0 zkf#`QCHl>bI82xHs^U{OZw7jQLu)as{*c*Vp9{od_KS*o=`upg^@$Uvl z>7o>7uQT>-*sIg)5^U}1HIVpQk^PYG5L+Jm+sGr3(~y@UTaq)D+8v4OPaI}%16Kun zw;+cimCb*(A#R9?Q?@nem3^IBp1<}JjO;+%0OR`)w!c!hC$_HG`XcW@j>CSH>GyBe ze`7raUz;>FE75N)vsXs0L2e=TH^d%;{sy{f?H!H&W?0kP*2I~$*Ra%Upd6ZH_XAriOs zI+6RgiZh~&^SRC^_eo;UF|qTo)xq`@HubnJL7Ic?EoEK9#LXqH9&s;WI~m)v$kUDQ zIo79{^=#rUBX@%Wo@jh8v#w^=j}q5_xV6|SVH=3v9{pkDKad-+SHS)j@+gyo z%bUs72>-jta!6%Uufx^(WuJGk?quw@VJpP;5w_kuS3}L&zZrd)i5W-zs{-|LrC$B{ z*^!tBkrxO0^h3WDy%x0>QTsFUe@*^ojYOB`Y5W82Prn333Gs%0Jyi+)5%Q>uW1Z@Bb!?qfOj=V$R3^DpGyiP@g=O z(&G?%Y@$bJ?CQOyI=NSjbwXZ+1uNy=4RNf0*Ll}IrnROz1a}#$rdF^1g)9_RA!Ph#JilLe#$Wgyf z8&mIkQ*$JGYqR$A@59zAWcwe^<3siQ_|e3V;(9)dy^keceHu0&VpFAt^Yf-qkLB0~ z!(ft`X9D__CT0Tpk8@3P^j%F{l~65Asn-;86#Hx8eodMf6*!F;b#9u5So{n7B_Ug? zaNx%<);FiAy#n7L3QsgO3eayivFfYA!(3AwdwPwya|jqlntzFE{$pQlELY7Qmm zmNYrIQm@Czs~gzsJoGvNT?LKex-LVV1>~u{T}*E6P=2aW;KvZwZA`sW;bgwA1|sa` zV(O~T!V5zCQ&Del9TS>u8L{g9zB%{5Rmf*o{=POCQ`?A~XQ->*$LBj{Swn8+G`Z?q zTwAWonW;4~r?Btkj%Ry0F}=7R4%Ht-?RIHut6i)lN9}oDC{Ov;a#c!`doRB0)A&?w zHm^76ul6|C%rJoD4tc2kE;X;`n(f$IaJ~9KX9NBG(~LM?Q-@0{J%bV{#6TsHy63JXGT>Y|BEnUtrrF zu$@KiWv1?-tkrk;VBN#8eGsyh#kMhETTjA~Y0g$TV&4tKs@mnTy%Vx2yZ@?ye?!QB zB)0V-n;(A^zPHl&{FrRzP7>7ji(Z`b9jw*o{+_JAX05)1^kDrJYxTXMd+1p>3C1e| zj0ubVs>NOwW!TGk#5`eQPG$XkhWOacP2jJXf18=V4)x~+>bF9_54|38uCZUhdQKoF zn|}4los}l{B7Bde@ioRb179QLOk{hSZe{NKc|MyXCo+EzY~4cVvI+6iP0fa^A7b4a zInvnLk+Y5b(T?Z5JHGCrdQHiFz~tig+H!3tf0W7ZhTbEPa~bhdO?(@CUlMztiS2}b zS0J_zdgp+C0I_OsBTf8)tj(VZ63Myby3@p~?|`4vt6S)7_9CWBpr*?6Up-lLP2=-p zl9X35?>N|8iJV{HFV|74TR+7vo4yO}q?|C@Oqn-OZKP9kbtT3rC(5i<@!qJEZ<6t02C#8HXGrI%M-_88RQ9J-t)BWNjs}ue^zc2wNM*_ zcW?e0f@mNVop+TIL4Czu0{Zyfy(>`khl)VxjLd63@c(P=qif7uy=i z5W#K?PIPffiJbYZZ;+uJ@K|-0=%8Mzvz^1H8U2R0SN`Cusmr@De!t=8$9}%3PS{EY)9?W8IWT4n?^cF{MY2ON8QKu8cQ`Fpm&nJ+ZhdU4RpcW< bTWHV1!V^S;zf;^J>|vP9zwfCytv%yinAxu+a%6YR zhwbISc!!SP@v`Md0bI4;SeS=Png{03^*l5CyZR+(#q=RRr2IKP%PoJ@r^lcD zSw1s9*!6y2P(9mtFy(j?HnDCvUmTz3Wiqh9du4pEIp42mW*__;ej`*Z|G9dF-siLN zH{{TJ{CA~3c^)_)wtsFYao%1x`GC(Sll6U*@dkgh$NYFdE{i_bm*cVA`U8snTm7~8 z8GnKk)|P(miU&N7hbM`xj5nCz`QeuBTm2l~y78aWKW_B6t$cGm{bZVvJ&$U?SEu7O z`{lgM{jL7lzSV;t>w}M2yq^68Qdpb)7_t2sKN8QAM>a1SWrhzdnNP&0`4{I``Oe_& z%#VNTm+>Or#(5sWuf~(5$^3k(^OXDPDDd?2!usBPg}vwh0#Fj?^@-KmOtv#cNlo!AC4!&wc_atj&Im*#3+kiRZ~9n-`5T!v~hkC*srmi}R~|XYh9B z$Mt{9coA>oJdfa4QC%KdZ{c=~x^eQ&#OnE@ey@eSM=sxQ z@IpO4n*H}1+kfcTn>s0S?*APGlAjg7#2;)w>Q`icUIibvXFccV{W?)}&-ZuG0001Zob8=mPa8`ThVRd>82SF-srI)-kVqiscmoN+(Q*;3EQ6h0 z3oxv0q7!L#e*4ahi5bAm+i!X3o|bZ#_@mri({FWE^)tin&ctiAE({Z z{o|eM(e3d3ycVVJeV@!1)0^3wn(Rm|`tRLnzPwvJy!v_dLe%d^4fFf?bQ%3~^;RT} zop?v0w|CR4aby}MiraNDbrVY?8cgQjrx%mxWOQ*ENi_IJbT&DU4#(4F^3B@bZ&9>+ zIr%ZXy_wFIi{WCjjFvxclkv?b-zM|P>|#=j{xhA-lXq_4)auz6@?VLj<7B+G^_TuV zT`VW_`;R3bOeec{H250-Yqy^Ld-eVL+Q3GG1{gdUd=<)I0~p*NkLO>dL)mNso2TzS z95VJ=R%2{YV{8MP2cM7sWbAcp#@M5V*aarvj%JsPy&kaF|8)B4`0yiRQet$e4-USz zsacDKX1bh3B%0aj;ouu7L_xwCOqTD@=B!mDowL&e)+AC-5;6>D5osq|>*Q5O`bj5F znne1U4bG@ABuGHnyqjD+M*>>KHtqr$B%#4RZ4zlHF-clP0$QoE!5KyR$=qqkJr^k` z4RYM>V~~WBAV*q60($@M!zXIF8>F9PjNFE1kbv%ECYiw?2_3Nku7UKEgiLN-HjsSM zb=jX?P$@?PDJYGZT;|q5`k9>_&MAX7xmz;vW6G%221L%>CV@)^dPEtukdSb}sA07U zaj~Omw+V5wY$6e*QIpeU6R9Yv8wanJKWK7vba!Q9vR+UIhNeV*x6KN@tCzo@!kdjW=g1?1i)Mt0M7E)249wV%U_DT7) zkbI8VK1T~_XYh8PHHoy7q+B<5OUfjY&FtO&fHDY+pd`ztf@KSdCRH})$Tm_(o4==osLBxj$4-fAF`&d5g&#qvdiwJ-=H{7Q?ILJ3n8~zjJ?3H2iS#W!V4tWq5ehKOPRg z?0*^7_CCJft*<}0Hd^0k6^`F#tK)>)wJWtaKX;K-Q}vT3ZF=Tpa?`cz_;KsDYgcG- z`s&(9ma}NpvLJ0D$tI)ZHoGno?D3~TpX(xaEov-oY4$AoeWZYT77cSQ==YF*Qcsfl z>Dista))C*q@Te7cfoshr?p&N-LsMpIdh9#k=&PiNI$2avIf=Z0abGKkcLkF?8kG% z9o!-n9eh5fdYuv@5zS7y+ErrM?>qd1J+HRY5P8HJ!?yV;mxxLXPi@mnE}Ts(5gGC@ zI7Tw+v#lD5Va@fHExIIzXHWYys9@2yC=5xt|7L(u_S)l@?tfr8AI%mkFewKe{qF_n z2qF~y!OmhiT26-N)8+6{+|VW9A5I2`Y4SZNFZUKmZ9JNURCE$3+&r2@38m2XlOPx6 zx10I(WNfu6Eqc17sBPF5GWOWPEhG>p5O2{SYyt@v1#~8ma>=?heCHp z`yl?J;rk!~qX7IM0HXl%1S2p>F0Z&wHZ3KiSpaq$7P|)EL8OAt6Uf78@H~M?4A18Y zL}F+_PaqIO6M6!Hn5<99uPF$`(CD8)AV$Uh2}EMDvQFUvixU=7QV@xusX~EBj0P(d zh{f<+p+GQ(1q%g&F&eT^ARMDW3kC8q8n#fZ7#a3n0juUM8TcS4qrnRWqB1;RD3F#> z0)_&i8J;i{NX=*vLxJE7&lm~>XOxhkKzJqt&-myM2^yYW6o}B!u%SSJhNcY#0yNou z9tw}zkkI5%k*0Y3P9R5fg1Cwkbng}m4v7(`9FM*6*dzc8>zcmoD zxX1Q+sY2L-2NDfrEi8be>*xFTpGb4GXgV8D z{(4i3b8lvEujaR2;kWafzkbyAlCj?Atki#+S^si<_0yY2lEUQ(r$T0-1Ps?=KOY^mYZao2Kmel@wb^L;vB-i@wm(d_PecyV*JNS~y>{`T5! zx!*`Wbay?=4OAX}e)ZnNk3akVZf^cXHh(WL`pm>`JvXoPrA-TE<1#^ur0}||v$dkV z>FFhTazWtQuo^**BPNI7)>G}GFH2wLo@BxW2-EA%UwzwXq2m5bo z{lTi%%Ralv%G>n6>lJuk1U6PL=ls2XnTAx%tUAM*4G*j z5Cj9Z(}-74j;R`e0qu~ju5#;vUlZY_VcT1>-fY*me?$gNMB zua1i<|9NF6j5e8nM+MT+XcwK?y6OGIVRST_{yQ4&BEqaXo)YyHEpJhoFb+NnnL$Tw zvOt>D-b1>fdMY0GKK~8HLMF00p6Y6onG!d@K+=Q?#3^m2;*$Dq`+Cc>r`!pq#%Q)5rQ?6v4``e$QWVMG-5Fw66l zzU=s=lWkKJ|54HelJ@%7Nhgf*yy?A>A?lv5_40-9Xlq|5~;e#;LsV?^QST~_D?k5hsu#wZDtwHRBTog=%k!?j+@A=qw5QY$S12z|#bKyVeS%nG zL=QuK-Veq_U8Oq?Q{KfV>TaUzYQ}%zr*7u|I>p?&>*l{fqJ9vPW1)C=lsflv9Z~%6 z=Y@|6u8ynJ51%a2Q-N&gYSN{|Bry z^HeL0{)oi>TS*PI`hFE2+X}`1E~S3{vEvfWfADRDv7f7-dS9NW+F=~b_Pl$_1acF; z`U&Obt*LGpIimVpraVs-{;j_1c&hL(s5z?80;y%atItr6zxG(6`Ol`+w2x!;`S+Ci znSze-E&p*!eMgMzV%B>(W7JsUuVQv{-X9uG0001Zob8=oPvc4w#ozCzu=0M1tMgxikr-g^%?=C<8SOlbR#70H z-3SP55=M8V)&1;i$4p`%`1Gk5w_D;35~tEG*Qu_q{@K~Rx?2p#7r}IN6YbUhIPaeC zpX}U>?uHi^wIF%#M>LyHZl`-S*^yfC-}}*QaX(+b`Z;|e==Xz$`Tb(D2>zMA6-Z+z z+>zk@{p5Nan1%_$c3n)}gwhBG(d@_MG78Q{msf!VgMS2Hql@5ZJXyq_tiAg!2zIZc zpToP`$#gLv&Z9-J_<0wPZx(%zX3_LAss;avvyS-X{`GM?5g2^}@Z*BFVe^2I% zX!dYed|-02?~VuG!hh}7mw%_9udfVjG-!aqv%xo^3^stl{qcDAO*)j#Ca`&a@bQST z*RmR8iyC7a*gX7v@+V`jV>8AcHN-A3`F=FLV(j&Rz5eO>>B-S2#-xPkQXd|EYg1<} z7MkgD7LjPC=SPF@q!0xOXAmtue4VjYk#xSEAF?Kqdg7E}IEzR-%UCC`I?_*a;-pEW zpXuN$m4*ZfXnEdEE}tU-rMZo}Kn6)@uuq#r8j4Ml7LkBbRW>-INIy$=8gkA>3QCe3 zH~SbQp*YEr7LkBH9DF>b!rdVK#ADhmQpEzZ5aoIrfN#e3U zy`)Nx22xOxGr7vGf%G%|dNiX9+SG3GiJwqLttKGy%xwy|c%a9WQ40wPmy8-#lMt6X zns$>AC(9-hQIa(|T{e-5;&tQTF1j2X9aBNtv=I{NQoD(W%OXviiHNH|nn+5^lzeeFL{YoUEoJ}o4l zW46!HLfRR;-)BuC?ZhS5?frr>iDWZ9*dI^^;UXxmvZ-X*LZV5O%{j7-RFkY%xR7ik z*`)cGvuRVreG@JLw{7Mg(xgojfjo7jo^-KBUUsCPB$Bv{-bVU~^9DETv@K>GGBm9! zIL>AVDJZ$*+|}t=^x?R;>{#>=NQ+239}cK&*|DnHgj@A?;LNkn*47=1_5s=8=~y+e zIW=~WcKU==YHV>RB|*xuoAi`bj)V>ZfP7 zSIcdV^^krBhuj74+3nVH>*}7Be8@Ams1?b1xrg*~e!6T>ogY$5t{&3R*`NJzX1I-8 zq@u&mCseOfLL{Q;Ik$F|5cd0y{$Tg3?KDJgv4*g1e$Ex562e{E6YyvSC z4bTQbm!t!)ID3=`6rdc0T@<98U7wefDWqM}`CQ>uxB^x|c#wQiP2MHJj;0FO11&}8gfr)E*#dWf2DH%-w*iBe$48V;@1)V35htc490+AS=&l8Bm z(14ymAciLN1OhS3J|!Ph5Qw4CKY>7uiv1Ia#4ML}3J+N9u#l31NDNIC3PfTwSfM~H zhUW?ef-x*uC=iU%kc9%_7zJ7=kdM)@g<{3Xu=fgBHE+qp2RRuHUMLWi;rT*=w2Trk z6bQ}mgrPucMuQj%1ZQ~0P#`#?gbW43GfVJ{&;F30;ps(z2n`Jz3Iu3q+E5@sv%Jqk z;ZYkBnj9+96p!Bt&Eu;_Pj1 z4po@7Y66l|7G!KRP@+Kcg{Kk)qAxs_D3E;7aESup7amL$2*1!|qS&D?( z2)`^h%6LIR0ER{s1rjhMQ7Djq(IABa8JLtQv2Fd19=2^EFSZ8;(HI31 zDG-l&c}Ec2wgIZid;lpK4X`K>l;Md*ft1Yh{vcWs29h!wY|%hkM#C){NX#rjHiZx1 z_SL~hzR)s|n^91a2BI@O#AqNolis1C@PNe>M&1Gs=^37nG?1Q2XfgimAwi=d8V$r> z6zrveI10;28pwudKuH5B3k4}@AZNj&k_LhnI#$v^)Pjd44FoM{5YgB@OoZ&;8VFfD zVEepOA#A||i3YM37C_=gTxmrnjS^%oWtKJoN{nuKWp#evEF5@)PI>-eRDni>dhm`;#TxnGTX$e!R*#IIqS7O zxbB!#z=P}MfJYu&FE`wKFf-$MnKh5;kq6h^Y4;w?^x8b~;Fi;0u`$^8aZS>#l8QS%#$dBb zGfCUWnWVd&a0lMSux79zk36_$<1667j*lwtRw)nmd{nVis=>NlO4&{EvBl`(I(o44V=`OZ zkFIOM^!{ded3!xirq0!;SANTUM|{xz%``JmS$}={-ula*eSSA{{&_Zk&oTPU#BM!v zUdc$%mgPrR1xhw-PJo>bJE+C^@6#rTKC^)yS(CtK+Gbdrx)p zO04R5Dz}?iil;KDY^6Pw+jA?&Q|bgzuBWtBM}gD1Cuz#LqjGnctK%r=rF7j*DqZ9RluQ*RN{ivn86-X(5#FXS>D4tMpWgdi9X-{Py7Vj*mj32XKE-zQo8qJTOQqMdE#*`JYQiINRQ&8C4m zzogvujIFPPIWJ3JN$%q$a)a;rCf@b!?bZEVE%-ja879w~*{k&j>5ks=*m+zPr2noW z@L{X3k-nVq_v(#@FMi_kApP=RU$PU1>&vha#r!e4zRzB=aJRmE>7_#iA7Y*#Vvli_ zHJ+CU&`oZY4Q>U5>+76`RLrf<$HTbQJaenC(fV`%uA}v}#>2R^vB9lmv=(z3#YO9D zjfZh-^SN84_-I9kl$~2u<6+#|+ThmWqt)UxO3kgR@#MMHEp(%%6N)P=JL-1+8*{bw z<#*rGQum6=c9nCKNOB*T_NJ8Az5LGGPsWoS;*NVfs^=bjSv z9VaEL&$*+-58PbTcRCfQK6OTaYvpdcL~}k%8Bc_g`->jsq!cwDTXODo57Db1uvKzQ zInTYBpR%sBr#LwBl@=a;^pe!;qXJX9ALVq@eN5&4XjOGQrM`irI8WvN%wcsrWt{Ig zNz;#8g4K0jah_`Uv5%7byzP?81V5T?E%z~{zA>`oxvv7A@}r(I?)Q5ee#BG-YIOC* zDp`H*n+dtUC{Z0xN$!u5mNfbK(V=p$K2ILj>wJMMo;(Rky^<&}P5Gfc^;T|N$_nuNe1H9xs<=j!~`zGIz quN@)TB diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index 609cd3a..5c5542b 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Tue Apr 20 04:19:58 2021 +Tue Apr 20 05:43:03 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Tue Apr 20 04:19:58 2021 ; +; Assembler Status ; Successful - Tue Apr 20 05:43:03 2021 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -90,8 +90,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+-------------------------------------------------------+ ; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x001644CE ; -; Checksum ; 0x0016484E ; +; JTAG usercode ; 0x0016305B ; +; Checksum ; 0x001633DB ; +----------------+-------------------------------------------------------+ @@ -101,14 +101,14 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Apr 20 04:19:56 2021 + Info: Processing started: Tue Apr 20 05:43:02 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 293 megabytes - Info: Processing ended: Tue Apr 20 04:19:59 2021 - Info: Elapsed time: 00:00:03 + Info: Processing ended: Tue Apr 20 05:43:04 2021 + Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 7c4faa1..511a66a 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Tue Apr 20 04:20:06 2021 +Tue Apr 20 05:43:10 2021 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index b4be352..20da58c 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Tue Apr 20 04:19:53 2021 +Tue Apr 20 05:42:59 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -57,7 +57,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Tue Apr 20 04:19:53 2021 ; +; Fitter Status ; Successful - Tue Apr 20 05:42:59 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -128,12 +128,12 @@ applicable agreement for further details. ; Number detected on machine ; 2 ; ; Maximum allowed ; 2 ; ; ; ; -; Average used ; 1.20 ; +; Average used ; 1.50 ; ; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 20.0% ; +; Processor 2 ; 50.0% ; +----------------------------+-------------+ @@ -179,8 +179,8 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; UFM blocks ; 0 / 1 ( 0 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 44% / 49% / 38% ; -; Peak interconnect usage (total/H/V) ; 44% / 49% / 38% ; +; Average interconnect usage (total/H/V) ; 45% / 50% / 40% ; +; Peak interconnect usage (total/H/V) ; 45% / 50% / 40% ; ; Maximum fan-out ; 106 ; ; Highest non-global fan-out ; 45 ; ; Total fan-out ; 1050 ; @@ -188,40 +188,40 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------------------------------------------+--------------------+ -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 106 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+ +; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 106 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3V Schmitt Trigger Input ; User ; +; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3V Schmitt Trigger Input ; User ; +; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; +; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; +; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; +; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; +; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3V Schmitt Trigger Input ; User ; +; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -229,41 +229,41 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; no ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RDdir ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RWout ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[10] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; -; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[12] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[1] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; -; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; no ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; RDdir ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; RWout ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[10] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[11] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[12] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[1] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[9] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; Fitter ; 10 pF ; - ; - ; +; SBA[0] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SBA[1] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -272,23 +272,23 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; MOSIOE ; - ; -; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; MOSIOE ; - ; +; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -302,112 +302,112 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +----------+-------------------+---------------+--------------+ -+------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; 1 ; 83 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 2 ; 0 ; 1 ; RA[5] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 3 ; 1 ; 1 ; RA[6] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 4 ; 2 ; 1 ; RA[3] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 5 ; 3 ; 1 ; nFCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 6 ; 4 ; 1 ; RA[7] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 7 ; 5 ; 1 ; RA[8] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 8 ; 6 ; 1 ; RA[9] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 12 ; 7 ; 1 ; FCK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; -; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 14 ; 8 ; 1 ; RA[10] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 15 ; 9 ; 1 ; MOSI ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; -; 16 ; 10 ; 1 ; MISO ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 17 ; 11 ; 1 ; RDdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 18 ; 12 ; 1 ; DMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 19 ; 13 ; 1 ; RAdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 20 ; 14 ; 1 ; INTout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 21 ; 15 ; 1 ; nDMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 26 ; 20 ; 1 ; nNMIout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 27 ; 21 ; 1 ; nINHout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 28 ; 22 ; 1 ; nRDYout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 29 ; 23 ; 1 ; nIRQout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 30 ; 24 ; 1 ; nRESout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 33 ; 25 ; 1 ; RWout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 34 ; 26 ; 1 ; RA[11] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 35 ; 27 ; 1 ; RA[12] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 36 ; 28 ; 1 ; RA[13] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 37 ; 29 ; 1 ; RA[14] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 38 ; 30 ; 1 ; RA[15] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 39 ; 31 ; 1 ; nIOSEL ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 40 ; 32 ; 1 ; nDEVSEL ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 41 ; 33 ; 1 ; PHI0 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 42 ; 34 ; 1 ; nIOSTRB ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 43 ; 35 ; 1 ; nWE ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 44 ; 36 ; 1 ; nRES ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 47 ; 37 ; 1 ; SD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 48 ; 38 ; 1 ; DMAin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 49 ; 39 ; 1 ; INTin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 50 ; 40 ; 1 ; SD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 51 ; 41 ; 1 ; SD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 52 ; 42 ; 2 ; SD[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 53 ; 43 ; 2 ; SD[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 54 ; 44 ; 2 ; SD[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 55 ; 45 ; 2 ; SD[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 56 ; 46 ; 2 ; SD[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 57 ; 47 ; 2 ; DQMH ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 58 ; 48 ; 2 ; nSWE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 60 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 61 ; 49 ; 2 ; nCAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 62 ; 50 ; 2 ; nRAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 64 ; 51 ; 2 ; C25M ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 66 ; 52 ; 2 ; RCKE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 67 ; 53 ; 2 ; nRCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 68 ; 54 ; 2 ; SA[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 69 ; 55 ; 2 ; SBA[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 70 ; 56 ; 2 ; SA[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 71 ; 57 ; 2 ; SBA[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 72 ; 58 ; 2 ; SA[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 73 ; 59 ; 2 ; SA[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 74 ; 60 ; 2 ; SA[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 75 ; 61 ; 2 ; SA[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 76 ; 62 ; 2 ; SA[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 77 ; 63 ; 2 ; SA[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 78 ; 64 ; 2 ; SA[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 81 ; 65 ; 2 ; SA[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 82 ; 66 ; 2 ; SA[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 83 ; 67 ; 2 ; SA[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 84 ; 68 ; 2 ; SA[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 85 ; 69 ; 2 ; DQML ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 86 ; 70 ; 2 ; RD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 87 ; 71 ; 2 ; RD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 88 ; 72 ; 2 ; RD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 89 ; 73 ; 2 ; RD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 90 ; 74 ; 2 ; RD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 92 ; 76 ; 2 ; RD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 93 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 95 ; 77 ; 2 ; SetFW[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 96 ; 78 ; 2 ; SetFW[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 97 ; 79 ; 2 ; RA[2] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 98 ; 80 ; 2 ; RA[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 99 ; 81 ; 2 ; RD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 100 ; 82 ; 2 ; RA[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -+----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ +; 1 ; 83 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 2 ; 0 ; 1 ; RA[5] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 3 ; 1 ; 1 ; RA[6] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 4 ; 2 ; 1 ; RA[3] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 5 ; 3 ; 1 ; nFCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; +; 6 ; 4 ; 1 ; RA[7] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 7 ; 5 ; 1 ; RA[8] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 8 ; 6 ; 1 ; RA[9] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; +; 12 ; 7 ; 1 ; FCK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; +; 14 ; 8 ; 1 ; RA[10] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 15 ; 9 ; 1 ; MOSI ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 16 ; 10 ; 1 ; MISO ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 17 ; 11 ; 1 ; RDdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; +; 18 ; 12 ; 1 ; DMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 19 ; 13 ; 1 ; RAdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; +; 20 ; 14 ; 1 ; INTout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 21 ; 15 ; 1 ; nDMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; +; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; 26 ; 20 ; 1 ; nNMIout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; +; 27 ; 21 ; 1 ; nINHout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; +; 28 ; 22 ; 1 ; nRDYout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; +; 29 ; 23 ; 1 ; nIRQout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; +; 30 ; 24 ; 1 ; nRESout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; +; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 33 ; 25 ; 1 ; RWout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; +; 34 ; 26 ; 1 ; RA[11] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 35 ; 27 ; 1 ; RA[12] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 36 ; 28 ; 1 ; RA[13] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 37 ; 29 ; 1 ; RA[14] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 38 ; 30 ; 1 ; RA[15] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 39 ; 31 ; 1 ; nIOSEL ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 40 ; 32 ; 1 ; nDEVSEL ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 41 ; 33 ; 1 ; PHI0 ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; +; 42 ; 34 ; 1 ; nIOSTRB ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 43 ; 35 ; 1 ; nWE ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 44 ; 36 ; 1 ; nRES ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; yes ; Off ; +; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 47 ; 37 ; 1 ; SD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 48 ; 38 ; 1 ; DMAin ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; yes ; Off ; +; 49 ; 39 ; 1 ; INTin ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; yes ; Off ; +; 50 ; 40 ; 1 ; SD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 51 ; 41 ; 1 ; SD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 52 ; 42 ; 2 ; SD[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 53 ; 43 ; 2 ; SD[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 54 ; 44 ; 2 ; SD[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 55 ; 45 ; 2 ; SD[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 56 ; 46 ; 2 ; SD[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; +; 57 ; 47 ; 2 ; DQMH ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 58 ; 48 ; 2 ; nSWE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 60 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 61 ; 49 ; 2 ; nCAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 62 ; 50 ; 2 ; nRAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; +; 64 ; 51 ; 2 ; C25M ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; +; 66 ; 52 ; 2 ; RCKE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 67 ; 53 ; 2 ; nRCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 68 ; 54 ; 2 ; SBA[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 69 ; 55 ; 2 ; SBA[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 70 ; 56 ; 2 ; SA[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 71 ; 57 ; 2 ; SA[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; 72 ; 58 ; 2 ; SA[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 73 ; 59 ; 2 ; SA[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 74 ; 60 ; 2 ; SA[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; +; 75 ; 61 ; 2 ; SA[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 76 ; 62 ; 2 ; SA[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 77 ; 63 ; 2 ; SA[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 78 ; 64 ; 2 ; SA[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 81 ; 65 ; 2 ; SA[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 82 ; 66 ; 2 ; SA[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 83 ; 67 ; 2 ; SA[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 84 ; 68 ; 2 ; SA[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 85 ; 69 ; 2 ; DQML ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 86 ; 70 ; 2 ; RD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 87 ; 71 ; 2 ; RD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 88 ; 72 ; 2 ; RD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 89 ; 73 ; 2 ; RD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 90 ; 74 ; 2 ; RD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 92 ; 76 ; 2 ; RD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 93 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 95 ; 77 ; 2 ; SetFW[1] ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; On ; +; 96 ; 78 ; 2 ; SetFW[0] ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; On ; +; 97 ; 79 ; 2 ; RA[2] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 98 ; 80 ; 2 ; RA[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 99 ; 81 ; 2 ; RD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; +; 100 ; 82 ; 2 ; RA[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ++----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. @@ -531,22 +531,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ; C25M ; PIN_64 ; 106 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Decoder1~0 ; LC_X4_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal2~0 ; LC_X3_Y2_N8 ; 19 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X6_Y4_N4 ; 2 ; Output enable ; no ; -- ; -- ; -; IOROMRES ; LC_X2_Y3_N8 ; 1 ; Async. clear ; no ; -- ; -- ; -; MOSIOE ; LC_X6_Y4_N0 ; 1 ; Output enable ; no ; -- ; -- ; +; Decoder1~0 ; LC_X6_Y3_N7 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal2~0 ; LC_X3_Y2_N9 ; 19 ; Clock enable ; no ; -- ; -- ; +; FCKOE ; LC_X2_Y2_N4 ; 2 ; Output enable ; no ; -- ; -- ; +; IOROMRES ; LC_X2_Y3_N1 ; 1 ; Async. clear ; no ; -- ; -- ; +; MOSIOE ; LC_X2_Y2_N6 ; 1 ; Output enable ; no ; -- ; -- ; ; PHI0 ; PIN_41 ; 5 ; Clock ; yes ; Global Clock ; GCLK1 ; -; PS[0] ; LC_X4_Y2_N2 ; 44 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X4_Y2_N7 ; 26 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X4_Y1_N6 ; 8 ; Output enable ; no ; -- ; -- ; -; SetFWLoaded ; LC_X4_Y2_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -; always7~1 ; LC_X2_Y3_N1 ; 2 ; Clock enable ; no ; -- ; -- ; -; always9~2 ; LC_X2_Y2_N9 ; 8 ; Sync. load ; no ; -- ; -- ; -; always9~3 ; LC_X2_Y1_N8 ; 9 ; Sync. load ; no ; -- ; -- ; -; always9~4 ; LC_X7_Y2_N8 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~1 ; LC_X5_Y1_N6 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X2_Y3_N4 ; 29 ; Async. clear ; yes ; Global Clock ; GCLK2 ; +; PS[0] ; LC_X3_Y2_N5 ; 44 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X3_Y2_N7 ; 26 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X4_Y1_N7 ; 8 ; Output enable ; no ; -- ; -- ; +; SetFWLoaded ; LC_X3_Y2_N6 ; 2 ; Clock enable ; no ; -- ; -- ; +; always7~1 ; LC_X2_Y3_N5 ; 2 ; Clock enable ; no ; -- ; -- ; +; always9~2 ; LC_X4_Y2_N8 ; 8 ; Sync. load ; no ; -- ; -- ; +; always9~3 ; LC_X5_Y2_N8 ; 9 ; Sync. load ; no ; -- ; -- ; +; always9~4 ; LC_X5_Y2_N9 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~1 ; LC_X4_Y1_N3 ; 9 ; Output enable ; no ; -- ; -- ; +; nRESr ; LC_X2_Y3_N6 ; 29 ; Async. clear ; yes ; Global Clock ; GCLK2 ; +-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ @@ -557,7 +557,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+-------------+---------+----------------------+------------------+ ; C25M ; PIN_64 ; 106 ; Global Clock ; GCLK3 ; ; PHI0 ; PIN_41 ; 5 ; Global Clock ; GCLK1 ; -; nRESr ; LC_X2_Y3_N4 ; 29 ; Global Clock ; GCLK2 ; +; nRESr ; LC_X2_Y3_N6 ; 29 ; Global Clock ; GCLK2 ; +-------+-------------+---------+----------------------+------------------+ @@ -917,13 +917,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 234 / 784 ( 30 % ) ; -; Direct links ; 69 / 888 ( 8 % ) ; +; C4s ; 259 / 784 ( 33 % ) ; +; Direct links ; 56 / 888 ( 6 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 12 / 32 ( 38 % ) ; -; LUT chains ; 39 / 216 ( 18 % ) ; -; Local interconnects ; 436 / 888 ( 49 % ) ; -; R4s ; 282 / 704 ( 40 % ) ; +; LAB clocks ; 11 / 32 ( 34 % ) ; +; LUT chains ; 35 / 216 ( 16 % ) ; +; Local interconnects ; 435 / 888 ( 49 % ) ; +; R4s ; 290 / 704 ( 41 % ) ; +-----------------------------+--------------------+ @@ -939,23 +939,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 0 ; -; 8 ; 3 ; -; 9 ; 4 ; -; 10 ; 17 ; +; 8 ; 4 ; +; 9 ; 2 ; +; 10 ; 18 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.83) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 2.04) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ ; 1 Async. clear ; 7 ; ; 1 Clock ; 22 ; -; 1 Clock enable ; 6 ; -; 1 Sync. clear ; 4 ; -; 1 Sync. load ; 2 ; -; 2 Clock enables ; 1 ; +; 1 Clock enable ; 7 ; +; 1 Sync. clear ; 6 ; +; 1 Sync. load ; 5 ; ; 2 Clocks ; 2 ; +------------------------------------+------------------------------+ @@ -973,11 +972,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 0 ; -; 8 ; 3 ; -; 9 ; 4 ; +; 8 ; 4 ; +; 9 ; 2 ; ; 10 ; 12 ; -; 11 ; 2 ; -; 12 ; 2 ; +; 11 ; 4 ; +; 12 ; 1 ; ; 13 ; 0 ; ; 14 ; 0 ; ; 15 ; 1 ; @@ -987,19 +986,19 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.92) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.00) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 3 ; -; 4 ; 4 ; -; 5 ; 2 ; +; 3 ; 2 ; +; 4 ; 5 ; +; 5 ; 1 ; ; 6 ; 2 ; -; 7 ; 1 ; -; 8 ; 3 ; -; 9 ; 3 ; -; 10 ; 5 ; +; 7 ; 0 ; +; 8 ; 5 ; +; 9 ; 6 ; +; 10 ; 2 ; ; 11 ; 1 ; +-------------------------------------------------+------------------------------+ @@ -1007,30 +1006,31 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 15.54) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 15.96) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 1 ; +; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 0 ; +; 7 ; 1 ; ; 8 ; 1 ; ; 9 ; 0 ; -; 10 ; 0 ; +; 10 ; 1 ; ; 11 ; 0 ; -; 12 ; 3 ; -; 13 ; 2 ; +; 12 ; 1 ; +; 13 ; 3 ; ; 14 ; 2 ; -; 15 ; 3 ; -; 16 ; 2 ; -; 17 ; 2 ; -; 18 ; 1 ; -; 19 ; 2 ; -; 20 ; 1 ; +; 15 ; 2 ; +; 16 ; 3 ; +; 17 ; 0 ; +; 18 ; 2 ; +; 19 ; 1 ; +; 20 ; 2 ; ; 21 ; 4 ; +; 22 ; 1 ; +----------------------------------------------+------------------------------+ @@ -1064,6 +1064,8 @@ Info (176444): Device migration not selected. If you intend to use device migrat Info (176445): Device EPM570T100C5 is compatible Info (176445): Device EPM570T100I5 is compatible Info (176445): Device EPM570T100A5 is compatible +Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 80 total pins + Info (169086): Pin SA[9] not assigned to an exact location on the device Critical Warning (332012): Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (332144): No user constrained base clocks found in the design Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements @@ -1085,25 +1087,32 @@ Info (176234): Starting register packing Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional) + Info (176212): I/O standards used: 3.3-V LVTTL. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 41 total pin(s) used -- 1 pins available +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:01 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 36% of the available device resources - Info (170196): Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 35% of the available device resources + Info (170196): Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.56 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.70 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg -Info: Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 382 megabytes - Info: Processing ended: Tue Apr 20 04:19:53 2021 - Info: Elapsed time: 00:00:08 - Info: Total CPU time (on all processors): 00:00:08 +Info: Quartus II 32-bit Fitter was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 378 megabytes + Info: Processing ended: Tue Apr 20 05:42:59 2021 + Info: Elapsed time: 00:00:09 + Info: Total CPU time (on all processors): 00:00:09 +----------------------------+ diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 18cf322..62a7e91 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Tue Apr 20 04:19:53 2021 +Fitter Status : Successful - Tue Apr 20 05:42:59 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index c322ed2..fe61520 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Tue Apr 20 04:20:05 2021 +Tue Apr 20 05:43:09 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,7 +40,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Tue Apr 20 04:19:58 2021 ; +; Flow Status ; Successful - Tue Apr 20 05:43:03 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -59,7 +59,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 04/20/2021 04:19:41 ; +; Start date & time ; 04/20/2021 05:42:44 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -75,7 +75,7 @@ applicable agreement for further details. ; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; ; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; ; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 44085571633675.161890678100176 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 44085571633675.161891176303636 ; -- ; -- ; -- ; ; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; @@ -102,11 +102,11 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 301 MB ; 00:00:04 ; -; Fitter ; 00:00:08 ; 1.2 ; 382 MB ; 00:00:07 ; -; Assembler ; 00:00:02 ; 1.0 ; 292 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:05 ; 1.0 ; 278 MB ; 00:00:04 ; -; Total ; 00:00:20 ; -- ; -- ; 00:00:17 ; +; Analysis & Synthesis ; 00:00:06 ; 1.0 ; 301 MB ; 00:00:06 ; +; Fitter ; 00:00:09 ; 1.5 ; 378 MB ; 00:00:08 ; +; Assembler ; 00:00:01 ; 1.0 ; 292 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:04 ; 1.0 ; 275 MB ; 00:00:04 ; +; Total ; 00:00:20 ; -- ; -- ; 00:00:20 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 008860b..5833b8f 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Tue Apr 20 04:19:44 2021 +Tue Apr 20 05:42:47 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,7 +45,7 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Apr 20 04:19:44 2021 ; +; Analysis & Synthesis Status ; Successful - Tue Apr 20 05:42:47 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -280,7 +280,7 @@ Encoding Type: Minimal Bits Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Apr 20 04:19:39 2021 + Info: Processing started: Tue Apr 20 05:42:41 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v @@ -309,9 +309,9 @@ Info (21057): Implemented 321 device resources after synthesis - the final resou Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 13 warnings Info: Peak virtual memory: 301 megabytes - Info: Processing ended: Tue Apr 20 04:19:44 2021 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:04 + Info: Processing ended: Tue Apr 20 05:42:47 2021 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:06 +------------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 8eeb674..af463eb 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Tue Apr 20 04:19:44 2021 +Analysis & Synthesis Status : Successful - Tue Apr 20 05:42:47 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index 54ede08..d006f6d 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -102,15 +102,15 @@ RA[14] : 37 : input : 3.3-V LVTTL : RA[15] : 38 : input : 3.3-V LVTTL : : 1 : Y nIOSEL : 39 : input : 3.3-V LVTTL : : 1 : Y nDEVSEL : 40 : input : 3.3-V LVTTL : : 1 : Y -PHI0 : 41 : input : 3.3-V LVTTL : : 1 : Y +PHI0 : 41 : input : 3.3V Schmitt Trigger Input : : 1 : Y nIOSTRB : 42 : input : 3.3-V LVTTL : : 1 : Y nWE : 43 : input : 3.3-V LVTTL : : 1 : Y -nRES : 44 : input : 3.3-V LVTTL : : 1 : Y +nRES : 44 : input : 3.3V Schmitt Trigger Input : : 1 : Y VCCIO1 : 45 : power : : 3.3V : 1 : GNDIO : 46 : gnd : : : : SD[1] : 47 : bidir : 3.3-V LVTTL : : 1 : Y -DMAin : 48 : input : 3.3-V LVTTL : : 1 : Y -INTin : 49 : input : 3.3-V LVTTL : : 1 : Y +DMAin : 48 : input : 3.3V Schmitt Trigger Input : : 1 : Y +INTin : 49 : input : 3.3V Schmitt Trigger Input : : 1 : Y SD[0] : 50 : bidir : 3.3-V LVTTL : : 1 : Y SD[4] : 51 : bidir : 3.3-V LVTTL : : 1 : Y SD[5] : 52 : bidir : 3.3-V LVTTL : : 2 : Y @@ -129,12 +129,12 @@ C25M : 64 : input : 3.3-V LVTTL : GNDINT : 65 : gnd : : : : RCKE : 66 : output : 3.3-V LVTTL : : 2 : Y nRCS : 67 : output : 3.3-V LVTTL : : 2 : Y -SA[12] : 68 : output : 3.3-V LVTTL : : 2 : Y -SBA[0] : 69 : output : 3.3-V LVTTL : : 2 : Y -SA[11] : 70 : output : 3.3-V LVTTL : : 2 : Y -SBA[1] : 71 : output : 3.3-V LVTTL : : 2 : Y -SA[9] : 72 : output : 3.3-V LVTTL : : 2 : Y -SA[10] : 73 : output : 3.3-V LVTTL : : 2 : Y +SBA[0] : 68 : output : 3.3-V LVTTL : : 2 : Y +SBA[1] : 69 : output : 3.3-V LVTTL : : 2 : Y +SA[12] : 70 : output : 3.3-V LVTTL : : 2 : Y +SA[9] : 71 : output : 3.3-V LVTTL : : 2 : N +SA[10] : 72 : output : 3.3-V LVTTL : : 2 : Y +SA[11] : 73 : output : 3.3-V LVTTL : : 2 : Y SA[8] : 74 : output : 3.3-V LVTTL : : 2 : Y SA[0] : 75 : output : 3.3-V LVTTL : : 2 : Y SA[4] : 76 : output : 3.3-V LVTTL : : 2 : Y @@ -156,8 +156,8 @@ RD[5] : 91 : bidir : 3.3-V LVTTL : RD[6] : 92 : bidir : 3.3-V LVTTL : : 2 : Y GNDIO : 93 : gnd : : : : VCCIO2 : 94 : power : : 3.3V : 2 : -SetFW[1] : 95 : input : 3.3-V LVTTL : : 2 : Y -SetFW[0] : 96 : input : 3.3-V LVTTL : : 2 : Y +SetFW[1] : 95 : input : 3.3V Schmitt Trigger Input : : 2 : Y +SetFW[0] : 96 : input : 3.3V Schmitt Trigger Input : : 2 : Y RA[2] : 97 : input : 3.3-V LVTTL : : 2 : Y RA[1] : 98 : input : 3.3-V LVTTL : : 2 : Y RD[7] : 99 : bidir : 3.3-V LVTTL : : 2 : Y diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 7723661b97170221e80418f88eebc29bce750e2b..f3d9d6107c15a061b0511e7de56674629c92b3b8 100755 GIT binary patch literal 7879 zcmeHMdvH|Od0z?`$L3+;coMe-RL_{sbc%T>eiB$a#;%?}AZ>)a zdy;9O_7u}Ht?V*bs7~rS4v}_T3L3G#dvI+?ZA)5vTuCv?-GhU*8YK2!gtB|tdvwm% z-#J$hPpAL)j~YgMbkBFb_xJn0b659&`|emQHZ3;Ge%9dgfyO7cZg1RHb??1Z)hm`f zxoLaV{aYJ0ZhfMzZo}59M>cHTwyB}MYI*ey%Wha+_07gjTb{03QN5yS*-cBAuUNWb z+4AbDhc;|&+Vs?hs{5aKYID`{s)xQ+_2`BttL}Pw({^yiekV2uO76OU?TW82`}5_? zmfd+{YzUh!lPf*fmb}d4xzmcOYl> z?Xy3%UVQ%T$~?>WRBd^X^s3qdowz{%ba?XS3CmYK!R%_z3#+GHvjF-6%92t>ei=Vj z4<~Z*yC#NbKhjIYF3|%T#JO}?1ykp=;fv%mb@4pbK`L4~P!O%3lP5|0gMrnL59cC3 z5r>_S0=>f6HzgPRk$&Ik0Uh=s7Lomty_f6*P0<+i3u(_OidO8qiW@mXX+b&&##~9{ zF$O;v&V!E^&6;v+sNItrp(m4WA_`Os>;>JyPq~Ju2qAi`bVg}b)ZF-|BYw8ttoc%n zX!od{Im(T%CoUb8jOg~9*8Py7OM&rQ>nsFN#}vO9hFwa9+8;>y*jIE!4v@=m5V{rD zQU?^)ar5kfO1cb!qRxm;eo<4IsRDM+2Z0NoRAv+Ck^LPZagQ=B4g#E#B>PoHuXi&_ z^}3xe8TlkfAfJjHQU=M#u|Nmf<~a*`M>q&|epv|V$kLQw`WxrO1BHA=TGv#OLKewz!JZKrlv)-1uww znbyAVB{zv0{ib-`kvZud$?3ZMgr&Qc)}VYc5wt>CeM8vXI~f=G&F9ELS}i{xdqc~VaMB|^`+UwHPYvcX00+Qd!V}Uqp&ptUfJMB^nGmTT({9tg;?cFa^z;39itojs5UvOp^$W-FdCFYgW7OP0{mI{s|>Z@d9O~ zywEFyzS#g{||I+Nj%&Q7(lOJBW z@JBB!Ydv$vZ}Pvto2y0Nwfdd#KfkX3E z-b?Qn`if_7IPMh=EN|;Ly035L%0FGQvKwBQ{0XLUX1~S2O*zmjr$@@uiB+ zu^Zv9&<>&N9ilP-x=J01=-vp|z^5}pTnAa11b$K(0l9RMzgg#~Kk1uzY4lx5O%SXk z@=2I)WL8EcPC}!}7Ij+cL{hHec}xq?%|3n%&b?sBSDMQd*d5A~;a5}_co2a$j{JAQ zL?(161xY!D|E#rRvhJ|gk#r`rRzB+7 z##tRSa(JCfaesXZ{lhI=da*_dGjE2`1@d%~O0Y-|X36#AmaaHy#1r=hf#*@)FS)-S zhO2{}R&IxA@iC8>`=|o0SsvV4zrKka*kk;2(a+4fE)7HaS%Wh3p84dI7|&y0DW9cq zOhJLxQo@gedCI_w2p_JZf+m4yW#g#`!wM5waUStA_$i6%e8#n#c{xcFakN^TF6C|g zP{6bxDz%WGXJ(%!vy_$!d&VvWHlTr2sL0yW!dU8nwR_5cr&|j_>SiUfUb9dl(V5-T zVmK@v`6@#{ZyilF=#~7m3V%)m2|nSBWg`5skp}cFiNNzd1RwSDKuY$K+rANcp{Mb` z{@r`;qvloLIv;fA<1wXTr{>0HoSPH7ywl7tb>mmo6mo;Jl55ZX?c(e$pie(F_x>5@ zu8GAge+PT)P&vMM*Q%azTCH=tm7I2J@l^{Z+S->!{EHsjR^YFGn(R9_?}pXy%~tXc z{^PQLm^0D#!)ca(o$5K;mq-L_d%p2^-j>y0cE)eroB!&qrx)}(HBtQf`gUD+-H+?~ z=C*o02fEvwL)H1r{>PW>%|!7*$IB!Bu972VMq2SnEmIcZK^&)sZZwX!C(C|Yq)4>O- z=-^y}8qi0_v_^JDqxx2ZsBVG$4`c4gqgmu%XofkBv1$E7?P}5)#kJ76!Lfey`&t1% zAlt}yc#ZJ7h+BWg&L1`XU#GEOR8#m<3HaNhb|^p3)4m(hx_Xp+G^OA_bXz}tvr6Cy zb_5L76YbmiA7Dxn>QkhOikzG#m)rnwz!{u_y)Dd1lHY{hgy)VFD( zCU4xB8tUFUJc{#lC;njAOshvwk|9yb#-7 zUb1X*&fW-rN&fOXwX=RO3-mqu4}Uqlvw8k+=IVo!5AR(V-9MY|eOqsQ_iv7~Q#n2J zuO8e<({|5Yvh3U{xyZ(+$nKp}m=*i(%<5xYPygh>1sA5(ow#M%xm9Pbwe}~^xo+Ra zHNo+B|0UN{`&_~~J>T_iZovG@44U{Ao#&t5^?v=2hwB#~_j*=ecg?GZUby>E_2V5G z#1V7_?3w1W}NDqtTFovvb3+iQN+B= zlH^HDNHk2TCV9fv2N%AXV-DVJ%h{1a3H4deP#-LkuQ-PueOrg5be1K!L%D%eo-2hu z63G{XxNpM$L2BZ~GsQ`z3Oopsh6{4r@S`bYZ9q;oF#h3v%*dyU{HTwBIQD)0I3?kq zuZ19&Pvj%{68uep5*qeXT9HU19^fZ#=yg`U6b}c$X*J9snaei_;HTH-w-kau2xu6U z*|eE6@HyH)L#jsF`go1E2MAXgbC9$EzRNWXdLZB2D~S3-)#26PS;9UVLY!ofZ(wDI z4O;%g9DW2taTw*7GltLD`zZ8_M?0;YUoE#t-$K1|966 zJaC>feL}-dORw;k6Cn>LREN%mBb~I4IwtaTIIN&f48KFThXggWAz!4h*&P~JgV`Oq zJX7sLjDlWa^#^pWcwbvW2DJxFnp^06e6wm}(f#kEu=-edWh^!vJ62u${htmuU$|;} zIsVM#oYfnb=D}a0>f6JevDh84V=HT8za0J{@OQ<$s<##_oX$*q-Z=W~U+7tv-#t9@ z*u2Xg+w$PfVA_jw#a^{fyxe8@+vw`Q1pW36cTLW_?3=%O@Y)MkZ#g0Ue!Q){RT+N8 z*7W2@w!G`E-JN@Kdn(a=dVxE-&Ak7$+x~HeJa5ewh{)15&Dd%D)Vg&ns1CC<#8_A9`EhvCsn=+l(Z zt5%Z8TKTB{9I;{p)!-g_L=nS$Az2{-6vIAZ=6-e*^N>QB@ZU7=J3=CF&}-?0TOX~g zK%3@4*i1nxBshmTYv>iA3i#2RHc~oNya71X-8v)YyW%P%sg>8 zDe8fP3Sw#a`*%pZS-QR(Xx15UR>2F}C~Y9sLOc(8Bar2>1a%{bAuy-V!?Ku3NmRO# z|3DbU$ss0EBm$Sne7~o~S0EIv0$$s>r)@vLnF{sBM_E7{hB@7Y_v&PDI>^%pl?tlM;LG7F z3);thIn>JgM2K+=*d6qiR^_;r536K3=-IuG)V!1BwBsVaWl9V#_`SEa@$aK>`ZK@& z>)?%<%jo9X6KTx9Q;ndj_dao#8viy>?3u#M(hrZXpt*~W3*hh5(iOC3{Ncye44A&H z!gn0Kab)2g9v9!+)d2jBzc`mN%E5ff8~gU}K3v%N`enyICGoQ#3CzDw>rT+~+ z9qP#Bzek_g_?h23IUDoO;BTKhTAlcX>$;1O641TAmqzdN-s}70{wE7l^Dk3<=ZpLH z31ffrprR1pH6WfNTAKN1@aO3>+SBJPzmIc256*Pq{!J-;FY*wg5jxq6@#h?JWH)&t z#2y9^=!inkiT;ED03_gYqf57I=RR;8@@kjC|vFB>|j7@>!V+D?h}HEh=U8;@p(}DV%G8 zXMFz)rYSz_AME304tp-0H#osL5y^?>4eZBD7ha*L5qEUM?_N63q|GyrDKXdk%>+_z0<6b^}Ys4n-V& z3;j$6{C!Iw!M>lvxV3cP(%NCx=)7GO(iQE;+eZ$df0mk8gPt3C4}Tvp`u@jx`~1J{ zf6mU&%m2LnU+g2E{}*xkT5R@=OMelnGJg?*Az%FaA_8AT;Qwg^F1LRxetyk=1KD0z A%>V!Z literal 7879 zcmeHMeQ;dWbzjF=K)`nC3|Q)A1~NEKr>&`>vVaauTab4$9$S@{x7XmW0W2+~BP+IedodYHjm5raTi*Ay`*hFg z@7}j^l7ISd|0t5)-o5wS^E>CBdp_>FdgS5z5{bl&#Fh5537>z_{>0`j?N8M`@IYPt zlKD?=+*0?*=C%!+pJ-`Wzq#(w^_!pC*w$LNxPHN+1&izMZr`|RUEPxUC3TB#U$}V5 z!X=9q*Vlb-{pOC1YuDF3^2FL7*DbF5-k;U2S^s3+z3Vn^0cYYXiP;cx?<1?0d}q=3 z7cW|L&uxjBiK{WRx^>IOEt}S_OU%L?^K)I|+OOF!=Hp|dBr&tbv&Xhcw*4_?dS*}F zjN86nZKwOc)s9-ewatNITHZX`HaN-utf#&;0Y1|86TojOXd@48}?7bB11uT+NdF zY{g-PzQNN0<=X_TpgccBD>yAM9z~Ykn>Bo}XT{)!z*hk|5$mTKs{H8+4STAjioWM- z36e@HSvLB`_>hjTE^7{oVx$NY=RzYl#@AY$qL8$Hoc#jEG&c&89=j@{k@UEx}1n2_=6K= zb^#aW=p?0-hBHCatR_z9uw9M3lyji>R+?aE(k-l*4k&h+`#b?X1zY~c_Te_zg^H)R z$LML-W$Zu2mLFdu;A8$6uSjWE`xznyt55s2v~s~03eO+mqV*G{+-W*@wi0)w-TOJe zN9!n6RQn1JpVkWvANd!+BUw%bv3+}&ZMtT(>-^gN@9L%WkMEpKO+LFN_)9uJ`;oEOK1&n#d#lgy-tq3I zS^C*8&px+x#-%xpb0)4|IgfIX-?HKd4Z*xYvHuVykL_sr?sNR>m*(6$i)-JzaqmfE z4{`UEf$(~E9GOaPfAQ3JYbR%HIyV2d^RtBEM#ryu?mb;h#!wd2HU^$9H;f(NDuFv<{ zdCKqbJSu9hO6LM8ii%QaI=JE+zYYRXS&oe{_S1fuy)YGO4}Cu)F|5)(h+vj69j;>e zLC2r3F(DlaUaqCxUl;Q&j?_)m+9I(3f>LBcFy4P96sfRc;@`_gGG+YU7v%EeF+Mop zcT#sj3Xegqdb>a&>j}2>VkAxe$Y~d|Leb|$$%VOA4jq=hl`F`nB-F|(6^tOtD2hDJ zYCVyT@u3GesogR8Vj@>!34g`9!+8H4C`v#p`oIaXKGr&iB#3oce#baB%Z}a*0z)7W zA|6jmeVK07Nr*)a(7=y+N}S^WK^o^H#Lq!?NJcqbQHuC!E=tJ-l_R~dA+yKm!R0Z? z#e8U4@t?*DBE&PaU_JnP??J_$q*75v;a;c*=t9~z>*s}rzp=!EkWKeT#t)3%2Sp)Z zSwh;#S^SYsi4}IfU+Lg+)=sucA4aQ4za2z0{!;QGy81l$Yi`|k_tM$j#G|?G43VD>fghq9Nf9D63Yw=-U zcqmIpjr3rDDn*n5>gtIaIa8&(LnMa7V$OJJx=FLtk17*?QDp23eu-A53PrS3GI)j1 z_<41IFktF;QACPRqe?m%rlpJ=lSjet>LPoBr3;@3cqDQ$SYW|UBrIJE5mD$12R)+B zHXV(38pA@5nC_$C`G_yUKB>NPN;kQC5Iv6R8~tQs2!EBUDV#2@VCL+`47U^nRi?&$C3N&&7ld=i|% z{VjaSZc(fBz9s>?G4&3!Zm8l*AO6k4%_2T?BIQOSI_HO_lp|yT@{79DPg^tPm{Pu< zvicgm561dY+UPG(*O4bwSRy~%D~umg&hkr^z-NtVh@&>FJ1Tfcno~+y|3^+E1-hwc z`=XMIxyaW>{}`XC-K?gY&%vK6sDBgjxSXaxnIfflmD|Jgd9r?}{I?fcB}b_&)(H&c zleg21cps10TRt$@LYi|WoU@+ksm7lRy`nDX_9-2xigv(L$d{)amBu*YcUdCmee}uJ z`HA<#ZzO(DYM*?zG-t-dh1oR;Tfe$*OROBt4_`Seah^aQ(toqf&`a0Xtc>*(S3Dae zZ>_1lVjFK?`ES>xCNGRH*cO#*@2Z)O-)BbU>t|-&b)IVyyRRx$&Wz8Q0ea$A%RhVa z!4rd>ot>-x?u37A-7}p#Ca!Y__bolMeD}O2W4~gmd2stJx4dz-wfO_@#EJ&@^<}&F zyt?ntdmG~TwfhpW&{Bng$)otSb^)(xVP|Rkd&(-Oh1(8aAWxB7&HtKryB;?>DMtRH z5zOD`QMQb1daxRQv7Oz*Nrm&7O0jOET|Ocp9@kn5;7cumTM>x1B;$X!A za3|{=&SRkqziH#QgdoQ+bj1E)_;anH-mG9kM$`>(~sHaDZ`g~+VTsBnv6f-e1|>=IxDSz8oQ9^ zaWM*2PWhoTh4bi`VC_|6|A*}{os2%-I9lc$vBExMKfsGV>U*`HYeW5qKF0nkKTVg^ z9J0H#j~~|=$5j&(0Wmq5q)~$hO#bAYyltEp?(J(r{4QkL`8=mO!m4~DM{tqOsbG-b z-#@A5(1or@k9=V9or5nYM`wOkZrOQZ&0Ax49C?%Odn=f`=={LU8Fqb*^5w(LS3bL~ zcju8m-adB6iLdA^vhNys-Vl2j5ulEg15e5r1#~XMdq#@eg-ovz9+){1gxDHrBxr>I#g56J-%L zjqTfK>@%YA=0_DZi~{yUg1H?5KHyt>D@3h~)PYO{zsq2M`y(yKZTywE%kXDSRMUU> z(U9&Fq$2DiHWU0qcq~pfQaO&y{<#D&H)-O?;xj38uEWM7wK^OX4ycIrYDT>_bcwoX z&s{#r4I%2Yah9^?Y5jFbl93Nc9YAR<5eFTuS;SwQSHLfj?me7)m?koKyV6E(MazQK zD>W=ei2c{zH{)NQ(2&Q|CokZGe!svxmcTXn0{THa$mKFOp%t+`P$MYawTgr3k`Ptm9Ya4#5Ts}4L*b2k% z@=DMTzgG$_&ZTY3`KGCxZkf|_=lSk=2`gXoy{LcpjeU@RKL6ypJL|i{-Q7Q}7r(;! z^M+XeFGjb2{@`Hoi*FAN4z9?$Z)UTFZ2dj|p53jC{32Fr&J&K5W2Rr`Pwn`Cnzo;@ zwB*N1CplfJXxP7r)*yX%#q=`6j}daE48vY+YvxCO*h=G3ITx|Pv-viYn`AX4&OCigsprXDhdNt7C2uj6X&oejbYywDntJ@tD>==8E-|d*MMm) z*mWJW{Ch*3fBl>*m%=N zqNQp7$8u2PY`)xEagF|Xb%^>K8mxXum6GsL8isw5Q;U9RUL!b#2YkRgoJ2kL&xUzX z`D?_#KldUjo^)t~Fm%-4&rsPO*F2?Ky}T;vP(I$ze#+0W!O?O#2XgiW_oFb%DW@Ol zg)cU9=w5fq=*8`o!+$jT*o@Z(@`u8wYs~#iVoT7;x+Rs$nD?(z^6=7gv%mSq(c_1% zskx$h|MI7N;rdy#EPhMn@SUSK%=mA2-jTUA_iw`eOYKWv&EnYD)irGRi^=23(Hov9 z4&88M?pN;pCGKCI+jQ&&zEC@JW_5ihrrsAFq5J7e`Q{C2+`shT{-yr7>$*+nz>hpQ zxa;%g(SgAQ|J3T4`ckV9v@kH zEN9~#Lncwg^mJIUabeGpgw6Z%=SvHGX@UQz7P!j(ulV)we*mMNZ43Ya diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 2aa7fff..fa7e124 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for GR8RAM -Tue Apr 20 04:20:05 2021 +Tue Apr 20 05:43:09 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -22,8 +22,8 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 14. Hold: 'C25M' 15. Recovery: 'C25M' 16. Removal: 'C25M' - 17. Minimum Pulse Width: 'C25M' - 18. Minimum Pulse Width: 'PHI0' + 17. Minimum Pulse Width: 'PHI0' + 18. Minimum Pulse Width: 'C25M' 19. Setup Times 20. Hold Times 21. Clock to Output Times @@ -104,13 +104,13 @@ applicable agreement for further details. +------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ -+--------------------------------------------------+ -; Fmax Summary ; -+------------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+------------+------+ -; 103.27 MHz ; 103.27 MHz ; C25M ; ; -+------------+-----------------+------------+------+ ++-------------------------------------------------+ +; Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 92.22 MHz ; 92.22 MHz ; C25M ; ; ++-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -119,8 +119,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -9.005 ; -699.357 ; -; PHI0 ; -0.425 ; -0.425 ; +; C25M ; -9.844 ; -724.767 ; +; PHI0 ; -0.019 ; -0.019 ; +-------+--------+---------------+ @@ -129,8 +129,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; PHI0 ; -0.248 ; -0.248 ; -; C25M ; 1.400 ; 0.000 ; +; PHI0 ; -0.952 ; -0.952 ; +; C25M ; 1.385 ; 0.000 ; +-------+--------+---------------+ @@ -139,7 +139,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -4.412 ; -127.948 ; +; C25M ; -4.389 ; -127.281 ; +-------+--------+---------------+ @@ -148,7 +148,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 4.858 ; 0.000 ; +; C25M ; 4.835 ; 0.000 ; +-------+-------+---------------+ @@ -157,8 +157,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ +; PHI0 ; -3.000 ; -3.000 ; ; C25M ; -2.289 ; -2.289 ; -; PHI0 ; -2.289 ; -2.289 ; +-------+--------+---------------+ @@ -167,106 +167,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; -9.005 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.894 ; -; -8.961 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.850 ; -; -8.953 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.842 ; -; -8.919 ; nWEr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.808 ; -; -8.916 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.805 ; -; -8.897 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.786 ; -; -8.683 ; IS.state_bit_1 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.350 ; -; -8.631 ; IS.state_bit_1 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.298 ; -; -8.625 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.292 ; -; -8.571 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.460 ; -; -8.495 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.384 ; -; -8.451 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.118 ; -; -8.428 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.317 ; -; -8.422 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.422 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; -; -8.419 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.419 ; nWEr ; Addr[9] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; -; -8.387 ; nWEr ; AddrIncH ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.276 ; -; -8.301 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.968 ; -; -8.289 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.956 ; -; -8.284 ; nWEr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.173 ; -; -8.265 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.154 ; -; -8.261 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.261 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; -; -8.245 ; ROMSpecRDr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.134 ; -; -8.237 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.904 ; -; -8.231 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.898 ; -; -8.226 ; RAMSpecSELr ; SA[9]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.115 ; -; -8.222 ; RAMSpecSELr ; SA[11]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.111 ; -; -8.222 ; RAMSpecSELr ; SA[12]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.111 ; -; -8.177 ; IS.state_bit_1 ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.844 ; -; -8.116 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; -; -8.115 ; PS[0] ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.782 ; -; -8.106 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 5.995 ; -; -8.104 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 5.993 ; -; -7.954 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.621 ; -; -7.928 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.595 ; -; -7.900 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 5.789 ; -; -7.896 ; PS[1] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.563 ; -; -7.878 ; PS[1] ; IOROMEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.545 ; -; -7.844 ; LS[1] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.511 ; -; -7.817 ; IS.state_bit_1 ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.484 ; -; -7.817 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.484 ; -; -7.804 ; REGEN ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.804 ; REGEN ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; -; -7.801 ; REGEN ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.801 ; REGEN ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; -; -7.785 ; LS[10] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.452 ; -; -7.783 ; IS.state_bit_0 ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.450 ; -; -7.775 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.442 ; -; -7.769 ; REGEN ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 8.436 ; -; -7.762 ; IS.state_bit_1 ; SA[4]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.429 ; -; -7.760 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.427 ; -; -7.741 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.408 ; -; -7.706 ; PS[3] ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.373 ; -; -7.704 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.371 ; -; -7.680 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.347 ; -; -7.668 ; PS[0] ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.335 ; -; -7.662 ; PS[3] ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.329 ; -; -7.654 ; PS[3] ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.321 ; -; -7.645 ; PS[1] ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.312 ; -; -7.643 ; REGEN ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.643 ; REGEN ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; -; -7.642 ; LS[1] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.309 ; +; -9.844 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.511 ; +; -9.419 ; IS.state_bit_1 ; SA[4]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.086 ; +; -9.377 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.044 ; +; -9.334 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 6.645 ; +; -9.318 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 6.629 ; +; -9.258 ; IS.state_bit_0 ; SA[3]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.925 ; +; -9.124 ; IS.state_bit_1 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.791 ; +; -9.102 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.769 ; +; -9.057 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 6.368 ; +; -9.052 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 6.363 ; +; -8.986 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 6.297 ; +; -8.934 ; IS.state_bit_1 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.601 ; +; -8.932 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 6.243 ; +; -8.901 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.568 ; +; -8.869 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 6.180 ; +; -8.852 ; nWEr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 6.163 ; +; -8.841 ; IS.state_bit_1 ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.508 ; +; -8.833 ; IS.state_bit_0 ; SA[4]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.500 ; +; -8.807 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 6.118 ; +; -8.804 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 6.115 ; +; -8.791 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.458 ; +; -8.646 ; REGEN ; RDD[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.313 ; +; -8.640 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.951 ; +; -8.603 ; LS[6] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.270 ; +; -8.582 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.249 ; +; -8.582 ; PS[1] ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.249 ; +; -8.541 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.852 ; +; -8.538 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.205 ; +; -8.536 ; PS[1] ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.203 ; +; -8.516 ; IS.state_bit_0 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; +; -8.516 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.827 ; +; -8.516 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.827 ; +; -8.516 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.827 ; +; -8.516 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.827 ; +; -8.516 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.827 ; +; -8.516 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.827 ; +; -8.516 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.827 ; +; -8.516 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.827 ; +; -8.469 ; REGEN ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.136 ; +; -8.469 ; REGEN ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.136 ; +; -8.469 ; REGEN ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.136 ; +; -8.469 ; REGEN ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.136 ; +; -8.469 ; REGEN ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.136 ; +; -8.469 ; REGEN ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.136 ; +; -8.469 ; REGEN ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.136 ; +; -8.469 ; REGEN ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.136 ; +; -8.467 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.134 ; +; -8.451 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.118 ; +; -8.445 ; LS[6] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.112 ; +; -8.444 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.755 ; +; -8.404 ; ROMSpecRDr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.715 ; +; -8.392 ; RAMSpecSELr ; SBA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.703 ; +; -8.378 ; RAMSpecSELr ; SBA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.689 ; +; -8.373 ; IS.state_bit_1 ; SBA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.040 ; +; -8.348 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.015 ; +; -8.305 ; RAMSpecSELr ; SA[11]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.616 ; +; -8.301 ; RAMSpecSELr ; SA[12]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.612 ; +; -8.298 ; RAMSpecSELr ; SA[9]~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.609 ; +; -8.296 ; LS[3] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.963 ; +; -8.272 ; nWEr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.583 ; +; -8.255 ; IS.state_bit_0 ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.922 ; +; -8.246 ; PS[1] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.913 ; +; -8.246 ; PS[1] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.913 ; +; -8.246 ; PS[1] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.913 ; +; -8.246 ; PS[1] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.913 ; +; -8.246 ; PS[1] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.913 ; +; -8.246 ; PS[1] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.913 ; +; -8.246 ; PS[1] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.913 ; +; -8.246 ; PS[1] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.913 ; +; -8.222 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.533 ; +; -8.222 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.533 ; +; -8.222 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.533 ; +; -8.222 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.533 ; +; -8.222 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.533 ; +; -8.222 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.533 ; +; -8.222 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.533 ; +; -8.222 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.533 ; +; -8.201 ; LS[0] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.868 ; +; -8.175 ; REGEN ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.842 ; +; -8.175 ; REGEN ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.842 ; +; -8.175 ; REGEN ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.842 ; +; -8.175 ; REGEN ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.842 ; +; -8.175 ; REGEN ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.842 ; +; -8.175 ; REGEN ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.842 ; +; -8.175 ; REGEN ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.842 ; +; -8.175 ; REGEN ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.842 ; +; -8.156 ; IS.state_bit_1 ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.823 ; +; -8.147 ; PS[1] ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.814 ; +; -8.138 ; LS[3] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.805 ; +; -8.114 ; nWEr ; Bank ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.425 ; +; -8.098 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.409 ; +; -8.098 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.409 ; +; -8.098 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.409 ; +; -8.098 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.409 ; +; -8.098 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.409 ; +; -8.098 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.409 ; +; -8.098 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.409 ; +; -8.098 ; nWEr ; Addr[9] ; PHI0 ; C25M ; 1.000 ; -3.356 ; 5.409 ; +; -8.095 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.762 ; +; -8.067 ; REGEN ; Bank ; C25M ; C25M ; 1.000 ; 0.000 ; 8.734 ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -275,11 +275,11 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.425 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 3.870 ; -; -0.265 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 3.710 ; -; 0.296 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 3.149 ; -; 0.609 ; SetFWr[0] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 2.836 ; -; 0.694 ; SetFWr[1] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 2.751 ; +; -0.019 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 3.356 ; 4.042 ; +; 0.199 ; SetFWr[1] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 3.356 ; 3.824 ; +; 0.240 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 3.356 ; 3.783 ; +; 0.435 ; SetFWr[0] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 3.356 ; 3.588 ; +; 1.398 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 3.356 ; 2.625 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -288,11 +288,11 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.248 ; SetFWr[1] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 2.751 ; -; -0.163 ; SetFWr[0] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 2.836 ; -; 0.150 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 3.149 ; -; 0.711 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 3.710 ; -; 0.871 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 3.870 ; +; -0.952 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 3.356 ; 2.625 ; +; 0.011 ; SetFWr[0] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 3.356 ; 3.588 ; +; 0.206 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 3.356 ; 3.783 ; +; 0.247 ; SetFWr[1] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 3.356 ; 3.824 ; +; 0.465 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 3.356 ; 4.042 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -301,106 +301,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.400 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.621 ; -; 1.411 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.632 ; -; 1.412 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; -; 1.414 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.635 ; -; 1.420 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; -; 1.420 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; -; 1.640 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.861 ; -; 1.782 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.003 ; -; 1.822 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.043 ; -; 1.930 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.151 ; -; 1.933 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.154 ; -; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; -; 2.075 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.296 ; -; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; +; 1.385 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.606 ; +; 1.403 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.624 ; +; 1.408 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.629 ; +; 1.411 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.632 ; +; 1.420 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; +; 1.422 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.643 ; +; 1.528 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.207 ; +; 1.639 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.860 ; +; 1.669 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.890 ; +; 1.693 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.914 ; +; 1.799 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.020 ; +; 1.830 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.051 ; +; 1.837 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.058 ; +; 1.854 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.075 ; +; 1.929 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.150 ; +; 1.970 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.191 ; +; 1.980 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.201 ; +; 1.988 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.209 ; +; 2.028 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.207 ; ; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.120 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.341 ; -; 2.123 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.344 ; -; 2.124 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; ; 2.125 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.127 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.127 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.137 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; -; 2.151 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.372 ; -; 2.155 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.376 ; -; 2.162 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.383 ; -; 2.164 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.385 ; -; 2.175 ; PS[3] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.396 ; -; 2.215 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.436 ; -; 2.222 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; -; 2.226 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.905 ; -; 2.228 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.449 ; -; 2.230 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; +; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.132 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.353 ; +; 2.133 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; +; 2.134 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; +; 2.135 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; +; 2.139 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.360 ; +; 2.142 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.363 ; +; 2.142 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.363 ; +; 2.143 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ; +; 2.145 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.189 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.410 ; +; 2.221 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; +; 2.221 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; +; 2.221 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; +; 2.222 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; +; 2.230 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.230 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.231 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.232 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; -; 2.240 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; -; 2.241 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.248 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; -; 2.249 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.249 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.236 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.457 ; +; 2.239 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.460 ; +; 2.239 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.460 ; +; 2.241 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.249 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; ; 2.250 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.251 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.251 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.252 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; -; 2.260 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; -; 2.262 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.263 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.484 ; -; 2.267 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.488 ; -; 2.285 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.506 ; -; 2.297 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.518 ; -; 2.421 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.642 ; -; 2.423 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.644 ; -; 2.532 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.753 ; -; 2.534 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.755 ; -; 2.537 ; PS[0] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.758 ; -; 2.538 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.759 ; -; 2.545 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.766 ; -; 2.559 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.780 ; -; 2.606 ; AddrIncL ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.827 ; -; 2.680 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.901 ; -; 2.699 ; PS[2] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.920 ; -; 2.702 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.923 ; -; 2.703 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.924 ; -; 2.726 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.905 ; -; 2.826 ; PHI0r1 ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.047 ; -; 2.860 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.081 ; -; 2.905 ; IS.state_bit_0 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 3.126 ; -; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; +; 2.253 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; +; 2.260 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; +; 2.261 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.261 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.264 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ; +; 2.304 ; SetFWLoaded ; SetFWr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.525 ; +; 2.304 ; SetFWLoaded ; SetFWr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.525 ; +; 2.327 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.548 ; +; 2.333 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.554 ; +; 2.343 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.564 ; +; 2.386 ; PS[3] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.607 ; +; 2.394 ; PS[3] ; FCKout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.615 ; +; 2.505 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.726 ; +; 2.511 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.732 ; +; 2.603 ; PS[3] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.824 ; +; 2.603 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.824 ; +; 2.665 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.886 ; +; 2.679 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.900 ; +; 2.690 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.911 ; +; 2.910 ; PS[0] ; FCKout ; C25M ; C25M ; 0.000 ; 0.000 ; 3.131 ; +; 2.915 ; PS[0] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.136 ; ; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.956 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; +; 2.949 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.957 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.959 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; -; 3.011 ; IS.state_bit_0 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.232 ; -; 3.014 ; IS.state_bit_0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.235 ; -; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; -; 3.060 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; +; 2.964 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.185 ; +; 2.965 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.186 ; +; 2.974 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.195 ; +; 2.977 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.198 ; +; 2.983 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.204 ; +; 3.014 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.235 ; +; 3.021 ; IS.state_bit_2 ; IS.state_bit_2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.242 ; ; 3.060 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; ; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; LS[8] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; LS[4] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -409,35 +409,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; -4.412 ; nRESr ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Bank ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; AddrIncM ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; -; -4.412 ; nRESr ; AddrIncL ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.389 ; nRESr ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Bank ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; AddrIncM ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +; -4.389 ; nRESr ; AddrIncL ; C25M ; C25M ; 1.000 ; 0.000 ; 5.056 ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -446,38 +446,61 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 4.858 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; -; 4.858 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.835 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +; 4.835 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 5.056 ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ++--------------------------------------------------------------------------------------------------+ +; Minimum Pulse Width: 'PHI0' ; ++--------+--------------+----------------+------------------+-------+------------+-----------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+-----------------+ +; -3.000 ; 1.000 ; 4.000 ; Port Rate ; PHI0 ; Rise ; PHI0 ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAMSpecSELr ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAMSpecSELr ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; ROMSpecRDr ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; ROMSpecRDr ; +; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; nWEr ; +; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; nWEr ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; PHI0|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; PHI0|combout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAMSpecSELr|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAMSpecSELr|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; ROMSpecRDr|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; ROMSpecRDr|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; nWEr|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; nWEr|clk ; ++--------+--------------+----------------+------------------+-------+------------+-----------------+ + + +-------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'C25M' ; +--------+--------------+----------------+------------------+-------+------------+----------------+ @@ -586,93 +609,70 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+--------------+----------------+------------------+-------+------------+----------------+ -+--------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'PHI0' ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ -; -2.289 ; 1.000 ; 3.289 ; Port Rate ; PHI0 ; Rise ; PHI0 ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAMSpecSELr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAMSpecSELr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; ROMSpecRDr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; ROMSpecRDr ; -; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; nWEr ; -; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; nWEr ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; PHI0|combout ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; PHI0|combout ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAMSpecSELr|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAMSpecSELr|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; ROMSpecRDr|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; ROMSpecRDr|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; nWEr|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; nWEr|clk ; -+--------+--------------+----------------+------------------+-------+------------+-----------------+ - - +-------------------------------------------------------------------------+ ; Setup Times ; +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; 4.236 ; 4.236 ; Rise ; C25M ; -; MOSI ; C25M ; 4.174 ; 4.174 ; Rise ; C25M ; -; PHI0 ; C25M ; 2.780 ; 2.780 ; Rise ; C25M ; -; RA[*] ; C25M ; 13.704 ; 13.704 ; Rise ; C25M ; -; RA[0] ; C25M ; 9.040 ; 9.040 ; Rise ; C25M ; -; RA[1] ; C25M ; 10.111 ; 10.111 ; Rise ; C25M ; -; RA[2] ; C25M ; 11.221 ; 11.221 ; Rise ; C25M ; -; RA[3] ; C25M ; 11.322 ; 11.322 ; Rise ; C25M ; -; RA[4] ; C25M ; 6.069 ; 6.069 ; Rise ; C25M ; -; RA[5] ; C25M ; 5.790 ; 5.790 ; Rise ; C25M ; -; RA[6] ; C25M ; 7.139 ; 7.139 ; Rise ; C25M ; -; RA[7] ; C25M ; 10.088 ; 10.088 ; Rise ; C25M ; -; RA[8] ; C25M ; 13.349 ; 13.349 ; Rise ; C25M ; -; RA[9] ; C25M ; 13.704 ; 13.704 ; Rise ; C25M ; -; RA[10] ; C25M ; 12.357 ; 12.357 ; Rise ; C25M ; -; RA[11] ; C25M ; 12.145 ; 12.145 ; Rise ; C25M ; -; RA[12] ; C25M ; 12.246 ; 12.246 ; Rise ; C25M ; -; RA[13] ; C25M ; 11.874 ; 11.874 ; Rise ; C25M ; -; RA[14] ; C25M ; 12.544 ; 12.544 ; Rise ; C25M ; -; RA[15] ; C25M ; 11.995 ; 11.995 ; Rise ; C25M ; -; RD[*] ; C25M ; 6.903 ; 6.903 ; Rise ; C25M ; -; RD[0] ; C25M ; 4.401 ; 4.401 ; Rise ; C25M ; -; RD[1] ; C25M ; 4.653 ; 4.653 ; Rise ; C25M ; -; RD[2] ; C25M ; 3.968 ; 3.968 ; Rise ; C25M ; -; RD[3] ; C25M ; 4.146 ; 4.146 ; Rise ; C25M ; -; RD[4] ; C25M ; 4.101 ; 4.101 ; Rise ; C25M ; -; RD[5] ; C25M ; 4.868 ; 4.868 ; Rise ; C25M ; -; RD[6] ; C25M ; 4.516 ; 4.516 ; Rise ; C25M ; -; RD[7] ; C25M ; 6.903 ; 6.903 ; Rise ; C25M ; -; SD[*] ; C25M ; 5.643 ; 5.643 ; Rise ; C25M ; -; SD[0] ; C25M ; 4.467 ; 4.467 ; Rise ; C25M ; -; SD[1] ; C25M ; 5.643 ; 5.643 ; Rise ; C25M ; -; SD[2] ; C25M ; 3.772 ; 3.772 ; Rise ; C25M ; -; SD[3] ; C25M ; 3.824 ; 3.824 ; Rise ; C25M ; -; SD[4] ; C25M ; 4.593 ; 4.593 ; Rise ; C25M ; -; SD[5] ; C25M ; 4.266 ; 4.266 ; Rise ; C25M ; -; SD[6] ; C25M ; 3.851 ; 3.851 ; Rise ; C25M ; -; SD[7] ; C25M ; 3.789 ; 3.789 ; Rise ; C25M ; -; SetFW[*] ; C25M ; 3.175 ; 3.175 ; Rise ; C25M ; -; SetFW[0] ; C25M ; 2.614 ; 2.614 ; Rise ; C25M ; -; SetFW[1] ; C25M ; 3.175 ; 3.175 ; Rise ; C25M ; -; nDEVSEL ; C25M ; 8.361 ; 8.361 ; Rise ; C25M ; -; nIOSEL ; C25M ; 6.803 ; 6.803 ; Rise ; C25M ; -; nRES ; C25M ; 3.239 ; 3.239 ; Rise ; C25M ; -; RA[*] ; PHI0 ; 6.165 ; 6.165 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; 3.454 ; 3.454 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; 2.966 ; 2.966 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; 4.328 ; 4.328 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; 4.429 ; 4.429 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; 2.549 ; 2.549 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; 5.810 ; 5.810 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; 6.165 ; 6.165 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; 4.818 ; 4.818 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; 4.606 ; 4.606 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; 4.707 ; 4.707 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; 4.335 ; 4.335 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; 5.005 ; 5.005 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; 4.456 ; 4.456 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 1.098 ; 1.098 ; Rise ; PHI0 ; +; MISO ; C25M ; 4.359 ; 4.359 ; Rise ; C25M ; +; MOSI ; C25M ; 3.815 ; 3.815 ; Rise ; C25M ; +; PHI0 ; C25M ; 2.082 ; 2.082 ; Rise ; C25M ; +; RA[*] ; C25M ; 15.080 ; 15.080 ; Rise ; C25M ; +; RA[0] ; C25M ; 11.450 ; 11.450 ; Rise ; C25M ; +; RA[1] ; C25M ; 11.872 ; 11.872 ; Rise ; C25M ; +; RA[2] ; C25M ; 12.318 ; 12.318 ; Rise ; C25M ; +; RA[3] ; C25M ; 12.512 ; 12.512 ; Rise ; C25M ; +; RA[4] ; C25M ; 4.218 ; 4.218 ; Rise ; C25M ; +; RA[5] ; C25M ; 4.095 ; 4.095 ; Rise ; C25M ; +; RA[6] ; C25M ; 7.190 ; 7.190 ; Rise ; C25M ; +; RA[7] ; C25M ; 11.083 ; 11.083 ; Rise ; C25M ; +; RA[8] ; C25M ; 14.785 ; 14.785 ; Rise ; C25M ; +; RA[9] ; C25M ; 15.080 ; 15.080 ; Rise ; C25M ; +; RA[10] ; C25M ; 14.244 ; 14.244 ; Rise ; C25M ; +; RA[11] ; C25M ; 13.759 ; 13.759 ; Rise ; C25M ; +; RA[12] ; C25M ; 13.957 ; 13.957 ; Rise ; C25M ; +; RA[13] ; C25M ; 13.581 ; 13.581 ; Rise ; C25M ; +; RA[14] ; C25M ; 14.176 ; 14.176 ; Rise ; C25M ; +; RA[15] ; C25M ; 13.840 ; 13.840 ; Rise ; C25M ; +; RD[*] ; C25M ; 6.977 ; 6.977 ; Rise ; C25M ; +; RD[0] ; C25M ; 5.107 ; 5.107 ; Rise ; C25M ; +; RD[1] ; C25M ; 4.952 ; 4.952 ; Rise ; C25M ; +; RD[2] ; C25M ; 4.030 ; 4.030 ; Rise ; C25M ; +; RD[3] ; C25M ; 4.999 ; 4.999 ; Rise ; C25M ; +; RD[4] ; C25M ; 4.626 ; 4.626 ; Rise ; C25M ; +; RD[5] ; C25M ; 4.884 ; 4.884 ; Rise ; C25M ; +; RD[6] ; C25M ; 4.342 ; 4.342 ; Rise ; C25M ; +; RD[7] ; C25M ; 6.977 ; 6.977 ; Rise ; C25M ; +; SD[*] ; C25M ; 4.986 ; 4.986 ; Rise ; C25M ; +; SD[0] ; C25M ; 4.013 ; 4.013 ; Rise ; C25M ; +; SD[1] ; C25M ; 3.828 ; 3.828 ; Rise ; C25M ; +; SD[2] ; C25M ; 4.512 ; 4.512 ; Rise ; C25M ; +; SD[3] ; C25M ; 4.986 ; 4.986 ; Rise ; C25M ; +; SD[4] ; C25M ; 3.734 ; 3.734 ; Rise ; C25M ; +; SD[5] ; C25M ; 3.155 ; 3.155 ; Rise ; C25M ; +; SD[6] ; C25M ; 3.852 ; 3.852 ; Rise ; C25M ; +; SD[7] ; C25M ; 3.130 ; 3.130 ; Rise ; C25M ; +; SetFW[*] ; C25M ; 3.137 ; 3.137 ; Rise ; C25M ; +; SetFW[0] ; C25M ; 3.081 ; 3.081 ; Rise ; C25M ; +; SetFW[1] ; C25M ; 3.137 ; 3.137 ; Rise ; C25M ; +; nDEVSEL ; C25M ; 8.929 ; 8.929 ; Rise ; C25M ; +; nIOSEL ; C25M ; 6.968 ; 6.968 ; Rise ; C25M ; +; nRES ; C25M ; 4.261 ; 4.261 ; Rise ; C25M ; +; RA[*] ; PHI0 ; 6.194 ; 6.194 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; 3.047 ; 3.047 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; 3.453 ; 3.453 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; 3.907 ; 3.907 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; 4.101 ; 4.101 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; 2.197 ; 2.197 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; 5.899 ; 5.899 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; 6.194 ; 6.194 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; 5.358 ; 5.358 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; 4.873 ; 4.873 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; 5.071 ; 5.071 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; 4.695 ; 4.695 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; 5.290 ; 5.290 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; 4.954 ; 4.954 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 0.526 ; 0.526 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -681,65 +681,65 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; -3.682 ; -3.682 ; Rise ; C25M ; -; MOSI ; C25M ; -3.620 ; -3.620 ; Rise ; C25M ; -; PHI0 ; C25M ; -2.226 ; -2.226 ; Rise ; C25M ; -; RA[*] ; C25M ; -3.852 ; -3.852 ; Rise ; C25M ; -; RA[0] ; C25M ; -4.647 ; -4.647 ; Rise ; C25M ; -; RA[1] ; C25M ; -4.783 ; -4.783 ; Rise ; C25M ; -; RA[2] ; C25M ; -4.332 ; -4.332 ; Rise ; C25M ; -; RA[3] ; C25M ; -3.852 ; -3.852 ; Rise ; C25M ; -; RA[4] ; C25M ; -5.515 ; -5.515 ; Rise ; C25M ; -; RA[5] ; C25M ; -5.236 ; -5.236 ; Rise ; C25M ; -; RA[6] ; C25M ; -6.585 ; -6.585 ; Rise ; C25M ; -; RA[7] ; C25M ; -4.632 ; -4.632 ; Rise ; C25M ; -; RA[8] ; C25M ; -4.901 ; -4.901 ; Rise ; C25M ; -; RA[9] ; C25M ; -5.857 ; -5.857 ; Rise ; C25M ; -; RA[10] ; C25M ; -5.398 ; -5.398 ; Rise ; C25M ; -; RA[11] ; C25M ; -4.066 ; -4.066 ; Rise ; C25M ; -; RA[12] ; C25M ; -9.038 ; -9.038 ; Rise ; C25M ; -; RA[13] ; C25M ; -8.666 ; -8.666 ; Rise ; C25M ; -; RA[14] ; C25M ; -9.336 ; -9.336 ; Rise ; C25M ; -; RA[15] ; C25M ; -8.787 ; -8.787 ; Rise ; C25M ; -; RD[*] ; C25M ; -2.106 ; -2.106 ; Rise ; C25M ; -; RD[0] ; C25M ; -2.689 ; -2.689 ; Rise ; C25M ; -; RD[1] ; C25M ; -2.558 ; -2.558 ; Rise ; C25M ; -; RD[2] ; C25M ; -2.686 ; -2.686 ; Rise ; C25M ; -; RD[3] ; C25M ; -2.620 ; -2.620 ; Rise ; C25M ; -; RD[4] ; C25M ; -2.645 ; -2.645 ; Rise ; C25M ; -; RD[5] ; C25M ; -2.677 ; -2.677 ; Rise ; C25M ; -; RD[6] ; C25M ; -2.106 ; -2.106 ; Rise ; C25M ; -; RD[7] ; C25M ; -2.154 ; -2.154 ; Rise ; C25M ; -; SD[*] ; C25M ; -3.218 ; -3.218 ; Rise ; C25M ; -; SD[0] ; C25M ; -3.913 ; -3.913 ; Rise ; C25M ; -; SD[1] ; C25M ; -5.089 ; -5.089 ; Rise ; C25M ; -; SD[2] ; C25M ; -3.218 ; -3.218 ; Rise ; C25M ; -; SD[3] ; C25M ; -3.270 ; -3.270 ; Rise ; C25M ; -; SD[4] ; C25M ; -4.039 ; -4.039 ; Rise ; C25M ; -; SD[5] ; C25M ; -3.712 ; -3.712 ; Rise ; C25M ; -; SD[6] ; C25M ; -3.297 ; -3.297 ; Rise ; C25M ; -; SD[7] ; C25M ; -3.235 ; -3.235 ; Rise ; C25M ; -; SetFW[*] ; C25M ; -2.060 ; -2.060 ; Rise ; C25M ; -; SetFW[0] ; C25M ; -2.060 ; -2.060 ; Rise ; C25M ; -; SetFW[1] ; C25M ; -2.621 ; -2.621 ; Rise ; C25M ; -; nDEVSEL ; C25M ; -2.931 ; -2.931 ; Rise ; C25M ; -; nIOSEL ; C25M ; -6.016 ; -6.016 ; Rise ; C25M ; -; nRES ; C25M ; -2.685 ; -2.685 ; Rise ; C25M ; -; RA[*] ; PHI0 ; -0.955 ; -0.955 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; -2.900 ; -2.900 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; -2.412 ; -2.412 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; -3.774 ; -3.774 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; -3.875 ; -3.875 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; -1.995 ; -1.995 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; -2.159 ; -2.159 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; -2.514 ; -2.514 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; -1.167 ; -1.167 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; -0.955 ; -0.955 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; -3.055 ; -3.055 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; -2.683 ; -2.683 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; -3.353 ; -3.353 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; -2.804 ; -2.804 ; Rise ; PHI0 ; -; nWE ; PHI0 ; -0.009 ; -0.009 ; Rise ; PHI0 ; +; MISO ; C25M ; -3.805 ; -3.805 ; Rise ; C25M ; +; MOSI ; C25M ; -3.261 ; -3.261 ; Rise ; C25M ; +; PHI0 ; C25M ; -1.528 ; -1.528 ; Rise ; C25M ; +; RA[*] ; C25M ; -3.541 ; -3.541 ; Rise ; C25M ; +; RA[0] ; C25M ; -4.263 ; -4.263 ; Rise ; C25M ; +; RA[1] ; C25M ; -5.567 ; -5.567 ; Rise ; C25M ; +; RA[2] ; C25M ; -6.235 ; -6.235 ; Rise ; C25M ; +; RA[3] ; C25M ; -6.303 ; -6.303 ; Rise ; C25M ; +; RA[4] ; C25M ; -3.664 ; -3.664 ; Rise ; C25M ; +; RA[5] ; C25M ; -3.541 ; -3.541 ; Rise ; C25M ; +; RA[6] ; C25M ; -6.636 ; -6.636 ; Rise ; C25M ; +; RA[7] ; C25M ; -4.295 ; -4.295 ; Rise ; C25M ; +; RA[8] ; C25M ; -6.632 ; -6.632 ; Rise ; C25M ; +; RA[9] ; C25M ; -4.838 ; -4.838 ; Rise ; C25M ; +; RA[10] ; C25M ; -7.310 ; -7.310 ; Rise ; C25M ; +; RA[11] ; C25M ; -4.354 ; -4.354 ; Rise ; C25M ; +; RA[12] ; C25M ; -9.256 ; -9.256 ; Rise ; C25M ; +; RA[13] ; C25M ; -8.880 ; -8.880 ; Rise ; C25M ; +; RA[14] ; C25M ; -9.475 ; -9.475 ; Rise ; C25M ; +; RA[15] ; C25M ; -9.139 ; -9.139 ; Rise ; C25M ; +; RD[*] ; C25M ; -2.059 ; -2.059 ; Rise ; C25M ; +; RD[0] ; C25M ; -2.588 ; -2.588 ; Rise ; C25M ; +; RD[1] ; C25M ; -2.059 ; -2.059 ; Rise ; C25M ; +; RD[2] ; C25M ; -2.146 ; -2.146 ; Rise ; C25M ; +; RD[3] ; C25M ; -2.715 ; -2.715 ; Rise ; C25M ; +; RD[4] ; C25M ; -3.241 ; -3.241 ; Rise ; C25M ; +; RD[5] ; C25M ; -2.090 ; -2.090 ; Rise ; C25M ; +; RD[6] ; C25M ; -3.446 ; -3.446 ; Rise ; C25M ; +; RD[7] ; C25M ; -3.443 ; -3.443 ; Rise ; C25M ; +; SD[*] ; C25M ; -2.576 ; -2.576 ; Rise ; C25M ; +; SD[0] ; C25M ; -3.459 ; -3.459 ; Rise ; C25M ; +; SD[1] ; C25M ; -3.274 ; -3.274 ; Rise ; C25M ; +; SD[2] ; C25M ; -3.958 ; -3.958 ; Rise ; C25M ; +; SD[3] ; C25M ; -4.432 ; -4.432 ; Rise ; C25M ; +; SD[4] ; C25M ; -3.180 ; -3.180 ; Rise ; C25M ; +; SD[5] ; C25M ; -2.601 ; -2.601 ; Rise ; C25M ; +; SD[6] ; C25M ; -3.298 ; -3.298 ; Rise ; C25M ; +; SD[7] ; C25M ; -2.576 ; -2.576 ; Rise ; C25M ; +; SetFW[*] ; C25M ; -2.527 ; -2.527 ; Rise ; C25M ; +; SetFW[0] ; C25M ; -2.527 ; -2.527 ; Rise ; C25M ; +; SetFW[1] ; C25M ; -2.583 ; -2.583 ; Rise ; C25M ; +; nDEVSEL ; C25M ; -4.081 ; -4.081 ; Rise ; C25M ; +; nIOSEL ; C25M ; -6.322 ; -6.322 ; Rise ; C25M ; +; nRES ; C25M ; -3.707 ; -3.707 ; Rise ; C25M ; +; RA[*] ; PHI0 ; -0.307 ; -0.307 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; -2.493 ; -2.493 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; -2.899 ; -2.899 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; -3.353 ; -3.353 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; -3.547 ; -3.547 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; -1.643 ; -1.643 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; -1.451 ; -1.451 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; -1.746 ; -1.746 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; -0.910 ; -0.910 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; -0.307 ; -0.307 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; -2.613 ; -2.613 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; -2.237 ; -2.237 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; -2.832 ; -2.832 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; -2.496 ; -2.496 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 0.547 ; 0.547 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -748,55 +748,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 9.305 ; 9.305 ; Rise ; C25M ; -; DQML ; C25M ; 9.483 ; 9.483 ; Rise ; C25M ; -; FCK ; C25M ; 9.008 ; 9.008 ; Rise ; C25M ; -; MOSI ; C25M ; 8.844 ; 8.844 ; Rise ; C25M ; -; RCKE ; C25M ; 9.013 ; 9.013 ; Rise ; C25M ; -; RD[*] ; C25M ; 9.287 ; 9.287 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.456 ; 8.456 ; Rise ; C25M ; -; RD[1] ; C25M ; 8.246 ; 8.246 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.212 ; 8.212 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.920 ; 8.920 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.200 ; 8.200 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.222 ; 8.222 ; Rise ; C25M ; -; RD[6] ; C25M ; 8.841 ; 8.841 ; Rise ; C25M ; -; RD[7] ; C25M ; 9.287 ; 9.287 ; Rise ; C25M ; -; RDdir ; C25M ; 13.847 ; 13.847 ; Rise ; C25M ; -; SA[*] ; C25M ; 9.270 ; 9.270 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.917 ; 8.917 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.665 ; 8.665 ; Rise ; C25M ; -; SA[2] ; C25M ; 9.270 ; 9.270 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.223 ; 8.223 ; Rise ; C25M ; -; SA[4] ; C25M ; 8.244 ; 8.244 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.115 ; 8.115 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.104 ; 8.104 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.229 ; 8.229 ; Rise ; C25M ; -; SA[9] ; C25M ; 8.975 ; 8.975 ; Rise ; C25M ; -; SA[10] ; C25M ; 6.951 ; 6.951 ; Rise ; C25M ; -; SA[11] ; C25M ; 8.922 ; 8.922 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.773 ; 8.773 ; Rise ; C25M ; -; SBA[*] ; C25M ; 8.182 ; 8.182 ; Rise ; C25M ; -; SBA[0] ; C25M ; 8.182 ; 8.182 ; Rise ; C25M ; -; SBA[1] ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; -; SD[*] ; C25M ; 9.179 ; 9.179 ; Rise ; C25M ; -; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; -; SD[1] ; C25M ; 9.179 ; 9.179 ; Rise ; C25M ; -; SD[2] ; C25M ; 7.562 ; 7.562 ; Rise ; C25M ; -; SD[3] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.135 ; 8.135 ; Rise ; C25M ; -; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; -; SD[7] ; C25M ; 7.556 ; 7.556 ; Rise ; C25M ; -; nCAS ; C25M ; 8.431 ; 8.431 ; Rise ; C25M ; -; nFCS ; C25M ; 8.772 ; 8.772 ; Rise ; C25M ; -; nRAS ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; -; nRCS ; C25M ; 9.195 ; 9.195 ; Rise ; C25M ; -; nRESout ; C25M ; 8.037 ; 8.037 ; Rise ; C25M ; -; nSWE ; C25M ; 8.335 ; 8.335 ; Rise ; C25M ; -; RDdir ; PHI0 ; 11.354 ; 11.354 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 11.354 ; 11.354 ; Fall ; PHI0 ; +; DQMH ; C25M ; 19.079 ; 19.079 ; Rise ; C25M ; +; DQML ; C25M ; 17.666 ; 17.666 ; Rise ; C25M ; +; FCK ; C25M ; 9.573 ; 9.573 ; Rise ; C25M ; +; MOSI ; C25M ; 17.464 ; 17.464 ; Rise ; C25M ; +; RCKE ; C25M ; 17.995 ; 17.995 ; Rise ; C25M ; +; RD[*] ; C25M ; 9.478 ; 9.478 ; Rise ; C25M ; +; RD[0] ; C25M ; 9.116 ; 9.116 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.956 ; 8.956 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.970 ; 8.970 ; Rise ; C25M ; +; RD[3] ; C25M ; 9.462 ; 9.462 ; Rise ; C25M ; +; RD[4] ; C25M ; 9.055 ; 9.055 ; Rise ; C25M ; +; RD[5] ; C25M ; 9.107 ; 9.107 ; Rise ; C25M ; +; RD[6] ; C25M ; 9.478 ; 9.478 ; Rise ; C25M ; +; RD[7] ; C25M ; 9.105 ; 9.105 ; Rise ; C25M ; +; RDdir ; C25M ; 22.038 ; 22.038 ; Rise ; C25M ; +; SA[*] ; C25M ; 18.534 ; 18.534 ; Rise ; C25M ; +; SA[0] ; C25M ; 18.235 ; 18.235 ; Rise ; C25M ; +; SA[1] ; C25M ; 17.665 ; 17.665 ; Rise ; C25M ; +; SA[2] ; C25M ; 17.703 ; 17.703 ; Rise ; C25M ; +; SA[3] ; C25M ; 17.758 ; 17.758 ; Rise ; C25M ; +; SA[4] ; C25M ; 18.165 ; 18.165 ; Rise ; C25M ; +; SA[5] ; C25M ; 18.193 ; 18.193 ; Rise ; C25M ; +; SA[6] ; C25M ; 17.255 ; 17.255 ; Rise ; C25M ; +; SA[7] ; C25M ; 17.616 ; 17.616 ; Rise ; C25M ; +; SA[8] ; C25M ; 18.534 ; 18.534 ; Rise ; C25M ; +; SA[9] ; C25M ; 17.294 ; 17.294 ; Rise ; C25M ; +; SA[10] ; C25M ; 18.531 ; 18.531 ; Rise ; C25M ; +; SA[11] ; C25M ; 17.275 ; 17.275 ; Rise ; C25M ; +; SA[12] ; C25M ; 17.285 ; 17.285 ; Rise ; C25M ; +; SBA[*] ; C25M ; 19.050 ; 19.050 ; Rise ; C25M ; +; SBA[0] ; C25M ; 19.050 ; 19.050 ; Rise ; C25M ; +; SBA[1] ; C25M ; 18.578 ; 18.578 ; Rise ; C25M ; +; SD[*] ; C25M ; 17.285 ; 17.285 ; Rise ; C25M ; +; SD[0] ; C25M ; 17.285 ; 17.285 ; Rise ; C25M ; +; SD[1] ; C25M ; 17.082 ; 17.082 ; Rise ; C25M ; +; SD[2] ; C25M ; 16.619 ; 16.619 ; Rise ; C25M ; +; SD[3] ; C25M ; 16.623 ; 16.623 ; Rise ; C25M ; +; SD[4] ; C25M ; 15.968 ; 15.968 ; Rise ; C25M ; +; SD[5] ; C25M ; 16.614 ; 16.614 ; Rise ; C25M ; +; SD[6] ; C25M ; 15.995 ; 15.995 ; Rise ; C25M ; +; SD[7] ; C25M ; 15.961 ; 15.961 ; Rise ; C25M ; +; nCAS ; C25M ; 17.650 ; 17.650 ; Rise ; C25M ; +; nFCS ; C25M ; 17.380 ; 17.380 ; Rise ; C25M ; +; nRAS ; C25M ; 17.831 ; 17.831 ; Rise ; C25M ; +; nRCS ; C25M ; 17.987 ; 17.987 ; Rise ; C25M ; +; nRESout ; C25M ; 17.759 ; 17.759 ; Rise ; C25M ; +; nSWE ; C25M ; 17.935 ; 17.935 ; Rise ; C25M ; +; RDdir ; PHI0 ; 19.265 ; 19.265 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 19.265 ; 19.265 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -805,55 +805,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 9.305 ; 9.305 ; Rise ; C25M ; -; DQML ; C25M ; 9.483 ; 9.483 ; Rise ; C25M ; -; FCK ; C25M ; 9.008 ; 9.008 ; Rise ; C25M ; -; MOSI ; C25M ; 8.844 ; 8.844 ; Rise ; C25M ; -; RCKE ; C25M ; 9.013 ; 9.013 ; Rise ; C25M ; -; RD[*] ; C25M ; 8.200 ; 8.200 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.456 ; 8.456 ; Rise ; C25M ; -; RD[1] ; C25M ; 8.246 ; 8.246 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.212 ; 8.212 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.920 ; 8.920 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.200 ; 8.200 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.222 ; 8.222 ; Rise ; C25M ; -; RD[6] ; C25M ; 8.841 ; 8.841 ; Rise ; C25M ; -; RD[7] ; C25M ; 9.287 ; 9.287 ; Rise ; C25M ; -; RDdir ; C25M ; 11.009 ; 11.009 ; Rise ; C25M ; -; SA[*] ; C25M ; 6.951 ; 6.951 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.917 ; 8.917 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.665 ; 8.665 ; Rise ; C25M ; -; SA[2] ; C25M ; 9.270 ; 9.270 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.223 ; 8.223 ; Rise ; C25M ; -; SA[4] ; C25M ; 8.244 ; 8.244 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.115 ; 8.115 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.104 ; 8.104 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.229 ; 8.229 ; Rise ; C25M ; -; SA[9] ; C25M ; 8.975 ; 8.975 ; Rise ; C25M ; -; SA[10] ; C25M ; 6.951 ; 6.951 ; Rise ; C25M ; -; SA[11] ; C25M ; 8.922 ; 8.922 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.773 ; 8.773 ; Rise ; C25M ; -; SBA[*] ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; -; SBA[0] ; C25M ; 8.182 ; 8.182 ; Rise ; C25M ; -; SBA[1] ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; -; SD[*] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; -; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; -; SD[1] ; C25M ; 9.179 ; 9.179 ; Rise ; C25M ; -; SD[2] ; C25M ; 7.562 ; 7.562 ; Rise ; C25M ; -; SD[3] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.135 ; 8.135 ; Rise ; C25M ; -; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; -; SD[7] ; C25M ; 7.556 ; 7.556 ; Rise ; C25M ; -; nCAS ; C25M ; 8.431 ; 8.431 ; Rise ; C25M ; -; nFCS ; C25M ; 8.772 ; 8.772 ; Rise ; C25M ; -; nRAS ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; -; nRCS ; C25M ; 9.195 ; 9.195 ; Rise ; C25M ; -; nRESout ; C25M ; 8.037 ; 8.037 ; Rise ; C25M ; -; nSWE ; C25M ; 8.335 ; 8.335 ; Rise ; C25M ; -; RDdir ; PHI0 ; 11.354 ; 11.354 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 11.354 ; 11.354 ; Fall ; PHI0 ; +; DQMH ; C25M ; 19.079 ; 19.079 ; Rise ; C25M ; +; DQML ; C25M ; 17.666 ; 17.666 ; Rise ; C25M ; +; FCK ; C25M ; 9.573 ; 9.573 ; Rise ; C25M ; +; MOSI ; C25M ; 17.464 ; 17.464 ; Rise ; C25M ; +; RCKE ; C25M ; 17.995 ; 17.995 ; Rise ; C25M ; +; RD[*] ; C25M ; 8.956 ; 8.956 ; Rise ; C25M ; +; RD[0] ; C25M ; 9.116 ; 9.116 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.956 ; 8.956 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.970 ; 8.970 ; Rise ; C25M ; +; RD[3] ; C25M ; 9.462 ; 9.462 ; Rise ; C25M ; +; RD[4] ; C25M ; 9.055 ; 9.055 ; Rise ; C25M ; +; RD[5] ; C25M ; 9.107 ; 9.107 ; Rise ; C25M ; +; RD[6] ; C25M ; 9.478 ; 9.478 ; Rise ; C25M ; +; RD[7] ; C25M ; 9.105 ; 9.105 ; Rise ; C25M ; +; RDdir ; C25M ; 20.404 ; 20.404 ; Rise ; C25M ; +; SA[*] ; C25M ; 17.255 ; 17.255 ; Rise ; C25M ; +; SA[0] ; C25M ; 18.235 ; 18.235 ; Rise ; C25M ; +; SA[1] ; C25M ; 17.665 ; 17.665 ; Rise ; C25M ; +; SA[2] ; C25M ; 17.703 ; 17.703 ; Rise ; C25M ; +; SA[3] ; C25M ; 17.758 ; 17.758 ; Rise ; C25M ; +; SA[4] ; C25M ; 18.165 ; 18.165 ; Rise ; C25M ; +; SA[5] ; C25M ; 18.193 ; 18.193 ; Rise ; C25M ; +; SA[6] ; C25M ; 17.255 ; 17.255 ; Rise ; C25M ; +; SA[7] ; C25M ; 17.616 ; 17.616 ; Rise ; C25M ; +; SA[8] ; C25M ; 18.534 ; 18.534 ; Rise ; C25M ; +; SA[9] ; C25M ; 17.294 ; 17.294 ; Rise ; C25M ; +; SA[10] ; C25M ; 18.531 ; 18.531 ; Rise ; C25M ; +; SA[11] ; C25M ; 17.275 ; 17.275 ; Rise ; C25M ; +; SA[12] ; C25M ; 17.285 ; 17.285 ; Rise ; C25M ; +; SBA[*] ; C25M ; 18.578 ; 18.578 ; Rise ; C25M ; +; SBA[0] ; C25M ; 19.050 ; 19.050 ; Rise ; C25M ; +; SBA[1] ; C25M ; 18.578 ; 18.578 ; Rise ; C25M ; +; SD[*] ; C25M ; 15.961 ; 15.961 ; Rise ; C25M ; +; SD[0] ; C25M ; 17.285 ; 17.285 ; Rise ; C25M ; +; SD[1] ; C25M ; 17.082 ; 17.082 ; Rise ; C25M ; +; SD[2] ; C25M ; 16.619 ; 16.619 ; Rise ; C25M ; +; SD[3] ; C25M ; 16.623 ; 16.623 ; Rise ; C25M ; +; SD[4] ; C25M ; 15.968 ; 15.968 ; Rise ; C25M ; +; SD[5] ; C25M ; 16.614 ; 16.614 ; Rise ; C25M ; +; SD[6] ; C25M ; 15.995 ; 15.995 ; Rise ; C25M ; +; SD[7] ; C25M ; 15.961 ; 15.961 ; Rise ; C25M ; +; nCAS ; C25M ; 17.650 ; 17.650 ; Rise ; C25M ; +; nFCS ; C25M ; 17.380 ; 17.380 ; Rise ; C25M ; +; nRAS ; C25M ; 17.831 ; 17.831 ; Rise ; C25M ; +; nRCS ; C25M ; 17.987 ; 17.987 ; Rise ; C25M ; +; nRESout ; C25M ; 17.759 ; 17.759 ; Rise ; C25M ; +; nSWE ; C25M ; 17.935 ; 17.935 ; Rise ; C25M ; +; RDdir ; PHI0 ; 19.265 ; 19.265 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 19.265 ; 19.265 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -862,44 +862,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.420 ; ; ; 8.420 ; -; INTin ; INTout ; 8.852 ; ; ; 8.852 ; -; nDEVSEL ; RD[0] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[1] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[2] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[3] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[4] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[5] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[6] ; 13.954 ; ; ; 13.954 ; -; nDEVSEL ; RD[7] ; 13.954 ; ; ; 13.954 ; -; nDEVSEL ; RDdir ; 15.512 ; ; ; 15.512 ; -; nIOSEL ; RD[0] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[1] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[2] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[3] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[4] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[5] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[6] ; 13.767 ; ; ; 13.767 ; -; nIOSEL ; RD[7] ; 13.767 ; ; ; 13.767 ; -; nIOSEL ; RDdir ; 15.325 ; ; ; 15.325 ; -; nIOSTRB ; RD[0] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[1] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[2] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[3] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[4] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[5] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[6] ; 13.620 ; ; ; 13.620 ; -; nIOSTRB ; RD[7] ; 13.620 ; ; ; 13.620 ; -; nIOSTRB ; RDdir ; 15.178 ; ; ; 15.178 ; -; nWE ; RD[0] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[1] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[2] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[3] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[4] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[5] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[6] ; 10.255 ; ; ; 10.255 ; -; nWE ; RD[7] ; 10.255 ; ; ; 10.255 ; -; nWE ; RDdir ; 11.813 ; ; ; 11.813 ; +; DMAin ; DMAout ; 18.256 ; ; ; 18.256 ; +; INTin ; INTout ; 18.481 ; ; ; 18.481 ; +; nDEVSEL ; RD[0] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[1] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[2] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[3] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[4] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[5] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[6] ; 13.627 ; ; ; 13.627 ; +; nDEVSEL ; RD[7] ; 13.627 ; ; ; 13.627 ; +; nDEVSEL ; RDdir ; 23.758 ; ; ; 23.758 ; +; nIOSEL ; RD[0] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[1] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[2] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[3] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[4] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[5] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[6] ; 13.626 ; ; ; 13.626 ; +; nIOSEL ; RD[7] ; 13.626 ; ; ; 13.626 ; +; nIOSEL ; RDdir ; 23.757 ; ; ; 23.757 ; +; nIOSTRB ; RD[0] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[1] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[2] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[3] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[4] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[5] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[6] ; 12.338 ; ; ; 12.338 ; +; nIOSTRB ; RD[7] ; 12.338 ; ; ; 12.338 ; +; nIOSTRB ; RDdir ; 22.469 ; ; ; 22.469 ; +; nWE ; RD[0] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[1] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[2] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[3] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[4] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[5] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[6] ; 10.042 ; ; ; 10.042 ; +; nWE ; RD[7] ; 10.042 ; ; ; 10.042 ; +; nWE ; RDdir ; 20.173 ; ; ; 20.173 ; +------------+-------------+--------+----+----+--------+ @@ -908,44 +908,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.420 ; ; ; 8.420 ; -; INTin ; INTout ; 8.852 ; ; ; 8.852 ; -; nDEVSEL ; RD[0] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[1] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[2] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[3] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[4] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[5] ; 13.908 ; ; ; 13.908 ; -; nDEVSEL ; RD[6] ; 13.954 ; ; ; 13.954 ; -; nDEVSEL ; RD[7] ; 13.954 ; ; ; 13.954 ; -; nDEVSEL ; RDdir ; 15.512 ; ; ; 15.512 ; -; nIOSEL ; RD[0] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[1] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[2] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[3] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[4] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[5] ; 13.721 ; ; ; 13.721 ; -; nIOSEL ; RD[6] ; 13.767 ; ; ; 13.767 ; -; nIOSEL ; RD[7] ; 13.767 ; ; ; 13.767 ; -; nIOSEL ; RDdir ; 15.325 ; ; ; 15.325 ; -; nIOSTRB ; RD[0] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[1] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[2] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[3] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[4] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[5] ; 13.574 ; ; ; 13.574 ; -; nIOSTRB ; RD[6] ; 13.620 ; ; ; 13.620 ; -; nIOSTRB ; RD[7] ; 13.620 ; ; ; 13.620 ; -; nIOSTRB ; RDdir ; 15.178 ; ; ; 15.178 ; -; nWE ; RD[0] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[1] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[2] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[3] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[4] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[5] ; 10.209 ; ; ; 10.209 ; -; nWE ; RD[6] ; 10.255 ; ; ; 10.255 ; -; nWE ; RD[7] ; 10.255 ; ; ; 10.255 ; -; nWE ; RDdir ; 11.813 ; ; ; 11.813 ; +; DMAin ; DMAout ; 18.256 ; ; ; 18.256 ; +; INTin ; INTout ; 18.481 ; ; ; 18.481 ; +; nDEVSEL ; RD[0] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[1] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[2] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[3] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[4] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[5] ; 14.610 ; ; ; 14.610 ; +; nDEVSEL ; RD[6] ; 13.627 ; ; ; 13.627 ; +; nDEVSEL ; RD[7] ; 13.627 ; ; ; 13.627 ; +; nDEVSEL ; RDdir ; 23.758 ; ; ; 23.758 ; +; nIOSEL ; RD[0] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[1] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[2] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[3] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[4] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[5] ; 14.609 ; ; ; 14.609 ; +; nIOSEL ; RD[6] ; 13.626 ; ; ; 13.626 ; +; nIOSEL ; RD[7] ; 13.626 ; ; ; 13.626 ; +; nIOSEL ; RDdir ; 23.757 ; ; ; 23.757 ; +; nIOSTRB ; RD[0] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[1] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[2] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[3] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[4] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[5] ; 13.321 ; ; ; 13.321 ; +; nIOSTRB ; RD[6] ; 12.338 ; ; ; 12.338 ; +; nIOSTRB ; RD[7] ; 12.338 ; ; ; 12.338 ; +; nIOSTRB ; RDdir ; 22.469 ; ; ; 22.469 ; +; nWE ; RD[0] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[1] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[2] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[3] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[4] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[5] ; 11.025 ; ; ; 11.025 ; +; nWE ; RD[6] ; 10.042 ; ; ; 10.042 ; +; nWE ; RD[7] ; 10.042 ; ; ; 10.042 ; +; nWE ; RDdir ; 20.173 ; ; ; 20.173 ; +------------+-------------+--------+----+----+--------+ @@ -954,93 +954,93 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 12.289 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 12.289 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.234 ; ; Rise ; C25M ; +; MOSI ; C25M ; 15.227 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 11.907 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 11.907 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 11.907 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 16.393 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 16.384 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 16.393 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 15.991 ; ; Rise ; C25M ; +; nFCS ; C25M ; 15.988 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +-----------+------------+--------+------+------------+-----------------+ -+----------------------------------------------------------------------+ -; Minimum Output Enable Times ; -+-----------+------------+-------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+------+------------+-----------------+ -; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 9.451 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 9.451 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; -+-----------+------------+-------+------+------------+-----------------+ ++-----------------------------------------------------------------------+ +; Minimum Output Enable Times ; ++-----------+------------+--------+------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+------+------------+-----------------+ +; FCK ; C25M ; 7.234 ; ; Rise ; C25M ; +; MOSI ; C25M ; 15.227 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 10.273 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 10.273 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 10.273 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 16.393 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 16.384 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 16.393 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 15.991 ; ; Rise ; C25M ; +; nFCS ; C25M ; 15.988 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; ++-----------+------------+--------+------+------------+-----------------+ +-------------------------------------------------------------------------------+ @@ -1048,45 +1048,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 12.243 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 12.289 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 12.289 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.234 ; ; Rise ; C25M ; +; MOSI ; C25M ; 15.227 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 11.907 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 12.890 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 11.907 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 11.907 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 16.393 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 16.384 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 16.393 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 15.991 ; ; Rise ; C25M ; +; nFCS ; C25M ; 15.988 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1095,45 +1095,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 9.405 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 9.451 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 9.451 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.234 ; ; Rise ; C25M ; +; MOSI ; C25M ; 15.227 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 10.273 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 11.256 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 10.273 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 10.273 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 16.393 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 16.384 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 16.393 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 15.991 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 15.991 ; ; Rise ; C25M ; +; nFCS ; C25M ; 15.988 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 10.117 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.134 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 10.117 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.134 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1213,7 +1213,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design Info: ******************************************************************* Info: Running Quartus II 32-bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Apr 20 04:20:00 2021 + Info: Processing started: Tue Apr 20 05:43:05 2021 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected @@ -1228,36 +1228,36 @@ Info (332105): Deriving Clocks Info (332105): create_clock -period 1.000 -name PHI0 PHI0 Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -9.005 +Info (332146): Worst-case setup slack is -9.844 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -9.005 -699.357 C25M - Info (332119): -0.425 -0.425 PHI0 -Info (332146): Worst-case hold slack is -0.248 + Info (332119): -9.844 -724.767 C25M + Info (332119): -0.019 -0.019 PHI0 +Info (332146): Worst-case hold slack is -0.952 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -0.248 -0.248 PHI0 - Info (332119): 1.400 0.000 C25M -Info (332146): Worst-case recovery slack is -4.412 + Info (332119): -0.952 -0.952 PHI0 + Info (332119): 1.385 0.000 C25M +Info (332146): Worst-case recovery slack is -4.389 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -4.412 -127.948 C25M -Info (332146): Worst-case removal slack is 4.858 + Info (332119): -4.389 -127.281 C25M +Info (332146): Worst-case removal slack is 4.835 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): 4.858 0.000 C25M -Info (332146): Worst-case minimum pulse width slack is -2.289 + Info (332119): 4.835 0.000 C25M +Info (332146): Worst-case minimum pulse width slack is -3.000 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== + Info (332119): -3.000 -3.000 PHI0 Info (332119): -2.289 -2.289 C25M - Info (332119): -2.289 -2.289 PHI0 Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 278 megabytes - Info: Processing ended: Tue Apr 20 04:20:05 2021 - Info: Elapsed time: 00:00:05 + Info: Peak virtual memory: 275 megabytes + Info: Processing ended: Tue Apr 20 05:43:09 2021 + Info: Elapsed time: 00:00:04 Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index c650a04..ff5acb0 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,35 +3,35 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : -9.005 -TNS : -699.357 +Slack : -9.844 +TNS : -724.767 Type : Setup 'PHI0' -Slack : -0.425 -TNS : -0.425 +Slack : -0.019 +TNS : -0.019 Type : Hold 'PHI0' -Slack : -0.248 -TNS : -0.248 +Slack : -0.952 +TNS : -0.952 Type : Hold 'C25M' -Slack : 1.400 +Slack : 1.385 TNS : 0.000 Type : Recovery 'C25M' -Slack : -4.412 -TNS : -127.948 +Slack : -4.389 +TNS : -127.281 Type : Removal 'C25M' -Slack : 4.858 +Slack : 4.835 TNS : 0.000 +Type : Minimum Pulse Width 'PHI0' +Slack : -3.000 +TNS : -3.000 + Type : Minimum Pulse Width 'C25M' Slack : -2.289 TNS : -2.289 -Type : Minimum Pulse Width 'PHI0' -Slack : -2.289 -TNS : -2.289 - ------------------------------------------------------------