From 47a4c012d7924f896a0d658bcb344a6f90af621b Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 4 Sep 2019 21:45:56 -0400 Subject: [PATCH] Pipelined addition --- cpld/GR8RAM.qsf | 116 +- cpld/GR8RAM.qws | Bin 1267 -> 4241 bytes cpld/GR8RAM.v | 56 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 15715 -> 17097 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 3352 -> 3393 bytes cpld/db/GR8RAM.(1).cnf.cdb | Bin 2353 -> 2359 bytes cpld/db/GR8RAM.(1).cnf.hdb | Bin 787 -> 776 bytes cpld/db/GR8RAM.(10).cnf.cdb | Bin 6074 -> 6074 bytes cpld/db/GR8RAM.(10).cnf.hdb | Bin 1312 -> 1296 bytes cpld/db/GR8RAM.(11).cnf.cdb | Bin 1207 -> 1209 bytes cpld/db/GR8RAM.(11).cnf.hdb | Bin 615 -> 605 bytes cpld/db/GR8RAM.(12).cnf.cdb | Bin 919 -> 920 bytes cpld/db/GR8RAM.(12).cnf.hdb | Bin 525 -> 521 bytes cpld/db/GR8RAM.(13).cnf.cdb | Bin 0 -> 2770 bytes cpld/db/GR8RAM.(13).cnf.hdb | Bin 0 -> 954 bytes cpld/db/GR8RAM.(14).cnf.cdb | Bin 0 -> 925 bytes cpld/db/GR8RAM.(14).cnf.hdb | Bin 0 -> 539 bytes cpld/db/GR8RAM.(15).cnf.cdb | Bin 0 -> 843 bytes cpld/db/GR8RAM.(15).cnf.hdb | Bin 0 -> 521 bytes cpld/db/GR8RAM.(16).cnf.cdb | Bin 0 -> 1822 bytes cpld/db/GR8RAM.(16).cnf.hdb | Bin 0 -> 742 bytes cpld/db/GR8RAM.(17).cnf.cdb | Bin 0 -> 2228 bytes cpld/db/GR8RAM.(17).cnf.hdb | Bin 0 -> 794 bytes cpld/db/GR8RAM.(18).cnf.cdb | Bin 0 -> 1107 bytes cpld/db/GR8RAM.(18).cnf.hdb | Bin 0 -> 634 bytes cpld/db/GR8RAM.(19).cnf.cdb | Bin 0 -> 1105 bytes cpld/db/GR8RAM.(19).cnf.hdb | Bin 0 -> 634 bytes cpld/db/GR8RAM.(2).cnf.cdb | Bin 2189 -> 2194 bytes cpld/db/GR8RAM.(2).cnf.hdb | Bin 902 -> 877 bytes cpld/db/GR8RAM.(20).cnf.cdb | Bin 0 -> 5953 bytes cpld/db/GR8RAM.(20).cnf.hdb | Bin 0 -> 1278 bytes cpld/db/GR8RAM.(21).cnf.cdb | Bin 0 -> 754 bytes cpld/db/GR8RAM.(21).cnf.hdb | Bin 0 -> 519 bytes cpld/db/GR8RAM.(3).cnf.cdb | Bin 6073 -> 6077 bytes cpld/db/GR8RAM.(3).cnf.hdb | Bin 1312 -> 1296 bytes cpld/db/GR8RAM.(4).cnf.cdb | Bin 1127 -> 1133 bytes cpld/db/GR8RAM.(4).cnf.hdb | Bin 644 -> 638 bytes cpld/db/GR8RAM.(5).cnf.cdb | Bin 1126 -> 1132 bytes cpld/db/GR8RAM.(5).cnf.hdb | Bin 644 -> 638 bytes cpld/db/GR8RAM.(6).cnf.cdb | Bin 680 -> 683 bytes cpld/db/GR8RAM.(6).cnf.hdb | Bin 533 -> 535 bytes cpld/db/GR8RAM.(7).cnf.cdb | Bin 764 -> 765 bytes cpld/db/GR8RAM.(7).cnf.hdb | Bin 521 -> 518 bytes cpld/db/GR8RAM.(8).cnf.cdb | Bin 657 -> 659 bytes cpld/db/GR8RAM.(8).cnf.hdb | Bin 519 -> 515 bytes cpld/db/GR8RAM.(9).cnf.cdb | Bin 3183 -> 3188 bytes cpld/db/GR8RAM.(9).cnf.hdb | Bin 986 -> 972 bytes cpld/db/GR8RAM.ace_cmp.cdb | Bin 32454 -> 31439 bytes cpld/db/GR8RAM.ace_cmp.hdb | Bin 17463 -> 19596 bytes cpld/db/GR8RAM.acvq.rdb | Bin 0 -> 415 bytes cpld/db/GR8RAM.asm.qmsg | 10 +- cpld/db/GR8RAM.asm.rdb | Bin 1312 -> 1332 bytes cpld/db/GR8RAM.cmp.cdb | Bin 31279 -> 31439 bytes cpld/db/GR8RAM.cmp.hdb | Bin 21382 -> 19596 bytes cpld/db/GR8RAM.cmp.rdb | Bin 14731 -> 15065 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 9616 -> 9053 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.fit.qmsg | 6 +- cpld/db/GR8RAM.hier_info | 39 +- cpld/db/GR8RAM.hif | Bin 2275 -> 2611 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 177 bytes cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 413 bytes cpld/db/GR8RAM.map.cdb | Bin 12387 -> 12808 bytes cpld/db/GR8RAM.map.hdb | Bin 20882 -> 19104 bytes cpld/db/GR8RAM.map.qmsg | 76 +- cpld/db/GR8RAM.map.rdb | Bin 1180 -> 1187 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 16497 -> 14856 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 209 -> 210 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 16420 -> 14777 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 12892 -> 13703 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 195 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 16364 -> 16089 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 30066 -> 29575 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sta.qmsg | 44 +- cpld/db/GR8RAM.sta.rdb | Bin 11160 -> 11525 bytes cpld/db/GR8RAM.sta_cmp.15_slow.tdb | Bin 38571 -> 35584 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.tmw_info | 6 - cpld/db/add_sub_8ph.tdf | 2 +- cpld/db/add_sub_9ph.tdf | 46 + cpld/db/add_sub_qnh.tdf | 46 + cpld/db/add_sub_rnh.tdf | 2 +- cpld/db/prev_cmp_GR8RAM.qmsg | 152 +-- .../GR8RAM.root_partition.map.kpt | Bin 225 -> 227 bytes cpld/output_files/GR8RAM.asm.rpt | 54 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 508 ++++---- cpld/output_files/GR8RAM.fit.summary | 8 +- cpld/output_files/GR8RAM.flow.rpt | 98 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 176 ++- cpld/output_files/GR8RAM.map.smsg | 6 +- cpld/output_files/GR8RAM.map.summary | 8 +- cpld/output_files/GR8RAM.pin | 6 +- cpld/output_files/GR8RAM.pof | Bin 8022 -> 8022 bytes cpld/output_files/GR8RAM.sta.rpt | 1068 +++++++++-------- cpld/output_files/GR8RAM.sta.summary | 6 +- 100 files changed, 1331 insertions(+), 1214 deletions(-) create mode 100644 cpld/db/GR8RAM.(13).cnf.cdb create mode 100644 cpld/db/GR8RAM.(13).cnf.hdb create mode 100644 cpld/db/GR8RAM.(14).cnf.cdb create mode 100644 cpld/db/GR8RAM.(14).cnf.hdb create mode 100644 cpld/db/GR8RAM.(15).cnf.cdb create mode 100644 cpld/db/GR8RAM.(15).cnf.hdb create mode 100644 cpld/db/GR8RAM.(16).cnf.cdb create mode 100644 cpld/db/GR8RAM.(16).cnf.hdb create mode 100644 cpld/db/GR8RAM.(17).cnf.cdb create mode 100644 cpld/db/GR8RAM.(17).cnf.hdb create mode 100644 cpld/db/GR8RAM.(18).cnf.cdb create mode 100644 cpld/db/GR8RAM.(18).cnf.hdb create mode 100644 cpld/db/GR8RAM.(19).cnf.cdb create mode 100644 cpld/db/GR8RAM.(19).cnf.hdb create mode 100644 cpld/db/GR8RAM.(20).cnf.cdb create mode 100644 cpld/db/GR8RAM.(20).cnf.hdb create mode 100644 cpld/db/GR8RAM.(21).cnf.cdb create mode 100644 cpld/db/GR8RAM.(21).cnf.hdb create mode 100644 cpld/db/GR8RAM.acvq.rdb delete mode 100755 cpld/db/GR8RAM.tmw_info create mode 100644 cpld/db/add_sub_9ph.tdf create mode 100644 cpld/db/add_sub_qnh.tdf diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 38e9ec9..f8f2fbc 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -144,28 +144,10 @@ set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS OFF set_location_assignment PIN_76 -to A[1] set_location_assignment PIN_8 -to PHI0in set_location_assignment PIN_2 -to PHI1in -set_location_assignment PIN_31 -to C7Mout -set_location_assignment PIN_30 -to PHI1out set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES OFF set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES OFF set_global_assignment -name PRE_MAPPING_RESYNTHESIS ON set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to ASel -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[0] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[1] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[2] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[3] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[4] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[5] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[6] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[7] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[8] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[9] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[10] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[11] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[12] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[13] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[14] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A[15] set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add0 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add1 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add2 @@ -174,72 +156,16 @@ set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to AddrHWR_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to AddrLWR_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to AddrMWR_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[0] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[1] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[2] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[3] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[4] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[5] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[6] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[7] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[8] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[9] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[10] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[11] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[12] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[13] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[14] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[15] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[16] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[17] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[18] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[19] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[20] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[21] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr[22] set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to BankWR_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[0] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[1] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[2] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[3] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[4] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[5] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[6] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank[7] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to C7M -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to C7M_2 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to C7Mout +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to C7M +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to C7M_2 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to CASf set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to CASr set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to CSDBEN set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to DOE -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[0] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[0]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[1] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[1]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[2] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[2]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[3] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[3]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[4] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[4]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[5] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[5]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[6] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[6]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[7] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D[7]~direct set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[0] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[1] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[2] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[3] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[4] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[5] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[6] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout[7] set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal0 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal1 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal2 @@ -258,11 +184,10 @@ set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal14 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal15 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal16 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal17 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to IOBank0 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to IOROMEN set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to MODE set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI0seen -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b0_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b1_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b2_MC @@ -274,7 +199,6 @@ set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b7_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b8_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b9_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1in -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1out set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1reg set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Q3 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA @@ -282,45 +206,11 @@ set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RAMSEL_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RAMSELreg set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RASf set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RASr -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[0] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[1] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[2] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[3] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[4] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[5] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[6] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[7] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[8] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[9] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA[10] set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RDOE -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[0] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[0]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[1] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[1]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[2] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[2]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[3] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[3]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[4] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[4]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[5] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[5]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[6] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[6]~direct -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[7] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD[7]~direct set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to REGEN set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[0] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[1] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[2] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[3] set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S[0] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S[1] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S[2] set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to SetWR set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to always0 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to always2 diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws index e30c0e7350edbc12f2f2a4e15002b126fbabb321..4df5a19192b5bdf3815dd895cb0d262308a5bc5c 100755 GIT binary patch literal 4241 zcmds)&u>&!6vxkd)0W0G>Y}otF%v>aLo#LB4sAy_fw(SSN=N8zg4 z1zUn@AV;&)R;PD@(K)APY|3Wru$_XcB7M;!dQIkAPbBkBXC5_8tv)m zF^z7Sej|2~oqA~}ZH>`U>@3+BdX4igFfxfg6ZA&;MYIAdir87CSL8QFn?=`o-s8N* zdG-I|#UL;XDrj?$X8-DCmdg`oL82#Z{e1h^Q-Y79U_Ty-v8QEuJK`~G5y1x3S-wqT zH;2V50*u|`(Kmfww&SqIxJyf_w(zh;Xl81|M5AssJHL~iBv?ZBxl+q0w~eZ-dqCA3 zLlSTR#wHrb#-+y>GZOrI=O-6r{eL3vU9*9G`0*DUE3Yp@4?+K!ZkNDq9Pbnzbb^oI zHUW5I@0I9}0mTA76dW~MW^9xdMLWcA3|e3vwX5!b%;>EleF~E?`ySf2v=0?Wt#|=%DoKzUG>;MC(QKYCW5G+CG6ft&1RwGJfw{rIwMN_Z zNZ5YgZk6pWu%WU{-p-&PpaoPi?&fq?L+`{ub^-m_zzvkLM~qs80w&e>Z~(~O^O+nWK` zI(J=|Wn#;;*T-Zx)1Du|#AU9JUL)?YI^dV>x{MqAbP)3P4%9{WjN<6tkchnVPovjH9AFMwkHOpy7VR9|>R=AgM(ue^`MWM-D99QBVY%PT zc3s2HDtYuP=-V>dFTkY2P-34X=|`mRDUy1`0i0wkt1who=vs(;wzI-G#B~q>7gZR~ zs6O(j-C#eZuB?U#Io-k6&|4lRncU68vUE5KZuM|N5wBFB)l#u(9Z|H zWZ{nS)qT)}*U*Qjhu{e`opdrwU9jeVe^h5q0+}+&M^K492^E0D1g*?i8A$05P=RDt zrcFUb@Tf*rpT9)e(GBh({XWoOU!VStys`GE46y+!%hQmEMq;aE5Ep z&kQIEN9Va#eAS-#W_zMU^lDpGbQ}+!U)c^nh delta 167 zcmbQJ_?dHpwkRV51A`Sq6cFn(1TmyC6fop76i>{Np3KiE#K<&>Czp-k8v_I5zRATr zrh@x`ih~*c|NjpZsRN7hu**#T#}_bJmG{l$Tmg>BPq>vQcXRp(Vl`5qONEX3Fi_v- f$xwZpk8;T~$}k@Sik$(P4F*8t|A8=w!^8jp{l+co diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index a771b91..06d46bd 100755 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -1,8 +1,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, A, RA, nWE, D, RD, nINH, nDEVSEL, nIOSEL, nIOSTRB, - nRAS, nCAS0, nCAS1, nRCS, nROE, nRWE, - C7Mout, PHI1out); + nRAS, nCAS0, nCAS1, nRCS, nROE, nRWE); /* Clock, Reset, Mode */ input C7M, C7M_2, Q3, PHI0in, PHI1in; // Clock inputs @@ -21,8 +20,6 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, LCELL PHI1b7_MC (.in(PHI1b[6]), .out(PHI1b[7])); LCELL PHI1b8_MC (.in(PHI1b[7]), .out(PHI1b[8])); LCELL PHI1b9_MC (.in(PHI1b[8] & PHI1in), .out(PHI1)); - output C7Mout = C7M_2; - output PHI1out = PHI1; /* Address Bus, etc. */ input nDEVSEL, nIOSEL, nIOSTRB; // Card select signals @@ -31,7 +28,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, output [10:0] RA; // DRAM/ROM address assign RA[10:8] = ASel ? Addr[21:19] : Addr[10:8]; assign RA[7:0] = (~nIOSTRB & FullIOEN) ? Bank+1 : - (~nIOSTRB & ~FullIOEN) ? {7'b0000001, Bank[0]} : + (~nIOSTRB & ~FullIOEN) ? {7'b0000001, Bank[0]} : (~ASel & nIOSEL & nIOSTRB) ? Addr[18:11] : (ASel & nIOSEL & nIOSTRB) ? Addr[7:0] : 8'h00; @@ -51,7 +48,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, /* Inhibit output */ wire AROMSEL; LCELL AROMSEL_MC (.in(/*(A[15:12]==4'hD | A[15:12]==4'hE | A[15:12]==4'hF) & nWE & ~MODE*/0), .out(AROMSEL)); - output nINH = AROMSEL ? 1'b0 : 1'bZ; + output nINH = AROMSEL ? 1'b0 : 1'bZ; /* DRAM and ROM Control Signals */ output nRCS = ~((~nIOSEL | (~nIOSTRB & IOROMEN)) & CSDBEN); // ROM chip select @@ -64,6 +61,9 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, /* 6502-accessible Registers */ reg [7:0] Bank = 8'h00; // Bank register for ROM access reg [22:0] Addr = 23'h00000; // RAM address register + + /* Increment Control */ + reg IncAddrL = 0, IncAddrM = 0, IncAddrH = 0; /* CAS rising/falling edge components */ // These are combined to create the CAS outputs. @@ -79,7 +79,6 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, reg [3:0] Ref = 4'h0; // Refresh skip counter /* Select Signals */ - reg RAMSELreg = 1'b0; // RAMSEL registered at end of S4 wire BankSELA = A[3:0]==4'hF; wire SetSELA = A[3:0]==4'hE; wire RAMSELA = A[3:0]==4'h3; @@ -120,10 +119,6 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, REGEN <= 1'b0; IOROMEN <= 1'b0; CSDBEN <= 1'b0; - Addr <= 23'h000000; - Bank <= 8'h00; - FullIOEN <= 1'b0; - RAMSELreg <= 1'b0; end else begin // Synchronize state counter to S1 when just entering PHI1 PHI1reg <= PHI1; // Save old PHI1 @@ -144,9 +139,6 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, // Enable IOSTRB ROM when accessing CnXX in IOSEL ROM. if (S==4 & ~nIOSEL) IOROMEN <= 1'b1; - // Register RAM "register" selected at end of S4. - if (S==4) RAMSELreg <= RAMSEL; - // Only drive Apple II data bus after state 4 to avoid bus fight. // Thus we wait 1.5 7M cycles (210 ns) into PHI0 before driving. // Same for driving the ROM/SRAM data bus (RD). @@ -154,17 +146,43 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, // This provides address setup time for write operations and // minimizes power consumption. CSDBEN <= S==4 | S==5 | S==6 | S==7; - - // Increment address register after RAM access. - if (S==2 & RAMSELreg) begin - Addr <= Addr+1; - RAMSELreg <= 1'b0; + end + end + + always @(negedge C7M, negedge nRES) begin + if (~nRES) begin + Addr <= 23'h000000; + Bank <= 8'h00; + FullIOEN <= 1'b0; + IncAddrL <= 1'b0; + IncAddrM <= 1'b0; + IncAddrH <= 1'b0; + end else begin + // Increment address register + if (S==1 & IncAddrL) begin + Addr[7:0] <= Addr[7:0]+1; + IncAddrL <= 0; + IncAddrM <= Addr[7:0] == 8'hFF; + end + if (S==2 & IncAddrM) begin + Addr[15:8] <= Addr[15:8]+1; + IncAddrM <= 0; + IncAddrH <= Addr[15:8] == 8'hFF; + end + if (S==3 & IncAddrH) begin + IncAddrH <= 0; + Addr[22:16] <= Addr[22:16]+1; end // Set register during S6 if accessed. if (S==6) begin if (BankWR) Bank[7:0] <= D[7:0]; // Bank if (SetWR) FullIOEN <= D[7:0] == 8'hE5; + + IncAddrL <= RAMSEL; + IncAddrM <= AddrLWR & Addr[7] & ~D[7]; + IncAddrH <= AddrMWR & Addr[15] & ~D[7]; + if (AddrHWR) Addr[22:16] <= D[6:0]; // Addr hi if (AddrMWR) Addr[15:8] <= D[7:0]; // Addr mid if (AddrLWR) Addr[7:0] <= D[7:0]; // Addr lo diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index d5e5bf57375ab7e54c05a0f4fcb8661157f24322..964aac4f874fbc2df087a6903b38d9ae6510c72f 100755 GIT binary patch literal 17097 zcmY&<1yEcu*LHyd#oOY#NDHO3xZ4&eUfkWixa&fJ;#Ry^ad&qs?z*_^0>xojmffHC zd*`3|zI!J*$w|(W++;F0CpqWMix)2{aZsLP*XLLKnQB_NxY{^6&~k9Gva@o~io4r< zH>c&~;-qEgW#iyv<7DUHqE)kS@vt$opj9?8v!mspRgAkrYfD?x^5}PIU4z9OM00!b-U> z-)J>H{$!C^&~^Q8chCEs5x;@vjw9m8?4ZxJSY-caAA1?tb@A7*SV?We9 z#v=$+M4X>w%O^KD@E#yRdyn!*u?i==1i$buf5tFXQGV<27%Q7ArZp77yWF084dB4L zOn4?dc$YJCudCC4Lep%*l&0={D-4>of1EyhUO$6mb&ZeBG9aIm4BG z#&46K(4Rk*M)WrdK9Uhju=6+@*5;_2v5AeF-s+iI<8fUkmS@9VqD7E5NencBij2o9 zP=Xcc1SaVQd)GrD2)FHB9GvU5nzv#P%mbnQxB^P0-46O=8A|EEsF&m)HlzOTouEQ# zSZ`>@`~5@*?k!Tfqd40*7P9sylQFnDD>%RT+YD#qcNB6{Y+G#IEmYzI$Ok^Ec_*6q z%p}jo&m{#r%O&o(X3Zr@IC=hd**a5H!*7;iAm+S*nke`lv?T|BmqRn4aQW?G{hy+e zb(>KQljn+`mqLHWs_CG3&|OKPY#Mw9n4wVd^(I_Q>XR!XS+Nl8Jx3vDBF7VjqdfA zLV-!6C7q}|ILM^&eDHqn{e>V$m+(+RktK}5=Om4LjBiom^0}XCY zI$T%hWX{>}R;+)%3I63vjb^c?1szP75Wa!1SYBGi_&~|^<=8+7h^^@T@7M{jhyIjV#Mm3vtsfma|k8yJ*9qJi(6fp!|@2n zI6WUa1J3Yt?X0fH9XeW#NAC*kmN^u0o_T6sJbC%n+8O1BT`sPW3BAd~LyHrMhy!j) zw4E$g<#pd*-wQki?KVgIZ-~+$%U1Ud+LY?k*A6jL3;QhjtQZ0W8U0W~;}27sTz$B7 zwKDnf8NSqV9E*dHW(!Z?l!>2^}Ilh<|sYfM~k#{Ic8nJx3Q@ZE9no~$c zmVDu1uuh^r-b)J~{3;n29*IaOJ6@(!r}}Z+$;p`V)W$XC|Bp9;5FzWtvhy46$k-P| zSo8LFsR~w((=Coqm4gb={vHM= zuEqqKz#z5i$($yHB1I5jagpf~Vx(NW`D=ryuoAU3mJ6H*4`I>U{ANd>F z!|8E0*bM9+RahHMvv5ip6E@*gMk%f?YC`rJ6p@<_-yFDRf<^3LMhF3Sj& z*f5;^X^LqGrr>*KtUoin8SJe$)e?^bs}#fRAXm;GjsGs?9Z3+(X5krRi?u6qS_$%2 z#z-i5zxtRu>E)cMZh2i6yM*WV8m>d%u5c#Kdh1Uy&$`5a3u+q?`SK&)B3H30nY!fL z(O0W1|BXAY9AH~HaXdXZY$SR<--Cd`y?VW0#^3&)U3F+xBzhjl^O-gB%zARwm4YgW zQk*j?w)ZCHJx9s(#p_%033CokMZ-3HUTS*{lxxf`wim^E+-OjAvi_)_NmYC=Hwk^# zW2Pv1J~mZGkT-r;uwkI+uUt$zWG2VSV39lE+(trBT&7d+-%M?Gb^0;P$ii_hV^&E~ zMnlpU_ceYA*{n~FCswa!oU^^I0f6*N67Pll_ox?G&&_&M8otofbi19c>=jcOd^5R` zD?QAZPir4Z6}VZKWw~?W<5&M(%KjBq3eX{758{wy#s6Zf_$@nrUR%$o z(UVVMkGOS_!)5YeK90eIKA?*G3itPS`wi06(Cuv8pzOsekXEu3iqU0==%-D*oOx8Z z;B?q~VQ#ejFbg=*jR`Xl-CuiBNeqy3qc$C8A#&pgzHke>?qqxf^Rw=izpSQQy6kjg zzX5OXRA9LlR{@rk_^Rg15OzzHytQ_Ad-L z_LAEM>Z1hz)IzapsifEv4GbA7?@ACslzvtLs-dVkW#IQcb;=L^x`YQs}1+;?wK zv-C42uK6!T04)}pz3zPr?BnGK8KJoCj{xUD+H%zgiloEu4AH&cW(S$ywJPzF=@pi# z+Gi~)(Utm9k7H4&6j#0wCn%UvOu&s`qYpKz6di?;*S4>QMqDGHP{%8x}hgFGw@;lpAz~lg^KpV3rZ(8%UdR% z3TNhfP39X7=5G2Ub7o<|Q^vwB*6JA5`43{hZCWQda|eZlKa4?w)!H*^j}z?MCpyur1@)GjDvkX2!oop7=zy7 zfOd00q6M@iJ=BV*sR7%**SZ6wcGFe_mCqp<7@N$ zU>KUmzJz!N;ymS_u7G89kh}t@@~61k{Db=U{JhGF3CQ+NeAqarXjV3;_w%+91Zlkt zjfjkX^K+slSE$sxO07kcoLJwf2Pyvda0L|xD6j^5#$fsf*~Q{GDLQL=S2$`D_P8?l z&g?HrxWr5+_Os}l6tg~E8uUHJ?wCFI0NyGOg1a6og68p`K*5L-l5q*k^mhtd-r#V= zvGU^;vkc|K34YZFuI{UA^rxA)YpUo2F|>>QBdznKf6)2HNO=Y1fpfrpL;J1JB0NB3 zYgH>uTzoaa1#4yKrr3!47>R#8(Nb%d4ncYxPv|$QI+s3Jkv`T3^db1z)E>KeAl>?B z$l|dzLL}QAriaAlbIHZsE z$c=uXFH4gDOZWwNEackfXCiqt5&wzS;5m`Q2|TrAZM3Jm+UXo{dG5q^SBD=I*KJfo zN*?I{Wj`)Fx&%D)MvMR2XgpPGdmv2d#E12YuQfaFAYE6qM@@8CgQ`D_t!;6;K2?h; zHLRM;3(Acc$GV3q|&mFiJr` zVap`J9c&bPS{b-~I9duAGaSEyK5HShALc$s*71B9rbGV~ zLxq9G@!zwayN|%Ps~U@NW1W5J@9m>L+r~P#{k9nZQOBAJ*qd^NMK*#I4d7A3h+uv3 zr*+ChH8rHkZbNP!ERI5n{9_sNPp=8}NsEmxH~|Ok4!}jjr>>5qlb9;_xlo1-cRp2C zdBTkD4~v8V4Ta51N)Y`6xe}7MfY108v=C^ePZoqBav+%jrCWJY10cys^f0&ubyO=Xg_ZRG;= zsWVE~=~7s9@3*jLM~GU;_hEvxu0pr#7Jai*a?HA=wxb}@9`}E51OBOFf=M!|>$HZt zq?gaa_Q*aGDB;;BY#m{@^vd9E_TwUGE|s=d3SRl)Iw;Mli6S)}sLX}va~wxKfRs)0 zsP2}+GWUz6qeaF3hR8!1Q1;@VL)AGD&=Yc^l^@&Cc~6)v^+(8Q^~ayio4s6XBcn|| z?5c?z<)(SaYGG$fy-M>aLi1R4ZnGI2<tYpW}F{`R7#n#1bLB zu@;-7K%(+KoAOQ2REshso2+AYJge4IGn?zvl1O$05JRZ{rDPDg*yzN&@ZAElAcW0hWxwh|DAQPXn8UG2- zl1k5*K<8(v=P+dwvM(Iq@E@#>@mo;eGsfj}1BnH|sbH_7#`)~HrPW*?-bN=UKSL)8 zKa$YNo~an8Y!eiQ7h1mtO5PFl^zJT$hX$-b_axJv=9pbB66xF5BzRL}RiqBsU;=&f z&8nbaBTP)B5yb_b!<0QzLfFePbR49yakJgtaAf0t;k0+f8wgfAx*k^8o>mGzKRdYP zeo_-TduUuH^q+nL?z-B}%|ViPPVV_XLIr22APXT%>%yQFYLOtZ-G>Yj=K8>FY%@pM z!i)S+^?MJ@DT?>S{3r_9H92{m>$%mu>V272*6obC0m|=@%jp_IS{S26o?J&GG94du zdkb8Di8(SawUcAuciapSK{eG4!Q>V{F$Hq8qi6T)8y$kf1Q6;*YuZH)&kM!UDdSPk2yhyHM9J&Yg$Km$_sReT9uj}J&!lLDev^ocW8<;0 z2}rf}A@bSFRhTmiaVhRQy9Rs5!*y)LBdw5~S5BN$2c0ZJwFUStt_+!H!ttQqeNSZHFDA4W~BF-)JOJ@`zu? z3VR2=Qe|;+Xl&`iy?9*uh~M3@=(|V0SRf_IYzL2nR#IUA zs;z2Bo2^aA@Ru*Wb-LV)c4THxHnqf3Qu>u8J8y_qKh>jRZ%AtCDwFe+(rK2X5gSom zrHO886NjWiOp|l$O?HVtX#9k5Rhjy6j^f;_hpyy}WMoIKs79Irt7DRJUtPxO(!yo% z4NtabMTPKTubK$V41Hd|c%JYQDYT6B67SXS?XtEO@yE#*TXk zvqOrJz;K+rRHU{R^#W+1*S#fFzxyjeof1yvY*60VC&?dsHdF9zqw%%26K)=OLURc1LFqSQ(m%jq9<|=&{7>_XXaXLw zaoIh1mVSYRVLb{;Ok( z_8^%qz-UZRB0*bG&5D7?#$dj$FG;{AtEQMp8+}$KTY=FhRUd{uUZ44RJLR&M49H(H z>3bM*#s5~!Ek1pO7%^m-0=^0E;SQ+91K<5xeYLFCHXZDS!OmSl2mHDx@Q1Y!WQX4R zW)O-*L0^~zYwH`%p)MlqMcA5v?l&lSU2E$Y?t4a2dpT*}`vhI=g5vNQtOR6m;LGM$ zqoVbYv&x*iE{qePef#Mf8W`EFJa-o_A*U-nDMKCb zE=wFxaaSUmwxpD%>8a+IXD%F2vj;pAhihvr@saWdPE(Ga?wm&3aFZ=gR%h)|DAhMF z!<5=Stbc{4u>KuK7fmxig-h2Sd?Vb_mQZo+3e24;tc=np8VODGcDWC6?bJT~_0G|C zP?(vR@k0_9ABQBN3%}iJ#p0cMUjsX@W<*GgCIqLZZtLvgKsy2J(;}JuH<2h{>?cIt za?%}kr}O3B;@i_o6^GPO$Ek=Og(<%zQta4_I41 zGKGv({@X00iyP&uWc5d1(hKp8aZ_x7Dl3eOb0@r&s5q+mgY4OfP3JZql6 z3x^(Oenc>J%b0W1Jhsx#%YYLH>@uw_HSz&soCo>paE!lV7eFJPW57on=P#Y4>xq@oJYq&L&oXn41UpJ-cwJw-vjBL2RWA9yNz2?we1t5PkK^+jH;0G|>?s+T);p$_bcQcYj!uPcF|t3*XgUM6p8eArYXdZ0LPB zl&t3_H^-;l`w3(S-LmD_kVWR4luoTP1lUel$z|UPZ5kRXZ5kqaL4;i*CD&)6xaUj@ z0V+>+P%?TQ+;r?NB)Zsa_J zqJ|OZ)Ah7!H9U|)%l~MF4AM;ZA+CU@l`jdwgH$feg*YMqk6nb&it+ z<=X$LMYv>KO{tN)KgfL>VKKj;+dXM--kR5X93+P=F#^2ZxJ61csMY08a9HVJmL-%P z!?{stVfULy7(?dqqbVjLoO`O7lH~=YicM#X1NMy@8#nk)$T`Qms?^c~m z{4%`%(QdaI+_44(GlDvX33HK9VxuW}^L@toHuM^z_Q#A$wEUDe# zp&rh^wZ;L&PbOZ(&bsgNFM4Qs(#Flsc`_v+F7fwj&)iZRzrl>$X&o;!%LU`5k11XZ z7Jw?yypvdSDqcbYEHJM=7#sqJ3XEoggyq2H`pJPeaURbIx4esKZmTc3a;l$Ka8~e3 zQQD`gf3xKIeiwTlZ>9Lj`FRWm+v;iqGiRsPlmlS`51CW-41v~DF5|G ztlqrq{w{bWU(C?_m=NUNY@Zjj2gp?PZYMvced3+C4ER-uQ;RA2yGkk2mCtPqSt?^2 zBz#57+dA0enujCf&D{>gD+fPRXQTyG8-?>nR=!cc<>%aZ^ z0kDkEzILC9kGl6xAl)dd>c3+jSAEoMT$hJueXVPLB>_-2v}+qT_NYe9)Z~PseNOB- zvv_q;9qEhbB^x;fc|k&hOuSs>qxyO2OEMga2{p`#b)xyGma-u$oR|!wKZUx(IU359(!S#Ls)X}V3|Bj^uweH3N*Xl81MJYB|pf{cn zYyu;;6HmUfad4Cecw&}JG$1Nw`S2Rr(7rr$J>=NmW%@%&$W|Dz8wY?CIY$G}@;Z|^ z{NQq)7G_W_Y$HBlGbj|Rd8ibj^(sfCVP3RB$f!W(68Of~eSw=oBkM)#e zyLrWJMB5*o5r&p|i9$1ejRCH+p#h4;Qny7}Y@>^hx-)2RmE+QE4{+OY^@C@b(Kca$ zy+OGwQ)vv*?>=FCVDRDh+o}{zAg6jF&uO(hpT8SkV)^O`8PGRHyb$$3%jpu6dr|d{ zL45aNE39X{IF#`2+YBZYr2a6~4`RKl+Rb;)D7Z1)<~k^n=4;~eHQlC~c7 zT6>c*o;tI7G4b*J9O&|sO=NDuTw_&=w>;e+G72%>`!K#jwS8@K2YBxA+g9W>c8%eu zvm9qR25-&KwfBOk2q~G-*p*q_r8B(IUaGDO61k&jz+xKWNDtZtN%o5}{2BgT#<#w} ztmwg29ime~9hH71QY}3h)faBsZm+(-VmEDK*^P2kHu$qtz|X~EJ{5kY;C%aUrsyn_+BYHODDPF*%8g1%C00z^ zG-4lJC%cqE)G6LN1v^-h>)?xDs#u;*Coaj;gbds)_-p+iTjI(=+wY%!pvF7ZO7w%w z&9kH7+P%X)CAkMXz{FfBrgl(K(l|uCEEwh9Gvy?)P9}Aoxe28| z{BTN+APtXgi$(ok^u*ZoVc#%jL6I8BRGI^3sT6q&8AZm3JOFE%kl_2h?q|Yxzvt-B z(5yAnM-C?G5P96HS51q9Z64jABA5;4?uKiM+bX`{Nv*0#Jx_m>iY(1b%jl67PQj}; zDa`VpP@xr^7wARYEJr`4)?x4bZW^XGOJdPQothB2N?LVCXnvE&kOvv?=$w7-PlT!4 zbP-Ek6iQpq*Wq>Y+opgE#D^(Mm7+^1u2}v0k9_<&0f|heZjl`_fv7);ybdcZChN>X zT0hSu@Vd}RX&5LPmA2(1Kb5`dzrpv6vNo0fQsl#2y8Z^|%WRBX6?6CBc+=m^x3l-5 z!jD^)2CA(-wSk=FDL5x)tE7vEZHxm&2AZ(UA{#EZc5&%OmIC|6z9HpTNDdRnRAXZB zBAFF|kyDoPcE2%19IOC~VpOMy$^h{dhBuN#22`K3XY^@M`vFjlGqzxeMCdckqnah?+pb$ z(a+hM5hjrz8^**@oF9o+0uuXC2^($iPw6(Xi3?aXAyZFpRfq4*ldu^YRxHzrS&|>a z{(ezf>ev0v8Cr_+GJl3vgJowv?AtT}#P)Np=}^(g;(3{!)6$*-(`XrqzH=R3fU!oQ z^FYrZ%c%;t4u_;2uTq9~Lf1)O#)Ykk#@}BC?!PDTF8Y6y=-?5qu+7gqh>0aYLw%%^ zK(OqQ&w2HiJa^LNoUo>^}d ziV~10Bwo@+G_}zgU2CI@`<~fD1KM4Litj-2dPYyq^OOeFcG_{?ek5V)vyV)AU*i@e zMmJ-dYQpx#$M9dDI+itI>B$`>LC|wVuu>~t$J?C^GbFrXy(y^jC3HBkax3UOFmInn zG~f`_zJ&^(nK}vW_2TiKiHo$#E1rxsI#SxDil8^cTuMS6T0A`<>; z{Lu3`3Fot)>K8Fe*nFbQentmoqT-RjEv-dX44j5Rn;f73vXtw2T<9rTcfy(o2~#tHeHMJS zEdITcH4~T0?pEj7xTPyU$zxJX^!ZE-^HhH}cGP}Drnk#U@Q`wT2F!y{2MWIuy0_)n{x@WxtNs z`8f{~AJNBd0sg%_Jz~1}*z)R*=Md;26GA-vWOiuWh|7lRIOjIU_$=W>X-w^>kp$lb>j509Bk zh{AC$Uge9CPCX!Te-?B2jolt5vr;P)*m1^XdJEk%_t8&jjk-;eWZ7 z=Swe!1UX@c?>HxYhvhYW`4U_e3$D*V3G+hI+;;^OhYmPLH&kJpKjoKkyVu2+tU7lJ zonNc48CmDcT&_AXXKcsmg`@Zn$rfLfo|84?P+3YESO!9L>6C5s*-F!_yuPrZn%WzG zU(X%+i(h#%Tf}6+HLzlA&O3r#ilbAtixm+I4J3PQC!yHrY@kz5V152$=)y6|P(Vu} zRZV0;g_;eUO`auC(+KgWrQ5_I$zN^uwNp0*GuckWiIVQ~-M^I%GyTpLEt<#NOO7o7 zJm=YBi9ERaWHJ7#+7;uc;rvRGz)D)78-}A?Z+H963USQoBsKB7;q73o3~*M*Vqhs% zCkoj1fM^rSU1)oHI^dmXuE0+-8AEmUX4SlT34AS$taoJ7678yBB?wq6n1qa~kwF0+ zY5~v)PS8;5jtd@QY{6mFQvZ=5O}`igjv0(-)Y`f#pqH@yj-aJ z{4xZ80JNEhXm&0q}VYT_ZM*JxDai$M$wVPz>l*3v0eFFh^ zlkqRmmwQiM;w~ZT*+sQ3D)3&|y&Gj8qhC1Awi?T$xYij60Wz?>H6EV0_D-pH#7TRjvP7?%2Pmy-m9f zZR^7NKDUBrlNu1I|GdfmFgo~yqc6cPt2#Bp-o7(XFD)_9YmocuZ)u|T5zFK#HGE`p+S#2hTPcSw)Os5%QPzDc>h~9>6#c~8i;wTomK?T zxMAmqGBnq4wJ13+!ll{quorANs}HJh(|)^u+GC{Y)?f$EpU9b^fTPc0+T?i$??$`O zl)*U4%8m~X0(rC970KT-8WhEdmKy#!pD14DO;2)HxS|qjoVl3LT?z=7yr!&M+0Qql3j+UC@;XIV${Z}elEl4GAc1-N4s;NQ zH6&?d?b7`5S!V#(d)So~uvn?@tru`uSrnANiX=r}hnPiq{l}HiFrCoHbpVcQ5)p$)(~u3r+@QQ4~@OnXmT%r#fGX*F|fD+<3GjVh#6*e zr@Ac^l2)&iucxu2$hDQlxfhqimV$S$$8BU4F+PG?!?(-WXowEP_XODirpB?1?8;j%5t6) zZL-gaR5!Zapn(+Ic7LOZ2_cAft;1#Xdo-QK_3Q$S7XDvizkmLySaDDySr&S3VfCz( z-q((_&bB@4V_mZwU3pUmM(SxEtNDV5Z_zO8sko+n^I_yEaY9AaE<5(}R7Xbg@dj*K z{cerKd3s>e1Gqf5UJ^ShLS55_;ps*xOkECXspEBe@9uU|`9cK1gsE3NZ*0$8J_okW z5$8wgcHq9hS)1U{q{H-DuXyuMsbA`sip$h+3=FeShcJ2j7Y&P@Bo;Z?-R|WU3E5nM zY?0m0-!GLSNZFUG1#vJfM&ajdIfvk@1b&WjETvVM^w$xD{jcGouznE7WvxE|!3Fn7 zOoxSbg=EhY!k|M0ayE~;sO1qX^WAZxR z3YJbDO<9?rCDs~T2=qOIMNYj7E`VS(Bk7+0nN8U?GzW&#gVeyDmjMGm1{^S;BasfHq^C14h%SG=L*QVCB8cj2Aac{ zyR9DS&61?wpXFAIVn#RukmmMvhA^b!XwRu@rx& zh`YtghzKhH3d+8D9<6{YeFFH&U!$RMP7X#zT>l4<;d5sc-UfbtJnEjm=w6-MglhMj zF5a{Gu5`;k+S*fO3pjc~80{2k%BP9g)L&xA=|S;IZ$CzV6!dkT|VR zb7)?>-EOXcErso}_+O;9`;+K_io8=Wzz1e8$Ceg*GD45uz1#U~ac|ORMj5JSf5CLw zZSn#c7728G!AgP*3rA{^Bp`zaa`t;okCC*O-2rbNfXeXhws81z5qyRIvRl^g@l*cg zlPL6_5V3jRVSm)$T`hW5YY3aVI8L7EJq4_O-_cXr$~lMU{-Y*YXT11n*?MGF`6_qo z?@A9ARcw5+`lnm6jRzZknI3DLQ)L&7amCDI2OqkDX5wR585uR|E1_j z!nmievuk83#1~9u15cqKvx=A`%DG9k60wg{^0m2aO4p0=Kdh1zc5-$k=15>WX0xJb zL8r|H7mth0Y=}zRKWweB^OnA&JwrvKZ<&4$3?i?Oa#Y`CltjOVtzdTf!>wvBXOL6s zR;nYvTVB6ZVjyGC>N#Hl*wZd5*w_UkyZMnb4~dJHV&k0IvI!qOuyiWy7SVP1ABjW{ zEhbeTyLeX;6D#e5E`_rHPV{8l2%o8mJd(os2Zc#*RaP4@E{L(=n&!k;`h)k<;V0Jk zDR3Ou?h2x7S(CX5QuZ-v;Puu;XMv#KvGR?W($<}$^!eM^wYTLzLT4mAm*O4u>@PN?jsZigEcNQP4e}=xWoHC*{ajNq>cWA$m21{Hj$kTMC?9LvO&E>@K~KHvlaYG5*Nn2;`_SsC+mN=IP*jDm;jA; z&^wFid534C&|gB`b%UbN)@7!JdVEFD~4y@-HYs8!=Ibdm=Dv3wkiNC)VbPjnjpIkvTYJCyZwE)TwZ5W3mqmMMR|QGS^U^ zyy}i-Zu8N8CGJ!Y5mwgK=08Szz@;4&f%v*g6kzAH@wuWtc<>?8e|&OnYGE5qPtIw> zV%ca0V#9nG1G&ju1T@%?{x~=4>P(XkVdpiP);fKf9HxKQkaZr%8(S;(XjsX&_9W92 z=g5YRIDM4{WbdxQB4Ddl>WCv??+$=^Z(ptvf^7Ogo`$Kkq<%1MO60wu-vOzU4cZi- zg$#oXs%ey+n9am*f4mxFo}a_H3-tS^$rgcmW}p z0sub>72jT+4HNJecqpE@8|=l!Zc&1Gy=z?oew1T={uxlSYFQ5{K>c-C(x#DPOySwT zlSfZQ&}8Js>$6?3) zC6<+2Zk3oa09VYu>K^J@MI~Dr`U(f@FaOI#VZxVx89N9$H1}d$6#Z@)y9`4?H}$NHwuCzho;0HZ(IS?PDVM&0C7S?diGM&nsHLLnsHh(A~6 zr1kY41H!InB~PcNKS9E@VAN{*1;ZB#5G%dl*B7ffGzA+RbmwBDPx-Rkv%sWWgz zXl@m#!bi*r)Q1)-a{KTC%_18fmRw6qdk^J zQRiyABQ{+0pYy_*BXgLw2!=tL{jrFVa9F(N+m>nG-%u!|rM4h?%f>r>G^*Kq*7}?o-Uc{XraJzb z(K}~eWAYmm$%egn{3tb$6VEOidcs=tRymXSJu$E~D20wAAXkavRV^oWU(h}Z6%9Zj zZC^pqK)}yK>4pzyN-M{g(D|wRzl( z^3|}3p(q#hJ&ySP_<~5CmtikShr0oSyjdbz?<~qg3`v*PSei;#SZg@NYDur^IRfYB z_7vZvIo%f?G_)Za#wDBSOjC!X>yO9PUhRMP`s!Ox1DKvz@%Hu87;goj!D@z=*c-x( zjd-`iI$p(L;)8@uHn(7c7%73Cca zsZ4k1@Uos4yL|8Ye7|4ox$Hr%|7y$ezg`VpPv4>^-!doNPPv61JnGInx@h|R{1m|) znXM9wkZ$tC6Jf3h`KI;wo1^rc+9y9bIZQLYQ9{9HI)4?<$5FtsO%7&x<7iK=<->}g z4)|%&B&o8nvz99W6?vE%}xAgu>e8)Kn zkZ3uQ;reLkui@p{8{(QbqZ)s7ui=&v#o1Ji8{E;EVRc4oeh2JVaS=C`1BbW_R`Smi z8$qb(qJO*^&yY1FL|C9!!IwuYN0MH3 zH{c8%HK*cDtzG?XI>Ki{wn#|EgPAgcHqk4#2mL)-aLKhU{4?{QMHixAt+2Bl%RT+XZGK58s z@I5O$K(0lLT%9fj3Qk?!olM4z zl>PO95@YNdSGGoKtQb1ouwB2M2@grU;1UAKYB}Q6bPu*>PwLKmra*&ks+<#wFNW`7 z_cZ5X)Qm4s^jNWo$&iPyg~aosU!3`A6UK%GBd@Uh83&}1Mq@USR&z8%hub;(Z7d^U zIXgxS5l=Ui?P4l0*3hrhD##&sc4pznosroy_lsvw6qp8q=e-%FJZrfnvw ze2kv9&9Km{P>;c_I6_pjaO2WF-McKTgmKXc|rIVqRlJ09A)r!H$js@f2 z`Yoi56wNykvzBX--LxM_z8)6tM)}ZcKzBaNk4D}^JG0PGxp$l!PA8|7-w*f-u+Wr| z&%j-j3X2w6*hH%rBg0;`HGf+AE;*U7(gHnsmbtHP+;RT#uQI)GITEH%7AMh1I4$tx zw4Z$?mN<7B_1mQGk|u^Y#>26o=$v<~Lc4S=E0ehJh6X&HM1DS#ISy#fb7OT|qZC=a zp>EE*J(6Z+X1OW|ScQ zmQ0ye;F)J}T<&IybSp&94rwSLB2Rp5=$du!75uflvvawW+m8}kPzpAGuz0Kn0$uSF zop-FQ7edo8jB<>#e?-mXfUf@AuV;@oF-woMWxD2`aeOWzFPu{h5WlgOs3g0?BluU^ zhUqJ%g^_yd@8>dspo|PQ;VzGXekPlAaS_oW)0omBFt5w!fHfbScQiTD3f~%-LH0-B zEAVojuHS@FBlKI3JNyZLbUSiO24-^Li9McL!vbMD=)D?XxGWzp{ueEoVzvjemm5L^ zAng0PpW^jjKs$a8+-=}2#NJUr_;bW{C9A}Gn>&=$TKqKweY`vT&Od*7>lO6unt3$}F1osU`Wf=ps6hBdgjyd2`vsVzN*KeN~O8#YL^PC8N`pAbukOK-I~e&FY| zyHd+X$xwN7$*SMa&VIh*r|k6-4ngVEcX`yK-hWv*Ru4;cCA5~w-eHb!J&!6l?V*1h zaF+&L;$!aUNt?1Y4z#>jWB<=pM7*A{Wmr0O2Rg|D;Odt5@f}jR9ti9LseVWyZnb3$ zvSVT*z*_66zXVz4^pOsmCzoHDVocd{)c23$x1!sgDgVovuN+v`x(5~;M-SewdV*UF zAg;y=n^LTYS_%a6?oFO_h_9+ImJbmRv$#NFl=%AMTxHM>sWqoXgyf4!E}Iuyt5c}Q zb(*Zu-KOCO-xg7bU65=2^@Wi5p)uYoz~sGQB^X;ID+}%UbahHY3K{h!+jX=6A1p@Q7F**b%~1#O1UpVbwS&|5j7K+kc0}5kUaZyK{^8)s2OUvt&lsCGl#!(RE6& zYxtQs+Xu!}8k@0m{K48VPtZ!m;Fxb;UcLO0_gLG1!ax4KKi6FMaZAHI)`wZ9e%8c` zTk?F=O(%bB0LcD<$blNI#qGmdovae{;x@T4gV$lHiJX?oNA13#x<6_b#MG)&< z+qhR_p_g#A5l$FChf`ia6{e^N2d~!R-;N6D2Xb;B7S{K_r39x4$S*(tRD^O9I0C|@ zt>8z$pAQP=2_IJ}QFcTG6@HvfU{$%3|HM>vb>9lP=-gbx8~jP$>i;iCz&4b?Jz^`K zD1YHM2k%S)I*V~Q zGKP6MG*i4`y-JsPGRlIaMi$`jckCFGGQ^Y;^ZZ;djf6yRLIpYe*ueb0;Z+eHmD%Iw zbv-=l2r*3 zvx*L}!Tn_Qjw;+)dyU)DZNkyuy9EWVm1CAj!)9&}@Ul((Qghp17xo2hs&V$gwwLb% zP1y-1i>~8z^@q=X@-2qd`zhV2ki?a|DW0);(qSn-+octP)m}8C&aVk@*&j0-P;&bp z1hqdCe8VgRjDGABeF9dxl-GH6ZxP zj}GpbjKu1tsX8f70@Yrz5og}*J!?DIgF`$rAl)Q2(1`?A-kkK7dZzW@LL z|NnRabW%G@98nZL*S99>x|=i-$QHpuEff?ry1|{ZbK3KBa>mYCIw)jAX|99A zqa!0Pm`t6J1Wn>UF_vs+OQSyryx*Tany7;R(YBM}1Rg=6aE>cgR@qLJZ4d2$w)~@Z z2BN7fV*jg;0aIBYrE&T;{-nm1r;vzi`=xR=bgiP)N*0i$Q~|Th*F`(fD{t4T321I# zh4_LfYDt~ zVjs7jm``cGBAV$lZ0{E~r!&yqG2HdV1KNY>dEz?L2h9?NbbXYN7wv|!wx>770LLTb z@_Er_@Ml{WLrcJWV^5%emgt}=jIS?`tu%Bz1_I=z5=m3V*AwRh7!7amZi!Y}1#s~3 z?*-3{`yJ)!V=5)~Bkpty&Em8>JM~j`G&0>f^CfmNWmaEda`SNVaB=fet68{u+L&2TtC*PCQFBwPeWlj2Fr}6@w{d^g#P9o$xfd_e zh5t)lFrfX9nu-38dZV0VVYSgq2b@nvaicg63VmOH{v-%KHDn+Llo=&L%{EA+R{^7^^lq^c3C@Q(SL zbA(sckz327{^t5*W;#S~9*H==sVXRIJ!WHPXJ0Gd(UQ%Zj!inR2p_AOiCl=1vAaHx zd6PRk4I1X({$#_ZRBw~Ur8voqpNW6Vh``Rfr6!u-` zCqZT??9VgF4u!=%6G|C{gmv$pmLMA963hYZd@54&cwgg0>7yW%{{UojdS#Z1z<))Y zQFFX7{l8Yw=Hma?uI{@AC&vSWz{YFbo+dEPo*K;BNorWjOh{BmahWtNU)Zx&rJFeCS$EA-U zy8>ZdIm!X5Yb|=Br{%nu!-Xv#8%-0ly+dp0$G6^)UU~V*RZchOG;Cd8>H?vs#9svr ztt7ZC^1r?`zB>8)#c6St!k@s5vKL&m_ZHYbA?Rze&D{Fe7XyLYVZ(x!+fnjK?}yfR z)_Gq@a<8n+r%8<^^Hgxj+Q+1iH_mZ0`LN8Ck2lm)S%qg0t+R8K9n)VzQAGn2FLX-u z*2arIP8F2omk8gTee_6K&nnDL@hmE_$}_M&YPQy^f-s5egN`Fml^1LNt96533vmDoaLE2x>qg*12e3?!d8Ke?Vz@o z%`~*_WN$h&G89!^$L--%bOk)NRi9rkwB39e7V)jb?Fc{UgZZ5UCyoW5_{4hTpExpgqa z;Ea`QVVp=Ry>xCreZARohbJfie66pOQ_h|3Ew-uCqWaU0wtW$^$(bbp7tm1{I~ZH7 zM+u-*1k7^pvtq{}K@2-qoBuWgA`lxSB36qOh6`uU4js`iax5R=G|i_}jp|&?pNC4d zcIZVV0NotO1zh8s-*1wd1?Jj6RH-)&RK*p`KIp*VzW2zS8bgHIK_3>BA3Kn<C8F zr!0eT`Q)IxF)$mU$sZ89U_l-bbRNF3ePnQ$15mn`-FQjW$RLJj4tHNJ`&#URPcO})p5Y|^QYP4WOzsTYlIqs`UdHpRrw32zp! z(tA!GzWbYA_%x3|M9?$ZkWV7$@Q-3@{*lVf`LMPt;0=o7uSvls3a#tD&cBQZ4ScWw zjhI>hTOc%Ouv5LR&q~W5CQ?^tCnd^ez>bsDa*c-kpd$#BHA;%g<>;~u@&m349!0*m zLc1xfsZSS1}@QoByTOUkXR_fo_?6cRSz!nPwFGOAh4N`za91jr8wA1iv z{(;yv&TXtKw9~8|kB8n%?kn!ft1)nc``^lbzIW>eUq&y@ZV;Wz{$E7&7WC+fSa)1$ z1L!XKsa+=$qWJORLOR+~oF68v-V1{P`3dA9-1Kh^vOjPeTFjn<4wrA$z>QD$R^=P* zuf@z^e(i#)Gf5zBrGGgIBQD1Mi2T{?cFEoRvQV=z!6Nvalz=l~PmS3ig3(b-q|N4a z7u<*7+(|&xI4_U7(c<$+{≪9-bEFaynIb!8_PTW_p_WEkPh1lrp;7Vb*P8Iq(RP z*D9j|oyOaC!t|xGBr!u=ji~t~OvI*8na=tu%o05YXCE^#{c_|?erp6I9WPdN%mX*i zYp{V+Ru?x}5`N;?3yJ%>K?=KCEb*J)_wt(Rw@DR=73?lX;4Pk$#Zw>j%f?66Bvz_@ zWr1L5W#k`+B~${GT1*5WD7|t76iU8R&NCt5afGFMn40$alwBS6h@IV~3)7!L0r7BU(Jx+&AAN*QGtFhzE6B~X)H;9ad@btq zj#6KEok{OWNr|p2YVFRSFju*2dam}zbNHczsCD=$=S#c=Gvi_N&*c2?wLEdha#umVmAW~h{=b9x zch&=yBlUr^hxdvTyqH5l3kt>PO+7^Ptd%q`namt*d*yx$I~+}JYA8LeIH|twVvQBs z+ZAn(Z9`)mZRLXfjgf$J8nnucUl?j7nba8^-Lrh!cZ4%Et;f%q#(IbDa0~gPHsodO z0uPy+(?zMz#SM!`hUi}mvHxUFAdIXRTm7lW#*n-`MDAK)f8D_BP}CAFjr&1y!#=7dixilO>Nh+VNu{8YB{*6vu%G!LU7+&{NGaVAZH z*i&c7*V62cYxlUFd0`2j zAgw}c83$785}r~--HhAfFnkU#-xJ z462m#*t5L?p$x~CW~8ysk~(PZxEWO6UwDr9)?+TYLXYpD!t|+!v8)6{&ct#Zcyl+H zUB4ba?D^J+ocb43*nj0s_+Io3xp=g>jDhgyZyFjmm^0aTi+WKluHTvFR=qEWi@?=l z8_XB0^NhU5Gbn$#ScgXVH=P<%Z-!BFZEG(@|Ey2i(eWPBqM2)0?nyuCMe6vy{8>6a z(#n;UnxS(}MP0_j3LxFE;XbBBlhUxPDC?ZNn^$XRejQ}FknwV;bZjJ6Ywt;$eO0R6 z@_X@ex$kUnx|_FR7g&>aq4~c?W!VfXpnu28ft1vxe5@Oy7j)x{2_6$Kbdq%L#aY{F zxm7#)KdU=v1~P3~&N3$42>;KOdkuT3^x^~j*BTi~UNogJ^S?^u|8oXtkJYn5@gHLV zC}Qj7ewxk-=6@XFH`BA?=1ec%!Tiqxyp;E%JLO8pm-#+xT4;96KDo#{P>TL{BCZ+? zO5QR3CL0Ewd+u+R7Og#lV|1**TeC&aDC}cO_4O*bUvG=?IfCnZvF*CHPd|y{2%l`* zKuf5eTrNuqXXUo!HiV^)3lvz$&vnrRC{nDcb=clm5bSVOQojA$Z4>SRem7@leqI04 z_~LNvgpt48g}Ft3y)teQh`RdANE?S-;FnxaR2T8F2f(-MygLS6Un8wHs1%XLPGk&kBi-_WqfR7DMm7&CaJm6y9l7yN=_~bA z3zqV@U92|uJ^^HhKMQjO+`}tfABW#UL%*Ab#HlNwtzF>;{lxl0&*o;HetjYtagAL5 z;{C3F<+3m2URL>@{O0WVuHZ6Kb2sv_YUW{nG$kjnN+;;X4pG$JcoW{q*-q9=tXYBt zaXuhhG>$-L_Yi<)8S=))Y6?{Ufb`O8MjF+PJaslbH@~Duq?hJ1@H{{UYn&mG?av+f zb7#YF4*^))mZehUE_EmsoCztZl`4sMWc# z_3wbYL#hWB~a3RPr;73(|WN3Y{eSt?{E$yGzMXYQnEDP?ZQl6fNd5u>rJUYyUt5Ax&p zV;~pTo*Qn@V%jpZ_HKTje1Jq6aCY}ed78c30OYM%20quw}zN3~nLLPu~sr+!(A4y*lN31221 z=pWv_EC)}Voybjv`Og^1ysQR49g71Zx^csbA5~hHdT5D#2&qoz(#2xkq^NP1xzc=! z{S#JEJK{ikT_#~{x@a9(b3h0xt)Xsjg^aeus0vq8_mIgDP63sra~Tx~4-OpNEW;+} zRIh6vGVerCXZP2{$9C}O!v7`xb8pp!Lk zz;^3~VlmSxdqI};D`it0Q=;`+fM(5G-D1-!qz3ajAidzCnR$Q_ZPq0o`Kjd$)GyP7 zf$7Sg-7WjFx-1m*2+L(g!{ki1GfH>H6QtXm8|^&$Uic%~*%>K{HdskP(dz1a!sBh5 zazC`oQiOvq^kBy?^K_a%D2qgXx&A@$aIgs-@16!?%7Q{NfCZDe4dZyeuHzzBfilWP zW_t$|6ryg<*JIt&yqL1eA;^?Sz_k=f6gNe0}D`YU0T#R!b#>y@96d8v?MG~YTQOI7H^9Vj?xo@2I=lcmh5V6Jw*Elk$*2t64u=Py?FvE;ycj3tkSbo6nb!oKjG#RI z6uviY2$UMA;hkdN+JbYq`wVmI*hLPuLr=Bl)Tw@uCtmj*b-nO~p}laitLi(7aHa02 z#NIWdCR3oqHa;tQgu+`opWHb69G%|j9Qbsn^v))OLMW&xw$Q5?|mSMA7^EF2#*Fsn2Pg1B&?*_BK-J}5& zBnk6Z8I@2b@h5&aAM>jc*2&?2Qjq1bHJM8WJ78^WW);_M{qe0BgpC2>DH-Z|F}_t` zc1s9US1g^9(al-YSz%pML5^5pGwy${a2KF7R#FD$MKt_=$BN>3_x0M$>X7T{wl>)K z+G%W}`tBwR!7f?DG<7q<&|hwt3eaa!$G`fDz}T@YhTv$8D81F z(hX<+PKzc)NJPD6iQZi0?iv(AaMm2G*NF$R^8zt8MaQ~)(D+F&JD(9LCc+g&0Apyz z12jPd1_?UsVF|5g9SGH|(1+6_QAv_x&x*?hQdxy;t%pZ_+|J$GrC04IMw=#>F7VvM?N?ECRAS zU!B(h5{MB|W;wYO$;i3?3KkIJ>Ur1c50aGFMiPPmZsIx z?laQd(~^^O?Mp@N!5@&c;4c~2Dnhptu~@LTiVD)AvDjq$j9`?iG%hE!7(!|i|E#Mi z@3BQ0uGw4?$6`aizo&{16#h*_q(2_l$etFcMt30V%1bSYkl#+%BjC9sFAvIbYIr)YS3xyQeD!xP@aJO3?(?HhD_@#{NZ-~Y^J=ShA6YadN zz7mgH4wNDDLLs7}8}~zlH$cjMOX%mnT!+^+7^BqN{7uw~;h`n#fdM3@Yq#d%KNdY` z1{lC>UkrtDF6=C60&m#*K%a0fj3y-DB(<+QOK~o0)s;I~s~<&z`@#z~N{;c1w-}Fx zJTTp{6i}%Bl3krEXuob8*SyP(#fmi!BlefyRu!GTUc|*`3k6b-{0OgL3*nT;-mw#e zMzcG&)4y@YTwISqMP>wNDCiBNPGE;$)KdtQS$R4xpfn19sf38oZMKkcY(s{2YI{ta zW1XrJ-NtvS-26qvBoi+#V8?n;;B4?@-8#%0F}8wtb`O{e2K)UE0tncO6`&LBEQjpe zj<67SzS#@ZZm#$kG&zFPMCaG(P6*I+)*d$LAH4EWQx;Qp*t*)!_RpT_IbavPr&#Pc zs1UsuX%3xS@xAo%!8=(=;q%Js57b&eP?Yqd?N90l1^v&!uL)T{DXGLXxc@$4 z=HIg_pSh{QxO<3Mq)!G{DdIKW*@%`F=*s86qL!bHcahwtFVJf{Bs)K(HH^zO6PiN$i_( zswKSwYF+ch!fr_O*QUwZ8TrByja_M_&mRH*wKU{V;qu4b(%{GFXIDKtiZ70leQ3ka z7`5P}iBHk{cih^q4+R9j%qfKENDblr;6ha z&M0;iCwC#XE1`42i@Q-hD#xqDx4_U9DR^?Q5&4b6{Yvv*VNsTzqx0i@>s!ab)OUgM zkKOB%@;7yEqvM9cjjNk&bqa!^U?$)!7&d6zhvwb&v?EAx7ke+V3gozU9?;lL5Xto0 zCS3mER+bgQn|-jEg5wx#U_*kOXufn}Z5*sL8qFMpv2u` zL2f>@W<{*=+w76q50-ICk{Lmnx58+GYdyHT<*j3%9TRl4Uwep(PPUBV&N$eLs0bZ% z@P28Gxcv-yW>c>fo}v}hp7DgZFBn)-H~Rey8mZm?&q&{r8VKTZUC?KcQ%izf%eonq0 zr47k@`C{*o;@Oa6)Us$}?X-{dxVm{s{EJ*HZsLn}AIu(4MffHHjq51sm==xuF#9es z=~pDWYS7G!J+*06x(WEdO!lvu42=gk#ijJ#aw()WdEt!)cvHNg%dpLZOY8nMM}6TB zL?kk^xr|JroNaWW8a;k}_s0h=xfq2FeMb|`f5Sm6_V_j*7GAdr%Edr#62p}nHUV?N z$P!{WnjVzXrW^PN0}0TB^1lKApou+?S37TvDjcUD6CQXv3t%u{Kj;T61pEMgK+WD( zGZOSKt9lE57}?SbD1gmr;cpzV_7`=y!ZeMT#cq;%i#iSqQVqR9-;7{@4IfY-+cs~; z1T9KI=Za3k1rVKNnm5OqR*DD ziq5S>I>P;sAZ!;IC5Ua2q-sG7j{`{Youb!Vbs0B3+6k7X3&N7?y&XvgS$pFYWLyO01d8 z1y8-=^-oA5%v|x~a+Xa(Klhibv+3%E&}i{9z6e&SUn>Q|0(Su%e8{)svq$za0+!+G zeInyR-A^4iewldhynF_D8)ON+Pr7#Bdw2Q#_?q^rPByS>V+UH)E=M31{gMiL>0gHc zz|fp_h=zm3SgLgW2g2EBz|A&uk%IA%SsD`uMamxs8+q@VY0<&{%&%A{w26raD zhuZ|j$AMeVBi0ZniMjA}9@bm8jq4?@u1^^egb@BFGSY9R*z8?OW1O2vKV>-RI06}s z4_hGOQiI1pr)P5$d7LezMG}+bHlYEeR!7m1gu1sBEN&C3^u3H>9V9zpkudQzN|xl| zomolpHavjnqD)ecVU;9SzZ@B?E3*DE)hQU*;P~`J{=Fom0wLhd!!M) z$sZMeF+b=*)OEtG@RJ~G4A|H)vWsqr&B`B&lJK4W-w9VarKUDoatxG=uf^qGzwNJJ z*l~Lf0Mt_SZg%De-SWktz42; zrc!6cj&U9|Ul+!U%62Qyt{?kWw2qG4Z-f^S=3A%&WlZ>mi>t!fWRtozAE|8A>;@m% zaRa7QraqdSh0mb50f*2`WK4NY&PiBFG^;axrWc|aPmpzMI4`%8FAVuZX-Z#c;<1GN z5&2yqKeRohA}}Vy{ksAn(_lingwi{NPa)$u#q~ENJf3oUh{{Nrs!*dVCr05a##Fc6 z#VL*i?dgPfk*NCf(W0sn5$gm{K)88 zZiHr50c<`rxrYL@%DwvbOz0?;_IN^rmAD+|T}u~tLk9+%ij7fF`mZ9@)m_L3S-$DU zvl+eV2Dy4AnyVgHcbSGtW{3AWB|BRYF>A#Q_N5jV3U~bG^T&+W*ahV~6wf=~F!}69 z^0%F)dePgVyah7-V=nokUuQ^JxfTeO{1nu*+29NK$Nui-=iSVXt2CJ~+j6qQwikul zslHw8LC94=3Xls!;ebeu1mV6^TrX+X$}JDUT~7`Hr66^6;U4U4A!_$CZ>YmlSQ{OL zeDi|T1bylKOP|(_28!5?SlidNIJk)&1gIu(UNnTE=|3nclpqX_&PN>wKE^k5^dKL2 za3gJ+^f8fZjjRAmmuwt^&Ezgn^O52K23M!TuupmyPzLUE1-2_^R{XkIo0Yw#+_Sg5 z9sT&W=wl{j1tzQjxY;;g8r*5QRV_q?lGlaWCm40<3;QZ z5rgb3?6Jih|05pqO6_Q7HgB?O2w0WMWg>S0WPksd9p99ixH$>4ge5pPzeM=~uXt2WhFh*+ z9S`n1EfBlzd_47d{>){Q(4kIKDT{-#?M7o7&`r8!F>__Wz2N5AJvF1dCDwc`j#4gf zw7F7xVKaKMq-Icl0OiWB1E$5*0;_Dxzki7u_y#%gsF;`_b21d>@o3D(5q%EQc`Z= z@vB3ta^k}oyMI=CwUxgHKq!9`@qM(tCS(>wtdR5I{yC1Q3Lbe!O11yt29iN04gi=mH z5fDQ6C3KUm@hrvI75Av&L2A=HHGhhKaUOod_xg!Rkp%EJ8{aNx5E6tAC*y*ht~=s* zHl2)e{vYwn^fh({E*ZPpssRv&)9Zh%E@j8A_C6hXv_LP&7ZJU zLK17~&&sA-BDNPOmy3TK{Itx8^ccUr<%vJ6-(R@Z)M-463lnHJuZZ8-wu zUs_;EsIUto-5@fUm)5VHKIQz&sYqQ`VaEpud>@}x@5+K&R&Mtj^3F-`%kZ~_?R499 zHFqtqH;e8goRKFjSmyOjmh@2Zu}?&YEXU~@2-A4BpC9egzdyr2+oe7l9e$`Jo8lxH z&fnLKwB!3jBEL$)__GX>ep=t!W{HHh{`NXW_gObk@R;;)OIu|le;P@eS$-Pp-Y&`t zJy_J-FX+B2(`rBwSZwvxr9u-~8sBoo8D$RKHSqS<6AT!e3bTT?;~}a0V~^V7o}PDe zd)0nn=xf13hJJ&8{!e29O$UYO*;tdS$f`)pEROqQo3tiN4L#?W5{NxymN9Tjw*4E; z0EOBtc^`5@6dDda&x^gC!8b~kzc4Mml-QKXB|yqv?@lt71i-HBvIC&^boB%XanbwQ z8btsi;P`HwH41oI7TX?hv*ZV@ zYPjn@__QMigG&Vf{G&VDVRl-XhVXm+f>^Pr=m6*^qoaPK#@==qvX~Jxa3uC%7m$;J z&|Nv|2h$gcJ#cA0s~5ZsDAfq)5p(E3K{gc<4#;|V5gm|+IURG^72%eKan^N#mf?K; z;GRIb`~C|@LvXJZd;G>=wcU_F!CVoScDiIQr!Ogm;JWflr_pADf6VRMt2~eSV&%o5 z?|gSmy~rDi3O;6~Qk5;s@Y1+Mm1{B`%;be0T(}8P4IG9yqVJ@p^L+uhbBPv02+pl; zQcrUqAL%52y;Vzkg9?9~dk@1=0^9I}K|2rFhXBacGEC5tR$EIrusa1P{0Mr5sHH;_ zqBQsxG%m4w`1@AK-&8$j>+tt4U`yz6qZ7QXx(E?9#FLmOk4=vDs^%~lcYVDN{O{h4 zavED)(cwpfWSP>QM z{B7li_n&BfDCV!b+uvT@&0!<-mkoXIuXLvmg$C#P!jzt$C|fImm=|vV^zjlIb1kUD zLsgDucB%&F>n-5~cGzkCjzB0}tiay4af)?ZJdlDL+BiYb&eDsgOP(;H`a#SH%NaL= zD!tOlIv6@q7h*q25Kg4l z&8O&>emk>I6L8R9Mv$qJulqi5Fr`tK@MgX!z~K#IcD`esc%}7_kwJ4f?jk?tIdZwW zx1&XdMy!sK=vO&k(6$uF(OuUEoa`A^69gK8Zu<|i^!U`)W~Y&3Lb5uc(e!KGPI!3~%*zVgN^t{8bV0p1(^%YQuE3a)8 zHkQ_tJ9mf|nD8RrByhh%E|Qg#{|FTnGcwtxVGHYGufI1^3mf5ZR^$NeSRbjpxSBNn za9(P#LcG~Yr+-E8F}cf#{GK#QBs2p6BaF0~q)NH$2=-}h1B$L5{?sAhN7%;VAxQ!D z;}B)h{pajz$rSHK&n_8gA@kP7xphLBWvEK|x+YRVs2%Y#To!*~dFv@tzc!Mr zc+seiCI=Kla9e2(3nY6AXjRGNUry;~ki00K9*!HKcM+8hEk z`^VF;E6vt=wUGEp-B{l$8oK2l_k)j1+3nt>=zZ+Ko*S>rgwDdrv@+i<(YKG>v=1Qb z>hT?;9q5*ZE${m^Bk#U5I(X;grkN}`666fKPPktAcXAOVAN~Su<6(GIJ+O51&@515 z(Fq%2+fD55Ew)b3N7$4fjuWc~?ARFqpcDh=^8QY3JhaL|p>Cx`RU~3>l%6uTk#4)O zF^B}y2jgSfHpFPC@|e;U??Wnhqw11CDq*3uaS;?WzNoY~44i8PSNVW=h*LqNpo+(qJbIhQHyZ& zaHkDbPYaSZ1;8F24Die4fm~gALL2wVg|f;(q8*|FH@?0ZLtxNPn3-6aJB^vh$9w z)GoKNGmizDRM0{>Eha$SmO;u?wtzFo0Kd(V#W}UqT8twt83|P?fy7Zq11)7fzh)P^ zp$=UwqRKDDFLEqdJ>F%~K4dbMPfKKiV+OC#bEqLC=#peGxa4h@_b^w(vzzXrg(Z`5 zFAc-%B}Aomk?(axh4V1Tzn>YtBAk5ZTPl5Y!Of0OHoNWCvUTD6Hn+xiBg1u{urw$b zt?{Vq-MmwAF-kw+oj&khR^5=bufEc6!A1}V4NZ;d}(V)K}?AKw7C z-$_&-EoWS274#lnNpyN`Xgl~85`9}cugF+EholtFAE$MW)?mq^POB!KjC9UfG{0H@ z=Y4G-pP%=^{*01UGlx(+jk-m-BR^{XVeGR$?+Y)dQl(mtidAWx?Jf=B+?9c{oA1N( zrIx4ZI>Y@xP89Ef5ULU3ISJp@9C8NX>(K5T;tq?+8yURQn%45kPzymDATakLZg_emYEml-7OkBQH>RN4I_EA+G@y3OU-`%0Qo#_syGfG zZr!I4ESb-79QDTNy04=RiALxS(3C*gKo0Q^@E2j&3zqg}QDPsFKocMMM8r#CAEl7O zJ&Wk-7N3HlSSp#oq%T#|E^w~P*oee~RN?UW+Bv*?x!$2WDcAMtyQIZwkCdNqUCLNW z8GPo-+Ka^xoU{h9n}cWbZwDiRDPJa}D5*s+>~YJbC|S=Ql^99(5490Z=z;_X!hC6e zdn-OMNhx{NH&O!TC%E0;*#yMm8LJiQ9i3@pXwF*zOH;AV2_BgEa2HWjA|QX+>*X~3 zC5}9(4;&X5hkSI~>h;)4$~4k3dk6O%nkzSIg06!POY zG@X^?g70DZ^CEs0xi7NUFa7jKN?mN*RpMzgw4GS_D;*r;BGX&c%_9h@c}Qs=H#>_N z5%+2*)G{#w&jkeucnClxWS_2{3o^r1g%k`tD-PgFlW!7z(tCj|7~U6<09Gv6!u)qS zHwFf1^Elx*ln;$4yAD|X5S6XQEiwu?FqLpNOy2RKvpT;d8{3b<=p{(G#1BQp6OF1s zH3sen*(kD$uigY*hHc&^7{U%aJBpAw@!l~tb((RfpF=QVgz!>1Kt2NvkBccULYKn@H|Q$g5n)2ikWlAmZjZ>rEs^ zMXZIxWsZ^+1MS*hGF&+SA_pAag`XO(GI8p%t+(a2!J^7Z0Q5{QKc!iVq|bNSV6UT{ ziBlRi9ofxAi04ZqFSPUDZO9H*6d17#Uay&ie!^jC*KdySj{?(doBGJ+7 z{<|`gu-{flg8XV&NBorjZclohiSo|$O?&FVP=!bK-8Q8@o7mS*VjnvjGgpPO3u46; z%De60t8Irjv4u{+mM7jIZcBFm>-gx#J?N>oJYEI;D}yUkp4CD0{PmK%`CIIxov zB!rGgmXpe8fVc<}*x7y5r~57kM2>uVve!n%t;7aNeo!aURkCU%i~0HzckZuph`I%5~SfO0bSL z`kKJ2rI3R2u+b;k>V$0F^smP0o6-7P4^)^4l9w*F%3>urD2}^lXRx5|^Y%qOTWbFz zYCv-5dfw2soyf>G@1OnaEzJ)_ppv^LgbT!30Tgyk0Wuau{1x4FI~^z>L<2os%(csF zB$DnKDIz6Mr~;sa_geaqrMuXht+S=6J*SdBnSAPoQvx{^F7YL{qZ>| zdH&;L0p@;S>SMRxCUJDQtAEahWeLqYoXnk`-Q_lLm57FVfu#vP=M(q;6>Y+MQn|Y_ z#M0u>*O9(~{crT+JY>S6*xEiR(@h64VTM3Cl4g*}h_&{LXWA z-*C>8-ZVPzwD@2RYR_fPn`7i3I5gO#7t`bOU$HjIXtx4YziM*cnpCCVY``CA&A$zy zlXwN5Q2$x;BJeDmF?5B%y^FDfQJ>;uT@3?#7sNS->5>g@U&O&}&n^u{Xhraxe@b|~ za}h80q}BsApe@~Rv+`?3RE2P*>^#P2wL9ATiOc!_+y6J)-8DNB_2-u}c4a|b$*-y4 zNd3G|nTZP-cuvZrWy|Xr2G%tM&G6JNx@EcB^eWS&m?gWd5H^V3AAXzV095 z)Q$#jY^F`}%E{Iq2LLv7?{_I#JtP9xQ+BDzV|3pkqs~b$%{tU*&dOSd^@BBA*U{4aw!~IydRJ_Y&TgF3GKog7lC3<#E{Imz+DOUSpeVZyYvfDT=6^c#u_Q#}0>;0{Zp1{C^i^?el5MY!*Me1QlhClqQ7?jJ>hVL%n#pOVsYVNlKnSw=kda9xEyY$Ca{z*;b!4xKWAd5B*$(+(y+) zS?k5oH{n@jTDsetnUa3uvFo<($v9Nsb~RiDKJ%g^w$aB3bD|ju=FN=19sJgS11YUN zm`-BoH;ejWf>?EDRezyXPXt{eQ$Gru%yVE(&PWTeY3N_nXFOVN79v(JG|MqU5K(4( z>1yIB*F8y1)6N2*F|#oxHirqBdd)$RboIZ zF;zH=Mv`8g!;{^)Po}757E~Lby&~|PWOW0Ztd~OXP`3g{B%Evci;(;w*PW)uQ$A8Y`t`MA51Iz;J-4#RQ&+`9mh*Ip+NvZf%UuS zDU{@n2oW^Gsfk}DsUFyQDVbzc;h%7M$0Q3F8Ow5>qViMY2PsVh3c|SM4H!}NB=e9g*<^lWnbu=n`dEAXV zl=DE4yEYU40L`V$rX#;^mRqj4p;_fm1t-(WGM?lcBFJC7Kq9?S+`kEPqN|cVRo*?; zL`u*#yvF!NFV%KBw!W5T?q#`_7A;Gc@>N_qN8|7<9U&bYElEa-Pl^uvU9?2O<>61= z?Nu7#u+-gFMz_iPvpZa(JeLnb0&CeADzEnG*lk?+Ercp}lUWPjiDOjj3K>iJy`f}O z6}InDlw-Gpyo{9}jkz)rt(0RVb8Eg^nVzSNj$z?W>OEo#uI=Pk$A;}xp(4(!M6io- z4*FZTa|x}#-*4e2M5a#R0axDpem6z1-MxacT+MIXF!z*|Q#HS#ysO`xQ)C@mJn|GG za#LjQFW8i$8xGA=U)K_6-s~CJ&i4IWKk4Nj8nN$ha~|g+$R6Z0W?;aN(u-1&^;}WN zuKh_`+*;X5`h_im_BWXxJaZKHZPGnm3{;BCe$!|8#I8H>%HY|6j64^Gp3gP0Q=HMZgf iX<8G;jJ{?rI zV@VNqmfLU>EJz3;A)Q%i#Lmmg$M@{h@z|b;krQw1kgQ}ySH5B|?yj!xu2XI2 z;lqayKUydh{zGNn=X+H5Z>qccT>0Srz6U-*d-Y$mS0Cp?s)g1{q3}=AzxrJH;A#(C z?ST*a9(adh;Xml}S&EJL^je)>@5Sbzzdr2r_SRck=lK2o z8+3+77vy@s-7zGrXucJjguw@%0xH1r+0LU4+u9Hc1%Ga6DYm1#v8F?EZy!T%?anqG zbLH6=nBuJ4U!+-`=&ivmqb_r7`Z;pn@aL@692Qm8u&H6CgO=?;$iAN|w-+)vfJywB z&Ws1(hWn>;9mRVktPWFGYF7@C7_iI^DPR}=j|XoqJlKKmx)DLH!nJCV3oTe>sXt$I z+HT0D+JC-3l;Ky3!3aMbiV<+|;-Ahqnot=1xMS{)8oNQRM{+HatC3uZjJA~}uZ z;x5L-=+~%pQBosdr^lqkLYUherP81|TIGgKG7ud!M|D@$Tv>Hx#g%1OmRy;-vbf8^ zZl{Am2Rr~qB58Hk2@MtMD%4b{s!&m(tU^hJRDT6AebDZAxZ^h2>;%_ed*u3Sk6eH4 zk?XHLa{aYOuD|w3OsDSPr8dQ3>d=d|AXbA|31T^jr68t36mwxEJlr0MXJMmY@hmJ9 zES`mZf{Wah%Wpx==*7t8pf!}T-;r|1mCYd>!tXN`cwZoib(3RJCdZ;ojzyUqi!wPD zWq)!k%H&uq@*Zqbl07QP-V|B(rpS>_x*GITITNgt%9#*Z&VGAMeziS;t3YT6D-OsyR&;hJ)CwYuSYVk8>Jb? zST8CMP!1fX@&Fd&6dz9xUBD=wOeP#qhJUnmp+0mwV@Mm958LE`_Kr#=FQr}*)39EY zBQ-I^a57g>a{DH}m4fAK;1wY2_n-%WVbIae02_-Oo&*4aSw*06o6vw_Jqhm#{r{PyY5QMcdOKnwWeF0!U3UV8)i+lDp{D}O2& zz%1^9W&v`DfHasKU^3hVu4x|Na^?Z9WFFum<^ir>9^lgD0j^pe;DY4=u2ml3GUco) zev&K+_f$;0^ZM!dsOY8COC>Lry;Sj172V4+xUu{VjmN+`@?}+#+-Mcaz1R0v^HSYe z8tiEJx>Z+K!rHOjX)%Z#UWAPl%70L}fbE>OkAf`~XDN>^HdRAy&DB-{rPGeIc;2!t zu^st@f8YZgJceC&5w8$E@KLZdK{Tw^YNW#P6fpyfs&Q1~s>WHw)lX49-t^zvpB_){ z%>||wmMkn=Sh28bVa>w2!cW7lXkdr^$PW9V9rj~8><4$)kM6J^-eEufVt(SoExHi?3nn#nv=pD*$O@5uWndL81s3W}ylE~jNA@D)Q zgSF5HLm(6={*${M0(0M55r3CxgjaUVsf=0hTUrUV2w2|xT7&-ektrzBJ1Vey`)d_` zZBrQ?3>6UXE1o5C@$2NjQGLYwSL>s^yksBc<#h`_id^dpxTjbHp<=KEi-C&`4l&Xe7BgG_v9x8u_uMeZFaFpLv3Yk2qIKYr6(h?qqSgfQuH>MbYTfWzpg~ zW5h^a#+?DG71BwJA%Eq$AKYn9}fs|wL8r5Xmx zbYUOYiZ?tgTjh#{RSU(lzHM-Xn9)!R$uHGNu0UoN(0x&?QzXMt8(DKCv-`ng-ztwI7s+fBbckn*oXqw(*;|8b z9c^KPkGv*`n18!7R6U`=)Ds#;J)r^A6B;@_p+VCV8ZJGdfzlHi3q7GR6XA|FBY#Ds zhDuCv(Nk~SAvI7YBn8UIjUC;DC@M!kV2KO?%gGIRA<9x_Zu^td?Gj#8VRdGM9X^I4 z%aIhhGYmSdNN%!>GlJjU=m$2aVdKfqaJ~^`v5;9&et)jw_aer@TcYrn1C%d5%m6>`5oCWcHAo~gFsf(@J*&Upx2LGR`=GkTZs?snN@lT&@ zjU*i_Qx@fugCE4^=osAf*}zO8zByUHnf>aZNV{=3toBHZ^~a zdyCo^GBY{T#sJgC)KJgCJHLv7sW}fYJJIZ3ygBsADk@Q#{XVw9ESCOL2DI%e?8hDa zF7Ck0^t*9QCvNloxF(Bhei+w~99(r-z?g#+MV}0BS$(WS%tpGSI#gznd_M4v*au&Z zx_`3~>F2T93$Yqf3w<)PSjwWzz7(PCk8yA2G@4cTS*#YdUzn-cRi*>e<~tF}B6*>= z3#vgXd1ug|eEfwsUL|>Zay&h{f0(Sbd&&6ZWO_JzZ8DoD55~u{>Fh8W&lA;tzFfTd zVlqEIo!uMHC;Q18pL2jhi_phHl&W#dP-^6=@tZ5k26Cw+5eWg%9O185-xc%~8ue7(_8FcqL zFTX_p%Q5WsH}s^;t^VHa?$)=jZN7cv78b={XkDM7as^j~&C~Jm{D1T$ z>2_C;&b}$0idKF~>=F)6OSN-*xO}M|{ya^LJX{VQhV2Ql{4C|-_}DzAi*+0-mvwPD zJ-3T1?}dvik&DUpM5n%#i?tETi+6FYd~O$CZk%wtIEoV~*`BDkmU8js?&4bG|JcQi zbGlg91?9!>;(F!WF0Q{9fubG-3V*UaQEx4^i?tET;%cRpnNRuMzBBT?nA_=4rv``RID5MJ{e}R=%x_=<}%^pwB z;+tLO`(}1#c0vkhgNSxF*OqZm2-rAvb2<{a(zqy_GeJ#2D|Nu04gbj4GX$_Ulg2_N z)7;tIs5ExCnmSA9cR8$F-7 zyq=Ziy&ya35$tsMVXqbE0DmwetXy=$7*ThTPEn9hY0<$e(08Z`yFB`MYWh~doQ_pg z3J7|9(NDzsPw1!*C^FyV3_2)*`@Hg3ajwd>B-5sN@F`rq^c?ox>&fpps2eEq=$V%? z%7b>_z*=&;!ZgpRpgG6Q?78MPKbgz^v#+dtc&$v7G{Qtl-T4@m&VOna`2T96D(1g` z@b9Dz9!AZ^Zh>h`g5P64e!u;t;bA`0HV^uUf9qLw@Tg32aX~k&!nb$4?Xz-A9M#wu zmiy!tU+|~Ht(|Z1JOEgh%SANS*e)9-vL-l!`1eCUfzzqaZ`soilry_R9d!9Ey#MB6 Zj8(h_tWqzqb!ryVUx#n8kic8Q-AO_00000002k}00000007+r00000000;b00000004La>{{Jx zWJwk8tRK66;pc-0vOUV+%!AVR_E$0>-kx;NrXfl1+>Xg=6GP9WlWooRVA9z|5&seq zp9G)92f+t@@q@(R)5)CNbdRls_N9KQ>Us< z-Q@1wyLaDMDwY0CZ~yak;{HY4#m|Kg{?}sQtrVO8q}aTlAF5jV_(G}l52}ChbK!%F z7`TXm*Gmlio%X!H(a*bR55&*va%Xk*S}X6Z^*gIOYs*>|_}$&Zm92a(zj_M4X8b!% z|MlVIb93ltSAV+6txC{d)Mh%FPp9+g>U_F3pRUiR8}sSre0p&{y>x=kTh6!dC!hS4 z{QoF_N~ML;!V|8e3!=2}2J**pbL}tWdy>dQ^t_3Fh}%gc7M{CVji@&hMNwH9Pv+6d z42|4*l6U%1U655<1U~0xH4s$=1n+ZGUZu3MFnBQ*1|feMP%uZBIg5 zyR%8lU3vBehB)c=W@)ZY^hSO?sxD(}*%(&x_ zh=W{&TYuIpSG3?N5BLk`5T4Mvfd8xC@G$KFSn;wE=-MAocpst-_$^e%$;4oByO5hs zZaBH_MGP!sH%{vkf7imy%1}KSS`e~5R*a_ zb73Vs+#ZN$VWVL2EG!f(o`rpaE37JH$e<>4r1Cpo?#sK^k@r@3xB74hpM)xKTp$e& zgMVXD2FIcdjzt+9i!wMCWpFIY;8?7147MmuAEXkSB1>$F?CK;-Mg2t91lLJqO^7UO zLS$JJBFmZ(S=NNeuHVGGAMeziS;t3YT6D*1+Sd?j| z8yk6_ULxkSx58be*1c79V2b^M+fl2jpKuZZm+YB7Q9s=Q)=O;%YWr} z8?~`2QNRFZu?iXm$Ub(Se6)wbunOGh4B#GT0Jk>-xU(6+P0axAX9jR9Gl0980o=e0 z;NJBP7=ji4<=ye&==L<=rs80A3S}wgmhDa|g~d9aTr^BUl;t zjzUDAtY^1@q;3OAUoRUM+>ao76i0)u?;-#ih1f*++TO*1LE8e}x^L<}s{1PT(YI4M zPVKPB1ST;|V_1!0Er#_N+VU06wtB;AwvGd~o&&b71Gc^cw$1~#-a|r(sDI^;0@ws^ z@~Wq`L05mQK&+A?zl5i7r$-Y?xg_f$L9!kaBmlL%6F}Jas58HiWMbeC zlTq=`a1Mzh>_9q(kiJ*a&GjgD(QllVNy*7X4$hQo1$1ND!x0$HMm`Psm~8Wj$=^_@ zre5kuKZKheFzeO=|3)Jm#hz0cLxY*Olu(O+d3Gp^+KPp^+oZp^+`jp^fc zq(*2k8=*mOghr|n8h@ikXmlE(k!FO(m=PLH2s*NquSXcl%t2gGk>{mWV(guCFgTWSXtm?JI0k5)5SO{r^|7Sn=`n$JKSu>#xqp1;^++0PnKV}<3c^`| zMtrp6>Zu*#LlI;-X^f?dxs&&-25oKgwuo}ZO zhP(lx-*DYRDICCXDME`8Vw0>UTV#k0GQ{>6Vsi|!HHO$2LlyL=c>!chMesPLwXOEZ z)n98PpLa65AAbYHYNBfhiVRAq81%htA zwE$qy1Rz2=97>;oBPjcul@?RZm5V87SWG#?V#*m7Q_irMa)!l}Gn6TRg)FO+W%T0Q zG5at9eKKW1#K7mL+4rcPCb^jk+~#!Pv)EX5)ai=a0t*mJ`efe<7K4ZtY!ahNvTu0X zKBBGBCVv8_8;T~TO$Cr~r%?(1R})q6WT%hxSH9ZMJt%Wi`IR}jbk5+i)1Bdr)+hUp zH;3e6JdX=}LT#aU_9+jXeBKWErXT4Q*HPx=bLjLJzMm-gqS%S%=bqLK#zzx3LoeFx{2K3S>~y|Z8YHj%Hd`D#v{ zapFUlL^Bsz@ENB&d)XTrdGss3WZAd*wl9fPf6!N>TE&$7*n^6%hD<@9EZV%4#XI|e zLx0(CytWVdlBm-k_-goupilNYU!pAD@k#4HcV)jnwapithRn(5J*V?k0t zAA9k6k~c?(}$^w*=>FCnE zI=P%&+P!poKbKP~zKSi5tXYgqFB4gMmA2fg^xoRcZ#=iNn(l0N^6pOOxo7DgB>LUn z`p!oF$@S&dMyI{A(c8Jv-FW&ZUw!2uL@i!_qG^4C-V69naPxS0I6Xcpce@Ku5`X-X zc#3LhB#((bgM*W5^1vRhK2{IEmj*^2t`;7K?PX&5Ig^XE5#IOdV%Ox%E^a)qi)*ii zi)-G+jn~A*pCxynC5juB2X=A#c*FR8G%^a~)WMX{e1Am$1+MfT00030|9AmZQawvuK@gqmw?04O#TfH} zplOmO(IyH)aJ{bgt@!Rg>MAzKUj8oJJZ#492{0wBTyVk|QFf6|a3P_Ryn~OR+o==l^3dzi z@dpWWI#y9#LeT9mJtjW?f`5*BfxPm4&7h4&5dK@ZR$Qx4lcd_@2TmZ=L$9!Y`SkV( zhPr^fj-Hy8Q5LlN23Cs~D@;GC(Ol#H?fFewJo~Tj@_?1kYRaOLMwqBrc0NZXGnxhd zzZ$3luX22Q&i~c|lx*xi!17=6dCbQfyWcs|4lti;n+3h}72Ed%&t6YqY82l+=%Q(a z4$pRdRc?v1suROf8Sh00960@}z4e diff --git a/cpld/db/GR8RAM.(1).cnf.cdb b/cpld/db/GR8RAM.(1).cnf.cdb index f1124080fc80a462311383439157e70d6e5a7ecd..b0a2b0fa809dd3fb790b3cf3d3e5ceba9a67e824 100755 GIT binary patch delta 1994 zcmV;*2Q~Py61NhNTM@wr000000049X00000001|UY#x8+4o{L2+5_?yjzXRdw|-J#9}WlgTxu zr?V{kNBPZ|Mdv=rGPyF=fDjrEP!Zui_QgFo6>KUl**1pm1^vuvL}`y+Ip z^1oz<{7--7u(1tEG#hU149|xeUr2dsLf<99;nw*xXSX9_tv!7ELJ4ng{o;$8JFl4a zcJL75;nvI1^+_w&19)`y!ZcEC!!{W1Y>&FZ8MDFG&S0~X@i2qRZnuxws86>?{klEs z+-(-a=HSBM)cWa8VVmMNdg2fE#2@O0XCE29U@J|9?V(Cd%bY3mk_B#GcC7=rW7G=E z9Ya=tJH`v}aOYz86?%3WF#_Et+^lb*JBBQxJH{-cI|ePH4|kiQ5q+c=`e-lov0muo zz0fDRlhgqs7j`F;F=rLsV_{WN*83PT(15d6o)L-w+h=mI8x&Xd1ix`}DjSp-6Li^Gr3AJb19`to(Q3u9&D zWAQgXEN{bqR>EAh#r|e@tBkK7%NBWBHlA!jIbUmhiNclLxpSja?(GtOlueJaX6k)s z%9p(<>-vl-_ZE(+NN>vXtE`hqz}}M?T{1Ch(_8uInTciD!(}m)0J0?Tr4jg(jRPkL znSL#_rUg&TB!e0covRLs)4KKvxq>^b1Rkkwl2gRZsBP5E_91(!T9I(A@k|?%6mM zJmMNC|4wa`EHBtu9`l$@2PNT`daCl%S|VS^FZ2`tIzPXaf1kQ$O!X!8>*J?JF26@f z3%z2WvxEE(@tpQl1>`k7X880MJzkbFe9e}*@^$g~M)GX>PgM0Ky01@sn#S>K`KX81 z*q>R|Fg^<5D>R_!|BYZH_ABj0-_$11y4R~Kk$F7o2 zJ3*J`RU=`0e_hGs8->+~%{KCkRU3Ib8_QIVFFNE+Cm!{bQu(w!t*_+Mh*ayV_*CPO z)^4`=T+#YES*(>j^>tpIy@ThW+Lo5*9o3$n=?hzG1N|IlO#hO1nWy}1=^jXV(`+i`fiBf->WNB+Ff3LXbZT*kWhrG@gi>v=X4u0u{ zH|IGL{j7rre%cS&Y}~I?`9|ex+za`#-{qSUc6e54zSj1;#FZ~|;5fT!t_0k?{*<`# z5+v={qPVf|yiwT5=h;Yk$j3Fiw0t~=EG@r`eyz(f`$y`Z+zWSd@NMl=W}Yjvq+&sC z-!)dqf6=2oBd;)3@%num{t$c5qs$nRQhuG?IzIDYo_^kuV&5tzSZl=R*ND@7VTIH; z_P?;FN^iy)7y-(V#vuGf>e7M0(vh@6zzHu2h`DBP-n7G?q#|Bo6R%)N{Kn~i_=7$1 zhkD`<_rxFRi9gyCf2=3|cu)L^u6VY_;*V1ulW_(vf6VgdR)U*`dE1BKvU%H44v#gw3!RIUaX*5>xQ7Hbu^P$)< zbbX(5e}0^fYAnz%-?z)E81Y+ytHxa`=Lb8I)w!l4S^cESq(Fvey+Xzh8eWw{81Iuz zAs+P6Q-?ME^oSj^PVPqX8C)Jb{HcH+?YUUtg@~6N#e7WqIp*+<#|5yJ`8io9>M$0( zOj5SC11hh@HeMdSP`gg+({__Rw32De+ywESj7M(ak^g^Yj9^AkXqd?t*f4?6@~AJ z0)`Zh%c|fB(KYgU`FNQ>Ck9BPX`>|C0iskxJgE6cVdOX0WV(ZrhO0(S8vTS@(>_zurYE8ou64El*Q>ZyYyT~ZdaNu9OWyx cc<{f{A2)|6Xc*vkwl?i+swgr>9+MY}%lWR&( zXIb`-@|!WqwLrJ&`)~PZDY8R$hrS0J>l?4#?zve8f3&fFxQ4$8|LUDtc0iwl5qd!R zU$R5~r*ePT*oGvU4YzlP7eb9Mq&zjD?~>qf`@-3CI}x$g9=>yN25)cu(hFO=FPrst z@DSqR_Dj+ANh{X_cy#XKG*WHDHW=>ijJm-Yv%&W6V5^hyFoR~@ZXdHzpKg!(b$isg z+bo8y!NtMp^)sEqHpOrB#2@a7-|U8G9~nMxD}_w$p-fH7oGJ671@1t0tpm7Y)C$WT zLso!0#tZOp_fq#2dTtsq0^KIutZ$(^hAg5x#w?;c1}&nGbep0PeY6+)STFSPUg#6O z&?mc-)d3(YkMRkfmyf(T`QHVol7}x9mGU~P1^w7CLSAR+ocb?r4>3LXWwPWt-;Q=_d|-`8wN$v9j^8 z_?sV=x8Xl4VXoR@f3v$)#@CN!i##nGPqv_(uQk3z;mYp(`O#_jb_qYqrbk&b^}aLZ z%iffAea@773&&KXH|6k+0(y`iXy?pWn)VPhB&n`jYzf@lzw0-=n03 zUb4^GLH>t$PJ5~X@|qrVeEN$XFH0G|W=o}fU3|WgJe&R#Wqpb6>l2@*ar|07>Y+9E zXI6FjhWW`^^2x-$#XlVbP8r|Jcllc)jbKd5dv6?3I*nctW`YuRt#4{S71>Q9p_ZEfX$75BWY|MB^d*ZE>`_5a7gFTL>Q zJV&CRb@0GX`yrc+`*kYcs9cTvAwTQ)>`e(fJgYQcYx_OJ&0glfady*O3An2M%y6?y zkhEWm;>N!7MqwkbvXSzTk85^m`FIXlT7DV*T9;$?kJLZ87w+WX+uEnhJXdB(#e&?v zYpjreqepv2USg`^_4_vbA@-g}nK2}#{5rdJeC9!we%_H{-%2J}YsBZ*h|_&xiPSgt zzp$rDZ^juI0m_iZApAw@l|zB0BWa0%6J8P!bIstqX@@^aMZCl&Ucr+1jWhl5hkN2T zd*YAu#2@X6Kh_g}yeIxdPyETQc(%skk5e6!at1Dc-16sEf}4h_?Za?c)wU(8Ij`%D zz|;j?~xbm4p-O2?ZRniPsK67~CG!phy@%Ip3KHBswE9X}5`AU8oja7UUivRC?C^igT z-{+ivAE%=l3-rtP?XoIH{8r$qao5WE!H#5guIWftKczA$kl|Ucknw|tSLG1K`y^9{ z2YvL^VNE|hV#lnLyODebmj@4jD&R+ZE>?IU;w48hACrELIeg=B0c>S{PS%M!j0G=~ zl&$TM%4@NWmxnLZuG9Lo-Q?QjpGyb65I5|95$F5(a-_EK20&i>Xmj$R_>2^$ymB3N zf%2G#>|X!?0RR7Z0d!HzO2bePoz&L)YLzOuDcFU$&(&5FpO z=$F|4satZ!r<}1&QNw}ghs6I<-_!dcKhAem?Z(?`j0dGx%YVmY%_l{D?>8lCT{Y7^ zlo1^3Y9AsJ*s8V#LLpH?qJ&Hdq!c#V4WYVQzb4xhkGXmt*JUrKn#~sjOJj(5F&YIr z?5a2*c!0v-kdE%I8RXQik2bRtclWj;dUk2Zu7wjnb#AjK&WnEk@*EXkAK$!5Y|(eJ z#CN*Hccwm;hkrcz9lO8+w1vU~AD2lRmrc5M006yf8$%k}MVj`Kw6?{h*+fHvb}Yzq zIxawZH84_-VWM)KF#wc^F`*HM1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEK^ z1Q0VZ2!8-c<(on~Kq4?3d|iWs9o=1#)T#kxnHdy-q+Zg*-$05%6o^4KxCi-%2E>O% z1|Tcv1WK}kmH!nvvlgUW3W%K?gIy!yJv?259D|%aBI5)6gF@mx{oMRP3c#LV1M8Wz zr*#R)agspn8|)q*;u;Zx@O7}WhpVq6NCyMRRWcl4ADlLvSpgCe0b-CAco;!cGqQt2 fM=a%?5=a$4RF$U-Ff=^ffDQtQf?WUr00960%i<|{ delta 708 zcmV;#0z3VP29pMmTYn1z00000004CX00000000vM00000004Lav{cJZ!!Qu!{g9}{ zfddzQ0r9wT@&Wo0AXK?YDxn6atzGe1{1azR2o5~M%*N}cqT&QAIiB4euQwhibzRp> zuzid#;Qt&O*y9s>EE?=#590&*zZ~!J{aBy-XJwTQHw6rPg?|&|LPXP1UfsEMWNlVX zWcEcM$7YrDfdy#vm3X9Y0PC=H4Uqni?LL5dCw~7GoKFz zUITU+0U8&mX|k_@_AC{!YdfY`tE=Chn~pTHqX4rsuKsiDG&=~Mce@v7?D*>F`VG1H z*ztnc$%5Fa#D93qjR34P6DD~j1*69op+Lku4bfB+l!aLOCWrzM&XlAl2T7^uuqKsM zTBD}YL{X(tRmD`3xH&(K+E_?Wobe=E+mSl2$abLgHd>$=dB(>t&I8tQEtv+hfS*qL z?ihYrq|gl8Q!_j!HN(F^a`?IGjWCRE#gyUoe2P@VAb($yX84$+Ju2-U8|k$+m;5|6 z^8%0x9H2m=k&+ztCeAJ><>V1<6HP!~Z#$J;Kls zI#jHusj@n#P*!d7F2rP;i4!0%u|xxSw=dWCGCkZDRI^D@PvigATvobPND;|YMc&|b q|L#&EQZ6NbH~OYK%lSGRXYboWt^QE<2-mO^3?$J!!507k0RR7bd`3F} diff --git a/cpld/db/GR8RAM.(10).cnf.cdb b/cpld/db/GR8RAM.(10).cnf.cdb index 6f521e7105f497fc5d00faa9b5401295a7b9300e..e2ad5bce1166c60f677b41a182aa0d306c927e8a 100755 GIT binary patch delta 5982 zcmV-k7oq67FS;*~TLA}=TqPfk_if+?E*=Q8hYRwcxrR!F0=^htjWKrj^tS6xOt+Dt z8GqryrO2}Eu4@3Ehy=$T-+on;8chJ{Fs|UuwJW zDm|I;X8pp2^Ovt~B>L5cl21YQ#huMN67|7K;|L?*`sT&GM7&z`Hlpv?GQ(F>{D0FW zb8qYN)r(iG_ncXLd?n=_hnd~SahTbE9EX`5$Z?q2gB*vMUC42m*@qm5nVpDG+}yc( zWmYIYK~z^S?QLzJ&qE4ktMtYmpP7Nx<1;h7dVFRES&z@mQ0wuT8E`#5GsCXOXJ+ty zMjJn~Ly$?~&QEOZ-F9*3PQosxRexgdQ$?|{eSU5ih~&E&c~T-~n!+ADaB#5CoR$k9 z&#i;&V|De9v=1J?w5B8~@sU~L`1=z7TIX-?2i`_sF6}MfM?5a&68~EF&vEO2wsfHG z>6V(CoxikmVauvZzw#byb(VjY3Ta+8N^AH-IkJ2m@6Z0eESLCYeR+S1Uw?Wezd!X) z?vL{B)IX(1^80JeKaYP>qd(93+>+*Q$evU9HSZraVKZm2^~%Pg&aTG-+~%%k8*z(W zClT&-n$pwkvE1K=fh2#;AgHj4f+4y z625N}h=%Y#vLgR~Uy=WRtbfS=KUd`cUn}ze?u1|B8H`;`pfD>2I+gy=O%}K7O=D68XUEosJK-;G@q~?(L$C&GhMI;KZll zd$~St|H;Wk5AE3mtr%wfKPVi=Ci)9lKNwoRSF5^XE#eMb4|HB+`zvBWJT>Gqz<7|2cB>)6yXO+Vel8);#96A|Gnepk?wWS=gnuChpk#z_|B`|b1YN^}G1~wyD57R5BF(iGYF)T-HXuntTJ-|w&l=mS z_0S1r@eTY@!kYE-o0l(bT)pkKt-WV9`=!ki_ZhTgOHGsVgTq{6f#M5ICSu=VZ}-lf zy*t>W`Y~PJ%YV4|YosLpho;(qApP=ycWA_+3-3HA#xNbagwlHFi&XaBVK>k%{~wia z5J~cW`N9an15LtYCg7Mh=oe7Gzrk<+8sX=R#V8zqJ36$bTR_N^YEt6&bu_UA;U9`{ zR3ex!ohz|6MfoF1{Olx{Zg%J4zyIOnlmcZs^-{9Rz(~@*?OB9p-Ng3gTb?$@=1g<$g%K(ewLEX(FPs1XzL6P&7%QFKkrY6v zi}(3%TlG)VXr(sITQF~qxSXFv1*)d-QP1NS?0UDW;3|8o9_J?B0t#Gn#jYL z68xi@RImWN8SE(46a0B4(jW{?d|9nyHc8_DB$pS#*|U`FP?KN6jzb@oFLy8B?w&4; z-fViSB9t1`?pK(q2XHPX7d*~i-#UY8MqdIBb;7r_5a$%QmivL__g-(@DDiItHz1v!)Y{= z`)Kj;ap?Vnp3)8dxZ33PZ-FX!xL;g+wCv(sfwkjd{LBp1_;`CYKM1S9@z2@9m+;fq zjaB}fYShkQyu2Lv5YKkPQGah#ZA`;YG8Xo<5?$Oe22Qz6AeA$*lF)?q2s3~fEloIE z;x$C5P|Fg|VeOeF!Eu9L{f^j|SPalZA^`IWv`!EuG?i80@S$y_m@Foc?+sWnF>Xh$5o? zB-wg-%4Fw~8+MXE#zL<~8Hu4x!enNVMEOquK%>g#(%$E^ z1)jDnZZAvE+cPYa{2(}Ta z>LWBduZ!FgWsdWCZLN;Zy?vQou&jMF7s{lY(UY=u_^anF4uAGd5^}DqR2sq&rOV+& zCr&fzD9ww&zZ7h|nCOQ$Wn@hg2`P*!4foB8(r+oGMG5+oJY9}Me^pU>QZ^Q7@4DwO zUQ4O7&`>d^B)c5IerK-iryJvx0>am&a;U(@K%Y?f9DL%EL!#Zij-v{nRG;Ddx>^~0I;iSGt-gfK!DpB|PX4Rv zueFrNv_4D}v_;#ED%NPpQQ0HK8kplaS9Y$Px1Z&5Yw(b30^Ry-s!xN@Hz4B3RZVd+ zQ`<&nMt=>3n2aW~Nk{?2no>!~YL0-JrDcQ|E_sRX>#UrV%ExtDW~_CLn7kBHvwRHS z*VW1;{~C^meInp9J=wl-)jl=mrK4yy)2bg!k{rDBq4FcucE=gp?{Y)akevp{UU@C( zo@+2M!7txP5_0&vG(ve|_zvOM;D&F0alER041eF@S@Fc;d)tQe2ma@|KM#^&!3-?& zlLD9O%~)J(UscU&7wUGDqtkjU&X+^C4b-=fA*hTz=?CyAAS!B&uGY{Q~c=ya_9|4 z9uw<2Tt0z}F^MQLq}-;HLzMb?wDVC?FWbV94r+!4A@H!=J?KGnt?eoiTOUh=e^YBO zIP#+!FtCKguM!~-QR?|ME9$Z~1F%~FLC}>oGMt^G& zHmach){iyVvW@2xDu_5!A=8^!`w?9pNLg1#Bv4G~y}fm5udescRP=_^7bI@%CBVi@ zi){A_AP5W|nhV*;#Aw0vy~ zX-IP3-%8`Pl$!a!Cf-E1Hk3?WGslK!i>)`zOD^9w@&+t7OJ|A4jXrtt)_-_jy%??E zB?=GpM2xJSVea=*=hqf4v!JuRQdLK8URvb-6fZ;Nvcu>;fYKxU^`fOAl%f0fG^-j7 zCq_%3AmsU}jlgVl?Mb}OQ>hH0klMa||B#L1oCPI>dZz9p6)Bo*)t#fdn1~;H+c0D* z(o8SDb-=8_UM!eNW53N-41X@c@$C^@kI(P5n7<5{9P4Uu_~>hgs5FKc4fts@!$2_y zZck`m!7LMdl(jsl2d;pQp7s2Jt2(4hS(6-`<_%?Mz~RWiW*n|x$%*nPXGzm)1hff4 zh$e&TNi9iJfDo%q;(bFB6*N7GG@B8c`+KUIK#={LtHF`ZPg`*hGk*`q9J{w1e08*7TXQ))4Wb8B51uzL&@3^cLBw zlXZik&V!qepSmukV`Xvt(dpy>w<#}Sagq@6H8veUHaa?jipswZyPlG8R zYRriEzOGg_eScK96h8dDJ~f^m2LJT3xDD>Y_eV{w(opJUwhx zeDmkB_JW^gYD???kzXhBM#w!pDmk2`%G3li^ zYV-~#FriK3XpaJbQFXu6!AQ-lrJM>^$pr*I=@r143V&F8=nFOCLsKTxsvDL*ERCkR zb#hxiY)%n*(U`GJ+haodgp?JAU_8>>Ur==%rVTE0?1>rQ77Q-kzzgXz@B%%!0Hm=p z)}=j?9)EC&E0DZCyzC3q!*@*pNt;|#wlE55eIsN*-SE??rl*|W)+hUWatW!Lp5}+r zZ#2BVSCfoBd7&&sy4<1f?)%3>?UJs+O&pPeia#kDY z{`NMYm^iRHa>?emGTNV9=C^WyOLm^Lhmj=+aei_%1ObGMkehOY0Ya?c1OoL{LctfB zviDR)8r^b`kcuyZ+9f1%CV;O%s84+%R~39ce1Ccpk(Pq5t|}a#1+`1bIqi~JT8HXO z{MCv?URBISYifjKiDAwsBtocF$Y`VereTk;ww}m9Va^djfbmj=Wptgr(6CG6Gw37~>3&n+%|P2({I*KT0T^#XS}0 zFn=bD+Gx_`L0*-*7YjxnqMLSsyCG7pCDjK!syZ&5lyv}LK7pS`x8UJJW$?LF&s9yb zbg{jA&gwu$TA+);ccifWDCV0%{dHXwL8@TK2-7-itZ5@mBUW!z%joO?^a zl062BIefSb_(9!RQUJseF5OFYS|#v*34d_eeX5T4z%++AxW|CTPOz8^9yy$o0j9uF z<*fh}j*6$}WBk8Ig$Is7e=qB(%6yIUKIux35iA6hT4V6yDIF3G%NW}#Yv(AQ#=A}B z*QN57%6F&o1(jc)${I1l8&Y{g<$F?jUFA2b%s|g7(sjCS)P+7gH5lV~@_)JV_kSyA z-RL`2rj7uk&GV-6Li@IM^-FGWz zw)tX}pQD^7bDl>XRqYro6`df9+F9EzqFnmTEyxrB)=E%EGFlKD5*S*!%f2-~_s|mytI&pne z_2~O@UBm;veZH>iRYoU^H!E|G-?_)1wfvh!%U&1!{~rJV|NnRabW*=B1b2W$65`|#yL->=CU?8+?gfe1=oC7I_96<=5E3L58kL4dM1&|*8VU-Hj&q6s z!8c2++px(u^WMz&y`4AnA|z3Uhz>5cXW^(8uoH%B2q&rAjw=kun&AW$07cXZ`;j?O zIMM+8=E}Uu7gSNSkZ7+>m48^!0ZckcS4kVHCgQGP#>KE2S6n+GJHp#`_4M`jjxw1- zKs7~S2m5PFITF-!h5zeWQ9#qMH>=tR7f=uQztePK$(E}5*Q=y8(B`i$UqSR*;j_OR z!U?YxHPnXH*+9!BM_K`&tD30^+0m7xa4nHQk;1Z><$Z;82){G66o2c2uL69bZemLm zMHOWfhMnGhyhQ^8mGk+gflf>1)X0Lntrd37fPWaX+zHu|Q-Ps`yeZ~haz`v``a@(| zzb799qm(vb+cVkjb@-Z~Mv*FL55eX42ZgJMzJDM@MR6r^Oy6+sZ`ZV)Mv?&d+d7LZyASwd=w6_8pQBqXG3k(4C` z>0SEo@8dh~nYm}Kxz5KkbLJeR2vQ`~9EbR$1^46X@&Kmh4~c|5f7T-8-qjRRu_uVc z7&|8|$+zz#si#;z7BB#X%tai-b*(ix0Y%IL>tvH*VAG4rOM4@unLF#H_QiT1Ed$3{ z5y1aeOi)mK4@~&4VB=?GiGAyL8P*S=29TYk+b|26vc*rK@Z05}-j-aeWB$ZGYOr@L zs2E7mCRznFup4)|+pN%C1*MDVGr_$*=dCcI506Kuyhz=Erv$IY{sTFo$XxQ_)y-Zp z?7uXlzmn#Af(V!_nMa)aAq`6X-Tf%`-*0U9Q$4@d`VE5SO=RtuU8-2b$e+zR^nZv+ z>D%0gq7GVLYdf@=c6zK`qbr%>!x{=Vrcy&a9Z!;#L%&XfdWf1k0zE|@BIw#7+p>)# zhch(5TtMsmA~NJ`opw*&kq%~}7C2zzfbnE@IA{9@gkJyP@jsyd57_?!F9(g3e~nc( zZ30XLUI{&KY#iHS$FDaGvP~Hq>DoQYX0Vc15Ra@HaC9g?!;X+Pv%<1%=6;KdV-+eP zum$$PFCJTEjpuHy&EC8=^izO9EoP@^eqI6G^g#J|DM^n1p}3|E1uFz}#WYCZ#-%jjTy)JI2u zTBBON+Rv0&2c|U041-mpB}AA?B(DpZO*@h?j(~NR`gL7L8@LY;kxyHJUGid71O0bl zlbjbf4V$n5aLWYDG1N`XTgq;X|2hYglJhlNd_KtDR@ZUP7RSxoxE|8nSCdYJkaeou6!=JFe2St9Kv&OfxD5Ad5v6uv-dSgeSamA%7SS<%6|QgxV4nA^QM62g4T;_Po2b5i00)J(Odc~Gz(q2`+`T;ZIuBcb zmkQdxA=3w^P4g+s?Hp`uU^CA6kkvEt0YdY`33@(^pKiay<*As*`qW{&1zQuFpVx#^ z@H0ih7=}B5irkj^2ET(Lys zVyGgJrkst(t_@R*2QyE!f+;(EUUR_P-qn0R`TW&HY)b=$HTUMOG34q9VPFCrYrdZ) zd^grmi`oqI=APx)%G9TF8d&&PZ(4g~s83bc;#>o17z{kiPraG<*8UD>y$HufoVT1uCEElSaTMiORIrZ~ASzTIv&fZ^BHmIkQ z3Rg$$MKj=}NEA`C*8Ryfe2ZSUp625wiljmK7lZ08pK~+m5PwB#R##H0cF}DkT>Jbenuogf@7Jc_t)I&*e{^rY2>%7_ zEv_5%sP2^XU7x3h`F6=ltdB?gv(ngpBDZ|u)PACz+3r72<}-Y!ie^$yYqRbEy*PZH z{xq8yR7!SyH;ZY z@Iozn`kOS>@b02%nV@MVxkcCUTw&)KkOqDdb?WqIx8?OI9M3vJUvtC(*0s9Jx-yEjeA4)8*eOj115>j?>cR_{Oksc_F9tDC?aHgJ&<8B>=d9WB)RXUV{6Va2ubHjuG;x-{^?=IBG{NqYJ785)J%!0QOhzkY@xjY*%Xy}PSKJ2#_L zXpmgL?tPg6<~OK1(UUYmz#g{jdQ`XUwwwC6=eb!w*z7bOX6+`y>7}53r|%mlqMj0- z^C<#o&K=~uU}N#r?2c$=8giuc@5Pd?yAi<@f03<-$F&v)6L?Cwh$xMibsv-l12KaX zehoawPfPLG^wLS_!lfcvN`fN52xZ2o!g!HN-w^^50Q^ZTAZ4w0WlnpC^B3vL6nO^Q z2^O!fv6^lzN{r70#Hb!4l6TK)|9Kcy8U;YEaQxj)BJ;)jC!eD>A_ql@e-P%{{%gFp zijx_7C$Jml!B)aoR!A%{#bq9bG!E#eSltE znu?yt)pR$E(u+jhkm#Rv)sV<_j32rA1z+)!v8-qaVFNgDcU9gr5(XWzU+doj5W=I# z4)VmXDQCYu@M;ecwxQeQ_P*oMcrPFB+`4Lu zx5W_AzBlcWu9BbP0=bP>t}ratI;%gcs$z-t@^}OC@#}vk@W*EYO0)ulEvz|XRk!br zUU4s~+Av7&EaVUHv*ylV2QL?j9J@wx)=ZBXL0zb*FOH4=nmCfuz@w@YFpiz&hn%ET zCatA_$7l(^Z;ncM3Gx1kOT!v&bsiG}j-_ICCHlW8B0o!((NkZ1p0cL+QYDzmq^mp) z<1!b~&i-TJ)vI+pV6r{KXL~emafWc8mLx0Yr{3Lz@Tbcwg|<`J3w`?ypBAV!L0#oF zc1>mRX_q7BzG(Of1A+X1_qh3N3%B~@&K7!2bVJ*j?CXG9j@bs2GJ!Cw7|NW`7g?Om@Fq!x~ti-s$9Mz6qKu;0{;6^mZZq4bw-s z!i(Y)Bj9k!|7Y5zzldCv#DP<@2j8rB@klNB7{_^- zU4Lv=<8o3I2z6JPjUrs6Dqj2WcEwtkvFBmQu%emB=WL&hO>SctyS>YsZ0^rX`_dZU zZIu0_UwNYzrRFBdgSAy=w~uN>qq9|alGH>b4xc(|w@u2cpF}#Nn8-nIH@eto<~F+< zth?z!iLn}TN%K#f0B5nb%3Dt`;fV$PJ;tNI|F>}|g_6nJGS+(bap!ZThyR(dIc4Z-C|wwR&e6>o60 za{#7w;(ojn%Z;LWUd5joRkca<$<$0GZY>Rp?f5yQRuN)RX5Vi%5cfl!U2=HfFx0Zz z;ux_OFwcvtFqOW~WW29$YbBFlBwl@4%k`sMolO9&=jxwVd!r>KLAK!J@h5XG;-H0q zn)><}!o?m7yyDE@jrmI%M&^dyq#vjp8UPMi@@ch&I+|Qx0jogv)yZQ9x{)ppFuzB| za#CNzx#3Ke-;sj&ZOH1Y1zuJJ&)n3i_HqL@`TK9&Ik@@Z)1fMHCN^t-BM&+^xg@cW z_gLGI_m{nF3ReDDT#-%|QLHthAZ51uzTkuL%MEnUf(xR(B7%ZBSDs?1Yt1kc4CrD1 z`d${L_%JN7I-2*Ko-K9~aAM9;A2e58j0Gx>5eu!Pcc*5!SNK@fZh)ah_swO!KnG?F z9x{)V%t?1aWO19x$F9F0bVnu6&*4c;uI#UqDO3e4Opu@8dL-g1R6GNXnXKiO$+^;!uyyM5u14-SiVNws1Vk~6(V8V}Mm)~rN*4Ff* zsRtjW$8jhPxync@SHM(d*|^W@o{;VoIG9l0rx;#}WL2I+)lk)*9Vuh|8_pv*!EhX0 z^;g&LA0AVdnGbnnWH4LY5XdZA$jK+jc6X@|c7^Q_NzL1)HwgID{rV^{C@$)INm%jnGtowq|v zR*jbk+8}ddQMZE=C)@cA^Px7y3}5`?x+lMH|3VU4CY>)E!bk8WdAd-_!*h+PFzY2!N1{wyePrv-6r=GnXQ!`; z{FCH-BEGoOpQeQbpqm@iAs?}KbkRoQax#O2KT{-{u+x!m z;dUaSeA}Sb=KiWEqLlZegZK+?K--X-s1ER!1WjHz2_D!0n`@tJFW>92)|=Tu4e1dXgoViE3NgCSsTzeF}_9z@q3N)PB?~x7+c1e)|_XHVMBH)x{4UKQfj)`|eAK^E1JP z)^j+Zn72mO{LMxak1KbOgy-cRMDr6KWf>|*A$^vSLqWoJ2Q-2?{*<@OVeVV!_H;VX zbpCnR)dYnp;SVild{y6n8s@%E%k2$afYK4b#FWUd%;>9Nf=q)-MYCF%Nx z@m0KsI5GOML~q)p@iU}6Vw}CtE@`lpq}M$eik44S7bcpX`L*iw6deg+c)0TK zf+_`4cC&h7JLk&!r+QamR&>yH_e(B@^!D2Cm^x?sUSrE?#~~PZ7^Ez=I>G(cV%F+# zO2gIZ1{{=h^cCK|T9)lSy>eA!^z5$OjsM#IRPA#e(_8@l+chd1K6ajV8db>h8XnI# zC+2E}=D@YsK9C&xSvPkrd6@AmY09Btn7f{xdG*cbZe`S4f8 z=Hyg*M`)eaDCM7chqOdz6(Sq(2t+KPt<5p1cQ|7h{ky)f>N# z!ooXENUD(N$VHSgQ3DBs>$eq&KM!YTsTuf2q&bM5S|xZ?Y&KWEpb-zZXF84#MPZ}NxhipVeRJ75GteKU z%cPkjH(05MdD}gxs>y^j3e2=C$4Hl18ZIjI74w*{`2CEVyg zX3Da?!y@#^MTiibEt@c=tXn<7+Mc#QaA8i zG?oI211?}vCkY4jlmu63RpQ->064;}+A~M2pEfB8F^|UQiRse2Ib*%Wx@bV~Hrj$7 z-J{cxq&rN-VVCIkUwanAY=q~spe3nb}*fMM1B6My61#}ftD0FE0 zVHZZ=juB0|xG{aw^@a{lByo^_5EL@-=VOEKXb=dW2?){zb97m$3E`H>?>xHp$8S5U z4WvMnZOc^dY3{Jfgv7`>{1}@%D-aG9U>t{D{ne>CJ2O$at@qW%Fu{Y9y&Q5y^e%!k zPol0}Ghvl^YzHlZnIC~jzzV)6k6a~0j;kZy8b}6QWJa{Y+|qC z{_b?m%^avaxZn==e-JbFF0!dL2*n(TA?{CtoFSW9F5Rcv9V9;lyx^@#sbCGYE=>&R zY8spJZ6DgUJ94l;IFiL+>$8BwcME$FcXzn=?%mx5;P~jtivW1KH0`#b)rbc57pXdN z5l>zb)x~8mI69^+WlM@jD3i8p6)wfS=2WbTGAb#2_>i3>GbZAN5?b(xEl<|Y(O>jO z%T{Qf_g2T1)h8}S-lCiOYH7-s_hfCFV?5H3`^`NbRi?`YR0SD~55eN9BnSzd3$twA z=om8;G|o@fKp7|!elw|t9Lgws&vC%$V3Abr+1Ef_$JyrO{|(XPpVUW#w_A?u`4y~( zbBW#}xx>^KM-{~xJEQpMyZWv=r+jRFWWg^6DhnO?=tO>6KerMLIn!1O=yiS>w<{{c z@J@Cs)30j|?jZ0)7MVsb!VFLz|)U zXQb_{DpIMB^(CAq3@rH9pTzi~FeRTpHFZzgE`L1wH{p{90z=)}iUPc3Ol84M?ua)B z2!N4VQ$yGW-70Ip+&ce=ts_qrL6RAybrIhFw4r+n6VUv9nIPPI^I+M~&is}2z5j;x EKdb!qWB>pF diff --git a/cpld/db/GR8RAM.(10).cnf.hdb b/cpld/db/GR8RAM.(10).cnf.hdb index e92d195187ae7a40be9f3c1e6e5bccdf18e5bd47..2f9ff9a18dcf1a22a060a0c19a4d45bb025dbc92 100755 GIT binary patch delta 1221 zcmV;$1Umbm3XlqrTYm`z00000004CX00000000mL00000004LapUPZBXM_wCh{)L7QK;j?r0hD|J6$RpH&bhN^JiCrl zr9fh&-I;stbMDUEyYu;cehlL&o1E8bVlvFT z0lye&a?gV*Uk@jvaruV2{k#j*R-UVC4e4%C4u-b?^}1PA^k<{daI#fW*Emdw*BllCO4+$c&v4$~!jsPQW&} zH>K-D8J|05M*BwH29<^%vSO^8Efy1Gj0<4+N$Dez4~vNr7LH%nx9S~-BnLC7A!c7d zIyZw$Ia=3=5t*J9B}F{Vj3Q)Jh-MtLd7VN*nonTCjeo|yiJ?8QI+@i%99q&aP9;h+ zbt#2UDo7h(C{*&1(>CY5bQA*V#>bEVZ?@KEG)9sdwMeR638wSn;-sk7?IR|fd(e9M z^ox^5-@cBLN$2Yz!m-ye+gX401FjBWJXpo(tYU058Sz}VeJmI)9}7my$AS@jth`U$ z%OUrW?0?l&hTP_@A(9pZ(JE}DnfJ6P5Nn(y0rB`tD=jU=F2%2t`JIpf8V?@~6>2A> ztBD0UhHY}bsVLYltp2A&j|A%hx`uFTsU&ENZI$cx zg(Y@CS0v2jg;vYXL4>6!ue9=Um1o52a>S)7d4xMAVWrGR{iu~^2GRhUqWHP(#6Z7J zFzKIBW`nEmcs@Y+3LXw;@A`EJ0kv4~4iRfuqt3Tl&yf(zAVldmL$3gEk#8As@7T z#HK$Yd{#mZcMl!?tM-F=k@$UPqy{WIK?6FUkeZmcHAEV}4>KCYq-=iRCJxsilxGv; z5!Z10V8zeKYb}fJEu6|o)0*O<5yvFTyno{vhy;%chl%m9a~RPu(9oe6ML6v2ge8d2 zu&X~7cR!8oi9E6)ptWS>WVkjRjQ#=u0RR7Z0peg_WN2VuU|8OmnF^#Bgn&5M-7nt9 z-`O$5(;vw23=RQFFfaiz3j+s`JR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}K!0XX zBuEK^H4rl~2mndtn?gH4A}||#U4w%i-CdE?Mge7+85Dq|Ued(hK#D;Wh(R{E2lJY9nvgPc7g;{*JILgGFB-26cbz@A_O z>zTBtb%`TTL=uR7gWcmpTq8mdzCI3i_HgwDNi#5jT*U$Q!D+*p6(A82AO>lHhY>_I jBRe>B#8TcVfmHEBRe8DqL&MVz=pc|N*aZLp00960KSMHO delta 1237 zcmV;`1S`(fAB?gCpBs=*rO#R`oS4{^LCF3I5JxnYamjT#K^P>RDw3?156eY!UavJkAFVn0S z@RP<19CuUQ*?Q>1dReTLo=Ja@LgLN|1v< zvRvL3-`>l+Vt-1$+7TuZaY86tm&vaOY=bF3xPR~AR<_A>Aoik`c*c!A5hu)WQcQX= zUvHGinK5^CAlwyjarj|ZM0BYo;s%M}1O&b;yfImtm%>OmzN5A3?}sJ_cTk542MWY< zGq_lybiFak#%D!0MP)iOimiq`jQ?YNH{LZxlln_+=|Ci&`Y{q7q59ql)o7|8Qbd>Gly*oH;1H zc=}ZmN8kP&RVSVsRrn4Ujg$#+mH3D&7JmlBPC+kxK#A|JKT@>-;cM#NSb_yT9!$~m zRktHrF@F;5Vr0>3W5H^30lB$=+*&|xH<7HWqc-nATHb-QyaQ=@2h#Emq~#q*@V;1F z?&Xs1NSJ|zmdg&Jn;th|VmyqQ?--eQlKM%Lj@lntp6hHw_3=e1iN0uZqr8dHXjSYh zqr@8{owr8H;>N1HUJ&HZ!y+6XZGrXaSRx1|Sbri2r)Tv=(r{#rceSHXeRDLo2#)^J z^66=1+g}kzE3LV=5C$vAJDJvYQIlc8aYvD)j-CxEtJKAAaW$3FkePR|S@NhWr*2vriG_0>R;69@5^O$fr{+NAH3Feu$HYf7><*35j zf`7cLL7DcLQ6F4;kC%Rn@cAupxc5l&v*yDpfey)CM+n>$QS0CewGCN=gk=^9^-V%X z?<;p#Vd%McuwgwpnkAtP$8w3TAvVj^*s6FyINVhjSQ%ddv2eB#0WS>>C-3^zWM06@ zCk^K@RLn+0p;|YH%5-fq9Q^?R0RR7Z0Zfp;N&`U< z#wRiAb%qc{MJ%)rSf_D4H+u`-?Xt6hoXtYe!Z)zB@By^57p&|oEb<2C1$+r#Kz{;$ zbN&m%gFN z6%)r`E0Yk0S`ZpSZOUK|-+Ql;`Te2P%*yB`_8ip}+J+rW?>>dygyaVk+}NjB`(<3f zRqDbn`txTv+J#OO>q#oC4l3kDold+9G1+S51Zb96qdubh+3ll(-+@XtDe6i5-f?(yFH ze`=4Krui$qOA{o?g$Ne<9Yo7Q`j7a~zojwX;URgb_V(n>>3`X))$3qrp|P7RQwWZX z0E(_m%iwqqf=y=$GcpJS`z#?4%v{1IB3-&)$|b=iMu-#It1US7p-u*>A6ET<3{yVXeF9~X>zOz}5gbk3uj)7e}f z54Ewl_RblPGc&qdi-lVY$gk%f#LrR3pAk*4lTY;JU-}$E6K9}Ge}LlPc7Cl zne*42$w@x=(njSb{5h*9oAt_qe^vgEm5!Nz<@Xf}SLd0G&o z(|i!K2ZoQ@oVdtP=)7-^Fz0sb+dPrt2V{%Gd`rzmYkxJEW6TA}X8}8!%Z@{lV~P16 z0Dl1g|9Ao7Wng4zU|?XFvukn;kYW%5;$U~bct6(=AJ5>BcvruWph%De0}~K)GFUJ( zFw9VJW(0|-0dZi6PrOs8pRr;Zi&K2CyJLten5(5@XkcImQp~^##9Ry( zK+@bqh#e$?t{-F}Zv7w~Obih~@?eXHEq}-XB0!9=&co9+$T7&-1L0Z@h7O>z#C?07 z0TqIMhENUlA4mf1Gj0Y2AZwec%pQ=4JP;$)I{W(uIEHvS`MAahxw-=#=^7LaQUwOg z3=4qtwD4kU9w5yN#0ZU^{y;9opKJ^hfGm}U7usAvnjeS}%7ErOF|sqv01B4Byk_Xc z0;B~|6gY*txw!^0@-TD&g*RUFm=2`S%m9TwBP&A&P-4gI3ooRAv;YtzEb#}r+7~DS zaSjWE1CZ74V7XrfNTaIsL{Z5Ljxxhrt8;(~8H9ltWF|bY%`4$h!eYLkIZ+}kDUaejSLko@FWSK&6 zYy?nrWm*PL_aNAGmM|lOK(Nme0>R8B+*Y~Pr)Q~XyVQ?eUcdYB-ZpUInw{#O@G}>m z@H5wbPxg@e2z6LW7o#yliRto@^RTO{mc1seAE61hiyijn=&`XefiyhT_M|i zbgx^k9vebFcHg&__IXwIU)p!h4XocU<2&p!c`3^&1jcSP()Y&)qaIWI%@>{X=;rh` z*T+L`EUvwC#v=`$mL%ub*-mTd;}>V(|C0Ga#u~xvdw=eU&rL~Xezf&*OO4eJca!GR zx0!wt1|5UO0F}%+trrMG4Jcc<-Fksc+y$Kp5$zfs1eSNfWZ=(O6bouVWkT`l#liWG zA)*GzE|cyc(Eb7$9-!KAad6Jh5MzKBipG8qM#M1}#vBj`2Z08PrzM?8v&Xcz>>~9P z(ELne2Y+K@)7X?tm512)<*UcP#=~Z^Fd7*C->^Uv`ry#w>%`-Oez=l63owJ!wExs% zJ(D?q&6%9!gD-7VuEU?Rda_xsEcjRD|48{*4ae3%{=D?UdpNYD2AxYAV|8pcFtT}# zX`_OF++#oLEQdM%ye)!W8-F~jllhlB)<zC%!JM+Bz&eS+$3moV~pibg=aRnSNyBrr;BHEj9*~Qpe3P+eyg%oVehlFP1$C{@F zF*?l$F?(S6sLhFs42917<_L3cx4z92DSkk`QWXDzE)GskQvZeLrsAWDfpgD$_n!Opk|l$xMAW%I+XYb$2pgXAWaK(w zq@))GI~<@PV2nBj(PhDcC7K7eB3FsFXMfqUraZqU*R7gRVZ)4Md|fD2%H>TKQxZs1 z0d{36mjeCC=Z631bH)&rV4qIx4IW?y(CutT24-N{dfHKXhhqPs`vHnK)9Ua2I1q0( zPLnv@F6X=KnFF-e@|!I)a)c{YAR8D`1|h5BZoPDh xiI1t9@bt$?#6RM+y82jzXHe-3D6^D@qVjfqz`4@^*Zg^GCCpG7UjP6A|NlC7GUEUM diff --git a/cpld/db/GR8RAM.(11).cnf.hdb b/cpld/db/GR8RAM.(11).cnf.hdb index 70387e8b5b8c24d13b2f21a6596759b421fa1c3d..686cfc373f5eed5edad1127f543618cf65cf52a4 100755 GIT binary patch delta 525 zcmV+o0`mRm1l})d0ZlaV@C0G~!1pddX*z>?1 z?bK<(@8G`qEjyFz8}O~~1fhT!pa#Yb!aAqum^9M`8pRF}bANv9TIp;JjYt^@S|y!i zG{QN~Kz)iKazLwJab0~PFB57IrP4pFpsHl8;~ zyxj``0RR7Z0e?)8Pf9~E5XPsi)h7r_se+{x(Y@di;xo+)_+COLLfRVY z=U#B-&V>taU@zb$ynqOP6H&YPCgGdkFnuAM@di@ zTA~7RK6&=yj3HsY4h%{+F>wsGF$v$$3PP(;mo^ah-hT%#;{0}~HM25$fn7(oM>~l7 zr?;PYXcLkSCb$!yV(S<2K9c>6e+}nPf{&m>#d?-1tAh$<)h6#kOtzUi0rC>dx#sTm z=3x!aHdM1oQP1N4)?8M)R#HJSRgpJ%JGj3TX9!8j-;I9g&Pu+{*4f9dP*Nw9J;F8Y P6a$HyCkFTi00960Q#0>f delta 535 zcmV+y0_gqS1m^^hTYp{w00000004CX00000003tK00000004Lalu^qH!Y~l@tp^1^ z!n0KH0iFVaR}XqGwZ&4DwukzY{*Q>yI+G@8OF?j9lbOlxCc8<~G_Aq<@DK1m-bBtD zIoesL2Y*5PQJGFE30W$lG4cv65FEXIRmg$ z6di5H^TvsH_mhSS>W6ADUcMMYfHeZd%s%*&b{EuoeLMefxUp2=mm=4pC@V={v;${m?Rms=!A$~?ryH(s1LEJ(z(l3 zv<`vgC;hUGt0VJ%~fkEjiCXT^YCLs*9Ab+$8wJC!=`s}|;=J$tEGb^K4 z*ez7oXcu;T`LGmr2+0p7xC@_R?N{+Gie1`<{Tj?);b=XZO!N_&um(lcJu+|E)PMbg57xlBu$0gOB5{&}r!!Q(dg+S$V0@jE%4gX#4fmlSLt42jC zWzA{059iAwzXKuVfi`%?cM{hj@zNbZ@d{d@oc`+Cxnt-dNd)Q2Nn1We!%@`jKTI~y(Nw%lihRMasFIs%7Lg))CU!a zen2&*>&x_MA5<8r;Zi&K2CyJLten5(5@XkcImQp~^##2gG3K+@bqh#e$?t{-F}Zv7w~Obih~@?eXH zEyw{PK#Z`?!_zg$G052i;aWC^4xqBceS4k(6@q<+P!07TNCNCLP6h=aYn!Re9*~GU z5F^w&`}+nshIl&pxW)&$x&s|^=^7LaQUwOg3=4qtwD4kULm1uvoE{=nInLrz#nL%FHi(x6bpj`kk#*CxgS%d iCyGjLaBv&mTAc&ZD-6USOW^?(@8lTl$_M}e0RR8)RBGM; delta 803 zcmV+;1Kj+W2bTwsYk!vm00000004La>{&}r!!Q&~gg^zv1z022B>Z<-a|OhrDqS@K zsgyNW`sSFXP^j;GUSS2f0%k>o5%k}oNLdzNjC)6GGWr1EOj zJ*kwRvPUgHq}1*$eUqef?%RX*?a7^O>zmHQv=TlG#OHzd(|&zc{H_?y`Y$65=m|&%dIHjdo`CeACm>De2}l=u0@8+_fb^j!AdTn=LY$ak%BZQQiQvcJ+I&?*b@sWz@6h0L@M%o!@L^v@+MiDg^uaL zVve;oJIQ#VSw=N4O>zEWiK0GHA5`Ek_yPB$F$UX{^(8u%Om@$0$N6)mu>(<`s1GU- z{eWsr*O%$jI;t>wPnt&C`%)2;4FVc}7|KRndY3yEG>6rdt2mT#&93eKM{{jAZfP7| z?`xVIw`EWxI$_sYx<&O#H?fW?u&KSRdq3X*00960cmZ@!yGjE=6g?Y_QG+PaGGHnT zNs$FbBr4g*u)DC?)!h{lgwfv4U$D0jR4{*FWg{X%@eiyltgOV(@Z41#Bsg$??wz@F z&VAKkp$A~_aDG6C29XDePE-*`d7)KOWc%`g3Q@zr0VUjP6A|NnIudcObw diff --git a/cpld/db/GR8RAM.(12).cnf.hdb b/cpld/db/GR8RAM.(12).cnf.hdb index 4896105c7d298189edb02687c9c1d626ec17a1c5..8aa3b338d4153062a995e6ba8a7b5b7177265a47 100755 GIT binary patch delta 409 zcmV;K0cQS<1c?NYTYm=u00000004CX00000000RB00000004LaTu(s`!Y~XQ6R;Ck zKERzf@Rh3D1Zo9R7ak7`irtcqEs`6@anm%$7%RjE_<%1_Lw`dj)Hi}J@%r`E(;6{b z6xQFY<8Whr$b##x4TR7QcQ0(wyFzB{b4usr`V$K+!=89r$25>n*I1P$)W$`~AHV_A z_(R4?znF@3((*Cy=%&>UUE^gqUwS!8+kXK70RR7Z0peg_WN4EQ0V96{2ar4?wvjQbq!OH)NoLLJ}E(OF+j=`=G@gAP8 zL5@Mr9+B|@{y`z}o_<+w{vZWlPq2aYOxn}B1Z09F5c>wZ$A`E^gdlt!?Cjy{>j=^T z_5laj2d52ZR)9oAfEc6&9!3z=jO^gh5leZe1X9HhRpsdd3=K~=po2i7U>5)Y00960 DKFp{n delta 413 zcmV;O0b>4%1dRlcTYm@v00000004CX00000000dF00000004LaWKUZTf-n$7iTdR) z2k-!#fvYqHBB7B4*2DY2I@7Y$;3m`V%uZ%o@4e4Z2jGK#i#_xiI-$Nd`Ym3|Ts^fB za|E$&usy?#bz>Cz=5~TGHq+e+NA&J+vx<0yGr4}mwbjrkHZ`xCNHj7Hu#qI?kv)J5 z()dGG1s=ZmPx4@hN2M>#&Jc`~>DskYO5J_|00960cmd*IU}SKU4gn*75Hc{Fk=qgg z5|II7klFy(pkSaXSHF<>;E*6sKlgYbGbj?Ign<)?nHV^Lr1DLn9Uu{y4Zg0y!H({( zXlj`mB!Fu4k|zEJsTBockPYrZ{-FW!A&~*d%2|PutPBD`@~_C5wLpqN3W%K?gIy!y zJv?259D|%aBI5)6gF@n5J^kGLK?=a0U}F#gvL@|mT>>&e5{P|+-Qz=CBSH|q4tDl% z^>qYFL43dg_Q7exnH3-rkamz3co;!cGqQt2M=a%?5=a$4RF$U-Ff=^ffDQtQf?WUr H00960(?hQF diff --git a/cpld/db/GR8RAM.(13).cnf.cdb b/cpld/db/GR8RAM.(13).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..9718a2c7660818bf2b54fe6bcf594bd211f41d17 GIT binary patch literal 2770 zcmV;@3N7^!000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*H(r00000001os00000 z007kj00000007bo00000004La>|9BY95ocK30nY(MZf_eK_pNB<&p^rgq#YJiHO9e zETy74ks^j0)` zSXk}@I;*Sgt?jO<&)q>jR9Wa-QM5N0_BKqFNv+zqpjM|h7}@sfH@(r9f!FIe**@xT zZ4btx373+qwL0%0M2Mg1LxlJlgb4972!Z0~@F7qgItYRK&_M`Phz>%aMsyGYRpOnQ zOor-27a~w9U5G%jbRh!e(uD{VOlp_jaC;o2UARdYzR@484ThI3fO~<5rO5}A$qt{M zke`x9^))%jD}Mkzb<6upWw}lHj2FJEhCe>8WO)sLGG6$u8vgjWlI871{!npaH{9MF zuJ&al*JB*g7E{^=_r7?|{uJ}7?wj&e+);M7;b#Sl8u`gHXa8gRp8K~vMc>Y#*oWz2T^T0%?E5Gv_7r zBzXw&cOYJ`h~|`k|2MQLk$F5TyF46i+=djS+|vtt|9J)Xrg2T^^W&bJY%U`Ao&dL8 zR|IGIQ_Vg5h6S=zxC_7ZYj@StDusf+92<%gK`0}>)1bhic|qX}cwSIA3!WDg&V=U$ z1+T@tpy0lk7Zm&$^MZmyV_s13Yy?By4e@oPoi2{KVa3RMv0pI)U&M-$_##$OjT{(IF%quU`TYYNf^m7+p zJWm=Kbm81e`{cRy^A}E^UO9V?)s{)RHb6%REw7KrYjNCIpxI=J1IUQlMxlJ_A!@%` z2~m5@N{HGQRzlSN*Ak+3t(FkA*VIdtSA`%hyN4pL0hQFO7-zRtG0twQVw~Mp#W>}a znvxEA#z`GyUZ2QSa{Rg33o0Mel5?URs_aILaV}6b3zQ6S_gSE79+Xiv6UwNWx1Iei zB4?g-A2sumTPhcO_w}~pFwK)Lc}bbTYbJ4{s5-p&R|9sJDTkthj8j$vc|$^O)$i@q zpvGBiHCO?;p;m+9L-=aI8d~2pFBR>Q5=|_z4c$vsH5JiQ)0r_^dn=SQmVEs|-fzO~ zJ)$Qp&7-^2@bU8lzrVujG!@24NduK7Lh-A z$V^d+acXGzS#lA}=E+g@0bF+mwwCVY(rH|RaNH~ z6!Hawfq=QnnM^`{rl-g{6r~wXpW!rLrIk|zAxkfKkC-y0lY#kCrU+pU8-&cF47^92 z3BsJF#z2@O*BA(ME*k@34sT;1%n5G{ggFL|fiPc7#Xy+PrRqT7Br7MK^1W0PMu2?o z>PLWp?!pL=&|MftM77T0D${{F2Ru@Sn7k@3SyhUKis5Id31gMhBF%TtMi@b3oEn<) z)hufG6VD%I5&1!1t&c;jE14dxU_7sn91+V{#AL0EX{Lx zZ)qM+sik=wrIzOLlUka`O=@W#Z?L6#oTQef)xBBS+WTc`T94;w;x?0y{7Z-)&SW(` ztjUNT-eg1%a~w}q=GA?ddmv7)Lp8<#ne20sYSerQ)hO^1s!`1))H!=~oe^cbDAF}a3yA+N8uZwT43Z1=UADDuq z${aGpN08cw$)U0b-e*ZFcX?i9Ro<69+o28HHp|Z3ey=kcUGYE5)@k1wDOR#?K;>=J zEM=@N*UC{?S5$wQ>Qqdo>MYb`K615%aFkG1lh_KE#R?(C{Bq9HLcaHwKo~a5GENOV zNMdtjB zQSPDKCX90b5@?Jn2ps4tNWMaNo4myk7a>Z=IFfnvNASoAe!w>m%tgVVDfFnG%a4|25M4aL;^>7wTNKLweoFIXEa2VNzh)Wddxa4w+XO9!E#q z0{kD0?!9xJEB7A&00960cmZ@$J4*vW5S}x>pYa8vf)*m876u|le2}}#CU=nB#k&hC z2#1}8orR@AEVNP#n1B{GR#rA55)=z73$@U~#!l2<;WwvvCg8$1-|oyev$KyNNt7j` zqs!b9h}r-ob4p0TqNRa?hpHkv)BYuT{-dT6T0LDO^h=(4??zR(T^p$KZqc zwW%<;3Gfqj6HB5Upqhf{Wg-7`Ta8s|=Um@3aMMyfb#cPo{w7lo;1^?+o0cs(_2*Gq zAgQAv*w5F`6A*O+UX_aV8RTH1ph$i9zsg1Jgbjw01i0nYW0M}>o}B?2WAj|)p` zp>4R&p0zxvtOkDtsftz*xHx=x-v9>ze-jgzn1bi~b}A`~*=}_5ItMOQ>c7f+FUCJ8 Y^m4iiqIQ65{=U7d$hMHa00030|G51rJOBUy literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(13).cnf.hdb b/cpld/db/GR8RAM.(13).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..68a5496d5b10663ef9736643f654846a419b1e7e GIT binary patch literal 954 zcmV;r14aB1000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DON00000006TB00000 z004CX00000006oJ00000004La%vC*))G!cT;P?g!1Pv7@AzI-0W-C|MQb4ytQ8saO z%Z3DHy$AOz=-^lI^AK=%u)H^p9cOX2;R-A{o;Pnio{Y!#YPDL8(C(uDhWx+vbBOB~ z;)->~eG~l{{7LqsHYfDY_4CxEsS~DK&}6gf0){ltlf`mMDl6-3ewMndY^-9gtMgDa zda*1GsjOa_ix}&D-4td0figTLRh{cgEid3QjcDN!LzoJpZ>+1ETM){+o+m(KE9~hs zPnOlpTmfg-l{wp-Q<}xztT5wNuRofkOpUIxgQO@Hw?mUGZJL8*lZB0L&BBLv3eMQv zNSUN0l7Q{19pvlCX`u5Wv(f#(R|i6u=0NGiwPbjGAY6xxG-h?9$CBL1&YMG2!42qN zz1)30?$)0?A7ow#!-`y=v-4n>vMWVrJsh6(Xqb8|R9I;Im9W@|dj&^Dzv!r0OPn+6 zazRZjMb9LH81rchBU-9{>OV|NnRa;$UE8XkcJqSl*bK3Zxi>fH>IQFW$%B*)hb^ zAIR_w4gpCpFaa?O0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34;U> zGcgDNN#&bDJ3t~Z8+=`ZgB{&nk<_XIWtkZifTUj1#NR-QK@^BVHn<1*hX%xlLc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JrW00000002({00000 z001Kb00000005l>00000004Latd&Vl12GUq9R?9N2VxC3@I4ShA`6sF$O4wA@5Q;8 zC-a}}vfQSlCM_y*Y=8gyHMG+SA%t&@L+9L==CL7Kv4Fa*!Mc~hRDp>Z>?zsBa#d2^CVP6kxVgKv_RU<_ zs`}zTG4}(-*Yi^blhTjw>z;ej(L$FcyoS(mdl;PUfS<4$Hg(Tuu7`ofFf*aXH~qHz zt#8Y3&)Yrx=UhX3HnfLdvXvcA?9$AL@HYbqEKz=vw9asA>wTx^q$-ngCV=xymESzmemD=vA! zf64j8--$BRb0JU1p19m|@!WpaWL=C+56~V*lA+0*SyNlyprc47qHc2RRL_wOe=eGe z5m9aFNSE`C5k=@(syTE-!f`NS?Ll2~@-@a9jE1(j?Gb)U=N_zAl98$zJ#oAs#;9$} zrw;w=dI>W|4gFl7A0ppZ1*y(>VKOh@C$Ia%9kTm{Tr@jZI*t*|z#C?070TqIMhENUlA4mf1GcE=NAZwec%pQ=4JP;$)I{W(u zIEHvS`MAahxw-=#=^7LaQUwOg3=4qtwD4kUP9V(-#0ZU^{y;7R13wV6F-!oGDh)5R zLE!)rK_~;7@5IQ>&;bUXf*FAStnReHizN&w|}!0};tYjqBg!vInZG7}z>@lKAxu8aTx00960Y($+D literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(14).cnf.hdb b/cpld/db/GR8RAM.(14).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..bc3e1cc6356d350ce06473ca37c1c3764e888224 GIT binary patch literal 539 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRP6L?+1QJlX6iQ1oLBuNKuVM@DqlP&R*NvRtch6gL zramD0v|;9{n5#FoJ#JjZeuDAUw%eaS_CGvPmCX9prEr>ew29;=q16H>ja*72)vq5l z|MQY_(th^Ti|mn`yfq#j(NAH#7`rRwz+?AGvp?@KHqW0Y@mT)2;oCV5@9*7zb984> z!F73{EC2tmV0@I2kdl#*kPvZi#!Rjy5-klDH}2}+JAVJB;gQSoCqD4-F?t#pFdA|* zvrn*5-mc1^qQrbeVJqW0$Ab=`>*^Fg9#nLkSNHCtLt21}2Pd<-fUzM5gXh!PPSy@e z2GTXL&CRlRV=rDYGS*$c8b8-_uGX8T^{5Y>J@1Fu|g8zobuRgaf?`q-IP~tqY@8G+S zit7XwIpW!^t9SgeYAR`{J;3c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JBT00000000;Q00000 z0006400000002t^00000004La>{!cA!!QtZgg^yu`~ec;OnGz5e;^J~=&2D%rJVbF zdm$$ zzYrt)PxKt#;unl-?z3rjdvfP|esP_&mGHwz{AncqEE0bniNA=%Uq<4uBJtOe_!}L5 z7eaIT8!81D2$Tv81WE}80;L86fl`D!NMc?*=Hu$E<;(xumc7E;SeoU{01nELvYn0cq*1%q<`1%pxmff!Jw=~fdS_hpS7xpTCQ%jkAkWe6YJ? zh%1<@rDJGdUHAr+(d{SB!aFVWFc<-ARSB$5kT@_i-#@90U|(*u+GEN zHOMi@*#qHPHiizMvc!FRo&gnteTGmC^&dzA>@!XV1t4pismvabh&&J@)H?h71~`Uz zI{CQ92f4Zf9qAer3{nLK%nS>F^tA9|YegW<3&aSGp8h~C#GhOY9YEH`YaY`UXf*kEzlVMI|>lxD9Wu&H?Ea V24aw<@PLYUatwB51ONa4|No%;a^wI2 literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(15).cnf.hdb b/cpld/db/GR8RAM.(15).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..8d21dc1b51684dae154aa3aad916c78ac24e5a89 GIT binary patch literal 521 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRdV@>@0(K}}3Z*%jAmSB_G5*nf%?3Ql zlW*+X=3LM)H!*xAS4KzHWCiO#ash7~G?XSu-|n2Gc1*(9g|Fv9wai2Q51g-mH^2WF z{pwnpqA5qxKxX$sQ zL+H9X#g7LS9p}}(`{A?s?A_RlSB#7`7_Poh z_5H&eq|J3iLHbVfA7|!A&PvP^rfz0ZjXZejf7hHy?jSwZBYu4c*9m@s92Gy#tIPYRz?$H{q4BHFt;@SuQkql*ezWa9-oC@FMWIIa&6|g>UUOVx{Km+B zgMB$~dRT*tOM}D`Ryj9;t37WXToClGT+R}7lt1+8OC}y(fAa;+4vP}RL9z1xKLG0k B#oYh^ literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(16).cnf.cdb b/cpld/db/GR8RAM.(16).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..8094559d46b4cd45d526e6148435fce838d3adb8 GIT binary patch literal 1822 zcmV+(2jTb;000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EQ{00000004{y00000 z006QA000000015b00000004La>{&@~6gd>GW*F8PI3RHv2_z5~F6>C03X%qL2`HVM zTBy}qM3h!q>U0QP$nTjyG^e@FVdjF^cZ%<`{cKmq)zw|aAeMfSW7pekKR^3DyOShI zKB4>(MbSspU$dY~3v)-JsDi%(22(-(0pnr)9yQUw@E_nHN~bw&HtXl^DTEd^O^caC z!Ff?Y6=|4k1Q*IGxL8)frLqbxmsN13oPw5H#$v0`s+U~GR=wmhw(2F9u~jd*jIDaf zWo*?8F5_NooA%m<-8|FmBkuNQr_u*~Hegn}j`6o$s7!HAtNu#GGXV?k@=&{cq=5p8>zq3WC zHM391Dh^k~K293GtbN-@rP|b=LgakR_{SUQN4L*`(|Z0qH$#@ln*R*1t@RDr0Yg#o zE|zPczn&cD_l$psbMNo4kH#5mFvDvHn8*%v9>$h8CcYfS_cPd=wk=ZjL!SPYRXDV> z{0G2`1}``5{-Apeb$1X|IGp1sZ=vG_Unnw&`mT^c)YpI@Q64KY zh-0QAj|>@-W2PdH0~wNIrXrX6l%#iIPvO0LkbPH+a6s*-08v0Mg{~GqBu`zd+T$q+0kI|`H zZ*B(|$27NI==&-7X?JeqQTuu8ks^I^A&U}zqfDg2#Fa8COzCAU|9^1|!QZ01eyEzy<3I|^5l)y`QerE+z z_Tz)!KiV;A!T$UFd8K^+sS`road)2(lknKxsrR3lZgl^--ARu08KY@3>1;`IC@NM+ znU1Q`zNgSC5Qp%Z8b!*dCtoT8b z^rZ>3NlhYF5rUh8n}48)LpMdi3OcwrIk|{PQ5>9HTy$`86X~z;xhPFrF!0>HclX@A zckd-i5|xSQ;{Id{isFEsGh9PBdEItgVK~+X2dD$+qjV?HSwfZAGXbnRc~@C8R83@E z!_0~$HLJLGPIiQ6PR*o}$rUD35C~Hma(*@*Vo!TMU-*AMXLL{r^65ZZ<^~1<|2j<< zmTak--)%2dK-+$(eSzpr=Cl9iXV$5=j zvLzRqmJ$UeM3a#9&-xV<4Fl~-rq#SiZl{NiAjiw!(_v_?(vqV{{ZxVT=3T2owGnvF zQjm5KxI2IS=9R_(zk-PuD!}tQdVn%G9cC6D73fx`-e7Jb7WICQ)W`KM3>pBqW@E9g MBHKdx0RRC1|8|O5`~Uy| literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(16).cnf.hdb b/cpld/db/GR8RAM.(16).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..06423d211b62ac5143c391530250816baf1f44c5 GIT binary patch literal 742 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FG<000000083w00000 z004CX00000008C!00000004Laq*F^y!!Qsn|F007fSv&MUF9AuBFA<_Rg<8^1$qrG zz`eKzE6OLl=VTP8tzf}OBhP#DJdP*hrfHfH>;nFc_`kl3I$x+0yVU;#|6l*;zLz!k z;YN9-B*=KWo)(8#lrd>N&zRD#)U!Qu+Epzvv&8|3%GPt|nbPIlA7L#YY?Zt6@r-g~ zbXnO6R$Co+qO>TDJ)x4afKGwhN&$`mmGkxOH20H^w@*HG%G*LO(mby(V~JK(wMc(c zv`4KdzqR^pZ02pz9$%~|5F#N8tvG>pWsX=GN8A~opD#(PsW z#@GDO;NzPibI*jKoQ88F@A?HaS5JHOJ24| zBXHp@CeH|fgC+5AOg4Kqmedj?=2lK~6W`1Mj_ zSl}9)g7h4DcYl|5Pw>i{{09I4|NnRa;$UE8XkcJqSl*bK3Zxi>fH>IQFW$%B*)hb^ zAIR_w4gpCpFaa?O0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34;U> zGcgDNN#&bDJ3t~Z8+=`ZgB{&n(bO_CC;-*yB~APdQY#9?ARF9+{6hocLm~r^m9qjR zS;5Nxikw*sQZ5C=PL9E@5%C_Lu0f7L&K{BR0scWD@t%He{vZWlPq2aYOxn}BL=Y$< z3Bfrk-9H6uGXbi`8LDS=e+ YLsfaY07Jvm4d@_{DA)x600030|1+pKk^lez literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(17).cnf.cdb b/cpld/db/GR8RAM.(17).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..5b7817b80e5b438dd8e3d28cc24a4c98aebc48de GIT binary patch literal 2228 zcmV;l2ut@7000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J@~00000000yR00000 z0083x00000006WI00000004La>{>~097Pl^hb^pOkpLks2*ia;LIOcKb%Z(O0utgj zLZg}SI9RqkXl&!7e+55+1Ahc@K^!>bj6fh3A@(K1_f^&Fs@GL*Pj^pnBD$=es(Rn6 z*Hv%ns($UoVzIc1>qTSCKd5iV3sbXY4ZnY5j=pQFd+>Yp!ug9I-)+q75o6Zych)nI z|1a>f{?m`U%VYPW-WctVMuYS3dJ>1~vYdbmB7k?-WjO&acNK84tAI;g1-#N#z^mN^ zjDs25Sz$crXvXoNqZ!A8j%FMWI+}4j=xE0AprsiRyk@esTLw>)IAXMqHa3QP2V+0J zSs>rbd+*F9+kW(-Q55s}Gn(wo{orQK=We|{m4!E(_yf4-e7bkAvoFrSW^Oi`d?cZz z_eT<1x_>00rT<3~S_VKQp=AU_5?Y1;9ceN<*l*=Xk7Crp?tFTEs2zgBn#58fr=?pY za#}h^BB!N`Byw6hN+PGFyCia2I?aQn-CMg34??pKrt{66*&*Guf_dpyUJh1tNot8suA7q^`rGs?e+SpYDD)}t)H_mIQiTc^_^U=?tVS} zw$l$x;-2r4pK>z{^X%!jMnAIm=JjvQlI*);n>3ExcKiqSWHPkl!x818;2iD*r>^1?$4o&12~QfHAyR?xL)pPrwnsM||63 z9Cx`O!o0*E6wV77ugPpay@Gl=XZ~jmGc?cP`VDtF?F-W|XK?+Bx$t72368_re48(P zoU8mw%WJsI)H@!_XbjW#6c`sWoH)qYJDivO-R=7!vFb(qOW3T=R-Ay?;YqYd!^z(6 z_+WE$I={kq@Zs)kV@f7t@HL{fF{}Xp6Dy7x!&q_D36}ta(@pcrBNGQ6Zf)$p$IfdX zftM+(^=ogx@%lU0-g=V>*sJU7q*uR;d=Jq;%^GbGK$jV!{xhIU_tbzchf@Q(#7qt7 zvMn{BOQF<&m+j|;hy83h<8mqT>41@(EJZ#GWW`F#zXm*Josz1r8F|b)CBe= zL8>*kKu#NQC<_rTN9l-Hkd6Zd>1bDwjsXSfNVgC_0`1}PD_=s;{YqT9#DX!Ol_ivz zHlmiPji)hfG>vIvX-pf*V)(HeB|dT6C>3H<7g4)xim6IMXcVETTDOXhK(f12Ax|20 zSKr}r>~puqf+Rc0V>OjMegP|L@GAL##gBBLJ)$nFpXm6!lsh4cYgw}JJl{94n4n8d9~B%YY_(b{8bI=eq;T_!x0X48;ogj zn}@a7pHJF8$p2#$U$LxQoBT2Tr1^kX@yBCp+IKYnvic_js7d|Kb>&awQY;G}>_{#Qhh_ z3UeWtoJ}V2rg1*RrRs>f!WNYwHK~F=j&}J^GInnAwjdnjW?>(fmBLzRtTR&ldqqbn z{tcv~6#uT$QHp=7=_tj&|8$h%-;`+exWLLx>>l~>we}*e?nWl$b+DgkzXy}uLl_g) zi+)dhnGE1l;Enx$#{Z&Oq1!{eEwRfBEvk#CZ8O+$KhswH8N5om)A;55P&e=Yl&&(b zmziOebc#zreFG<^!ZVo`x?uw8Op6+&Gc5|znHB}K#w4WAKI1OIq+yAL zObeHwF`a4An9j6lOlMj&rZX)X)0q~FX#*hDnQGasKny@5lxEWgP>?o&g0uk?qz#}T zZ2$|EN)86#3iP6b0iN-z3&zm~&@#0FG^P!pF>L^iX#-g7Lnv0tFL(w=UH7;)#S_4J zNnhBd|2zo1Y?1LitFs8*O8AuSNe!*wDg~pl3V9+!KbS0RR7Z z0d!KoOT%Cgy;y%+ZBao{!44v#4lO8Fs?sF=Xaa4bNu*VT;O5}wKTyP>PKtsRbZ~KU z(M3dx;^5@sqJxW@NWuTXcR@^BH1O_nckg}QOD1FE?)2epDA#REjg5mjQrD6r&YTxHo%HIZ@+GbQHKl;YZH z*%4kiJ{gNf7nn>zHUsH8G(qGDLh=6D48ylC$?5)iU*8=B`)c-y`;Ru?a^#0H680SXYs4A$c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DsU00000000;Q00000 z004CX00000000^T00000004LatW-%(!$1&Z-ywk5E_?xT&dCqp%mpFK%Or|o*~qcU zBRKIT-ouF#yQ%89?TMXm%1EQ>uIlNYUhJYMiY2U5>|e->-W%}y1HZF26(2_@!_M&9WyMEsVD$)a`!zpVwPC|1I$a8~H?B~6nNxKQ}3e%~K5lWD= zhAl%1anT?qZxf2SAWtJniLeZ$xwJZ-5(IQ9=__ELb%|_L<#rCr*k`k-HmCCZI(?$u z{}h1q+`^HZZXuBwZ6e%!Cb4#cMD01CeuOMg`yfe8S9)-FeNViekxG*}p;pc*MV(8^ zY=#Ug6>ErV4s)xD#G+DnNhy95zO8svbj&G#VBaFzO4$Nu0AW=Xkn-c8?mSyF<-CHa z?i}NXqTi#l1KR_2a0#2Y(us2eGbY33yucfSi(+{O!{3N9`V=u!w5?2;jloxOo^a`1 zy=lO-Rjz&^@xdWMo__A}KxR-RNC|@k z5Hm3d07>PWLOVbrFdKYbgM%I2UD4DsGbjMn=p{}34N@x##2_2ogZx7S;zJ?>kd<=+ zC0W7B|B9Sh3sNox#7>UEt`YGbo~}WTLCzkL@d5rpA@QDmZvG$zU{A1t^-S8+x&&mr zBoO-syT^yPMuZ@I9qjDk>gx#70rmk0*axQ#XI6kjM1UBi1s+Ba)r{=m&=E^{rvy^P Y4^`#q0t^jLH=u(+qF@&Q00030|BR$ktpET3 literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(18).cnf.cdb b/cpld/db/GR8RAM.(18).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..6a1c968bf2193335a37ce2cbbd2ffcf93cc97fe1 GIT binary patch literal 1107 zcmV-Z1g!fJ000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G|z00000008m=00000 z002e;00000002`200000004La>{-c9!!Qs{Sp-4?2@YK80dZ#SIsbtwgh~$x)JiN@ z_zeDlFXGIBU*N#r@Sc-#oYXAwM3h4YxsJzvFYdg-v701Gk`c;U6h$AXCslBJYqv{L z)WY9<=4qjR$J|)Ip{9G9AFw%;^W(w(X4f?N!C|ib2EL_(Z|mSYI{2;*zNdqC!r?tv zI4WMWpM)b^Aspcf;Rsgb!WF_19w^)lMCzTMSgES0HfykFgA80va^AQ4@(+`Y{QrB%Z}9^fUKY z=x6W>{S02ApTR5iGk8ZoY9NNEa`KQQ*L+OF7t>FDk_}$@4gIk@-ajh$HskYH_^z7x z_@a{g7@xd_+)Q)Tbrr75;|rahMl;?M6vx%X#|eh#a?gO4EUcjX9_n1#u&EL4BKjk9W}$Qnqg1P z&=CgFiSegeWfL|3i(lr!b>}{vb4;J)iu9Ljevjn1l|kg^;t*a^h_{4g|9n!+gfxL(%uG;ayhj4k(j4eT8wK00030|9Am(QM*b5K@`247!w~sjh2Eb z#6m?9Fc^tS_A$vWB)hu1K?Py3v#_(UO<|EvLC}B}_Et6`5)}VH8w)=`;$L{~Dh>&_ zaPFD8_uSWPnlx$>(e>l`9*8nPP<5p%!kQC=Qn;bN%K?f3LsX0twX>$io;hGEtVyHc znzpc{>seyWvFnrv?*#qw*S=mgfQAnsekw5K(yHiWpTPMOn2Bb11PQO)i?FfF=|5kqKYAf zAFwL=;&cPP*gh(8QW{W+j)yBz2}sfz>}<2UkOGeZN{0G|Hb`wakH6jwew&FNfzhZ` zgs+WK3+}sj`x4YwgWq0D&;bGuSFhbA@Hn8Fc$m5l&(AmmRL1GFy!u=K_bQzpWjgw0 Z`H$N}2x$`FntzWi4LuOrHvj+t|NjSn3g7?$ literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(18).cnf.hdb b/cpld/db/GR8RAM.(18).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..af535365adb2b73e3dc06763b8aea77015a11031 GIT binary patch literal 634 zcmV-=0)_n%000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EEh000000046V00000 z004CX00000004Rd00000004LatWrw~!axl5kLW`203Jd7FI;uy)`jjwq}CS1QlYIU z_6#ok)|Z$mQ(;_)18H8~n@p1FBuSFwuo|!@@UK=z{sZ}+MrT)HcWB@2CVkNU5-i#U z;&IU!&XbtMp2IApo|6QElA8O64j9y^3 z@!F$(#G{Lc84lWn~Q)P8fp{&~EU5LpxBPT!} zVkPH1e%wE=!`XpqHYw_H{GXc3O4mvZ8*v7Zr2OsZhwiNA?QC6s9S9}G Ug|esEh8>|HadQWA00030|02{1$p8QV literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(19).cnf.cdb b/cpld/db/GR8RAM.(19).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..2976e2bea8121d0173bbef9cba93175bc5074daf GIT binary patch literal 1105 zcmV-X1g`rL000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G|z00000008g;00000 z002e;00000002=000000004La>{+``!!Q(0c?g6A5)7<#K+HTl=RZ(|Q0ag`t;A!6 z|KPir85mg^csHEmc7V3A*jrAL9x~KU8n?pH29_(*+O_LuS=Gt%ITRQl*4!)y<@9N-tI(R1>-gAYc z;zj#OIKmae5v~x9aD{M$D}*ClAspd>!p%UW-sy>zs)}l}275Nhz||z@jr)Vcpc`{3 zIUinL$U4!AMwQad;sf)|;sX=T;sZ0z;saC8;sbNe;scY8_@1L5lb}lCS-e6&bAN?? z2CvZ1;1&8Ayh1;Ncl4tMVt6Vi_epZi$25E~{nRJf;FaIdAG_oIqjGOEK97a(s)>&; zD!GsG$y>I-d zAoS^d<@oFBKwTPt_4vGE{lE3(I{m&}pOpXQi~0N)|7wes}CO@B>H*#6hVgoD5s zldIlTrk(t7M;)mfwWKYem=ncj_o{8YW%bE`|2cD}a5G?xVN1=ht!CI!GwiAv_S6g= zVGx}df2vhBQS-m}Wgc92?$SBO^hvHrf4S!O$i7Ql?(?;q@xG|!z9v3-EO>Q;fQa$= z=ro_whh4mkeimuV8yIP~(Z^y;@LVft;x`Q3%wT{?=+IgSISVaE8)Q68gz{){+#tcO zLkipU(~d&*zL7?ZCdA*y}vN6rkGK zj_`HgwAHjJYC*RD)cJ%k+Dxf`_v1jc*$8EEx-U$3*fRqtt?AV__0TbDLi(bLA%!2X zD*EDd1HRZkDsfU8P>GI*D^UqZ(i!Y*v%8Q2j{!=C`i3@0Z8(p=-VA=5i5`K`s8ocn zjZzElyLbB%)K`PwUQ5sc0uNWO-6ilipqhA?x(?6JI0ID1>9oB1TmbhfogQU6`epf# X+d~Lx65yJDk1Y*75ZX5Y00960*`N__ literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(19).cnf.hdb b/cpld/db/GR8RAM.(19).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..af535365adb2b73e3dc06763b8aea77015a11031 GIT binary patch literal 634 zcmV-=0)_n%000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EEh000000046V00000 z004CX00000004Rd00000004LatWrw~!axl5kLW`203Jd7FI;uy)`jjwq}CS1QlYIU z_6#ok)|Z$mQ(;_)18H8~n@p1FBuSFwuo|!@@UK=z{sZ}+MrT)HcWB@2CVkNU5-i#U z;&IU!&XbtMp2IApo|6QElA8O64j9y^3 z@!F$(#G{Lc84lWn~Q)P8fp{&~EU5LpxBPT!} zVkPH1e%wE=!`XpqHYw_H{GXc3O4mvZ8*v7Zr2OsZhwiNA?QC6s9S9}G Ug|esEh8>|HadQWA00030|02{1$p8QV literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(2).cnf.cdb b/cpld/db/GR8RAM.(2).cnf.cdb index 1cde712737893b103d71cfa54580f5f33d4d7707..4b9c0c5991ee1c596e6506aace82e6bfa360de29 100755 GIT binary patch delta 2040 zcmVGS zP17{JjeH@BqQ6o8Um3&O^wGUh)WE;<2GT(J7v{$DPm~1T#UG^mk$atKr_)+{M*(zK zQ?-yX3@#c81G31cax-|LE`t~AGI*&jgUfXpT&c_8<&z=-Kz{;UTTyp7?(Ul|X{Fxx zpjW3moY?WI553=)g;$&S0~mACKR6stMSB|2?Mjn(I4r0>4u=Kx$KkM`0y!KO)F6k$ zf-2;2SWt%?4ht#~MX@_RoGv7ae38fR_9wf;@sVZVR`7zSpQY(BpLD=u;wbCsbF76E z@UcrDrpllz`hRRMLbcWYgmxu^-HH97V&G|NkH)>ejC3c)5#B7x-)&R(+_qo$>3zK4 zUeWtIl5d~&{W<@vUN7(S{K|E!X#Fersox(Qp}t?lCD$v;)^!%)f8hT7BrNN3flQw$ z`0tp1Xr8A9&ixO~|2Gf*5X2CmlyFMqYj3^D(9uS7^VOXU3OK|8qz;)BAayjP0I35V z1xOvkC_w7)L;+Gq9)d^-(LNYjiiBSadd^sENts?q{V$Xwiuvr9+{e5f}9SdQz$X5uPMYTfMEMgVHX3?k+Hj6Y_EMJo3M%#%a z<$qB(gD46-dJRS<6v;jSW!jM3EI>N@&dbDhqHZ#pC9zF3F^Jw*5;5VPIEJmDI%$ag zjb#QFqARBY&V_Pq-rU(Nn!h5Yo%Mz87ZZRzn3sfKJde=eSM)PB%CTol?Ppc`A91!- z;;EQwrTFWLe#YTyR1BMidKu59H1y^kDt|>!TBG-+>8tWz(HEZ__onLpl+j1(xsjXP z;Ew*EcsP7>E0cQ7nTyD`T0DZHk~E(~cVv%4B{xAlh>NIqhSP(+!R~Zjf97oW-?yea}Jq~2Q%BQ^Fj09eNIU$3&leC(8efOszf7-#(&HE z7Zpgr2CIge)g3mr{TOFh{9tA!EXeX}y`9!0mtp9~m>uI+3$dUC?Xm!j5dRy zFcxqf7RF4j!@}6obyygKyABIumDgcmOm{7ra->>{!B#!{WG~^|+)xx>KjAJqHU@a? z<3eo?!VDbq+_a+q_ZBHV=NI=%Uw;>Ku?Q8^AY`NY_V(*M*fqv0c-u0*XLO&2BZpUB zsl^3i6BZY6*)1**nXtHk!)|ebxP-+8+;xi!L?s-*JM+pv<$ZWIy>cEN)6ZDqlVOb$ zZPbL>?}>u*7LY4RPZ1EEVyWm-y}?mcdV0>npD(QNq-Tsjl2u9|FqVWvVt-=%F|ES! z4{7-gLTETU&VeORibgDy3xHV*WvtXVXrNFj#UMd7V!O!Dz!-LH(v}{-+nG#mg$<-C z}a{gH1BR zUXhl0Zld*0decXpmD}kn;D7ZAYADZ=GRe~K!~2W|qx%q$PNmqCs`$Wy)oq}-89bhE z3Qzn5ewd|=OCx2L_8M@^DhWH_RT8-3@Gxrj%qAu|_sqNRocr$kh>=7kB09d> zTLw`pU}p{25Kd0F9e-CCjxZ3C4d zTe-P@htS)Zum0H|Pk1|Pra1mCM!K&#(*yWg)y&Vzj;`c{Yk!F>niQ7JD(?%?33z{D zJ;kObz&Gk9hD0f#mSX6oc<_AB=Me0i>zf8nTB@fGHazTZGIardF;=;=vL)yLTuKy@ zI_gLKdiy#BQ72GoGOfQC$?ZgF2eG&GG1v^|Dglooh3OE(0RR7`;qPq# delta 2035 zcmV4b@i*NyQ(Ie zrfGT``9c&$f1~`rGKRP5?fasrfq&->q=E7;%#G!rC<(rcKS=i@_d3%~r?vKu0_d)$ zY9VD9ToM@uWRXwhX7EB?2AAtHc(E>nD|H!Mt;^u0lOq8@e}a2K+8vI&`=(1;srNnT z)#(l=cD(9C@AqZl)h7M`#+>vI4u@0Go>yx{3)X?n~j9q^bq%6j@7YvBZZ z?9zv+GU$pvf7^>tZM8q4UCCf~Vt=R@c$(Uyaj!2U-HCC8H%sz&+tfX`?bm&JAMdwU z^!|?I+h=`$&OfWy%lkaPa@{Ig{|bKU_XkI)?-y~&^@_4}okjQ`xIaG$%X(ZO(;TfKWW00)pt zkKmU9xJ21CTozq$n=new;26o--g<(>&jlg(`z4&2F5?-5WeY;?bH+L)Y+BHB#yTb3Sq&VuA;=uu ziObk;711{odmgld%_3;*?_;y*SO}X%zCze6sujX!5vve3i$;a8S)|Eg`I02>ww*Xq ze;#!+h@!xw*I;Bqk?aFdrVYu>0;IF=yi9B->L#OE65B)*gXn!F5fko-W7rC+lZM#e zSY}`$x^gPuTqxJ(&7IAn`72V|SzqXWF#*_vc}e)i^9T)oML%Ps9DBCZepaRb5ocQ^ zo{FhfiodStXB@6Z#jshZm+@RmLvQY(e^T_MHF{r~zAFC}eeub0Z>sK38GWRl8@b61 z?&$xChr>6wGO5>`xrltL#Um&xN%J{$NA@^WaudXZxQKdZI6c@K>`vG9XU=y2{b_sH znf6EBTkYN51CmG4W5~WEQMFAz=aA`mFthDCA2k2n=ajUvP%MNGZH$7YN;INqf4qEf zQGo<(uxhAT-C<+fk8y^@4`x=vf-Jw*+i5*=8HRq0*)e{#5DQAsE(^dY@pD-i##6Zc^wwUbk~w8N2;Y5Y}K<*_7cv`4Mp+w6Yip8V}Qp# zF4X2A%)l|vO)L6;Z;{e-esQn#e|0ezi%>xgLN=OjZNJ8YU1Pk0w=Ls)M)zqra(LyH zT3jGDVQ~SM-Qog~35yFj>=qY@OITdMUAMSERKoGQGq3zp-iK$?E9c=c{fs3(8P+(_ zMopOgo+vnP0lAX&6ampGmWnRb8yr=or{^sE`N9fMddB!8S)~L5V@Wt9e ze(r9uk#QevE50Q2QjGKEnZ+JF*d!zD z6=|90CR*>LH+|Guxt+cOe_o%UhVm>alPvu{yw7Mbx(@;AREkZhiVrPV-3E%A!Q=U+ z@WfBxhgsUVG*V`1uK~xblCT3_C4nmrA9HWPahX{L;Ec-hl|Gy`|7f9Xzh%=sjlK91 z!ioENUCW8#W3*Nm$^m(b6Oe~?^rgw0I&78AP! z{Eq`}+o|X1{s900|NnRabW%+(15p&cgKB-Y%0iH^5DU6!6CzTiGt<}3NIO$A<1>)mmT2^ zhZCt}a+<{y0@`Q*aeOo$2L18#hX4E7QAY{Hn;mV63+Mv;?KE9jvZZQ%wJr1t#oAT% z4dk87SAU(47rc|TQw)FSV|`Vg=?8qRY8FefqbmjBS|X1ke}!eU%KPeR6@0j`k!DjH z;2U)lL!va$NC$|E<;Pp@L$GqrZyM;d)Qk?}yW87l>IM8{ta3}TB^Q5ON)(bN>PD=+ z)J{Ou1N=6b*3XONaw1eh9Nc;zX$NzbfJc$S#Lr>#<3WjlI|2e_E|(LQ6r}>D&z`h0 z=vNMY3Q~wPIV@Zp-@ng*xxfAP{ybaiq!MzI*2*} R&iQ@!t|Hq)`UC&~|Njz`>X!fj diff --git a/cpld/db/GR8RAM.(2).cnf.hdb b/cpld/db/GR8RAM.(2).cnf.hdb index e691ca8fe29ff19c353d2d9348145ee6ddac5c49..5f7cb2aa3a7284604baf014bdf3009932b8b38ae 100755 GIT binary patch delta 799 zcmV+)1K|9I2ki!sTYqK(00000004CX00000003?<}ca*J(nr&55=7{ajspO-=xoSer0#Y{)it2HVDM1Eq@ZD8u2Q9f-v-3?HVLy z64hJ+r%c)>nmS$~BGs+7v5-{KwrliFo^%?Sqyw9F^5Z1b>zU4A15WT5ARM8_2zygp zo$irglBDSqs`QPz4nn^jH|!>9{kXiQj5Wr#>W#=&GG0Gh-vn02s_REl>%etUL7H~` zX&(L4jd%=>Hh)K(jSh&MND+`586wt%aKFe#et%aHJ5RGP?sp^^t|az08I(qqq`Jb% zy*s}Dq$=1UzItR4RmOiay?Eg^?Kwan6sG`X=zoC%I4>DcUMlRouw)e%f(rtG ztS1Q1%>Y0e0_+d?(aS*YFg(KSz<{aj$D1v{{p3Y@?}$nRA-wK%~)2d z^ozdFpo2~!0#NR-QK@^BVHn<1*hX%xlL_B2Rz{v{cGzPh2^`EdtB2*CL9sk-ce~=it^C>xoC;h{SK*`Np1j6YOvRb)@yq zH{a~c?#_6#EXx`s+cf@?{=dfp<$a;NRRjClr14DrM~owRhHtFS7k}zB|QrrW#C2z zDEb`g2H8)Jk51cV89Lw3WHs8lTuogsfV~0A`q-2UV1NBa!f|&4RHgmKX{(sSTIOpl zb5P6d*D`yx%ok?XQDfit;zYOkD4pE?KqqR_pYfS^h`J{Ogu!sO(SU)$E!zulQ&^cf zp)a0b1}Ppz0eG}128%);j@(26rOpd~2C|sJnA>kM;irJ8Yl?d(dH&#~6=1)V3f&{3 zaM}@=6Mv0nh0yZvD?~yELKJ_C&-(!$A>Q8_DdE*6-buXHyT%Xx0RRC1|9AmRkUL5Q zK@f&(*T;^LvaGU-8YmbF-oSRYx2M6GZn|37i5f%$Z(wTR0W>ogjLZxSyn%ZGFX075 z@UQl@G=Eo7^%eCfs6&TD^l)`?4nuv2O_PpYseht%a4bhjP#2oVBbshMd5LU7!g?JT zlx||;7;Iw_+|UX_L#Rsy{@u6!vqXM7)S6itJ;UxHJC`f=Pj5biU4^8B3GT$F*!o#~ z3CRUo!T&j!KElujbfj2MQe|~ep{&~EU5LpxV<$jfVvz>$ZeOnNw_w+ynoWv&692d6 zvN6)NLW)SHD)I)e2X~hek#Z^dyU{n@>E-LJoxSe}wfsZb5w2m!7)YXdf-e970RR7Z CevRV* diff --git a/cpld/db/GR8RAM.(20).cnf.cdb b/cpld/db/GR8RAM.(20).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..870e2975cf49d99115a38863deaa774feaab2ba1 GIT binary patch literal 5953 zcmZXYWmFVUw}u5IhYkUW0g)6KI)$MGX=w(eL8Kdjp`=l|OHw)p5s+?%5=LpIJEUuf z8Rqic@7G;-pS92Xti9HL|DCJ5OH+8#|UaRyIy7f-HJ!EJk+LEU#=GydOHdV;@`| zBA({Iiih)Gm8bo$`e7Y>fh2LNnhjxu%~x@Gc$UZTu!~}#Ym`w6&#*pXQPBCRR3Bda zbZ-AfHO1uB;&zn^>bkak9}y*Mxr+5I1|Ien5dI1Iv2VTMJ}%o_$$>ehBT|+ngE4%aBo6w0afXTP*}*BvrnU6_j%? zi<~ue4VfvgGqkvDnb}Xfm*HRy#en-bF~>?s?#iQYqlho9p4&g+d@BWVN!t!Gct4)v zeBJqvGNtt%;@i0o$4quyoo8^{8+1=#iNJD`Cm# z?7}EO+AKy&hi}RN*pTZV+CNyLT{x01=M-Mfll++Lf3Sk<v|iVvWr#Ff9)8epfxC2Ov41TvTE?#zy+{<(btXD*2RIJ5Cxpd%pg2_7U7(f8)x zDTK~lMi$UtH)L;h5@g5#{;Zg!x;8;p(Cs}J`2|YYp0z!&Y#(Fo1od*DjoECc_t$;) zE~oDZ+}Pbcl$QZuLDZtl2ln@Y4#1be4w|a^>ulc#PLb^Q@$iBV+{cLxfhBi40_)MP zm92la2fujjjCYq>I31oyDrlWI=$LFsrm>C9&Dh@DQm)L+jB;L!!o2ILFy37i=~^hg zb2a?-Wz=~Vr+C|W&2i1Hn&MSn4+GF_C^%{V)P-|Cz;158;H7(RdyY#Z?UlK%}aQXk9c7cK69ZnFB%`EjX1nH|_0;1~M1Xxm3&2p60!` z$y>2=-@;0|_v|GDazg5}q3S&$roN8xEP4@KCm8&M zEKC#Iw%dldOOHe-=-NgB;} zRRS&;*>Pe59{y<#MCCm(J^8)Ejr7%lzq`GlyvF4or%JsKgAF)cnx-gfe70z()o9gR z40MCeQL}VdM3@vSB}=hZz%)|0Qdu@D1-Vb9*#eOGeRCSBO6e959*+{LC+e>Q57U$c zLi{TSjuSlFz^_r4+_Ce1E4r~uhVE=Mv9R0}f_)Hwy7A|{Ic?Pe_+aw)*e-r6w(xV? zny$dg_@-h1jWi`^)G0gVHx=)1IJwz9esXcX)vSHuAojp9-99IE!j-nP6Y&hnv-zBh zea%@??x87ic@60W=@(nPOLN zeU(iD?(f^-@O)gXUNeN_w9WHIY&>YCz=yVt}9d^-mJIdI z^J>DY3u?iSca{Ba6`6i2f$!myZv#z-cMfs7;_v$n3)TBbRzGWa`~SlJp}#JEa(N?u z&50U9`>z*B-v8EBM;Uum(&d#-js#4FpScYf9Su) zUUwz1kXs~K0mQTyL9slB*B zO-H#rUCy4^t41;ODYYrjV(b53@F_%KG`UM$dEHuzXNb zIO{#Bk2HRslKFf0pX1g>C_-rcXsdl`7cqUE!!YiY1D%hn^uCT3S^wwrf-dnLS!0On z#Hr|XR(Rr$P@(JNcZcoxz&`NWiXS<6*R*_Be1*R!lH$ZE{0|Dvx%6Fi%_^-Eyk}?F zJt1k>&v$b@IN0j3etG)&L*f%4FnSrhZU2Wk3MKGZ+a76sSz8qnuw#P+3)B>Zi&e6) z2CQ8A1}R}|3X%F5?i10|5h8>M3>W+7HqyQ>BY3~1sfwEHv%_)vi`Y1utt^MMx{R;y z=SN6a+`$SvTFan6vP5GQtsJIaaS>e=r)w7_uMj=xSz<)WTo2YP$Mec(>J=l9DG)W* za0^33TvBf46FEzVxcAyE1k_YssHHIt>jroKcIqSS!ad_j?jvyTM2>JONx!MLKZ~8& z$Hf@^IPQ^Ibqx;b%*FcDLmS?UPbuGi4Yz5a%U^%X-v2kwp87@ITs^2W)0TI+TOSQ7 zfOVN03H<>~&yBr?Dg~kp2)uqefs=sSa|Pd z2A7w@PbvsgkoTQXu3C2PikZjv;c`3SdOA1Hnys3w_$V=DKXh>eqt=<+D0vD)@+U#| z(lH%*6>p)v;djdu@Cy5lL8V}#{QOCUr$3$?<+i;PAI*Ep27J@TsC8dfeDT%!I^@+W zv7I4h+sut$Xmz_4{y(y7pOLS{@K-tlMuU}LmG4}cbgjb)P9nBD9YO?%=YHiio!%nj{(xeP=W<@-39iDSbsrXdoj1eRh?xS4i&O|OKkRSEfijI#<7UOd9?N2uM1`l_&S)9B zBh?fgl?QW*py;W++`Hbab;)XIe4LyW$1$bz8f$=p^_)07yVNIwj^97__+L#PFJj2y zrOdVq8wV(InitrQ^l9=O>5kg4633RMiauP}zDgDZF)3GP8={RJvoo<3cPu$T`aV5Wc93Sk#-`y88hpLjjO!Ve#>cVdi}r+y(y~u zlT)caV2g{Kmm8s9rL>RYt-#jib?$Fd*X!ZS22tG4;23Ma44i2A5g&enmap3lTP((C zLUvOvdRe)CE;U|sYRb*~Y24yJjFNr9y)eQ1YLwGGBswG@K4yK#HIDQY7zS-G?zyn% zzn8zR_jhPW{N5Sx#qDyyd~eYS$=Xd}Gh0X2;ulVaPj^5beuLGrb}m`gi!pB;m~k+( z18c%ktG%yYrt}QC19>-zu)5y5U|Vb;mh}J<9(9D?k0^r405Eo4d8yjPzd8rnJ%0zJ zh%&WVEQwo5@`uv6F3;cFJN2 zek5;s!U8g*wNRWmI_oa9)q?u4GMboW)A#(1I7CH+;?Ks!vA79$ZriV`iw;S!`rjqw z-E(GCAYcfDPPbE=F8VGbW-c@KZkx$-U4Oq(n)bN5N&NP#U%hl0`1Jkp;-OtN#<}Q+ z56uSrk2vAjSC4Kfy+=AKMl7I(6n2-+#N(@GHVdQIFu{i*YSPtwC-hwM*sb)`r0pll zg37JfltGQd&BVGNFgVMw&7LWI-hnk11b?;eQKD_$A)0Rll8vxnAtJj_imS=DIzsB& zkJ||$On?0mE8+Pkj}!qZZZ-7p%7(7bY<3|{Uy+agl7Z$J#78Clp5!F(4?Yvc*To}r zyVB=TvkGe_T72O7ZFf)#7YyJ<2>`lMri<%`CNrg$mgkRc!R`U1ib*Iu*1*# zKWaEG%z+&;VgF7giJaas@Jr6ltO^yP@0k?i@KBBZmW5e3KR6plRd-uUVNz}2?M1lS)c$O2eH3}|NMV4`JimO*3`epeRG&>o zohTo#%0QkvT!`hd z_p$+lgsIwR`c>b(BM^5#O=Gv3!N~`h#K@m$aFzJnsADm!#36&uZHpXXKhN+TgGmU$;ik1Rv9Txd9FBxlfQccc}|1Aq(j%+ z_zr!B<4GiO`qIDw>uqM9Oh2-oBiAS6qzT{#nlc_s@H>ZOO^1K}bsO>dEv}%I;eyo0 zTG3A0plVxBZ>WfBu*cbo>qA&S6c3MoiPuw(>~sJbUhKtO7UG`>w;CMYXWC#BgsNw+VSPl zz2HvXy1|tTRiUb&md#^^-iOaI+`{MMEq6%9^{=?n*6VGA&mk^&d>T8TrUWyTTc7a1 z zy|2e>O7n<}MsOd4_h@>u9o?b30MT_tJ&vg=hKy@5k1A8nA6xy~)XB8*^#2-VI0S^l zXQjnE(YOXfQX70;2eI@kPl)-KdH{PuCqyzWkLFd`M;#Ks4gue;6EVWbKzG=eCuUS~ zlN@ttd~pgUD+Kg!#1Ce&@;!r13l5G)*uOdkJ-%_n2Hr!F7}bkKkKaD&DjaA+CkP=&~M-gZG%c0?$RNC`92|wN#*-eCHvp z?nDZ+tW4}{^RG$(=Rc?%+(EvOq-)kK7pZyQ5>eHLz0AlilAsJI zN}Ff?ED_5Q@lP@;JsJ#4j@~UZ zTXl^|b5gy;N~}r%aN7NSbWVs8X~QK0@`NKw1L_=8N~;f-OlwFlE=Zpci)Dlt{A3!m zFRqx~C21~y<%ScMiDPlw8Lfk-#j7JGt_SCv9dG^dnD>uOVOD?iSSYxhL7ga6qOTNM zsa5?rNV9#t;ZC3F_@hJdcMKlRQ|Vr7A-_%cXkr0e(id*H74F6_Wq=zPN*ZPVWfQ_wIE9iHc7VKMWMZZxqO2$>*QF@lEcM~`2rn&{xzcJx)t3u7{-(#hV zwketL`QsUO)9v0=?&f+gl>vhM90lN~n;4<3W4(lqooWpxEMo2V#@f%y^A$;c^5=hD ze0H{Dm-kNURHggk&r2xVH)7|~2(qt6ixoTL^yb<#uQ&s9kJfORWY<3q)LdtJcdBG| zRT1wFp?`4gc++p`js8w=%iOy+O&4zO(B7iu-if^?O1G#%0lZa*jFn06TP$eBY&gYE z+bqX48=0h{^^xZ3^k3X&WPs$xXfJQX?OuPFpzt)2k6(LrNb^xLve5E6BK@VTyU4BE ztjwL!=6wI4Wn?hy_bW!Za+SShqv|*G|&Dz7_dKS#M#iAHQ*pNpmLZKn6iGO z`J(PiGSgb*m!%OU>#Pxd(&;xu%`i^pZH+ewrhAzED+TK0~^Dcd=ER^lK>STAJ?ZSkDg*USgGvtZX3UcU`oo_^uE>!SC(>`OPBqSrS&=(=1%(!J4{GWiiek5 zt@&E0w9q3MBBzXM$$G$H%px`-m*z-GDI@ABB>H10Mz;H b4|>?jN+AB4gd$O`P@`lQ)}V*2IR^7Tty|Lv literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(20).cnf.hdb b/cpld/db/GR8RAM.(20).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..22753686ac732ac4cb4b1e771f106dcba7e7495b GIT binary patch literal 1278 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J8M00000008#`00000 z004CX000000090300000004LaK@;Uns@*Yr= z9Hk2l>t0a~vnzo5y|gL@^U)}qZkFt8%=uu9p$s_;Broh+@#~y@D~9B%u_>w1DWQDb z8n^DT4Tk*a;e*GU`E7;++L>AEIB(>{24RG=a@LFWWulB{#LVcxw5!nK>HDl0b-6_` zK}MVc;ijbvN}d%{Q*1hJO>5OZ2ul`bP(|cGK-@Mb7c-KViIE!b6(vQSTF$~}Rft+_ zw8b)og47;@z(7N6A`}yEC-Yi}p(hn%DrsujmsVI(K?;CVVJ8=uwmaw4M^m8QSPU8P zWJ@-uVJ5Ltn@Kg6VcgaSCq^Z=x0rCoAi2By#l*93A4l25Ed$6mzQcEP0H|{Qxm%Nn z6GYL+8zdK`G#IX%Xnrfvc_BJaL!aCXS08b9+2sV0UU`a0UPJ_TqQi08-gvr#SYJil zT1BiiiLjH~W7I@zjGAbTQ4@ZQ<}Jzq{&G_irlG&Ws_lC=y%@RMLGE;r+a1JbEcWBW zh!H>kfVj@+Kw6^%X^jq~H9C;i=s;Sd1L=>Z`X(~I5|^=?xRkGTjwbFzm_ zF?##!E_m*#)HjzXn^LUwspyA*@;B7axR!{qByVxKdlAY9Fud%Ixeh6q0kmQarO=0W zi%LR8mtMlLSjZ+60>kA~X_~!;ncj)y32fv(QD^oug=@r;*mwEaMQJ$LW1lfPeN_m| zpPVEwk)5FI(c2!)_#Uey64>su!USrprfUX zfH>IQFW$%B*)hb^AIR_w4gpCpFaa?O0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AO ze(v!=W>6$Z34=8dGcgDNN#&bDJ3t~Z8+=`ZgB{&nk<>;3WtkZifTUj1#NR-QK@^BV zHn<1*hX%xlL ofrk-9H6uGXbi`8LDS=e+LsfaY07Jvm4d@_{DA)x600030|KU155C8xG literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(21).cnf.cdb b/cpld/db/GR8RAM.(21).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..8030b3792565fc0ae184988a54320307dfd519f0 GIT binary patch literal 754 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F`F00000006xK00000 z0006400000008m=00000004La>{iQe!Y~kYR8gUx%0E<`3#FX%AE<{Y_)#SOITAk(!`~uNd9lc6E@903SGknE z4otJWSeA%3VC#A|ojY_p*LOfIr*H4Y%9mcEj;$6i7y^q=Fa(yLU$N?fijIhqb(>2I3$k_woS~i9bpt8h$d!7Lmf_;Wi4fP*L0_-zR1_dB%o2kqm zkcd1GBh)(k`vy3McslvG#s|5&10Cra6bw=Y2Fwf#fb_KRVrxMl%?rc`jh_BME(3!E z5OXnf0LhKlJf?$0_<0pRtPB}I!5y7=0N^Wp)8{S%-1JWxD#2`!I0Tu7$80^Xj00030|NYEB8UO$Q literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(21).cnf.hdb b/cpld/db/GR8RAM.(21).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..704c9e508ec93e12c3e8065cd3529b4fa7bd3235 GIT binary patch literal 519 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRdV@>@0#+zp3Z>bZAmSB_G5(Hx%?3Qo zDi4mRe`C0}VSNbemX)HD6{`Q-aNB6TNl7WPSN3$K6NjSn)B|1rdYFERTP@tj|I7H^ z?-<*;g29au|2OnKJof9Q`i{Caiavk;d^sez*D_8_H|pflQy;HhV4eMcw$MSbNmCq` z-M+EEft#sP@imv6e~-&mk1xd&KNOioGE3-xerxBUeRl)UZU6sQFg{91NXbY@NQk&M zVOB=4RQuu@|ox8EY_HeWB|6 zhc`%@>xhE%o#sEz%#WOvm?uo#%%mE5@YMgVIg#8!daOtM`VOuW{3thXonxQlIk|}+ z8UHydewJiPwq3z{7kC5VIKqAuLNH-5mnEga`;M z2<(!3eee6zJ$KHTc|P;ZnfU{zoxhVm$qab-1nYkTANRl7N&R2-!~TshexkeY<`&R$V<_ zjbZo~5QQaiz9+F5{a{|Oo@lA?^^M1Vj+@P;<8|QS<2|>4t1`YP%y;KMw&Lz(WLZKm zf`}B1d*My00g85%zuMBo(ozalMD)Nh@2ky+a6$Qi|LC?g?N9Hw_~Oi8d%rV$?d6TK zMV|KyF8>C$tSp4xsu_hy#1=_t3Fw`p;=tvV>Knv_Nkkwo#N4~* zKW-WZ2yIVXe*vLLREnpyflh#kIB;?y|u;53u=y^O4|V$G1fUC#x2{80x}er7Mq#PxQZ zo|-?bmPQbZjYMlqd3G4E_{Zh!KTj`#|n6~7)A`6S~9hg;=K@>0tKd1eGl zLk9=Uj?B`*j#_F4TdYk3rzrOOmdVWx5G=k6ylU2!=m#GC=N#v6FJfHw<=5wUMa886 z$GyIfP{!ixJ^$I{e zaR;@O1u$WXm4UpAcJ_&NAW5bS>AWH5)Mqzob(j{flxv=d*EV53A-46Tm(jwa#5dWa216cm6djofzEg!Q?JI9NHgy#&v&swb!qe4Y#iqdwhl2r08;|h>k?c zH9o^&-hROVwZoWhqaDm9&lx749WA#Ee{E@Y%k#5ouK-oHv8DjLeiLl;L>)3B)5eGB zn?eq77Wx8DAq%Om4gB}PF?&+h4euv2f#%btJ#}!E>g|!O%k4xv`4SJg zr7$~L7WHJ>+t{4V%w$!m$%LGV&+&D+$%GT;Uar+jlZT~j@3yDLzo>#g^L@0M=fK{6 zCAL}dh!j;~m&@nHeQ=vFqmC_?mb zPn_R!wJl)H)huwz{BXe{eDYJTIF|%;bkto%FU)z9J0|5X_%H7(C11&*y0nQ@UbR zyZy;U@2pQ*I+`MOZ`E9V6CMvpvy+{t8@hni-?@H1Gg6y>Ln+g-Ay{6nrRz75-+USc z%q+3HK-N-UBQ5nBJh)<7xJL6;x9qi7-ewopiwHVyCraFKzS~|aV+%w3Qqk`oh0M?V zvKl`nidinh_VH23ts;V{$E)A!C>d@5%DLgDA1;WN`;*1g(1v;HwyXoMiYh(r{!Fg% zgRcZR^G?YTXPRx0n-XurKf!9$RvwW+RJoyO)Cc%_mDA*cK~+|f&_d;}bB&ft$!WbB zr^)hvmd$e$D(ep>i6E)Vu3H+#RbzN;*_f9Evg?_xjZ>lXBTjSl! z{BCBE{(rN&Ne(Eox}`pJwTs|(RC|O!9n$y+=J$Ia_E{1kju++(X}!%7@H&X$|tdh5rmJ6sd2V#tQqs1xnA;Ha9ouSWn9++6nz;5)r2L)-K9?1XWqo#9#NCpjk$K z5x3gbv{=iwd$FYPf*NsclQbiag?kKp+1^5Q4tB<_e0)Mg*>g zp4l+ve_L){c$TAsk4LOxGlKj?(No1ZtgUd?+pCh75m&0GT2qpe1R<5=V7apL(o{Hm zHA1YbLaPOobSST~F~eXttb4R*I!#)KvO1ycZxE!^}Li2o4Kuz)e6)@Yc7!*${6 zfy!nykBfc`4Hck30qWxC+fFG<-FTI7M6k!{Ok=DU-eN-3sj#rY_V&@j?bB+UAvt?u z%RX&Oaic4*N?oa_(=$)FqVyV(N~dm%;A6Rwx659K_T$o_5@E-MH@vImLGdVqfud z1jOP7$s+!$DYQX4%k=!Y6dZor54l9!z2fkD_@uKIphe+coGloCeY&&4%3Xup_ z_4NLjH#v5*x>?kr0PnJ&;0(wem>kaHAlIWYq&v>0D%mtujU_*S?hj>%x`!5?7rVTe z-td{ShJDquFDQ7YsrFGar1M?vpECOG)a_UTwrt^W3)$Tl6#%MFQFS{nk~r#}jQA+S z4J&hfD}&I5%S16h;~dKFy_R1+uOJ;0=VcI82@8WRl%e=yHd9T-(Zcfrpw%11|7tS3 zvYyxfnnC$}dbmhgXOI`~QT@NYc%~C3{S5!q$&7OaT#(o00MB}ph+JD~CnAJZnS?tjM zOADjy7`lY0AR)N0%u1KXf~@GTk|GDuxk_e@WCqxI!5Qnq$06Fa6Hmptq+I+V3xD3< zdCmfYz5JW1R@ z*KsFA*NN6!h5(ee>0jvaO697y z0x{L2Q8i{ok|UQ+CWQ-LoVAg+rAN_0S|3>N*7-Kz{h!krj|AkfzDvMUoWlRcvDZ!> zaNlqR(M#VbM+iY4r7Lk;vCUcj=;>sK(*c+H;GP(-Ip$yx(Uik*iT>?Aj_2>k%1ji8 zF9EP@Xq;dSr%>_F(iFwiYyalIx_bO;eMuxvk$5b~`kyktUwPLYag&)bYexWP&ioQ* zMRWr75d(E(EFj&3D^Z?3jTh)~gItix;7KP`9`7`7vJ zj5!?x0V#{g=X~X9Cg_?{ix^~@o^2lO|dil7vdWBF+=gP{-=w67M(OTo4gM} z=da_c^Q@0idV8C^V7piLfhCm*KLJ?t@Ew;$wIyO7|x2 ztL&@czxwo{E5BD${Bq0mXcbsmf^P0v=zmBOs}o*muB&kCiL6vgsrU$z$z8Tu4;vI` zT0jm%Ou{?g3Zx7MXV=hx!?xF0+nDkg9ol$f9&oeb&!5;`FP?oOuI)tyW(T_ALzh#=L% zUq~@cF2!Oo${x6hWaD-SAa@jF6+xj4L_?q6h#QBq=H|CcwH`?Z^|*!*@Bft+u*ifSCYVjj5ZPrfOry}bz83wu&XoJVAf7+^NzMSSER zya{+~ga%}k zyyq=j!z%X{5y)4ESlaLAwYkLx(R$h_PKF5VCjRS|@<3Fj!<}s+z&1&khoQbU*q0Pu zJ#0kwJx)Ae3)+OI9PB)6RH#Bx*1hpFLJiBKvSwe{v4&+!QTS^@KZHMVWS_Uu@cALW zVlII=vz(8)`q1bWx@c|$+fCo1Z;Op(%h}~+h#RN1X!=1r2gUZDK~jBZ#l#+8lH);H z%m*ZyZnUjX68?caU|3TzVQ7AP7VTGAylj*GrBqXS;W3LeILUTQ&!!Rj1&bO<*f2Cq zW4ITUT)CK0YQ3cEz{HW6EJ!PHb;?j@%}c7M=rhSl^ja zi$HguvQSxKw`i#DXkuB0>f~Q5)N-Q((C2K=c=0UF4JBmhozj!NR@s}HNfy%4JXA5T z%8Ynmah`C5ygx@G_2x0oj-?pl(bdAIy0 zt^2l!cqq;W1G`9qGI2rb^7CmGB8?)J_YYcs2@KLQiPOrXNS&%|fK(lc*g)<{YfYM# znc|Uf7`G4qlQ`+u;zu~Xip5}!N|2v{4_E@=I>hRM;K;?h_4Y@N!o4c;sl!|$>_~v-loD}TR9^Ks!oLh`u-Zb z9r{;cqwcD<@fnEt3RuCJOa-j=EZe~Dn?2S3OLOr91?D`uI1lNoZoR9Uv?jN6b8dYV ztj(%h=QLrL6#XgOa1&D4i)WTEwvhm1lgi?v=plmA<#u26E*dM4z2Q;LWw8x%lM1;w z^h*YoSAAGr`@J~uHc*624Y@MER3?ib!X|=M`%SJdcL55D%!Zl;(+UzDVjy%wpaC z8ikEe8|XGCIn-&Ty`9F^e5q!vMqkOXRck%?qn^$fEX5+#xAyVk{NfTw5Xw}L7ge$* zxN+8yB%k;CggG?Pm7l8@uUaLf)&Zfr=e(^)zE)l>RTYa)|ElA&e06S$XzHf#BdVhk zosV2tkso7MF~!cW%Bmn*nMo`D!23o1OJ8H=ZqO%h!fxRf%EPca97uuNI}J)DDqG6P z+>IcZ5-x7q->cHlHyXRZ{BT%D4b{hq5P+uXC(%l>erQ1ijO&+$r^*YbYsL4b-OrhE zi&Dj`*MwJ&eb8Col)rF0fO>aP1fQy+cgRxD(QWm`0yQj<>*Aw6yh(W3)3j+E^1U0> zia1&TzB%}sLO&YfQH8=eaErYGDsMXe{G}ypb1;2rUhti_vBhrMdN3unf%yn%n%!u-u@qkG(y$ zTE$+Q^<6;0%kKW%XBS*oD_n>6aC37z zb$?^kX~KurzG-k%o&GkycQWw9qcwh>6LC@@k=3?em{V_pI)DjK+h3fV;bWl^2y##W z#qy@!GK{;kI?y&C;An}2lk~?Yv(Mc`{SWs4lkt(ZZj#GPUVck#Nso=v>0OS3k zI0?iPfvirb7u)YD@nk4LE%?}JU5++825NGMM-qJE6p(i2>*XfKQ8U#Lp zP{>JRtdtMAw@?MSe@2jic;m#x(ZjD$2{vuDJ`Mf3P!KWkRr=&dtgRL=z%8J>68-h6 zdoyh&S%Fq`Qh(2o%5(|X;U%k9QPx+fi4GsYl!*bE!7SJqvyU%ue$R*nd zMHK~(gzQ`MKVZ8#TR(FxoNM5zRD%*}_Krgw3A$zCrW=J%!rT@CPF?qUbgldoLo_go zS+U+qmo*Sv=ga4=54fX$Es4$cn{Bn*sj-TqM;W5~3isZFl$^;0nQRJ{KSZ4# zw}e&Ys1J&`rjJ^pDdP*&$P^6Y+@C`Wt$fx@gpL~UCUqx5=CEEX3n%TnhL z;3@X&+0(b9;$4qpicMowJeg2z$sedS!8DWHYq_N8IiI;jxQG1-@l|o4MjBFB08Pgojmaw! zf2z_cy2eQ7zSko~5+kG$DUesca>JSez>)i->M< zrcPW>7dw@%pIZwN46J@8cHXBZ6)wz3z7Am2P`$;yy|x1+wRR<5awRw0-5AMRhE z3@JooI774R5?IAM0@PTe0R0->6=D@eXX1W_oG(!U zbUMbIQ!N=?WmCm{B!&jt_x4lIi*bx|f~5HzlftalOAN&27(`2X?E8<~?|V|1!#8Nb zC&AzwSUSx z(U1%`?ee;M(z$gzoQ-Z~#%mxpcMQ?WCV$_0Orz6TDYY)*>yp}-6Q}a|r&pX+fRydU-7vw@X;iP3gA+0S zYH7qdO+hK4JRS~;vePZ9vq6%a&-Yr#)FbPjJ`55&EadBGnz zE9zNO)yT&~*g7Ep{k1y%V=CNb5gU%sZ8}XXAp2m_I!dAKz+# zJN9n`bSxU(1Cyd=C7OS!M>E+3b~$x}OSz#_f3I=cR*p)qooI#3cSB6A1IO^=xja>y zu~Z5$)kExoBZb@-=$SL^o6G{O`%uoU@ZkD5pni`+wsXlrX$zW-CiaQ~YbFF`d<$vL z9AvvWVQ|;%4r!(zwkP%Jy(Zjn!OMBQM6@ar)t2WE;JQmVf#pZdx{r(efrvp8?*@)@ z!6Ix-F$Wdj16N!rwM%TkGFlW*p2Erq@r{TQ9Vlpf%G4X#S(WogxtX>D#>geRbA}(| zY|h_v-7CYnlUC5hF(5R7{EjF|zt6+Ps@6Fz130)Ghf)tWq!M10_%Pi`mmO8#xo z3ITp-o_CKte%>QK1Zw%uJ3^Vi@2<_Ws;Vr786SGZ$1XLsl~%p^D{^~ed8DZg_P9VI zbEOoo5Vzrus^}p24V5pnV{`fN>PnmLMMt{H>6rrVRD72c$;F!dH4H^hvH=QUq`ohj zJ)8l$!pTk{q4Ox5@r}GlUEIo_t9UTriTQn}cMTG=6*JOCu{HFDA2MIY!dMq9YG7KyCZ zvsc58hYk}Fc$5?;)NN_$OE&93zTvort&KXYnHg6Kq6OwSmx6UNshAo+mB^1OmQ`)E z%od(+*^2nSl~D7mOt*g{ujRmMwHQLDq|Y%mORvx2A93r)JZ$1HU|3@KzNQ}e+&LJ= zubZu7Ri&DKXmPH$GOMoBFE7XvMCjZt?V%P>_p(rjL4_WRZr2f8tJ#3k3yUkN^kMv z#}TYe3hA3rOY^b<4_7O@Cwvg*v2fviyNe%AjOc?;v7rG;VOa>Cln6J4{g0BrqbD_9 zsQqO<1>?|zId0cAX-$Di1v!EOwcdcb{0nmeN8J$gGwrm^UsguR*~#%Z3vI{G<7V{^ z_(TojxQ7Hl)vM{ecl-U4+t(XdLp!6nY1+@#4_4u)q<@-W>pgiU6Y;_W=s{39f24-A zxyZiyzy+Mvl!D1gJuU(i6X2@oh^L$^0CI$T+WpbZNV5otNCs+xZBsqHn|1}sBFW~r zpN=`Yu3D%|9R|>v77VlvP}(sSig3Vxb@gURpQ$1F#z-n1T_x9|zCMaHu*w9@29jB= z@i7UX3BGUoanC<=S}9-^-M{zmz8XiId#$z$SXGW8&JAb&0YkjkcFvt`R%FRhUC^ml z2?I~H&@WDaQU;XMy!FMwr)smfhjZHgx}(wvH;1^iRi_O6&f}DJ=W`OK^cKRuEmT25 zf#dw!yC6o)?4!YzN)7Ig7*T_&C%V zvH30s?^;8<(bb|sJO0x?m)ThT!9e0O5ICS1rP8qM-hb7$^h?wR)?H(4`M7nLPDA5# zL?SVa0WBV{lTFhhe=XL$o@vEU#lzg|<7@P?=6a=(z8e*r9H^zSe5LwA8GGb?3B0&KRQyK$V6~Ywwax?Zss}j?35hmV9Y!ucuB2 zuBR>{WufiIry$EfsrRRyCa;+*LUD|!b1#QRE^Fs4{XKA)CB^-jl7oB8aDgAEt0xzV z%=^Nv(&LR``-mohNa^^f;ZbJSe5HZWwpAVALyO*Tz`c5Wnh=5!{#ej;VQ&aM$uYdC z_JW9V&Rg=U*ZUFwoc^*}&sbB1@A9K_@h3gh4l`V7) zwO@_WiAY$lRl$j~xhxrzL9fjFElwiWuHKX#M_8xuX29I!4 zzg!!#Zn3CIciJhwnX!A|{rD+fYi$H>LZ7zr$??%)LJmN+SM`6bR`0%DCfure5^nT2W+igf+JREz@*YWF-B^GvY zcW74hYXvo612VoNfXUR_sZXQq$(v3|$12yH8`oCxeH%ZBcP`G`G^-{yAj$ISZpY$2 z=X(KKySzAX*0b;y^(q|cp&4*eELpxEWjrI=SMp_{B8OyZfnESX1s@sH&+7w{+u%-@ zRst7|mG769b|?F*hnkWoSXwrtJ&?^o%mJPKzoAXw>-KWGFsM5k6cvk<9{-}BXX-Yq70hX+@9Ss+-({+S@#QV+m zeL`(2=(3CI*YCP)SvSK-Pi{zJ=wy4|A)zD#?Oa^%CGT@xrfoh)v-lwK*Lc5ebfinz z9SKO^H>kF|s^o_haelQEk@Enw4Jq(y0S__BKR1rN$M1I5zgZ(wLlY)ikk{9#t%(W$MNtZw+9Ap^efYzGY_g4>3x$N6BNk6`m?4R;fCEeGTDlIk7OG~N+y(i*y(-c+ zWyTFkEX(}_d$Y7?ML@Tysi%OMI)Ag2Hki%;7A&TJf)k=(YBAD{w2s3z2Fs*9y*Q?gd}17B^!RRA38emUak^fC zTqPgk9qGIo!*|{#a?>USCoxZ)(ak($5i{70)9d~dur2*rT>x)tdTGs3a9ci}mTYx) zdblXzAhjp5a~9S=*}IlwNu9Rgdi#tv30~8OtcBS28o!wW?1yvM1LI+l6)~=8iy6z) zNeySmd)be1XVshrIyOhW zBg2vI1Hsbcv==lb=?%&H>pU$%Ynl7KuVsKc<0nOE3+a7X-r!p1f}RA48uhoDel_| zrY(Dh3)x3~7r|FiR9UZbJJh(Y<@B$zOH~TY ziOKcOY<1eg6vnYnsqijKz+0suRgv1-tbg=Qrwzk5>vFxhpTph=t0Qy*qC54z4wl8t zJ7~rOU-*PZZ^9Jt8VG2e>sLh&$!2CKXt_q8v*HO_#<-R2G?%?+Qx>Ww;-0>IY%sT# zpgvd3yEdjPx9Lz%sumsaFd(^Flul0REri%QYu!Bw%abIp@x_%get2CoY=facOqX6W zEs^uOK35nOxi(9scNb~qtApX zXo@Jc9> zBn@k|j9#4}NV2EUzeKAH8x6)1Xw#lPWBRs33PRHSn!~3~>Sl}d5bmOsEN!RC?a@60 zE}(H3WbtX2(B6qHqhWfL`wI9CzHmr*kRF84@@1iX{ySQ0bK4_^pOd~#C8$<|6}_8d zgO1#DguW@8ov%EPYcW)tr>YF4ltQ~_s@SSE8{hgX5{7Hdpp6C_qp`Nj=>uTHQ)FF8 z9?XYr!o{trzg=&svB9x}PlhF-1BbxZ2Cp$cNgR5>Pg9n)%Tkr^5lniY2IYg(ep&O0 zq>2;qq6{&%&-4Ns@zQPx{OdATAb^MNSK6JcapmQui4wZbQx^#-y>r?10eXtl`y0`NDnRdRK> zSa&f8%8qZ?gOGR;6AqzGZGH<3pOE#;K zSugnTp3Rw^?eUou^13b+sB@S{gkUiLnp_OV7t2#m8jP(=3AYZYsA^D``=S#S!mol? z8;`0c z>~`E)etSm8nSWnb@tjogfz-R^2)D%6qvjsBa?_Pu^4w%PGFcI2f+|t08?$uI@CdVo zv?y;W1BHBnw|`Vyhm-R@upZOdS;Q5)|7@VBWovizsjq7CiTg_7ea<7)v!@Awx zCBPu-3c~WEj3EnLPr82IbxwM|8%iy`87RxM=b{FWTfMU6g~OSL9aR6wU^wS!+hUi-ekZ6eW3eHz~y&HbA+rs9WloLwz)7R&A3 zcGjxsFUOLY4|8sHK+EtH!S#^Ic9E^R7S$WIFBvQ--&@JI`XkI7lf#`iG?Y}yN{6HE zm*t`IKbaIuIRa&QPkacB$plEb^eHHM68{xr+nXT%$2`N`+OK&yiRfYeO|DgMkE;MW z3Qdi7+ig;|D{XT$%pFpUPZBXM_wCh{)L7QK;j?r0hD|J6$RpH&bhN^JiCrl zr9fh&-I;stbMDUEyYu;cehlL&o1E8bVlvFT z0lye&a?gV*Uk@jvaruV2{k#j*R-UVC4e4%C4u-b?^}1PA^k<{daI#fW*Emdw*BllCO4+$c&v4$~!jsPQW&} zH>K-D8J|05M*BwH29<^%vSO^8Efy1Gj0<4+N$Dez4~vNr7LH%nx9S~-BnLC7A!c7d zIyZw$Ia=3=5t*J9B}F{Vj3Q)Jh-MtLd7VN*nonTCjeo|yiJ?8QI+@i%99q&aP9;h+ zbt#2UDo7h(C{*&1(>CY5bQA*V#>bEVZ?@KEG)9sdwMeR638wSn;-sk7?IR|fd(e9M z^ox^5-@cBLN$2Yz!m-ye+gX401FjBWJXpo(tYU058Sz}VeJmI)9}7my$AS@jth`U$ z%OUrW?0?l&hTP_@A(9pZ(JE}DnfJ6P5Nn(y0rB`tD=jU=F2%2t`JIpf8V?@~6>2A> ztBD0UhHY}bsVLYltp2A&j|A%hx`uFTsU&ENZI$cx zg(Y@CS0v2jg;vYXL4>6!ue9=Um1o52a>S)7d4xMAVWrGR{iu~^2GRhUqWHP(#6Z7J zFzKIBW`nEmcs@Y+3LXw;@A`EJ0kv4~4iRfuqt3Tl&yf(zAVldmL$3gEk#8As@7T z#HK$Yd{#mZcMl!?tM-F=k@$UPqy{WIK?6FUkeZmcHAEV}4>KCYq-=iRCJxsilxGv; z5!Z10V8zeKYb}fJEu6|o)0*O<5yvFTyno{vhy;%chl%m9a~RPu(9oe6ML6v2ge8d2 zu&X~7cR!8oi9E6)ptWS>WVkjRjQ#=u0RR7Z0peg_WN2VuU|8OmnF^#Bgn&5M-7nt9 z-`O$5(;vw23=RQFFfaiz3j+s`JR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}K!0XX zBuEK^H4rl~2mndtn?gH4A}||#U4w%i-CdE?Mge7+85Dq|Ued(hK#D;Wh(R{E2lJY9nvgPc7g;{*JILgGFB-26cbz@A_O z>zTBtb%`TTL=uR7gWcmpTq8mdzCI3i_HgwDNi#5jT*U$Q!D+*p6(A82AO>lHhY>_I jBRe>B#8TcVfmHEBRe8DqL&MVz=pc|N*aZLp00960KSMHO delta 1237 zcmV;`1S`(fAB?gCpBs=*rO#R`oS4{^LCF3I5JxnYamjT#K^P>RDw3?156eY!UavJkAFVn0S z@RP<19CuUQ*?Q>1dReTLo=Ja@LgLN|1v< zvRvL3-`>l+Vt-1$+7TuZaY86tm&vaOY=bF3xPR~AR<_A>Aoik`c*c!A5hu)WQcQX= zUvHGinK5^CAlwyjarj|ZM0BYo;s%M}1O&b;yfImtm%>OmzN5A3?}sJ_cTk542MWY< zGq_lybiFak#%D!0MP)iOimiq`jQ?YNH{LZxlln_+=|Ci&`Y{q7q59ql)o7|8Qbd>Gly*oH;1H zc=}ZmN8kP&RVSVsRrn4Ujg$#+mH3D&7JmlBPC+kxK#A|JKT@>-;cM#NSb_yT9!$~m zRktHrF@F;5Vr0>3W5H^30lB$=+*&|xH<7HWqc-nATHb-QyaQ=@2h#Emq~#q*@V;1F z?&Xs1NSJ|zmdg&Jn;th|VmyqQ?--eQlKM%Lj@lntp6hHw_3=e1iN0uZqr8dHXjSYh zqr@8{owr8H;>N1HUJ&HZ!y+6XZGrXaSRx1|Sbri2r)Tv=(r{#rceSHXeRDLo2#)^J z^66=1+g}kzE3LV=5C$vAJDJvYQIlc8aYvD)j-CxEtJKAAaW$3FkePR|S@NhWr*2vriG_0>R;69@5^O$fr{+NAH3Feu$HYf7><*35j zf`7cLL7DcLQ6F4;kC%Rn@cAupxc5l&v*yDpfey)CM+n>$QS0CewGCN=gk=^9^-V%X z?<;p#Vd%McuwgwpnkAtP$8w3TAvVj^*s6FyINVhjSQ%ddv2eB#0WS>>C-3^zWM06@ zCk^K@RLn+0p;|YH%5-fq9Q^?R0RR7Z0Zfp;N&`U< z#wRiAb%qc{MJ%)rSf_D4H+u`-?Xt6hoXtYe!Z)zB@By^57p&|oEb<2C1$+r#Kz{;$ zbN&m%gFN z6%)r`E0Yk0S`ZpSZOUK|-+Ql;`Te2P%*yB`_8ip}+J+rW?>>dygyaVk+}NjB`(<3f zRqDbn`txTv+J#OO>q#oC4l3kDold+9G1+S51Zb96qdubh+3ll(-+@XtDe6i5-{-i7#6S?t`alr` zK`-6}#e*l`Uh^L!BC7{cR`7AvKlCnw|KQ2Dv1*c@%w}g@cY2h7>18rWXR0#k>SU*P zvn);zY_@)lNrGszl;5*^)wyQf6rrQSTPPjsM!WFs`uF#!uh34{Z(7}3WK_PkSohszjV_^5LbbYZ^8F3zqlNyS&I)$TH@P|{!F4_64&At`kU)3^f!2g{synm-{2Mc8@xh)gLm|& zhGJNgWDi+(!$k^wDgD$pTjrkMa6Wa%`$v`DW_%e7-(C|RUsOupU&N=p8gf5>7F<`? zKIhZr7;w;x|2{xXeExTQCYSR2=l75=68-X{@#oR1QGXmT6|;Uw<;M^Lc%!f-4deO0P&vD8eE!p&X0Egu*Nm6H2rmCN~d8iY$;Hl$jCC z0A>Yk3V+SidaHZa3wae+$s;o0DKgcbn@c?mYifpdHN%FQVN=bprDoVxGwcY1C`|>a zchw{x>h72M@!fcr-%CoLWTBj|_PoF19@FYM-*GeE7nRc2#HWmfZ!dOy?m;NVHG*^a zl)tFcS)6B+WBHF$j+bzb&6wbUH70(;zy+Y(fPc0ZV$LQO7w>`vpO!Bco{LM^jixb9 zB$0Tr$NYN!0jBR;o2jf8tE3J%b@r1l00030|9Am(Q9Vn;P!zpst!>q!R!6~t;-C&K zRIId0^ODyl&?cIs_<`_nb8vHT?cmT&LC}H@?oKWuQWXDzE)MVjG~ibq;qahl`+?w2;O)u)MSvs~qD1YCp-ImIuoct{-c5#6S?uxS$Av zpcij~;(5mPn*R_H89j(Hg3DF^P|t$+51!nO?{!k0o=m$;S1SQiL#LBUzn4zEN~UWj z%d+epZ81rbH}rLBn2xpU$s}pvgU(nB+gHq${R?_JN4bGbpnshn^tRgD=8zi>bL}Va zjt;(}gRkn~YdZM44!)s-Z-&FWuI@~je)~>$!WFs`uF#!uh3tTj4z1F|h(2zxC%pnZT*mOi`@P+u3-d*GdVGE+Z>d)DK2)|^d|d|<*_ zd|<{}d|=92e1BlhT6|#A65n<7XA%vQxE8O_-&|jzzric?H+Y5q2CvZH;1&8CyrVxg z6vLV%yUVgmE>hr2>8HNgGWYz3^Qk-DKdSULK~@mYQ}{ybVWihl#9V%86-{Mg~@$$2*Z-;d8T zzQ3+K&l3H63ZZ-9KL>`(v?w;KOha9oWxR)(q3|v98|f9q;Y4xMq=V4Fu+= zLUE9R%1JMN_WevKvm!B};EKeA(kl`Zim*sbD90i(p)iZYgc5Cl$<2e2A`9dPWo863 zfLTGCLVq*0UVHmuA+O>pc|-<0MW)(wb7_E~qh?r9Gpwo^*3=B^YK9Fp!=^BZ(o~Ro zS55Mv?tYmc-;Fo7Rvc*&-*LxF|D5S9XI2BQ7L^*e9Bn(_F~897KCD4BRGc- z`HMQ8#d$V4mj5{AcnRm&j0qlCW8yaqTmZ@qXn%Vl=4@hd@h({KY58K|xwwQ~Y8vB2 z5{Vak%x~ZyVEVqanaXOhO6q`9XFvG>00960cmZ@#Jxjw-6uqg|wrWtTW5I&rpdDJM zh_p)c($^%ACN)VBMR;|0b8vNVcMw#t|G>#bM2g}+(8a;YN$S7wyeNHCF>vn5yZ79$ z_kVQKs76HH`?Ea|Wr5K0gvTS-2_wP1DA=?SE>nCq46k(wcs=Wke2hxd^z0A$br=Rs4-m z2YkMLSXlt;fJ$_H9EmDGidwLX$NiNIcnVN5)Mwfl$@@S0d|_$u%#eV!8x0<4ljOpE z^J-s!e$|k7uO-Pw;O^?VUk1yCR1+UlH{tmnXEc6@)7tuD6`o2*HM1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEJZClE6+2mndtn?gH4 zA}||#U4w%i-CdE?N&sb<85Dq|Ued(hK#D;Wh(R{E2lae#er+HhtCNJIpPL0aHp1X0b%4h|i$ly^!XRs2v@o-V-9 R@N@$@2qX%20RR91|Np4D;5`5U delta 533 zcmV+w0_y$#1cU{UTYr5400000004CX00000004vn00000004La`v3p`e+D2B0OEf@ zHYJ!9XvSZl8R!^fJ_iv00m|XSzoBjcsb>M=;>l6#N2u z6l4+54kiC7=-m^Jy~Fr$2#S)B<{u7aDjD&wv77ff&Sh0McLvCWjfS9xQ{L zk{slKLflYU2P-HIrl`z$jO6N6U0RR91|NnRa;$UE8 zaFc)mBYzMwFr1Ow5&#mB0b-Ea0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+` zO`#ni5tt3WuED{M?yg8`C4jQb3?Nc3Y2t5?T2UYd+29`J9~uxJ5*dK39Aq>rg8-2H zD{^KnkYbPmVkgI7*NAuzPuC#FAZL%r_yGT)kY0FCKR17n0cN zv2U<@e28mA2*TIF&K|D5jzB2}uzNVbJ~(YSvjQXn(hkxB4{-i7#6S?t`alr` zK`-6}#j|g(`4171RY8KFo|pN z3jNLX75W>zLVtr-=x^`}{S98Bzrj2DQ$sPVNwWJayXGPVzLb9In=NzCZ#bX2HniH|QTrSC7|Q(g_ZpFaz(t7o6{>2Z8>(2V~&Kuvu9cYG$7^84rakS`L0 z@}u$R(W+4#Fcq_YNae>4S5MBf@&A5&Uhw^O<9U|o_vK36{LcgCS$F?@k?c>!`hgF_ z19V_NS6MSm!^gUMuhTs^WO27KsTZS|5{}2O~um$PdcQ2xb7Yf;NR_YQ2@+tA)IZtK<|pPqI+XS9{)Haet3# z^_=gx8Sjfq>1*Os#=^H3J3e{`cxWyz=d#%iDSxIIFhT{K ztNC7HS!X%p0f?BKcc1!1_EQvdBI1L0<) zl)>#`Wu_xNvw+eXZhcb^Y*ypK<5djFJzuKAFG{!I%kAUh95@B2MB9Z)R0QI5j&r`* zT}pz-0VP9yrVUdY&XezVmQw&v_6b;{QsJI9MlHDSKddXzKn?l!T8s`6c)Wh==D`zy zYT{z*Iy}GQ3{e@kv+~+Y3EZ!=d&)h;QsFPlecm0xpeaDs>^-(%y3e&A00030|D*~8 Ae*gdg delta 1024 zcmV+b1poW&2<8ZoTYm=w00000002e;00000003qL00000004La>{-c9!!Qs{S;QtJ zB)D)x0&!;Nn*Trup~3-yio|k-KjhAZf8fMUc+bf=Zt525F(?w5%5^gFdvWGXQcs#? zS@wyxoFvHy`nohs$J)$PlC z-hXC%84KT56CYnxO5b0^r#u^SKff1TSJyV@)8+VPrx`yuKuvu9cYG#S^6TgCkS`Mb z@}u$R(W+4#Fcq_YNabaRt0(8#_ulW7C^W01H`*Njj{+B-Uth;})NcQJq{lJ^y z5jwD6sH_=g;bUEW&^bRkW^v6T-5LnYtA9dqkb%lcFMjsjOenJ=F`?j!#Dvl-5)+EB zNK7clA~B&bi^PNyt%u3YgOMT&$eN-`U?#a9N+^_d^(x^s6-TSjW5M_bT@`T4D*9jxRy(rj` z0ZITF>S{!nc@vgs3D}BU!P=f_^Sbc-I$YFIjMbGZn(g&}zmN>%)gPzQXzeOOrl>wrphd>n}?K#E$hi^u(y40sAq zGSp|<7|HuT`g~z&@XU~awM`oh9%z%~!hQ2o$wuJr>bYMA%Y{@EA5%Bs u`5tF9eu&fB`ePNIVWl%r?jojB4z~1meE_0aK-L^wwqQocwJ!hw0RR7Ob^huA diff --git a/cpld/db/GR8RAM.(5).cnf.hdb b/cpld/db/GR8RAM.(5).cnf.hdb index 042f50a21660e2374b5a99bb6aa6d5bdb1c72bc1..774e9bb5a49f3d9780680a3cf1374e52ff10554d 100755 GIT binary patch delta 527 zcmV+q0`UEW1^xt(TYq^000000004CX00000004dh00000004Lav{TD!0x=YAq0%A< z;%9UrZGC~OtlV~CcTxsB4-kh8%;-P+11{_2*HM1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEJZClE6+2mndtn?gH4 zA}||#U4w%i-CdE?N&sb<85Dq|Ued(hK#D;Wh(R{E2lae#er+HhtCNJIpPL0aHp1X0b%4h|i$ly^!XRs2v@o-V-9 R@N@$@2qX%20RR91|Np4D;5`5U delta 533 zcmV+w0_y$#1cU{UTYr5400000004CX00000004vn00000004La`v3p`e+D2B0OEf@ zHYJ!9XvSZl8R!^fJ_iv00m|XSzoBjcsb>M=;>l6#N2u z6l4+54kiC7=-m^Jy~Fr$2#S)B<{u7aDjD&wv77ff&Sh0McLvCWjfS9xQ{L zk{slKLflYU2P-HIrl`z$jO6N6U0RR91|NnRa;$UE8 zaFc)mBYzMwFr1Ow5&#mB0b-Ea0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+` zO`#ni5tt3WuED{M?yg8`C4jQb3?Nc3Y2t5?T2UYd+29`J9~uxJ5*dK39Aq>rg8-2H zD{^KnkYbPmVkgI7*NAuzPuC#FAZL%r_yGT)kY0FCKR17n0cN zv2U<@e28mA2*TIF&K|D5jzB2}uzNVbJ~(YSvjQXn(hkxB41!DOJ z;>;8B4}_5D0rgUPfO8+khxi6-m`UO&DS@iEavj? z2f3sZojSS^=e}aj_Q}8(j(9$0+dMY*MAAdfR*@pF4>taD7%! z^H-ruE_#wn-+$JH&$-eBY!!HEZcYykETk6KsT$+Em^T0b0RR7Z0pez0WN2VuV3@OO zatx4S5CY<0cfWW)*AO4i;E;G%zmT9vkOTu05VJE_Ff%aBP;h1hiKqc_V2Dq=Q>dS_ zhpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGdU$N?fijIhqb(>2I3$k_woS~i9bpt8h$d!7Lmf_;Wi4fP*L0_-zR1_dB% zo2kqmkcd1GBh)(k`vy3McslvG#s|5&10Cra6bw=Y2Fwf#fb_KRVrw=a%?rc`jh_BM zE&~H65L9z9bO6bX*F2_!MEHRip$rsmjI0b9K*1feFT4PmBY>j7A84a5Py}KW3xflY p)$d@rA5*0#ib`&9a2wuQodeP<48$Ny;QSz2R^c_AlCsVCN4q9w7KDOKQC zdZfVmfR5`OE8!9U5TL5vep@%|<;DU|>bHXQ7_^B2Ez^wEThYv6E{alh`h{s+R>*6Rk%pxkUZZw*o z3NzHfFVvxL@qeJ_Tp59E9e8OT&Xfi=0gG|E#{4el4FCZD|9Am(P`gS4K@>e3O=1k9 zM9YAwEF?t&iii)gk70LVv#Yx+A_$|soxfmj5m3SWft8Jj1jRqFvaqreKf`lZaggA^ zxp(HyIrmkEg&u(6!{Cq(4I=Yn9jiPFvs|kh+C4Zudp#@yETv}j>*v%vD?8R=N zJRK*V+H^ffXTF`Q;6$Z2?Hk(GcgDNN#&bD zJ3t~Z8+=`ZgB{&n(bO_CC;-*yB~APdQY#9?ARF9+{6hocLm~r^m9qjRS;5Nxikw*s zQZ5C=PL9E@5%C_Lu0f7L&K{BR0scWD@t%G(ZvG$zU{A1t^-S8+x`YiVA_>I4!S3-P jt`Q*!Uk5vTxcWMRbbx)p0rtUZ!uRPF}(OtQr`!?pK3aztVS1SMj0RR7Z0peg_WN?!k0V979 zGBBKx+Y$g0kpW_m+5p#}V4x~jzmWLgkRVS#_jn*PC=#TEffIJY9nvgPc7g;{*JILgF_){oMRP3c#LVV-NwdChcim!Um)zf!H_LJwC)W hA_U>0Vc8`pS%D7 diff --git a/cpld/db/GR8RAM.(7).cnf.cdb b/cpld/db/GR8RAM.(7).cnf.cdb index 2da4d84f66459a71b3f152fcc5e2796445a66d9b..610f79f7a1d9dfabe5abfec6f17f7e84a4f63403 100755 GIT binary patch delta 686 zcmV;f0#W_^1^oq(TYty_000000006400000008|000000004La>{d%|!Y~kZgs2c* zas||N|7>#))J2rCA}SKlbn(Jk zl=?*fTZ(i}UVBO<_@OhBp#8vH>^JD??683_<}q7lF1q_4;jNMMm6kisHEAc;kWKpKk-g2;|m_`tiR-?e^OL1CsGBir-^ zzx;ynl!{U6L4P^L?=MkROBcj5afavPd%P#4<~qgK%CTeob$xona!I_0JBx$kr}h0q zKeklv*H;Vf*SF_m@xPhXmnXQ!9Tp~@S6=D#91Ydl_J69i?YK!|@q7;`#>s|JERfy! zq22;HThrLKb2Q2O1pom5|9Ao7W?*D!U|?XFvukn;kbhzj0^(qIzj!~_5FgLrka$@!XV1t4pismvabh&&J@)H?h71~`UzI{CQ9 z2f4Zf9qAer3{nLK%nS>F^tA9|YhfVG3&aSGp8h~C1A_z*b1`%P$&J@Mrh`QIff%6- z6mE>H3>iSd9kVaI0GT6zqQD<$qc2bdViXI511FHx?_jwfQ>7=0N^Wp)8{S%-1JVmp U4YCv-Q1MQV!LEz|00030|712iG5`Po delta 685 zcmV;e0#g0`1^fk&TYtv^000000006400000008^~00000004La>{h`}0x=Nn#$XVS z{sQ3)igMlmFqmu>PHZ$mIQy~Qy@N`9Z+F|wLWSMd1Vb1y?ap-Gw4J^-Xsz`IdZU#3 zM*mlebXK0Ol#1|cm!1gy7v^IBiJtBYHW0>4l11{^ALlk3#(znvg&zdsZv*jnf%st{ z{yq@@5Qrc7;V*H}Y4(!N$^$2Fs2ry0HgyN6`Sf*}Eev%d zwQ00?k-?L^B7-M=MFvj-iwvF=78yKAEHZe~SY!}HwzR?r-Yxy1_1g*xGv*lCrhEKy z3&vwAMyUto9Dl#RL}e{)5YNOKj*snlM@YqWj<1ws$2fL<+TCJFyoWoBgX6dLouD6E zD)#HD1^4UP^MCQb=c_MHaE&`GOgyi=((yGKs|@9*Y<6{b zMFe5AxAPb5Ed&+JA6VIlNKpI(D+?Dt=5*1 zQ6|RGpnqLmu2txU^iuGDy(mg((mtQ+O=)0`u;p~9QaAOr?RE_BWE?#XKIn?cEc<6Z z`6wovzzlD9EA@dy76{Arlis$QhhC&is=91arJ1mbGlE0BH(PD{bowcW7X84C$MV*@V+s(0P Tg)KRM7iBy*Q_dFv00960-&{#K diff --git a/cpld/db/GR8RAM.(7).cnf.hdb b/cpld/db/GR8RAM.(7).cnf.hdb index b944d2b69dcebea605729a26bf73ec00db6bd84c..c66b29495fa24ed1de0e6ceee1b5c0e72102550d 100755 GIT binary patch delta 390 zcmV;10eSw31cn5VYkvj;00000004LaTu)mLgD?zZXoD|Kv4766t5k(*h-yfX9i9#7 zY*=_ja+BC@nuZWUgt!3{>N8^SBX~l61L`xre_cI=5tE>?zOglj8|&MJsLNLhqAk0( z7fSSAkQr1oHkUH{ybk-|X&u9QyT)!^LTy}xbr0MzkUwNR^dXCLbDg>6I4ZhnltDFK zx@YAim%{x300960cmd*IU}R{M3jrg40|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AO ze(v!=W>6$Z2?Hk(GcgDNN#&bDJ3t~Z8+=`ZgB{&n(bO_CC;-*yB~APdQY#9?ARF9+ z{6hocLm~r^m9qjRS;5Nxikw*sQZ5C=PL9E@5%C_Lu0f7L&K{BR0scWD@t%HJZvG$z zU{A1t^-S8+x&&l`BoO-syT^yPMuZ@I9qjDk>gx#70rmk0*axQ#XI6kjM1UBi1s+Ba k)r{=m&=E^{rvy^P4^`#q0t^jLH=u(+qF@&Q00030|HV6_ng9R* delta 393 zcmV;40e1d|1c?NYYkvs>00000004LaWKTg3gD?yOCdP?R@PNI6uT%vzL^UMH9={Ff zY#{3dk=)dd<+R>=AE8oU!TpE?`V5^=--P=SpM9>L%80pwSl8Q*;l{dQ6T145K^W@s z>4YPCV{{fLTEV5tf7j4AHvcw}Xk-{*BT2|3djSOk`9oF-z9D|`OfIWoJ4$_NltVC1 z#(UeyO{(S#00960cmd*IU}SKU3jrg45Hc{Fk=qgg5|II7klFy(pkSaXSHF<>;E*6s zKlgYbGbj?Ign<)?nHV^Lr1DLn9Uu{y4Zg0y!H({(Xlj`mB!Fu4k|zEJsTBockPYrZ z{-FW!A&~*d%2|PutPBD`@~_C5wLpqN3W%K?gIy!yJv?259D|%aBI5)6gF@n5J^kGL zK?=a0U}F#gvL@|mT>>&e5{P|+-Qz=CBSH|q4tDl%^>qYFL43dg_Q7exnH3-rkamz3 nco;!cGqQt2M=a%?5=a$4RF$U-Ff=^ffDQtQf?WUr00960_*SXV diff --git a/cpld/db/GR8RAM.(8).cnf.cdb b/cpld/db/GR8RAM.(8).cnf.cdb index 880b273f92d1ba64686211b7e2cb1c699f2abadd..1a4264dc2255c3e5c0b2a7e12922e810b7551c75 100755 GIT binary patch delta 579 zcmV-J0=)f^1(OAkTYp{w000000006400000005H$00000004LatWw(w!Y~Z&@WSvr z#AiRD{~_*^XG*CTY^n(Na>&hh;$j)%&jBpWp{xUeeSbe?h|Ot=(lk9|4B5A? zt9@XPYrO!gsg5Vp%IKNu`gibxOdS_hpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGd zUHAr+(d{SB!aFVWFc<-ARSB$5kT@_i+_hL$N?fijIhqb(>2I3$k_wo zS~i9bpt8h$d!7Lmf_;Wi4fP*L0_-zR1_dB%o2kqmkcd1GBh)(k`vy3McslvG#s|5& z10Cra6bw=Y2Fwf#fb_KRVr!5Gd4U+A(bFHuWnkb0VlIXbAi43H$8?YgKM*67fx?ZE zl_3KtxIAO_g%==m1W*+C18wvLia?BFVQ>Jl`W-CyW2*E-QOOMsZo^xvb3l59ff!^d RJfPy89D`jM0RR91|Nk`21?vC+ delta 577 zcmV-H0>1r|1(5}iTYp^v000000006400000005B!00000004LatWw(!f-npn@e=eq zjL&|;{=@h{kSB;n#Am{BMFHmUDx)Uc5N`mm<~EqO1)rXMYxyKLa78_ z>LUs46CBzHEW;BX5MuUOlWjLU>+>Kklp+2cz`Q!cCE|e z;MwC^FTtvd^QCHJ^h9<2J9xpS4AyqVReQC=nKis0g7@n%#Tua>-(!57kNtn+)0OMs z=ZxENUi}qBU_=~HhYx$)Y}8e5v7^AfyOrrFvQ+Wqj~8F^wutb#Z;GqzE3h#DT2zv( z$e8%77-J>>27dqm|NnRabWpoW13?r$8;vmrQKDtQR2GsV0Y$_I*~gGs*zD@=iU`7J zZ|5)ATL>zcKd`b9k)ZeoRu)!P;%9j7Dh?7HIQP!nIp@A=aL@%XcsM_xL!HQav58e4 zg;{P?oTvNpff7-{zyYqBJ}t0F?BtR0c4J?wz{E+QHh=uUGg;5gRq?2?;Cq8Tn2%UM%sfyY@874F51|Xi(F6yEzu^93eS> P7iBy*Q_dFv00960{E!n* diff --git a/cpld/db/GR8RAM.(8).cnf.hdb b/cpld/db/GR8RAM.(8).cnf.hdb index f799778b5e27ed4f2d0f86ed559d852b02d9fa92..99a621bcfae045f70769d96355ac33de6581a0c4 100755 GIT binary patch delta 403 zcmZo?X=a%aUC+qK00yN{nwbg0uV9SvcjRj};7RVtRgXJ3*ZhrkC?juRBg@Ul+ZpHb zF3IS9Vz%+F$;W%YSqfRho)`vw;I440HU1=V-#Oer^~jxo2=g0#XM0N@`<0Z|vm0K! ze410WN`J>=i`b2atW17C$j&|dr{Enr}*)p zqT{@}cOMA8f=S>f5 vaB*pnSi&mjCUCXq&4UYq-j&N)f{yZsK7Gl=!|QLppxI$jf;cG5|NjR7X8NIJ delta 407 zcmV;I0cie%1cwBWTYmxp00000004CX00000000L900000004LaWKUZTgCG#JHf_JW zL=WH@yb3{y4a6q6J$zr{3>Hb~CIdUOlUWEMgbH;67W5@*=wIkW@_o>kc>m_f(<@PD z5bf^TGF)lrH^C0m1%e;uhZBzIJ>V`YyUGc93VmVozKJA7<}U#@lH@$HCvZg$f5_^< z;}`$QF&eg`+h5v1P)_FYW~7zgtN;K2|NnRa;$UE8aFYlDBYzMwFr1Ow5&#mB0b-Ea z0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M?yhKRnHeO2 zYV?vO{syTP1!9m5?m_;c0r4S`0m#Z(fs(8Y0zmSw$eFc3ia`p9og9N*BjPlEeS_WOLtG<55WWs}_Hgxe1WG}C zzybEbX~UTnAQ6yukQR6tK~yucgF{Cw<((2p6+cv!rwcGNJl%i}0*QiM00000|Nk)@ Br=kD= diff --git a/cpld/db/GR8RAM.(9).cnf.cdb b/cpld/db/GR8RAM.(9).cnf.cdb index eae80dab9f4d2c657f7e8978e03270d5091e1e0a..1c5936ee8ae02c14dd650ae110ef8e1a33446765 100755 GIT binary patch delta 2857 zcmV+^3)b}S81xvBYXNkTY&3rmA|&!NxNzmbi4zA-TtOfpKLD|VkU$8rZyDZK>+9;O z@pM(&j7^nQ)7AB=zN-5Ab=B+c*X_YzFt|+dM61>MhqgJ6&H+(o!lm7<)&%|0MuZ9a z{hRp2_AlBZ^9uY>xR&BvXRXtj+4r2tkX2RE))JKL8sgMjI zQX?5cq)IY`WSyK$*2&3aot%u+Nj=AR?Hph4#IgX9W3T|pLx?=9Y!4z&Dhm*KPFaA+ zQ_2EFo>3Mc@`SPgiRXV)cP4d?Cxl2{)UyI1L@Fagh}1@g5UGv~AyOY1LZm`6gh-8K z2$3qu5R!E=b&l`Oq|Wh#5UG<_lJzvZux!lkIu+~N?W?F(XLf1Dv{%3B#hxB`y^ce9R(h-JOKW;%oM?YtuGM*lkT4yoK13dR z)`x`YNcACMI#LJ;(~&|*n2r=e!gQn%5~d@C5IG%PZ*?+ujpPuKTFD_I)sjO*>LrJW zR7?&LshJ!iQZ+e5q;7JENaf@Z>Dn2)TC!fmE|(l4QaiEg%=XvUqE;Q)!TlF{EAvbJ z^9Fz?=qB6X&A|fTB9op1r~&GeM*}Z^c!}mAoXeqz=QJOFC#^du{ziyf6{$JlSNO+hD@Eg7tQ3`Zu~Ky2#Y$0n7b`{UU91$fcd;7Od$=?;rT6e{ zY7EQO`%kg{P|)iUEGxa1yW5X{X@$eve%!7^J#9bUM(L$~f3Z!*&pwu zI||mps5T^!u^7VsLz>%ucOpYbMM9+9MH6*RK)2yse12hW?d&c}9D1GP=+4pOC#R2` zKK{(p@LfJSeeCfwCr(2K(kf2&MC6Kq%cJ148NW=oRWWlJ55ng|ZGegGNof$ID?#z&Jc4s`}!~~$c@=K$D3M)5ZnsQWl2c{?vG?PjOPyqE^ zsiYtzl^leml7x^{vJjGgN*Y2^$wNts`KkMSoB3Os;Rw+=ugEIABnj7v0(OU=4G-kA z!LRT6Y+!Hdj&X~%9M&t|LeQ^&pV98EdYv~i&#Y%; z9t!~A2wzb{->Al9j`=M#TP8;)#xDORJ~61yqVGR$^KsEMRxs`dNPCV6WrtyQ>O~uF|{@QCxgwE2}Re}H%`5RX1PjvDih5AKEO{+9MKIt2YO55i9YB- z2%-N+9|uw1U!;_Oo6DEzsO)5ZDwLEmGCw6cDm!Dv4|{%GRC?;ks9XMXnyYZnkDx}v zN4Z?yL%hwZ&CgG4y|6IBRH4?xB3Ob6U>D@$#R#b;H=UpF^6{;*ZgV!B|GN5byH@o$ z;WCvjzGlaF6nn4k`TGgO_q1Qi!ugI!nTQ*PTz)u3;AdBV<}*mrR_IUN^Cjzl@Nl)j z%?~x^6GAG~{e~ipT9WAN?2*c&Kcmdguxr1N{Vp}e?A@`?_ua?H{g;QWNgnQ&^R)n$ zx@CPGZlPS{ZB_*S8>FXtUTk`cL22KMJ(TV&t*xG2m|vTc4}{yj7uVWLoweTb?1lFH z{3?pKS`Sfw9MuJ5+{&TG@z&YV{$2as=p?e zgrW3Qu1R_(mTMB>3PTt8?vyS{V&!qex3rAlNi5|TuFSWOMi5DcxM9fUhf|dK$^38z zwxd3O2#XcpnymHRCgbl+7p<*H;a-faqtnlxeiAd)J~$l1kpwS(C^Pm;Ia68Z6e06? zyO?@%z67g;7m97J=|EKha4;Puw#WDdaTgHj}>|dvch4145_8t&yZS^140i&%)iZgM!$k7kM8if|#0>);0HD0813f8)_ed_ZN995eoy69ygOTALFSmFNUO_?=UNhOP z)-MW834az1wu%F^kEr))avmUJ9}$h|e;{IdP5B}yEQ#(I#eRwPW$v`ZDV>!hok`Z+w|jdhIa_)&0QhKDgGJl)7L!; zx6AHckVrNeKl)ox=8t!oe~pTO7-2e;)!56FqDYLr&vsX6+k^DVMm8! zQTCL^^MU`zb3_>}z}{}^lUzUx5FV!+!ciPe538-EEy$Ly3-1sHorUV3{&*wktbuy) zcRA8oU{5y?YBf7Et9XWL3f~cF6e%2+RlygbQ}Dt3+PDd>2f{$Z#*%0os3aM+EF3=H zaqGpEbAH>xO-nV@#sT+#dmBt0K$whG{;c9CnLk5ec{TOJe!YF2fv6oQ4YHlTgM5IZ zigsapb033U;KtGzRf^IPoa>)YYAd*@NT6nCW`rX((iYqoFZv;uaC zc)F@>#-_@u>FRn_UsZkmy6ScJ>-JzU7+j`!qSb2sL)#oj=YS|P;rz9&)&%|SRyh;2 z{hRp2_AlBZ`wIL}prWn0&RVB4v+p^PA*-sS$r%M;zXkwAIgHkW$y9%U1E~NHrvf~Z z3h-zuz`<02L#Y6V;{dKBm64jb->70eO=1X69?o8?&PY98^sAmO2h*U;~5UGs}AyOR~LZm)2gh+*C2$34e z5F%BQAtdW$>KxylNuA>fAyOx=BowPd}BT`oC9q;_J}neDHyMXfrpgZt-uEAvbJ z3kHBE=qB6Xjllxo5|f?+r~%@WM*}Z^_z}%RIF~~a&viD6c#MzvZg3-Diu9`~C?dNlDDu!~3W_{6nt~#aji#W;bE7FJ^5AF+iaa@*f+CNOXs8=W zeVMky@u)GZ6n%I5m7?%2R*J^ESSc#+Vx{Q3i?=xyI3h|?_xEm_i$-yO7G#@ z)EJhl_n%_@p`h0zSXO#1cefvZ(+Y>T{kUC;dfI-xjnYf~{$iVq$0?aO+w07=*OwQR zcNDCHQEf;dV=;vNhcvhS?nH)=iiAkHize!tfNsON_`<^6+Sy%{IP@CH(Ve5mPfi~> zef*iH;k$fv`q<-VPMn4e%5mn@bo=2aLg``|5K8CCfKa+c287b#(JRz%B;D^D3ca8q-Xsjv z95T-C%#d+*XNHWkJ2PaQ-I*cd?9O<`i3vb?<(EbQ6;^J-H07x9HcU|*XeN~mpaAN- zQb|EbDme&AB?%#^WFaJfl{AE;l82HM^HcZvHuJYM!x5r$UXfLJNfNFT1?&z#8y?7K zgJ0kC*}&e^$94Y>IU62S3XkEKWlpaRrHNBd3EO*Z5aX=1Hkj#hN39KlhxoODR+NQh z_2yPZOQbMhK}cc1g6N(b1}lUiSRwSlvSST%9OD*iIjmQ_g`i)5Kcn4S^*V24o>|Yx zJQe`J5x$~^zEO?I9P?XfwoHypj9vasd}2_YMc;qi=HsGitYF*^koFuC$`YZVXAMGW z)V|(~%kdKZr%n~RV`^*qPX?PS6N;{{Z=8As&2p9UR3@1JeSn{uIHDVP4)mtP6MfKw z5JLZtJ`SS1zep*6HOmr-R5jM|8@1>cCG4h z!euI5e9eyUDE406^Y;^m?`gl3h4USeG7&cnx%_a7z|XFK%x93KtgVMeidnnymT3bE4Fuyh>9|*U5FRZnfI%~b<*^BM@ z`BfBewH~5>II0WAxRpcyX#o~4AwIVdIA)03pJFS^>Vr$N!9PgmM>1flxjLDGAO%8sC!|1sC>Mql2=$Gw6bSW=t~wC(z@(&| z`V(?V7!mqe=|_ZuRxlzow1N?#q7{q?9j#zQC}{;FLQ5+c5o%h&Xh2W($K+Ds^#LDA_Z)q99lUT|xT$yhljUbW?al??y52q;ellkEc zY)5^65Ed)GHCgMsO~&7uE?QfY!o3(-N2i}X{Um0peQ-F2BMDyoP-g6xa;CD*DMIG) zb}{wjd?xoVaEzR^jM*XA1m|_WQD_j7*b2OpCPp-2ZSDmn17q~jD8u{Vo+ly zRYob44ojvZRm*rlstn11RGEkYsWScoQf1Z!q{?6mNR=rTkSZgKOEE7fFLG_?hr}MX zcx?||T#Kv4=4(_E5&f9?rPfLmC$kXH8q30oJrZ84`O~qoN|}>Y@&;Ai^6)0r__!&iG2!G!|6UK5C8dCT+~lTz z!zX0;7kqexstcia=mWMS5@q%(;XSl9H#7UfUh&?`gz=&8QKBmLNBvyD$nDQ|R#qDOXxrY&o^4DS%oo4Z1eQ~Wd9r>}by z$VXXPUM^AgrbOt>LHw{lZJKF=+NLRmPb#b+PZCJM_wjFL`94dR%KZxf0RR7Z0d!J3 zOT$nUKJopktyNI8qJuc7Lko(3iVvEkw@si;YLcRY5Z&DzTt!@*1QjdT)yc_4q=@3+ zPf@F<;i2I2VUa+fF>c7e<3_U~mcd`IOgvQ>|I5+h4c*o0RR6e C5o`$n diff --git a/cpld/db/GR8RAM.(9).cnf.hdb b/cpld/db/GR8RAM.(9).cnf.hdb index 5f8855851a8d3807e98dee07be776409a33dd7b0..bdb3b7ab53d084523bf85f8afd737b245b083297 100755 GIT binary patch delta 894 zcmV-^1A+Y72h0bMTYtp@00000004CX00000007Jb00000004La)K)!j+b|GKnzTui z4lSCcQ}P3fpmD!FY08=**@8llDGD*nN`*`}^*`;>pU}NuiF@zJvuK<2R1^pSiuc|- zQpclo(rh-HZBRR=eoOXU><=jR8;U*Fv9|;2e@OqA{kP8>)_=$S%fc3g7=vwM)Ue*A zp(x9IJQ+YzrctB&;FM_{G1B!85v?9ijD@6{iG4_8^I5l*X|7?@N_pLsde7?&_TdDN zIYI~nMp&EftJ`N}n5G%!g!<07(nXn1>Y;r^n!7L0Il>%c$5+G3_C2q^*xVFW*J_$q z)%Y-UQAOKM^M7?7F1jU;d1#xo7}`BI@D(B_QaGHPG!X|vgd?)i?LSn+?$RtwI4&h4 zl*E2Q2BlFot?qMjFHb)FqNiY$?=kS(>1|qa$%san&PFetjb1t%J(rEuqknR%#f~bixZA|$qz~SuJT}K= zJf^sW#}t?EnBvmC^fcosU3@`==?Vy#h57;_EIxvzFaa+Vgy`$O;uS3$@H)clwZ8tq zZCyo!KCdqVl%X065ENrTDYme?+90#|oee<&;LE!3T+acdro;XTKPqm>9a_d$f5}K> zZ{W<6Jb&CBfWj?bzyG!$9G-kJvLDDIH6$*Z(gy?tgYV3H@XfQVoYNKmHVm%uryxLB zRu%wuNvm_qFa_s~mJJEupcz168p`vnAkeS)jmM;!Pap9e z$KS-UEvvr(00960cmd*IU}R`uU|?9@n3)Qs7=MI-IN04U-pAkBF~rj!$nXpf0ZA}0 z0Wk{$2ar4?wO%1|Tcv1WK}kmH!nv zvv(GxTndPt9D`jW;ypZFgB*jLJtE@+{DVT`J^kGLK?=a0U<2!!w5N569#BLQh<$_I z<3n5{LJ+Z8EOaA|B!qd`$h8oH~Ajx*xPODzli^r{ilx`)_=$Q%iQI;3X?t36w~H1 z4S88+{d@wdsM}(80lK2@L@~3?B~o;@pVtml(azl!8r!$FtLpY0WLha}+R|S2JcDa6 zg2f8Kg$X09P4Bhc9TMucon?f^BAnQ~%;wG1T_;Z7%X9WIr*{3xv~pvwx9?q6=gv08 zBCD$YZfH{EU4OU8c3Fsb8x||q&eCFN=Vag~NDidxaB|v|oTwrk5shL0A*1pTX4Qn_ zMleE3<(ni>7>l;EV-D`|;maSC1>5=&>u-_$(er07#)DtSPm;`2X0YGQIfwVslz0%G zB~C=C`{@NAq^XB#>QS0{oTelgQ4iD67^R~zN=IWP(SO)DWt`r6;;3dQENIs14vMfS z6>Gr)?;}-q*AmQjRVVnhdW%-mmQ}PWVWrm&WK+o=^A_P!N8}4N60s!BMWjd6j51SQ^=m z_CL=Qac2K+kWjyQjqf=ACJtO#{RIF3|NnRaOn;C&P6IIzh9}{Xr2<6(1%xP|k3>y_ zSsbq=c5Qi#l&vfwD7XPF1qXnR9*K&Mf`S_)7vK_H03q>@c}3Rm8O?m7c@)&4Ln3;- zzPx~;KE$R;C$3b{Iyjc2B&Z85;t|bup1nl2Az{4^3`#dKaSXOG32tZwp&`_z0{`Ay z|9?dyza47Ltc;#x50G8R6{EA;4`J6K>0p98^(nS~9$!IniPrFc4rWg00960YvHWM diff --git a/cpld/db/GR8RAM.ace_cmp.cdb b/cpld/db/GR8RAM.ace_cmp.cdb index cdc66bbf236f21087ed40a78689e8164ad1fc29b..fd24d816370759105ffef17eda7ff8d68b0f1531 100755 GIT binary patch literal 31439 zcmeFXhf@>Z7dQO1Ax#mG-n&W@P-;k2iXs9cy+*nSNC_>JL<9t+Ne3yR2q?WsFM-gc zh9ZOxp@mK$lmLOe{GR8pcxRs7nLWF+v-j>j^>gky^#A?$Un$MCt1IN{d~rn$?K~ik zuAg|NWF#I-Nb$b#a&)%km6nm_eJm>}B`qoaSW1Rh&(6c!(Z-JVt(A=vuN1G|YhEKe zYhE>5N6)J-Kg8d-8s@+M#C`q``R~r{|4Y$+|Cjn++s?e5DyDXHjGNcI8z0PD@tN1; ziy+lq-CoN0=U;BdA#-i%&Es<^!?Pdo#@~N_U-c&aeF-)}O@_Di;VT&(w~qbcOpV3G z%iDKp&b&Z6dxy<|XQdGBOhu-~LZ1&;$D6`2C_1pUU!+Y*aXo50XhE3T*X-PEdf{Jd zwstcX2*wnqaM(!j$avwNSzHup|MA}nri0Kn=d!w-Ox$*%{UbHp{fy&=3+*BI4SRec z?8y}A!{ck7Z$sU81xOnQB2(RB*&RAVACPpulX_Z@vOhRXnudh2n!|jzK<%XCmbC2= z`@3!T;4%B|U0>Jr6_Nj{`o)pk(g@R!Q>z!ZwkR*+yjulU_mB1Nj!c~89I0^J&coad zkoXt~^aHv=%+r^rkCRe-1Lalzo77t=C1$vP8F{XUs8cjk1{48H@DD1E3>B zEnRflTFk06VwX($`?I`zh^a~n~$u0lQoKhHGP1Lte-azdbyN2K`dJ5 zY8oEwCCD26X~-R9%3F|_Hh*$Y|Ebjf4-ClppI2i*3yVcb71oq)*Q(t%`~gYZ}$Hjz3Rn`OJJX#$Bq0dT8ld4;!`S1R%-u zV5|)7QB=$M_FPWNo&LNtrGRAZp3N^`v+|A5%LHwQ_Rl#!PTiiL6sc8hP2WO*nI^iC5vl({kY}%EU@(Sn4Ot*b9$w|J`tmz zENR#HeV$9s>R7r<=$>S2$b9bJU=Ey3r)`f-xOiAfg3Js#+J1g2abYX}KDJ!&ol<5` zum>oFQ=D3j=uGV*);(m)G@SDqdfTf77 zWAF?xkM!*^_|W^7?!Or(RW($mOn#U@kUc8McO^97U7gKkPgWvGxbBLPceBhk9yAPdRNl;Pd)E~K{akhe}Anr2X z9`m55TKyHvIWvCsOPZsFW2gGr25@x=J+Hfur}y%Zz{sd(9WM5&!2etZt8*py8N0ue zEsrz;Cg1$L5$%e>fjpgeylV>bOWvxe46o;t7Y*jeDAxY_9Na8m*I zp~yZfkW)iriea9kaVqiIJl^XC`0*@5)wYU?E1UO)#w42yZOR-tMcl5WUo(52qI=5H zG|Zl`5DBb~VhrP!^$T0BjFUQJ8&3}s`JijbQfPfJx4iFQh?e3~vgSMS??30@SyqhX z7_XR5!C%&txMxDO9CH@V6GnvZ?!5o#Inow$`S=_t8P$3zeX_t|iF2c!24}uRbF_x0 zwvF(RTQ+58zA0w940a8u2S%NMG^4k~Y+qA3Rm0PcTXGz<0>YpHE2pb1IU*h4O z7uOdYsbU1xwK9q&6wv~OBs&m{d#=T)HKYUB!h1n3psIb+>Ha3PyJDYc1 zgguxLV+BsrlJ$d$8qG6T^yT z)^D_sgJyv12O66pQ1F@6(6Y;B*Mt~hMum=5@>1aa?t=3~xl^dSn&Gy=Uda$lRx^43 za_{70TIO_4UAtr-;zE7|*oMX$0N_g~A?p?fU}JGkb%d+3Zn_PS3V=$`hF>;W;s>pKD(O5bdNeQEjmJDw&jUopx@a1iIM|_A}zdG`M255B;RO<6Srw%&HLOfO>XML z51U zBw4`&jE=icj}fQRf&F{ny(cDNB5$jz6W3Z$oqQbWA2tQ%7ff!_h-3Z7vr6-Nl`J4q zr?WC+!Cz^8vuZMPaxh`_vBMhyrh+^M&z2S<&MsFvbDl$9z>@>eoIap}e&KZ`X&FtG zKtbs+?dc8J@39SuU%9CZyaX}CEx_28@N+h_+S15ufZMaP5P(ywQ~$IUqHK28fC(_8 zWj#9_4K+*>Zwglt7%Ib^YTiQYbbB|-yp~-X$&7pM85aS#F`wkrxFuTuqUmrvBjw>7 zl}!ndgTmW=XLdBqNx{-DBk8p1K8?!)X5Sezd@PV(z3!ptw3^qXWqXc(q*|-AU~TBYWyGS?KRjF}Zg+khL^lF0r_TDVd3c&%mX|Tu6^h=+U5m4@vT$A} z0Upbahi$>h+NLbkjaA+Z+?xTp^>PLZA0KIu#8{G;reOuX|3*FbOB^;E*EJhDV}Xqc z`jf4O8UT1l?cmVYC685ap0eS>CyM6z5Xhblfqg#2Rv6S7i)Md3%ugWL|4)y zO-QdfY{J+2Uf@GaPxlL^+J)`2M4p$mNSrfR!yG6Jv&caU4;}aNX9adu=gOlLFFbCc zpDs1LlBHDzuv>YK2(6@*pvppDTVLKkg(m$4Z5iUtw@Hj!GU;7PqBk!a{-DZIXsm-- z$A0W)d%(NPT0{>Uv;nyFA6-|9r+)c7Wf+7kUrg&cbwGsUFbC*D0dhdY7u*>G`OPw^ zI;!5YA%a{dK>o~F_$O1t{L`sRHXUyM?!jhKSxI0>n2UoSR}ttC zBfq*nx_dNK_B{-;qR&GZK-PYrwMMD%wEmu~@7Q?LvgzqFNS(7LqB z3kj|dm@%pUf~&cni8V0;U?K#fDiH?%ZY?*e&}=Tf+Ru)BkWib~@WQb~BDb-=BwNDU z%gf#5J%*3ztmI*!zU>L6!JBo5?S>~+TZhzH(4T1KM&Y5b^k1#RLWk&NCO~8_`gXVj z?QlBG)dA~!gaCv2w?P&WYnz_U1iqb~y7p6k9>t7YMeu#J)Ga_K>sg4mLFv;$ z!6x7%4vJjGOl_Cj77zMo447;P-y9e%2rmO_x&c!wOhM5J;{IgK?~1oPOM(+3 zQI)rUqIK!%YBNfnD)ZPtG}j2Dr*)_f)~4}|fQ0Rn{bv225aWrS&gx3vPj{UQOO}JH zwDkfr1wpRNT?AGuj1oxCp|QlQrl9U8Nd+NyFHx{Lq7+^AupKU+)GO&`D<%#&W_)myUe8y<`a znlHVB^kU3MGoJ%E!bJvJGL)3Vr8Yf9dOvY@v3hNK9ZMP30BbWh4~_RL?76$U{ZJ1Q zb_}86}NV#bP_%x2|1GU`&@CXvbux;7H;AEUS5N4c9x6`||e3rbc!UPCQ!JD(;hcQ-| zKTO_pyPKkQ$qfH6Ninz$>cC^Kvo{Qy4Q}0bnY!J=Y(iAKe31ExdzXyO z=L&JOak~uSkeuX6J#!bZpnv{?rJKPc(lOX{488KkEyCOO^-0f1u;ReV&7nY{55WV= z#x}DizLVaT_h2+M!XJ!9_VE zpA#3ZgSI?ZX1 z-TQL<&_$5NxdEP}?N#=osZLdjTy|)s%=c$&nwon}2_gR5$Md;}D`u3*{qLEIZlJ~T z<`y`}0&TEPaO#n{H|161%N?~{^bMzMi(#)4U7Io@UHL7h@`R9mlG*9$`y?(LzHZe) zo||g>OgGfRL7~YqdWo%xJ7&A6&#TE`GE^eR0$f}q>0q?Y$ z#aS2})dW|Ia?cD~UTLyZHmVGv0P3;%Z*9-5LfP@O5oa*z^=&);Ls=kKZt9odK?1;_ z9a6O%aWQa~V5b4)E?rcp48bYg0#qB}GZuZ9d@n7pp{tkxAhG>eB$D&2@S_WEouLV4 zoQ)P>I!oLq&F_cU#QW0Tt39!JIx$M9n)96_GG8fJyqlO5>qcJ16E8(W3|Ocs)hFyI zMhEurE+|G(U;5>%V*nOgZ|7(c*6Jtyh>fRP0U)-exDnv|+yr-Pxg%PT5aayz!giwO za4Thi^UmDT(XWbd#|S-e`j%Y+di9k!k}77CGG8S1ca{r!<{P+@l|#J@)!TRj zpu2e#Iz;yHYG#xsd~Bjt3U@7V^b@Q0%|$wVz!~bHvA%$-*);nOeK-x%EjR zjjC}TqxOrS^=RvMyoJK(snteQs})BSVu8}j(y|s==rk0$nW&(2TtpnY6|bOuyww_5 zpbZ}Nit>+ZNB=b{JrC?1-*}cSgBfB@Jkm5OWKXdr<$)ihdZ3=N&G`=yMgDB{9~AzA zc)90#9(xZi*)k`*XDufDLgZhBdbyk5FSfEE;=*4vh4Z9M9l5Xfgc)3%r&R^Uy>jq9 z_NlGbUhLbMXPx5SgrsjTDSYqxq8N&kL750p_Ov(|;W-}!dYXLVECYM~ID%$scDERy zT}xJcDZco<QePICTQTNsYV-d=>DX=?}a}U4aX6#t5R%389H;>+L z9JHDsXY$(tZMFF4O6|19TlC~%d;IBk%Gpo@nK&P1Pcbt}7t92tzyqbm*? zh|piztwm>JfflfsogcYZ_gOsWAF0r@OYLQ@6h}wV)F2+oruEw*5leWksT#OG81XwC z@f?RE!XzMf{|{??N*DK`0vT|r%bb>uAZ_4X@UMz3dn54HOYi6ui*xm}X1(@~mWmXb zCAVDPVF%1Jgy83o*@XLhisBrN}UknpXlvThyGUx4pCokdNjrKZGP@)ma|XX>x6#ltV~WF zQovf%(&>wzXelNDoS6<5gYf71Zn0jik&Rwu0^q*ATvBKfWGi;&GCcaV&;^G6Tj)4{V8O5Ojxalxz)55-ajJ9UM#`RK(E*_>-rSjq@q=1Frlsix;zp;yh_*m*1^zsVFDi4(fRhp0oSSFuFj}>nEaLRpifLJgR9#ibYjsa&bP)APS z#$g2RA&GgC@Mh`o)G!oLE;XoT~0S^OyJX>SK@hIP(P0Mas=e|b}&fGjB0iyekZFFSvww>w!Wi-6Z?KV98AeC&e^`qAN4lFeFG$~1SvPM~A&7>1A&Zness4N9nrKPF&YZ}Bp z))5VWg)Oix{u|jHb>rI^fG?`U9$}p{U=~(UbpT)u-aX#S=EZvfc+C@1)C!V!>if&( z_V!Fcq-Q6n!L1wowe2EjR`M^0o~>A*W^&oa6Y!YDeu0?sGg=v?+1L+O@~`U4YoPj% zRuedgsSA-4eA4svm z)4Ai=>l=0Xr)Ac}X14$uC5|8X)|hksQ7`(|YW$AiLNs2I3L&hw3P<;We@I%#w7i;cgdaty@ySb{!_5 zUO^|$U}-t-)1-8mr-in?>d|&NvH2Q#sD~mSCNlKo{pVJGI#=P@mY#e_f#@}gO;1=aS&h}{;oo$I&;)cj z!)}k+B7$Y;f~+ZEjxM5w=@XOaTjSgg@V)e9&|t?~=lwVMK$V?@wRNmqU*_{Hb3?$N zxwl!Y^Dhn-qJ1ZrxYh5NB<7{>=S9@MKnoI>M61|LKp6@@2;k#^aR|!ZKHCj)r%BT_WD~E-Rfl;>G=Ws zzP#6F1(C+NYbH$VM1|T1X=kdt3t87h>Fy?f( zIfw&QAK3OEOBl;9o<^<6K`e9Z{7wx*8TSHCH~Vad&f8ggtTNHDQ`fHy)4$X+$F%`3`g5+DhGOwxK zl_O0}V-J1S19ji-_Qj)dA6To^t{(J86U!<2vSKPm9 z&bj-QFDH|_zBd;>^B8&2D?%gaAel(A5Bh0Hq<&3?1_>^AG-oYHexF6YJ-}brP)5FO zgSrK+U_;|g0=v)3E#U7#SLG)i8PwMOEvV7+jYyVcm}k%mFf_i2`Bj$rg9e+RpIPh= z@S~Zx;t^trB!{4t9vY$a9uef>>z0ANmP491tIQzwx#^^b3+YOxFtHZh&#nan%Q8*7 z)?V0WSxrV@2q{V$(E8i0+c5wv*VdrYWntomY_E=!K6PYM7AMDyR*Mk`-uEnuGSiN{ zOk<57Tfa9XerBCugk_AqFk&4v;jzuQ+@VB(iQK4@82o97%vaE36pl&TmAjTr?5rN& zL@l;j*9m&i2F2v0NA&U6B71WO_1S-H+m-n~b1mEPEHj#z(`PLca6Ci-Nk0Y|aq zXgvJ&@$9Qh0CrgjUh3H>Ivggl`fF<@1d?KYUmXnz;Sn}hXr`Ii2+(vu^8UUZf{$wa z2n}z%{0Zn*YGIW!o%OS)!j?A{qer28X>(m~;F}Hvw>$+jZ8h^RhnOZTs}~Elhek=| zyex+9bS{KPVjD$?JN;7CxTfHAW@_94Wr!t}BCrjA6)0dP;K~y;lEFT}tPg2Cf87?y^k%q*R5S@ZdX{F2-1A)#Jou^eJ zk|PE_bn8f3PepRv3NDmD!yY-qZpG!yU{VgodEW_&94|D`JG}5#vyWwD_=49$`+p5TXi7$IXNrhYYU2O>JFTC{kh`vlPa?gqIVq^H<0%5(P+ zf-{$ZY@KpZ8x+HLp0 z!pgclfO?eGh{5zetIZzQDw{ghpEr?au{*p0?*uR#fE>cS&FmO^;T~e5fklhfERyIV z3H5rJnJzQz7UkCWla6xV9d$c24;l{9Sx?#ZL#RZ!z`{^xk1hg@cU@4>g&pY92>CTz z;;)Etvk>wNc}rY_bZi2Gj$S*Kd1-~vAIigoU2$1c5K)zfrf2?67>kBlIS2ktWEFmz;_-uXLBHaFJ z%mG(tE|ny;-mFUoij$s7ZEqL{ij9=@$Vbt~Vpd*ZSMo*vpx2+Mb?i}tOj)Pk$^VgK z@WG+VT-cYCCj!RmkFv;bu_v#R_o94>A>_x{k_QD|jX`4hyPv+REn8$-Z&-ii+M+@@ zGpt?@Hq&Hb0v6iP5(ZRHD+Hk33x#Y@93;s%{PCtpXTv%EhSfhvcy$@<`{PZ8P7@cr zjjKmU-MRvHw!^xayRX`G=-a}0{5aY7m1$2ks<-X;?THDK&HJFt^33M;zurnFea_F( zQcAOa@6E7!)aO5kaze|Uru*fsK3u+gyX1_DWl(nxxeB#Np@7FI2YT)KY)P8cUd`ov z1tE!?>7@k4OkLsy3a4W|x+Fu1G>}KuQ4_ikQ6iK$%lF|rA|!Kc`b*-=5Cngq_tnNC z=?|)R(y@4>@1ylsX#Gy4GomINP#aUB!Z7RSaSe+Ox6;K*tELaql~_kJtr^iN1m{aW z>~59RTHk1&Y(sn}1);QK@jamla@sL?kx+1+N5i};zJ&jRhiMmtFFe0`#n&2y9}G=+_#z+>D%%Qxd(LI`n)>37RojoNmZV_)@`Fy*2Y@Iq0!E2y(QUE+Z$LjHF>n89>z>v zUaF$S&D8m?3aztnhP!q+;|)6}8l%_q{Ra`iVhtV8);_K+O{pZl^3c?zubipnKEn9y z7ptK+(I->(G5+RK4@Ah1y<@BAg-truBQl@;wwF zQ8FBIK;cRB7$wwyQM_nefo>IbPS(r`YU09KD-PYIN(3`nd85kg@_Q9g8+tEDdZ^!H z<*M>%noj~5{x@<+qgT%s0s#-Paw7j*tfz^iHu!zq{RK6@%aUhVCIixJnyv}?)oQfH zXNjyp@r*OlpRzCM3?gHsOcUNC4cIZ!82bmj3F-O|p--GZ{YKwIsLK0X`-Q&8BhoA; zpv(*HBXFFp_o~V)6Ic<83(0piZN*xyQkLJNS;^qFC#{uRFW~X6Ml6@+UuNd<3|PJ5 zPPv44F0Owq4kA$9n?EYZ`8*DX{fe=xbw5bYiSww`tq@`;>V(A`8Uhw1DH{2-;yQAF zhmJ*xm&}Kq`1xxVGQhsNsZa0$^W6+L@owQdd{^q_;Gh3g@M##UubHBNg!Lj9)cGkE zB2<4gpo(?<7L;`B4}SS3;JEg+?H|vQAqd6bLOdYPX@Wr| zAbDZokmlCCFoc4ns4hlxzRuNcjm3u&1VW{O%|%HElnww00uK=&sbLFg;DV@ANnnpA zn&ryL10_imy@lMC+DA2wWtUNa(;|LOIvKt5AixAu%v0t%Zs2R8d}Y}$$dw^RD^E<| z`NgqD&uIg6hNR?$+vp=Nuq)yYTjyC3habK6)#n$BY|09d`7`Uk)A zPbZk?4Flj^CZH@TZ7)NZG)4~#cU!yI3RhYgQ3F)Hx2`amfJZZWw-KUA~_M1A^( zR|;KN_TB$-GKoT&+^K(YMs&ZqDj`Uccb~n=azK0;4tKg0B$Qnq(0O_t^~Q4!@8X^( zJZ$v6zVq*99e1ieQFHgB(w91^jz?P@@qy<4>xAGC8TN(e=Eb+X&cgA^SDxlY#<>2$ zq)})&`(Lt|Jd~>6BzBom^MplPl(#rUM|@X=6pKoe2_?3o(s%~hvFDV?*YbqlZ(*)j z9~xYYYxtfJNgunVN|XyBzde&_rCvo!WU#-napf~PNxdhw&rw_ep>T|G8!f5}uFoEaj2QUkz=FWH()HDFh= z7H2~EWrqhVfV|HTe|HJNRt^3k z_&0)@dqM41;N~qaPwQ(qqs~*ReO*va)=6Z7Y`llI<=@lb>)KU4UzYMDYXv?+-_Eow z1t{5^*S{Fr_+or=X$$xd=Q(}Hq{m}kY_>)TON2P{;U=#Sop|nCUrN{T|8UNQQd3ok zfxO|v)n6a-@!IL>k~8as7P~!7{9LW~S3%<%PN~rwwm-<`?y&%U^A++piZ#D(Dqtq# z&u2((S*A_^K{Z2;k|!OzlBt~~<+4|DwaFKKTIaRXyd;o?s_iu&w*y$HaJ88IRjA}m z7B&#O6CPW&c%-m`Uh-_vWzA@Iz4NdMI@5pH`6-}JQVf6_U-I-(ZcK()OBIq5)+FXH zHZ`>_I*1}k(8jl48?r2%)Zq5J(5wcbgxdBnYCGc@4ZB^*M5}z!q=u%q_WMx-JE78= z4z?tJay1ZaDgEM)4Mr;zAZlxSc(>^KjM2c~*|!e}8&LyCq0*vuwq>G;?oPtmI42rA z!(P0(-UETAyy*RuXt4ivge^T!@i72ymNskyCI8GC)s?%L=yd(Iw4i&QSWuE; z09VP}6E%7x?u@&6)%>tW1vhl}Q2hc&-S_?J*3EJ*T+fvTH(@I4 zruoZ>P=m*NCAt5SRpq(yh946pM1RrPGvYYFCXtrI4+39X%?Q@ltV6Lnk?p3z+_f>< z^fFGu^Xx;}e90a>gJ#R_VnTI~{ST7)kU2z?l#af83$NsV*l2~j@{+1ifq@@aBqM)C z3J5tO>}r_zXC(z{@)%}KJ0VCG2h%S#AC!+iVItU~FTQ>ijX;RCSPe%8!!>`DNY0kF z{m^WqW6<_&IH#t9WxGwhy0du63(q2&jD_#>T!AJHKQOJv=DM&W{ByC=ZeF)uArjn^8j5#odl! zx(ud-zc|=pO7ySnn``|Kq(Y>)MN~u8J!&&iHg5e7sX>I@s=rK_U&K)3mqT4eFP48S z$(ca3lY4j)EX-cb#|YJLI%_Z_NPYx&CgR(MHH+HAR?>Pyq-Orqm$t*HkfV;)4t=tQ zr-Q=g#KDvbmH={2k2TUqSF@kA2QWLXgVi`TT0wL%J+W;&0-6w$8#QUhU@~SWEt4PU! zyIACXn8|0j4sja=ze3>D3%b5VSad$0R|`@QBTFj_F6Hml2;oUxl%+6a9vbkotjrEY zx4AxuEyX=PG4r$;DUAn@VqsrH^6My10y{Y#-4Mp7hwf#$1)GuzMN^YK7U0f;plK1lvTd`qxf1H>;m+gfty3YaI>t#6NMOByh8}Q_?gZ;CD|q{L0r{}$dg1m2_a-W zV&x$DiS8`wgn~G+FR2!CK9fE7&(BNUD%uGg8^|#E(I1MIe+7%;qV>@W7=x%S)?%3X zRfU--eD!}HVc5gQX3cws3l!*to?km^LOk<&=|%@puL_fzuW%GCrGtJ4IsYu{A!dm{ z>7d?ll_K-Jenw~rt@a-DnP=%0A!eYEk20Fslh38F!6@kH^3i99yVxk94?S4rnpkRF z+4Idbo0T;8gEsN$6Io#G$v>jYC974P$Q7ajhk|!DmmV*3adg1xftCXRnhSRy?!m%$ z5r6Ke+a)iUdZw+gOL3@q5(G8g;=~4imf!C`#cLEYiNm8m`kD!4zx^E8sfq(U+oV> zDfQQ}HE81&+iY0+b49zv1Qai+Jj9kx;p%@EVP4cE{@$i3KL`5`8)df~H-syW4iBO% zl>BGIH1OD*=58F#c=$Klg@rb|g)C=bm7MNQz z@JQ+&Q`yZ=rdTIR5V(G|j+pIcI(39Ph2OEfyoUwq1y{CLBM(=4cl8Ob)H!8PYg?_r zc-^$;u8+R@9A!4S{!5y;5#p^lLrso4Et8{Q6?d7RL?@+6?+MsDBj3=HrFYh@byS22 zF3?|MZq^MPW+5RvTN|IDKG+n^OUvH8YaF%r@;{L1PLJRFaLKob5L!j}16Z(85X)FM zKQs>&CcoF7m{0zM0zHJnuV*~4ds95a!Itq$_)$QJ$DIRJ$-tm_x}-RAmD|~uF8+-5 zivs*r3H8I(?tVADw!Vy#rZTedN#SlxLQjFm$lr_3xu@kB4>A;5yGn6LF;eWoVlY*8 z>6mNyDJ~vqUav1V%@MgGmFup8y-h)MLb2Sx9H)e<$?FcLx2c>Og(7$ZN|uM&BcF)K zrWSe**yp)}HCn|<#G7?L_5?_WD<35)e(doUOWxbXyq6DN17Je(0an+$DK0gzDfbs6 zX^`)TC2^!&6x6;O=a25NAaYQn=mHDDpLKR*EZyquapHwD0vlFRp6oDqn@J#_ zIoLCkna|a+W7J@=_^TeF2vkoIt;*2B!7!K_B-n;#(iYWzN{;^%s7ZO*f_gP=cnozUe#J*neR_&BG09b) z$k5$K3rgn4D=4u`^XJB9$Fy1#UH*`Ci2+mISlUa z{G4_j-t{j|%J1De8yZ+xG9)C0$h0QB%X}EUP>KeLlM3VQj@ss#YS=By8H|{Qe4|b1 zFjgbf@eXBu_1jI?t}G-!L?)&h8DDWgqDz+vE&SmsGPp1PRJEV*Lna=swV+k*>Q;QZ zjk;hQKTfGPBurhUMfjcIAYt@tfZp>}Ws^}7jlllTT@;*zRP+`AEAk465E zgEdch1Z>ST&X_wXgHEDa_!f4B0Rcv|;-6mk5)RcTt3EMm-<^7d>h&rQ4=dD1C2P`L zB&__-yH^+r6gs^j05x4#h}U_#@Zv}DS)-EXftrJWcw%xFqohAYy{n1pJ#yS^fACn< zUp^&udGW}kZx{R|PHf7nEM~3)lBvAti-Ecq8T$n2)ez(_Pf~IVcEII24@aI8z#8!) z%&ByKoOj?4ITxxf>6aX+3QH^gN2zgB;w49WBB3~1EDMeQtA{4kz zgy@Jurvwz8;_^>LUl{iWFp`@}{{C7M!v1lOoRPl@Dl*>_ZRI#{G{vpSNq|X@#;-b0 zR)#3|2Tr_hWGFqPQ3x3Pk6ekT360h2n|y29BDSJDMRW}YK0Yi9XbN$L>JnKkT-=MX zODG&O3}JI*6ZN|*>Z_4({kR)DmV=UP5CT^v@?6QOL_}*Xo&uiw$7Z`-I7{02wb13u z_^Y~4E#dNkscIiyfp0x(HR{g3tSO?XDhqR>#yC5D0Ktl|r7M>o9e+Bg<+&kagcTE# z=Hb)C?+&_st*P|2ms#D&GU6pev5CD$3wQ9*Az)#^c!0R$<(GTv3bCo8&ODtLCAh=P zxR$QcwOO>H`VVwz?Tx1L(811t3|oXpzB|QWY$8b{v`0YbNrpi^X1d>%Kck@#Gres5 zkx(P)qvaUmdKCtJDhzoM33LshqY}I$+E*a_2>cQiSmF!hBU$=hKXs2sqF{2InM3TOq>m!kL^*zxKO*%-3Hl_KMF_@O zsu77%g2=V{)@KJ}sPev7-l2epwy!s!F%j+O2DO>xIb>;6ngQ|0Mf@Q`WdZs%ZTzk6 z@2SiX_yE?s`{O=WDB=EUYW7n;P#8W<3+i{-nRx^8uAZQ71g_aYzFUFy1i^`1VFYyy zC3~z@zwPJ_$Jd!57xX>cGO8i{{W*~1#tK>~^G-q5EWl0_dm^8)@Bm&ag8Vt@HXoDh%Y{ z=0ragKM+enllr$dEyQ`_iO+y&zki*g#?On|C^r@bi%9pLl|l~-UX`=+RQz*S8gS94F9BO$0FS>qUqG#mU#6BE6-!h{ zTG?CSBBm}C=S>y!+^VKrudNKH z`Ff=?Ep)7LAqJloQZ8&B^MrgmPGp4$Y;(9YYs`+T~^ zN4$C7Qa*62ub43edu49F-b%~TztRG_9Q-^Q?;Z^jlT-U*Hs@=N^~RR>GmEQhb|EjLRM;XOkam-gpA3Oh0SZ_HB(aZ#~NMD8sDhtXYgx0 z(Gco=HL1Pep1T$7S=({$!NUOi@Wl-AMx3)e-hb_}kTD{_aG>)>gF`JZH&7%a>ZHOA zq4c}QD|Cz_KHp49WooGqzkcKsLGXrc zRa#t;8^0lMldS3BtvEsXynQ9x_<2%8iQ}@}S+1J=?(eJ8o8NXr?J5@uBkDnE{&i2X>oJI2%xZ$Efp*XhP zgwBPPBQCAthJ~o)1-)+GNbap|yuiJQ#*LQ&bWz-o2>@DU%uOSI?gdkMCp}x;$FeIM zt7&uvQjYF^5c@t6p;c2-B&v}4f1L{2L=V(54#FyqFS+0w`HOpxh0+c1QrLIK_JcnB zmE42nPtIt|FDN~KlO>b^{3z24!md=VJ7Y@*Hm=(K4-9eHFY`f##bj1Q;y34G`X28u z+~pEUuXXgR*<6OTmjAKWvh#O@xKwEUJ16KdeT(t4@^>4JYZyo*XI4Hav~XqRk$!!Q zv27VDh!sZ5YVo|eto{^{-sW$00IU$osp_7&sJh?hV$h)83*PF6>Hhp_EGb2Zsvi@L zyc%juz96>ILdJ{7^E`7t(3(^mO5vk+oNuhB`8y_W!Q;>7v-4N}H%ns&+*UX)4sGF1 zY4_v&N)LZ^XG)L$Opz%lu_@-uRg^fXX*LBhprAlL=cgt-o+==ljkrxE_Q`jfZ~d>! zK0t1(tcr!chJT;}+h*)3|+wVw3IP9FaC)M7nB zdE0>$7X)O3M&c+Rlpn!uWDeJJ| zN5x45vk<7reL7rAyC_fc?v+#UY%1bO{^9%8#r;l@y0arg_j&wELZ9Ps**Dcz>T8Xq zzXCg*{ip}+lWYPQB_35veN|ugPVx8`?xo2eGCR*B`yj!pjr-z51Ljn)yvZluTbg7{5`YiiAQ>~L zUz>2ChpQCqr1Uj%rhV}f-JpkY3YAPFL9)09QCj__V1@|RK}@*p@0eEoPw%*FlX6G@ zH$=!z*pF&`u!)~+b|+lE5;j;EF3v0M2d!k!Z9|IJ^bUuUa`AE@Z|bu=jmG5B@un8t7C^E-Zs z?PPo$+NOhjIX`j2Z?EhI>6t!AfpyoH*I+b+8h#lInuJ;HGs@u&9{uckJb9hJV?`O7EeE~C-u7<0BYrm^_jc`~N1o|fPxa9#V^URGuXJPPw8}tY zl~9uA1iQLRfx5>}^6=U_FlaJ99kqZdw|`k;Ce5F}$0}u3wMK~G788Fo{%>Fx#@pC~ zPfz8ObJhr1*FE|FTDt0}sG9fxs;@690xBvZrF5@=bgYQd-MGN2fOIXn#A49h4NG@O zH%K>2H>`BWvMjJ{{rH~q`{SN_=gxiN+j0S2@Ea+Q{6j=?- zzUk1bVe2kzt1C*F)!fq(1_>681JfKQ_e&RI-AnrY6e>X4gZ3TuVths#vLh=vP%}8bR-D3>6Y)afWi@q4;5`5Q~=%>y`GD5mmf=vZ*ZHJ4L2P0 zzo37=p?fQfRq;9LNHT5=ZUbp4n~A-6>*{8~7-I&CY0T{vyth&5gJ5n}(vVv0@w z1x2lJ_rnM6@!x`}_YH*U#$W1uK0wM*Y7%D=D362w8tTDGTY?Y=g{n+1^4a1Ha=sj# zV)riX-(E+&3kyyB!?Uz#HoHMtNZ5{ltk#E<+G%eiq=Zy`4gQyCn@Q#i>zBG`;hizC z1#?!r!C(of!_8e@2VcNcA0=hLYG+Xw&7RDzoU2U|`ek}y@4e5?ZEh>5hg@ctZ{Wjo ze!UTT$Pazzz-p(&x7**j<+fPs&vb=qB1U|`-Yet+37VD|JJ<{*jtu!F?Zu{=X6hG8 zpP}mYVKVcQ7Be;P+G^Nx2?XCK#~Cbd=0GJ$g;A}1tf<6g+(DSbpz^Tdd@N|*t31q} zoaKw;j7PxWo6k2ELuCb3Ufu_3lMmMH`hLMBc&hyk_vb4PFu4|h5uX>tdSixearMjm z1P;2K*`9CQs^1J8zRkv?+qM^GZ&<=4`D}Z2tttUSE?vbY{W(@{^3!g}fax|LU-I4X z?-7>!+I1rn_vRT(>N7Q!yULoc5)pE>teFM-x6I?VLwcTYN7V%6Q{jVM51{by>+J z2;AowlJ9l@(-(Q0GW`Pe8kh>h5;aJ$e!8PF*zF)>An}BdD5Wg$kkX9RFLrr29K!m+ zXk~ky7Lyk}?I_KV0{SQ1=6tB+k7M^12Iiex+0i>iZhbBGvbB-P<=ut{zW!N*`jv=r zlJk)zA?h4@%v0X+MVsAsHkY+IMqcr&wcmm3Pu|V}UzS08JNB5# zoV7lsxPx!^)-|1lbE@AKy>M3zYVJdozY$I|_w>#P^jYk4d!e+bW|nL2Ex#k4ds#tI zwM1>UOnPZZMS0XLw*pnRtV4N1ExnGs{3>EZig4ZHE&2ISR-94gowEv0^q$+JB1n0X`ru0_g~n!!d%j}+neKy&u0r@hPSs%reAZ3xQv4t+PRKPnqNH?>`em@ zW_}2kxDF&yO@bLJqAB%If8KwA9l$ARitrYC?-D4#@Tj=$!6|#B?DQn^wgMFhGq)sx zRtz!^VOcVtfUXk#Gqq}?604W=m@fk}UdMHU3ITkA(#MWV_%~Ow4pxM$2eWCGJm_u` zz${sW9mDU{`}6p)P2=b%^sb~)fKO}&`1__7L%$O!1}AX&1q;-R?QHL?}* zlCrS12J%X2U$MmPeyVW2rjIVpKPWx!p${O_36?6r(yzC;s-uu4ZttQpYH4Ny=6+lf zwi<~0r#rWSngw$|nqOv8!sz>FOm|$%Up!?}eMHK=E1`=RN0S$3es`GMgmHEj#l+o@S~#4O81SX63IZ zDoS~1Cc|jj^AHVes5}|W#LO!6L%$t;&BPdA?AO*#=9N>gR=vH1xscMTL==_dt#G#| z=B|I^D4IMsNEHPj68?pz z^xrOB%5c?ZR9l;kr0fp?LM>ah;KgoN&)$Z7Me7!8uGWBFQ(yIaYi$AS> z`&TvY7q54ty%Y%DUFta7x$k2--503kKFK?Hk7{qreoln5#nXhHw=HHxNuIfqH|G|z z^}4Jk&8v9zWkSY01=6<~7x16^94_61qbChfN{o9F*UpBz^?>g+6K^3xg6VIi=kV7y z?Dy)ESSrJ?5}p>T!$DG-T7$d=$GYdQGiZ8q#i1AJQeR}Nx-ze=;xGsMou9mZEkU~S z#s1K-&Im`dR}0G0WMS}QK3R87n$`lDo(Pd1y3kE0w}umhr<=& z;&i(@;}?O|kC9Bid1IPd9l#G3oO?MwoACsr{k+m+{o1ACk6Mcw-uOT&Zm$WxFr=A(Z?Z1(i(x^=%pb%2 zUTjBS_9J%qUp7F@OrUY=oRw7M7A*>%*GyBqV)5~4dt0sj`lsm@qc`==`vy(X@J*K?uJg!^ZaZZ zI2EV2U7zS@1&zB7Uzc}CP*iu>~b>fqd?E$Yb8f;>2&@(vLwfWPXV@eTJ0gjJKpuc z*ZQq1ri*@nYu<4s`5$AY55Vu>RbVlrjU<7E_FO6nao|w}>@xXhW9Ou1;ZGzLn?1Er znqw=FH}-mTW6iP(UPPkaDr`GXLF?#xd{C&h=;ca2TR@*dPc@}1pO zVqjkj_J&XHl%SOGCF2Q0(Swkf z9h(;-Dg+m0?k100xXwGTq*A=$QyV0_Ef{zv3a`osc&;c@0rWZzKG@J*oYYv1AqPpIz4HzpFw4tl3y0)~oG@=Kq|m z@_cjr(Cw^$L4XPI1`34UC$;VESY0`p+ux!XTokfF^cw%Fk3M3-f#K(O0x-07WMH|@ z9nJcVeLrqmu{v#FUf7+A=?Sy@|U4!=l2UI3md>}uT zU^WZ8E78fuK&1Jm>x6ixl4-wXJd>T-Z?=_dF!(ABKO_VfC**j>Dwct3)npO zPKqh`_4DL53++gjDlnmq!pmZ$7Hus;zy|Iy0CsEFPPV9huam>I61c;&Z!6&@7I68H z?rJ|GT%RS_aVLsvD{3hW|62MkNB*-bmLY=^oi-&ZANkeEman!4eA7lrRVnpfsIa9; zO>Bfvg|tY^_u|!ZDEvK!ad8^6F0Wy*(B1=Z0p;j+2h~o^J4>zyL)U|6i!Krh0!Gt8 z5Z~suD5Bom^*OmM@NB0`vvSOM$6W!sa@&j6RlCvnC%aW8i>R{eaLk*8N=n8ro7Kcy zN3PXJp2=RpWUzgIn^4T7NXM~`8L9PiLz19nIvDm2pJl0iJ+hHV}W+9 zov98dF22gtu411gv{lXpyZ+YDwhr}AY;QG?rpf;p8ShSX6%raep9 z6&2_?QciLraSCi`c)8#;t7Jd2IHe{FUn)80A6J_D)t)Edy!I(^EI{JfW>@xHtkuVq zvcOlxQQmVDegYlYKiLu#4{WvVLt5otx&SgdO=Sa<16=#MOU-c zXO7vFZv9&lf_FY+YB;xdjX4uaYp%cdy(kB)?w^Mu3=WLMb_xgHA>OdJi5RWy#clA- z<_=!km{|W{x#SX;T20;6XVocp)Jji{y@TvaJ(WHHF>|eky56sXw0$b9v z=fY>2G;q?P$TseJ2(&#CF?mgFT)v_W|A_$zF{&Vq*9{*0PP*M%Zrx<&ufrG%oQ5U3 zUuJ$|DAv$<4eN;mP{~}Bl$(-O$dkE$axVLowQ0gTVBSDH_?`ElXtEO&zdxZ%#^hnV zM@XE#Yn8xsI{L3c+!kyg!HdJM zb$AW6*lkvVXUl?%Egy!G_hiuvlK)&6psvh&)NS@b?cI=mVH8)-=)`~J@4Ozr4W#OQ zawTWR_GxW>KuN!YYUHw9KlFY2_$ze~Sorc;O7{ov=|@NX9g zMRrPKsoEa*GQ@LYBAc>MDTS2S~$=5y++nmsrA-Z_{ULVpQV z?ouVHyHhL~$KoA*O7IZl(3+!vJJlqW+UNf-`-Xma$vs)^*- zU3qCc#*9+*JyOQ#-#xyqPX9&ep?T12&cx=dKad9>IB!zHQ)~GOrCDV?rKcYHv%9xXLgSK}RI{v$=FS}^`iS{~Axl+Pqn$UgyLwW0d#UZg>VoP# z_1Yi&<|i5lqJ+$bt;+pWQ_$u#zD{X4H#d8A^3xz)@)et7mW6tFWC{D*=zS&nFWfzE z|K#brjyZ(b$mE3%y?H>MPuYSl=8(yJ(m!?%(AL+? zRS9POl6g9`uV8wV3W*)rA`b=HWeH8wr1Iw z_NF|V-jD2CiJNwUjUsbGOMs!&cD2jVxcc=75$s~r9eziBdZ!ShXXU(K?H}#7C*&XV z@`{2zk;_lxjn@lwyYQdImiWnSfm$A(ug9arwh}++s3{aVAWL!zgGcEM8O1|>_(qt( zlw#eSBmOfIe!)|`aF<0cvwSa=K95O)tXRTce^%~c;^@=fN2x&8XSw7n)mU)M0#|Uz z7wXc^0k$?Ni4qr`Mdp|0BduxSj4@g$gM~OsFM$`&rXW}wfb6)P%nz?MUNim1$_0_q zgz`W8?XxXCoHLC!g8JJoA5LtXT2iw1)F`NVcHckS%#bb+^UV2OGt}%|_v3be9JS8Z z2`1&anyL#g`8OuHkH%lLFT4+XR(&hx_u?ncy<6I3DRY#V)m=4#E8dBZicxY_&C0a& zWkGp1DY<_<;y*-dIndLD4F2%>>HI~nMTlB&<-+42Zd>9>O8q+l5NqRo09#>&RxeRN z=5L<6&X+Z%)APu0IevoB2E7Nlsqe}N@3wKzz1vz;-`fCx3(^Fog`YAbMM

%NuH_?xCN zMK z)16?ue%M4+L;AO}H`T4@$aRhVB}YOi8=~KGn2RR-chr)WZ~p?;xIuo`(q)+Pb6N;B+{`rF}Ws zco4-p6SEW@1}^GcW1_eb+C)Zrje*FWm3y3z6e(#GBS&Nd%+0+6^1d}X`^EFIVGDW- z0%P$mKQ{$I3Y~MOznd~g*|2lbo%&zAUB*;^nqjF2*zCAgec*3R3)kZ4&#gTjY2+gN z!7GUKUpf^jUjK5{ByNgH->_m_{-hYJ(l4R=Lr)~}ay9tVnSKSFwu0KvCKVGr+rQWJ zpnrB#jFF@V9S69L1{odj!q#Hlva=liaTx&4-xp!+aL8}IHS(H7$?-iJuO+}i z`prJiErz`mjA5PpIp3}3)c6{MWEQWl`dIdSKO%5tT$_Prz5;1Z)m#PP9O*c_*S_(( zO{-clmPSoJwrm+!H}p6DHb-KsJWJwjrTdg%2*`Ogts%qj`jMUCd4fPjg|?gMckxZI z;JjV8!Gu{J38rz>`^R0QRBW2Z4Iyg|@x z?|-zKVO6UHNTv(%oobLG%#=9&Mbx{m^`7+i=;;&Z7T~bUS?ZZ0lekC zneMeSc5-KQZozaDKl@&3lQo=IGG?eo**`S0{RO|#$ zkz19Wj=@Lt#n#Dzhnp|>EcSns{2;=DV_-Msn5mmY6F;S4gT2y&nOr1LA8 z{jTeTqYiYsgPr6cW=b;AJG=vKJR;kyeid;IMXwSI9`8KHP7)9e8P&Vc*#8;bPyE{W zx~YJbYDDEMbo$-X!5Y?L(G}Ml?YGt2JZv;cOT3BUrY~>r++Oz)Ll|i)gegKQTFqsn zP9>F;D;r%+zth^q6Qi%5kExt_4JktIJ7-1%+Ww$%N@q8p{m3Lm-^@^tTV%E9OQtA9 z&sh_{4Y@q`-23@;35xpsyAx}4q_Zs!#ei9%Uj#S+yW}Eb;K$(3frez+6JP59ZG`6)P*%}BUe3}Ey(KliB{cftRZRaQL^QATQ*N{A!- zQMZc~W9yK)=zR2kfg(f;1i6VT-e9as-cBD>`f|WDBopv&&DmdG!1D(ptQm7Hw?@8n zK747N@r6pXb1hIe#Jslbs#7Hu{A!CY)U@blW(hLq-bAsJi@SHx0o8d5L!eX6FjGep z`{TNYWAf>1FI9A#{acLJ8mJPdY_k!H2)=T_om-L-!39smhlObV1%IWwTPPS*ZrsN( zm^2Fr;SnS&2MZo6w*O7q$Q3C|0HbrpJ`6BkWqudEiUvxWtvF~bb)5FLZv!8Ei1YSu z*_Re}R=2dU@abwvTp{vp{3^Wxljk zlCCk9M#pp4m$t)>rY<+9RfU%%VkH;ye&QBnvW2d*sd8X+LQ`EuJ>tr+c;wmBTk!`= z_O9IMIvUB;v(6N*yuajvYDW=h@U4sx8c`N5iHy(jOS&CYEz7G~>D*%yhZBiqStAC9 zfa*zy6&`x*17K`Aj6GN)~{avSi<1g z;y7+{ax^haeR)C!b;SvV7dLrVkbavS(5!2yME_zcpKvT2^LqpVx_jAYg1ci;*1pNe zjQh~qabPk1hED6n=Ky-xh4Xhin94@fwV$D_ofny-C?F{@3=SSQJe&bU49e%KH$tqB&}g)1q+tLeRctL*`=0+~cycglX>%Q^P8~; z@kX8B7XIS$B@pLXK!sXW1fpRwP46pcfHe-zpQvD&y$f;seOe_B9b2y$r-(D5 z5I0$xcBV_MNar2rMUP^x%O@VhqW_sSE&oYHIw}@KO$&s|%G9J0;Nb)IxxNyE&!v{0 zntttL3sZLZl?w4CteS9II__yzL?xAAA)E%wGG(FJ#?JJcTMshLR1PVyk^HqR2m@h_ zpcBUm85t8pCNAX9*!&Yd&j-S}$m?fbP}!k217j~U(%;pYGn0KYoxx{IJnSvBO$64R zerlpWL3N8U`XTze##ITlo z^ZhzdQk{3E)eMPY-|NkdpLj242Bw1}V`!_j`fLYPD-*quZ?p>dc-;mkqPO(0m16&$5p9_j{ABdK zGr)hl{M=Bg%R+_4>u6#(qJ6bwJqqHx${p4rmj_M;hvF5Qf`Y>Y62X=2V627)Yaejy zq^qAk+3kfCsE1>IImo`cVtoU#L~hxvB-G+&l+>!_z2bT1FzBR|K!2gl1fD(~9=%>5 zs4GFPlCDoN`rA7jYzj>q0I07tP3paWY!0p!oiw%#q%a_FU?c-}e`0?yY9S!t@Q^3D-!H`wcD}1y4tf{1F zH=;+S(E(%ufE>L2eW^X@J2I%ZFf3IGhAc-d8vESsD2{$$oldE|J-pbVl?!KTFvnaX zwcFR-Q5`%DwfMf5i5({!e0A_*Fp)VWqmBk)sV$E0f7vA?jQO!FAPo5`Yzv@LQ73ga zg{dzz`UwazeyzBHaqF2CL=tfrYzYtk) zSwreZx{=Siw=rbvzPQ{>8efeW$fid7v-Luu*)T^A*fw!Vqs}j1lfTZa)qDWpb_v{# zO5LRBlUpW7ql*N+LrNvY4}BGk*QD5nlP;KcX~uM_A;oRGa~~)PsRI*H;L>V?3Cnub z_WZ5mEVNpm_%tYk9+th@MHB?Nza+cLH|C$A>UbN|4N%)WRiPHgPkx~6m>vv(ahY}u zT?e?qo5e6UyuhI?Moefv3=`~&Nr^gT$E%(GIHR$6N3uZyhswBafE(m$>oT@Q1y?Om zFk%N&Cv-J#CcdlS1>#`$9Il4WgzPWfkA}s>&8PV4lBA#@Du{~yY4(&yFQ)P0e!xNR zo|xUcYP9VrD&~EYZU+Oa==i(HYb$029HHncvy3aLF;xztaV|^Qaac*PY-Wm{mGzA8 z>!g@;G#ebtAZ>;4D-zzXdbh>{5?a)KMreWBok1`u$H2CBf=pJ5MKfj6ESidE=-solA;X|FH66ISwYU|exy5cyYd{#eg$z3#JPW_OhFz%ObB=#-D&1c{- zS$ifmw@dWdV}KjfILX!57~2R~??%9UC!-Fkk2vjjYIB`hwPquO5pMY#p1#`OQs4wJ z9}bQnyXO3h$<@f|V~mQ0nDW+d(P_s#$oVC80{8(;0M6y{x)9htk~k<@%Cw^&15^0d z-F%~I2+?~<(axjZw*hzBO2no#t~16a4euvkN8OxhL$TSXe9T0#nVB@=SG&<~m*S%` zoWB}v&uvi09{?vv#6~5-dW1Iaknmqp;2!SoPb&2;AeHOj#e-Q7l*>wU%8Nh{Y@BU+j}qhXlT+UMDDg^ks9C^I)=DzSB(UaFzE zdV!S6yEd#SSRELiv#qT8g-ahOFGG}-`=}gjsKjCm-GL`#vkHr=MM$R`_n5-dj-SHe zm5DR`73zRXI-+9lG-8^}MW_<2|K?^C-!(|$h{^T6!W;K+%;u3W1lL)>0#;$ZLl1km ziSV-L1~Hk;i|BZV+&PoY0RIkfR?46!+`h&_m1DsEZhN8?(GF?TJ;h9w8z;Op$TEiA z`ouAz_8jnz>MUXb#fn`WPQXGRqrzjB3vKYNzc$BWmN`tC;xinI;UU09s9x)CGe7pb zyD7&G`o{@!QczrZxECQhj3}MEq9q)Un_7|)ZbMWKgh1cwjjvfY6HB6VR|n{*YjIL3 z85&!;Mz1 z68HPBsG2lp1}0YbH;cfFbj~Mem3kQR1*AFISx1bDuk_{}l!oMFZv-6mIb|Q5>E*(B z=ezeUomwvwY{3!A!t=<_`FEjTpOuQ4A(#WGHP81>Z8}il922duDl*9ixq4JNSKi z!!V^98;bb~Fqq}1 zy zFU8mMLyUD~7NHDGsP~d!#TKR@i;b;1RY@uwy4o{4BMwfM-ez&+!;#S;4{2qF)>ZkW<@&tT9{`A>ZPHHaNq z?f$C4EP!6bj)PW=;AL08T8)jmi26Xs7?%H6Q$B`09D|az#nQhV3=qG4gk$t|b||oTs*O;g9Ji9*wZL{^FQH zUw?*!AF#H(|I)N46)G-hQ5BiW7w1?E@88D{#+tOfkA!%{jQo2f<7mFYjiY}zg@tk& zJU5UmvO9L1nIUTm#n4oOXSb(K&&-qiOE;|)V2qlqL0~+-+|x8|H0}$m*v4@{`e;9Q z1hBM+?|6!!6Ki57_`A_^1a2h`t|h^S_{yqNz@~;%tE<{ge&g+05e&6a?2vGdCWN>K z1=Gf$gv`FjGv|PfQoH5CBYW<_K!^oq*eCj;K24@LtonMT4>c1JRt)azb;CwoQ(#I% zf89vCh-easg#|FKBq*YJY_%E^a%VFX{b>;va%a}1Q6p|^9mK6b|HiMBCrPYm3ekTH z0;5li_;u(L?VOx+qe8QSm+}P_YFW{QKAPlke`xfY9Ycn;mU^OwM0QPq~r= za5kt?0=q@Q6igyO-liWfn|j?~O1;PkVO0NBv=^u}FbXeaiYpL?NRdM-E}Efy&Wj%+ z#q61bNc)?o4A?{F!RP&838bH{AdS@}w5V(~7}vyRKvS5eviyFeIiJcwPPO?dDIB}K3lQC(9((!dP^*KA9E{u>uJ-NU1~reOpC z+QWa1Eu~{>eVy`qnY-g$$AN3F|AZp5#JZz)IIOPnEIU(8i(XW8RA{5~SLcXTJg1uu>$x|}`-f_A ziU!1I-;z0np(#=md8k*+jHmBDjE21ojZY4OKKdrO!lkS{(&+i9xgCxaA6`5lj>*_+ zz&aK^kOWD1%j}|UCE+q%h^2nh235^@{1v;fI#<*??CuO!Zdh?F!v=WDI@Xi2{C;Z; zZg##tk=l!r;2LCEv3xHrQpCs+=P7Fnm9f6vyu9_8jBmMh>#+f!S4ipe$i^YDu+rEt zXAG|720U>$eKIy-Kc=q>B4~W9Yiu@IzBRKYuvNv!3H5%%NI&f@ImfnhS;hv}TTCCw z9UDBA`k>VE?0PjKh(TDuT->aivlb%b8!e*`!$c0De1QelBriTUc$ zOFe$F;mX#tH}SKymusVsW}W|JYi2#(?f77QTpWiIvuNvMlv#pr055hHaF3=_e810# z?z^}3AQAga2XRDM`rrH^kh0A);*)M)!)-Cscc-vq7EuW3L4n|M;dkk={bk ziT%E~5t;RUM&~k>`g2UM^VY^Q!jWg^%z7wh(R5L`B&>U6A zE-5V6^ev{%E6_{jpL=#`QC(P2vC=z}a-QtCe@obLU^-jXY!+UN?ib%C`m-E+aGRt# zl^t9LgOtVkcpAKq98ILpT_6#S5UB_h{=kp0tJ{atHs#A)@Tft4vNbYr2fAd|s2y$x zUMKF{)~Xop2ITt+A9L?{%O8S5OjmbW<@WOZ(6GFZ7{n`Zs*=j^#n_og|T z<996mFC~VmwB!4m8cafZKP*$93j@@1ItRWnrt%x30lM&8AXq*&nNs1GA(Xd+TEDdM zM_C@6qECqfO*q}4sGg54Wt;S7{D^Pnm_HtTm`1hgVA`ptE_1`Jh`K6VX%0uaqw|=s zG1yN%y6%>X1R{t<6lM(A_}CO#LFXQJBlKk~ZT&e;pJ@06NkRJHH*AchaHPMdV zv_d|IiVpWNe6{r5A?7k30_Sy{NS_nkI>y`iygU@gi2t>@Bmb^GZmR_l!rkfW5pWvA z$8r*89~d$D(l2ysZkE(%1?0jToXWjG;_F!-0Xx}qVHx(IcGN{aRU5Min%sFj=koF3 z;!;+U8*k#_a}7pdhHuX;gwbSs+JE9e08vM^>4Y}6@TA}}=f%l}8&4D1it2nRAz4zP zwbrReh1}9hl=3D^I;Hbi(xYyRN~t$XU7vg~E-Oq(@9uXD8=ZaJqcZGeR%kB0kd;)U zRP#;bns!RoK6i~zL6=# zP^9R9wewkd!w74Qe7?!ENS2~XL^7CF-9xB?IzH%Hf!NS?R+ zb=4GgwVujUPE0DR@QlLnT*Zl72|>OOfv9R(HRn zSC{0MKXX)!6)mhXRxzx3G5Dwtof3UJ4^gjPV-5bj+vqWKX>?B8W8p716g=qPWn!2a zr=8PJ9hslToztJ6qHUt>%0sJ>Y<`=^=~+$EZpsgt*v(7kauSrwfA*hu{hwvUp~XFQ zQb}xIRIO{$xjDPK4Lfouf~&V=&z`AT zRk*Q9{)X$3Se0O8SR1ytlH-3LWz3G!vkyub$IGVLa~a19zWL_><+{~#t`>Xc)05}q z+8>s(wPJ{;cp^;9@KohkDL^PEg!q-Nr&>Yf*;F}^(D1tULACt|$$ zw(y_Atul$}PTD9OIuy6Vqirm-n8#*iy7TkwSI-IO_g4hYkBkG*4E! z*)Cr*!#0k%rXWc^bH->r-hNUc>?{P3jvYt*hDhYYlyu1=<-$-wl|MSFnTIDeG zYr#usbRW08y*BRXl`wU_FzV4lKqtx%GZHmBLSuI_ovV{~xs z^b!I{=p=*^AS7R&_x&rrbKaA4GWXuyo!PrPJ9mD+;W~BdR0+pv=D$DlcZ(^lTwnUT z`*@41sw-bpRu#V$;O^-nuBNUgeoae7RZT_hnyR|^L)Vvq?#`~__ne$P#8t%~-VwKU zeI{<`;{J*`5>|SaspizF#=!p(r&|C0U#SxMzcTprM$Wk=rN?_+xUg63!{Mv^*G_Q} zF1~qv<*N8>)T_6x1!lafTgo@DOBdWcZMbkham!oOm(jAFkfk5C&>w!#bWC0EC;D&o z)7%Dp%BuILJi7XIYRk&Xd`yZ)k2*J7+yJC>PTSi7^R--OFn@>~;h=FSrvtTr6FfTC zcR{rlko9SgWA>y%Sp(h4f?vKwHBp^{T^7?&{oQ_p=dAhpxWP-;-d@==?pZc|%=YQ6 ze4GalrEmc4BBa*HDL^gN-zJwAUN*Zi6iI+^i9Yi^bozpSd$?KNvoZ96v-Kh(-p{S$ z-3qk<^E;8>aVatuhWIRY@*7|N=6Bv6LHKH-c9@QV-C|@Xz+Cveqb{lb8~Jelu&Kw- zJi%ki4%4hze`PyW)>@|G@z&zd!z>N~|r1I(tt9_IrH1 z3;L~eO6$5oqiMFus7TbrI_XbyTgK-z$7^${w<=4o4l;tipSiR zpWZYz*U#waWb+B?`g=Y+9IUBESt*0Q|919vH@_$}K&Vl(if|LFeqdRvay&QETrxI( z`Zgn6BR`^Dn5LRG=t-`Bg7;_*Hwpw;QF zm4o0<_=(TYwkFool!8n=;QrwipJwP`LC-xx+PK$#BQmVaWWRkK`rXwVblzt_u{M7Y zKo@tyXKcMlRfHWB-@v;zrv`Xhn{pPKE=)LxuZwtG4rML>LgX$#H^QyOHVX! z-mHIYLAG8E3g#o94?eg$R-}FZZ zoJFIJLn2pJH2bE8UgWs^#NR`#XZXcc&=S6##{nGd-)-tYPYvjs=zCs$5B!t6ehrnf zp5e4j%@?zs)b0LpqL2s9m{vRTF=kYM(Ju3dEcumrilq`sz{hyN73Dth!Y&P)`S6e;tNGMDR}VgIN>BnVkxsmX4pGTfT* z;?{+)q8*oc-EUgHAQoWgEz^cdl>u~DJWtG9tMxwd&cfAa?x4V!eH-&mccnLzZcTqT za|4q!zFTv|DK?8d?_I{l?%N!LRvNLvA7~4k&aky@jaR?wyB5PU0-`E;?JiX<*&#CP zFJ*@{Jr`3aE+*|0wl@Ik2d~_qx$2c0hB8YOHvjpEw0n=(16@yWQQ@Boc+=C$61R4X zA$vK`B-OKH10p9AJ;}+5hg)iksrTDxFMeAz7E$Jdo#*`_({5EBLVIIq%u1F2q2b%9 z??Hv=y<~S-^H}ppX=)SSAG=xI&ARu;$97-6PKr^Sb2(aN;hy% z;Tv2h_z#@GTPp~`{$Se@vEAvHwznK~kIK@U-_~tF1W#J>!1te3uQ5d8zTMJ&^}(|o zHRNqg^iEjQ;~KvSi!|ViF4iAcS`_r}vN^fpY?XIve_ZKpdXtsM2>f|R)QGKh?fEbk z!9qk(yXJHDK*Y0c?A!~HX+U3z)d`ttL+~MEgK1m-OQ7J&$cxRZ>&JzU5ltTc$KOwW z_m;j*c3yuWbAR%F{$rc3^vv&+Q4U3w# zwcdi)e%kK7W4gKP%DbtcCyD(c&pRlfd)o@HNJR47bkuqOPq}y!_EG z0;~TDIrdZzTygVBzOM&7pnjWz>T8F1=;XD!M-YSO{+fv?ZdTfcj~pbE1@*s{MF<+b zwF;IfnoOEEXDyrT&ofnr7{k${ullVLcY8})D>&B+20G?v7B{NymK``iU%YjknV#X9 z`F^_!b^fhi_UOwWW4JhesEKvi-&ePqWUab(XBKB?pqiJ5wEL;?a?Or;S^wJPO*9n0 zbFLvJg^#y-MAguh{Z)Z1IJKs^E=re`#|6`ne?uwq@AUeT_^Spp4%cno+wfMn^f4L| z3!x=r9Y^ci!u40gs;cAe^q1Df3qLG!HQsjGzijgr8XvIRezvM~w9jnWclS`M_pszf=&0Tg%=a7`*txk3&}mp5G8jw>*kMG#V}Fw^8NhU|}%_ zlUk$WP`ky^h#=2hm&2XSU<16r5rEnxy0_(7yD{~dPeq15;r z%&J|-rI*rGs>n9vo$^RL@N?Wo84RZUgo2G`>qf7+-hG%fvqL9Z+F`t zr^6jI6?&ts{4OK|pLg3{Ht)Sv>_PBRSfP@7cH%hYp3U5qousaX@UfVR*@?jew&L6I zNki)iE9)Km7g|==`P%)$)KMqCBI4@xia~WrR_kPmlA6Fx(IKA5?xvFFF|)97fk@6Q z_nK-CbiTxvuLv*su1;W4c=A@(ZL9=N!9r|yY^r;wTJHONJ*?O&3}1E>>KvZ$D8#w< zZS%OLCNSr-th)V^WDtibS~OjN^7@y5F)&+f3jE_Yk(-EKlw`~(=_l|}( z2WyE;y@1G1K0#jyP-#HwIa^I=zN7hn+=>oS=I__F?_Umwlm944mtaz=6f* zP@-c(tytT}bYf5IHLJn^y%vBcq5``=D`IJRkKYT*P2LckbQ}!?DOa387H(u-)1q4y zB(5!pPhML0f4@6vtT(ac>>L?00j!mlgDuf&bLwE8#a5qjpNFBxXTk@QK+^_+k2`2~ zGV~A!;gyBWHC`cHW_#z|o1PmFxP>_CCnik(a`~hM*tvvViVWjx|2I@Le`a}+WLSuE z!?ppN9A?&wg!hz8y=`>m`wqo=$6{sZLn#I-N0N){&GK-1@sVxeCyeOJ8pa)a%pCE1 z6ngH=w7US97uUP+O$cY1IiZ=p#^JEGlP%Mjpsn0&n3y&``Lj^+_Y6b$ z$$f}%1{Hd9waU|FT#!!>k?z33H?fx={99(%^6_!#mv&rLHx8Ugdzh~6C{M=O4JTi+ z2a<4xe1Wqo!TgCkYc5#CrOC&m%HAo6-BPHs*jkuC^U8y9@Ig8idi4-G)D3!F z)xP#)RSr?tsgzQar=8v1a(PlTL(?^4GtCe(-`CKys*Wa=7f+%NOqBbJVz!4>mqz5* zAFlr4nJ^Un`1>&HWw>Mf3C}Vy><+D|f_AnmZn%dyIw`+{1Ke34mJR!b`XR|pW+(?- zpK`NdMwQ14<}@TM(;aHlC#s`2u(;2NA3L3zrI=@66;JZmtA(8kzfc$JOG1Y9n~^MU zK|xa1V+Y8{3cqip?~g4m3H5$1s35pyTczc>kpp2O)@=2RBO2WMoItlY8ziNV1O zY+jiWUePsx7*Feqay2UUDS+*unnb<{$H&`dX-mlIc6B3{V^cmdrNXnt>R|Vu>#6HM z*~g7CufcyT9LA*p^~`G$d8ii|?UcH5i}4^(T-PaY(A<%&*HlmKL0S3w5_hZGJ2Hj(#0=$&dlH=)$(vKvrK}sa4cO8S*YURD?O)xIV&PdC0dIB>b9!ynD?K#R z18kmV#&}teHugUEvAwtLKNaffAh-gn5>~TE!u92MacaYd7PBKft2Dz;>Wls0qT&Ln zoR+k~KlR^21iqCxG|DIH%jO{Da)F8FTr0(r^BxTKovOBg@1qTldh7fXrB9zTI#w4c zgbRE%c=5)s0yuB>e<0f=oD`>+P&-Y3q1m7=Q}wW`qZ1;t9#CqVYGa^xU<`i;eN4Ux zaSF4>Cw(vby-#sDUOQJ)rsVzVCc<-Ed0hEcuAj^C?UYrvHIeZBfItT+<%NI1O}wi- zoj6+Mxw_IF>g2HY+Bv3?}(Jw%TDTV(&m(%0`dAy>YxzS*L zq$kk+FMV>Rde)Pws7V=e|9gYnB6oSWg6$ zEefjtIB-6j8!LiC^7*Zdhjk?cmj-R-_`UYoHh#2H6*qN!I)+#dk>yN$EbJ)Fxoq{I zZK)w#Qq(uPCjZv4ei7p9T>ZXCkV+Rg)w|eiXYR@S^^9~&tY55htz~R4yZ;}(g)Bog z7K55ZXt+nG^xS@yJgn}$C*I*`*FcZh9r#T0r+)6o$eI@0XUBgcHqCUVLsGB*3>RG8 zSO5yjWj}N}7G4+vb>!mBgCu5F2K=hz|e=@f-ea=6l=b8|I?<2acdDinnOus7Eq;4+Fl&jR$ zzJXe-`sePl9S2QuuAf?Y#b_<_wkSQ;EVG*8iRG0P2(!P+z z6#Y@E@kb}_pj#V%l?-S=26p(b{l>ARPXyFettYgWYAURqz$QENU7JC*0TC+WCAzk!s()u)pt-1h*a}- z|6)MkXJ+rm788Awnr>+T#{5PDxZI;D=4fh!3={59r`Ky&7X8Rk%e-3YA;*llpB-K_ z7C7hgn9*RLg6E%xF_Q^mDTyN1AvIJpwxb@hm~tjpTRu49m7ZU?gKaCt{Txp0PO!4V zyr9)De*-qax)Sx>z%h}9PcKq87br$AcugE)&pk2QtuK8|L@}@K1n0ieBYfyr=Ssx( zOqBlcPE^R9O@u)p>hREmd=EmH zI;RIt9wbIfZQw_>GnV7U1gI>zEFEu^uWOv>)+CCNkpb6Bs!qbVclSD)KgdZ3^frjq zAg;{x-5YBzwO|NOfDBQaP&0wqu>^d0=%eejtCh`bI#Uh9P$ly2KYJ)pt$gWk!^obA zuRknx4F2BH=u&^@$v1Zt{&aje8PIk;XQr1J9LUeeWp2I|6)HDb9UK8V>iF#V>ZTmn z%?@)5?Un67e!s~wSUPaFak*^Xo#{LcRPFc;+wa)Y+pgA@5e`Ru(T=a!He=AIQSiB6 zlbTkKwiSSlR_q(Y#~uY<#DBVYx^VpqHWHOZYdVXom1YgKK^LYt{eacin4^AtSf5oq zQQPs!fien#UgUJ540hgjOj!~Wla<-;=r0c9> zu*dUHlqY=I{tFW6W&JXA6_J_qD;?nwCYXI!WS`Wkdt1ud7W zEg4RK^vgd#I0{|Y?6bZba{aflM{2?1g|P?w^50Z2VWda-mQ5K#%V@}*T;N7DjnXti zjAqV+sJ82OWMy&O8WnkfWAHF1t5sJ3{7sbO!tq$3!_I^&mysx|3w74?==F6|@(kbV z!%@hFf@tpgp25+@$l zDec=oBLkH-gBL;%7C$5r-?29aTPRJ!;GDblb4TADMlH0tSoHL7SDmPl#b-PbetHLw zSE{OkB!4}|k@S511X=M37kE8$Mg3j%_=ZDSOE6JK@lBpS9IHQZY) zwR5$m&A_r>6Aij3FHxW}Gc;v)HJV2IAyoEkVkp6QdpWZv_T-WG(D5m7;9^@6lowxzaQ51a1YL*XB{I~8cWKedM6m;ldqI;ENiyI`m37uqKBZm zU3r>24|2n^9aI7M;|i;4TRWZHv+}!68-)<*ftA}1cLi3YT6QstS*u?fegjpmdT>~e zEjI+!fea6`Dqmd{z&Zcx>a`r4@U8shdU~IIGxWI@qv=vRMK(bWUMuHWXq`!FdeF)P z)&_)(RUZ*|Z3d?lQtgz=EJvw_8eKfZkle+~DX$BEgooq?3l9Q&DYVW*<85eq=S2N5 z6eq4_armX|;F(|d=E6|_&w^T73cm+}3kh0P^B2h~JKF8LhEl^N%)Th|Dix=Edf)7J z6*nT7h2ZUN%4-PKiAEnv2v)w9uH{_&{rbrN&6w*?*|FsrpW)hqAj?o~r6jP!j>?n6 znrc+-dReq|O9tIGem#7WFc_-DUSq3kLVvk_0rKfrNZV!+GRv%Ky{{2aXlm=#6}%{< zN(pZ+6QP(Uur1@+7+69a2jSFs-Pa%O@tCb^nhf$c5zzOxg{@RnaA+{`q8Ju0!LN9J(bWXIp;2jAEn0qc{w1hlyP zE2{kd114zb`y4(n9P?jkU9*hrhC&bx& zjyw$Mp?JE12uEeoY?x+W1}7Jj13!4Qpp~K_w*?@78uBi)d;D^IIdkdB@EAC9FX*C# z^AiO zNUwd``SddR&}FC!>k}6oRXcz}fa_R97hd$9qUia=L~8Zb ziH0>+ypZ0Wc9#^BJo#|xYm{$qs97DN>q5Pi2TUU9PSM9VJJgalZ;?2Kf9E>2-yEH7 zi@=81MW&Ztw6>hqEg<2I>gLk6!>3;?EbY+>4>*aD9yuDx{zy_tv5SXm1-Vmaw9%P>_&3;&+Q_Y&{XacvCyk@EbOom)1g zEGop1uoR;!2|GtgBi(@W^zaBRrC-E9p-M%V`~GUv?riaJKHKd%zkLUU z*N(rq4th4U@-u$Lc!$)Gvg<`rj%>S;1D8AmvwxIo9p|Sv`My9_TLmXU%z*V#euhyD zTVVYPj;oZo)?CI024VLG9kwrHiDPn05*f<;Mj>$ZzrCq(3(qN^^;GZH5OrH5N`vsB za#!a=AbS2l%Pl$O-q#H66o%#3y#cu;15^92_XQt#iuI+|K7Z6Hr2Vfi;_2^?tIcwz z@S&y4I$kA9=kL=6BH_9Z>KgAis_qS)Y*wqM>>n8lnqHHxy^@r#Qhq>fF%i8lUT^m~ zzol<0@@S+6Tpk9j{vrIyLqYnsiW7nCqFn3 zDr6_eo`kM7XSCsh8eS(}&Ca^4jpmzoW<7BJ_3&(VA+i5X5?H1+k~IilO z#)e9Q(+S}P!J1uHXI|_fwGdkyOHxI)C}h`M?gjACKlnaVZjWRYQ_jZAvXe7NPFMyn zA$+GT4{I4i@BH9%z@5{{s9+!4lmo=0G-vRY@QD( zV8c+`{O&uIq}#~Niv$%m{KE+h7yXNGr%l?*G5ZVOI$y;v<^!9hZ^0@w@thIm+cX_Q z(|W}%Bv`?I8&KO7O@bm@lwc{W2wSQGwlIOYyl9>|9)cwsbZPLe_#dJv0l-mM%Sx6* z;#IP_tfRonH2cGM^&U|SV=7t(U1N^X*RR@w-(M-2dRVnxnp$C zoGS-gP`$Cs0pq{Kc;R(}fGFm2rRH!Z`eFqA3Vb{7O5nn=U~Z5D60bm0BJAGyOw-3g z_(2dPUWsNy0LPIUkyV%b->WSVJ}UP2!1)P5>@Ajcb7%UyDdyS!OI100Y$4tPgO@;F zeRkhE!(JVzzo;rUxWBmlz4OrGV%FOrEk$n+nib(r5KOF5sq00RCZ^nX%rNW=H$xUC z=2U1*9l!#(1D_!&LKhl2@>JL~iH%Q*cl_@(wWga7>^P_^UN?A;#z#=}7o1TeTeq{f z$vZjaJW|=)G!b!H94qm-p?GqemjFvVzD?jT0^<8z1CJM3TOLr&u$eI=1!R@?O>efn zrJ#RB1u%Dzl<-&tE5jTKk)=5jgp8>LSX_pRU>=eNYazVqNi0a5De$^&c*YBM#&l;{ zBbihxN$7g9sJE8`{N*LBC=a{q5@jAV7P%pesBJEUbkc1U{qmqJnB@p+d z@2b!+|I~85M3TP8W#`7OHY6rFs#bMk{gX4MpI42rL;IWJU2OE1xq<6#EUaC_7onrC ztO<<gok0k6e zA(0KOg)QW#e)$q4)%xmoI~yYJru7Pn+?Ij~I3*fT z=dfjdO^g)BT!acifEB7$@#>y3-blxcC}6-m607(SfAKWD15heUND`(^VBu&{zy|Rt zL&;{>1#=ycRbTkmtEzmh-U$Mbf48J*ngj#4sO>ATI$xvr5}3EXoojKHw_ss};*u>M z!ZaJ=2C%B;9^8%)#0DMXUzlbs6oC|3MlmdaQWF|4tsX7?cC$`eik;%o@lkl`{pZEp z&+AbBr+z{@+N|hX6Az3sj;bbe;mDePle1~@HGwI`}dn$*^bL_g0&H_xgU>$h!J+x;%0MW*HDhj|MnD0^`O5Sb?bOsCC+?zmRwUZ z{Bt`-IG2+l`^(FKJlJFW?1rwDDa>Htvmz1gl4}xPC}P9k zr_OL;evraMwm&w!QzkL)P(`qjY#-&X9AsiG`EW_B5F6m6?0{%-%l*Q*d8M{%Ct%*@ zNU5Ih$g06-e(MqG$V9307gnG!hpHIEcb{@^n_DI6IfK`9+7ZgxlmF}qFZ6NXZvy|5 zY|yWF>oFY*5w0k_6iuhck|+47Afa|WyN=awxvG%KCa{tVkRw%v6%|Q(x6cd9xGT7i zOH8L^KL9Su#@gW&ui7Vgn0+71Z`jhOV0?2GX0yAe8;-yGcIw4>+%o4E7+m>qXcEOR zpzdQcqevY{b*semDWGGq5}!uw4N~Qs`~X(M@|3Z?J-? zib|9BQqLjPr|k8xEpjkjf`Sp1h85iF5iH?q=oH2ED`aDiA3LentlougcWT{^|dZN9#CBD z5k!JzXkI7FIiv)Q3`6F0k+Xv_W1fz@i1{$>ncdV!H%8RAX9G zCEyjlwhGm}3j%C%+wo!q0rr-Mb?^{%DMSRRg$=EY#R_V=~ALtQO%s}y*?)jU= zDF)6hvlNJq^Qz{vT#09`!H1yYSbOE6fTNXu%MwVR>#vymwTjjCjyHPx6 z|LlcuS)8YRzd^~#*U96={SpnQZVK755aDMbH+I0dC!u+YIa*9*)*U40NbuIrhzK8J z<-fjQ=hFxXb%zrnow5jHsA7y~zX z0Z66~_MEk36XPN^DP$L_e+H#B#%O@6PZ5KjTK7EJwYF)O*FVpb;Cj#x=^T&w`&F<< zUAm`1KZT(678ulPA}Z5Uk(2RRS|KI`v9oph0mPQm|7^kW_Or{M0-Atezm)KZC1lEs z+QXx(DN7;9dYnx=xjkh@S}NqLv<+f;Z6abRKgC{7DkNF@qAV#AOw+H?ncj)L5mh6=NBsV_R#pyVnmcy4LOtV|KiGPgb`a*Jir=muAEIK7Z z1`{<)P45@UH3JqK13G$Cx`Xw__~nWQbOb}h0bNinLsYeVV3Nwb15Ldopu|o z>w~83I%tJ?mq%dxY=vllZvPgIk-%CY_;<*QMz}(A>;88RnyD4SG21R?B&k}FXpW60 zKEnC2>&Pk3)9xLBnnNd-fxZVrfHf8n9bE;B|%*GguG$}XG_cY#`NmsH%u)jqxSZ) z`{=P#uN39OW#WZy%$P+lpCud7+EmGVJUNh;o)n)t<{(=;A;+rAifAPqT%JidtQDX; zk50mz*Gm8b_y0)ZJ%haRtCNK|Ui-v0E!wEGBIKGjNt$P1LIgZdZo&o8`&ZkL_DV_{ zD=(kmStr|dPg$}<9o_sqh6q?OUhfhKP_f~Y&=y~x3)a6cnhB&EdL!9AeHC4;P=VGS z+vJZL#-CiB1|Vl?ZUh(Z;ufvekgaIwFxSQN?8-=M5Vxux4qUAJx{6p%k6h?tYc59l4AAI)p7aK``o(uDa zlmrbT1h+1S&|cOmeo-DwS;}+Ua8hb;3?SE${CQ?=3Gn*P>)fG7!@GclMo8`M00uk0SZ412Q1f*Zb)b*b(aut+%a+sxdjnHE;) zd4+0oMUBKcJNE9T)idxDAFZG>^vz5j&flMx`LyG1}kmG=s$p4`z z5}E`%vUS>Vyn}Z9MaEP?EPxLKN1~Ns2*O80sylZ4L9;O5Xy12iG)d!`&%^fNG4G_M z1?6Xub&qOz{scXd^pR)AXaj(vVA`AIlfRL<8k^sjrRXs}@4eDqll_8G390-5ps{(gsn{=okLdH8b%vPgi(nCm|lK?lpmf#ij`_IrVe2lmkH`@(Ak|2tmykpDCQGS5=3sx(Y9F8 zj?}WpD%-|;YB?lVWFc2@s$|kk_vJqx&lXDFY4b+dDSQ#-84Q!+ujQiJ5#W2r5cyH# zc`NK{wfQj3BtEHZ{9_vkP)R0@$r##V-H#RH4kf(ILM@^roS5~G^*7Nx>R@L-p#O$obwsEI859{L%_P98-u?1L|79RVK6 zvM9@&KFjEaIV6V^7^yMF4lTK7jF$PZAu&HbJ>P_moh&bv?+Fl^5Wk|jPaWM}YATDe zy+`F>OYBfd)uZG=rDzWb{u~H(ro#@dl@i?mjPzlI13}y9FQ(*W?M1jDvPC9)j?lx3 zcnB=&r5y>+c#>KqW{PhaQ`fQDX*6>#`UjH35}M+VbZ+_=@i6$Dzp9?LlQu$T+Nqc{MjU_b2gXC-;&7H!Hsr>28(`kK#%FGSZJZ0Wf4yuWM406hUtlA9ZDS$I z$eNI3&zWBLLC-Y!+Wr5M?a^%b+V$C-&XiHwjdZF&4_fiC9Fl9b)8A*6{w@Rm0vPXW zw5*4?K>m)xU8ONo_;gYLGEcK*tXDMl>qSf!X&g!J>k&oUGoyiENhH+;+hgl&NDV@% zD8aC-=ttBeEI5uT$Aw9yk?f@zB7|vHgbY@WpDsi|8&eIi;}-|3((d8dP>fsDx*z0= z=o{2ZtXw>ajAA?ja`E*h%4O>~STdPRm^wks_-}$#w%5 z-LJk=^KD$9cO)vH_LcK-S^bU(t5n%yel<|m@T{@R zmQ2VL@a2~K$LI-t6pr9~AlyG6#tOj^;v(t*x#=A^;UxdwrvN$!p~WBQES^{0x%)qx%#3fZ3`^U6}%d%u(oH1c(I{%LzT-9za5~;s5;C zhgp#K7yfThA1kGTmiM?x#sxk>#WcwB$PkVx6`a&hL{p`TeQ>6jsqf&6;x5p zw7I%bCM#-!!cN!W;VZ0Gcyi|_Wv}`XfVZz3DAG-zh+q`#${@Oa`+em7YjyE zBjZTDxXdR5@BizSEL0fHY>ebUgP3=las_u^c2UZ_)3J5_xk3wkA` zCtfa!VCns+mExbf!+1#TVZM6E8A2C_b@|Uru~ZXL2(X#K9kV6%b}q!85H}$ZPx3>K zd<3NL`>tkI=d*dSj49>F>J7MA9Rmn1YO!|a??*AeW*a(HRD#WGctIbp0S~TSo|EANI((D)JTcdpv#K zTeHcsP9-^td|7R3#`fjaD*D^f3i8ABfJA2_z}S78?{vvuO6uzsaRW&eEqA@T+#1;# zJpJ-sp+O>cWA~!#F~zT|Z2^FL}}*dec5!IYe!V&PC56 zi4qVoBumt`xZWu3ihF|3YQ&+dS=piJ_2sLl>W3DrD6=T_%vHWB`@(^vY^~t@rJEQH zzvqjQ_ajzMWLo^5a^;;FBV$rLqtxZ!n^J?5Xda}19pJp$kPzA>wiwrgi8A&m&ga}5%0Q8 z{Us9^*O!a~pED_0TS@(>+Ins3NA4WHbDTMKrx^FB(&vCJfA?n3WFPz^tI`_s(69GQHl8)y z_9#)v{x(h!iTJy5Wbt%duF~UMYL0j-la2q}W^=RCEf~Z*81(qvb>(AOrHU^3f|I|> z$BjJrha0m>u*`pIHT%lyQ&$1ngor`IDH>R7>|c_+6|5@0Wq7a7b?@FM7$PF}%g^3pL& zo3@Rvw{S8@iq*tPGR+dzSFzbB3G*W?azHyp)+js#7FIEN<=E}K%M24}lWs_AUl{G# zzl*e5WR;b#e-gm@A}0Bgg#a^d*;B((3T05#9ju^7xse~VwJO_}&pCH!hHD z(_m353bgsSW|n%Z#1YKEpd?^~I42vjG(nm!#;!Kn zjGlHri*}&uU=hsxlB2~0c*0rf*?qv;av!J;yrxCULZaoev$!q^QRA?ykcEj{bL!8^ zm+Z|K-Y5#~#AOM7UrS1?$@zQQ2p6`hj=8y6E8vsN;>~5`6IA?N;Nt*X-0kQ{CBJ7> zR@O_@@gDUNw(GtpM67+S2@Abw;b|9A)N_kji^06D{C%zP!euywI*C0IzMQKs+b+K1pc68`dZX=F2HfmBX5q-D_;>nu6?175 zF?UG10MH0Q{9Ll!PB#PJ7LwpJBhg#Nsd*3a8h^~~4Ph_ab~ z*qEYcc^>X?abPtQA^@);c~uv_`<)HXni+f_SaqrpLN_87-$w$S7YW$!azzmj;= zvRim6Kcu!7?!(=Shsj{#^G?wc{xm&YK9I=~x?<4*FX8!s!N$PE>)EV-MVa`?XK}EQ zt!Xzb{rGjNn068)cggZ6@!jnr$y=p7m7jL{W3Ibxz10@I?}jm=0=Q>tjv`SI37Aj1 z8w2-cpx?i!{UK5;mAOClNVEj4o0XaInPL+YeARUP+2=f+{zys17r5AV1~7OnU?JIY z1NO^Vta8porDm&?Lem`%9-XlVb4HD}RIOp;cHA-7UiH=6efxD|!$s*jmYI5&?F+&t z9tt4%1|RATmR%qfAuVNLlGWc)X9hyN2RGu1`4;rF(I!-0Cf4=UlMMw;!HbR>?sR8W zu6Vx%jdp!oHaAVtvF5RuJ?f|ZAi2L9Wb9ym&0A5dk?Lq$W9r`NK^O&W>i*Tld9`V0 zxSg}b(Dvmy0L?_yU-a60`om<6_S&i*v3)zyKz{YNp%pFcX!T!Sugq1lwW3sI?30VO~sBsL_4 z=JDkQ{4&9yF)lE}dv)y@_aUk4rtZRlby?by3zJ^>%e?wM`!LEzajLi=HZ}y>L#cTi zvB!eXRlsxek?k(Tn&viOv{D!qzMY*xM$|7@a{iUW=hL^QN}nZX;FflC6*yFiPDa$u ze>5A84^%9mKa-J)X_B6N>v;`Tn&MUW_l|o&Jt>cSCUljZz+*acP80hFaVxcHZ`c*< zAmr(fD5}mXrFYo)y(fQnb<4hu6mOfxVFJ`0Km0y?U7Mw^zTU8;5KS4X`Tkze;bZDN z?qBTe-CqvV2CR9%ZgdNms?dth%T-0|vr7Yb2J0Txl80)NlgyAag`rL32A`_e9XrlC zAG7exgvO=ENTIe>>^2+FdlP1rv8p=M{Dhpk%gW5s>5{*K&*G*bVUEA_Gslh!YoReA zx@I7Qzs2k?+!(m7DnSn-+(ubS(rP}PORmkazEBIlZ?iGoB&!wko$jo0Re%7W*uAii zdHFs6{fipS^wl`;uC>0vvu^6BFVnUk{^hH9tiXdODCyfUN}CWtvSWp5>v5Zk&5szu z?ya8D1wL6)8cJ8Hw3d~^-Ond9bZ8MX8GkeAf#3YcO(6=QY)#uMBl;}E?G0U8x9$Wc zZsHeTjSQdLb+bBnb_1Bha2K{0LOW97Zv}mrkQ>dUb_AFw~ybq=O@jv>1Nh;Q~gIG!InizfcKkE!72FZ#3jHVm{;r1 zLiO>y0NR}*iL-8v_GUZpypB~HTQhJGvn78A*Uz4qs?3_R_WQHgi}bY4CzO80Y}F)` zK54*gE8{4$f90gEYZ4?6jQB8|N^gaOlj+tqt0>*^mlvk;1@JHQ{63D2t5$#gnVhhS z3UGRRd`5{y&`bCK)%4xbZ2#Z??@pam2em0`OIvEss$F}PAX-#yViVC)v}WxZ5u^6r zJE^_*Cd7ytGeJmtHeaLlK z&;R|HDO0Khx?UikYLz}LY#WKOPwPs_;&^%0f_#};`J&eTVjBg}mk&u){6lY|_=4I| z7r-|SOfK%7YPr+>UC6zCEWzIbw0Z+bb))fPKbKc_;`p|<7Smbdo~;SFLo)q{=W~|} zVM9yJYCnf2pHliM!(EZ~7~Pb~FEfTLJV-?cTE3bT##55hW^KdN{b*^^)4Tf`ut2Hxmfd%WQG2gio%6q|9k1#o zOEjW%15zqz)V3KMZg^$z+^QWK$LlT(+$v%Xd)M$VAVb;?qoHa0Bu)kDI_Hn{ zJ5_gbCR1ZN7*a!SG-n7yV#UxOo)zXQ_9~EKRMclLH=pqAatgE%^Wz62C`L4{yzG`7 zNCy*lYlog}OAy0)^qm5_78RSjh#k%_t_ej(o-Z?x)qN&X&9PT8C3MbPYQ7oc0Z~U$ z{PR7Lvi&pO8FA)((v`e_O!betffB~j>h_hBA6`y6sCwmI%}KbZYn?b|ekm%O8mx~^ z^ziQveVO~kuF^jv+0s^Hs@@{(Ou+4)`+Q8FK;co!9|QWwGe;$G35T}=(p8Hir7!1Y z2GS*f27`&1#pI?!piNE0`eY;BW4rGjZIoHC`yb2;KP7bO3l`Y^tNwzxF*-LkF_Q8U zWele@2(|l-TADU!RBw6#UBfGNGdG8X55(SaaNfeYPlild2qA(Td!xk5Z_h7$P{}kk zjn55C->(0s8zkb>QrM)0lJI)*)?JZ*e$N1XfqmOb6y*@FWA)P2@!Qy50P^t zaXmE~-DNRBGChiM%_cBVMcf`xqH?0&p)d%-%yoJ%@WS<{tebv6)1h}*ds-<7eB46x z2&7~(Wm0pt-7sjScQ~`c#TAaKKU7K;Xo=m|moC^51zNu;=>KBQkqy>58lP|UqVc@B zIQG8od-)nX3!1t4dX4gj$gh8x$l&kgoUqjpvq?u?jo{l^h9U>v2oZ}~vkSiNC*JDe z?=qZT=Mfb{42o_)^O%j5D1l^6IEy64hezyy9uSodEh!^%Zv|{`CrZ6sY~T%;vKG?X zwY_w&xu`1q7xKQ&s;0J-IoRnCx_VLZ?wWqSS)fgksbNWfVgwb}b_n`om#DOZ*M(;} zXsy)VASO=Z@ymBdPgHJ1|K8nDV8 zaSIXVI=b41CTeF@m}lK^N@me4uC>snpoVE1>z)$b_*)^ijP}^Geaz~0`HrfwBfG|_ zkNVjQxryH-<(t6AYypJBMBNj(z!vS-;|rv;z#OxW`f(iR4QJzCM!l<%jPS;VU3M$h z^_MLlj6%kHf%ovAx!=BiwD3VA)=ze;>y2jHi5EG<{to;T;&jV7{Rq9z;S1QSf3^6X zF`;&>>4mEt12#^UN#(ctW1S7JIJ!+DuA4l)pvcst59e^pS!|*~`b|d_r(5L2*@ca| zZ+@VNlQ&5*x%x0!QpTaAJv_&1*6a8yxxB_kq{z99w?w)U?lkXP;qI#};~;~UVJXgI zx$}HhtiX*$7P7SV)aVAUdQjMpem6=hmhOhO35OMoD4#I@q==F_J9}M4%0^wC2aM0z zcDQ>*0p+Z)E&BO`XojEx-Gf!U*b z$t#1ZULF|{yiB;YAa|osV2*-;R5>OV-I&+z!3#p_q6^2^9IOij7skK;2`y0ztwtT> zH%VA*Y)&oDFHvk~A1V|bZ%{hwVcD{!l>HgNHMs=|;=B$qTdrR7>vgSEV1-o*gL0@_ z-5c5SvWQP9egE&dzXfv&bHf4Wg~{cv>$2Lp)88BE#hJ>{=q(S;>9B@Cs^4Q*F~gIc93`Ii z-e|`V`J^W=hrd=qHusOhq*qLAm<|qwi4YJRVuo52MJ1S-KKA^iIBTdy@!TS-xS5hB z?p6kp>-eVdd;wldco5LblM7;UM*28Yt}?X)*N{e%hF3ea>*-EoH%Sgbw2mP zx?|IkOYbeada3%TVeXcwHtFTAi!Bj5_v{GG#vF-wrbzmQ{4(bvr{Yx4vB*;Z{!gcJ zB>IwsjZf!WECf?bAE=4swf?8Zz+?=!wy*!{QQhc(n+6lz!*X7Hxdd1@eo}1qfj^zs zoUN{CvhM(oqGCwnB`WPhwRlYpuK94>iQGk$@}`?)0&LF6b0VNg*=u%UZL`wPJyE*S zQ3{A>H$oRvc;Xt~zY&lC3fk24X{K<}E&E(7bxObO6QmEY>Mt|!%#wBVbc%dtGlqy; zm{319EZX^To#J1wiF#gT@3r2@U<&dwNYp}f|HeW^>SU)kUVLG{LU?QXF>u6}dO;@i z1P0N@TsaKw!l_)kZs1zo*tf3Ap76cb2dq;IGmxv=U?*Sp^NGOzy&vDeXRoTZFnCPv zSWpZf14-FvHk-Z94~`%3cAs5<5t$nf8BS!)+fTBjx?8rCn$OZ!Mp35%w#g_`b>BtJ z3GCebY42?bQ-H8voI3KeoBCHz=dPXwmZX(GbtXsL)CH@oRaW z&^$`NrBoGs+9y7KOnR}W9`^Yq!z_C;z(54SDznA@S^B2+=On!M>ZLn_b{dDi zcSJ)jYW%h5sI&l@*?J>&6XO<MlKg!ce4(CT(_QZ@U>ShY2OV42aW0wX7Uil|86UghY!_or2B&;^1jPvyMBjPP& ze~`u;OA-2$HbK`}T7_M0J&_JAr5CN3u-i2REb9NWt zhnEMAI;UB|Ee{;JlQweBeP$7I0O~kBbA20MXpDIyXGBh*Yp9PP=56`#nEx5`@rD8E z`hvS;<1#J8XtQeD)l%Q)Nq8qVL!s&nuSsehiGHwi?6S?d!CW>+i&bHnmuA5Y{h0n_ z<&IhWEG^%Z$CXX|zHMA;@3o3+G8?NgMeh+k8wIzq*nB$>Bf!d{OGnQZ%_C~%R_8Rb zZp1StR2HN-c6umA`fMhbH*Y((mjprc+;PN58%UeqDRH4267Uz; z)(nV=2x+VVNUp+~`z4G>^!|0)To!dvqf?|*jb;i4yK)H(klQNPOOY_no>Fn!d+B4seR#oT$v_34%~;zrD8z3q|iK;?@wIYOuF$b#$W zztwM2ZFb5-Nji*HtHY;uJ<4?ZL);^z0aL0WX_>;4PK1&T?k*|KU#HkRGRU7L2IizF z)IE}Zok{UG2Whu!SZy~CRf&Sf^$^m0w$%gq>BWFYT=BPyzekq-Iq7;p6GZ5PtnazY!vI5wRv(xq3)j+$mDr|_HADmla_}WgH4S-svdZV z{6fcY&WPw3r*G77U1JMX^IzHhbwmc#fDxLb#Tv3g%z4-DDG)Q0C<6vHY7{AyRViQi z)Db#QAgRRLknn(e=-DuwL95<3wteen=^i{iPxK`g+b%=P&~|S{{%hk|o*)g~h}-aw zc#{B)K`*Ox|II1Hj)bbA0#F4eCJ-fk{$4r?<7caLIS^I5jSab6BW7CaQ0``{PbDev zgf@kX>}iOmzwQ7sp?#9UOgcF(Qi`tMqm2EF1&#SrVZ}cH$UTIK7D5X+A@WW-d`{I+ z>wmvCyLi`NXJ-2y=03P>?a}wSSi1|DuMiMbbSx02rw4v?qvBu&@8yfk@wjHPMgb8*O)569fQ`Hhl1lBu%Z}`?8s~Ci7_t*`W|Y$4r0Q@#n)bM#TVx&@l^{a)m_ zO){W)s=nkLnea6@oSn<~LMe5hq2y_>Sf)~%2%>PG?@j2hlYBO?U2Mc6znf~vNt(tR zTc{2a`#1K)nLfgi^fYz?_}e7HA=bqGi~eg^Qq*o&i-Rt=2KCR}RN8C7m4E($H1=1y z5rlc4nz=;558QyvNTJ@rldki!rth9Q^89aPd#kp7cz9MT*floyXR3e&f7V!ItvE z6Wsu%t(#iTZ*I*_F_8Z82E8z3i2s0#PM$U7*;2Nk4$pb>7s_qJnhoK#*XX86vDm(o zdiPeI{ALmVvr6F!&DKF%x|1H4V2C%`o4?2n)X$vzW+l-Trzt`TT9;0p*U$c5H}RB>S_tT=Cxdzf>wie5`UWTiUxp zsCV@hPLf{t3mYDoYJ11LQ-YU@+)2OtaE72H0H<_K+0u}w7_4aC60wj}0ty^dyNy(f z8tRIxvPP!!uEv18$m4QXQ*Cuf1@j+#=BAk@5duT&OwEEO6YxacH(*P~yurx6-CvdE zTI>t?O6GG650$t)WWb5h=~J6|8@a#!2o4QB+@5leNh_FeGUV2ZQdSNABFrTH>|d%* zc1LYi3QyZXYmcIX=-hU7_B>=YHiGKj)WbWG?;kDyr8;h#b>iYn;}HFNJ0PN?=|Q$& zn~Ty)q|^JGpb&bG?cAEpC;C@>!3F%2&j;`9a0gv?V1BFY9ooRcWgDfTHK@ZHZAve# z+H~|OQTLCBMvK(v>1@Z@2Te7%!i;@fOy@q!cU7i>KeV{&z-uE1sv!qQ4c=Kux_N~! zC8479iNY!z2&&@ExTmHFKYKMA3W2POC5cz(XA%`l|e4{iDDdj zYO3UF%ASYtAmREPt4mXnd(fYnKRf#lsrGx(_a*DxGK_tc&^|~SE+t!1U#W7DMwU)> zO*{UbCi{Oj+-HvKrus6I4~9191*8kKK+3^si&b=hXGEcbY@Oxd0G>n|I(8e9$IGa; z>ylX8zXb$}j~ap8g^ak|UG)6-^w8JU0}i`OJ15`VbRVh& zs=H{i4;kUp_NjijNw^}U9u4iUAE(Ku0oKLQMI{?nK10bJ;!?wBPL4yp_%xw#e1p`6 zWQ}-UkJ}LMkPR-)d3rtV&9HcrSm>HKx^Ph3ARYhdxbq$$3lK3ZYVW2A+n4}nEr*Mt z^9RK>()HW|Ukpu?KLblk1Qgk&UAoaZtgaxPs$QlD(Tuq4hO`a&(V6r$;sG z`0T(G3Yb zSFE+hnSJ!@Z^|_Yq-{6ASl8*UXmdy2KfIIbfI7Ru%Gvdy$HVt2Ma8a299}U7m9bj& zhgwa6ZVs|a(#08`|GD)bXYPq`oZVS@;-t|2Bo*t)n}|Uh-D*2vGBB06vf!Qh&A*I6 z%PYom`re}y{dFadd8sb9TgQ z)N#Wafe(A@5L?)b7=~-NlTHcjtvs>OD#Ijv8sx52EX4d0^#;(3T)HD7b{x62$b-AY zz%Ad$Dyt7Cgkl_MRqI>NoK^Sq)p3?Sw%F$MTWO?UdTZ6~mF@$w7I#F{&~j7!2>@6? z+#^-ylCfJgH~zE-ew@?ORo)Qa=vh?V0{+4uNO8#$SsYYkxQ2(}1S#2G7S+qrKXEL5 zR3c*Nn54wM?#Hqq7S;5;vHLH4@NyqQT?F-a&Wh!ga50}%p+BW(mf~0Eki*zst!(Ak z0NnvnP0f<7Jd^Y+pqB-s4p@Sirrn<=m*1m4*Ki~&*4nG?arTzrV((#Q)QN*Fi<4{P z{}dQ;g23|SGo}u4kM!}YkKf~zaBiwmaY_6KW7lKN*+3G`p|=>)D1N%($;_tGsHSuE zmf&M=<)GQ-17ZQ>g7>)2GY>@PvzgMPX-UhHv11x1zdgv-ty;@b>$9=@4)6*wz+q5I zYlv*p#6}vKhB}?<$x}DnYE0|xjiE{)@&$_0g7VC^`@eTMuyM8gqWZ1NX8qW0;@q`s zp|@(pQ@!si(UL#Or*W-00uDC#J8SU@KCK~&$gz!BGC3hy)hOUVFI6j|Yk z$RIzLGAL);+W8;eZ&fWk4)`Ak)i|=PJ4XSw2#pki?opN0rf~oz!)X>1qfO`o(>rCv zTjAUy)NTmOh(oIok6Bo)r}tiu(i58u)xTWMqc@EP*_@m4ck5;dYQLfnUfc>^^*4e7j!^x5Ohz41VGXcvtNmxDHp|wB6ihr7|?q z^s9-WzcAPrl*bDA3tm^}kCpuUj*`L0=_dMFFc?m7)c}%2%s}Swm6P;Da!Yah{_gIj zGp|=79~IO2q&vKCaJ>{u`-FGRebf|$2tvV1QK={yfjD-cpu}N>pr3%0I@Eevid^Sa z2|EWDSyh)$710LGvBgPi?lKi(vlaHN@}@nRR;VsUe3B_W|Lnh3by|2Qn+ug`I*{Nj z&pFJ?NjtIkmB@0|s~yZ4fKLRRo=N*l^nU8z{4LqOg*2v+C3zy`Dg0XCM!ZLs+Z%58 z%KW%;p}BDAG4kKAjRf){Hwf-81sZJ~HhC^`X0h{s#On@x7l)4&09Ul9XV*9C3?xpI z&xFmr>x1C7aXtQ4@E_pk4h3)@BE9KP%5m>6j3;dY-{AmvD6sT@#D*8-HIX$==U$&E zWU*Hc(>Nh4>+nhYFmWxzQ{PrX125*e`8LV?;^e)u_}rH|421dAmg1@+J?N6pW)O;R zrHw@fVC5gT9;5(6jXJ>-s;(l<#~g{{6&!fEPYu0hj$L`-n^oXUQw&a$iK9c9g3QWC0!u?p(Fuqw9Ote0O{6E6mZk+e;#z^sOj#_};3F zfEVTAh?2zNA@sJFz)U8pg}2mCq5KAd;mFn5o~V9^h-@iR-HQ%x{#=jg3^WEyAk3@aiNC;a9aLA!5c3`T;grd*M|sgEBMNTU5|iEN=)+da#&7>U8SisVBBSLE+03NWlsF~p-qXIXYXn)gZv zk<`DZCwTy35-0k9F04nZoh`MQqoWG@))wLmUHMIqULW{06rN@;o_lBWUs67``2z|& zS8gEv3>QyZlzbxtQ9Ik`d7IdwYyXi!X6ofd{okKjS&nnYuwz=xcEo~W5MuRgvHXKnD~#gr$B&FI2E%Qks=afAjGP_a;0LuG~%^4>aRgOWkqv?ad;PG$va z+RI4#YkUjyxkKsXM0>ReYXdM!pgLf2?W3+^XyBkZV z{o+pfs%Wotonpw1+IuB%LmoQP=$cwi3Hxf(_QLgAv=CqtD` zI>8}{!w)FJQ(VqvI{+~8yB*L|p`5*wh+9sYw(D6=(gdFdH&-TDRjr*>O#nLH*lW_R zA)DBi^^vt!Q&nix0Y|G$!m7BWTX5ih5(i|n+hj&$B^A{D=%LrJ%R?`(eAOZ=IzJ%uw{%3#D*o2R)o1sKX`_=Vzv zM*LF+rRSr(;wn$yy}%YJuY%Zi^QqE%i&<#bLTdFZ29@`exMjjW||&&Jy-(!{XGT2D+hd)Jw<;E=8iaQ>H{Uxp%5E^ zZDyK1?JouX@QgoOb8*#Wk;=|1C9$NB5E!QC1{|A=E@ny(k1J!I%H!QT6gvFSJb*bW!r{YX@y9yr8#g-I zQKMzpgflv1`QFD#sy-vfm3ZhRKH)6-_;D9(G3t#t@z&hF`<#unm^$|1RgBktgiTru zJ9?T9J_eQS)nV~dr9_@y3N%qVz^l~1pHLHD4Kqx!rPGBDmU_!m`(4a|rss}evcOUA_S$eUG=2lkaAH(R zI%=U_0sQZPKNHbUCaKkS_u9+@an0iqmhI5S;+8?qbmPtjolr50h0E#K)cFJkJK8CL zPvl813)fdN(^a0Eas|~0Q<&@BwU#U~Sf+xxJ_&m^x8i;4iRNrjbXPe+@qK+rI{-T@Tu4D{}C5k;~0(Ypxz`w}8FJu0$ z!J!!v`zuMil;8Z1>|x8F1<8*7##l*xFl_yPhdOzEv%4N;3v&YW{^U>Ebsr&BnIZ-o zT=~Ml>Ha*wvgzb#bp1BR#wu@of7fJpa{axvzLo7z9AG~gjbbaEib3(l;-iN5#)+(@ zBW~r`$YVSy2h_Sxr#7iCi@T3~09$9d5&(CAnEZZ`Z6tF^=I7i${uh$W&*ev|c};7U zBI(x#Q&dDkOW{=w__#if*7&F_9}ZgO7KAmcLP?kr-Cv|)n(t93A4nGOq-RDI!;nPGVbZT|2ocT z*7Fj{p)6Tu3D|9pOLN}nITn+(nLkTS_GB*QGOWRqF$23AN)QEx60IExQ*ub*+hToP z@*nr)FbClQ%xlv*mC#-pue;M_h3A23(WEy>hvoB%q&bzTkm8nN%aFA%)>Y80T-3l= zG-S9@uXdmqT8-wi>xrSdOu~FcdhFy}c*e488f!r`;l^hER;x$tA4m_$`iws|6B~9R zhqA`x()Nwjw+#1f&;4H}>)p8M!~6`=Mt<)bd~vZyv!ON=#fu0~+oK?BV?zQwb4V6P zYPy1uN)MJvU&doU&3a$5J{ew?Ffxz|1zR7U11DuKEb_c_Wg8_%%6LoLz!Wb+L6899 zs_^k*P~`>nFlUQt0_gXAXJmWdd7Imfu7GMn@xwG#p{C?R?~kQO3qk?oZgwg-f`#Tc z^lI-_x)nr?d0QVDF^}!}q|7AVwd;Y|#=Umhe~G?x7{f)ouZ`R^QjU@`RxTAp2ZS^F zR7Qb%;$WDGln*QyzpVl=+XAUni#?cLvh`VBr2|zU(PD^7NO?tRdtFT&pZ!M1#jo#B zYw(0~K3Z<=OOm+|H_Hd?6gdToGMb%tFAqA=QI2ZXQKt06_WUo{c%1bx9F&Z-nx8t2 zbaxvhUmd86Vk4VJH4skSlbb8-&J&0CeX`?B|A;a&Eo z@EJKJGYw?7f&0m4vFO@*ym@opFn-4&Ijz^tQwS}7>Ge?jh#X5~^4X1&_K}KF5bbUN zCWs7vmQ4~}%Y=|YawKVoK)w#CtS9W(Krp9$xK^b`O0*!;N?82{Dbifl{X?DbWjNYt7~l{3dP0ehrsgH+`+M$KP!KN~ zLKq<)nCIw;m?EGcbnhh#{%O~*{)zveviQXSGZY#y3jtf>0vC{mtL}w{U^|2NuBJJ@ zKlAUr*kl{b__^7_zs>%_pZh+5&d@F?kGU|^$%;xfpTo!eUSkH84xJ8%&Qo4@p~|F; zj1KMxyt`i>yR$v4yc%wqHWo^k_A^Y==$3e8gE(6cxWvAxno6Io!-F>1_m$4ijs8=H zE;llqIU8eluA4QbK8N_oTW(pLMgVnT%L^?I;BtJHe}EmE;-Fzjb~5R zitv0sk)*4orm_TJpHatr`!CevD4&FFQDa7Iqhp^vtr3oXwg1elDLR`Ysot~*9MEaL z^K&T+fe0^GI17h-5}=-;a$prxvfVs&sul54mr1%oa!b7M>YFy`t7 zlugD?4EG2he_mJZX1>cb900morISOWEY(ejcM+02le!Xk8nSWffSgRa8oMwAU8=k0 z91Bh-o5!I{E-hP{#7E1VW%9sWL6%YuE%y7#Jr}WMUIZ1{_T#f#D9K&#`18sS7tP3v zbQ+_|Ab&7#-4Aw|kd6v-qIOyhz7z9y-R)s0l$z0JSLoTvRzpd3%2_d0Ha82l@h6HK zeRWIOJe-_78n#m_qkxrqor~i!-J_R>&7RHWJf%;Sr9c(NhZPx>h{nt{U``5M?A0lR zEsFWW5#RE2v_7(TrF_-H)pNsdnyO*H$W*@~$UB^@qmKFC|M%!fMtL$G(j4ADG;B9+ z{$9259_LNs39maki$-{p#ax}zNP)Ac>=pJ&t$8EZM#}(Ex(#uy%e0c|^M~vNb*Pk9 zw2(jan&{LV5O;rgH;u>Cv84;~B4OTU|F_s)6HQ(q>`TFKEgP?)BI#A1^ZTEA@|q-EKiff-P#{&xoppPc9vI*Ow$Vobl+K06 ze*!)><@&-Sr#_nB_QrW@TrDA?jCD7z zzBz}MyYYH1C9xf|!zp`3kDB4UGC11~K!@@}Wz*k;+WYn!w)}x9#MDCwG3FJd8!ha= z*Xw) z^_M?$Z9TSRfiH%Rd6}w^p@;_`ws1ET8CZV0Ts^5Q^A;H``(gQifNTfUmWgFg0to7e zvQv}FhtnIhE=ko8P9(p<)thBzJ6BFgF(kPfc=@3Jcm4gp(|*60I2$me-D`|QMVAik zo3v}}XsTXi1WPQyu6s0!9j$!+4pFxJvmf|lwXwwvJ-iA=dn33&IrX}xm6xeS5M)hd zR7>ev|3*`d6o@)$)0Rk=Rlw9;pF`B3@yfJ{)tW9CYWTVa7QGiL?Ju@MxRr4BjM1~X zuo4l-4&B%NwaD^XM-<^I>0oBsp2@PR(@u?CnsWbaCJ5m(*n7A%b+;S;^@;TcMC(qs z&o2F*_;TspC3EV%u>YJ=UEccr0_~K*@?Jv4WyMEtBW!m%ksW}y*cZOI(~H;PpweIT zr2wd&=~jK8KOJM;Z}G$K?x5q_Z-$+Y`zxX>nIWZbZc+x6FV5tB#(|L-?8Ac)EM7f~ z?=0ArBo<@etg(9%XlcH7G1cdqmQcdfP`TS*x$>plxko>KMG!7r8Wo zJ)8;wO8V~-_UA5IFalL$_H|w#E-Z2A1sEg>DA*8l)@%d;6csxjyC*}yGAGsc9A4In zd*s^&{TcG658=@0A)QbAuCZaqzWxW=B%kwzrt?U`JlAyeDl2-*#Z>6jcrE@O`tfnx zbiMQNU!PsDmZ2okQ@?wZil9xT_ z%^(OW&ywG~iRn}v2L-wuc=UV7Oxc~DO@yH&Cx(x0r+F?g5RA%+?_0?Ow~nab6<;CN z>2+7MZ_uH|dB77;UCU*<7KBjL_p2>0Q3SqVem>wcKYnS=xJnb_mv?ksl58_q$sW3- zyTGa-*YF-Qj?x}i^tuh&gRLu)Fd@XeKs@sk51nX&6WX2cR-Q@s#dZ`EJ=LqR6ozkb%X?rGA$%jpS!TDwlW zF8^K-zhCjqwDf-F;Gm;p%G;XZAj^s2)1mE-Ge3ZFUJfZ;P{v<$rIG$jX1^|x6nto4 zZzb)sE4Hpqb|@iJg2$bt`%ckn54$1PreR#LX#DLM|31QsuldLRaZcnb&3V?wPyZE zYRSG0CCXLH)lK-mf8W;kuscjuX49o#+k;NO{ath~w3XuT9c6d-PsXf1PdSBUq{C7M zbbcHnxy2oTp9W-CdD^)RSVQeq)qx!n*Wz26a8J%ZYP7Mvu~1dsG@V1a{-BO+yOUxu z?-!+8u%Bxs2xYepjkA(?<+ExWt^FU~;{u>>P5FDPxc9$%$9zR%i{XWz9~HB<)-HKI z{xewKCCQ#jrM-q5Wv|aMG0__E_{GUo`SIG$ZZ!Lbb}&D)1Ot7(_1cce&iduh5a@di z(4~IOH*I&R*9uV}3Ux>+ z<->w&d>qo%gF16snapM=xe4jlJ!wQl5rfXsp*55@6>eyU&wVU?67E9x_!@7zso=(I z!@=fq=Tzeq`2^q_?*^5e5TZ7$X*~3fl^`{(s`fn|Y)8#bq19&A-vo;z|Y}?+k?POw2tch*gw(ZHp$;8IQ#>BR>W9{(fJLkX8dG3F1cdu2| zZ}(EI>h9{Qg$4!&R)YZkmAicHVqa9l%-O}t!Jd?ror#5sl~l~l%GQ*Wjh&5@g^QV$ zjhT&wm7P@0%-P+_#Eewg$i#+}l~hfZRMX6uRMOPS^{eD;1jJW0U|=1R|3$!*Q2(38 z%Kta@1i$cu^QDr^`m#DjsM626!uLlIVvC#f zGlg+=+4r$8KAONEJ--;BCks<7u| z*>g8|lI%-ra`V;6gj19i9qC1v+7OcLwrSa`FV7no&IvFI)W+pYTabz4XoaywJwZie zGCQ@RPAQZKn=Mt8QT=AiG6RZ6;T%>_RzF0eqIKOG=0#;R6v81b#4dQhzw|doT*5Vu zY#SB(5~bT#jwOK84w{NlX|raTW-F={^abYu;=$HG5D5em{H_sQ{?)f)#*-sGY^*^{ z={25Jt5ck7Bz^8|7)>T#!pw*rDh2IK*EEmCO-WhUt+%Yzrf}P3TDxO+Ditl2FXgu_ zsoL6PFybLk+u7uQ`p}*}6Mf7@ zo#3F_6V^XRJicWJ5_^!#$Z-W6BS;5OrJzXXW6;jflHHDpx_P2aQlU(+%jUuKPo?d zjN?=qzUtQATcGp1R##65M8$#zDd#5IlO&FP_g(X-K^JoA{~uZWXQ0?gFvxt(Azc(xLa_cRtVQ%uvdi!s|2xJTPacTp54KnOvl4{om z8>-8u%t#*e##K&@IGP0+e2BGVdvs)5yosk}Und}8)_D8$UfDH2-Z|r6x|Yw)JBhj1 zr`-bYy(iO8BFkCH1S{j%9^G>6Tbo25&?XR?!%k-`|F22=pBed@#YsqA;&zy2@aL>J zsrOibBSoqHV-JZmO?_&KP$pOt%V|Vf3D!`gy3AT5o5hDZJ6s1D$%<8OBme z{Oi^Kfx4CZkC*pg*H>m>*Mt2IJX8h%M>q-~km7ItodvZ-r6Qj$%7M63S>Ll%-c$Kx z`*8;+PQ7$Ic^HPU$Yu_SpbHlw#(2RDp}EGiA<)#wdl(5_kkx^cm-->RPgG1obBMf_eL$#r}jChr~Mt4?R@>FSwjNTg_m|d%(*x`~!APiDz05cvK-}(Gp3-WrN7h%S`L#WgbF=BX&c)^NN9%o6svP`RINm0{7DFv1dnnj7lZ*Jd3GJpD=^5P zS@lmGyGG;;^Ycc@uJhoJSwzE3FVxns+wLPKj%5-Bc0+pPYYBH4@X< zTk;UX02qTj7s*MuZJzS-7J#}TbM!GMXg}4jMe(}rxV|)gVrW&#Ui+uvgMbrDf&bLY zGR$h3V^598%ug{v0H?=Dn-Bov{mj&CA}Lww)|w% zVy@J?Wxt-%JmI8$_L1~1!nB7&2CNQp#%_OOR-E?r(iiZ4f$9+AABl?nEA!FDcOSjbl~JQ+6z z90MB(>|TSHiI}ll65w9lI_JWBZH9Ve958Hoi*?4mqK2A)e zlUVr#?Bbv;7lcd}8eaH^KK;c;vu%7ju|;~}tDRbs>?xF2wRcLu3GpY>#M~}vpIRSb zvM&I}X14y$hQ#~a@YO&zR{>zt@#<@24rY4y6xR* zW;j3@*Qd9`L71m_L5cX|a3OqE%RqPDdmdZL`QaVAz6o1E;J*I$IFs+tBEOj)lLiVu z9$siN-2>{h{p0J|pN-#W_Hk)H_x0lmYVP*A`4dfhX#Z=y``5#qjianxbCr+~IR9du zug&8np##zZGEjMakc|`*dc^1Gu$|&_z6UL-6Du=*gB$uE$bvOP&pkuH%98Krnpe&7 z*L+GdRt7MOQo!8C?BN!0a%%%_Jb~0roZ4udDm%h4pPqT9R4q^N{!1IC^%C&h93};g z_iLw#34b5wlg>vVfBpOyQ}APbMx^nGI)>_&Bm_uM{8{N^_vxf}KrIbNNgeZPN^m!{ zGJUvTcA_i3atxp@wq2j;G@BWGw3`dKV#5E9-Lj#*a^V7@ZNij1^jT)y_{wB6DcNwc zIic)}-@*{)`=6#4!lnqY{=*Dn&D&T^DPWhR?@#alnhLg+4hj+JwCl9!)f7vy6vhuL z2>RqXAZjlm5v5L%gg1c<+u$-1wy|%}O1>NU{p}cqVmyYv4yr+tSZHW$O3?t$Ev6~V zK7icSu`xwcZ1aVc=<~GOGyG;`&vOBsb)WsbeOX=0bZncsZa7&}eCXDC*X|J(dfi=! zXbK$RR)Dq<3UfRUCmHRF2-d7X0A2wISRmdN%2aKi~5aDd}j62fXZA=AO zkiTa>J;XPwRupshrP@!n&tDLn8w&lQPh(P?`uIWUYVbKKLQniy9xxGq`a}L=t}l$= zI_IB)Wd`GB>QTIgCBrYZ9v!x) zU8fDDF25(zr!$yz`7}Fh?YtYxy1~nT8=Lqd#mB}Ymg%*%{X$H*FVl_iH1m2G|NHi6 z?n0lIruY6=tGsM)k6706aQ-q}e_Cukcc_I|&d$ZXc}m+pr?Jy+T6R5G(9T6;-q{(Q zJ)G+of|epf!0bh}gmPHYO?K71-S!+2zksUiwRm9eP*<2YTt#FMDc0yBgy z;}xyNvP3?3sNE@Yp+KB|y_l=9T>u9eZBn;w?x=XKpE`vK;U9{g(cs)~`m9?$N-%qE zXQ#)w$4UTEmv8EXARb5Z6agwWZ?5TBu#%+k;R+n92?~loUb-%J`)<0EEejt%TY(%HqKtQ3tCeyWLs0EPD8+y)gZ2h#kYJXGUSDHfgTG<`w z0eMN+LSV9U=z3l2dacUm$x76fh@77g>e6&D>IA~mC2@!%A#eyMA+Q@z!zA#8AER>g zOLCtjH*C<_-;rA-7Rq8I7Fx?!28CJwLK(Obq`N_UJUKzSZ$%{miu(Gt!Q9;35D$*P z_@Wj7rZ;Zre-UnrHQXHPQ0y_TUUyuZmYnyeAk`u$akVfBtj>M`6#oILzku2=;7`Z( ziOS(_b1YlG*ChEP>DMn!f6A-=ccn`Y@c%m{Jo4)SscVWJAr+5|U~(sika@Bah#c8y z_;*YV-228hE&@|PS@o+7dn*^_1O$S43uN{6bL^avaRyMqD<=1Y{ zKleII%H{o3ZOl8`eQx6ZPPSu8ar|A8%N3btb%l4wG~_t2MEXjIFt#6#98JJFqJGU` z&itlV)~#=>8+`05IXXLl z)M8kM?mOf}(6siR@W&VhWj*??QU0$L6+J1bQIvNZfE(x+mt+GVc& z7*oXFxvh%H(e9TG)M4vPgOiBDjv>w-=6ogvqt*@=7z!qe&3`Lo476}%joX7s6oa28 zWjMI=@~L&|1m>cs8;re*$=u{+E{51WY}Yt=`dO5?3cGT02SfUi1;BGKCt$v*t<1_s zAI`_f*zWja%}7%RIa{G7s8WO9t-Y;A{RY#7z7*n;r%F06ywQ_H=a4qBcKr#UOIM<% z`peh)kt~AuG+nbp>G(L)la&L-7`p!Cn9^tGaZl|GBdxo^q(rV2i~%&ZWq3i?HZn;e zB41l^gOovZCt28|FsQ(NHr1s6CN!HC9W>)qYP_?r`vom%d_mR!fh@nE674TYLPPbN zffZvn^8w{#l1^|f$I!65aRk+5??_<(tM(~0eOK-NCliFS0E>qDMzhK@`-sUnlf8|Gxy`sWpwq+QxYluZ8Q9II}u-wM8C?}?AKmV(_MN~?#CzrHnTj^ZV>tBQS z?wtcQ>A5iJZ6>&{EE+B>V%zP?HMU4ae(yx(jic`=P?(9rYV6|4|K3#0flS7hX>BL0oVwld1!-FI&+4}UpPh}sz=2`O-CrME~fc)B40XMTgk5_h2TFaTGzPTV+yh^NBv8% z*U!B1{+fJPRkZhaZm#iu373b@+Zt@ELd6nfd3AYNj3Y_38&tw3TiY-Kz29VN<;Gf8 zUNy46r;ZeWKEg>qb}oD*WZ-qIl-^s}R)uiK;0vy%-A2g!G#Kuo*^EPhX6bg@o1ELD zDcgWU)GqU2CktUshgeM8UYX2b8Z{>A^l%`^=jR-ZJugPz-{gw550tm8tRr6PBz2Xt2IKVv-;#j15_hfy=S~-j{V86o{MvdU6yq zXj>4P-0lMl7k`yb7FqQ={+PAbQ;0QIal3VVn!Kn!e{ac7iAfpaIX;3zTYJJx z*7}8EtfJBLqccfOw2BoUUF*qP7S>9K)Swo;_A*q6YZMp`_J|317U?2FDwFy-)`FV# z3%7}LaM{K+y(6-yCL&1$i%o3jr2N=V$f^j2FyomOWkaQ9D`>snaFj8amHk*rc+vBl z-_xW~O=)8IjVsu!{87_s&t}bpVOI&UX|!>Q6MvY8Po%Si#-t7X?H>d`X2?+Xn-+@Y ztu&yr(5PYR zKlK)UYQ>nwC$mSCVHch!9r#uME_1H+GHW{?6{39rCJYy4Ta} zEkunPEUTpL*_ox8U^*nR?=5uvNd=abR7+e~t+cx)$EPVFMlhBO2vV zt+|YbL4GM=0sG;y=7HHdW~QZTG2P^cmCa)G41bTkVJW<_ma~Rh1;}p)vG zs`)U(`tX!Kc6&C-tyg+61@7D&i~44=CKRgx#aCb)8sU?kgrY+&Y3C_kDWP#1-VyO4 z;w1MVCP)T$Xo}soeT`%rjONFSx@!>Ki2h%@#9=iWYPq*#;g(qAl!W<6Y39R&>letu zNuHfi$)8$X57Z`qZ&!~rMhCuch!bl8BoGj^v_(qaI#R2ezKK7D{d=mCjVMedZs?4| zURK8m#C2tk>|YL!z_PV(*n$H`F`}R{_nj7PJmE6Bq} zepKk1H=MSC&1tdE@0$syZn-Ki5Zbi7aYb1iV_eU!mWA>kVCUT2kU|Gr8hCzsTB+@S zl+u{ySaPqvdEy@m7rqIlwifvcj|ZHm z1|;07D}p>|d$;MfBW@QJK?bS9JE>Qqpb**uWZwJr(%EK&Lxw~pvHO@%C-`#fTYxQO834akL7Y6t1g~N#F>xr16lx}f|U=)!0xuRse zA4bt4n(JV4fh0=fZH6^vq%s;1_eM8*CH3*+z=KQ}ACxzmAnFfu zTSnGP1VX*VTLDpx5{SezMe#@_71+%@g!Dm2`kVS=xVH8^ab4RT@&x(Qum71F*}tX% zntvvIyYdJW#(uCUd}By02zl#GR3q-qcY`=Lk5uE$6Yo!gj(bR@@vUg(-V+)AmEK6dcR!z546wM#8an=ng*+Rk zPC3Lhovs8-_-ryoOT7sAPdGwu6>@@bpG1|w!?ag5G^dZ^ zt8+HtrMVd_f0O_TM>DyxYLY}M?ZKpRk4LDurg@N!TL<8X_0X#=mJYW^%c!PzId2sF zM!cYf`p#z-mL?pg1zRG$n_v-=QaP&7wJlFqa6F*;5e;?Qo2V*uoZQ44bEe?wTR- z{B-kkoaOPM;aQ^dY1N^nFPC$-I$V# z?wuS3FxsJ_rJ{FQvO!WhDW#K;F)NUW8sXemPtMu?L@IHBR!9Pr-^>#4;-iK~vnq%R zB;Z)6Nz+3CSgzUsjzqpJGmcU70?%z1-rJl+6w*)f+q6;Pgg3weH>qLRoA-eQMK3n* zmAyYY2y3*Jv=^P%0je7kBz15l)&mamNi0Zs^Bc_>^}?`02Q7|zK?cc1>S3;p%_#l- zv_63pa4Nod8obVpctk0D4;iIN6h;9tj@C&l7W6<9MB7)E3P&f1h40vBkMg}$xl zs2m5s>817ty^$Zp^8XuEdbv_Qx*faO3);p%(7VYXqX{Qaa?W)5o8cOtXPYpw{cQtp zXFq81m8gBx{A&1{>i-T;{U=_P83N-8nBG69-tt~}^liGycU*8caG!$N8v8$iLF{43bJ9nWRJTXy@&JVjxf*7v-7E2vOOh1o!-N3jJo0#_0SR zy`B@H=KU&Y`7hLio$l*)al7`l_n%&8(Hr6K!?5-28j^@(PP1n7_l{x>2AFB35vB3d^>*fH74=hg#e&YOw)+mu`9plaPl$ z*9H$BD_-I?s@joy%^IF}bvktX41T^3iSU~+~f2NrERld%>~!Fo-*d1zIAEf`g#5e5GivR zeyEgKlW~#qS*64n-_uukM$e#Rl@?~~e_1SznMOm0_+hlMQ<+w2O3ElzduPTatDgLf zSM(0e9yVS)Wg3tv>(G!l%m4d~4B=gPahX3o!J762spVHsAZ3ma8*02EPT@9u*@fuB zU2Ei<%AUOBn!H0EEraXuxfwLV!lc?9V%z(f)W?}JkIr|Bw5U2fH4TIw{VN$t-d)CX zHdk%o^At4!7;NEtW335IP+sP_=LH+90-iUUTe0#4cg&{Xp=zmi-D8u^VZK;R71!$G zg_SxysCF!SaErx#DB@@4GaYikuhsH`UD$%^D*?abBZZSQj7O=93R^PqXr~PvM?cjq zLQmt%gIE5+L&J&`FPawLc8v*~=$iZ&)Ar1({A%^ij>=}^=9NvrV$7ob-hwO4#jiVC zXtnh+8@2f|hs+%j%Mc)4UHQB zJ0J32DWtfggLJ9+^qCeGP?&GYl77v@!g-uC=l02E?T^YS*_v5(TXoqq>wI79V&7A8 z(2L|8c(53jtJ@-dskaAN0ytplw$!;Dp+q|;7r^b~-4R{sm|hXsJDk1I<>rhafa&00 zwW{vGsnhISk;p8nQ=wd^LDN~aSz}(WeWtqoAi68o!9haewW%|3PHr+4VJJJ5KW1GK zZbXZ9x2US=QNIRgJp+jqZ4luaEef-R8e_?))96l_BY`i_FP&|Iyeq2otlgH+9>!{o zyQ@eiH9l0p12p0t#zGjko_(v7%Q4|M564YQs#a_@ygOvmG&C!!*3^O1cN1M7600lR zPUI8M1uH_`HC)|B7G1@vjkDz*Sa&tPH3TJVY7D6{hu9R&vx~ILIsTjmsY}z_O{E6w zKWGp44?S9`C&FZVmelE%S-NU`{Tmjne{{s8dDCsvwky6=H()!49mD^zdcBWo|NNNG zUtkDCbf`9&ju9-?=r*Xul6~1)&*Q)BCm`@z^lfI*u5?eU8bVJG z^ex@8RM&aX06(8JZA8Og%guVw*R@%1ZPmFEUsAU{@X;BnRV`Uir)#1gs?{jzoUO(} z&{{cPOuxkCz1qzCUJX1Pll6c`V1(#W!6CL*c)WMzW`%Edt|xZv#9vjE=yXVkQ~z;d z-y|C*)?Bu_NY6o?GvuvQ5~kjxUe|0rr9s*N5;u4AmAt;TrLncU$O0S@fTX(BbE_3K zZWp~vvSx554y{XnFsA3&Ysne1dbW92yl$<4(JxZ#rj*KdcXmcy(=Gc{t}wfq4F9P2 zp^so@`tpVnAKW!tw=a}0%BGE*Hfzyx$)j?>AIwDvPq{vTIf2@DV-CrRRKT6*3!uS-gV@q!b zkiVeX$87fM&V`kK5RLeSNd~42wypd2l8!l_6w5QZ%e#JiPUp3A_nFOaZ}I5}Y1m&o zs^o?f9wD_Re0vkd@jnoz(f)YchxCs-Cs_9?cTepkB_Ze?8 zi7qWU}ITa2=7yAPlley;b*ju=Q9Y` z#GNhctz9^E|9H;(%%vQ@ls4}6Hmj^R5vF6OH;@OqoXT~6L^Zetto*YlN#`rD@}D#V zT-=m~=MDuZHYA_K#>BO8`3QJ+kd(1oV!T>S)IfW#n%DV#67tcMEJ-FLQ1uG_nq+kM zOll^|+S?c>Z%j$uUv=a!Su$56ncT;$WgcfHld%_Yy;&Tx=SHM0^h&ie(3BZ~iA+UPNN&W~2jagfMG^)S-FBWMIgSNaWE15vz zRD^AuDXA7Y{zU*e^~L`3wOjEf*Q+O8xBP1+b1t)@xt^{b$=>stU1I{wz$@zVQms(h zmC=U9?i~Z6#pUNk%Q~NO%g-b%+s1^HMy^eZEW@uLzj42=cmwD^bqkr^1d|`YG4B|ECVL=S#$0w!il1Ml$&_sKm<16t1XR+ZjmXHXBY`L@QBQjc3+PX^D}SN@Bh=3yMk$^W1o(<)mu8` zAscJhpP+K_5mjIh<7+;%jv+pCvz9miNp>j{@ye%YPFS${h{3w_c1&5%w0d`yIxCcU ze1t+f?tBo%#@GrZgpbqLHE*k%dU*+rcl6smuVuU|RPJe8X?{gznz#2+lmDzmI5A1E zim{tH0%KmMTTUk;3=sZY7C-cPn}FOu%?M}=64vXb5%$y%xoyvvtg(_=69iGe|9+f_ zc$~TWEc`1>4N91Hp9v^HeyHR2w;)OUcz7WZ`q1vw+a}KYxY-l#`ZRbwEV>)f6=p`v z6E^T^dxPxWyfgItOlgG)kUrWL?qOT_96Ov@>~Z{PuVsE+|L`jd&^&p&z3;UW9bV@6 z6nNj=KW}EocftPT9lISViwa<01w?43zP~we-wQwI(A?(i%`AMjx6CXGQ@;v=c0clj zKRR$R{CovKngqi%8$v1XF=crUFYz%m34rkrpM8wW&(f`)*&CzV8L{(%s<(H$UYSq5 z_2V-Vj9v@F>nzmI4>LR6Woh}B#>eM#xh`UJLkxtT{m}jW=7_q0t&eWP4u2mY^~d$2 z^y~A~VgR*&N*^9~2JDzCIe0K;92>zHb{=hTaY+l?iAYlt3N}`a zdO03Utm|Av5(?0*{9W1f+HFL>b`}i5@NM*f9Kw&409&MMG~Eh8V>}<*3WlJD3(a?) zFU96%&V7^OI}Uv6JS|&>na~C5F`=qkdAbGN4>>xY^lY=y9w>PaxZAvWrd_;v`V3N^ z&OcDAIGPjMQ9#mJ=UH+Wv+wgV%@Qeh4#H#*)>BaUw!9iKfIhKGs_fhV@IgJ;3D+WD z3U)R4qBn?S`E&pa$|&lfmIML7yvRiq3VS7l=oK=(1IkGNHdQXs$(nj{=-+{k9{-Wa z{kn4JidJ#=bVh!RMy72&D$Bd6YLZ?-G!3Dh&Oa}Lm2F}sP^k_tLl||LifQdypwVaT z1T3O^U>LK!nRD2H-V(s`wvtd{)9ij5__ksuuiy7VYMxh=H_iu6O6lAT~ zO-hzcoP~a>C2=9{aZ&`3Ks9_j%qAPowbepD#oo-;sN`)bpE)}UnW+6?L3IL@8bk{ut& zSqNORtb+!+$GIa~dRR7?N?4W`0aV^kIy>pgoh58k9Tp^F{aV>C7U)SRbyVXkG zu`I9tSrUl6#!2x*G<9;Usfl#zmJmU&<>3P>3jK6SYQDP5xt3L^Up6Nt(rHjq0|8{m zx5I6kv2G%pwV$=L2y!ox@&d{-{XgJ0{$2P)5A z&RZ&d1A5>CQD;92=a9bvm+^t$XNhMmmB4_aagUy}{#A3xFi7WJog}NLfEOmw3#uOy zfsUc#PTCOCows(UOnbKa6YFmMH+*E}OOb8kk+85xG+jTO*4D43(ER(mSrpN`2ZP1=rsC!y~Rx@j`Eaw!%|QpLoURKhw7iL_tiiVYg{?lzdwgQCE;4 z*r$`o3D^EmCQQTK8w4a$2zMww+>@Rx)NAj+q1Zke&-dRj08R`?(o+xTK&qcwU}%3Z zmt)?p8@Lr@DFV=Ol)XKBSN3 z6DY!(S2My2jM@cl2q8fw3pmo2lSnzYqG#Wv?fAf0;Zs2CX=oXN8C2-E;zYv^((wn} z^nef}@N^T*^a#?}F=h%8&tC)L0-6?1XT*CdihX;N-AD)0qe=zGL(EP^D?j9?{ z#swzn&W}Yg3~v5i@4zs0ZvJ<9y!Hl@?>YmdV|`+crKcl11pEs?bQTW@XSjGgVseTL z6c{6?RTArClRp_ijCn|$Wo!u`k+&hXX%Eyle#d$zy;c*UZ}cffnZ^j{sxZmRkU=(= zdOO*5t7Do>n>#ppA!-|&=SVy%W*&OMt0r&g^eGeQZI!5rM*gv)8y#v${7V{pR%l}C zp`|4JU7L7(%YOoiUK0y-UKBS~8;PXD+zlVRMWlApzk{KzNJHCaels61>L6NHi(G5J z+TVf_tzCu;A<9&7SPI(%h*N4x?F`!$y6lzUR}wkws<0$8>{lG9ps`Q0z+!%m9W;EG zTm3nvp;APMy^v4`4-q9_i%7a@+D?VZCK0MMMa-TMqb(JV`j@9pB{Oc~?Uf^De&|%q z%U0$bU{riJlc%z3i%RVDesdCSx`FAGa+t2H75t$ENQy;x{x4rXoi9C#_t@7ujIVmV zY{$OIwM4^{YoR_WP)<|<#N5h>ZaoF@SxM5f-;G)pY)5*cSiQs=dj-uOBk+tKGrdU6 z@{!CFvPx!cPi3ik7)x`_%?rw|n;8ZO&ySq4P(oKt?uw{V$i#;AK`4{~h%*DWEU){7 zCD@=9)}ZuYMfS$2s?;tK%$gzFk9|d z;3P5oNQCxqkG9j4Q-=e9lLRjl$dic5&G7d!=e3prkj`yjq7P>gWR8HZ#G~D&A(b7^e-}7dw$!&3Bsz`Dp{o6fKXy@bKbtPwj8lVqOyF0cmMgu&cG$bSilLM(sq za{!=Wo%ULx(p1vMBh^=9r6oibC(XXNccs79!8QTp54vzvY1jo_iWS8tu>Hwd?fcuww$E-j{8 zolRg#A2)taJ9rm?mEtSc*vz`Wp8tQZYn!hSFIq4nNkh+A{>>$8@G%Wb(Y*`gcI6@F3nVrDg_8flQSBuWijTBT_KXH4n_0n zk5msEy)j8>;hf3HJE#;~W3ai{w7g=$MhKgrp!Y@e0O0mqOK&0tkS)i#2Wj-@^$-(j z?IOXJ4Oq^n4Oi?jaX}?;mMzDT<4mx2s;s-J*e$yo?yOUCpOm{ePnfgjVhVSAoztaO zmBys;Z97il>I$}57nAQL#>G~~7>*|A{rDj|$^I`&H3fw#*4PFxUdF1Lo{1!-eG3%3 z!9P^WG*L;Dz-Nf!&={=d(B7sPUW|->5G)Dbr^U{sRYAu*_kxo(?dZmqJ~bgkei&Mt z`U6b~N6l49$ZI?l$2RhJuw(S^p!ZiAj@o?CO0oN1J;X)1^pgPHrVdHwr@igh`b6Wh zM5HJr!V!-#a-k(|=fkrow*-ka2|u6aJH8bZBeMVo?B%voEhZohwk5W8u)$K;fXS zR-%DED!3aGkt4oT!tX-%pw5^)Zb-tVJh?n!NTU2w zV##AjhdCokD>0W)f9xCo945UK{*0!9vqOt_xl*qCUHLvc&-u)z?Y5EG`}d!o);qwG z@9Og^$i+Kr)h!LaZ1H>TCPch<^4&5^G03LAdU`lX2f2so&Yr?Ge-1d7Ly8sZA4rG> zBY8rec)I`5yHZV}PlVV)hbo@xvkUF1sHkG<ee2;`NKJ>CpiOW zJ{n~{Dq}v{2p)AcYxoxs2rnDvdaN1T63 z%)kuuR;MwM8!xPD6>y+rK58^Azi9jqqAUd&rhjKu4Q%&~$7L!ppi&lZ6v3P|4K|W( zvMwr+Hrl`@To2;=8;hX@_N^WJLBYU-R(|s*di*t5`xOD2FxVcfV>Lb=(IwH@1p%Ic zAkPB7P&rb?-q3c)0Lw2F{KZ?lbS*uXk5bM0+?sEUMCPOHM5D8#eCjPa{@A2N6O9mj z*tnN6b~JQIJ;r6L`TK<5cU?V5&M<<;Z_G#G0(Y2k==N9RV>91P67W=^DJf?eXZCty zQHv!eT)=q=32lbI@%fDKIgL3?DPLJ07k>R{MNJB#ASylJY9z#0_dSg6{#9q-b7GYS ze~F%f!C^j)k9(>;yCMQUanh&ZP!4vZ@`yh_K=c>1*IW`25a{vG@^Z28tq`;aGs3)} z7W%vnPwn*lD1M!fB>ql?U-wUGPP%JQ$cy9#`wg@BhWH)RvMWj$8vp86DQI{ZOg8%1gN`^EF_4e#{N~gKy8W z3{eZ|8wcA$QJN~1hcfr17>n$j(^GS*Ns+BjiT$2RB$5(jhUs@zc1;R7Jtrbk7T9ID zgbL?I@w()XEg2tmL*0f!--_a8@rEY72?nbu>0tMME9%8~;^_P9JA>S%oU;>eM3GtLeJf2-Vo?BdzMO=|XT#-#Y-!5EW1d(?Y zkrx{EZAh;{U>;x^hSz|dXd#Y*toJZbKJ6B=&%nQobf3T2j=!9tsN1NMCET-z4g1$H zwtik}5Se0+jTCYIrbdd)ft{R*yQ;k(5%ksbFP(m!N<_&fBjFVP_!%w$2K#X@+S2vi za~5zwCgNEGZs87DQdO)3`h+FKc@gVz@hpAIEG-+O6GX&(6rAI~5C#tv-ZVe7+(Y9a z2)0&gLmkTQ7I9p5qfQo^J_OWpAt3rFBBm?{CmW;IL=Do(6Tvx61csdH4b`b6-Did- zBSRnu1nI)3)11!`n$2m7kl0|~wmFi`-a2%Vgx?>Fgc2Jw`1|@|4mWZ=g+gW0Q1=PY zfgdG)aWs8|*3sl=-M8I9wLdIB5W*Sfnvpi^lLFmnq%qI0?W2KQo) z7(pd$@ANRmS2lHgJ~=QSSvj&7i**JpxLcR=pV!gOY~a5=1|bXA961B*a>c2*juj5cCY59J^yO{Y18z-(8uyxiz8 zTfdhG@$UXLx&~&Q$%m4gBbL>`l$qP7G}f}#ORcuHxfe!@XWEU9x|O?^_lhM>F>&KM zy3+T|a|!bTGn1Azp&!l$> z{*WRy$SE~g78_|3#PWP$&VGQ+elQX^=R>~;5Y>qH849@F)ZX*|bNISQ(Nhe5?ujdb5& z6Zx}bMPo<$9WQ|u9N9Vbq_$N6F99-ip3jk4OgopDgzTFwn;;#8u?!foFj#13!*R_T z+u{%RNK(2EMX+f8qGr!Mb4$}9D2Rzq!mKbUnmj0g=3q0!YXmj?qO}ZHq#oK!Fzh*| zuLIQJEz1OWQPucLx6hA&iWxMuSRs=O7)k-IU$h@A!cax7mSHBcKNo(%9)#?w0#I&? z9YbM8^Poe?79wKBp2%0oB+mvl$H)B}vy} z;m(+1kC0-I3N4&=+VDyweCZkG2~*b)9@c^?f92e8KEgu#n^Z)a`F0VRVOIa{(hwcK zAvU;*gkmPyaTXb;h^KNqWbT%_-<;Cc0>&OS#vVSL(^P1ihS&zE?}m^_bH8a?C*OfN z661I5zi&H(wMMRm%lnTRAN1hnCJ>0*?C5N#m{rWKs9Q6ep;Xb`>x2?x?_&wu8hQX$ z^4|l^w88E?uvgOhvL&=V8?MQ1S%E&sx~!U-!?lq=-I^tps6R z1Av1(G}KaY67DmQL@4Tz%d(V6DpLR#MDh1_GKgX=pAx)VUYKGMOokR;qQt~7G1fy} zG;d6@?JuiGwTA#)h3~!&4OMck7qZ`Et0<@$Qaq{gU0S4GsjQ&r`x6n?r$;C~^rvuO zrg`5Ck^Bzq(k;{`e%K9ZyCKif$^NhBs|;kyg9UN;4x+^8qAm)t$kf0$MG^VU4~0aDHUl3%phAGl0I>{KHj!FU>(BgR)~#?j;lGeNKz#UdIxWEMGO%Qe>7Bs--g^P!adI9w;Y#pXvvsnhTjG;J-Mm+e5t^X z%o}+P6-bY4`UVpQmg6G7gysNd6xkIJ9Y{OyKJ{herEh<+A@^T~9l!ag2RPhKjxlh~ z5?PdXm>45o+14)`t)2)r#KO=9(>Y3elwc~6;pH9Oi~y_~XLW z*i`+#ESDnif0B3q9S{_2V!5~dafERg83u!qQ0M3 zenK<(<9^IUK9{21Fp&Oq+R(pUpG;hj^S)#uzvLjlWFx=iBKNnGcpHvDE5@31}UTliAfQKe88DXhLolnSxR}v z5K8Co@=U7UJ?Q?Q03{3B^!9pp-g%+)Y1s0`zbsb%vRvux>|L{B&DeNnzWe`m7W0KF z+Cme5Zyc#W;n;yPFV5O`g(q4YHZ`{^&AyAXx1oVcm;cCD*?HWKM)tcW^6``JMVy{k z;BwN|2#9P){wg!%(`LwhfM6_xPZTIUy3Tm?c4mYkMac63p^tIHeDt(|RegASa=+3W zUvV@-oaLcj7yYq|{*Ma>2>P_TVDRjwq9_SweeTyR#cc?8)i7d^7 z2e5YKJ_Nrq$9|D(AP=`hj(wfTIS!=bCvYOi{!rwMBhop>%(01lC-!Tsb3d9Sm-3S0 zqi}z8^kM(&vq##MG{=5@PW(6r6#bU|OR6^t_d7>kRJ%?;*|A@ao8F$b{-C2D_gREr z@Iy<{zvnvjA3AkiACCKC{n)9s-{#19*vX}xI6V>ij??fzcj$7w<+$DF(4XVr|I4ZK zm=H2~o5_N$xqn8z?qGi7wEfD-?{;z-^U@ZXKg;3!CkH2E_*94fAD~mmXOX`MG?qW7 zl<}+Ane!d&yBsWKw-hXGBi{_#*E{8JqAcm}P|6=r%2!8}-yK2!utE=$L!bQ=80ND7 zbIpJ3$U6=FqTKI0b@W%%ImdbNWYDgYCg@S)A=_pCJx3l+87zp|FX=F7{iJP&w7;#K z=P_&ierQXM;~STk=RwYz@6sfOKdY7HEElmC@X=E63(vii*vWN9;&_@Z%ck5pXHM-K zK9*{dt=jY^2ha5(+cFH=JvMSKG@wu&Zw(WpkPuU*jN%2i9y7e2ntbRG?-{-1iYESHctpSN+nv4c71|OunVs92-}lY;n%~Uj*c{f6F}Cs7&0j$WUyNl^ zNnaDDFU*|br?XtER}I^DJ?`aP8mww<*|c^|%c`ct+Lp%~P>i0rF-;3#tg9v*Nr~mU zDr##{b$9ya#q`zPP@ik%998&fJ=+VV_r#Pg=}D<-8Mb8#)9cyX`7zD4H`L5sSJzCV zS32*F>8!r4POheX?r1sG=zDovbQjYFy->X4>)%Em#4k7qW-py{GZzJ@_>i8e*|Hpzv&Ari7{L!o^8?YDo8S zV^I!2M?gco?y4DK@R;U)Y(LDta_*0z&@pKRcQrF^1^QXbmcaY>!RKgKNh=Ci*K>R? zS@7^~HXiU7ue{q19UBLY;u7gbe2RM2N3S+gvZy)?z|E zbpMvOQDRsqp=K?0sjpgvYz|~+U~c{P4WB_5MWz!^;V`R!Hi%*HUTdHC%RuO*CxHzx z5cqvNdm4US`*P?`If91TkU{(=o0gC-i`I|2iX$=?DS=eu=SZ8X#mv zx_K<#aL-|imEnZ5R_E)^0#4S8X*os_u#9B@;G{1dc@X*u(9kMF7)jw2K}V3zf7<)+ z$9#3O>&XHRdl(Al$nIw73e{qnsv|ty@tw^b1|fthJ=J^w!E#f^${Qz$eC{CZ7q3s= zhRK%cBWgezAsoI2Q@lUCP}_v&mP13&!t_Na0%qJ#TB$sW&@-APpr254=s3#Bh;W-s z4mO)B96bC84pj>A#aw-}#oUBL(xmuaLxN4R=`jD!-V2uzC*6#PLZyvc((nSQmzj%U z=r~6W^o%8{yzgs2iqMtQ||k97{#^@2>c;%flIwaePeo<}y2XHBt)GK=b`WXI)d6q4%~ zj$JS~O!hHIfgn51x2_w2Xc>eew4brLhvgnT{mH{M=Rf)YZI={TkRcQ*pG^^dr1bqp z92x};BTUDDJhFq62zAUPKK-G!W+F0|v>V8U6R8K>4l}?UK#!G#UaUMh7j2L`-qYbs z43J7ygaGo5^kKPEeTAsIB2-5wJ#_aA5fu?)F(72^!HJ}snp|r}gh6X0CS~Q4aPEeB zrbigu-!f`C+*(4woe|(K&>~$*TCmSTM4Av64_DDPklV%P4ltJ|97UsT(sKE0^d+Lx zP)|B@&Fae%@Kz3g|1ort9pRNIB6{SIiR@K)SF;~yqb)xp1p)$lAQ|`<$F*s!ox|jTqo6scB*G^ zM8-RCG{h>60 z-ti2kN9K?_4$srwlRy}mq3k($*KeQy06H&$SxeJZ0xC<1WREtlzlJjMc*pgWEG<8* zbi+oR8Vn7i>TpvdM!`X5P+oRaRxiY_6tra?l!@xQS>V@t6o^=~%7!mjXu%Cxz-5Pf zq8Y-xUyyP_dc_$y;lIx4rdtw!y*@kx8K5i;wm9PWmmQvy)_hvwr|_+InxjtBVE-pJ+7PKUdL&-=R6dhTsNNwuXlO4<#o!* z@s~c`{?h)8B|3xqoWzzKA1GqzJ7fh)Kpa{YeqU&5S5L N&YZY~{|5j7|No-mz;^%u literal 21382 zcmZ6y18`=+mp(l4#I~JGY}>YN+qP|EGO_W-$s60oOl;fw^4tCIepOqyy3bSRHtspy zx2x+s-N-+F{HTEi`OaOwAK`DQZszP_#K_LT#LU3V z$izaVV&?2_WnxC8Xk=nT#6+YbO{8IFOeAJ%<@#N-{1WuLnIAuzW&cb3P(b=`8Lsl* z(i7y|589Jl!p(kWdj#k?gk?e-3-2C$(J9%Xj3Q}6<|W=@&YEuC$0@2S^|T&h!P+7o zV#k)SD>xtb}CRNpU17Xq_@%P^Y!`h_Ox@2L=bQC;kotPJ3K=2fK)DqAk7Iff`f+` z{FD|MfGYVop{dyh5II4_vnnCfeBWN7I=UglI@5^ExD!O*Bz5G4lyGtOo;hFKbnj$) z`cX;V&c(vg?Nd8Mi_F8Y!3I6QpWm&*ZRP#6x%LuBoh=6KNhWrlyXA5d|JXHt^{@L4 z1;&WK#GKVvs0#b8!eOyG#dM17#H~h*e;yp2u3mL%a$dqK;gJ9$8)mS6-4KE(jZYB! zPsqTZKheDl$(Y{#_;O49pi{#RAOer=vpdSrj_z)gj1qOzK=g9J~0ur32d%GP39Z4VMLO` zyIO0c*;?(n9Trv}<@N(&JsxvFTM=PHJ|1dge!!(wzfgb9f%5`~l>)C(XD)GcyULcwA9bE{)wr=j}>PTHKEhq+3hs4YJ|ovMcKrGWSN(IBMj) z|01@yQu^nahwyS$+LqYvt!G5wP9Gkf6XwXt#?m>A>x?&7rmATd0tBss=0Qs!q+wGr zsOS{64cqB@@74}#2h@OGdWTJ%&tZ) z`3W2P+{$3~%RP>Ies&4wiI&--bIHU!tqA_TjmcdW_fmLCWWtSq;5tF8!xt#qPRcWZ zznl|;<<>}_Eq3}cD8R-mm7O!!H;{C+xjWZq{12e7?-=afC1(>4iXCo3&&jK?Tmk$4 zSR{|Zxf{;*4~j~}Drm_NBp1A5@nUffK$bu-9SA;J2OGE$ve5D-J)&Vqo{#G@MudR{bb| zFt&(VU8Z4IdDRl)7^?p5e*sdzY^eoeW{R6yuYUwSYg5>g0CT0@u3@vy^IbJnJmGyZ z3|7eET?r;d2o`p2@?h%DUtP35!BbNbIF2+<#`7uZuWB8U}wb^h49Sk`CIlZr6>{km#L2z zubc{1Fqo=!o{&$){RwPY{KBl(HD2bN3Tfb?`&lh3tndf!;T0p_ZNdDatk%=Gnc`-$ z!=1~)tay=>7pP!Qyw?Y5UH~b{QZM&BhieY^e5EHGFn=a~PoeQCa9kIIJ8y=q6FiWU zWsbq}!(M^ERv=T)k(<>Nt;FZ;soy?e92}v^@hjl&F$c?h-{TU0Sp{1G=D3D?=fKvM@AUFv+Z6}3` z#X=J#ew`J-4F~fHsDo57c!e5nTFh8h7s|=MlNDN9)OM9RzZ*zAc}c}MMv%3H4SryN zLoINyvE*$N8m+AFTcW^K-6uL)FPK$ zxN~Jty#14)Xu%E5i3yDIR>i+@AS=^^+LO~7EyMV!w=3{@V#dH@eUW5)=MjYHXk&cv zSIPas5AkF5E|Z%{o#Gk^1Hdv(c?PbK=uNNe-EGblJ#lMV5cbH^c9C*~E2BvP$-qY= zR+>^!dOdgDA8|L%n?QI^|G~p_B>8tPyu=TI`%ClQb2r+F^T}s3w*h86y)eKFSoZe7 zhRb`!AT*%d@&!4V?;%p1tEPWvG>uy19K`sdCWTZ-_tJb9tY*}sqxx0y%*d^hcelgM zz3dUO!etO`7usr(Taap2FKETG1KCCrmykA34^^T!2%65cIpF17^0s_89nAE=#&KyA zR5EcJyuuuFX)`0klc7zCFA%vh^cts@ex!V=OLo%Ai;2DwTtuWlb(Bnf7pS<-9^kpj zWVsccpdqo*rqb=(QL-xACKNt3&aAoJyB=$Qcm0}u7J`gTm~h^_wdW3W>!Di^S+$YZKC#; zZnoLs_th=U|0JRQ&tFgJ&6C4v=nPZe>-aUna+8>sAEESdZUTYhD}K4xFr9kdzm{RC zFr+&=vWn@=$nR6I`hhlvI zGro6J$mw*aPe0w0dE4de7xz}ysAoDPQpfALOKnL_*fsxg-SdIX7fcJ z^ffp_VCNH8?u+_amj3%0Z1cRl-%N1|_TcaV>Nwkky8ND{HW`!b8wFyT^|0Y`!r zef=6qF2w73x|i?2G3e)?4C}M7=8NCP(>TD2*}nUt<|L{3)|EX7M4zWPbs^s-`7qL^ zJ=~4xE7dW5e`$rqPULtNY=}bL;nhT2`X!3#e|_Wz>Bk?mQT_)XNEC%3p%3095sIJu zq-_46%Fgeze@N=0m5JW=cj`dBz=@@auTon69RMs%m#82bzqD{3hy9K-W{NJHHni?< zAwQwdMkb2zRFn{~1;$GbEHZ}?ny-FWwqA(yz zr`NsfDw(zTzQ=PLDKt_cyoa;4<~|*e0!E*?&1#6Kt;U>rJMw7+wkHn_E)GP}A5Cyc z=RPw+piF1?h|M9M=VZGy_eV2~U6c-?^01wdIeX_&7h9%u22cfNI)6aXoZT13GCmtg zQyepcq1NQhsamnZ9q>1BYcc9+dijgSC=W6}zmGh5R`yamZueJ$Wzfqyt6jL2I4*JT zR(cFBZ6IqT;qA$+whhu6{nQ-%VT`_ zn|0k1?P!i{mz`Ci8Bv6z6ibd;hha9WgO}*5R%|?`dKOx-3B8az4LJ1tJ!1B(pqH_y z2#?-CI6*v+(jAnQ)eir6gpvc{v@%O_i;QY{Zd!|@qv3R8^bYrzuIuF6NJU%qLIObXi6ZB1U;x%78{6XoE#!mFm{;5sl& z3}5`V`t7scFbD%EdfI1hN29)@?S4-I4Nu5nzVNfaFpJ1ai(2j=^BMI{Qdh+%XWa&l&0lC$N{-oEk+IV#!cIx0X3u?XpBpTY|!eK zwK$?SxUxWO;1J;(C+us~ipgRzsy>-btixmyZaZX)-H6U&HoE+q{Eho8@Kf0KzAlL= zKNgeFgVbct1Q#|x(7An$ligH6V-X7E35neStK!_M*&Mbxp7P7ES{{9O7ov2#5^cjD zj;jjaWtsc1+GBEUgULc+B)1#ig`NBG{4BGnhl&zPz|T^GDdND9EXPS0(wg?U0gpM_ zq1H`_ScCHU-PnaeYj`MbRxpZfGkg0a)`55i6)}UcAhdDFP>q zW^l^V8+rPTKGPo86@^hw>Nv!xonPR%4(^*^Yav1&POup$|~Swqcd9Xtih_O%}hmB zY)H*_#>(B&6L;DM7CRX}2Y(Il8wnNa-}$KKT*s=F>0f4Gt)iQ-L@ta+FY7Awf+M9Y zLTmZ#J0rN=y1s2)aLQga>CD&Yn?|l6WRaF}jW@tGgFUD`YLM?Rqqx6iR4T$tjV5QL zETJgci5iplO-)C2Xs$X#6$7n6dUU%gv=+_dkFoR|b%R`5RjM4L9d9bhgD-X3fmMW_ z-sZj}^1CZ_rkIrk&~Td37*RSymWv-o^;%6>E8&lW?p^Wq`g_T?tO|*gOC`xvB4tPl z>iXqcg9)lEpNyxI7lVb5w=B;xU*wn7*LcEW?>w(+Kq&QJTu9kCpB1fR1CV+ z1j)HkH7I=~P4eoFFF`IE!r(yF?G}fG7aDF+l?oY_KrgYnFbKxg8QgrloQU^eVhq?9 zBGRU_74Fzt3%_H}hugow%^uqMVDr=CY#Awkqbk=TRRe{dkk-`=6vU&oGqCfoUhl>Q z{r06hrlUtEY+L6B1n=KVMmMasTcf7z>e#QNg%^vTzIH^4X<#1hH-5Av-XCvPH?+kdm@(=}uWa zKOv2p%7;x}J!fepV}C1F9GTA=#P`aGPcdxG|4y~v3HY6+Q?}1H-=etuIy#uh@2nsx z1=0;YYy=xS*?zdULiVmLGx~uUa#*CpyWW{YySrFGyWd$j4dtKB3aq^5G+{WjnsNz5 z4`?Y(IzFEOXx#A-@!JgBMUH=(?FEly>48UBcB$)Msk4vY4F$Zbr1(eHq6@FU{_ceR z?V~$;kKkm$fLM()VMF7^!+=<8+Yjq$YZzm}u6O+#-Us&g4F#^xm*f-8a)uv$vPjKM z_Ad**+^*2Wbi~2VND5v4gfke#VjgTUSyEs3UNQG`Y+lUp@(TI%-&0US3R}_89ys<% z^zwUh-s(bg@*yc;$GP1+9`Uj5S$UP$^bJ)?o*vMMQXRe2*$G@7#xMOin6{?2WkQ5~ zc@lh==SS9Y;ZcHfw^?AALnT7%wVIz4ix3kJZ~{DTLC%uVTg@GTUJ={Al#~bCyMS(! zWwBb(J!%U~!1e6S4zvbs0OfXY$&%y52E@33dV*nF?;3EM7zPu7M8M0l1By7wv~7y; z*y1TWBvuc~BX<#m5hAY*uGy;6^y6%qhSlZT#wO!%ZZfya@$Ux2^g-k`^=I}UB(Q)% zrO2S34XVN30$ViWZ3Z?NrfVpiFs$d!SYfz#E^%VWPniD{^W7Qmm9x1qj@$+TzxPA) zO;bH8pUa9ifj@l^?#qeNbydde(+P`q1g~S1-fI)@gfU4GWzoJEYk$d0*&$E=tlcGE za>S;@A_xb~6WU4p&6(-cD*KZ|-sM9e(J<`bd?7c>D(WbD^w_J_(-#e8$HqqR`XT!h z!Okda_fRWg8{^H$6D8VK={gHs#+w%Oup z`Xx~;RpNW!qe=@{VJ*$U64A%^W!awI=w!&U)&FNbEqj@_L0tMP$J+N#W&Q;P zxohkn8gVfPvC->_%3X1qG%D9dlX^+v8?3gYk&xp!pl^lVOtSj(kI5fRhyrMBp&bnc zn&UC)s&e!`40mlBNtNfN1(qpgN*x$d3}TZ@%!D8Y@20|QBar5o&_LL z``dzA=Y4$q^dn4_=fh1*F#YjoI9CFXCk{x0Q3<5%1`*fi8@j4UuhKtfHmT*q%C=-r zlE)RPA*B`GpRqHF#E}wy&g1;L_EmdVhlVx2_P19HUbWzk8lH(|o*7B8{Kq!&4_2zk z308KpyIKpDe?f}%(!%W0j%=VfQvrhSLp7X3OpxhmPwz5{MA|_Sl$v;219Sq=ji~29SDM}mcY8v z5z-9k_B~Q-cx|n^VHqgxal7?U#f1^wakn*F)6HQU@|`N3OYd3Uh*g}2KBv-+DGi42 z;r-?x2KXI>%_X)nWWrvq(fWqkiQoTvlkW2ow((4{ZfhOyHSrfpY4A4GB8+r*jw`vd zPhliDmRNEs8B*>sYz^j4ZQcHBJ0%)vC(ys3HmCLTNRHb`oIeK%)}J;)7Cb_4Ij4ln zarGb-ylbMc{`Vf3#?x}@Ld}goO)eMO^7p=(rj}r+B;ZLfh`Ifhq}GDk5i2H)Z;}|f zxrfleZ=uIZD0L>l`{&LER{YpYt;`4soz8r@1h`Bg2h0`>o4RC z{w`2Y3JucT-C`s}e_q_>r7gWknMroATt*&~1@C1c2{j!#mY)`jo|2s4Yqgu~oStmv zzwMlCqXlLmTHxYLr}DXb0_}4n85}^j&lpP~T(5a8j%PDrQ{ystb~KL8i%;bTVEOpB zmEaQ4ixPclk;ASvNu94>-N9!Ff;ZlI5d!-K5iaqsGTjcgQv+Yt(B)I6che(j@^{buWSZJ&Gi8i0rEfHpwBpuXgzw8xO#W7 zl93ePs>CcEY{otkhIO>TjVYFI#Y5D!%$)V$2xC-PjP>xkh*_=7*J9;=_U%Fm4El!2 z!03Aq+M5tWaY=NpoZhkAL&@Z%OV1Dvurp485@PZ|Ur3PI78@i$i&}CKUeUxd!yu~9 zd^vQBc1B+hGRyu9`HCe%U}gf@T86Nsn%OEk@TJ4o`;nxXj)%iO%MO;_tYDCJ;*i(2 z`q9C9LB`rbeEBl7X!`c5*B#Hy%cb7;l~oIpuMQ)k>nbT8%wmG|&KM@jiyGpmR(GU@ zlk$b$EgEnU7*LDwR*f*Qr*9Nlh1w)PSyV6!@!py5WlRMtH|s$2rZ6XyUu6LR9QBB2 zVA!+(1D^}#jhH~NQJcCWd@?)Dv_$z&W8F>AIbmK)NO>e{zAM zg`(3j+2(D|XTI^Md*f#JZad9&g3Ws-jc&($y^kb2;hj?R-RQR5hL!UP(mI+6<5g#O zRn&D2CY)fHkGk-EMqzr0m;^xbO2KRHmiN6N5!tuXu_(-?&qvyp;I#4I!-Wu*CXS9e zHhmLm{>w3K&<%IOYhqP|)ls-ME7;yf;C&a5DK|0m@{w4nT zE9(pSHlX$(AoAV7@`aEnASAs9^QC9;c1z;(%ksr1F`#O4EA}-$m|jCDBjYzvg9HeA zbB1IP{yY_F5c$l2tU&syGZ+x~oEO}^CU$4yhc_sN4#2@Izb;8~tW+NoxXyL7-0rbxQxReKD5^Hpfn&Q*`U*GewSBj}e*+udNE`XRg^Cj}%Qrh^{DrM#?eU#Lkv zgkHCdTVPqOq@)ZEgHfX#i544!L_gQ@safOkQ9bH}U`0K5gCeeY$?St)0-sZLhD-3J z1*k@ZxDfHQ?*g;$;xe@w5a4#S15X;a5NrGy-kQ#5oOLtqva_L%VL4T=&4h<0D*@3N zxx+OT6CN{q!hLWa+Z_~=XKd7>($v)-za|ZYUjXS>l`y~ORO7t=7qO27kh6O zSh;>I*FS=1jPMbn18Hw^15)W}QF&HTihJS>Zn7GtW4zem{zWKZlMMjq4a!_nv>-kZ z^66DZEauYShF4)=LpCuk`6_x}G$Cvg!n}WF%gExMUZ6aoDPy^PxOE{cIi#K3SGO4I zFtC}9jm^tp7X6j@uIsj$CVK{K{r7O{b^_D`=nqvbe`r|6Pa3fO;yx%XX|Kx>pXqn0 zQxDq`>mQcs(S?~Dfj#!(J*}1`zXI9HVnk_*0dUnFrNP~Re*^>x;*6lY1%1-KiSQ=9 z*r7QS?5^(gy@^xA-wl0*CFKWUB{CA7?HOJVeW_s(#+6kA;qBNyinIH=(^wMsUVDL@ zl#TkhZ2E0jLoJVmYqJ$E_H!G3Ng!*Z&WPZm1b}w9IS4J__XpS2?4dg0 zi%xS_Ww?Q&uMfKR)&v z&%O6w@J1yZV~IYD2LD6~1bV{V$VHAI_dwmK4_*mRw*L6wGZwAb`BRASCwGSD-35U@ z?j?`No@O+K;z+T$8#Zpe1IG3)Vb)~g*X*9+`Cg-3)dVO*1h)qI^@GNbuVm0?u#NSz z!rmDC2S1K_RGde?(K|aGs1A7Zk2>(3akOrI2=1#By?kYH?7F_2qS!_O@wLs^#+4#l z{y*mmiY=6X;KZV?xfQ4TgEH`bb^^hy^#9&O`3`#<>Hst(&cq~}?vWws#v4;-zLzV$ zA!UM-Ao5ar@Zo8zILkBnwUWt~@am2&J=eWjqf#gZ@RZKqz^0N_LG$}n77b8H9QQArt^jqQ) z6APh_$JwqI%0SMSK}{&cp3WydT7_(@=a^m;!30bhr-aXg<8S68@p7>2I);f@kXfzs0c;tM{8i*c5!LMd!*{4ws zXWkmNrv&GD?X3h<(YcRL6#oUbbyg=6yUK|o~qw(AYgpL z{)U!6z<`Oxtp$yr&uvIc<9t1;l;7K6T$%I*8NY;jBrG%~=MiUGrF-GZfb`Li6r);d zRMk6sO1gRZGAH}8Le0A@=VN2zV@f-qrd6$=^5M<`w4oQ1V%}2-qRHnlr|Hh(A~TO) z?cFz5L=;hP^qyn=qC&iC)4utwOy}d`MBSrmqxRnI>3;Hll2wF;il2LQD6Z=-5?1crs8X)j8n?Bb{y|=(j_Nv3xn}asB&cAbsZzBK8h`$Dsp9kVWb<>=y=uAA_Oelys}f>N+Y}bcnN6UT(-PWTBhD<;a#d-iKE`zo2kFvWqEX2 zaX4+=oy^eF%D16nr<%PHmrv2rs#hVq@|PuUQ`@9cKdT}_{56Rk)6se#uHI$ly<#)q z>+ttK?Cs-JY{7O8^H!DaTGHjx4iAGr9_H4S>StC(tvC<)o9?KUZXT_#)*orF_i(9^ z5Ys<)W#xd+93I!Lm3$u`5AMzSVIfcKuiiE+-D%ne-F$3I3)Rb0@;Son<}hBWQ|NvQq%uiwbx2yGd6Yilt^Q=Cax@K)Z!PXWo|CMst?5LFckwL4^lL z<%M`nC*OjO9h=RPc?sLnR=gGjb_aM#M2uwZCEr5aCM1P6=ksK0y`oP?U0rLKzUAX$ zDT?_DpN_Zd^RL5y(UsjS7v9b5TPvsb8WyEG%@x2bcJr4<)us*GW|0~7sh!u-r#eT1 z&vRNk`}3SyHS=FADi`anU#@@V1=toURjv8>KH|IsYRb?%no;DBcFs%cy4w*{9pqcf z&f+RIH!=Q|Sya{$o+&ek!(l9GE4=O-t0(>qmM&S_QYo-vKZ_f74XDx1sZh{3jl0C& zx8WP}FZ5cS_#FXrVr!7?$0+xpp}lk|?ql8&P}#baUCFAma8aRM6;QckfN0QFSBkz) zc_{KSUxvFdbqTDnr~S9F1hg)hKfS6_SSq_l?*IJM_UsV7Fum4V;823~uN)_Yr7s5^ z)Xth65zw3f60duJDZDYS&-zn!+PVQg&WhkqI>0YF@#RLXw-(yepTS3oUfH+b5Tt;` zF|0PwzC|>Bt?KCz2U30*Is{93sd*YLtKNFgYfxGrNJn2S^`u{8+anVSQmbrrcC>HW z@?TJXeK@=YU#3WYxg`u{?%L@~PpR0?Xev^Ax8vs*G8x!_9oUKovlHyAm=jTh!HJZI zj#QO8+P7qZx?DE%n?~B1crD)+Zo32aykPGy+GfH=*J#sd7p;OxW=oryY0)oHUs*5U zKC+dLR(e6h$2}%Tfm9twl>r8gPs95ndzD@cHSFsHw~@zZiqQRr#*ptrvasKXyRvzD z&+0hlLc07#O37K!Y{>#U-nnnfO+zN|=W7O=C;zt{or_sVxL#&L0oTp~b<@T2wiZOK zS=(k>j87jnrkY^Sx94WHoyu{1^FhgzI0obzs+Py2xQbG`sEE6TWW~s+_XWv$5jvCjCUQ zk#y(J0BS#Bc(i!<=^qE0)P6Z^aOPdPdPr~yYao>c!<6T#ksKK?S=m@^UPD5hB(kw{GaSo*>|gkS7BNQ*N6sv zP~WUx;Z3Kj<5~^GxCU+>CLQ9fKwvY2f`tn9b+o#Umgb`9Z4h~MR^S1w02}S?lsjG> z*7r!|?fC7)tZJ-nD@FZ)b z70+zqw@m(IV|CjVy+jW~#AKnv5Tdf5JQ;K3U0NYOkk9DkaOS{Lgb0;Jtu)`u?8Gmp z%wp5?=k(XS*E^}?gucx(2$Kh^QPl|VJCL4M8Mu&e%>bZeh}fhT^< z%$Opi9lb(9#yK;h9>33)Kg)wQP$g%r0G`JFtZR$HRU0EVE#<|Tz<>+I*p84?Zzk~S zpK1<-8N(mW?@8{Mnx(PTr|d2suQxxV1e)Vyz-`sk3#BkcEz>HKfAtyRN$+O$0-9xD z;qmrP8FKt&_@0-v4*9nF&Mfw#oG+6jGA#_B*+=CraUAkm3sg zPBpo8OcwgpO(B}MSaFj^epuk0HksS+Uxq9 zr`@#f@?^YRG)VS|1rEJjw8-AOiwz&nyE5)dRHhdwK>x>J1fWU-CsB6;$*2 zzr@ab6i(mq)BZj$Odvje>QsN&zO%oH?>#{__!GW-hxCrFP-iZDG7^J(<;)78=fZ}5 z8n?1#h@J19MuG?Vk0YHX2}VBUokWI~W$<>}vVXLewA(gveR@FhN zi~xUV$WFXQ(^8XEtkKgCwwBSH@S65UI+g)M3S&#VDf=mrec=;{7HRVY!MrKkAd_oK zK8Pi~X9&`U*Ds@u*EKStA&o1PRc=>-?}fq%O^Uhp8e?`~i5ds{#V!i`wvt3T3K0wg zKPYqWH)eIKBVRP3_U;L>*1(l%S$f$aRf0+Lqd=)FkpCrYsd5u)BIttO8ujpKIaF7v z_D6Y<3|0(HJxB4JrZiU6f>QH&4m@13{FPQga$AEKOE}otPSR8RMCsVJ-6A^T9V_+UMd@WVQLhI&J)1pW%gy-# zmm+VNipMh0n-PLMGlhOg0Hu&ekXd#dz*9)eSYbCJfGNZs)e;QyBBii~#j@as-E5&B z9)LLHk!dy@eqBO{XQa>%9Pj{nficUD1;`P?WwIoMybuj}VvN5z^{`R=2_2v;sO@n);WZHN`>CIEiJGB zb-|B+Auj}kcoqu%AOKD9>pdarY?cP#7i^FhVujuFg?iBIRdDO*h22Ai-AI5lxb+yJ zzqYgX;1^zy7Z-(j4zruE>uo|lh?c037aN6kOqO3i*VRKF>1SK80Up6E8-*WWmNRUY z0f_4mkQexcOW!>NFPqE)e`pUB`Vj#9Lmste{Z|V8AORM*fWLxo)U|I9FIP973^yN; z7Y&7a4%hlHn;ckxjl%Bb!l{r);}Caz%K+oq$G}JK5O%2bGx&A0!jB4}9Egi0$P4G$ zP0LyLpht?37F2)$yQPoNfHx97gU09(FNR*zwm(#EHk8S&>Bsxy;mCgpR zYn=o0v6P@u2?ir`R*A0# zbPle|sbeigm5vlWvD+3Kz=+N>C%PSS<+N1C0)54*g|bwO0SeT@c97{809ugLBV)I& z4pHoaQS8c4kTBU9sSwpd`P(B?lBt{e|41>1qik6+UTDpXp2~jgeNx@6q#V{Y?$D%* z9E|ktSxZOg*!i^}2wOT^!s*dAgBGnf)J92Jolm4|+DN9IlS%J5Ah5aiZ0+5(9vZuq zNgJic=Omqzm_$UzOT`q3B0@_{+E>*22-1ta8z+O5pAf?fLvimRhmn_7k@`{#2_aK6ty{iE>+INtJ@^UTQ-)*yPR) zn>>bbH#r;0Wo#Z+FDqWVlcbXJQh`pU*(1kJEBz+<_snaQ{3~!F935%AV)#aU{eVJm}Cj?^j79 zr?p+Bob(%yqT@vY(tsQ*f;sTNg6LGp*zCmNC9YIY7v#OeRpS*D-fG&)E z`Y#y*_zNA|v!19rma<9@L&>d@;MA87w*f7C{laMF+q&`R%#K2?S&XK?1M&4blR)t` ziI5FrcLsn>htQpafea@08pG@}cbd_Yn@su`WgK3nICXt#0s~Ve1IQ zvi2f_$Ip8<*Q6x7f|5l@C3iY^zS;#q3~Ly?@K@V%hly$Hsg&8Zbt z5OP=c#R&q>4-c&Ox#C6n-3o6dZZ3eJhtl3NL-h#n2RTY{`_*7aCeWZ^d~yj%o5D=U7- z?EfhCvZoOcWK~n)E1;m�)tm5#Swiun5%19uLn)OW}Vhbk4-bTK((;A5Xt=gCWZt zhN9Sg5h4|^-5(LofPQX`@InY)qnhw?734x~_|KVHZ@o;Fe(~trtgQMtWw(9cvA7TlH`cTcCh<7)!g3c9?L`4(I_J_zj29KjDzO3LA~AjRIg%0M zvt>352BnK_9qr5n>PZ4}C0u)AY81j`k`rQT=YNT4?e>ztV+0QxIQZy7j{7HsFK&iWPJOwE2kgizBPAyx_oU}O6S5D-^u=_ ztMdR^(~`)#sFVnk!+ko@kdI8_Za2B)%_d5*rvtQdS1UO6rcN;01BQ>ub7S{Z-i{(1 z^^RfjC8!F#5Hd9cdi?rNbcBDAi|`dYjVO^L6YO%z4#{Ld=t6a>J}h;tQ-Wno<^EG) zX-yqTXc}1Rv5rBVzsAi^XTRcOon(j4S((}STbOR$5j<-FKH79$HtgD*?Tzx(pb}j~ zs(=$(GWCVXW>#aqxYa!Ib1BltQe<4KK_IJfkGSbblh}9cRF(9b7UC~-w%vH{6|dI9 z(GXpH=4`3AA2P?tQgNqf0wTvp@YpmACWzk9O5A8$KT`pO+ulYxri z@XT%7^`|KR<|1c1g*qdF=yq_q`$5Pwwtnmu+Se*TDLY3f{upz^v*O`Mm}!p7 zZhv*2`lI-uiwLXKE)JvAzKoz`@6FZRkZz-*Wk$Qq6#Z&%Ir+dB_%HNB)S zhuU7~$l2l6vVc@+_G_l@#mVd+FE^F@wkM}#sFHzF5Z}B9_0teTv1h# zya(k{g%NZR%##L}X{H!eAO*2bOC+7L*yB6n!Nrfz>|AVZ#Z;?~U6FcFCJOdSl4+`D zXwZ4q8`9B|z*#CVoz_?})nY(2{RGmV9Rq})pIx?k`bL<}Fs^bc8dXOp?xPj%qgL*t zEI-B^W?_G02u9iKV^&PS&$CK~UbpS#Le5S0(0~<5t(injf+cSi(wqxl+u_F~+FS_h z+o9j$bS7@C5=)FFSw9ye%45evl1x2U;@w~eI{M}|xv}!AvdJ#dmnMPCb-W}n6KRXB ztP?YUk@e&_G{U}g;osJsJUl10e5J=n{@BEEv+va8JYB&)& zSCWk$0Er-z@j0(eC%_*%wiKh#oqb5#yx2I5z$GOtKGJg5_;9ZRB9)iVHaphqNDd!c zj6RsG=kAwJLA=z|&&Emtnx%4{SOyj>z@ReBQXHH6&@h8=0!217_aV8rHHK8=HE{*% z%*xp!59a|d>l%kxx5drPxXFfM07IIzc@?zt9BFj-yy|@p?SQui0K(#m;4r59vbVL> z@HdzlF3k2mD^@E`@Qxrqz4dDSbNGP-EVaGs9UL5O*{O}%xk(C|#J0tsO@Q(?bd160 z)s(zfM4lT66D?5r*$s>&!G(z;pbq74MSATLK2|EE%MW63@=6dhNU+6OW9DkKnphny zJPdBwr|1MQ@tFQY$f_4<`QZ$z=UQZAdzL<>)9MNzmtecst0TbQ;-+$#xo(Zw4WF1= zA<8o3P6RWBIzu<^D5MmAgl^VWPc=XoxU<^3OPXVOO}9sCVGQ$^IoIl3fOeW$OSJ|Q z&CC)*!@ANEf5DInNUsY=KVj+j8{Zk4=;g9=A$rxU4id3kr*h2%li4BSym|SKgvgds zEJJ2;yZ5=CJ<(*0CU6H{SO3j^P|NI)*ONT(yet>>59as8fo1^d-_ccHxc;SOHyt%E zvl055v}nT;YHcA_2O^paPt^$*8?TQf6^^JWpeQwUr`2wold&fl7B z{98Dl{Vey<@1FxZaGSwm;ufzn@xgtRT`)h$+i}-AU);@(aINvT&D(XamtIaokL%&` z)cSK2xrfNlPv_LUR+2|;G~5UFavB+40wC}xU#&}Q_QH?8ZFwm%^d~|Be!C3 zs$Q_|vRNk+98#V9+`i6eE7_K4{LHAP^?|zIaVJiY#0=K{%zGL@B7hb>rEW1FkuWnN zvFn!LF(fz(^vVT0yg|sMGJYh(GEtmf`H zZGx2;XJ5*F6xw|><>K4nJECY?YRg?W)IaLE)@+W)1alEYouRA@RaTbeP;(-nB&M;k z2~M-Iv>fDf`JYXX#}(BV4y=?jY!~=hL?SD}Z?N4*9oC2$(kt%=;mej>(nLiQJ}kpX zUX*Eem)ynpts{JS!FDBJ{7Ar1h`SWliCfo+3qZ-tTrKn76|jX_?HncoE!X|m5nf{P zZ)XDcZQj=4h_hP?ph7VPci0O`&22$GGT^juK4aWRSAOh>Jo&g!%j$P|NSnly_aIrAv*C+>m&$+qd-cbL?7emBfgbDB$z+&oEXI^9C@OHlKC-Ui*d zTW=JXtoZ*6CKB20l6}kb&jC3JcHt8MxL-0jK8zpBY#A7x%(@%xN1gW{7A@s>5nLAnoI$lQp>tX z#x#;?Ce?(@WI+5#%Ed@>QD|Lp>F&At$r;ug1x?ltP}8c5z}_sXU(i1z|vvDvM5$fxa)uK|+Z;IG?- z+-`?_#}2s%5ULXWKn9su_&##^h5Cb+99+v^}6#$PP0K%p0_craGZD|W4NLpP_2a1c(Hau}NI<|fijun57%txUN zrvb|uaodKW(aCCme_a)bSQGl34DB@hKnBE*FzW!Jsd})yN7Q&~P>QK#Uoj2=lI|Ny z)NndNYtVYBN}|`42*e}lQv3*VZaN|Yc;^9OarIHFkc}BawDxSufM}|W*&&)(T@w^O0G~-_=A){O4r+b>Ne*g$aC7#$D+B%45 zS%$|qYQ?cr!{=v+(`x*~42ULj(hhln9nu7ZC*?T78Q3(K{p8J*BW~IeH{*zFIpW%m zxQl?}H9B_VjK=zrZ7h;aiAO2A!t$WfBqn+)Zer z={qM8_3$S@ab2-lVnxiS*P;p9D-qF;y0k)(e32D#IS^dgGZno;il~OaLNhD5Qi|w~ z75Gu^#ZrW^i>le{CFzJrg!j^PgjNe*t(nqqnvB<45r1Muye=J~wdM6dq@KoTnq2RI zc|&?lT8rNZMB0+5Df1?)kT=_fyd?voHRzqzdft^GL{s*?84#_>AIN}cQa+dg(X{=L z9r9rbF;9v$U2X#;#o;tnK9^CCCgfi-AexjfWI(hAeJKN?RrD1=c+NXIylr?ibF_aI zD7NXWvo-0lv*Q2Gs7k|s3lQ4~__o9(&S3pW(Ct~62;zN5s$=$(X20)BNXn&$5_A_J z`CWN;h7ctI@jZapn*GBJF@7ZHM-F0s3{1Yh_h(7bYWh{Wlt>ki@8`43Fb~J-k)nzw z%?U3{BkoVak9|9y_+Ye_5(d>3}21IMnEg2BaEk6nfx9PW!3~kvknRyxa zu?$ICWgiE`=6IiQzH1_VVh<1&%U)|0@-n-SSJ@$Nv_t;J z4tX~q8Cyb{Vcz3}dao1eMkmxwPN?@eq2BL=`am{HbF&X-qcm4L9X?`lldDka zU`oioeET#O25viS8ph8dhqHfO^*_Mncf%c4#CNTT?*Rdg^X`)0X@}fpt>Tjih#y)JKe8fzY(?B>Mf}8y_^B1~GaxLzd%so4&#j1GSP{RpEAoI<$gi!4 z-&he3S`iNcVX@hBu#J{KG|$73;vlcq&#H7yY>wZh*caV-=SJz1aa3x*Q)~QAPot}A zVC(v=6I;3}z0c~ZRjU0cOAY+Jab^V?$6lnlIUC#+o^0>f+1jHO$2Mp0L;;EPoH6s#>;+R+HBas@BT*KsNfL_6|5_ zrPRH}uFGob#ud|LfE}>tC2PghJ;*Bh@JcP^;)zZ_8cfSO>>d0iQYCFY`k;L@^88y5 zDJ?3cz(9wET@JEgU#gq#n5A7xyM$+a!Wn!RM23`!?0=^$pYd&>9c1>U1Vj`PM~G^S z1uF$%ml0KxRZEH3q)hNSYtN<41@V5FqcC4dOkL?-pHhvnCnKapLekbt2Tx4X)~IM{ zAb)Ic(b7~@N`X_P%sD$XUtux@;$XTru^Y9JB4w9W%w!6rlybP(MYxntHwZ$CGYE*W zkS)s;K#~ySH0`G_=>|n*m^nNJ5a;G&vhED?+w8i3iA6osg zeKBeNTo2zPotxjgXU7i53@4-q_U~Uf4l&cX!18%RU8QlSK1}m*BSq)^TBhN03y+m) z+?QYA%Q;DBnopmp^pRTn7@0;_EF6tA!((tL>}fKM<-%8K%47PKIO-4XUjWu$%7g7z zhmx!EW~|DK#HI}9b$(T@)6&v9PNh|xh9}Kdp2e3%r#!vPme;W^>BZ>#Y52!|Yoj(R zS<4-NXy1OmJ0Z07;go*TLs8rBTn5|!0^?oT^N|np{9hx_SkY|poxtPGsJ@D`@ONqT zC=AX4L_K)RNxT z?2|sEF(k@RTHvs=0M;6>fpNeX@6+L$<((*FoR5e+&ObzavW#(#Bl4;o=PDvE=K-$| z=OLevG_GYBciuYCKB>`I4<1Mm_453P`J(Z2r96|5=RtY$UY1dw$Hz9j8GJ94?O9Cv z?;r>7$nhx?yTr?q#>;wyd~Bu)ooSCQ(DLkJ+ELF_*Xtqc8Y%aWkp3f09?MC))}UPj z+RF^u0%$KcXjg;w3WN4i(5^FRSAmA}+prz1mu4YaeK(8&u|XrAXcK)L`gzkbuXO!}q+crO z|0(kyK}?y~BF2lge5SF_7N*~b^i7h7{mXL7yisCjL8C6DAC2_eWSV1A(a+G*-CDYy zk$zSNooj=VnI-2Dz^Hb-0cq+yUxjXxyiZI<#mr&B)cAnMzXJkB>g+C$bQ z=xUwJs{bwE1KW}X_@0De;NksoR>x~Y3cS_t#;v_ zn~QF0ZXuT2Yc4#*$i1>uAIg)#-%{t5vrO0N)e_G!ULK_lvV7pF@RO{v<);6C00030 z|9AnUS9xp{RTzK6UCLFCa@T@bDHJJ)lpYjjXJ>b(?941Pvq%kbR0jddEf7S z@4LUZSy3ta2_ZKAw&e?&=u3!HJmx2L%h#=}=_k@^vQ9HIzU!%8*5$>D)|O3c*R-r^ zDqY+1L_N0gGbfMJd|K+fCJat@hL4wQD}ILY!OD`rHDgx_~~^Mb;vN0282ab>FRmlMH$tk z@uHc2y}qmBEH*(H-jp&j%3bV<>A!q^2BgA}6x0=Ep{S(QBQsk}T8|X&dXQ4jo=^Oj zeI7vQf&)VN(?-luokl;S8oAh7#9n&%p{;LV$FOjRmbSGezGmmVIZ*5&+WMUvKA|bH zOouv!Wl=_Bka&dNFSpJ8bs)`BlRySq2=u<2L;A+&LwCy+2$~@eG6Z}Ui+S{3xPH`i zEJSQ##+5#3(hSbK(M8Htwdn4N${ z%+N_(IsPF5$J2mRrf$Y`rxSDpL;q>(e-QraChJ)ORg@B{@A19xe=b^VOLKG&8Q)Rd zVH~Z)&o&HX&ZOILxDYUZ0lWAzH^pJVpYb9oqU=itCkF(<&akG6gK9eq!r0X>tBoef0hLL3xA zWsImG`s}AqR~D0UQa2bQ=a3K@=LiyeHnJR$8*C#vLR0}uTT=G@;~kB4Gb0m&;29uZ zp&vzUMbDxd$X?TVi!w`;61ia4)he3UZa6>Jc1e`b*(ftQ<)9E3Th|Q$S{k7f+D~Ov zF9iTU{rQA77vFyuvda>g+ruC*pUoGlM+@I+z|trhfhd%evSkOI2s~zT4f?UQdICaG z+Kq~f%0)e>?L-O6V>zQ2DNoIT3^L-4oosCi74f#xuFzDveY6jg}Lcl!{UX*-0^pRB8Z}Vsv9u^aU!SJpu^8x z!I!Mgxrp*TiWl7jktH{n_0iqhd8|l#2a1MRrGfQrDtc@)Fw*~g2XS@P(Ng{rJy*O6 znWM3?{2V+eRpI+&JO&2*3=tb>UR~0@17?vvR2@fcV40!ZqU(0fTLI-IFl{Fd zje*J%HrZn$dD+(5c$(UW`RSWPHr?J$dFwuNB zP4%@78=@*&kzuDKgB!9=mmSs9>#!JjE9u8nSFbP4{gtt}GL~=Oyc*n*_{=@lK-Xel zQ|WGarzhBX@}oR-k-vlYQL%1ci%gytmOP`g7I%EkWKl}It7q&Pgw`(GyGf1DPUPx7 zyF)Vw5nO#H@vhxZHWwh^y5Hq0OSw#+`F;ON?3exLW@ji4r|jmvl~V!DE4rF(d8%QB zxel`1vmZKjuG{y6*E>Jl@i`@&c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C=90RR91001#L00000 z0071U00000007xK00000004La?0wmfq{(q#53R!}#vKXLdEFi`CuLJ;klAYSuBcLlY~)24usu0K*1+K3abAi~j&W`bB`B^dP`~ zupfLtkO4!YXrr||Y?$o>ED_os=!Kf(JSyT3oe zFaHSX`%j<#8h(Ba&_09g2aWUk+x>dC7a`PWokjUpt@-3z^^O5rIml~(3}54aKY_nH z<&AQF=g%S3?bY!AS5V?#!tZwdtk#Tn+r7qd9j~e9+V?)fN?ttaG|nFOqSp&=M7hn) z-2BpFZaK;~d-YB&DzrPOxS_%Icia6=)bG}#_G!lFFLtAodbe@bihAvKvwI_Iw$B>J z(P_VRObT|R>$TQN)b2g3ccO0lwD-8ysYi@*$r)_)x>0^-e?PjYb$Tr%v}IiPh1@VX zYON z)Xoi!+rXu9-gk{`3VS2KxL)ga+sBPsuYMApw2%Ae^;XZa1M)RmfO2kMS(Wy z+k6sr`$ylXANQi`y|%#yggoyW#E;vplZK^+!_<*^-{KT)1Hl$3e7({?>K=C*7l!%r zXPtVTz;6iMchIzGntF8GkvHU2iGii1ckSY$**LBpHR}<64TM@K(^Ke1bp3Io_YkB- zvh#+m>kZVQ){CA<<2OKV6ihOA+bHm&4-T;;ybneS-oq%B>ubh9YjE>P_Y;_miRt<{n4NRS)2WlW$k*8Y?H|_>{zB@ zXG=zci3H4UyEVU6Kdtqfz35J}eT06eG6eFc2>HkHpGcMdoqaHhW=0ZH06c<+y!J8t z8kR0LYZqPg3N)C^*TjD`iC#_~vWT)We+5BPnZ3%e-iIRcLaP!m{_}$IcKgi6#{a+e zaoD5Z?89#BcdO^Mi)ennQ?H#=yG{*MjdAs5n9}p}k2{TCJ@w`EG_sa#G=J(QRw)jsYWGwnF45%+el_xD&B?w#V{@vX;HPP&}JUE=- z(Bc0i{un1iiQltB2y*@HMh~{8De-pcAXVZ|x)P-{mLR1w{NHRzzVFz9Ia?cGY}_O` z+D?)vq7B??_afN1Ftl0_&_*k&MQ`s^?iee5fy|7D#P}Kf+U}`;VXS7PmV!*8#D7yj zBfsJyG*U9(?bgp>|61zgD?8?^`Y&TN1r{NBP{Pl#1P;d%jW>;^qGCA z+HV#divs`4$~dJ%477n7h$2B<;WP|2*nX{3Yc}gm_yxRggm8ade>)D}qQ^D31sYur zJx^{#7{YNN89l5$sz(@h_Zk-*L3Y~5P&F9bMYTs5qcQP9RBV~?Dm(!eqAnGKK8z## z7|h3FVGt}38+qsw8DE@`nA+kC_|2AejDP|0w(&TW(YFmE+@}e4X5DH7mf;ym#<%Oi zL3qfHU&3!DJC^HR%aDicq@^bs#FH=3k0CW#9$k}OquKbDb*aHeRBZJcy(fceWQ6NZvihmxz)N|)`7*l>2OQ)4ZC@QsJ>a_;=REd!oSo-&WSjGGE_?+3CV*U)OE=LU3 zJYIlxz3|QR?ioShA(y<4-^^kwX#;Bl2<#@%3lIW*P}aT_RzpPUd68^3Glx>mF^d>Y z0W!B4j+?=gmvMn=W=Lb7E($A2v?vm_>&-xMv(4@Ro8; z{jg*gMIhL#zu$wOg9}fL2lYk-Z%GX^-|(rMw69ej!ULOuFUs-`=EyExc`a(&#RT~F znjb1~{yn4=g%{2LO0>h(1rqTZr`ccIJ3W2P`&T}JO3eiA(t<|*u&kHIZ$?v&X1&@8 zW?A}2Z{|-1`0x{8RaWy9qe8ydfR(%iX< zmikbaakXr?I%C5b8&0Dy!G>@daah7x1Lw!_UZdEw4_Pe3T|zfg+&B50oIB4DD5`{q zRH3`4)Z!{|xbOzKw77KR8dGY2?Xd7Hv8K+98Z&BW3h3~RH(o+&K-1x&dgOd4<~2s> zN8`ugq?WGBxM8#JY23s*-7F*KA2dO9ct)HVab6X9q_PRt*saD%qchAJ<0AfRv`RI< zPZORMlGYzHn#^c2jx5diB+Vz(Vpfk)JLQ{AOsgHg$Ay)NvE{q?Y$x;Z0ZW@ZcJW3x z!bW45S~p~4GG+{qs14sR8zYV|ZHLXz$Y7UYv@fu^+U(~{+wIy(bQ^;nyV?+gorA)jnw9*!$YzI4 zTtpw?U?rRs#UqWn(c=b2TkS_!TxnNC*0I9z#GWOB(|!)H5Yh~gx)=51#%Tj3KdLox zPL>&0HE}W!Vlar}+R1>IJ#HzuqwE^Xu3x>#-5po4gaO6ip|_p8#!^$XS^L=(QA}p{ zAEU2uhomi)h;hk$0ekX0ZJfyhqSu;(rb>--j++#QjXJL}!S{waXlDuRK~X;%bO?<0 zvk1Dgf4&gyK^{BN?HZ1=8_sm2`96!;jHG0J2@c{Iq(p!QK0!-QSp6j5yb!;#6UOUW~Va5zIW}vBZxh@h`2-jpDw0p3e&%H^BsJXfC;O~q9?(nlIaL|^$?chHE zG|s_#Iym>g$5$Trnw6W;zcV!VcaY+jm~0qqexEZVIeXqU?o{bp^vprCmHsi0zCGJ*UY~2+J=Mn#eB2=2uA?Bb8rSHXZG8*^G5TD&CbIick=J7FD@=tYz$M_ zgUReW+qt;Evz}YpsB9NDR_1f7_5%@g*COp;p={HUkfYw)4UDL4Do$W#vdP>h@FfSj z-i%Om^m??h7=1o^!{*{XsRZko%Ldj~k$jD*H`hXHqHGUQv$?tM&>fRwBaB(!!#ViT z2?o+Q>b>XhDc}fuar5TlGMi&l8rfBmT(nc;Om?mNo-K+FfW$$|b7pWgMq8O>%zl<# zH7aR#k2B~N1}Q6(_$r#9Qw>Z?Ik#U_IoHTa;vM$5Q7z)a1a4>Jb9;B*sJdCACz`V zzgn#FXZKDhK&qdrMHVfHIZH#U6?co}{6P`HecxULJW+X^Y%RWXP|g<)s`rZJ()Qk+ zDy}R;u{-&~-O_GxS`w4Je8G3Owy&mCGCj7-lU+5N(&r*;Vm39Y~N52z06Fh^8(D4*h&zE=iwzp!;ITl0e;=ZJdEA17OZrRQkiaW*K zgDP}O3~pj;?cDqdX?NiGAMFSd@+o1a)fQY^_v^LyqI(V8umeMQr+(g+E9*XskJf@I>>ozF7b$ujh8*rq zPWIKIoJBs0 z6kEm0R}c2~=kKg7-Q9iL=|{HH{YLAg{kR*gvz$4@JZlTC3gnFUH`c0aE9M)|wuy1d zl@*-9FjrRg7<_YOum;~cG}$O}?L$6_96anZs%CN7@*71UInJZdtvTuDcP|cIo5$D< z{qv)G$G&q0ao4uH;~E=$xo(>Sod zh#-$1H^Pd=(7lI|>lH|z-3`%j;?-w z7$v|3jHrQ6PEYIg+GNOld8*b*LJD}$8i)RnL%plGeHxqf-=7Srx28gBDA<4@WxsZ2 zF51m4FHVM7emcZ5papz{yG0nElg3Vg3mDO0#^+-kV?G&qeq}oHBtZpCsD+yC_Ip*J zGZ`w=X`wW%I4(y|F0lRT^z?b)l71?=8^*f@PI+Bpp?;35+5B%MvgGu0;}(ex5O z5NyCu^YcW~bvb_apaLe8^;-3~+dAq)S9d0((RBTMCb+>Ed8EfN&MuFU2Ny7k$-MtQ z4my{Vc@Ha&i|2j+{n_D?h81w(_(D#wMGy~tBxV(+c{4MmcD0&WH)tb?D`xNP3)#;O z<2rF4FUNAL&euRVTsrF~gN+MRMtXFng_U=8xAM-7aXx~NZfHhFhz#FOv2_fNRa|j= z;>LOOSGM5O#Sb2$l}Kyi4PZfwLl%p>E6yfi{Wg{t?F$RaJ6B*V72&Ne2pKpMRda*U z-3Gjeo#Tg3qFs+6?WwQ^6EApJ3Xe20FJ{f}?LPd3D!X?gk%`^tP4O~b};?ji;qiRiF(C}iihnd9KhoR7QXZ8HDK z`iITE2&K)njiUpIVPIQ)b2N`s1h!yiF-ad1Y2oNmKC@gmIoIs*K??s6Q|T6FoSRqV72tN^p>h zYoB=uo&`&l;^+2bFJHx%!`^M!T?T;cd>RDNnXccMV4)#x=a=@Z(!QqOQDs;+C9ncMch>K+`4yuc~{ zsNH}+5w5!nY|eh7O1od{w7`^(vttC0y|6=h0WMNX?a(zh^GM*hqR)B7E^fVT@~8-0 z)Z6LJ_b;O3W*d{kuNStzdRQsHf#i5%Rr?$wToLw+zWK*Ij-rFpD0U_4JS4E<#HNec&2baBa^)5NNi(K%xj z_$(2~m?Dqd?WEB**FPc=9#%VR#leqGH}^SEpny$G5Ra|;QUC0Wl;;?vYmAc8euQ36 zY@8UKV=v?wv>jLks_V4=7+n^JT1H-7yXywiVJhIQM%Qek+PkQ?2oIfGzZ+q9)yd-; z-s(IvNVRo z!^L>vN}~X*Vkx6VF#bkMIIFxCj%68ECXrfNbw$QrUdNaG2`5Fn-T8xuopv9mx>y|p z1IJ&{Szx#0h78ahF zECch<$dLWT8O9YqElDcnnOgW2KIq-|8?|@C0c%I?QG@ zy)in|cAoqv@GgfY?^$5o8glNb3 zJ@!JicFPP|w_v@VnN2yKxmmwpYRBKWP$kevyJq6);%{GOF3!Vws*}9lxirsJ)zDRW zNXRid-Bug6RRMQVgUx}Q3^Fxi>+lVfF7}zUDwv~VEX6#I2mmLE{~0TDkQz@M!T6i; zR(Z>Qlq-a(gItCskyOcQ#|uG(^;47(vsuLSp4GzLJhq=84v(qYt_{;65|Y_C)Aicb z|2A`a_5bbLHYu$~w^6_(wXtk`SE%WM2SR6dozP}CUAVxv1q{Z3#PJxI#I_I`rrY~F z)%&HbgS$3L^(yr2AGWw+^u&JS$eGFQYIMIxhom~(w@FfYIHSotJ9}G)+lP+01mX<8 zR0a~88S?k?+lNJ4D%I2B?qQ|4W#0#8s!WpUqYe^x#8eOWxAyM4_9k1H$im+4F4o#(gc2^QlVJAo!=>KyL4%{LnK$&~**~n@t=__RE}KvD{jhZ8N{0tFY7`yii~+QhmmOg# z?3oK^hJfFS)e2l~X_%=jY$ddObr<^;u<5Ac+0KY^Sy&{Mk33(v^-c*})nNP=u?xro zV?tCO+m-Hwh!~qsrAh{fl533=b|fvi9Q&~_xrn_k`LO4WymPqAon{01eSjpgcgb%J z5)vAD@H%3P9k-N}D%cpbi!B_x2Z+bR%$v|rrDRxgufZkQmGjPShK zeQcHeqEv=?R&Dka6iS}#F)VIX^W-d7onfFzO}{mXn})^&M-<6hg-SK1JPFIq4yRCj zabi2Ysxg&7J@3C;LCx^6t@osVN>$NF@xi;>u8}EdxAPUpCaKhe1dW7pD{QKr)Vg}pyhHZx^2 zvutLT4aWgit+A(lM$Uz+R5t4t+m!V<8%B{ZDYDFlQJ{*ln_^y)SJ<-o=4=>+hRyP1 z(q|&mLI{o?C2T>72ET4|%=i!Ry^ca@BbR$IKp=XTPEaC7fOsEB9 zI4S2cg`=L$#kFH)r}rbmA08DXGe^vMr*Xs+J@<54$Cuxf-R{?;N$mvNwWT-SqHQ)ahO5$?HFYrSV<$Xsx$ z+80BW_W2RcOXDgmEGOl(>|VcTKaopf7>drE5HNvn1ZH)mj%3`01x0V1x*Dn3wTp&5>=RHF9l8oRpJS0&2F@? zz7raDV>yHcuof?dWeun5HCT>6Pljm(j}iSeU{_TKxskzQ8ag4Sm8piO!$V+`$FM@7 zh#x%6w2}du;2iI%x~iatro%%Z)9Bj2TUu^fZcvd0O|CY%eJ) zW^lG?aF;}ZX0)g=9Udawto5`5xsM_*hHW_GGco+)uqR}YutY+wU3Y)_GMew4GU&w!}14gPZn2Ive zF(@irUZRGUF_A%0St>6PihA_4GJuLNk{4Upc%{scYZv7E026lD&G=xQP=4$VZ7>E(kC zUk!!@h2e^7a*g2&ZRYY`G0<>D$*smvMGL70w(Ql@P!BNH2J|c{`nh8`?KtF9AUuws zdX^JPS2EOjp<@~f`c`auYgtLOW>P0cR7)-egG#juV`vW5;ejkYzAB*Z+S)6A-~@&U zxG|8ehaOWbE2(Y)c7%SwN}YW2{glV#{q`THu= zLl1_QRNtie>Q$(R9x*Kq&{wJ+uBI=O-Zf+Vcn7lXFsAO;4dVEX*gH$@`B%jF$Jm1? z3|tT5YNET4b=AA+ny7YA<*3BZp(`9+6Wxid4NzLIMon}#vNk|%y&5&q9m(1Nfqyk> zqPvo{0TTae)I@hCa`{hEcfA@l(cOt0CY-E^vfW=s-Ni+MvKS9RJe(ccubo78k*bNx zSW0Nt>|?DV2a7k=>RB@`Xml2AzU-kECm8Rwn>`#gVv})L$7OgpQG%-hd4j3^Fu3oM z?t$>abRqi`vwYQNj}3Ifsnaa=U7LbIHBIeWNVlPzcK37NQ-M%6g>o@T9SGuHp-__j z)dX?D)1fSm0dZCqM?T+6#d-KZV%sVi00zQ%N^jR@Fc6f8+@rp-kYMf|sC`SZLG1P(qhe@C3%R`iZpAt61dvcugag?U%hw zg}q)FrJ)J-snt}|K~d7JAqo^Ksg|GTq29HJE+c@)$c!xf52~CB&lv-TR!X|FW{FHG zI~7ynbdtBEq-{w-lUk&fUrk3VCEpsNKq0NR9_ar1sDUHyY`w-Ll@jkPXA1GYtRmFT zrX>Y0UJY&6OkNEoZ5mu0gck0}K`7zQas%4N&^l^j5OQ6J;b8?%YC$`n=LePV$>};l z1crxL;cwkHRn`p&FbuS@c%lH+9sNm}|U)RA6%v8>=}7;3%7 zbX|~MKA{07fjF6*5{Qy$4N!ovxm#tK?w=BdlDDkI0+*5N6(!dz3Xb4Uj4w5&rt;8B z`!zrTLL!CD08{nTdWnJtr$My*qJ}BkL5a48CoqUO7O~3cZb~3ZJZKlD=X(AbMGA*7{KgM0$%UfhghD0EJ!-h}bk!0#Qf zTvY%QH4&S9N+3$&vsT}_nuyD5N+3$$R~101$z@cQUcR@g;7HlFf0YG))8VhD95g(E zVTg3eLEf5@at%*lkVXe`BrE|=?1|EoSsI!^aJ3L+#n*`~Pd&|~;U!=Q&rSzJNw|iW zfFTk-9SkLJS<{&;^s?$uFG*Ze@T4A=b7c|Zl$@)iO~VrytVen4fpoRg(Mm~O)+Dxr zAwBI>F!X|jtSNs7L%NRXV5rVq!xLH=3EXnt<_VfZIfK`gkgqFn8pN~%aJ@Q3!;!Kb ziNs8pjznq%uHgv`Qblj4B#`m+)VoNDhl2rq3`y2rOv**rtTr78C2ks=)Wd?%+%$PD zRPUX2_JakXg<^6LO1QJmcpzymtEBV+4(keDoKjkUOk53pP=f{+2cgyZf56 zy62l5gc9wn10XJA;EezD#F~mxTvaVS&Eft?Dn?cp2`9cE%GYKVr8@vn^iO9nl0Hc0;6> z$`ISw!B}huwHSu|jy@;rlm4AtWH0!^x|b6~V}?4`O5gaeEF_{KruG(b|JUu zw4GXyF+KJF`_rdSQ7j&zzE*YOzaeDPx+bNW&5WI!BG)nxZ&y*MMBLROQSdnY@)?T* z{-=a&7i89hr8+^Lo*e(tlfxD6N@U@VYo;&!yS{MOl6sC;{BhG4kJ4RJy5jY6foD*> zo)Q%w-1ON03sBfT!iaj7T~=32Uw(#~QHHy$bD6&UKZ{YHYEs!(;yq;X=HjXaTmp?N zt89hYn~oGGa-e-YebgFsS<^H<{u6gM)AlES;t3@c$W z)q#G)W9A&OfDqW`hyH7bbEx3wpZVZ__38ed4u+Bh)?t#_wgM`^On^By#fkBcCpmmzC~&K3yo`H$%{eLMc9K?mzjw z;p-24TuAp1Gvda@CG2xrx|%^34 zrcOTJO-A57Knov#D+9*ArNEje>_e?py+c(UX?sNs;f z0o`xkLM^l8EvwzK@cV8CEFF+RjTEctvt z11^{DC!YW}z{rx--^eJhl`IVJ8RVP$S1%FohFS*XZ-8OKn+;{9dmeFL&rlp$aI@Ms z^zNZHmx;@Qnp;!j~-l{QDVs!+;^=?Pa99i;gv7rytyl2tndBn|U;QZSeIKPpR?sqcMy_S(KST~{K&m%6253*>Q#edHu4&+Pm z5DotHDd%MTQj3T3-Rsm(Efs06hjaGrR~*>hwO>DuAHGG{R>ksoZo3=6WPMV@cXOJ& zErgwb_d>F#oVW1|y1^EMYPW|9x&-lYh%xfRsq*|7?#1Ot<;x$R6zn7m{Z7Yk^&;Zl zSL6s$ri_v+W>8;O3If+f#uBMkR+l-&?mi+E8(pELhrSN7g z!qzsH?6~35SpOW#+Uq^UhPyIW$n#1B?~aT&t@35kYH;T`TKA&kTE_Eki8b80u)G|u zmY`dFzm$)T`lqM$j!XOrCeHD$g{6%RWLQ^sEwH-Cpi{1__>>!~q38vq#5D#{@qOH$ z!HWw@Ed-A3t>@h+dOeTJw|S5Gm!svyh1JD3kRA_f9d6!00ZeKyV)$~j;S!Oyi_v`K z8Zqb|epto_=Z(Ufd-!1uAKWaIL|bp(CO*hUr0|8zpIhQ5$A^hetNi4AU5QT{_#`_l z;-8js{Inb*ZHTazR`|)ebc5MxKCSc9W>&6c(m~ct2jh~t(9rngND*}Q>-ZEXyi>zn z-pA(N4c#QUrG*Wl_E=4#^i^mMB@O|1C4KS86jhiqMSDi3nwOD|a`7@#?ZcFg2?C87 znW8RLkhqINl}xM?@lb$?O>v`%b+t;Qb=69g>*_^x>|;tuRg9fMXuolp z5|ggQh1~Ku!j*H02;Frzly>_t($zZj;AA&{Qwh6Ldm|5Tak04)I*@wUYA5@dwTtpL zY|1J)VpR}_&frEw&3Yr+da(4h8&Uq`q_cg$T-_<$h$=XoiT^Xc@*wBFn%7e6*rc=> z!Z%sFP)6BXP^d`!!N#&nCFNr=i;G#2z^Vk+B(RQvg8~%G`49BObG$x|CEiNbM=%~y zA0|uGN2>8Rr5d@feXzcstnB6LlM~&QZXm}4Y_wPdu{p+QASROvp$I%D_%qG1h2Qi=PC3MAX zQ@Or$pq3a>ue3aTLy*&2g2@aQc|kI=1+iFL5;+NC7g*DC>wwAu79L$I*IcgC?%-=o zri711RO<>F>8}H{X{1#$pQuY!FgapCb7l2Xu41m+eVDA6@Ykq{1=t*&2~dV;IURYBtdU?bs-ec= zws!A(E9X4o5^bqPn-#x$?+v^>Xb(1VGZN%z0EP%IwXOtR6R-Da#pQvBV7RZH>F<0!r-yOGcqzT?eN z#H}4HyE43aq?vDtT#{AHMk&N=;gmuzSqov|QMDkDL@f*^7US{i%v`4Z4pLZ9%L#!a#C7pTZviZLZk9j(t8}<7RSuwaQkvP6m1Z0gy5|FM> zL$Q&R6KCEvSmus7_2LwDjFG~H6dj4n=PWMMa;2Q3l>$z^Hxl^IJK$r;f)iJ3 zA<6kHbV*a5<0=fmGeIwP#OX=37DGh|-0gm|S=uYoseva0GpC2CN)%>1_B;+tu(O!TS9Tad4;vVB zeuS>wd?uY?py_+|nCF4ajEB6jU66AN3U~vv5j(|f2H9*`4n2l9*~DhITqkdaa&ef> zE^{!+(c{4kW?Sf`9Oqe>vg~4X|TLw^P^*EqZL}LOq zQf_40*ID+7TA=cl1}a-DBGlBdjnP23{OU9&4Tzv47Y}DC6PIH}@%D24qZimkFR(r; z*n=4uIV~Ci&_ozHxaksQm&z}q#6o53R&f`UHCNF>r1r{tI}+iqhb7g*QBkJEBBJo{ z%XUF_eSZdT7Dv+rm3q?(bGSW|U8X+ACta2t7Vu+8>5r_m2>q;O*M zQ9x9r2;I!5@j>*vxwkP2aZtwV1j|wyKF6ExNSP-)Z*gDCbT61ki*Uk=HbC8xFUxBVj^%yeP)>$snOI4(YVecoCFQox@08Gca;>^JYaD z8u+MH-wx^gV&}4*<7Y~lffQo#q_b@}StWGU?4E_$#1s|XJGkzQemy*A_>&l?<%7CK zPAhH_@FP;+KN||t-t&DcJ0|IXKY-&)!UkMM9X8!?EM%!&6=}@LY8I%;poT!R3?Jtb9)E*nFa$Tt0c#`IvCKuo**B$nLVqtIozx zJeR?-$_>1Vqs%A^zF&w?zCk8sg9vS7yqT;FQ|F+cs3e_u$#FK&PVoYGf9(FA( zuR5P&4A;OqEXvyvCg6JpZ)e)Y={{gUJKmIu~DMdM? zV#IvN=MnWDLA6)9vE+;(s(eMujHw$xnjOTNKlPFH_|`BCJjg?cPx3jH;FaBw6WSmDrGU-pnC7fyqS~BGUIh2}S841h=N|h={C7?yW7;MHsD0K<1n8q(Vt? zjcXu#1eO1l8O%ptYM3B3vzF!~%eOd(GMK?>R9TZ?{QV|_?*n{b(5O-h_Elg)8OMO5 z@MI_mS={2s=IefJ?xTX+B&z`D^#0cHDLWf|)wjJ{M^7YZw^>u@+1SOvB6NvYuvQu+HeM5RnFCzW)&t~fzH?IR3 ze&%{7Z!D1!R5zkG(>@Q>T+)lxK5n@0Rn1yj`-F828QMp#nY%LcgFV3rCK~cti-rx0 zfINiu7?E+v=Mfr%`X=;~R>x%#%Z-I6ly?FT3dffIliBhI7{{M$Yi(_< zZLwN)aS{awi=|iuG3}*i?cKG#UVUvj!NJK%K?f&6Hy0fRXF(ScL|mLY_ze^sbaC(l zxai=MTvE8wiP z{l~-WC9bjnx1X{}4C*Z)1~9-C6WObsYg7zju#%<1mb}u(x%T7d_aDwwPR!*RwpBK@ zE}Gzpk6!i<(5*Wu7Zv?0L72g5=1xyq0c27ltw~qC{q%EeC73tH5J?EkwQ}D_2|ya1 zVuW0U>1^F@&4--Gu^*KA4n;SjH~qxA|ikZQ#Pa`LNqnIweJc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*B@z0RR910081Q00000 z0071U00000004_Q00000004La?0s8|q)B#Gw=rhv<+Atnf^Ght-JPBBc6C?Qy<4nt zc2-t*&Q?{{W>$62xHU?$sHGP@QUtOK?#~%^r#EElGoQOCP@!osyz4!b0 z`#%1z;JJGB%E$0i=$xH5TlIdk(~c^Q^G>(_!-)NA@%17T>F4Sn#oPCg`~TtZ_Y!}< zi}&Agf8WI~{|Me!>&e#}T?4ebpVt5x zzRLf81b=rc8Rpdoozvz~bTVikk%GPGTD^T7b^4DQ-Kf_&=|8S_8xf;iat53IUXt}|>9pKVD8@NU`g}oYJT&wqboug*G-#CtrJ4b`FM!Rp>0r{G3KsmFo ztV-jZ^KPTpYdwj2gTp`9IO<2&`W=G}2zk~sh#z&@$4yHOhp8j;p2aEJ27+x+_*!*v z*gNVr&kghCPrHovG>kvHU2iGii1cm4dl)jX;nwi*$B4TO3q(^Ker zbnS7o{|KZ-va_bG>vhzj-jAM0<2ONW6ihPrIwH}cXZGN4!Z}$4#=HZ~<>Gp0!(O#=j2f%LQ1RUaM zd`(r+SIr=LGUytfJ8tyr%~tQmH6sTiBU`P`ublEkr=6P_Y;_miRt@{lTCBS)2WlW$i|v zY?H|_>{w=DXG=zci3H4Ur@gS*IH?a>{pfD1bBKPYIs)>i2>B1K!gV_bb1ru4$X<8HIxNPRguiL50X zEgZSfH7W3<*&kLQvdvOry?1uWCBpblSHKX7E&d6Fj78sr0rhfJebR{r84|KY$?9a*nt^a zA7W(OBq-WUk|?6>+wSxu*tRgR+7QfUJE})t-KpL+*7*%GF&+}(NAYXBrNOzemXTTt zGKmuZ%>a#L!$WAa-SWL&;|zAMrA)rMW4@~YGDcBg4Uz{X{1{8%Ff7q%qh$6EAV$bv`rIy5?KcljM1gNx8K-oJVK!9rP$Z}){vmcR^CZP~zuA8>tP-5_yF}h5mKM)1;CHW%S+WL<3O|(N#EnHLDz#zmw1>C{>9o?!c~Y! zJOKmRzI=*G~4D z?S(St!D-yE3K`V6j_9AceUmFgy_;4&-8?#P9pAJ|6L!GsKHNBOwmC4sLkJ(iukWs^ zSS!E+$q*=4iH%mK5|$C1yvr}+|C?2~pF~!tw>ItCN7*bW^oGECHhrREz*t9+L2}g* zU`9Z91Xn;+&g`RqsCCU+9bQt8>B+&}Kh3qeUm4RYjkA+)q4*E1G^8u`!U*yl^@M_+ zw_Lo#O40*zOq~D?vV~xV*~|6LQX8v{T)a#ez_+8;`C09#Gibw7zFldY)VlpvZILjl zJA3&5$}iy$i|~!xC?o20kL{x6qvmk~i>R0k?3;C2Oqy{?^`K@c&_6l`kd=7 z%ylUeUM?Fhf6$fRoDJVgvCw5ifDN%u#g3R$MQ-Hc$4RkiJ+fHF0D%Lm3s2WQX9b{2 zct{lva7t~uy^9}(d--eY$wd|0h@oic7e1P zVJ{bqyX&&|3$yZv)P}DbAJoG-oxZY?(nJ*tOP;&N{~pKY@J!AjZdb zTyxG7y*DSpoCNTPrhC9#TIM8}bHQ8?=DJ|64(C0>yi>%y#as~7E>+Ghmu{A$D!k*5 z>)qoXoM=Y6chY-STsQxh^+PK@|Oy0$%n+i!dE!{g`@wfJGo`9erN4}vX*UZ( z`@if_s1)Zfa~jO~U@i-DeK6OFFLb{U`b!@3hvQRlDjps0E7&K-6TQX^5NJnpfbf6Z zAH!}#?fj6x`5}MUQ8GW|52s8f4*4_AvNV|~BYHqSWNb)F<0=oy88$E*`4Rc>5FA~@ zHyg(|Y>|Uz$`rAJ^kAV16?)TjAEjNBh<#iIqbQLCN$ zQMYr{Xbqh?;DNNySyenbmruV0mHq^&WIE0QNEB=N;X9{KSqS+~7)yTVk>GhD27l)S znG?h_#GD!C%rIw$xmKL_o3nM1FgWPnxZml+Mm_Up9ipyW`4;|87~uXqn*s;J*u$Cs z6uUmltJTS)OmCL0Bt->;Z4lRc&rN5Bu<+0ifKLv?U;gu_8k z2CZlTM+D%QfyQ~XxD+id-dn?lp~?1DdmL<3Dn-jn3x_zv^?swF#cR|DaN= zl{PIRB+cs5((=aAty{}$t2c71YwIiPOSzR52=&H>O*E|XI-Yz}ER<{Iz5UWo>1T>H z{_Nfj1xVFXHOQg^v1Vy#vEpvAlHV^PxaZr7fTt>tiJbR z6uXlz+$-%CXC*J`=L^2KxqUgMQhu<}ovf-^lt;0Nk4Xfl2)yE<%F5%Kj1Z&SMTfb; z-YK^lIH3$auxxwhU~4Oz#V8dl96xw;VLHsSUQuwZz#?Be*ey^5YWqcd7LLug;nbwg zH!N(*EA0*~k8?`wNbn3RLdR1`Jzw4{Z*Rt!b0UV+#eGQ^S1K2jUfIqUiaW*K{TlR2 z3z+4yjjNe5*nNif1JgLYN)=kyMM1(EzQciR5p8P zu$}zg9<^bmm?)8urxG(x*X>oxuN5I?<%9jbgZqpj;?ct6p6ZNxD-l6x&DW$&W3d$wYyA(2%jV zgCg^HA!5b*#qChU^nJ`v_#&-Nt!-9H_lvu=L~BjW$Lv30sSI$RPv}2c_w;28reA_9 znc29*IZND;)a^wek|PeQz1OI}72R**G5{FCJB_oB+^+LEe6-d}VecT~6%NrWFyiVE z1zaBF3Uii@=}@%033Q4T z8nS4Y$7xUYXa5+G9uhZ+dy~R#z6gOZ5mZQmrh}bZJ(vJJFEN?{p zC+7`B;2F&xG$_AbZ#NcBHjY*rM{65(S6hO3&}<)f9`~Zx_gIiQ{_P439{WP!Zmw-O zS|+*VvdYb1mdh%70-m`nP{y3j5K;QSPBC&^`Jj&+hY$6Pj#+flPTow8?I>_-PI-J5 zVV+29BnDo+{YTWh?3`dfV7+m#-gko*HZWB({dRX7eLC?X1`qkp6tV9G$sV>8D>Z+J zTiFH}4~7yctJ}@PuD>Xa((g{?ej7nNtjm)|&xEhga3gXQ<|ZzKi(nGNE(I9-on2Yx z@wxFbxmac&R!N<;c%y&pMpH4cw3m51o?ICi+05((j&9$qn_CTUa@L`Dp1bL;m$}(8 z^=dYHH_ueo-px#K0VB4mO=3<%@87^pdKTOyC>1V%QW9RkiQ1Al9XDvNOovf^W{i^1 z0zT4e?wG>q5SqQ!Qs4qcG;5B|&arabo3h3J%uMu2!wR?vb&hb=XuUgSbInej6u2ym z%!#H?tG(O-ssUWUh)VJJ{|#hC3;-zx{soBTo`k zz=T?;)#aa_Rey+_THr=p$HumUb3@lMj& zR6J^TIugw;@k7A|3^hMbC0!TeXAdf1LRqiZj(Y9G0d#eDIvUN^lVyS%j*&-tlFsAe z7X;gp1ND>QHRHgn_uWnAQJ zByq(enSCMq*^v`&{?^l}96qfs+C+pyUr@j1YGxZ{aK-tAo%%bt4*V=S!7e7uP2yt1 zV>jEtS%EgDMQuq0mq=?rXmA{gSe#sOHVN^*weBVxSWw>0ro~bb-s%lmcA?XyT3U>c)`O`c)E^xG0v%515CB4?0znW%<0B=YC?vizHjJ7)fm-T zTOnU6C1F4Abl<`c9@Zd=qHm}nRX8pG8z#w>AHt8DOJmTr8V8CFh3woma~zymHgUJw zOf?m;x-wtHwgffoi)-MqMd5j0#3rg4tHPYS8?#jog0rF zfJV&(lKI;;IS8q z&q&{q1tf6IGvG924;SvWczi&Q4kZhN^XRD6!9wRNh3&5#R4cC{Ii47d&m+PWVb5(_ zc+4XTx;UYrAHA|!yk9G9SK3)Qe=<9#T~Ul0wk1so+Sw9gdB--;?0V5cqu>S)Drg?oBP`z#zP1g* zI|a-6kr|IFm5D}J+^vdO7M_4rus&3}AKiVOd970BGD0Jg#Ur;01m3kNNM24ck6N5H z?jG-8ss{2bGtse9v&0~!7o-5rVNaF&<3N$ux){~vuiCNb$J$bZB(s%H+q{CuIAy>+ zj$^7UOlkE5rwp$A82^vhW(iPeh_cl{DGw&EN4NOSIrb@L!__&zfSh~X#==hh987$Q z4yz}(n@3J1Ds8WG#whSPB9OI~_)RyM4pRYdHhZRbE}u8r zgoj>c(2E}7;G4&Fyft`^jB5KB=-3O#K_Z^`4NjtzardFNw7BT{z7eq$(O3!BSOWdA za`0BV-e!HFJ2>iRiEXS9T6`t++O}V5 z!Thuqo++UYb5DxK9NxJrjRLR=&s2+G{Ea4QR(Wk4)iJ6}BDExA<=D$B_>w>7`eLuQ zu>Yvr8Q`Q3t7Bkk_^Z(>`5lLz<`W$zyP4z>(?%!V&Kc~_$F?kd!=sbyFdJyMydT{q z%kK?(j~4F0iOOUdn&%Vvyw?F!FC3glo1OL-`%wXWIXV+Zsuj4bKp-{;uxE}4FyW-7 z5z}F%xeNJ9<&6=VJH`TBEOmTT$X5&b&Eljv*+sjYcel%T^4pVUG)-YUnM(OVG+kyA z)bWV9H~*jd{)C)$Jg{R=C= zv6_+YZCdXzdpQ%`>D?qnS7_Bm;21UGKyJOh$UH%Be_J^793-a z*b6sm><-Qj!5y6wSAr#@op#nwj3+H`nYl4cjn2(%Do*c zx72Tdtu33B)}wQJH%V`_nMGY2YWV2Di4OmP}C*YwWVREvaprV^0i&~-eUtz)w7)u<%+OKDj#{iaOd?B zwp_vZFJecF1;&J^JhtB44G}RmpGlPr5hd3eDeOpEayj-EVU-X2Hu7Qb7J27jmpi70 z^7{};WbcyS93~_*@-XX&tzz6BQL18-%PzJf?Cv8T4>P|ZD~>6IP0In{z0zKB8{3vl z7Gro06J(MRGjDcJR&}o^m7(yj+UzMPlswrhSKO@S$yu&CqjUzT>9^T%1I&crh$4Bb zP_4z3Ct^T8Wc)C>>Xx-9)us)|O6_utrdjZ8t?%2yqmq*4zP zG!n|Wu++NkM|i`N%FPxJee2Lp@B`ZgUzW0=-d;$_X^mrZahT$;<)htOLgbUDIhL2E8s zA42c<3AE<2bxy?NNpjiy1X^?1hEfu`sU7G`(#DJlwV!q5%wk|@x`NYt1PkKl6Fde(v5N01l8HXP~{#fM)UmSycZ;5;%tV_j`kdO&h^{6f7q{|NPWEvPq%aXks1$r9`5D# zowQue;0BUj@u84@Cv_P@kx>tyR)?rX)k{59GCCCXFiUjfb4Eoy99tP;EkEL(4#rXsr$z@;_rL%8=2ZsBn3X8d?UI21RA^Jx3_& zVd%;bDk>>@>UyyaH*eK3FAq^VN~1p$6qSLqqR`b1{j5+lws~py9wZqLS#fEI8KI&x zi8$0Y8(||;E049u42qR}l?YlC*M<;LacCxrte$+(;mg6WpfFrfP5#_8bERA~B(!rJ zN^UiVDq2W2v}G@!hI*v7Hl$}!(N7)2SqF5V0^tkq@5q@Zuc)(MxD zL~AB>+LGfpmtumkdXihGok~b3E52@)i|;ytQDaG44?U(>R#Nq%)k6 zPL|cM{Y9&X9>OdQF?d|{o@@Eg_TD7B}o zG3k)CuGQh8ro`kX*l@keFO_%)vhFY@4!S`czfnM(rS|kY5Hml<9z@nE6WGk!4R8sHW*60#CIfF4@z?x^}$0JQwg(a z4JBdX9iw3w#kN^801Sl5l-{n*U?3E=r)o-^ zP4bqMw8^ed-5Q2g+K+8ES}FO~5H+nfAL{=4u!#e8Y`rEVl@jl)$rR#!QAMaHo0b&3 zcr~!w-lx^EW_es;Yg_3X$E)GI>t=pAm+Vw=D00i^%ng>bO@F9KoL$ zUucj`meQLhG(Z7DB86=hGj-f6O0qRL4WjM69A<0>CE6OEz#!uAYL(&Mj6jsgW%*TI zsIir(ttdEB0b;D(a%Zf8>bA3-dL5ahub2&p_H)g0D0M)jx0n%#5^fDp=;eTjkuxI@ zC3RU&oeqff7&8J*gwF;;$y?U?CJVi&I@CUjYYLv!!*Z^8HO|PnO4>9$fx&vf?LoTQ*=VJt zE^AfW!H}MICK%d-Ar@R3LJP(8Ae3-tot{C`TvSQvqcPSMyf~$_{+PNN`UngSE)GJg^XWk- z(bnMNAau_+JqRV*Sx0MJM6~r`3L6TJpwF`X=?gOngg!7qLlX!rRGqOfHH>VB18!5bj&v?euZ=R=wHc zQDS(d;-^I(X z8A|d$Ht=>KC(Z`SL1T96|H^ysy=MVP>uOaeGf{+WTGu9}_{Vm8$d!?!+f@`Q5qDD^ ziGnBL7b+6_P(ro~GTqKn9plVSj(_jT;fTHHie%}IZDue1ZzN0C{uVNCIeYOa-L<7d zUN2nB)a4}>ul*B*Q)VyzuiHk&|H6qHeet)Lz5EP4qbzr6iP_8lUX1$GW6HpiiBJ}A zF3z}tODJ(=hOP5N@7tBVG6!Lgzkj2+)eQPe}K( z6PDMOtcq5DGb8V3e7X<_^$2m)7s)4J6~6okIXvlnfSXm{KJ`fA39=tP1l1hkKJ@)GjIU?V;M)`8 z@;C#RHu@Y3F;FNAzpT2QcvM5)zlWfYc7d-ZBk&${aQOI}8F@buKKl?mDEkDseU86>#!4>M>QN)DNyRoqQ^Az^PlZDH75Sd7JCG^_i%SGa{;Qn3) z++X~yG%i1pQIBAL1^kdTRgeFa5tmipVn({xyq*j&vT*+U8G84xWaI^i6n;-5ZY=}9 zU&_GmCo|Iheg@9}IV109C(N5w-et#S@kSP%v)b}$#Qk;#O{pi-2g7s2RH@B#4DWXv zPKDDB4;K^IuQ=nrXL41!nglLk)s3q{1&A zev-+lVU;D0i_ux0>Fs2Un*B9pyP96OY%?xW`@l$0)i4*ro3#j=*jTdTh6`i;V<@ZK ze}t`d75KvQ770Jk7;joNNy)8!?vNEbZ|Jy|@w|*<4cAmGFNfPEIN!Zj%14L#>iLf& zGIwi@cXw=TAj8^5$iV6%gDaLPmzHuq<@$O^c>~{Y^Fvg82iJ}8t_R)&+{Mk*yiK(i zMX%&>sVDD8{c^Oleq(L0f8*rME!|jOorb)`%ITg^W5wFGGW?&B zPLkr0u4UqpPM+hDuDbC^H%l0gbd4HnPv~yFFdpfeEA)F*Co-iI3N^7#TobXWN}05& zTAA2XwM=Y^n@p^linkD{9sLC%2V1CP?TJGP0F$`L2<$y*(+lD5J_V`wYM;KU_jBY{z5|2IPRl{eqLwd!*E zvR){*-B4?sand@C-bs>HsyBwTk_=nO9D$~z@xK%rufF+iZc+4yFV)NcbF30>xehd~ zZC!u6pQNow>=@cgvY%R7X|<1+kk%Psj<)|INn2r^aR!(tuCidfo(a5KFF(_W@Q5i}%-3nj*JPxb;7oX}6C8D&Qut>{>Ixf;qpp{2Wj%U( z%cK52()4GArn+04fux}#QxZ4RP;k_BmcqYIQde4h9Cf7?9_Bn!&z_6VgyL)LB)tJB zb$U1{7f;5!BQ%4vaepC6U7^)D>U!CEx?13`3jMVH9>cK#RWDOY9n8$T!^aQKLVG7k zTWRGnJ(?tYcu|%4&xKeqX7j~+9UbWBb&aP~CPR89r4*OXaT&CQ{RrIgWN2MCAN05I zHD)hJGmqh1kEgGzr-P@=MG4Od4>Oez8|C@pJqj}tm$L>kh*2s{MEBuX z%6u=q2V%sfO>(E+ert$^Kyo~v#~(G&qEkfX=hlkT`j|KTtXbsgPP@ou_44{Et2oZ)rMkAO_E;@nB?(|VP5P96I-~RbFb6Lsgz;o#cGf2? ztxEB3aW?}`GsN)Nnu3gXZNA!Qk#DPA{_nhzu1C15(?nEHM0hfXHx4Do7>-17_hPwzaAM%Z+J>m9WV<1 zS?1efuhD4RRH%*kiHXmcs2>B0Rl#EkBZnrr`Vr{~>nEMp!(iRX(I2*c@|FSADRT#u zM#h9dWigFB8iZ}zhxP3h;l`G{PiP*2G{v{m8nJ!Vz zt&31%@TUTMf-x<>8uvZ&D^lPg_oLt@ht@|j0ZY;l?jpY-s`NTdd z2zxre_>1_&0ho`v<%>+`mvhW~;w7KhN3Hm%jQnoE@S~uHn!+{;+q}!Uret|_%xStL zIiBh>z9geqLP=Ob#V0&e*80HFbXjt$*$*S7KWv{377laG$mBT3*L%^|+eZcJr1Q)1 zU_No^4t>|zth zUKB?&T215VX&~0Q!%t zai+3Hf6F1St|WQQD9H&Vw?v4kUOmAJ9;?4!)rR5>}4w=n?cr& z-eN745PQ%q!5mbCP7;Z#7ps_Ab2og#evL)f=~;9op+i?*4Z4YZZnTja!V9;Loc_1n zUSftn4nTIyJOR3DepYxSy??~pyD}&?YSDVs*fn%BqRc-uVv0k`!FG1PNuX+lm?Cqe|+G5r)vsRil*{uC$jX7S`N(A|Tx~?z;V9X5}dQ$50 z{>anInk|X9&Z2Y4xenTZiXPRxAM(OJP9tdv%AyR9hmj8p;3ge|I|HUqWx%u|14d6U zVEPmWVhYsZM?T&z+;R$ZCiHd$J#V^)aYMk^)R|a>X%^*m=&_D(=SdLJb+=ab#6EDc zC$Cw|<@oL=fT$mYh+ueTP8f?aZqVg9V#QQ|Xnco;yk=yJVJ|(m%O?#uEVkU#E5bE#XqL=5wp7NFt$1hJevC%VWHa9Qw(&tuSv zv#p&?w8;IX(bO2z2&D4tSWQYSr^G%7RPC19WWzdH0Ncq#@JEq$gsV)vHDq0a=7M6~ zA=9ykoQEL^HH*mr`+Hd1uv>cdcTr|`DscqCNN%SIy}30aIWf?a>LZepLDZc4h?Hy< zZ=nQUc98}oI{+4FlKJ$$-_NpEB)eB7tg6ZHI}%zNM(=nSYO0c{%tsG5c;XwkY_G#i zp@~k(eDwGwtQPap;~eX{987O@DSt_?9N8siXzv7z#X4}|7T1BxYlfnb1;~FCXH8+Q zAlGVsdQ97n_&i37uw6#vmTF8Vd#=a*$6mNqO|+bk0DLjsIJQ<+T|%!1_3|OFUL5)v zO((0$UyL_hta_pS*rG^?XOgnz_F1#`5sn&&mCeQsrE>iqtFv@!;Sc=j`2&Y2{9Jf7 zInL{Hb>`%jzywV&qWQ;gExAK864kRI^pzK(?{j16PXgma*JS!3TMp0ZH$=p%n|a$qNX%L>_p*+GTFXX zJDCOU5h=YnK{V#(2N!@YZhpuK)H5*^wKEl{mCEltHKv+dwhvzb%U1l^I47=psAP{T z;%~-IUK3cB`c?e+C^ssg^jk|_0#qS04mi@|3|n;8Y*qkFzPIW89B7!~N{k^)y2Q}I z<_)8c)i?h?00030|9AmZk~>QSK@i91VvLE2F*%H{41?#Jv9Q%f1PLN&6Hu_Qu!vxxjfEe;#>UyZ+rSmG13SO@?>trp3Bmxd zp3ZHdgD9G%Dz-%{WsC6}2%z=DE1nCD>U1Ca2{aDX>V`qAHJcGdr*>IcqIoxE9)T#_ z<9WQZ`NvaaFGVADjn+I?ioq=2XRq%b(80K$Q3)e9)u};ES2cq12z2q3(^uIL`VlXK z8VXfastVl%ykTUwnfls3$ElnBb*BhikUKep)zFF)^2`F{@~5Jv0e1lsS0b+eg=%l#6=(OCfl{ShjW4 z2%=A+@dcQ4#oy16gDr=025&m)VXlgol5&hfl{`Ab>v?DkG;64900Jg{ejgF%K#$eF*XN--4`Wu~Y`1I4~uXzU@ z_dNz*r>^$32nVssGhe7(o+%BgZLk-qy~48Eaz^C+wFRf?)#qObYNAyvHw!{J^qxGO5O2m_@~g-EI10mi533H*llyBIa*w7_UFe) zilxKTnGo^eDzA}o;zQ8MVM|2rndYnFTW6Yg#D^JCH}QKQ)FYz+;E5Q`UM3}JTve(6 zA^7A|d5CEqiv(Jx`F4KUS`;@`V93U166TJWo?S4(6Btm^_`MTURy;uv6^h^Er=Eeg zOLd3Bdm8hVN#}c(E#P9%e6AO&xkeG~pQeaR;KtjCO2FU6 zw;ho$)x^FohnF$U3+a`%^fVnB4bz(k!=3zq9v6fcxX}es3GQ)2tO1R#h$%pPXw$f* z>G*m=whduJTW^?X$rmtp>g9$F%n8x9=gOKvDFPr_lu1Abjs`A8;h%Pmso9Sak;j6+ z5V;v_Vf8fWCSX0@f7mLU^H*pFnS7O80C$Q5WL*&bAZ=$v99Y&JVFvoCOcDdqlI444 zN;dF7l2Q6Xs&$C;Lc0!jD`AdxVe9o0#&xn7gAk@JaO3URP>lFcUg~AMoe;`pscUz`1GoYgAs!CFoB$XY^o!@M`|nlD+NHO9KQHuu5^u?6p` zowdKpjX#o*yAuQj)|Z@Hj|Jy{2j9@BdNJ7;oz+7lEoY7bL!%wF<9hqXI}Tpdh174$ z(W~}bx-Y{TAEykAmT$$noA-^_b2txrD=%zCP1rOda;j#CJV-JD$(QbN;y=&28sh7Z(HQU(Ae02Y z{xtRAn*RK)ube&GyA!z@Vrx%Ac0X|FI(5b^zkBxKjbC|y>T~SI0x8S-mPpFfy%xtu zC^JoZd~)IP_t?wWHO!ei_vTRR+Q;QDItz-%b6-t(_Za0=VxSqoUv2tQruACEHb}jh zXv(NQK-^|lzh?2ZqdDBNFD5}76T2-CkumylOp{<*Qz0n~;izPuTM(YG(F_o*PJCI^ zvwRxY2B+ELMBy|A9GPIe`7|u6aYUvb`_mbz_Nb(hhbaK#i8Q1xNUq2X&Q`Ejvnh*e zb5d>bnu65Rcugj1Fg!1$svH?}6LV2>szV8KlH6{p0aB9~SmJ&SuBd9_n|TZr&{#y} zT-kxKPNFGDy_dMnIHrZDz`mC{gvDF4nuG{e_Z1Au9h**0PQD!^HXb_X%C6k1ToI7S zH)hM2YjK^d-mEuV5w5m#i z0@lhTEkHQZCQ!?Jm zGR+WdZ*d(N(vZoDV#D*ZtY_yxEz2*=S(L!*X3P8KB|7`k)93A~v$oqn->#Ld6{L0*cI&_#EvwMY4#y~qK%mpy)PX~a&5t+m&Csc;zztqz?n4wje=ei2TI zXG1<+1JPo9L_A{7kL?K+)jv?sn{;M+&=UN(r!;Q2OKYaw7cE)+aKOqmfa%*E#6`{y zNj%}S(+UiItht{M+hxK?Mag#6bXp5&PT5&Rs$j2PyoL0vuu>L1D;)8-?L{IBM?A;d ze=JMHFWJ|3N!{qv9lrz5lUg2ENoGMq!jM%@u`W@`Px0aQVxB|HzSD? zh8K!na>Mg8DNu--ApZeN*!Q&MgPk#cJ$jXkCP8Wz7$^6xwhHKncy9#mBaEhn^MV!1 zG+T;q$u;v3j4ehg-34Q;vKj0x2A8<=C-rm=_|0nOW>fnQu^7-fTwRx#xON0A1gi%@Z%1rW?m{6O2V@fgLN1%iO zU^to$R|lhc;%Z>%K-{mqqLE)g05a8dVR(021V8Ooi8bFOP_oQdKEAq{)fs={v1l%Y zu*SBDTK2LXmK#pkb>ls%x9oe&ZfItyFB*Gt`#ox&0_0PsiQLC*|EEKJb4EY_?GmXC zHxWLU8j+z_V|MYTH6z=jezhTb`>}6>9R%%72pqbMpZbYufUTW{kCZ)mI{2i05`F*o zyiQtjySVyTe74&+Ziy19-stXONeA1u5QUh6KM~WIZQF@L47D~Eo??mKMbj)u;RjoG z$L(c?mPRH*b#Pf)M`sgS@~{Nj!?MOyJ4Cc*w*5?8WvJ~XjxgAE60yv+!$fPwF-r?Y zHKO|4_1_)vvHE2C@A=-+ne-@*5POO37zuEV765tNM2vzRFbDyLgDD~wsGT6Hg2(hM z;G8$d7QXLPObY*DwYT}SG(zCFXHq+&_CV@b{baniBkqfM0BiG-c-5)qK=A;n=63Na zx#mRifaA@K;#J`0K7wBT-CyP0QD${4_HF}ofQJoKSG5%x_h9+zK2_04E))c>$xJ;7 z&qI*r(1J1b70lJ!M7qWblW(u}c;{JWCuPkYNv1>CEsT?L>kwe7NQwa16i5~zQx(#0 z5St1K514+k#7B=!G<=C1(@Zg~dBL=6OU}6{q}EAi7B`fwWn{)Rx|2cB9T5ZisYuEN z5*0|uBgGO0x-%&pfMKSkFs=%~H*lKU7ln+*bu_IzoQhc;p+( zt!DsJB@!64twuTnGKG@Bz_udE0x(r3MS!+PEb&(_Dx|APH9T+((XQ^x9g<@izMFhK zeBNR_^_@>;%r=+%4Z{DyEix!K0G>?BD)2dr@(bW0P)q@>3<@KVPN4jCh>^CJa?(+X z)$%Wg1C<8H-RwZjpzz>1bJ8G&;CLGA_w_- zcpj?RL|TN_8uB?9}r^qem7&7K#qN zY5~6~AFi{nn2He(v6^`B@|#gY7rGKTVo{4O^+$?k+&+|ltSncZ5xq1^gk>>`u02|x&xR)CdY z8HEFh51&C{;Z^v@4+Pxh%;wbZ>?&0w>BYRm%lYQ1^>*_M|_UMIIfKis+lNzmR z@#NCCTlX55S_>P6XBTd*$RK66-CC(9U899T;aG$+11wic@0{e}r+g0mCCOulQq{V- zbdvps>R`o3$^Xa+H8qdexJFxmV9p39hHwnRg25k3@&d@pq>q3&lyn(rJ5n+dNb{Oa z$>x<8k)Oyn71Jj(cnjl}mcww)`DKrRw3`XBC8HNQ6Q(D!&8q6iO3v>d-dvU_rqMM6 z{vn0X!(xcSoOR?dP?5b02R`)?4H)7TN!x%Y46T9-pTX>+SN3A&Z9$_BX{?`u z-ukCfF@PuBIFd7Mx=E#7`u2ud!5dXzgR3T4;1*zDY}1<%Xnsy*_`*UfVnf_&&dQyq zqyFxwa7CfLZP()9gw4V*htu;V>4JyO7)i%@O9|X#I86d~p72lU2+e@U1Aeu@o+W!t z4Ly_9YRoJ89s+WbC^-0sw zFw^6TSOYg=5S0u)?ua$eNfnX?u%JY$0ew*-je{2GtpI>jBVmC}cj+(SgR$9ZaO2$) zn{WQCaf7_CWxEb)Z(Q0pj@yu~MyiTF6S(x}TH9*?4D4l5?g6Y>lsKS2i=qd_Wl~sy z{tU`1KnF&gvB5`4Gv_1|Br-5)< zFfT!g(E+$BXzJH@tW;IJ9V_Y_eLUEZaIOJQq7g+59@36mf7XR? z7-G>Ea4~Zg?;%U^*g{1{9OT)^*D~0Z(DvFq_A+eiNPz8dNmYFX2U+!{Ot`+Cpkq=A zhJL21C}aB!N01+Z239m#V11fyCQn%*|(Yw*~+5ja*s<>^Mh&rna|C-|r$cujU{Fn&S+<%HKf zMLmn3V5S0iO)lzH{Dd&|5?=E-^%#DFovHw@c&zk`CZfehmBefEQiTb|UBb-X@%gi* zvVZuMk+1ij05Pa#Z+bV>pmfY?Jk@Y+frUO@_y#~cbZ#2#vRtBj7Xv+)2KLS{I(OW= z*ytRa_amcoVDD!}zrj=#UX!1C8?Sj5brG)#qF%sjo<>FCH94p%cufH1RsX8pN~pE> z(QvDD&b<-~I$?{nW7YWgH%Bkmj*rK$pY-@W6z>he(U}9o`N7a;I7c|m1Lp@vtKl4B zG+A663>}19fz!fqtZ=jh?i`H9fHQ%k4RM|-T%#t{byKaOj`XR5PT6HHvfbOm-!@*8 z#YIP5F!Eo^U>F-DmIAeQ7K*#pk%z4#u5{vUXZvztv7g9@b$b-%igGIkRH{aT1FA}- zWdN&0N&t*o@O_N2c|={!4w@`nAyJ zo1m2Dl<%17pplTdlYvf##Wh{SWq;qP$I3KaD18_r0 zZU9n&)DCbfkrqKn719F`w+iVG0EQJxG*60eDK!@nV$mOxN?3s&FGLy8_>x5Im<(yJ)Xe% zqR1!$y#Cw>&ZIyxI>o??MOc9S6-eiSiy4&n0G$hAxEvVzADpQ;^eieP|5fA0#~S5J zhWI@WsvCX2k~nrpiNpcq!q5!3KyfH1D&DAy`OYmwF31;uB=)2hz~tNE4ar^%Cr)C% z^A&AW7K*DD7rS`8d(BCFnCZp4{8t;kep*Z@qEQt%vcdBR(Kqxhu`C)8scdz zpZ?xeK}n{74pi)L|I#16L)$qC+#mW>WS=7s;Av51o!+~sxK`(VQyb=j_V{xe}UgobdGH-d*$8zkg!IDcT3>IFJuv zE9{@?PNXS_`i-^4n`&>VPp*LE zPrLs5XRLcV@;1Bs{f&$2U!BA@FX#4Sr^FXIkSSUh^R~R94yUH2q?p6CE=YZC9`=Sd zsuKm*SDp~pXJ6zUeT1-SGCQ2IX|f-|i#R&s?(_d7Yy7*NfA`v`en;vr^8G&05u(c1uiz_*{?V@lZ=4Port1(5>6@ z?YA-n4=*IW+^{w8g9?sv9Q=`#hc~KRMda5U};+Sxli_vSI|87&DEsNuPS1$qUI?bc3!cb+C^WW&R)Y>L$Zt9 z$uV{P+(Mrp>vsm;tf+Xti}`h$3nAm4YB2wTn5wIYwR3NcI0pUs*-R?v(B|#n8QGFL z-RoU45wklVihM#P&=qN161`dw?hSV3Q}_4^e*HmSPx5lPCN4DnL6q$LEm=Ft{hsWQ zXjO4T_=^G28-e5R=P@0YuT{CwlVz9P?c%+Mmb%F3@g9g;QcO`d$DjJ-FwOLp_Zb!X z0z1KpImLC)@0{Nr=v%tazcU^GVWsXnw@#d$YIyd>hYbJDFOLcipU-_Zosrp-G8_xf z_j$O-kGb8f)obIuI^LyR;xZbJAa@Y<$Dkfk3zs+UlB!r{>hhi4)^Wbto=R`>?i8c4 zD=mIrOZ#!7_`8X`)QUKUU3J>&hIK-SRb8^|ej#G``F_rup+@7|<0Bg%%lOSgi2Uq< zvD`r|Wexd-;n3Dr_Wl7L!};Ngcj;w(Hm52~G44p0Zif=XjmEk%JJqfJ`GdPs7B@zm zz6|_16G*TZ+6mS(A8fA+3Vs)YJ-Zl^I4bxvU=#CNPCstcawlw!6f1K=YZ&ugP^R|I zcy%tcn7sVk4qEubawqY5|9r;B=na-}#9Q?;8g!UL`nd9g=&sF$RJkwU6gi%<6NNwc z?Z+OcmhdK}6>+FW^(R!Z6*?D$^n~>7>krJriZn)^b?LRsm$`HF=X|t*Miq+AJ-s;h z#-cEdbvPuk%cio%_;)tu`c~hykSUVteDXq&(#XD&pS(ry%GWy20uh0Iz0V&v7XsgS zOr>^{JLIzMSLOO;`aQKu+J`3lgdsxqi*E|sW%&~7CL9)hoFEWm#^zaM^7cUpO-tkEJ?r^U}QHbQ7dqtDIwfq2Z9D-%d@X5` zo=u0eAP#zOVLn2%g#+21GW$(yjY%2wr*I_hu&kYV0O4a9-4M0cc6v6Wp_*78R;WB`|roU*OLfQ z*`@?4QPw|(s(yb~`u%Fo;W@3Vy}1qoBmYn=PhlZa8h`q@&`3)g{AiOwR@y=|-1=3{ z?hD-y>8)>k+aL~L*CYZY?YAR z*ibVe?VOy_`C-$WcijT@=Ipk?xjg9xHAP8Cg2DLp{ox{!QA5`FuDlk#x|@lmNr)|h z(GAQ={{yL*+*vEZ#o>H^XXabKVe^&O9sdM{bMv1}4N*m_D|_|wH#ureAp zQG;BoB`Zot$qUh3I|n7B#1iw4o8&fDD(@{;HGlaUabM@B%V7M#EcV4gY417VQL=S? zb*1-m zf_}u$qQP(OTLZM6Ym~Q5g;R&j0C0F13<^(a@)|Nf-P!N?5M zQ<&|5tf%b8Ou8A16?U?)mYil7U}U=R-csC__q4~!V~-OaAKzs?&B>~F?;V0CqjoJ* z-G|Y(UY)!@xwHB%EbzJDo`Xm|G;)V{`l^bW=eo~c$$i6DfJ`$CNj=8?o_F{qt}k++{=i@V)FP-UlcCK&6>P7S znkA_^ZnI9&pRzo7wt6)oaigg%%TTDTtHHy&aU2C#Rz$f_^mzJKUgJ|$Sw$UUoW%<^dDq~}J{75i1SZ?ff=Wi-!? z&tDknTAos{VdK=foz*AyvMZaYpt!`_rNPNuVn{=oPh@u8P1Vl$2>&FScp<31;TyM? zxf$DUjk!z~y^IU3WNe4?gsCDpSU|bCw)bdm?+J@z*yze^<=r{J(t1PSh(!MbR=GJB literal 9616 zcmeHtXHb(}*Y2H!CRId0st5$7Hz`sT6-ZE&fFM1Jpg=%C=`9vS=>`HwR{|Iygkl0l zN`wbc5Ksxd7(iMOq)1md;qg5`&Ut^Ine*eD%w%UKd#|;*}00^d4p~}CD_Q^`Rep3x8b{-GAcP86+6l`^^#9! ze&vQNsb5#_f>zj#&^zjzJLY}iEpC54s2%ah$ZJQweIuasc{Wt^o}0n7y2f0Gil2n! z$0$$BRR!^0_1!Ur7QgVL56Sn>jop_;^|)P8eNirc3D=U4{V8vj9D7;zryxan^T#Nb zy|DE`5bCa_>(6p>S%K0Mmv!f2i=UrN_|ve}E<;ygLtgVfc-$$jT089vh%Uel*746g zmIsda!$ft~bOUa1h8_C7ykOrPSS<+`1=@lk+#m^x_0_EbqA5`UZCupnDvFMaE_mp<3!x97dF58H>a4+_m^-%altzxwTPf=Lpjk9~taK&tywupRigc5!Qm`SDa0jd^WVd(f5 zPN51@X~ryr=^wH0ChI-aNpgAuN`JX)l?Q7RaW0UMi-;P~{S4X&aK)lS!R;ps1Z!Yf zeu$f4zdlIWv5(@X+b=L~ZwGu|kc;$r7SUtw$^}+Z!OwyuGicI4Jd7caJ}FB&MaA^D zhPKxHmZcW{`yqmLZE}wsH8J=w)KEI|a%?sUy3X(G`<$i$bix=X(Co4#C2CxM-|V^+ zwc%ieJP`;C%v$sB*gWTxH4}kLk9EkcAbjc@7{fdDPreT=UKJ}@)IiM93ec1Q1H;w0$H_yB`WE7RP6SwK)n4K;6PPwCN z;LzF%_3jtj6I&pXWaGqMWy6(pbo&vLhc&qJJJh9GO?6rj7^=`!_3Jb#o=EL{d z8X*jFlENV}e4d{_ge$(C6DCBDjF(HjL_KjeWDnAdT1gKQv}y8VDNQ07u-$jXd*{Ub{Vw|8hT zYSA=s6>-P(1N$c6;97u&HT?I@MiXQ2LGH^9I*$&G3?IJ{SE51suKihd5N*yRdj7 za@fH48KKMlu^r$fgI5N$pVRt)0l1enIuRNuNKM2Hb0ZC5>#TL>5MtnRRr~^I4~pjj z!ps?R=xiuSg{p_4@D}9bw87WU6q$g3pAEfAFh@I91&TQj#~oF!c-O@}kUlP2XRq~d zicgZ4()lacD&ufVK%Fb145Flp4+oYkP71piKl#T|TK(!H3WZ1&wWhD0$|Lb-fv8W(DrBRYK1c9&sD=FYl0(ltPO&}g; zleX!Po`sThsSo7^XV_s zYS}m!P{?J(8u*hk-VQXLMl%5J;cU3~c+j=7S|_PLn6siK=!UQ^RyAw*L|L@2Fz;9# zWrdf#(_2Jnpr~v5dYDJD4jVKk6OzAjoIe&VJ3y2&iEoik*sj#d3&bMh)kOXKItJS7 zwok7Mwqy!DvhL(C25mJ{>eAymJ-?m0^>f&^_vmA*HLa1KLUS2k2hxC43)lRK8AsS< zR;`z~M)0vyco;~|5-&x>!pAs6o7(z=?|XXZOiwY}q5GzzNFue1NUQCMQ4>6jPINsXQ}47!_D-;TSHW#i7$w(g^mEY;U$T6PY-S zzJU>yD6odd&=*Y|YNfYc4(>cs@mb6zdW@bfI+&My3KeJ`V6?|KhiC$RXCnMN=24;}t@e^)j#HnD2$`#uqe*kkewW9;`DscWl#s3wSuTw~if@^K zlLIm@AijYjl=06%a#-3~G!en6HU4o?ruP|8{K~>nefln7WP$g4T9pd9Bp(@hX!$L! z7|1k5xB?N{4A^?`CKJo~PLrTz*|K=y29_ z>j;$#lXV<<1J=N?T#ge0&&lCufxPFmhd_LZ&EXCVA+bj%@1K5w`<{xAy|`&dko==c zFPH#s7(*~~e{2CLLGkT?v0C)bPB%+3WGDG(Oj}532Fs~0r4Z_g200p5woBi8GJ4%D1-JExDEHxK^s~t zD8-%&UC|^Z{47@>)V;|~CQLB_(uFj{rL)VtMLwMqr%}1mGNWS1Xjm?%-z(e(ME5M> zBq&D;9|_{c(mn!a77Qu$dD%d5syasR7~Kyh@gHu;ML1pGoi&~n`DUY~oSS!;9KW_+ zInaXf9@ua>29?Le<7l zj?t^rG_1*uKOm`-yTp}8e@tD=iH21qA?M*?N7sz0nGWW@yQb0yhn-AASgBf=VK7nv z=FH&BLLy@GrVupc&Se!4o&K|JA_A;EVRs z{HoBe0wM;3H471 z+wPB5fqGdyD?ouWJkhn#J_)2YtO2~7g_~i`bVLxr_44>oU?zno2Hb^tS)zxa>w461 zOcobX4%Pr!uE2S)X4)hEfQ(XTN`R(0BNELA)smt9!i0&?*ty+Eqj<_>vNy2x?5F z$pQlA3`g`&sMZPU7Dg0U&c?|>j-5vsgMTaG{XuQ%v@1Z9xtBOv1tZG6T!w37nNz}_ z16rV3LT77mPXO*z+8mH8t94W=gLVhFEH79g4;ij6{+&cHp8IMmOa7Ct-lKQDqTe{* z7_74~P2Ab*Y*g!HBDvAGN@CyQt}11?f)~g|D7aW>9V)MDEaDdmt~_6*^_WQpgbt6< z1T3@0LdBB^=QNuCcZY#t{C9srJH})&h7MX4s%1odjMRK{e96jZ@6gzUkA=#9+`- zJ?uNY$R2Rf(KM>2iI*IP)bdH>{QSw!Q%(G)im!(2_PR#%dKKw}b0P&)K*aUSBy`CJ z$~0DBXl+0?oZ)~jgOUzWg)kI0Iw#D>1;9{_&;wuup2`xOFG$xJ;Rrz~GH;|xI!znU zH)k9{b3sXlXRpAVS^OU0$dJKnh)AG2on{HVGiMl}@5z!hsY@6=VfrQ5B3q>yTrdOk zBi=xti_Q&Oz+gG z9%*|i-+CcVQ7PYSMI>U~^kqn>5;^H5Q^nW~KJvR4@~<-2MM=oZ7;qP4;F7?)CI}0N zff7Czl%7uG2h3ol!qgiWy(9GNFbQ72N?a01*Afv6h|A&&L6+&Xm%uKZ!Gcbe4FsrB z7(IUaY1krPr5!xRyCb;omggkzY1}5@{q`hv14B7XKLT3>Did)k zY;`t>5im*_-wR?km3e@-1tS2h2qi(OGxCDtB@rVYrp^xt-g963U%xt96J|Kdts{1z z+00xM31YV%XCKJ(MnI?dXwpb{el>_3#?(&@3Sk1izLt@#^nuoXJ&ooCUdq>1J}=T{Td<|JgSWE18kquB!Eo|uR!!PG?0%PiE%iDWQC1!Ef?Ty zS+3h6_y7Z0{4|jMoaPQ3x3_EhHpq$8TVVWbl6(+$X~%)n>(Kmy75XHUBujmT2?NvR zVajZkPjQm$A@3==#AV67H3LU+B#7DThOsf;rp2B4#={VHNv}2R3)Zpt_>OqHYF*m4 zS7_l$q&bRk`WSE3OV*V>Yz2A2le;nT{cy$7CC~o{Fl~eA1z%FeUjZ6ZXr}>dbH=>` zu!2&*V|KXdVodF=_WVG5XChj`mHWKumpRp{aE)wRDn*m<7c59HERt(E63*(nG2kZv zPEf^zfF^t41=C+zBGhC3eG6^LSCfnOKg%3tUyJFL+kMo{7|EXO(;Z|y?0Z8Bc9u*a zy3TtEA}=xJc-i943wfRl+Ti0aIf#FpMs0BZTI6_oEYkaw^YBe~vG+g4^(KnX|6At4 zsA-MnB;YcJ;d8e4>wL6O99y_piZROlZH1}8Su@zs&#E80s<1%d*kwdCz>T#ziT(oB z;zmBjWr5l-G(Yq?41-&(7#GEQObM?7Oqnx;(fP7k+SEGCjtJchmIzX_hsR)7FL%DH zzCjRzZ(-+y2Y1pBz&~xpUlYbqoN3z}+;K3~{jcXia`=y+;xt+YkZ<9|iT0FTXQiGz zpg0FuBj|*@#gZHoA(WoiFlv~?sWp~iwWbUi@=mQD(i50>>*BwctA-GUjpQ%&|U!+a0U!* z1|_jj5zNPbbbi=n_Rk}foj+}6Km(!d+g&9HDd*SvVHyNaTyAS`jCB@5UW6HP_}zuK z*3^Tka14cyE(ufStEAwT*z3+C%7F58gly2tKH^{G-Z1|0W0#l~_x>BJUpB5ExNd={ zg^ViTu^?@XjWMuW5;_^>CV>uw25M57OkIqM9vp-qA+SGO^qsUgYnS85^RO}CvmIgq zgp$LHf&L4stE0#7e2Xr!x!SUK!G8^$Lc;k<7CT$(ec1ZxR)^+ zf>7`ZIYK>z85X8rh2?Vly}|K=LEnwOK#0rO$?qu^8^ zgPKJT>o?fZW`H;p?*haYF?)J*v>P-~m--%~$41wMNr3%Qa7k>s_J~;U4@JBHV2PoD zfL$2l0@@l%GNz7W^jHt@8^XkI*6GD7+ez#pqX#GY0El;FB9|_Np)~K!_j(NBx)PCl z$gcty#6D<`m<4i9;+=sYxcu%w;I{DZeV(y6$NaS__h{$7Qx~{}o8VPB-I}RQ!<-C@ zz3@*Pc%u2WWEEFIo8u8(ry}i7bl`zL+pBl!-V4SH3mOs1vXMjU@+CLz949*W(t8{C zcWN3dng`%t2RsHTC0nlg&)zi;XkBHp`3b>}5rTQ%P~usVAgya~c)7=Pux0f0*wdEP z8r1~BM)SR8SU7{Q94OG(|EH#L&)v5uCL%bQTyfN_lbVXaxxv{pi zB`Pwp`d!)b_D$#?u2R)ChsB=m1(k^PLd8fe$I~%S%5HkQQ>q1{O>G)KEJ2%BuZ^B! zv%S?ZT`}w$nW103Oo*6rr(D||`Owxq`R;dH=lwG8omZ5udehHsqVMZ?f<;#Q2n@peI8Y9r;Ga@vmcm$+NuLiCF;Wp1@-c&nNV5CTJR0rxM<@Af=+8+kgFtm-?a zH~Tz^gxamJIcnF^w~RejPrlG#&$-{r` z#-CS+DVy+OPQFL&^V9m>ePRZ!8ha|n=U|EOf9$%5Bjo@3&w?EI4dzv6k2rHd9@WBJ zQm^~h?($>`{ICD7;s<`4?maz2?>qs&A@+Bxf9(p#+Hn5sKV=5Z^t+Y&pO8lWZuPI- zdR-jium98R2Y!G4C!|59Z{}A2+GR$MZT{Ck?hKt7chu~ELi(RnF;D-0Qgv`q{{OA2 ztrDTwq##D@OsI>I-q6B{4a)i>?y4#mgZBP3cZ-oi)MfjH2gMAaeU$rO9~ zyqi%lL}u+@VNaml?>+0-?RT+;TXEVGNpX8UgjUL3+8Ro_l|p`P`0DI@(vr-udNiel z9KVtJ;`bLxyc&}udE`VMyK*ck$%Ir69%PBa}0F(HXf)-iAbz zboeK)?~9ub3z{PdeW6D{DpOpT?!ACwUHTPb_*FNN5BU zqH>4^w*_%B_HFu^rK;mD#WG%zBo}>q+vHI9@Ll`$YbA`bRb%&(K-Le{gdYtE!#sw$ zq2!M=B4BiO}pfmm^9_9>wOKgxM}{P=^b^wLb%HH z{vbpvV}RJCw;5)zj(t^AE2=r$pl9Nnru?Za>Qdxm%KJ+vUar;$N^se&l}@i-gK4?A zap}KI!cM&pFl_E0=)F2rAR6S*o-^wt(IMpF(DS`1S;jN{_ z=kc@Y;iUr)4F-aS<4Z`nO1(j)HKzy~q5*}Z+c*Ij&<~UAtgieQUHx`J0)To%5SI1)u zOvmj0WIh+e-v%odp`!Pc_TPC$-1lDh%5!Iau}jn4f6%`vTVeVkCgsrncPygfP~Ica zb^Vkb_4Tstq)zQ5&LBqq-P1{@A`|uW>pN9O^0S3qqgP3@Tgp8VcSm1tRX^T&lNcD< ztzNH3^OEd|j2lQ;)ulFO+&HQs-d^Th&L!KP^IY6I;+xB$*tT2cheG0z=T;@id%AJM z70W+j=Ab^=|0S)zf)MhMyJmW^>%j(+94;6%*gp4S0~uaB*>QEJ`oZLN&D+m23a2&0 z#e%NXE`IfO`_(kC6r#Mc+InAR+~4%e9KO&zx5n|ulGBS;mi>OE>sApRZEK1f9E|1C z*wBgX)Mm#QK4U1^@3J!Yz6ic;ZaWbq+ao+&D4(?SStIOO;uE)z_&*N)A|r`b0eoD} zaaQCIl(%!s?T`_dnd$jwx%5=S=%!qaeukG*p+@qEB0Px_S)?85K>NP#`lXm~=0>XK z%H!>VBZKYlf@V6b6hMXVj%uoy%~tc1zm+Gr&@?EK?)QVllo#1b%boUfgE}3WiCLm6 z>4EJ=6#|9(itXKJ;(B5t>$hETyjsabxsaK5p9$1zhmnbr!el4*%TjtutIO^)SKai$ zwFibFjZ%`GWqBSCZU;2_E4}EMYsG%?aWqv;EG*BBbYw`hvvVa3zl@3T^7-B1p0+ct zn|}9dLCb(D3QKI(C2GG?>{saTxfG$z_^>aLU&QvvC+{4OX=dn@pj}#9nN=-cr-6#s z8H*F-fcvyNNuD)9B+g#59E?%1eK)AOU^j^h$b_9BLz8_1Xb10Sm*d>)Xz zVhjr1? z0=@OsNO?VJO02LY;qkna$aF9{A?cdPbVv8xeg$j4+yGDi=K(8C)6RDf7}v7H^^+nS z0#KpH>%!e_>>jR;byZ;9dVH!JBYW<-bk12~-BxclkQzL&P1yOTW_0fUb3j_ zbAObU#`v^a$8L6Ij84TwLcqFjLVDi^EO%BN<{W#^$E#X%-QU!!C8eq!m$mD=5X=AT{m_d`GtsfKq2&K-VoJN4|Ou4T?YC)#ElZEVm= z=RQvR@+j{5Ug>msWo~X^A;`%hZSoL&$g1ek6R;HmDXXWTKo|b}SxRK!~p68dNP2Zv;BqwTG*iceBxqT{qVkwt*vhLx4-!+p1^%R%=q#i+XmDY1{ zwrs(OxFoezeB=guoXa2fr7-ai7RG0PoxQqP6UEUZPi~rjmuGQb);R=f!3O*x^I2u@ zCvLpTUzS>l?gy{*D0Hptj^y&0n+9r^YaH1=Nd z74cx5`c&BL_Ry`q{3bKD5H0JK4Sb{xc#w zHZnMQ%wUg2()`hRl`qR@Y^FN9HN2JlPgPgdn8KHEfmTRJ`OA3m#gG?cI=N=cQqCVA z3Rs+OX(S9d>e5PuCNl+C>ieZ{JWlducU4C@Yu_oFwXRJUffi226#J*lsXNwGbJ*`) z$7yEgub9OAb5sxqZ!2nzVEqSr3}W$C&pzo^uvz$PA=|63uh8K9+-!Pre&r^GYXKe> zK%xR5R?g$j`^g6VipK!q_Q~lZ{fM+c^{?HxCIiR!J_?rv>(W*AUuk^2S$pH*Y3y!o ZNHf=1h>qwD19jfBe=MVz|C-w0|6g};O(FmQ diff --git a/cpld/db/GR8RAM.db_info b/cpld/db/GR8RAM.db_info index bdb1a86..f4d4554 100755 --- a/cpld/db/GR8RAM.db_info +++ b/cpld/db/GR8RAM.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Mon Sep 02 20:55:35 2019 +Creation_Time = Mon Sep 02 21:03:24 2019 diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index c620b16..5dfa555 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,3 +1,3 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567472153965 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567472153981 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567472154481 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 20:55:54 2019 " "Processing ended: Mon Sep 02 20:55:54 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567472154481 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567472154481 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567472154481 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567472154481 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567534157574 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567534157576 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4708 " "Peak virtual memory: 4708 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567534157800 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:09:17 2019 " "Processing ended: Tue Sep 03 14:09:17 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567534157800 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567534157800 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567534157800 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567534157800 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index e83cf75..808d8c5 100755 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -1,40 +1,8 @@ |GR8RAM +C7M => always1.IN0 C7M => CASr.CLK C7M => RASr.CLK C7M => ASel.CLK -C7M => RAMSELreg.CLK -C7M => FullIOEN.CLK -C7M => Bank[0].CLK -C7M => Bank[1].CLK -C7M => Bank[2].CLK -C7M => Bank[3].CLK -C7M => Bank[4].CLK -C7M => Bank[5].CLK -C7M => Bank[6].CLK -C7M => Bank[7].CLK -C7M => Addr[0].CLK -C7M => Addr[1].CLK -C7M => Addr[2].CLK -C7M => Addr[3].CLK -C7M => Addr[4].CLK -C7M => Addr[5].CLK -C7M => Addr[6].CLK -C7M => Addr[7].CLK -C7M => Addr[8].CLK -C7M => Addr[9].CLK -C7M => Addr[10].CLK -C7M => Addr[11].CLK -C7M => Addr[12].CLK -C7M => Addr[13].CLK -C7M => Addr[14].CLK -C7M => Addr[15].CLK -C7M => Addr[16].CLK -C7M => Addr[17].CLK -C7M => Addr[18].CLK -C7M => Addr[19].CLK -C7M => Addr[20].CLK -C7M => Addr[21].CLK -C7M => Addr[22].CLK C7M => CSDBEN.CLK C7M => IOROMEN.CLK C7M => REGEN.CLK @@ -47,8 +15,7 @@ C7M => S[1].CLK C7M => S[2].CLK C7M => PHI0seen.CLK C7M => PHI1reg.CLK -C7M_2 => always2.IN0 -C7M_2 => C7Mout.DATAIN +C7M_2 => always3.IN0 Q3 => ~NO_FANOUT~ PHI0in => ~NO_FANOUT~ PHI1in => comb.IN0 @@ -153,7 +120,5 @@ nCAS1 <= comb.DB_MAX_OUTPUT_PORT_TYPE nRCS <= comb.DB_MAX_OUTPUT_PORT_TYPE nROE <= comb.DB_MAX_OUTPUT_PORT_TYPE nRWE <= comb.DB_MAX_OUTPUT_PORT_TYPE -C7Mout <= C7M_2.DB_MAX_OUTPUT_PORT_TYPE -PHI1out <= PHI1b9_MC.DB_MAX_OUTPUT_PORT_TYPE diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 569828609abb141c98fd46e08b1f91221887e731..1acc435513cee01ce36a3663adef3e2d0a712009 100755 GIT binary patch literal 2611 zcmV-33e5Ga4*>uG0001Zob6oQbK*D>e|OdW4^-{T-9xf!ec09`2~NT-F&u`>=3ZQq zEG5)1kl|zYre^nlKiLovW1N9}&18p~OwpFxQlplC-Tkx7f97H`n@?-aX3eKZCxe-H zr6<$DcvJ&&jEmQO4m9C_B{+U@#ymKhH*ArN2_ zO2shpmEe?efjRayk(m2lU^|#k^(4I$6Y)XY>uO)TdcW6BPwU<8b$WVHZ^lVJrRzqo zpLD(EdED+sORbas*;&+0ifMOG6q?Hmlhb-5D)(13Z}zy*+}h__yVbc&-!&V(3y*r8 zx}Bt`7j@Hq{9D|97q2uL&2DtsYue%Zod?t|+l_v!pV*~P)@ej%g--pd-s;=2<9-q~ z9?(m6)a$gny|mwHFnLxqTPS_`E{-uf_xaGovhP}{qEkJ?k7 zV#;VO>>X;bkUo-xGGcrorJ`6;@WjnW`q9~7ZMGbq!<|_d>w|N0EU^N+8Cf2llSQH!9sy9E|4-KI#iqpBLjjF zC)CGWGb0fI^Znuik!9(0E{h9*QV|g80}4T4fe2&N7$%frc0h`kBaEgqF`5-u@W9x< zH8I+7rMXc$w+Drkv5aMc6<1_hjkASGfHL885n9t2N15*j0oQ>5icLTQj=ap|lUe8@ z0}ufCEKnK6S_dH|fuV$Q%6&wrr#{>j#uVB{Q)x0I7y(4Atpp^u)60FMu_pG0$X)qC zsL42;>W_0hQraspJ=;X~<$P>Mc3eVsya%%1Pj!y$Vc=&{V$6hHBmrT*5q23+szb~X zQQ3B6U!!LD033FsPB%)TxL035XPj67-U?=AoUJ#i^xAQhHsememo}3e?2=wR?s?Zy z6@C>U+3ZBEW*k)_v&F#XW%IulIXaQGkX5L4qw{8B8>2>A&%vls*d7{QE{xj*)a6o4C!^bn3*JH! z@ip*I^mIO)1qfD9)NqW3>%r42@yE5@5s!NsUs6d#*Pe*?DQ{- z{$U~ej?5gHIx=x&?8vZ?)nMc_76ajJ;cee&H5`xM=g77Q2Z)0roHCAyg?SXn%mhXn zu(3hu%=hHyJ29OaQ)pA1q0nGTGC~6|0j4JNK?@85X~|6<38%LMvjBLe0za_1uZ;?s zBnAi=6^6dH04f-kS-z&#cp3UMFwP4l8xZ^;^l|1R91*mSJXW)@`IPLL0qH7+D&!J%o@_2q8O$ko)gfgb#&LhB9fe;wnH~W*Q;P7!L@? zOvBqP4E`8ov)jt>_El)B3~WWVy$WjfsNQc?LE{c_;N|l1z2d<4#5?KWopkU{I(R1? zyps;zNeAzwgLm?k@N|iN#FKaFcs^UoH*5JBa8RUbI+AmnzAnlFB9u`ikiM@i{xBJG zTeACBmD|dlM>aJ38StPR+XJhX&7bXVkYzFR>{Ai){QtPg@faSS*k3XyfxW+bBTY^hfZnxu&S}F&vo0kh=IvAm~$DcZ(tTdtQr(!{%3&_R!)he(!zp)}-HQ(I@W|m3r_9YmU zmSC_e#@r{*#S&D35;T+)`HDga2x%S?Nn`++a~wPdU>Z@!p52Bm-#b@vQVA!Ma1se8 zk8simCyQ{Bh&=+vgPsgao(x~xlT~2y7*C?d%+NOpHeQ+}{+$RmOb!g&fnj?(!xrBC z{TMdx;%?|3JRRRR03L53JVw=#V8bNXun9Jdf(@%+!z|da3pNac&G9x2gAKzf8AI;K z;d52KZt08N+84V+ZxqO`9pD-Qt|j1_0=&K6L4M+Z8vwg=Ys39RkQ z5w$Nt&K?Na{R!EJxh2@LOKj(DV$a1cRn<5LcFARzmWx@fNy|#k2|F@Wa8cNi!I9rA zM+N0=F|g~tm}lcQD(m&fkQ;{r=P?D2Q&%`u#be1hCxp0lja$~Zgq<_EThq8Dja$*U z1&v$JxaEvn&A7#kTgyC(DEuZ32IXA>un@mQtGe)^>e`{|`c|n`RbK5@ zQtf%C?YXF*UQ(6!7QxP&i=ORqaaB6=qm@)|wZ!?MOOW#BC)j!S(=(SK!Jz^|EI}>5&t%*O;GtsKpwO`!YC1xF-h*m(^ zn|dO;?eWjvPcpwZaD3~{2`F!dg`Ic9KI6L+RX67wd>IAGTW4X{y|ZtBN}ux`l-GIl z_^e{jaC|dR>G&@H{kHo^VKq_< zpzZUxS%9eh`|IAdRgS%xgw{pJpFCUYVn1XguoZ7;3=2U}p{Nc`0EjAKAwIuBtS?7m zxE}bT(Mp^7c=eWr#kk$?rLE>=vsZ+Rg;i0~?sqL}CKvT?{@YROGi7@h?d?+gGVokQ z-DazOz8bM01iSsy-Xc8RpT6e_(^k9PS=z%aQm+)^cDz*yGgM63?Lbe&MBV1UIQPZ- V^Fcr6KlC)TYux+C{{e&iS>zDwA)5dI literal 2275 zcmV<92pspU4*>uG0001Zob6g$bKAHTe&cjSgn_xH0@w?A}6ch+ANln z`K$x+DL93lH|xn%cMwJ$zK@rUfc_^D%NtI6tPFui|YsQG%fG7HzaT+J8atqHXJ{bCpm z;w%W=1nZ?)6!&5w-WxZr^C^hOqkeG~4~MtK*=3w&dAX(A&S0DmgY+Wn z50ggg&G`I08Rpft`!6cZW?+66ca#13s_xwxYt4gmZnb-ZtKuf@jxGZd3}UxQ$tW2X zMc!@!%1)tA2Of8|UsQ?CW%s^GYYaj(cObb~etF?jw5nk$Qvv za8!&3-LkKe&-z(bg1t^hw=Ltk$#r^`6zB0(+Pe*|;$K4mK;H7hJOet_Y#jIgT(RgS z*+sdh(V^Z_IqQ##i+Ge2gK>UYyot|#DUErc*PA&T6-gH4SMhMvwjuEwXUwF?&w9gh zzQbB>M~f`EDX!hQC~Z0#x{W@pdiLhmwAj2V@m;3bMT_zu^(D~7{oGyYPr*`67r_Y( zaPS*$o~U`k<_YSjn$Fd`2k~8DX0a=W@@_D^$m5itM~V6K3lF%U4LK=kycwl zF$6dw2t&!aVw_on40dJ^Z>Mu9rVxWlpIJp=2m}eKaC#O1g{=-53MB>_!yN`F2Pmqn zF~Z${i-crAVnVTHLP|xDB=rDo)LffN9|A2H7m<{ja-bOtLvBI=39=y$IS9mb^{_y( zTrI?E^6zROHc(4~fCYk?D2fE6h+1N-g+x|_I2Klh7y*t6L)=g+0fdyXW`NsTF{^i%ht{bYY>nm0aH~v- z2SkKYO|_tbw&mtjy1+shLda;SG(pCM5y7D)m~g@wz@#$ed!ZKdVrhO`o0&2}#p!yN z*_)qunAy=Dv!f?4`@`;)%pQeIONkH_&Ws_Z%nElB2r&`j04sf%*|)42Jwk`WWH3zf zBpbywb!NFE;Dcng&$Ds2O|PFNMVbx9qaw{qvdc$tHVST&HvXzeG94tnG)r2U*>PZc zmHyK)#~^VCX^Yx0xkz)@nRJV|B%^NYg#E#&p76Xsd}PuRU`a=3`NmPfHY)lmJp3eA zP$Ip#t$pcXkooAgmjvf=eu$wfaJz)s9K~WWyK5QnBLoqj6aT_2*V9#4Q+M59euMfA z;y1A0Kz;-IjmB+gu@WNmWai1#lZhu|Pez^$J=vRIR`bJJW}ZwvnRqhxWaP=PmepkD zbyf?ZL+Sr{>-89))XQc?jiE}hTvZenY8rz&Kj3uYa0 zYgvu5DvFqKj3_9QI*=dl#d2wFrA?3qkwpY+OhRZwM671e2m}Q%lG|W9pT8?9w)Px= zLxnk^9AW1UK`6Bit+dd&5oI*1++gGWcD)+Y7DLD*LoC$FAQ@@vqHZgN6~>xl+(@$} zhg}HXb0PRh7xJ*vmHSW#XrQGzun5;oCgcFoXNF|Uh60y3uP4!C1b{^QyFoW-!nHacWFL#QT{Qkvz_xW^N>B} zA;&V02e&Fq0KqBN&IVzqID$xH1#n2TYa=RKg4f3b*UW`#4Y{<%c1K>+y>!r-xj6{S z$qZ~Q{?ZMldmBpsZ#J~Kt)XS{IGRvNBClI^dtxKBLoPa zpGLJkKt9iJPJ!e3ofGjwo_|`Hc?``oG4bIe815ax@K}y{h_$ODs6r)3Bne=Ozz70h zc!VXEAw-;`@Hqt2O$P4mA#VBJv5FT;c#(t`NO*CC7e;tdgcn3S!C^dV$!O1#(YLl_ z8<{-ElHfTz^rr62p;V)JOb^W zU zeI(F_0(~sd2LpXHaAzGK4fN5pl{~pup^QBFMWs-`7K)(X0Bsdh9|!b-KpzS8p+FxC z^ua(M4cuABM+1E{uQl8Ms+uhX`(Jc`*Q)Jn)%FEd+pkxs{TjvD*MjWR3$l;bmf(S} z#E$+<>`PUb+H#!N>XKhwY7Vp77A;$APRNsCODzg{GW6shwnhc_KZ}9Kei!q_Y8$Qj z`g4jKuL;g`5*#nD@Un{M7UMh@;^#GfTH_b&yv6;T#!qSdjK)uB{CvhwXZ&o&PiFjF z=2?Zp`j#BNO#7kcCeobF-%eC9zb`+YeuxX5M&Sac{zaBnL6iIY| zDo(9YC3$~5bdDjvjECiio7*@0cR*U2#L^)EInR)w+Q_-s^j_`~amdRfuy x_XiCg>YUL=A?s%cl@JBhmfcOvLM+r>`MLL};P*%ESbo+xb@UVbglP&=*LP!9~nv*5vAQ2%TMreVmWn^S9U}RtjW3%)HQVfD9 mYW$tNT%94tF##o57&w4xCfz*B1LQDpLzO}lF#-Sp0RR6|I~+>@ delta 80 zcmbQsJePTcE+eDBWIaY*rw(^b diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 112e5e1e20eb763e6174604d02d8c77c76731aa4..739ec31af2e435cb334d445c1685a1815daf4e80 100755 GIT binary patch literal 12808 zcmeHui$Bv}{C_H`gvd4bTjVyk7{)?UDMGm}a}BwUT*g=>xnC;RTviFm{XV%1bBoH| z+=sc34Ku@j`+UFu!0!+EK3`8lvETH6_iBu0^B{FMU+*PMHJNlO3DCbMI{vxa~HorcPAH-#|};&B1$6W4@4|o z97PPA-Cxp22E05=pXSV&CxHK9XVe-0mtR!=Umnb`8Fr~Az#w2Hbf{DHo`9mU=`Pc` z#Dr*GCHb;zs#}}}`f;Msx|~jqQf?0`9zjm9MjuNI@=AGc{hOL%t&zWcJ>gTL?v$IM zDlGmiD;Q{a=Z1@r9TGY^Ss0k}L-Q$lhKfQtplS!ThJy~Cgdz)nY&Vd-yuH24%1cXU zCVYJ6)1=BUgHsm*of}xC%DO^W#)>PqqnIuCv9gt1*^QxITY-K}b?@SSiMd8yo5<9} zc!chlV89NOJdJFVDguU~;JCcfGENC^71r9wM2U=m`goITKedc?_7+-?qocr^BA$2J zulXL-TEQQtWY^1|ebcpQ532xWl(W8#lUpHmO#=skgX{98>bS3}H@dn0Bhq*z?C-IX zdYKBHj5Y?*(g`uJNIG>L2RJ}fkpl@E@pSt4Q$^u{lOx1|PD;pUyga{!{c)Of`dPCb zANMbV*YZCafbw)3kTBEeK{_dvYW<&>|68>GL)!nB-RNwL{bX{$OJ!wcsiy}BPlWES ztOV}dtsf0|+S0;Y2T7cm{7G{1o#e(H4@mmwr#S_g!O@;}r|}=24r>K8mwUD9POCKp zWz{Au9DBWMuB|nKp??@+$m>9 zTdyNtD*-uHv(SM^+i;!edc?b7?C$Y5LcjJi`-yc|GS3r&zV1kwkmQfyUka0kY3}?r z5?<#BD>-74GwWKz#Vt`1_@I22Ddyg$8fe&S;#15*qQ}e>W0r7vr!K}8kyg65yae?5 zHMB@M4PTna;a^y@_SEo zsVVa4RK|Ise?1Zrw&Kw&4m8j?26+O{7t1wvyn{s&F7t;oFdVKb4EAQ}2@G6-UgD0j z^%I!*dHgM#na{VW0{YZ8pTIvyy)zA`&2%&fhZ?U1uh>rDIm!w`Pc7EQXA~H(_`7OQ ziE4js50K7()pjAj3;1)ow50564(l9w>*A(vXd43@YrPsuy!zxfKY4K^*|c05^pW@G zNa|Xwi<&N|(-{1NCmvUN3Ut1?(}4NIP)A2K&y} zqvoxS9MVyX=QJO?HTS;lHVNBxqBau;X7-s;O26*YSNqzm=b~2GmDH+Q5cGRX)TuCh z`E_KA7`7^bP`{$Sv5}ohIq`AZc4N0o_3A9wzcO)^3Sd7s^xHO$Api-F;hk0t)*a6x zCf=@BysppTi1dKtUF3gcg*>Y7f>aeIxSnsW)frKy6cF|s6tczCcF_X>=w>ML4#38B zp|`Ek8uxVO{Ljch(gypc|ZuX&GSf&$C5jmPy4Nn%S)|m57U^cxVI-GpmqD+o8?e)ga+y7ONyVjV) zuP2abGRbWk=f_j>btvaC#xGnJTeWpmZRn*1wVCLQdgEyDypeCH!`IHt^IDAnzRo3! zRiQ>U-@?7wuD7HcFsHSc|6AC6&GhU3SN0z)pJ9@(lho5 z?%|=%lJ#VuBGkOb-64^e_{J+Bx9_b1R#wPdjw?&l3+)f(+B5JXO3FA*FL#Gv`tvRw zxM|tsl6BNdpql!+W^m=+CGHfRi7@VY7bF#uB7B{Vnj_{7-*yRO?ss+kOLH zlNWy5XbNo`u%{Y|J@vuiFZmn1y!;^1AKUZ@)EE@=5mw2O9zfenhgc^(ir*)2=Qi%u zIGRJV;u-!HSFf?;14mI@VMiru#-5E}xvrwwz&zkC>0B>=cS4*%dR>i3I4wSCcaync z7!bDthj{$E_Wt18pAXtch*`D16)tNrtS`s?VT{35hyKuJuYZZQ{QSt8wwMvseAGM2 zkb3k|)sz~wkE@+5t#HvQf91%;=37D<{sq_9)rP-qA6NfTRu5Z?i;yb=tv{x%6m_;^ zuC_*qG|1HT?T)CShQH-w<9I#AvAz%wEhyW+z~bLUy_DoWm2ua+k?Yzi6VcUv5bO%B z+jV?>u?{f^_^WP3CNXdnkJf#0zK|!h%-vzrD?k4Gh)UlOAwMDVfAp&A zkj=CZn6(>kPo2woWi@iIT<^^ z?b+Irq^~{F3{g;;jY>Vbg)VS1@XB+^X?cr3SjWcga+?(0jO9wPM0=y~_uG%!JiB4J^7j}bn{q?owAnn4jvRKDjNchL{K-sQy<1YmleVgJBU*K!8RZU#3 zx5AsejE3A+3hjDX*AVA1hML}{RN|E0aR8ocQYH}ZwKAD*pDJ0^ASwk%S_OI|GMQL&~1IVeL*AMGSH95?n_u2BLd`kj-;+|S?RtHh=^cX-3IBLCHB z5B$sM4_>)1(+VI~uKR{mb$dsctm+SvvV6Wq1^KZOvT^ls0&;b*@5qDHd~LaO zdiMbLuypIBr1j2i$rBO(zHPecgjyGwK}rj?bKX*J zojLYT6*wvfaU&_68{3_s;VBuFlwK)Vit(T5hwts0RhN6Frxp17=i~^x{uivcHnHP94E6H93gSpHBlX zN7%5rV4C=2W+WChPwfvs-*1=xXUmupO7VTXxWl@BW1oF^R;ci;>90KhMy9t}($9DN zfMFkZKeXFN>no5*_Z6_b$Vc! z8ZONXr9M&KiTlcJsQwFY5A-YO>>LPfxb1$`$j$0}M>S_AkBH^3uxb9e(BUS{Ekez2 zireSk`oJjdR+XMc_<2{w@vWLk$Y4Q$eB0kJ2`E%hp#lzJH{e$61a0_#h~bl})VhAT z?~x>_XB?B9!FLm609)TRLIzit_gJWaK9L=F1CPSCB%k%*uljUO&D|@$;IkM{T$9!7 z5+7n2WPia`{sbKya`7$CqA|t$A`7!k<`HQi=Y z*k8LrhH16bOMl*6N$FmR>$kHB0T&>rjy~yy&X)^oNCiORbwZnX@M0 zs>j1W?o8&*z-s0xh@Wz>BIg7Ab%%VGp`qIAiytl&CZGM1I@2_$TK!zDgx)+afwYN4?z@2e)a^bb69!eC|2 z>+JF#BE4W%|HMlp=|cUD9|J{@d*x58FS=Uz{6M%kn0*=+yVP=Ntj*uLsXumJx7YB0cVOkdP1OGl?Brs0RGEm>oh-@~oy*@5 zJb!F5>e-4ljLunhNNme-H5uqvQ*r+CGlF@#wUj0!0(2a{`{8g~J(C{VmJ;MHNiL8WF)Sat!Ucn~u9*g&ox<{xOwDpt~Kep|pL2A#Dm)gSWY~F1yT_5~M#_BQ7csvcGGoKNi#( zBvsvWwn}lZTUNX)zV+R=k#|STV%+{nY$(b^m5CbF-sofYV6V@5|C{FWvF}HI$?lKu zXO&$UK4N-d&u=H9J~;t0_x?0Uzumkn>PK{!O6$rNdSa%Nz+3Emxp*O(FF>F5=j1ew z(bk5`=Jup!N+h?MuPU2-F}Ers`)7W?pSI+-wg#YKv`?_Zn-lLz(zCRW!i^^P+EsKGLv#@+yjzHg+Hwx zz~(EPL=K3XXIiTDPm8Bgyn7ILWk|8lGr_1n1e>#tb}D7~d1k$dOAC)On~BTmH|rHa zvrM|W!)BT3>itblGNhAaxRng4>Un0+1D8{7XR+FhFikMPE3Ls*=9*7}7F;|tUA4c- zUuO9Cjcy>ApTJFScIL-k`yAb z6Ei^*{#w_1-V?6fi}&4kb37XZZ@ByI6cb(XQtx?V&epw?@-vqCCwaeH>apF?xkcIt-JKWJvAB&xy95$Kgac372$}z)N8*|<{Kxz710vr^* zr0e4Qt>Cry<-hw!XrG;J3QmK>v_Ej$rx)A#+5qm%K$7`?3&HAkwjZ_NkS*Q>YM-Xu z5mbF1B>on9bwG5Vjrri-;B-GEpCh)D4;)8h&`!I;(N-#sx|9ih6gCbf&S5E`8y+*WeX#tSvPxJMKr|Pb zEVgfgCM$z4E}`0r?TS73l8VVaM)p#U*&@r_t@$~^OvC`b%$t5%$ryD}lXD#8pvw4F zrY2a-)Go{NHl=8Q+8x9}QSH3u+IZOa>2$#ZB1W_+L8kVNfQ@Fvg=2zsL=Aor%FOv) zS}spPKfajL3KwCgJUcu+Qq|vMlP4>e5A=_Kk<;SHGnvB1F6+XQ+wW;CLN0znPfB^F z;C3wuYN~_O(>0J8tx3WA>%d8c-KVJ{&uc-T4vFLgo|C$?3B8B<`IS= zG&4#hSGis-IvN>LW2nQ`Q=YG^0wMQ2&s-Xk`bMAGovjDq@*@WtZ1H@Utj<8$=8Q?= z+}B%jdVTznzG58L>aS8*MNEv|^JN}3pKQ?-_TBcx*;5(-&bg?*fttVPUN;5B4uIHa znIs-=*Az~SgM*{>U(7MU+3vkR!e0zsI!&hhd=h$tD0POi%$Zq9g4|h~EeZs^+}G2} zGzv0ydH(g~-PxcMeg9^|q=@Mmv&^Hy*^nhBqVhgl)qW4^wq3!{XQV=@q0r?_se&n$ z-RWGz=Zh4M3YPWH5to}+h1WXZ-PdR)_SEg7z>{m%+8?SrC$=i+@Qdae)XEeZb>}@$ z5pUuD0vAs$LZ1JTPT_O|i`QPeW{L~Jm;9ak*7GpM_|BLvBI+sN$TiM4S19L|bj}AI z+#cJ7{dv^*` zIfGQh-M+#i{};+!$$9Qw&yrrw-F~3`4-97*cPk8SkTO{OV3)f_x`*-;Tr!oKydQr% zGD0UYvUs6pK~HWkx68m#eA5;}zZJp}3rtyXD)!`$5!a)_7SOjIZj&Y}F9-$5l3l(u z!AdBN@ADjF7X*cjcsbtf-n}n07$kkVduo1Ro90dnekaQsO5 zgWcv~Zg_CTtzKO97Xu-o7mtXCpTw490Q?gRKX_JRLosGKa$P3G2gf&iuVqKe^;RGE z*JDcMX%Z&RaZMjkkYsbMe45|h_e8&@en@!vk1y??|FXg=8u@dKS!1YOcOf(#eKCF1 zXV75#ntwys;x}*q@#Sf;@S487hwq_N9K-8`44R+v&2oYVUV#lC?NLi8NDSSTHhJ&r z0Ws`XRIf0pdp4gB{izZP$KfMd1$_CNvk{0up>04&GtblGt5mkqc?oEXVrWf<6KShP}Gw%`Iu7QhV%h&Q2()nia9fWgr+&nA7H^%Gc@*IUpK9=*RRrMRuF9Re5t>thXG)MUBV z3HMce&_0Z6COPE<=yioZv;hR^O8<02w`7G=**eiJspb6g&=b(p`Q z(ESaF=&V+rE^2P^mxUFC)i~RB>0}N~dYr`oTQ#w)BW;pPD*M}QuHu;{lvm8VTFk_j zsC}~3-r~DN;;COG@IVV1v5$_OWh0N9lyq7XDV9=8O5qrNDe--oC6W5wMVgif%+R6v zTt#Mm;GTRLlqfQv3;8R%MFm)A(;g>;NJ0y``>&^$v-y1OUM7ijxy=5#emqJv?mK$l zmvz0+eAe~o;4sxQ^gNu((C_NAa?;n+0!39Ay&9oD?Ku)1<1xW$)nOLemQNxjT7lD- z+U_Iu6b`-G!dQ3{&$0Hc?*pgEDVqWB*J*J|unzz~$$H5|kL^!7LH=zge2!%6lBw;1 zaB|gsuhdM%q<7Rh_mM22o;^BdN;Niq=hfDWfISkzW8pLz-;`aHNRq#(a$*uLC`Yy0Lp>oY7S;Wz z%LJ$QFobY?iKmrY0A~m(o$EZToJ+y){t@cj#ebGi(4YoBKfW{f#cnafcDmbWr=E7<5=xg9+EMv?uwCdfGS_`z#P1-l$qJEp>zyb_) z?OGJP8_?(2)dx#^@H&e{bMMfID;apl-$|}#6L)a}9l}qiBG8skeUsN$>;{>(*_)ey z1VF-(zQD$%vJ~>t{Ubk5K=~8=(&u`IFykcIuE?Poby>Ki0QG0nU6kWPV$PLBvXQ{sbzwT14DDO(fi~owEdiQTQc+o>f;W4q=SExZMRyH z&oK4a#ctGhj~vqT50_$P7aaaaN830C)()K-f0Gv`57fa>v|OU>`8SqK|AbyCtKajV z@V+I0>j)ek>bDB&IoF*Z&wQYyAP?-$XT+du=6TcU5Hb6;hF-##FQR>C3)2ce3?YZQg*i* z6eXQS4+8^JC!n#YeW2qnj$=uaaBqfbhHFH(VU+$Dxza8<2f%t#;ng1Uv|9_3@zsxJ zh7+g;a0c?t9$d!m0eyDiIrY3&vsUP@9_4{wk%3ORRpE>nKFy_M5UsN)5&i(;)zmfA z6@$IdG%Zlq&Q^zE%~!Wa^Y{+9_BDDKCi3zek1cGp9A9Q6fKO=#;eY&z0#^@2vSm<>*@n`Yr;AAs%E0Sx*q*DQW{iFlAu(@BA(N3 z&(b^6l!j*1!1zrh#kxzqa%?4t4?oq!w};l0D!Y+Hz(C$1!hQ3RZ4J+e68ND9;fjNZ zL3^DbYFNnh6CET!&^?I*Ow!O7N(V`IWm1UZCAD}-x_e46ap&2%x3b`f($6I}w1LpB z1fNS7{Mk8&>=3{?a$re6w*ZD~({(&I9l-eRSPB+{$DV{<9-;b`93mHf9p-Vmc1Plp zCQLM9aUF#eE{`4HUu%?p^qHfkJ>w|+RI+?-^fjlfw~4A8Nq$!e35L^ z;-Srz39~xc8$BMIgq1nKB{Yk@2KY&_dL7n)g*eeV`TF2+`9b6RbeizkC*kAlk7z>& zerjLdAE6`5Vkte7w* zMS8w4Wr@4LXeHb+qybOX1R<9-1Tm6A$W=U+{`N%ci>QhFaM9${l~ZN59;RCKAD#oF z7eE~zH&nd2AFRD0aVSB>0qgUG_~d9KK1eRkaF%WAzym#a%7xl!;=pKZn|vTJTE>#j ziMk4+BV=GY7tlfH$jNqqfGEk%ObSL5)yFeE{+`VWe=B?EHE8aQ@it>~Jjs}B8C_jSv%Fn_%@bF(da1S`3di(1m=p%Cf!@yU>;Rk>Qn;gRI;ad-z14)TVOsH z>>v#Cb2U*5MEACdW{$wg)i*~oCn9{Bz2s>m?RH#e% zPwzlgMIVd|oU7h&0QYmw`b83x{))j4&N@{y#DZWbU!GA2#n^1LRwS-gAF@*grXH<- z9}_~*NVuK6gJ!{&{+%H!k8odllP$`LAKRU0FHA*t3O;GCoP7bT18gL~0mmHmgr`}} z@?~@1FkkWyT$a+uvyv z{I2PvOt>PHE*~SB9H3)SL_%vj3cXmHBd}$nqJ()L2JC%FDI7Y#eP^|FRFbr3+o#ia z#i(bPrN@KMM+sFctjkS))8v&*7CMAMmK)mYPOi}5wpqv?q*yn?3D5EZU-OqO>MT@=Um)b$T1KaMS<%AJ6+oqX`cG#jhRQ1^Z~KHzNk&-d@}1lrz4h1hvAGF)}9=KxkR?Is`mq zMCs6!0{d*7$d6Jg(Wh)}qwCp}4)Pi2XmY3gmKz7DQW?{8@J1EV!_53umJ#`jDX!Psc5F#>X>xl?<3e-f2iYD zY{iG|NAy???j6z~n7_xIIzJM^lCSpsJ1GVd^qaR>OS)61(s8Al{}wVB6`{IsT$$1_}X{G7D6rTV&E$xES0l zSY9d#_CEaUj3Z**6^m63{ke- z!^e;v_U}p6GW)y!ZM$$gQUrbLbW2;?4<51|C1#t-4I|?9#poA#__C2IN2PT zRwt1n@MkdOr%u)&BIRLo>!ks((@Z0#i^4-Tnn1EcZqk6WV0Lu+9`OMM1LI#7{EKts zZw>?Ei3HVrx&qlZeNeHWBZQ7GRtAlX+h7u*={)NNAFxe&w_3b64A)`aSl|+vW2DSk zdEjhT+?f;MQ*WG(UjVxk5eK^VBnnSAb&g@>N=b))exTDVfe|8al62-d(^w;UH>+=0 zSZ4Z3?hD9G6AcBY*2AQbW=Zhjad6>3+m6Z^o5K{e4fxGgJD#cG(Te;cx^swahOP}W z>_2$v@B9+-b43D}2aK61(d>D@X0_cuv<0h6DK_yW=f-#mM)*5f2pGjAcE1RwpH z4j+BvL{EV~SYGGBkD91U4i3mB$S#lSw#{7I5~W2HrdXOVZR_tWvsETw-xz+;?qL%C zMGh2#X12OQi4rBDmP>zKb&~3y`g$g%?W)4(39n1{oiYJ*g~GI|a4ad3uNI&l-YMBnF53^FZ1r3lHEAW)H9UCao@~5>rm5gU%Y}tZ~rq zZY3^w;QYIjaHJ`+=&A~aVbP~VYt`lSgm)i?eGsO|HUWrP?lDac5>z}Euqf-qzRDBs z>>gj1o}U18n@U+OdbR!4SyZc@c;%F>USVg`{$JCpUi>{@9a0=`3w` zMl*Lon_e(gB;jKH+mUSFK}kzg4s&8~!Vq{Yq{Jk#gM7suYR6q!NiPbfEPw4DRsIQ8 zvVa?70{Av@qzEVG_D7@n-E{X)5-HT5<*!V2d+W86eiBOT4wC_{pvL%}VSpa-#G&@0 zQ)XPp=?RyWi=9rQ(RAxL31AKr-QJl^GJ^n5kJ*WYrr6f_xZEgNN+W|tDK3{=fN~(P zGa4a_2vFF>vU&VY($W`#&3!*K(h=F-;F@MhKtJur;SX+6Gc1P~5>Gyag@6~EE+e`^ zw4n5L?K+*Jz7Dkkl&4rCSYN>N5e|0jmek`9@cpp)?a>YSUZ-Z*_GSy00IC^fU?*tR zE30G92hL2AUR(B0NBtuD6L^2+wK0|S3R-y_C_!y}2U@wOe=IA}UW8;K_tJMi!sCEy z;C38Vew${wqs=(xiZu4pJ62$N*Q6AgH+$`s1I=a5&Muq0i@>XMddm=Q(XSZu?&6tw zCcGf>!p24jmGfdFLy(&D?xb)1<-{%QkEM!ue-4ejnkdT08AZY>(qY?i&nw=voZw|E+F@tZp*3nI6-Q{ zB&YK}6I#zwb7SqoQ6%Y-!Y95XR4ps0d3$BjiC77$d|sMxy98-L7fX^nFi+HjWDEh< zmmV~+022#s>46;K^|&hCP$TO0?1j1xeb_0Zkn8DM_zWkC?n7u3V3%jz;eDoblw4eS z6VG#gq5i+JZL5CVH=em6lQj7iK*xuVl%20R>@V63lEEZw9%4{7cL5ngeJq|BwrZ6q z&_x6i*P$J};}n>A6L!VF2+E>(70M&@N(k}IjkYGdi12d6>*gd2ncyOkE$B`96m zaR&y+fzHc}(|`X^M@!@`Uj`nq)5OPUSnTA+nmPlyzHOR6#DlO4y5y&0{g=5&aE=;s z(c+-mF$Z*cE^0X=$~Bm+ewSnOV?*ur?XYAF89^@T3B6qQalGJYvZ70yO$UqR{hvHi zBO>hUC%bM6N69S#lyzr;W`te0qi{*Keh-y>mgJJH0gxn!clkvK$NTq#F2YjM$N87& z7Tm1?*13$vw@0bGj@$Z>_eva3oQeM=9RDm%n8r|*q4M?S?1g5;Hfd@EUwk_#du|bA zIXkzLD?+`1C?K8q_9di$PDgy9vP%kJol=2ywsB279j9z(__30P?MdZlNV07YXb-N2 z^ZR<%b2ksYDyhGHvm`|rGegaU10>KA2u3fwnL9}8;Xo`|10J)m~Tyge2qJP%z}Y0 z&iVZ5Zz#s9%}bOQ?42U%C){bYV1~C(etTRqy^8WOFCD#c@~6(C_>F;4!sArEo2Jte z0IVfhd}c;9COzuUV!qINm)#qYi)Om#P0T=iS7oGwiS{Pi!O3Q82D{M5oxwZbN>zq8 z3zQgmp5wQ&=8ji3ng!p8D_%Ggbo{&e`@Cvjyo0gva{}>5sCBR!( zb+xrXKEtj8lBvc&Mp6c3Mmi2=zH%@^(RJQG;=S)ze}A6eBkS(+$nQ0G%DDkYIfbEN zV}EXUT2eksw+#bFl9+bqzodT|M$abnAK!kwanP;KvTr{sniZ4^c=QFdK>eqdQlr8; z6^J_dlNh)EL5y*vs$1muw~^Nw5dOTez8MK1|_~vui8m37Z@A@OHNL+#eV$Ejm#T6T9Cg^NJvY2Jo<6M*v4(< z;fGc&o39Rx!%GN(ra*3Z`}qs2j`O(X{BeUOkAT~JXNTs&4zHw!7vw;ayEZIr24`PhLkdQEw$S6f6B-wYyjF5>5 zWoPWe*o}3@7&G(ndcVKd=P&sF__@z@-RHWV*K@hgc|Oj0oacStrw<)ERLp<);2wM+ z*AKL{M^La=fWM5Ix{9ibn#}bOFW*NpSJkh|sA>V#t^%*Bs;SFZc?5-exqHamb#?cV zQIoMUm9g<~lQDke_4Ht*9{R|^G=~liDgQ?uS~~h)?XLP?9d>xdk$+g_!2@=WTGwx^ ztilnVQ|Z69Ghe2TrW+)_#bBSFK4N~qMM|AZQv>4Veb4Pn-WNygHBn;4^**WQt3uhP z*yqQOeI2`Yw?1~Aj;r+SazfB1D(NsBW1ge)9iGjYr*)6|;1R_z+REDi_Q1h)M^RC+ z7Dgo1&7!C-9v{1D&B+r$&{WVB>5XT|G9|ZxiMp@cYJUqa2ig{vxVH59*ekY*37i&8~!$(+4g0uMLTCzL%uvQFdAeG!4OvB_yhd zSjM}A%4T$mfNoI6{I$KnDtT|QhaS>P-^|S?JoD`8p*#IG@(cRn?75Nf6G34PdqEY3 ztF7bE-5*DO+#_%y1Vt4%XTIC#2{y=sZuB$$;h&LIeh&T~%`+q`^$l={NgRqwyu zS&ylQFX<9yZ%+M)fWCFnU-_k97Q$#hR6q?*nkr51OHm%lI?jBr5h$ba2of6Bz+39J zP34I=yZnCE)|+9lYEjN?;{7!PF0a8(bbN`N!M%pm)=HHMI6q2dJ$fs$bBDf|?@#oI zOw+BOs|tLKOPM>2PCeftJ1GQ{niy^bSS+{NeGOX)JXx?o`yAC#^%Xoe=8b8Ku@*1C z{Qe6$&SN<>+L#E#C53j|(>>twvR9QK2I%xCi07yuHu+m2c(Pj)zct9SIahGRxd4Siqz z9?hlzI7(Y!t`t zj^o&*s~eQ=0Uech7c{3rA3BGv!9fbYjJJO0+~)sX={9ojewOrYBZ&`g#_hAOyin! z!1{GTke^1)r#o6Tl&<*+qAaxi$Mjyb9LXtjT=)9;L84Xu=Q(Tb*N|RwCJB0zUUjKo>}s0RE4DTbRddKHepAuIa!6j4oJH^r^M< z@&_A5-8D>d+Qb=qKj{bUpTSq^pS{U@s`}#X@`9~4H`o<& zB!W6=FJCSUr!)nx$GT+&bZo@9H%Ol^{+bb6p7kN{)~NN}3WJ|l$McD_zObQX`I@p_ z1%wCUn@59Gp94&elaa(9e%aDOM5O=Ook_m!P=2N3u&VakHS-Vib)2nX=QgqnSNpia zYib+pY+o(EG2=2A<{Er%wfAoqhkVB4$-TjbPi7sg*yRbCgXa4z%_YFv$<{AyM(z5 z;O;s39JLb##;o^58?d{W1&O@uz>d!~>fU;dXYBtSeCq3e-63OpJ>#FAkbs-J<`}d3 zzWM@UFJN;$E-8YCSUqs^G`MKAuX#amH2F4i_rKM9pRj#eUWQ)#aAxhJ1H<9h~R3csy77 z>9}#q?x)a=ZLASj0v!CK6SBe^=>=OCL`Z?IIktRQs5-QfZT1Xn9P)F1{8p*g#98X~ zpYOcW2DfUSvQG;(qhOV1?N^{|U$@9W2l$YdT-4?#JvfV+`}N1y5ZKkEEsI9)!&CAb z(X{~vwhs&+iQ9XNXJ=`C1Y!Ep=JUS-bVR>@z0e`N^=vz&8q>1pAQ!J(eEGC_9IInd_!E(OO`9*Zpjf7dSV zV?`lflhss#fXnEI0Sk{x4Oc(>&P?m&lCbsCcHFp8W;W%pK0vo#N6PQ>73Zx9{HH4bXk(efV(vv>d#Trjg2$#iO$xLfiD$q-y&_r4`QlTy7@{ty^r@r?q6N{*!EIG!u|{UcX$cM<=?* z{6X4DImdt^BMbq^8*!{G*~m{V#^z#i)4L$5Z`)>9e%|t5>--r(AFV>Ajm1IViGcJ= zWZ7&lg)T~FmBB9mYcB`VAXJoQ!hq#sT*k1$f_YtLA8g^W>?t!#8eG_>NOh# z6qLqBcdph`X^n{3(F}{}(VHJCt}(tq?}%%xKaCWR4ruv)d;Feq+NjCNL7)v|^LK+a zKJVgGG^}K7+3HzVNv*Mq-t91c&`NULl+)Og$84}rj$^6h&%+YEHYZ1(jCPIUt|Ip< zLf2?r<1m0oz?q58igP@$qb1LjJ{nB5By6uwpc`AGm;9xUh57F-ENV zDe(<$RJ%d|I30_&2Fc`(=Fdg*sA;QQjbw~bbCT%n+DJqVy2wDeq2=vqz&WcoRLH}; z^3a6>dNX`5yt%VF^r5y3L7;c*WPBm{*!a5r&)MK|+BE2dlJz3))@Xrn>xFY2VOs`v zUwq81dHX^$_?aC+I{LA-N?UUlmLR^{BHhin65HJ~QXjv3;Q@TDuj!7J8+XZ4PjCQN z9Ue9O7*alxf5iT+-C#yO+qXUH*i(|d+Y;!EK*Q1F+uRAQi<8hL(e;O&i&@i$E{QLQ zNE_FeC7WEc^(x4>uSrr_X)uO;iS)mLq&Es^*z69hfYXML6v21zN$o7`fO!DZuQjG){8tARcL@UtA%88=IE-~(nJ+10R1Z@$2cjknYwGoUoy17khEWQaTNa|3!YJC2?+SL$vau$O>C`an9Fk5uC4(h%Z0?gH?)nP1CKflvbPSQx~dQNK^{6P@NP=AqPPi_4)!mB2%sKgXL%4D0 zM!zIC?@Ni@ZaYY#c;I)TQm}@2{LWD!eBRjLPQT_Zc2^;GGCBWMV$`qNnEm8NqrX~^ z7k*OFh_{>)FofGh;K{kvC8ai9(s9Bh~d#{MtR*+mfikIxV|aD zJNCc~+dYAgD74>NE+jZl`fyf2ir*3%OTM@V2WKK5{l>D7A`&9(M)TRK;R*Z4Z1>7R z8Qb%ndb2#1;TK*zC%1Zk&avJGcD@+SC9r&R@y0196YWgb8QTBM^bS3VwS`ns zT3xjNHr`ri1P({Q9Oy@L5c$g`hRK5i3wD=Tr3cSc=_a|k=9RVA>MZ%%-1SXmc7yBr z5#xUQAAcxfg@*6BL8&>WTW?+1x-zg5wJIP3$Uno*bNQU+p`M#n%|2W!Z3<9T5w8t$O-MkMKnI0c5_`Wm zgBo>#vsVOEI!I-By!Nk=}3>e6rp zo?zPUgjb4z!|=ByqK{EZ6Rr3+A7}kWX|?s#6)0U`o+Gf-1 z+4Y6ln3315MhnC){F<<&*ow?ad~Hd~z@X8#+ui$R^~Zs2$K>U;UKA?Bz83HX`|XEB z()*{Qq>05l0lDO;$W!!v-VA0?sIz0qHhrBcJ|)Rl{nRSh6c!QIbZ+KiN$-9b!iv1! za?8lsNf^y`D+OYPp3IC&H$mkx^-EarW2h$hbJ4+6$c-m6vbO;^$YMU_J^-med5U8O z<%|s&WsEb%TgdFsifHh2F@HFK;8n*ndE^Pkfu2Ut9N#Dqf$rbo>fzj|-L9#lto)S+ zNAjT;i`j@ztLoE&T=p|@8wuxg{lez*{$`9dloB2ToCU{tKR5${ZPKjV9>|scTvpsk zD32U`TOSXEzn6nXr(FWmht;)Er`?!_3Q=KpXC zM4=d19ZVz)T;BTku|6a05^%nG(4X7MBDN_>6_1V1ZGxGfjEbA9A@do?k3gN zpbqt7^f-?X02ebj1Mi0Rf@n$c1P^<1FUvEbzphOW<%Sv=xn;2Cc$xmYMAF~9y$J}D z9(F~guWWR2W$!FpuO}n}FfYrbs_22g#}O}rp49o;PR<{q*L-ob4YEqo69+9$b{wwV zt_zNtX0?!SuKdO4+?En8sx1ym0eK1PynZM|&y4IrgLX1g-E+ z{~6(BrbHPbs8L&o%K=lcb z;pO~zx}zArq@gO5;wI)ZY)6oi-FgcWH7ll=FZ z`qkJPDg*xOd2_hQvmN$87h@$G_lHlknOt`Gc4t%_l3Xv~~;`wMVvZ z^UZ7dRssvdXIBO`mFmm>sku zhxgS^>;oLEW6%!$4lL!zI(6>)+d2<9yCYPcws+TTBNJQEOo_dWBH-jL1Iao^T|jx% z=0;1n!@WeNE;Ns62CfYULp{%QMoOyA<}*W*Va1MH?7SS}@iB5Qyqr8E#TBJ@$qa5z1I4<{>pfdp5D;ko~^P%-go{9zjG5^8%oBalUNa6tvkA z+BZZj^OfeAl|yf_Q!(NIc;S!#RYDe96+A2P@1csbbJvHzCwjKCGQJq}NW&T4+$iiR zf}8=Ld;l8j@GwReCQb4V8b9OW>(oSd|{&68N^4~>=HiIxS|B{<7Oa^>y)_%9o5;=3XqI9OcFNwk*?J>L`Vpg`D?a80Ab-GBu39HW7B&05n^3OfY`Os z0s=v%uS-W73`-jp9H~7AN(S!CQC2Kv;dq6Htk!aasx_5Z*`8#>P5HTa{e+EzgE8h& z62k~{y1ou!%Pc0BDt|;`FvdRHljb@iCB$=nkbKbsUs|ab-*}Q{3R-Hu6Go}EnXU)z zELCO_X0r9wv(Et=VeFKRea)#a20{Ax!=Yi+%j9sK><)f{B%vgB>YMBP%?1Tp(0(BH zIm@EZ>CFL}RMqlK+fo)nQb)bDyCHI|J&Ac0X`+&^R9$kz*k8Nv2+%I_FdJKPzz0O~FX?4jla@R}DqbAGDEblj z{e!sEH$qVioR{(kI1?!%|i= znTF0Lh!v+Ng6%OVE2_j07U^3n4j>gjPYdmr0Ng<0-8*&S+DX^Mcxd-nN ze1_?|jHv#DOE>~Mx2x!An28z1m(xB75u%7TQ_!RlCE7(pnx~{qn*0w&PlU&6287#_+pTpr2J~;c zpW|7MamV(j6T_}dUv$z?NyaS4hW)K`z^=0s@k#KI^G+v^tSL9YSrZilHjI^ry%jRS zgLybL)@}UMtxz8Dc8a~r@Et!+fGkQl}$W|7bVrb*;9$Y_!6Zt_Eo?Y%_YRh+(fK!eG6 zpV-~LI*0v0{gt`POiA0T`9VY1;FCVS0N#RH>X(hkn0gXsBkJM|HThXdx%0m6)WrQ} zHMSG)7>U0wD_ZYJoiHD0RPSh=;29{O04@bZ+Bg55fE=cmA3>32>Ubw;qTGO0OJ_DV zn!HQ2%d8FEXvy5L%AAK?0&HRPalf~OEH>ZQDNFXaW;>srt(SrTh`}kuIR>#|Q_A{@ zGio*a^Zu4vbG7Qpr}f0CUrGAt*MWtvFzfY6Qh0H494L_q00I|xLC1822G4&764XNK z&uh(kn2t%Uqr-aw3(9`~F{Ds23ef&oJz*5X-pZL0z)Jwlh0QQB=}V)s$$bGljH1AL z(A=(yAKT6oC|Zy}2Cd%4#+Of#sW)9Fa`%bTVmzaGk3d8Vo)g_w0NW9(q#HJ~?j9zD zmHa4|I31eHI>N)uArIf9yBHDvbrp|1#Acc7E+^iF5`zu18>)BD@WZGfutW`kd|rXfyDLaB5kNIq#=0NG)ImvPR6!uV{-ReFYuYu7Wm$G*&iPRunbAxP#27$jWAm0ozuc7o1r_on+ATX~+8d z@O7{$CaX0Sb0ttP!8rnw(^J`cwVsexpSrZr@U8JFN2n@2>|=qn4Fz?8Q3$a{SvwT7XoGv<39nw%mZ%vkg|PpYAGw7K09EFo19180c*CY)I!hX1<-mK^Vu zfea$;W7E7%Ke@H}w!>W5C-$8Y|JgX&ENrko$j-UOzAL!w3M)(3Vu&cUQyMQyG2u0l zbbEh~NwREy}%9>UKbX`S5YU=3!n7n#Lstb-he#Pqyn z^Uh^#qgmtV%bfNZJ51vV)arSbBcuDqFSFsvCNVazf3oNdc%a$@g)xG@pA0}gNFWZx z(mVe3HA77f#meKvclCy;g`{jawER8b%#c-LCOk)K@QuAnc6o3As5`zSf9xSbj}O&g z-O3+Q{M=n?WBhfc8WE=3qTkRkRE;=vkWBPvsEXic`(YNJkReb{YHj(W=g-s7qLjY@ z{~KF?<-n`H`8yL#LJ)+oFB=!GI_Z57v6%r@qwcsnUZpis5|V_7qUkt>b<1tsX?eUb z@7AisHQeGPGI=hmeXhhFcq1a}*c?0byan6=b4G|Hus-~*vp4kj zq_{(IQ$lm8IHG#4VjLX9D2&_dbrM*kn7$uY9LJ}Mq5{n4q(iXzQZtkH=lq`{`ZvU# zGNt7JJKp?{mlm@->Kf{XHcAf}85qbX#>#1HM&MtIXV%O^mFRDq%bZ~c6-9psRDZ?! zj7mXTKL(SFr=hMRQ}9N)27w@ly#s$N6zpPJ)tsu3#F<@0Lr%O!w(XzWHB`pgojUGJ zMFnUTVK1Id%$SdZTa1m|{!U26uv9sohfNSXE^N#ZRCu@yG~w*Qa*>&4tL?N8732O+ z%@fEYh=Y>%fFL+u3;xYgYyU#7>OYVpU_Y+0^vbSU$ex?c5Zwt67f~G}1dpk)hUWh| z21Yn9jOu(S@k*CM*Liw+{+2?oq8OBtl|Lf2`*g8I=TVWsPyabIv~29UUdA+BqH@O# zaZ{zKFi_aW{S;!ciWToe9UeL%}=%@gv@&J#8fcNqs3W{3QT*~V;E1{tE8LI=%~ z9{m3THG6D?xUqQzV0g4nJG9Qh0EGKQ?3(^XOs|t~E`=0@&vxcba1h)m_P|u8k!IaP zL_hP&swK6c%Elg@g36s3TEE@|oX*4mkDz*1=NM6$7@M7NNK7)v`qmnTQA$S|;W-4Y@^yaIe`;?INn`R{l&h z#*phmdFkh;vK?cXJX28>N9ebwOm{rk6}Y@WZwingPu7K^i+~Idc2GyuY4mdj!s8V_ z;*vsL`TjuNSjZcy$9luhtK^Pa9;Sp+a85V=)N){Q?3OAdMpk+yz#-1AlON8@lxX|c zc`3w=mQdz<1)G(Iyi#Cba?yI7ZyX3^){zQ5Hv@7KlEM_9GWn1$JAJinpbEJBW8UDC zm8vT!6L5cl3W?*&m~$txU&dw3T)6N9x;eq)xsLvO0q9GB{eQO1c% zNnw<2RO*L?)vLjd=&U2zrSS&10$J<*-l16ip@_*0(5M+^d9}RsW;RSAomm9xp6{EG zZ=$RooQ+CtzVX0I3JJlk%jqwJLas*!lQ)A5@kXUN-LC87bvE;6RrH6SDcs$9F%3*O-hNavZyT zVy2ZgP7RWV=+-XYxSnR3qac9vJ%22R8;C#dYYQ3K+{w#lfy*M+WKCGT7crwyUL!hvsB69|C{B!lri(xeh;*(0`O$| z-=5ir0Y@Nxbb~91;i2_<@g4BN=4Xr60Bgi`gj5Vr8~0+1s^#mpgO59_1d6(=OdoK0 zUPv#ATz9Q2vU9F1M5yd@pN^9lP?MFC6I)D<7Fhk2K?geaoFas}kSgxX-m2T4EW{ql z+zu!Xiw9zMK8_zi0Y3KFW%yFiMThXQ%4kFYw?A-d_|kvy`LzpHbU>kranQvTmf^uq z)*MdQ;pg|ee1t7e<@ntzuD;`4WF;u|<$k#3K9_#tsh;YP$M429q^Dv#upby*)Gh+zTZ`VUKQA&&NS1MSa7c%+J3ku&KVj0jFQv2;Q*lfhdh^zrE4%s81mc!yWf< z7-w(o_CvI!I7^|xzE`OTe#O-EA$QvKkNk5-E5#Ij%pNWNzOc$Ef7+UT>{YmkK|44;O&fl+9_?Cbx^jJ-Js*ssm zIOuLZ6`{{bF#o`-J0LFfM8C8o%rC<{@J#2&3BN1YQ(}iZH#%mhqL29YQZX$9lSOf93K!iu z#h1E-bH8HdeAoJBW`d|Ik0Wbc2x*AtXa!2_{G({AJ1UcdKdWfHv941Y%| zyVq2ScBy-aR()RF+uN-8uIZMOeDIiKEgHGa^oQd42~{)hNw(8C~Y zy+upxc&3@<+49`Got8-| z;IU=~X!oAd%V%EtGAA*C^a#J5)}CAZcjKFrG6SDU3@j_*KmYky&E0Z2?c?tAq-_7= zL0jVSN=s}=b;#a{pkEX|K91hY$7G@8X3eA6P^y8Piy>c#1T()bqcURD+O6+A?2l?t o@RiZa?~NM!K8*SA1apsyF-tjNCbE1I;p4HjY=c#+^>Ei9~ zs;;_)3IYOB1rPciI(}cm-%{1s!O`5-hM1X^@h2lQv9Pnb)n8&3Ru`croOe{Z{ zS&5a59bC+fjENNtj4X+niIt>?)r<{^#r~Q*edoON1^X@r1Y}y|e+dwIl>d>rQvV~} zKrg&uy$B?{zY;{+V(^3TQ559R=E<-AEzn7*C1Yrb*5gYy!`h(8dt6c2;B(a($AdH} zQe&9GC0e(I4@HFu7curm>{GFJdmZ(U3 znR~KYn2J8Q@uS`41!NKvQR_qX(a!}}J=0xhdp}PL$+Y@My z&*H_xng+(cflFv!LSqR$gO9u8BN}}W-Z&7J=3j&0Blpc^W^Xcom$_hW`$bSr(Y9(a zWwX9zk{nuqabQm9=fZn>^h)ZoAnGB!x5J&o^Q7 z5~^r}{xtkonecat{M5NhcxxE~lc835adI9SlTh0Y#f%nZz+#gXr0fE89ESj(kU#|@ z8<&lhroMFjR}$%;Rts)(P8#~y;zZ&o6@`2g)dYIH($V(upF6@q{7*{F*AIE_`S-Bw zsilH}m>Jb9)3$O!<3Y6b#VljWS>^nxupFEhpvfOUkTeO8cOZug_pN9U#BgteqbSPT z3^uvWhdHXqzC_>v%)-x@Zpm~$7!Xgo^O;?xn`hQG+gqJ`|Ejc(*18V67t}4a&3zTk zDe4-}q>jK0J>LTK^5e7hc8Fwq_rcP!caL*F5C9nyUrfP}W()7?nTRXM=GMsqo!DwJRKs=K2>;{$Uy1$?qPNc$)S2jd$M$qj z>lyumis4AT6RIufe@Z&Q3T*&6Htm|6tf)`=z3gnLdDt*q9%WK_aB@$buA5!r4Uhl3 znLF0$fg!WxF$EHd-B-iP6KkSJ@zuU8>ye5958cMP5Mo>n!>_%HB>g&Yyi{A)4= z-6qp3u()?j3DBOwHta@EyPp|=qB;;@8c{?8s3&O^;^}}nasy!DmH|8ZRpIe7Jf%YC zO{==z6qiq?a=d~wDza#>uq?%hOg2k8iuJs4%v9_4|7L^#x>p-OZ`ZTn*@_$sFoU=A z#?z_4Dmz;9WX7%4p)0eFFrRR^OLv(`*R%O>c=;b2wK8e>Io5Cau-1&LlIkpnnJ3NQ zRPRddlu7R@^1?*waC5l28|}uYxPEt{f|Sk zH(H=RNFwisJ2plod~)-KCzWIZSf=1>zC~+4BWx&D4^=vA)?0hdrq<-n(f}J^(B+UP zSJ35`ZCn46R&PS0%NnMf@a0q7)^Cc0VGl$kfCFHW6*)Z$j zV;#t77e75XpXU8t7TH(x*aELO-o%MO?h3Ygq>p%aUW5k0?Z20OIDA>E z-SYtN>ND8_d9;xIpn5aYXw2f%uTWAj=_`nlz@2U|Tz^#l@wPF8T>``%$wG);=T^#c4ZTlAdhF($CexWh^5>l%B3-w8z3iP-?ltl z%(;FgEj-shuspT*5rM9Y7eEzvqV*@}HDfiELN};!hfn=m$uk(2;t0feq4sPV-0h+9 zPf44U4ZRbnRD;_aLDqx2@4@|uKkiM`XA7Z9>2Z~}&w1t}=A=ziTL)7$cv?jsE#l?E zaY@a74x3|H=eDk~dD*)bPajBwBI-d6rC^~U^h`^)VZq~*h-t!HGX z1nJ1(5`th7SYVq9d`r6aZSie$EJ=B5$j%v`=yKFQc(==49ohVFdP~Wug--vxH}^i$ zHGkFn^y^nu>$&dUPZEBT%5b^pOpD2j zX{^-LKr|^l$F``VYqSl&w3@I=njW+^;3mv{@aL{3Q2&6~4wyX*BMx?c(E@$9GSQip zz}{s~iKRI=Jow$wTO$CIX3)_J47CZQqtX^nvw>OXE}N{1$TUbR+&HPi9&oWgk2vaJ zN_jb6NwRL3FdD9!qCz_A)|ph-KapVUyRCY@qnT}Dv5+#)-wxH8gx zYpqCqWpdk$MZQ|K z&f?nH=5276AH%_$Q49bd-mdni$x`71JYrO@Dp70WnGJ+Z0#^e8Dx&4rpy`{);&>lm zX=mlyEjMADWj^oKT~AnWUh&7Xgk3T+;Q9I2oEj_YZU-D2c&Neaey22Es>Fu^fVw@0 zj00(rIU%L#uI#`z?~A6^M?Qk!i_w@~s9-QhUAxph51>b4QyMB)+>QX?t5v=pYs3;# z;J-Vh!O-C(U%hlCLR(OqGon`2tiStmu?5Opa_mi};gOUM1fWJr#NM3m+8>R2N<|*f zej^}#m9Y%d)nzV>FqmmcpHNYu$GJQlZ`SYIdFeK8_e4O&2Nu{NAw8uF9^ypoTz{Q% zZZucm8=>NBKGBlL4Bfzy%py&g`w_vJ0uZ@0;{tHKF3IGC~>~Zhbr6s&a)-{v%+|9`d{NL-dq1&Kj%rj=pL2`x{MB# zaJskl$&*~gHg6mvixKc{?3Bd1c8d-4m?CEz48l`3s@7gyT_aP1^5K9nKvZMWV{zS9 zq0xXNrUydnf(ygq=aL@KViZHU8YS94I@IBHdi;& ztpz=;Rzuk2EApEao6o$uZO$i-{`h#WQljP5q?ciPb!(=0dF$LRvfj9FW=~}jYOz~- zSgl5$))_YxjU-Pc5^AL+UtCp##K6Yjq1j(;liUF^FmS_sg`+a$0IRbFrGsQpfSpc}n9dy`jf)T3l5Q$?$bH z*$QdGK&%-EglB1^?>jchfFENaNq+iGT&P~Q&_x9`ObL)^NFO+!Tw{^LLEoew>P@t! zp+tzc{zH*C(O$k+$j01Bxj#7eurA889-de+I40vGx=FcymqE#5KWCYt#bl{lNA^ZK zc{t(nSh8x`qLXQ@YPxV#%Rf_`zc=13m5GPD6&e>m7HhS#G1}ggmdd#}85L<-6_F+0 zTo$&NR*ueXXy@F=wt~1|$04JmeKIL)HQr3S8=5IScE{J&MB1$Up5~&GH?pa=-wi*u zNg#kOFYLdG7%f$*xoW(jeoc2tbB|A;mU1RBIG z=vKWttyGX2<3P2BKDyPNL#t~=b~k3M=`BURkyBF#$9hU3t9o1^t4^etd8Zu;@{-;u z<1?Wsus(z6teU@X&ra>n&u-qNhbg#q?2*Gyrh^Ns`&}IWwiN4I05@Zogu_&Co;W!d z*9KnP0(Y+!iFLlxr>`lFQP(X_o9BHC57p4}%WZ_bI@=!CPCEY)mET0&H_`J=Y@YS) zdnQd-9vTNZPLWO%KMEc8Ev)POmbY~U{eL2+g+~SmIlU~Bv0vw*)~a6K=cqu;IT>7M zWuQqPm4Y?lBN<_wQZh83F>lBvBx>}4{nH+idl#1zw-Qe_U_nDYU?J@rtKayc@g4t0 z+Ba6yy19toS8PnM@dPsO8SU$C$bLmePTX+KJ;q5b0C&6($~2%teh?4 z?{D}mXo2tCFM)7w;i4kS98b>Uo_2mWp{)U;57RIHbGW%*7t`vIv47V|Qw#EJIUZ-=sYGda_I@V=6 z3K^@%Zpe679L*bl(vr`13GOhy1({>RhB}UHL0brsbl}sXg{cK+>MP2Uy4+cC`QGB8TmL=;Zl`&}mwQ880yo{EyEyaQOx(eO zMDyN_3;~Wsl%2}ng^}boHU|pa8I?kz296`j5UK^km%oa*m7NbMP*Oc9EddL?tNc$U zHn@!_ms(EX>zq z)iMZPxpe5@Is4;-y(2B(R9V$GrT!l^_f1JOd{beSjR+g&7%>?oX?!0NNs3D-URDB8 za^VBs2mJ7WH!oj|k)$<9yb#9i&Y9!j;+7fPtjFr%`y2rz)S_UN0TSweKQL6Q-nh1E z(NO!N&M}W`$kh$FgxIvIn%yA$BcD&T^F|KWmcRoFmhZ33;3qIRu)zbgY_igt{@|;3 zX1F$9HBT>Dr^wD&TQ-?9IGp^74HR1J)!VkRy;^B=-ZDZx{1lL&z=Q_|KP5x|h(Fs& zAiT`jWU}JWTHrZuo-FwjHDmsJaV=#=-qw1$<=CVq_0q|uaQBFBcJ=5Hs3s%vvw(h% z_OA~N8_Lk8dD#p6(H?V>kvaHYI`0swFyqCXyfMBArUOsiSy*k{e12yD(QT@b?o0g3|U3Yaw(P!26xJRV~_Zd3D10`D3Ob-PGu4Ie9K*@Nt=F-yjq8s z-fTi$l1Gf@&@Y*r9q7VX1tH!X@l3SA3NE;QhN$d*SIC^KF=RsD4stX?Uph)O zLht1+HCmA(oWZj{?`*`^ege&Lkvllp7uXcoz6hXVxg%)1Eo0BqbeE&)Rou4I7EhGNZXMhRQ z9YNoR{ZC0KBqt4UV6Edd0>xyIE*+*p2?G5|nE` z86pWUp{6qX?>nMI(OmWlo4gf3N4|0YBr?3>$We%kj8j}Ul4fb~%F$kp3}>)ioazNQvNA%~ zAJ7MD^2_Y?-0R~l&>b@b={g$)q4z+hn5+b(gNnt!aWP> z>QI_ul#xZf`?h=3BUQ8sm8UjNCWt`v$@a5cSKLJzZNvT_JDIBl8AtFmPRdP5zXI(P z5kq3(Wg&MabQt zIwiEMzcVnu62@?^`uxD}F7H_9uD=~x-6cOM)Uo5*@SmYw5BZXd6I zWqVBp7+hS~3bYb9A~7ZnvJ=kSsT+n$(|xQ}?NHN#Ktf;ryshpIpx9oNMj}&(vLC-= zDJjF)%k^V@Kw|*vwa1-g=3{bcuK68OyiF6&EOzK>T|lrm!^!2IKLDM%^RVYlT5(kR z>;=5eOb9lXZiN-nCB*yZuDUt>;{_=}I>c;G%W$V(igok13+z9&m|#yH#k&r0bk@ZA z&}-##S8J9b&FCRZstyC#VPM>%81*Zr??Af_pgoS}xFhwj6kc-09^f&Lp$LkvBeN3I z{1Cx-*M{VGZYs#gs5%83@RQTA-Fk>i*f|fao}2Z zw+Uj0CorHS-j4;6Wp)%eriQ>(E$p6wpoRu;c7vB~K^(eCOq`&boe1tHVd`I(41z`^ znr6L|EUM$SHf~Amc_-ba_lO=v1*M5t4jEBsGs@TjIP6TJ{YwFWANaa@i)=-_knAA{FiOKe&QkkNx_;~*|3 zh~4mH{$fyS#d8n{@nCEj-3CQimo(L9dWV5_|1Iz%+55xx@$v(){pc6S6xoMmp&sDg zrO-F{zGwWNDbA-$<_qkUWAuI|&Zj`;3*nSw>|Q?3hjrdm7zy|w{LdM3o=Jii@cb*3 zN$_2Ka7Of9b?}(P2YU~8aG8W3`bGk!2b)e}W5gt?S;7W2NliSMalF^`+>cJ;cez+UH&=_$q zjy)rk?citiL03{ju}%;^0XX-8Q1={&I2ddaSpx3fE`(O;6{^vk=s=l`SPen9)9?a6eSXK88wpqj!BFSGK7QOk@Nc$bAftR<2@wQD+kD%b$AGmt7lK5Cc>!eTv?i)WDxC*x z)dh^}%~>S`sP}ZjViWuS?XDwf!&ke}OiRhS3fPIiG;OgNi8^z8R25KVb%ElJLc3ve4`B_y z_&SS2sRB$YFKjLf>cjTzkp<_S6LCxH9r(A-X&yT5Ip?Wt=0g>)AL>;`RK$XFG<38d zHuriMd`P}v@PEySn{RyB3%qpSXkRQ9N5AaEU+|@+j@fRL7$e(?6+0&-ifS5820jpV z?^x}&Pjlw{ZKl6!-k?ggN3h9dd4D@G!xY-v7=Af+{uV$vgipRTrATzN@kSkAIQMjm8wBS6t>mNy7A%YE3)tFYrzNw4nIPi@rd_C$bzNag%ej#yu|1$UqeUwH@?%NeDowpW zo5n1Do>aMH1R!g~l~=+7Ble`@zBmSFVx7pz2DAhrMT72c^q{lyk|amt z#k#OotPh-YA5!hS1F8;72{ z7RKe=>k&_#I)VVsu&19ZVyQx)nN)Ec`_=BBB-8r`hnT=G>96hm@1bb0=e9iU{Sv>u z4gVkh3@iiC>VdXy-Jnnkqr+%K=Rf|-1k#ke*opsFAJzZie)>P1R{zUkLyl!f9Q?Gj zA5B#d`4qGtZF=O%Un}>&`?zX(u~s1JzVfCTk@)4?U%n*IIbATHL+0CjPriQ63B^x5vD>AG9Vz%zt6(pB zDS!AaKRof(ME~88&br5Z)rYdg!ZY>VRHoMZ3a(D4)>kO76Dd8$P_LsZh2$%^(NN3x z*0Bcw+V3=OPI~8|MX~+I6(0r$euftD=7USSMd1>tzrMj(>##}x!D$%Qr|~U8BTz5* zxkD4LO%xikk^7)h;SQ^#;(Tp}3g>mtm!mOSuB&7{Py~uaBx$t!4Il}!(VL?{cc85CTfvWgiZ#K-HpDnp%?u5QiJCXSQ(?>z74ny-zWem#zw0t>vhWFUzoqqi3)ZV!!U z52E-gV$HEF@(bBuaQl4P61SwWStNET0%d7%fdWG7o2KaJcx(u!t?+#@Q}?TM2E+4F zJ0OmHQ|mD4oW*yV=h$tE&SyoeMYU#(r)<{oOT{pC{z3UfEMLPaGb<&7ZGo3t-@x|0W6t+KCYDF^G+r)8x2#M(ZglCdJABNhv}&WJ z#rQLjnK_Luw^_=Z4{Cgd9cqhXt=JNEOIBFYhjL$&R#n;;8P@FOi{cF%)K5)xtcT)6 z_8zV99`kNCkd8b@8IE_RHfb4rl9`OBK#vo#;y+hTCTBpYl1=l;X1uIbm!{2AjJJv= z&59+9B`4m6`)I(vK4R75MYHO9(o8+|OOav4>ayA6%w(fQlwn0pjjnCu`IKVQMw!}K z6zR*o;LEt^*-juG_ z*af>fwZ?~B2ls-xb9$3hnYw?YlzH)7D$w%YdF{}psJy2j5DxBC%G-FOymdI=zcTsRzZf8r2+Yl?k9rSC3|yYk87I!P*Jk z?Rs*b;3_AFJu%%^&K7e|7gx{W?Ljy#P|>njlhGx7ivQliw?Ub1nfv~qVv|aSIdsKM zSEGC1k~Qm8Rgo04hL4_UvuZhZ#-c}6*d-7nq9IAHVoR-hMmfAH+_dn#vCS{xm#JSB z&yhT>*IP^n>kPZU3L4ionhI_)a+6X zN#adQ)M`H=G0s`5*g)B5m9((+bI}$XOp+Lx3!aClPX?xmX6ES z`GPKv21-@sGfQXMBE^Cp9blqn1MpVHw<1uZn|0xWZ9Oa2^bRoL@dbChyD0Z>V?{uV zu{pddP0qcUS&NC?L=$LOr(N;uEJ?g=>2X7IHGFztRa)SQl3SsOYdx*%pXuxCnqAJC zj4$|c5r1SVl$?Ci$=FaOaUJB4VZ)z_#`GzvF8+1ul<}%@t2)J+=2)iX{tv;s+7v!y z%=y)B>Nov#3yk$5i>`%q>&g43b=Dyh&t_I78xE7TD7fudG?+&DUO;@&%#vxw;%6GI z+{U7!Z<)Fk$15Fc(ho1nsyS-U35qH>fR7Wr1q}xOaElQt=((IRwUTsLgfG)t)W`%gnUJsGX`Ci%?jH<1*Z zb-UcV)!`At*M6Yhl7ii{JQS5|mSlIF^3fwS(^JA3!ug;}yF&(vZgQLBb_&_Z-;K_b zJ7&y(fW)B}Bo2*^IGXAze3psaD$|08$czkY>)IzJCvSTfgPOX^b%&*;D!GZd5lNQ) zwNZ92kxZg|>t*Y=_%kh}G(Buu3Z+_tjv@&_6cD8o9HF_Cw_bkz>D|0 zbRuN0Y@@G9$hq?+Dg`{Mb_6nZksm|?N1AiIaKTFO_-`1Lfz8e3*E&zpw1SmMZ7$;z zL68m|q31K?Ih>~QiA)`qZu}$dPCm(vrd__{{HUw^YS>h_-SeMwtnFW_@r>0yf0tRq zY`Mnct(L64C0Xw3ldjMs&RuU}TL!{Jp@5kK4R7;PIh$wZ#{(9msxyW4C*88;Oy<0; zo+fkub?@xFnZB$$NqNcot^H6-(pmt=>9m>X@t0nFRl=~7;%c`|U>E-lx&$C04`EH4 zkdJBAn6T69vAe6lZ;59-HB>E=Pp5}JT|f@;H0|KdrpMP&a^18CIcYN`KHK!Hd9rE3 zh;02bS*+&A2FvAPkTr1at}v+n!um_^yveq4lAOQg!ld14RBz+PPV+C`h0$U0@3iWv zVYK(V7g(=3prbM2ig9(1jM&;x(?e67i~UP8#kJU5b=MaZ`dI{jO)JY4_E^e42^+kA zmNG8mc840crntic=a+$xoD`-l{tJ$ltNxzdJnxTNvo}XMm*YcCGeVLcJ)8X)#-5s| zFGHZ|e#`1IQO-N9UEi+25B$d(y{%J=_t*EFe09LrUzA(#4^@fR6g?mCRs7F{zMYmA zHMg%sV8iN+>gBm<#kT4v3H!u%M$tE~w;>Ah&9>*{v4jcv3yF=>myc@x z^YoBOwa4spXqxFw>&sj13o~wiqULL8V!o&O=6IQCv&V<4i~023V!vml|4T~xZJqG`(K{vDm$Kg1>&3S5UcS+X{Xc@TxHT8qXwig%NktGMfG7r|H z4py6!My$jvqLP5kI3i>dk_5Re`$xl=dz8iIj_sUB|KQCd!RmgF>k|oDGgPAwax14> zz^p9#&`czxcWM{Sqlr;2TnvEbxHn zj!Ww!TUqaCA}re}h1icp5Rwsf>NCV{#}PFP@DBYaP*^5`a*UqK8?YG!SBE2;6p66N zD0b!g2PkC}*21ml!6=niKOp-1K}k~Vx{@(_(8)a6e8LDe%NAMfy~5z+=LhD+W5e>VJ3D^l`Z-DZy0+eGELBg7hQxD9OqLu@*0_7jZ2o@X62U4w#6rClsK6w{8@oE(6fNwNHSj);KFrK}H0(OWKP~}EZ|FuN#5IE4tiQ9}h-+g|K&)9pqgfvi z+#~lZUWc~Kd%gfp>61{DT^Pw5b>Rw~hh`^a`)Z8|I@x|$N)qiV%o`6nQgXKRYqTjz z-4KrOYfDF6Em4XUZa5jE_em)f|A|dvP&GuMet4N5wydb?-Vhqsy{ffqcQXAw8T0~d zsAdid)Ou;c`Hi|lUPRP_&t+k)PQ+a|ua)__AqS z{)CNb9*2QNbSLR?5=t~>mifXO5~d$9qwfj)8Il``Lc*q7TXTvMJb*|7R-KQJabpM0 zs6tTa*1F5biC5mtJNHlI$a~N`vsMasszF`K6Xd84KcHq?AyUFmEn){%5pL1=fL_{G z7~!o5yWN(%TuVUAlhw_@Y~50$yldhj#P|nO-ZYXmxLlgYMMiaJ%yK9ASL7cQoB)PSaw(3TxV_DGnz69^x=q&{@q zHCGsO3*T9xjg1A&H>%2f@TQ=k5Eu!#Cbn-gqL4ThkOaYG=?S-xh-7=EmW_DQK*aM! zYz7c~3GVCCbZv_S-LC*3bQWUL;fD&$SO{*w&{5AWW=DO(%!#!Dp*z0>oGdy1{n=~4 zYbVT!>y{IWj-~u^7fynebp4wbs){e{j?&~96n>jiQdSRr8cmN82k1Sl!^UR{4g%D! zi@b2J5_~F=1S0(M8$F};i8HujHdr>oG+^PR?c)q2eAG-_fbG*+s>et#Z#Xr)uWfnk z723$<>ezpPzkl-ZRmi5_V=%c&DW_`l6yUS7YFA`ydYX`$yYDWN*kUr|zBWmHQ@z@5 z(X9=9-K=?0w;~MA3y})Yl%+pzDxZM8AF|l^v{|0MW%W)3i$LD+8GZE@MEUtN-GD_zdy%=@LCo}@D%yJqbrv2YPm~oNB3G6bo+4{)dS%({WSXCP zL;Du9QyI6f2rtfL+qMUIy4g$yKg^n%2T;m4y;9PN&6}^yyU+Ni)V51rWUtMASiRD> zG2}6O;9?GzcjDgRc;lJ2$;3jRq7L)CJl)B+{}PV1;M)2kE{!-X3%O`^O8kCVLXiny zSko1_YKiFLY+SWX%y`l|IQlqhb?;%Y*|H7&j`qE;JkQoD#+K|qSW1Lz9VLp#E3O6H zHJo2|!g^FcKT^`*BckIIa)ZO9%l{7Drj@+Tpn&!1An&tHC4Xi}fao!g-)WIWd;g4s z=y8ScKa#-fjN@f)T%A?E0vR(j)@$E`R!lASM=^YU2O{M8nLp;RcQRHcj6Psd3`ID(FPuZ<%jLfYeScYl4# z%sKQv1wDkRwA8QfQaK)S`ongsiwD`g2p5UC!zZ6`xQ;1c`^WxOqr=?L!)mrLT3m4i zOj$~MGqdCO%`Fw%BtY;aJQ^$x)?FTP&HH~H0OJnM#SBpn!NP^ZwKH3vc2|+P+?94^ zZ}BksKH6ch5(B1mE67g+cBnBc;VynJxhHAKohe^VQ{|EHXds}=FZ_O6eK`cGgXwxP=*yuw$+Snx*&bA5qm+~L;VpZ z4_Ne7Ja36nR>L|Y)~4jm9kyP+&oUqryZT-+7_0|Gj7UqM-lm=LJvR8h{Mg{pFkMI@ zX^@jFiJA{WL6|0)G9T>M@HkpQAFXtk4t1OOgUG34#ixo2 z*k@#y*27EwTL~toy%0uwa3-PoCwp(MCbfs+KoS;BW}Py7Ku#);S{!6A=OX>X04aqJ0hd_rX%UvM3|D2&gJH)uoT?9xDGzR*K5=C}&Sr%6nBb*OUFHD~ldR{EM^vl$&P}g?5B?MostD zs@ca$LEFV~@rK`ebMl?cf49irH=0xhSwc#5Y(ay`7}r*p@p_Qk=W*2}ZhSv@3XJ6! z#iJO8MobHcuQ@?g1xqoUL2hGG!wpfaPxj0)2R;1 z3gUxCJMW_#ffsZI?i-y;h(i~PM=~x}K1ckS9EnW_u7_Xy z1DOf2Md-TPKB8bB@sORw4c2Sdj?HS|!0xK|FH`e7dnA&~ee_^9+zsVR{~!K=*RY#k zD>3+Z8ZE`x8C=Cp%7j;iOm@<)4i@^Gv%Q0{`5DYxra5o`*WGnZb`Tifvw9zW2Zz7= zc2@`2gQJ3WPzx4%i1!0=L^zrCfzYgBgyW(e;93#D?5#IR@zSpOs9f~e;y8!#pUNR2;7-KV~62q`&K&8gN;t| z6T0^CikECt&j`|SR!99?ObopS+Ee;;D1yb>)l7TqugY*+&}i~t*!fcH_PmpX!5I>C5v(;6KZ3U&G?6hTg# z@Gi%VpP>lIkRj_1W7z1(3GwkRQH0rGcQE?&QbZO2d=mh^0e}}5<%YWNy7y%CZ@<+w zPDkbbMeN8LNlb%?2QYRY7>j-piw=xUxQI;v#wvZ^S|=%4BPjt5=m}z&XaEW?t&re> zazQ@m?^-YxcyL6?Q~@{HcI}ROQX%Z|f_9jMR^90gOEB#*QIdC`6)R#> zG*H#nE8N=%ZslD@D=uO=R!D4{MYb#e?`udeh{Vs*CkNYW!S6^Ym%nz#ZQKlX-YLGW z{P#umXdk1iREQcx`Efo$FsAu#s4fJ+{CXg=zJd%Rlz`1}tKdN^A$&Za#pAmAD-MF9 zFwLS9LK$qW*@>+xy?JNCe2*?OBs3J8$ei!NHZ*4KUul(-E1-)gPc887f|apfaZdw; zFhSN~AGsK*&?UXRY>-C=G(VB${oO_3Ci7^10zean0Z8&;JfswtaWwW4V1OQSc@K>7 z)8?D(!ImbiAbe{8z7YW5@;}SUiPm+D$AJ1xg$V%okwTUHVXlY~E6+D;Z5%$XcScvq z21L3N$Slz)r*%8(N2GQ3WZ&F6VizuAsa8m4lFR=YB0gz{l@5}A4u`wd>BPmXkPtP9 zRJVxm+W)s+x08e3(z=F??8NsSX^L*sniBTUc-Rq7=0GUc z-B754e&jp{4|6b8=?!+v8D!R0JLV6Db)2Wt5@$3%(|ztM4wg3w zpWuwYejhpyr-%7$&^#0oA3nE9ldzV5Z#O|&$M`=B!y$*jKAU4Z=U|H1O3@*a*95gn z_?>>9Zw+W+l7O-}Z~qK!lN1893c6fl>-ol;Qdew}*)}+g8Yb9(acd zTFU*Um{h2X7nZzNstFfdB2MNdxQkCrU(IiU*^f;k3Ol#fzzCIJ#$p4gY!R7m5}^bQ ztL-ixSB^v(8L^7=e-7=ZwB(a-Af0X?%_b}eHF=*xV`(RGX?s%hvs2y+>&in6bW1jN zGnBEE(q|r5E3WO3wbzWA3H3K4Edj=wu93(Jwo;FPnd)!qzbA08(%3i9*jFXCx=3kL zQCx!UxJ5;8au(D@+pfbW1etd=LUP($q~Z`>w*{8<$oaQXLxx=a2*YtoUrLb*`f}vR z3oE{I2`hCIc!50&pF*QECS|SKa;}wqDReItYSvZ{?#@XdoRGenKuhsN=^Ya&>Ggx0=v6C zNpHmJ0fU)Xy+~3esi}v5ImQV!S#woCf0@A{Nk6O(^EZ?2{yDx(1!XvoLC^W^O zHil)p7hG&;n6T2JBlClqa5oD0T%i{;RQ|7%3TBk5{2I{yUsosB!@F}UbDy%gE5)oc z^M%l=L)+11QUkuwDC;n-Du%*z>Lb`rTKK~N44ag*)Q`f|D`+%BT@SNgo3Otf)V46m zM3oM01g)k%?IUqyQ*sJX?v(W7A3jtvF{+BUC8X!l2VDa+zE{Hg7pQsRln4HT-w&Di z92n~ejD1=oAp#-SSV#W;G5Vh1Y6)&p1zTl%%>SBM0G%$3Hza`Z{7|7&H`Vg~FK%#? zh%V0jal(^S{*spfc@0z!P1GEy{yq1cIuU+LfY&tAODDlCB+o&FANe}a419_$}Hz8;|qbyXx5U)Wj*O-GwEd=>17kC&tI|kmGI{<%o`=TJyX@enW|7db<`e+ z{`rocdbpP+%o{wqy%rZSA5+X*Xu7=^)xn&~&`m*gt49p00t)0Q$Df6qqtZ#N5nT!A zfvz-<&#qf-e>~`;4PThXA9_j`Jw2h>D_4JVEoxo7-EBG4{S(yqyK@y~L^sRnLOM02 z@l<{7WID0*h*ZT{%jF3T$LS2I*1a~iFNaaT`B4{><3)3HI|!PBkTNLP=#h;FZl4$_ zyvxUoLiFdEi}+;X8XZzoc3D%+)^wfDgN3+}*(Oy!leyCd#a5nh{vL*$%5ad2|BT6q zm|xh-W^u3JN@44_`pVV5$as#MZvw?jdVk4f2*T8&D1k!Dpu zNmFOWftSIdYZJd0Hh0W2@>Kjy;*Y=OR0kL)5@k5;f!O}A{6SEwlqR{#kwYLhh^~Kp zxpl^guW9On2uh#*wmLq}uUdQ)v}P;YqWV$MMC{DNir6<#lYD^kVeA5&nUP!n`&sS<4+`>fPscE_a*K${*Ri zmp{J|?Z;Ai$?foXP5w6_|8(RTt2%A*QNZKHLyn5$@JDO)C=AvCqMlna)TemU#)rsy zIp66#cqBpO@m?j5ehRSPM*cL!H*0jocM|B|1-&9=ei&l`Ln04LU;)fsh3oc+a}S@V zlX#5gC4n0`b$`sO?`3^B2M6>~ zdZmqVZeJ8XcBMtTmHpDz8;ARalowZS(odE8t9~=fv*x*;0#5<=T7+G$m-#2k{MTjP ztPg9yL_78x<#$Lqe~_`#^ER13-oW1~>8ig~zx`a&A20F$C-c9PG4+D3g)bvNBQZag zWj~bhy)srluXIuIV5~4xptFwa5I+?(ra!2q@ypkR3ncc{5=+?~4NKj~ zw}A4^GW}Vk75!CO`b}E;jWOxh#L)j;qesbsUWHqLVXWG}*!Wvg-Wg~Y<$gux(O!|~ z80RL~h?^%((9_1ZOL@3#a3E&Cgu_tlJ6%1L{$0gXp4T&Y`%+i@(q@so{0VJRd0w{k zHeU{#%In(5KIR;v>g4sfN+-qgdN@*E5+5(qlgjlnT`xYWs+UEjG3N>l=ZJlMycl)w z>pJuL_I*Du*5>~o00960cmbqWdu$X%7@y@`%B#Ge^+2r@iabP09~9>H=JvMSKG@wu z&Zw(WpkPuU*jN%2i9y7e2ntbRG?-{i0rF-;3#tg9v*Nr~mUDr##{b$9ya#q`x(SD$O; z998&fJ=+VVcg2)0=}D<-8Mb8#)9cyX`7zD4*VW8jSJzCVS32*G>8!q{POheX?r1sG z=zDovbQjYFy-Fn=Ap<~ht?rLV-3iPv_!Rdz&a%_c`mhAN56-H?LSw_Bp@3y$*8Jr{#ZgMlG_qvIs*YnAajDtOSdJG& z5WVdj>^druiq>%@s6uMiqiEwOJ@v#E1NL3%z)u-Ds6yS#Q$zw7ykX_OjGb6FNuUjr zprNtIDqS;ALKVPC6WC$+{rZloQz(SSkWfaqm0Kti(|`Kc!EMpk}IO&T=?uUK?G_=YPMp8IM&=I8b@Am%tF<;&6da{7S9)^NBvb!0& zLbX_?>Ie^ad}niqK?tErPcxp1z1*lAQ|`<$F*s!ox|jTqo6scB)9yQM?03L#)zB{x+38+=dug zPgH!a;EtN{mx=;;m6Aq%W#wtipmc@qlc^*spl1ks2m00J9Zz9;WDdFG@I2i;351au z%ASFD{r36yq4N@$wKQENpt6)m_Gt6^t0*IncU(`&((=PfH*CbI!O$?O4mUMo6dYs* zTxj0mgXn9D;g4ozcMDJ zqjFo@YSfM!pR^|%A+x^9;cj@dD_Al6ksi9(-zodZSU2v49rMDJYZQBN)9XwjI;@$o zYfvSuA&++h4n8YgI2|Y*styRo@Xy?2lG^!La|sq)_foF1jN*0j*S#xIUYn&PdY6ZrUZp%DmOtkd04EO?$W|oHdj7*I9^sICYjC73j z3{3b6Ms`kS21fXDdIlEw4EPEX_$o&F_`-%}4&N;Y4}rhC`SGJ);=jxfS%m+#vA_S@ zx&WPfLV4hbCOEGdwp0H{cE>0``}V+p{ zoR3UFs}r(ZYw<+NAJJ;V<}@=Ox;#;fqDU5oYNivmOxtjLTzo7awO*^)!-3WVt#7s9nNlM3nRhV#C^-ij#rTDT`%4Kl z6N{ONqPBRADS_~JvpJ_3JH>cRVLX0>l3cFwBDor?T#RFaUUv(qXQW&s^&4) zaXKs{PqX9`F4sag*yDX(B;mTR0Fr=|D22@Qqu%42E; z8!H8whP3#`>LwrH3FXf$pEID`e-6m`o>5JmcdqRQz5?riSdHx^-EqgK*SmDy);%j_ zs9_{hXsSz%)8+r-45e`HnkK|h=%KhNkXZ(;76=9ngMvm*EvK4Y&8O$v3mgcB zwg#*@MeFpd@iuQsq0s}Z@;?XuuQMUmfF(~>Pj%M_%e*#a)23ob+Q_N?)6qNHc6zzJ z@Lj1T#jImSvhquNjky7H$#tcMBAWzxHT%NfFr#9-Iq5JtggCkCRMK-85h{k_!<2ALE3EQB~Uxp;EEI z%Z3aDOY{S4M$?9NlM6m}a|gK56gW*3{R*GJZgAav*FRGkru(mvb=%ebIMVBwm}#ff z2y@UPD^vnlm#<<(%WgpoyLZH*d*iFe zD~aNI=I!yOPL&?@vf&lG?Cq9D~gSS3^6qge7;O?sZ~(- zyHl{`IrJyL3TL!TM={>qzGt!29ZW`futVX^j%*U=Z#YNe4C*`?_(bmgua0~w9ESKi z{E?~F5;B1G$yxCmB;bw0fdJ2HC>g>}(pxXJv2cq1!{(=dd(_h0K1t#?$*Z5*I-8VS zSg`^qtaH%ifCp76KqM=4gq@QByb0fktch(IU|vXk8DQ;=Csn;B-ji3wh7zKS3gGV! z74LW*8xwE>?Xeg8@68(RL`CCvti2JS9bz8aQ>EicNP|Ir-E&CH)GsHPO`6oeFUZq{ zm5C5D=GlmG1epd#<#O%i9# zf>65VMH&a5w6et(GFApA>M{Csz(9Ey*Lf`HyoayyH#Jb#0JCzNWCSx(u$Upqkp-=r z(~`JzfEa87>v>i237z#DyEl_duLBdYfs{02-y?{PRbiw6sX%&puc3^s8GFtMC~fATst_Gaf>_;&r@f zE5|B}dP}vNk-_Ce4T`bio!K`k2py2FbjbdG?6kA>S?-biQf1?Ju+(*jE6Z&_ePkQM zVnXd(3wEqi`E>7UeT6mj0x@kvx#nGf!KxB8s&=qh#vvOr3Pw0uCDHC;)KgUflNj(( zsq~Sw-S_FbXd5YTb?VvHzUTqfh`5M$FoswdpS6&FZG}1L@Cs!OcZ`mA#5OCgob1veOIRV;60ORB=kK2}K(~>Tbl~ zRkCX>I1Obpd9Op*bNyU%@BN%2o5AHqLExbN3{~Z7ND&{ruv_>=DHJ`}iq5nN)Slu> z;rP8r3Lzh^$&MNC@ou$A>~3)?-s3U~2lS0cYGJA^6e7p#`C#XaSAQDy;%?mM3u3P8 z*a)J|3u}jO2`?R_<9A|_SEkOWB32IZXOiIS-Mg;4*NuqF`@8;FmXjCdv;Q4eR^{|t z(#O$?bj1(ox3kBQy)N<3A%dJqO>I|~pQA_X+N6s*QlUq4VRuxE7`+%Z76?(LPf@j2 zx(C(Xc+dx%$g-J*9@nQf7FkOc{IWR5j~U*0CTT}_J2j#akI)B;JKp-3VZ5}J@NV-$6P1I4h^Rf=w%slx%-mWrFUEF}rDe_B zix}&kYfsmx4wvG9Lf?+Gd!Ef&$ackhypuYd?1QubSv66!OFNr?RorX4nlV432a*;< zFF!wy@*m!4FacRgTNjv4BfA=tMm;a|ms!F7T9Y~fH#Ws=AAE*AG{Bj9$V!w&PS{q@Nbl~x$M2qhf~l;ajmk=pk*^d?3|sbfkxVO+$blI zv|kjT1)7tduLb2<5uUsRgZ5r;*5EK)qiNpBcm882OXzI;P8pan$WWj5FHuX|7B=l# z^Hb?~nuTIJzWUFLIVv*#?dYv{u9?JNL)S>W!V7exDgPS2;6?1&=YF)h^1RJl&F6?9 ziow!;l`sxU)})WseTl4P(%mD6v&8iBCPB$s-(-@#>Kv%S5zOOn9(FWZG|^aZB);V$gt?;pDxA4siY1+ZG&%KL3Ri+p6Li8NqgdH z%!PJ?c6j`y$0)27;uV4-TI=-5kQg`E|CNI<_%FJqi21uq{_}H=D3~^CKA+P_UlXva z3xOEHRczz(LA|N&0zO#nn;O<5(c3g#mhf6%YZt6AJ=F0If&jk=lP0y@z6OOnBD1MS z3MX-VHxQ)&?a<18d3PNMq{%kEdl7`ubI*eUV~@rb2y%s$uLVi5M7a!_l!k-}cs)MJ zfm_Dt_j}iumZ`eHvZnwhPSeO<3raH~vbpq^t)p}Qg2CZy!y#Gbjy+bN!KfKb6h#pQ zcVT+sbuu+t~2nz97m}>3`ZWw^oQy8z=P>`GTq7cmrxk?le|C% zlN{u_;~el{f6?q`=;~XBpV-4ckB~`{U~FH9`##RCxnTBz6L+>#(ma6(L_?ZzJ| zkIYSz^@YYeShH*nBe=^(`Eec4G$PeQS07K5)Khr^;W>C!)r?WlG>3m(0vB8rO&M1D zsm?P+1JUXf+j}$r5gh|m=NaF`oql_7s$<}5I%SZsVP;%hFN7R~sN8B!+4cq5$zB9m z7Xf4==3b}=njlNelzu<#`C8Cxpc+ zOo|KhhC=&>$Z&7^MC_Jk7hj5I3D+h(&q=$X^n^%`vS0R2&f_a{3?|k?=?#$_Z=8qc zQ)44NvUsbhQ+gaYBWP;TzF5$I$bw4SLKCJdz zuB$n?m0z}g9z^fZW8tZCF>t3YodcO@OWNpTUG2~Qk~)-h_T(QFH}mK=RvTX|<_iW+ zn#cg={&iuUGCXQmSwNyPnNYt!+7g+l$)Pe6OLc^$Zg-%`FG(BzU@yRSv~HE)c(87P zYQSiwb3}HXTF>)qRVKmH zzR~74g01?8w*PSx|IqF~?))Fx|3>4`P)bgX6GUb8$?{00=azY*iX82nM{XG!ZF?2d zL66Y0*C2Q7_X-{OrQWp2ua@L8Iu(r*YILC!`le(xYOqtptlOnDM)ksW=KfyR~VO9g#V<1BAwb z#4jdG8BMnWnSf&R@BLCI3b6;R#4{~80huJKsjFt_edY3r z1g||EURxq?Zc9-TDf4ix%kszq z2w?K1?>|52&3+OpT6NL76ukCO3Ey<4iT7uz39w#i;n}P;^=i`=`LN^kaoTs$x)5CS zM0tdHkPt8i0b`ZH*L`t8yZz{dZsXnt)7-HEw!V1@{KwiENVbVf>H;G3rP(#j*uhh2 zNAF@jg!?LqG?PpZmHWm?B$Ru@gl@Akocrd}xT?d2EBL1W4ZH1e_hNks`O12CHM|L1 zD$~WgZ&AB_BmOj$t#a%}NzMBg_0qwKcKH-@rpI0_H-Bfwk?2+M^0Fvv_vBNaGq0VZ z?$JlBpXMc1z7c!Au2b=Vj zb`EY{zt4;?nB$^|lx}jyNdtKH*PPh%ClIi!QGJ$x6(jBop0 z95X?So^S!+m+lNbyEWh%7T0FrRJ@6?7ZpGwkBdK+H?e*cX%L-a|5)Rwv&_z5ldR6* z`YycTRF6`@gAkJ+pNHiGYcysX5&GWBC`>ro8I1#xdpRK3)XtDJIhN^9>~#^kcoA7U zi){%J(2ej5`B!;VEz=8{$CsMNxY*1cg6m6Tz~Q8OcvThq7wy!|wNP~1HNG^NEA>5Z ztmhMfl`Gi=ZwF^%0PK;@#b-6Vx-2oC^7eqkMEL%-5K>J*lm*OFz6PeS6p@Ehw}{g* zCO39ac8PLg&IH6jne|J6#?K}`^s*aLcjh9~vYrtjhto@|HIc#daTz6-q~%5O_O^gv zVykVGi6I=Tn)e}y9nLVEsay&WcGmfM*e;N{~zn`lub%aF>tWhEPP`qL6H@D@$XchycE0!;4K8f(l^ z#)tcXYnQAxnURpz*H)35upXgA=pb7g!vozatac37(h=Vj|37N%n_6P{rfB|tQ!l-V zzG;iQ!36CP2-8peHcIjpzx@-!jDDUT1-9#u*3O9Ax^z*-2lu@}aqp6f&-N+H2h(aVvD0I;eMN{3?WDS1IfjXR?{BM*MaD4=0ha(8sK{&P2Qq<>So8hWE z>#effHch=R%woju5Tl3DzxlaohZsuF2Ny8F^oAS*?-o2?=^(TF2M|-MJY8P@R9;d6 zxIK&55i>oQ3M4573oyue9&edwg^BpQVtRPDcLoR!j? zlU*Iq*8u-E#BBTPxP$b^!WOEDr6pi(RkPPBf_A4-Imt$&PO`aTgF|5~%VtHv|0&4p zAw${uB?=^(0R-K9Il5@fCKeJbi6iq<@p>Sy$lL~$G1zY`eX|Y#!C3}Ro8=Gku@Ef1 zii2}p>s8-3PLK)KeT&c(^cXK$tpJC1ui0X_;M9e7uicWq`h47sc&A9>*nO5UWESD9 z&HmfA1{Ipt-tB58DaZ!GT6Vy^-`xg|(X#x^j(o|UxTA=N9Rf3`od$T8;_+TNey+kM^Kc3W`m8h{3{@p+ch;Ls+gHBj6}Ym9 zsv0jGxh+eO(A1l^Gq%7R#D_fT>wdehjR9xxPAe%=SiLb{`KLqgI}+3%;w$?H2u&0e zxIgS?OA9K{&G$P8Jqj)6Km}!f3Xq=_1l&Spec|n5X z1jCIcbKBdv;{s`*%32Av@XAq7J0_IzA)*8XqWNzE`3FRRKZxNE{CW`29P9ck%RBC- zS7y#vS#SLvI4Ws4TFdw-A+GX#w$?(e7))bhDq)|ruh@NFE8`J?UGATgfT1vR+T_m; z6y?QAFPZHF)6@xa5Tn-*U;}4pXaroc@N|Qh0InGtMPJ z&Pl;&4DGH)<;r{Mzi^L^ym>h417l#hK6R9u(Nn)Y8*CKyRS$j-7nAJu#V9M$K0L>< z7t~qJu>Q=GwhV^7Y!Rb=XheBMEy8W4D2ncLbDg{yrC{JRUeX=Mtq`F;?}k}xZ)|2Y z0$>DKdMW+}PqNoibV}7O@_Wh&Lw37iHHdGTdw-yKh0B()vL85zR4a1aKai~JLvWa7 zW!D7!jaF%d@-_(L$@Fh^ZH*&P`KkcE@<%0mjY=~j<&ipID>`;%Je_r7)AAypBR;dC z>iXH(Lj+%Q69KO_X~v~>NtKji1=rL`yK3)}RR*8%*@0>gN1M>!_)?RsQr(Zh=5TMp zHl(EZ0|&iJf)t++neru3JGVj%$99w`v&XX*-907R=js@kIezcYv|VK)9POzXwFDeI zwkbA5_-av=*h7qvsDlJD!21`k!dZ*)q$@`@6jU)5j^{G>O?)jbIYEFW)0BW~5+HKy4aUu^j)AUd3 zvNzR^eOEUTNv_K>2eTaGGj#3C3QlU`ZboEt%@ENwA1EG+3>LIjW~iM_xCNpqN#Jy# zx5mxp=pUVY<&c=bC;_N6^p**mv(axF%5K=H-P<1MU!Cw~?f3>Z1KytoBkXVw)R8W) z@-G6@xcG-I#scRVEML%=2PA*Q*mwmqsjg+;x#^hEwi===x{)M*%7_;1KCTnq?ORbq z3@exVo(ahEHhV#b#>29~wsYN+btYp&tvUV4>MsL}Yja|gNw;crl@2)nFHsHJ_vpRI zM1zSKX!{fH3m0gt9h1QwSG;J>U!}O6u#l{J%ozX5t^Zt;_^B)T3ytC(!LEv*hmK{K z7aODT%22b?8w@XYTQqnDhMOxR*Z_SLH>&n2_dIEt(v_*(J=$3J^D3rG?9kiyU8CWB zD#kl4@eA`-#r!3U@C#o3lWh1aFR=^xrD^P)rT9wh*bdR51?8aw_~s=Z@)1^R}Uzzb;iiD)zAnX-QgKd4#N+m1PU((5oE9u!YC0qs>)rYbRFHd^W5-yH}N+jtA z{$XHp!VPdy9UN;54r>d@wgI~{!8^vu(CB?sG(fq~JLG=P@D)OgSG%x_ZVnIRO1$`Z z%w;yhEi>d^CAt&xgd?5@mVuDB9pdGPaA<>6m>b6IcD8T})E4cvLgbYl=gp=%i*+Zi zum5^nNaw)OzZT{VW@2TkMg(h)C;EoOn7&c%Q#zmHz>PTS@dI=<3fYu5Vmd%^_y7cU zt4(x$-VVq%hGw@HE)Wl8FFP3muFmy`J(oY#Cqzy54L5zj17~jT7JQu--Q?LoYazzc zKzrdK&?_(;s%DBDLmg$sE?AN6+j09ZrXxA}z9(C)y@If>f6@^(I!t-|&jZ|0=%=Ma z;P!-Lh<4hO;3e~97?0AucHz9UChG>WHk`|&;%lSOqxU=qy_996MEsB78dM$TMOTKY zSAMIQI3LP)4w{b4V+n8rOZyjoYnZ2a#chvr;Koi59@Gia!k-UL zp`Kr$B3jiKVWLm_{E>}or=C0S1ly=m_l3h-cV0>E`f-|FpGDu4HGq&Bq|Mr*vu{U(} ztTf$)TK)p|Wsdy0n(#?+L6vFv4hPLqWkY?vz3GWEbo1pseN09K-VZn=W#it?7TT*BeS48NX?u`yqfr7S|0qV(?am6}KSWhVGCZ9@rC>X9EW-zY7Ihrpu zUINhNrI)6NFP{|v<`8p1l6WCvrKOp|{RA&YImr`yr=RY1{Cyl7F=Y1FdO;hVzw=u^ z{4W^|0mdeFr+*+NSRvN!hpF=H47Oy!;RA+O;y7Sn(5u@gFp!P6hEfMq;!F3W@ws-j>xCCZ4D1 z%5^Y!mz6p;y^R%f6_paaTh$1@NSs z)aBxD8SD-!w5%_Cwl3PNanc>ey(U&2I>q6qPvr3)*wUKgAWF~tYFJ&72lGu6mz?ev z?r2pqYAGq)n?sjr(#7d3c5a{mos*?-P+Y}K1KF@D^;0mhwsatwDv^@BeS?8uA19(&5|K3@nY?T%6QG+AHQH%~+@o6|2E(72 z81_T~BZ7#yzgVCp#?iuIgs=5}`y^*a8o95Xd)D9dEW^NAz z*^MWE?sLu`1i7Hz&#u0k=}1>9Rum6gX3#ovW+ma+)q{s^w8yxb3OTG3Msm}ifJnRa zV7df|3Rv2seRSH7P6c!PkA0L7!}g}EGj2H2W6*PhjQ8c9^@W+jPjB#Be&P{^oZHc@ z0Xk9brVR8^IGx}_Rk*Y>*IO{#&rd&_)iEwTAljfqPM>yoZj)B7dt3peXFEwjb3 z2=%;$a@m`wSD|K$=b!E4Xj2Dwo?*stN-wx6L#)>}b^o8DPY0x=%y4*7*Rvc&1@ z^;yl_n;bh$RQ-Ue!9528h0~HH$peYDDux9GiU*m6x3;#ovxH9x>$272`@04oxovoi zSr=`vxi;goxjM2x8JV2g?T-@#xxuuCuND_hd5fiu8W*1>%HHm!3mfK5lAaqpJQJD5 z8%=(@dH&Zdl8e)p(if(U+%MF!u{yLYXB{68og#TFb2{dakBjCX73v-9T4qb74WCFa z_k1s-LZ{9G!XS_m<=Jg*+GVV6V+Ead9rAQa?ryDX7n!f~in3)@Rc*O2CaI{`EPqQb zxQd**1y)X*G&Ulf>a?y(5+#+y$`Y|ZJ`_4WIxdt8lr9!^c8hEXv`V66KQGJooTZr- zg0)I3I!ivye{INBbYr)Y0TuFI7U%acMDs3E{5OFS;r(JNLDf4`=lPxHcmZfoKbH}1l z`bnj$D@9k4)nFx>*IA)SV>EVUCHoL>QQ+oWQ51P;l_a6C;8eG$QNmJMV7$OK`z@IG zC=i+WShX2PId?uJxigyh+Rj3RhhW6-OFVQ7IXq25zQG%6c)H1?bXK948|cr5-79cW z(%HF*=9aUmVAelg>E3v6`ehQyL`C_;y0&1~(p9yvLCaR8o*<%A7opanOEA!(k!}Q#T=*(kVdq@2k$!4@->4oT-@&r*M^XJFLfL!a z>$f{g#Wa8bZ!{60lve8e#L{ZJdrAA0AX%X7t<&(^YCA9R;{(;ZMd-rNMs3bJ53N0Q zRA)3p0W73}F(=BsIMGv~{z`)OO@4K{ldQwito{Q#_vc8f%f%aQYV=|!*|p{l62AYj zM%0x%aZ4=iZ27=lXeGv$gxX=k&_jx~tDLi@lk$qkS;LMAUjBn%@)uWc~ZPb#5f=tKkx#VZL-D2|KSS>YI;Z4dZb3&TK?Ww1vK5zaB$}ozBTGBY9=~1Rt z%_r1zvYqA#(QIKYGq1th37r!lPSaYwYh2~ggukCot zCYxm}i^!UA_l#25o<1~olcmzoK>&rluhERWTRWr{WQ+q136jQ`^$(V{nP&ENB|i_n zBr549XwO*^9n~jSITy?rUfCs$)v;HCzL1WB4c8o3JIi0u1WCQ?hiqBT-!M9ZLrF{U zJ!Ys3tkI2T=>xR_x=;W);LtFySngw^Ja1GS*D$UO*?1;cLS{s!xFqwM*5pO*a4??2UJQt#WJ z0Zxvybx4g8{71?T&()%v(_W>250%*1Zzs_1_!7KGdq2Spaxrj%tu?Zb^Uiz5DmqkT z{fH`Zs&hi>?3aGCx7hdPJEqRD#6$lVPl~ga1b+o53;j24t@VDBF}TRgkw$HaR+rCM zohjU{i4t7?v?fvm-hlYo@_14zLt&dss9l17UF>P zbN}{W^Xsl5D|E|nOV>j?bkpHG2z!jazz;4W2d%rku?JeeBO)5_xQTM6%kNfW`0zFh z7SSutd*DfS1rYZkb>Qn&_$qy{RIT?lgZ}*qG1-caP&bzUm#joxKZ~on9=u_{5-1{oCcd!StCu=zw>v7Jm)aNGb=Pg51 z?{{a(;+T;ysf#S@7mo{rS>EU@%dNmYYvM;){I6d@pW=&C`CqdXM`Rtf?{6EDwIBRn z#A{!2bUKz_^eZMcXIWM6{uNy%pD&41O90YOKHjU9y11)NUgtx=&3dOjoCzrCrjT6< zf*>^>|9Pr$ZODh}FGQki?xF27M8S|Pt~o>o=_ynsX3Td=IDb0k!CpLXlWWA9#$?oR zg3Pm#dr*s$Y_tLhm<>2YG6{f=qt~yX9x`%d=v?yHFOPnKV}eC$2^)QysJY_r^(SVQ zF*&Qyl1t?IA#;yj10y8>e}j`PR4I)YO_ACee>T+&;jIz*g1Dn_%)4_mLXG(ZDCN0C zg)oW%!2+uAtqv>$UhinJoL531L9m<`r4pD53C55iq&{gb2wL6r3%)+`IY|B|gS4j~ zd)AKwEkR(S=N_eq4|st{P=)VdQk+)Q1z+C;2+r1~^feauL=q`8P5N zv;o)K6V)&IvLfenpw(+3u5S6UV&`;#*KZ)MmhrpW20T(uPliuxL#>JhII);cg--tg zyNHLpP{_`}$=Lv3ts|;m<9AmMcr=^N#LV#ouV+DAWy!9HoUR1Bn1{UJoDL$YKjX&^ zoBs2|ie8`%v0e#rRXY2pkSUx0p@?ad&*KcF)h|=L@aaWACyf9nM$LBC=NVZkv^e0$76Jh<6Un7ZtJDaJAi0K#Ts$syR%yebw^rFvWDC7n6GypS)2fcoc zsNTf?@dnZgrJe_Il_XmWHzxyrRWsmGe)>_w)SchmEFeghwlzt})Sa)5S)dK0K1tXV z-S_bp@njjfHTby51l*ZS zzdhy5&qXWnhBy5uY+BjZ$v%Mf$EqXZYDsnnoq#*8>5`~vU-kw<&dy%aWd!0XzG;(? zDXpoBs3}qQ238IaM7^xAX_1eURX`&ab2|L{+}O+Q{x-7yQ8~trsrZeWewqG*5ec5H zTYVy%Fw~GR)Qx#Wh&MB#zCavQ)b!s+&iAnFJL3uc+}O42I{$4)qln#bPcI9wm;!=+ z0R*pK6;1>Jv;lwf@+t;~zIrEySoxEGR6!vd=SH;AhN)tbZ1XqSux-EX>h)gb1z?QH z6Ks#-TSjFaseI`D#)K%bZ3aQV3@XbD(?Sx)A(ozsq(sC1`J8UitmB2Kl!3QElE#bq z&MFboa!^@3Jtd?z-ieF-n*kZOH^d_Mk)6@JM%4lyR?k8)D!H$}uv1lK@RcMe1S*2S z<^j`b3HSGvqW=!;L*B73&WPAwF-rt3Hh720V$X=`YZt0(Yum z{*fH#^}-O=C1SL!smlwA5=GPrBh;TmV+HGV+h&QUCvXxugrGMQ@z&R%Wc_F>)}QAMme5jadp|j z7oD&-BciG>xGZAiSu&o)NjZwf%z#lpAQ@r+T+T9Nz_4HSe(@90Cdg**AGxEQBZ&e> zXQM3|7L2YRFtjHSGg|YkHe+rC;G?$hm6!@?x!?!6!+-0zMl>4)@O!Rpl2O|e(Ip%j z#E08JK1vpOm9`bMVCy?aq7|%iO#G|3vNB&MA7dmcu4|^Md+);PvZ>u4WB+{qm1VVQwd={vg zghkd*P0d0@nHo9{>i`NjmUyrTg^EDLy)20dCUL3)kTN@Yh4PFb-x;3chHjUA{)V2x ze%fjVkoJqVi@SBnhMd^`fNCvd6G9J*${%s)AIl3-H5n3lEL(O0?P`d6yagh7bWkkM z>tC{PP1S7r$i2J^&M#cdq$qS_71frOM- z?xJHp;vye<{l+C;ewGcyaZ9%NEhD;9S;}t+S6+B(Nm+U+2XAiy|Er%SwXUs`XPK;2 z2mD}!a6{i1{`8T=dGjqeQaUND@X$+*GL@E&C(H|R&X>23x@uELyMiUlI(()&T@>Up z=k+^DPYlPeu224EKd_mTK}XFLM)XMcF@1HY;EwpL20=yrP;Jc;zOL|49qw;biB*zA zS}F?*(Ks5@82!IGD{nln$C9BAJ(WeP4=$!h32KnTcnsa#qcU-lXs`QzUEsb#O~e;L zCc4ibjx4-lL4>d9yFnDw--hC*N62$U_&aK&%|NCzTQz-+{`Ek!9je<*QABrV0%$I3 zUasn??;j!fcR&K+Q2=t!eE$L6J=Z`N`c~qkX2_Ii5lQ*AshN^WUH+9zh)*3!dDif_ z4uR1Bb4Bj|S)v=L?IybV+}oH$^f)Y8VK3=CD?dqy{B-ei8{CO_lJn)cg$koHU@B&Z z#-?V&Q!VFL9j2kK{{C+PjC}Yc%Ou#Brhw@MAM?&5+eufl#eq~mLoO1D^0xqs#Bn5& zn}?r@1^(O^1Yw5<{>&HzaEFJYwzZHKP3%?p{@Vw)w~ANxO1dwBV%v)=2LG%j;xzCA zhFqPT|)4D~smuTxe60S{wL6zuU<+;_x7UYBLl8lyZ^ zlq2B`pNj$z!3Uf~fPcQs6CQA7C72qs5+$hNm+1DAouUme%}avEIzI4UbbZM-``$&r zvlt2rN&w8;e5A(AE}BvMK2l}yQ&?vhM?zrBge}4XTD;s)zuplUCmHe~RNe zpAp0%)hVI)6-Wq7%ZNcE5(2UtViA~Cko;ug1F~Vj_^%MfLrgjANper76GI9GH3(DT ztU~`oR2TkRej+gvJmnWqLtOs(FRh9+NE9`+gM+8`PsHfl<#$#UmH@qPt)52$FjV1< zZ>WtIeJoz&{*Xt~%`@AZE1cg6{+O!+9|v;Hzl2gZtz?CFk+56iIqSUfHxRKyPuaK^ zOp>T6RQpKvI#&<73*C6mR52~=TyQ42X$~No<18OEb_G6|_mLtcza4~W;3{ZSUxOOQ zveUVvVyA01)ns^zaa@w$*na>N2v>2yCjbf?%`BAWN?GXiB{DFyZ@2pvbFVj4#3#9S zmGx_~Gt`^AWC%Zt7&ug|S>&ZXWK$wq0)kgCLvEEw83Gq#YWtfxs; z3XfPmM6>>$l^YjzlZ@`|AQ9WyM9R0ZhLUe?0;O2j@I$$z;fHn$?_lt_ynJQ#CVxfo zrk8j2rFhHsiTAk`weq=z_``?9JCZF!mFe=nY;03_Bi3OX`%LP?DF_v>lT+2ElO=mo zc1Pi51sJFrFX}%->iUUZ+M%OjYxviI(Xc3{=BB2>x5>WyPO7bJq1So>oJ=ND4LhtG zkq(l?it{e?fLNa-R8^YLi44&_Hl#zmUmdB2E_AsHaplHxM(T`uL5vo65JEAs0vk^? z+&*y-6@dj1Nt50rzyy2y2}`v9iX6sg!36ECValy15p%zsPIyuyE<9^c7nVO%h$#dQdVoUU_n?doZe&}G|W-*d$kkzJV@Xu zySxlH45eYs(CmD6`+n?>&>lJ}s!6HkBNYTANRSWAN+}i(Dyr%0GmOgPag9{|{$MvE zEj3m$m?#}gP2QGTeG2tr$z`;XtKMO>du_k4ct77MCgvBqp7cZ7(z_J?Cd+dBjhFc8`|w=MnsL&*F;xNj1~qZ3Z<1I62>QQey4Pt=asK6XRL^@ z#2J9Evct#}%-Y=^y zjB0Nv*P1H}<{29;Xj7Fvs+(C z`Jc{F>is+8;VzP;JeHTOI7D^(&%TgnMg&oRgN^0qRo|J)o|=X;Q4t8%ze25wb-UKx zddIW87-a`T!SpShB?@$sKO4&F1tSxpXKE%=l>|nHfb>%{Z$1cX`t~s?`YVlE$6vYm z1xCqUkL09%#Bfc5xDgTFIZ7Basf`TN@!rOxz4&T>eWVx@OabqcAGg}-H(TiDT6nlT z49@u8RL0CJ5CF_CxEXa-c>#@B?!6YGixro>gVFTAd%NO#2CN=Je}bF^fe!{F;rj(l zVQB1JLm9@s?NCj^d6$}gbociU7w%Ms?%c%qUc(le)j%IZ5b@GC_rMMgrP+rJ9%Wq! z@4d}%2TkFBDYrf7A+BGCJjU0rEL7`WrYS`D5fV0LHgkb|H; zu8%z$U{{ij~$RX(xi&Sjz&>i1y8x7hw{at=}`Nhf^e;Rst zpuy~W!HH<1iRiqEXoDY!QTDL#eS)4fh zOCN-HBXTYap>tM3ZIA#x_RdA}eMgag)I)P^>!9`?Sl2qtTPLRd4C7(<@#w7-f-Z>f z?layY>Y{mpdpp22SAIrSNp|gjA={)Mj}=rlSbQtn`p-;q>`(bw)nC9rJ{cW4u?N75 zT8GFD@esHjGs2>a$wS_J^~OT=#QJ_?W3NL?u1jpoH7H0{+-N7pM*e{FuF-&2m;97a zMq2?|KzL$>X^}0B{)&ImwyGyJ;1#pdmxL09a|6G*f_QF3q;0fo+voBJGLrSKwR~7zN4> ziPs+v#Wc9C+to`HQz7$-93`UdZE;!G)!!AbeI()YZ#fMfT<|XA!R4dv{MTEeQ|7nW z!2FEm@qH1FKIwsUB!54tG2FA74&>}>hq!~1pFx1jp7ny0{dv9pq;v8L8tRi?THXwY z))h6G7(Qje;)q`d=~>ZYFcDfe@({}LjE*z_l*qTjv{H5xdQw=JY;_ zOj#KrJ^SfLYC%;jz5u3cf@L28i>jdgji&AK%DvEL$wX{ZGWX)SXz`Efc^qvXPA zsPEDTz78*S(Z2L!a#DkZp5ZS!Lp$Dlo~;yYIjSsZ`38GfMmH1qoXIu(kBn)=)O&9h zLV&4|$8g9qJpy>zhJMicpCFH4(dXdaeboDH#=}qJ(Of_|lszzv!vVdr(PJX-D3Gfa z@)G|mEfCW0T_OKoLNe~d{J{+QlNs`7GvppXvaP=_U5L86=WAyMU*X$Z$@M59D}bPo za=PJG0)n|Ne$l36+{*+wLROMsdL`pNfN)r6-HW(ix)^YZ@SqfkE6Wk_RX?7}p1p3z zbW1xSf}~A^PXvl5p-p(=W^`=zBpfUJ9GQzk8J+|zXT(iwhDImL{ry!{AYx7EDJj}% z_<xeLR0nN^d3;dsX-~Gl77WF1W2-PC{e@72(3Y@r7BsyHj*sGRkb+IbCVGP zz&Q;FgR74kg{(~xqP1sT3Pe+7%nVs?hMaDOOaPMIKO0hnXr|qi0?`_@*;vo>jEFOg zi07v$q8WdSgp6)XUGBXA5ROVbu{X4F5YMsKqYmA7$H6mV{jL_QhIv`BTm@6zWuTQQ?Yw;U_NIE5I%Dl-a{n}$bINBh@+Vw+ApNs}I06@M$GDh>Z_KujaxI}#IH!McH< z@1|h_i1R(EPPCsi`~5&dqL&_8O+N-CyDRTV5u&MbCm^O~|2##ErpqrZ#QYMNY<+*5 zCPl01PsviWCh_1N1B&5%z5lCAC4W+B&@A=jEA z*8{?B`pqLl8`eywUdG*EmUJT^Cda$U0&}wk<`%O$w_1q#6foKSaGMcvyAkneAPk=O z88hUwX2|Evkk6YTUobNii zVnp0+MBD>}!Dgpm8!dZio`xaCL0+z&Sn8TsAHGYmFSzs0jnc>9C{>fS@nqPZVf1U1eOooocS= zWI$+R+%O-1#_+m9{I>d#rbj3_o+8c-P|rz$1PI49Lq36)McjBjB)D4R6CjWq3JH*p zM1I#N0b(JgfWXen!gQ-b4z)}gZQG1FwnBFi^WhYAj!^9*rl+GzsfqqoZ;^i zP7~p6p{@EULUwc*k<{b@5txnVkA=6#YmGf;?w6TBNs&r8)4eXCn#i7vg_I;!B2o?* z<>S?Sh&Ia^w}MF2_-?cPY%Saw+!sX#ytv1{GvSF$3jNDh6<4xP$uBCoO}(TVe@2 zRPiCb?+I=mr!_rUA*$~5lF&+*4ONoSf*pQ-diwe-n0kFXFLqr^P5!qq9+gh`&CUh4!*!bcA;`Z8 zdB&QNZ114KP*a@41IZR5X_^>V(`dGI{F$Zyu_z82UKXAO&-fhyCg!}3)-a-+8k&vi_rFf_VNhrV$d#&&@KWE>s!Aate0)PM$&FZnzUCT zzBYmz0qwd7Z4GGGM`)`-yCFh56|@^Q8tsUBT-LP`Weu5rx0Zg#BB$9Os^0n3^*PA- zq||>@OP{vL=>aL9wtOn;XWRgd@m`t7)1V@cYc5faG7;lCFqBtx6%R$qZf4Vz)|(FPA?p%!Q@tMmAJ`Tw!1u)5s_CHXW1Xf#+{gHIxINbVS@q+5=46!@Wg#D0 z5SuTCP33-8qJC=LV7=Ujv#DS1gC)w#;^+2UR=HlL>&5r0^|GY&<0OXT{6HVCMBN9v z&Z52p-_P^4`Tqw10RR7Z0i;)XY!p=(f5TnMRqnGE#7dz^L8SDcFgrWDJ7s5PnVCgu zh@(=VU{WC12nk9EL4q+66k>=+Fu~FUO^k{Xm0$t_kr?oZNBDz84IZe(#6Jv2@cVr; zZ?@Y)Tf!u7-p>1e?|a|q`wyudW8`uK3N#<5zQAey*K$ zG~G`a=^ikBFpue??wD$}nXxV1^12syZXW0A+j3T2*GO7sk9at4=Zt zj=tBB(YvzgydE%wk6c~z4BP5n>&fB(NwxO8vy~<>iUzhnY^39w>co9hwbZ2UfF^p; z7$Np5LR|ZH&M!2Hi8KIL`7l4@`G!StW|21yGm|E2@KlQV^uymcnLmfq8@nvkB%GD7 zeKQ%H0P=j1q4(bUm-pgpG!0-8929sN-HPj$X6P=6{8TaTQ|5v!K8Mi&-f*>)ZmM~l z`-tU4edWSmgMpM%sBY5AmjZfLixPVOIq(8!m6Rffbv?)TVmS>T5Q7MR`Pw_JG>I`Z z*e(%o;Nz;Nf-26@=*6NQo>_xH6GLfWgPnob{H3~vRq-7ec?wH1eP!DT`iMBYDckV^ z3qsrW#;)NIDQy{302Y$75m_6n{M3rEH22$oy$zqzaukJz*T5EG6kdurNQa+ZJ3)sG z6KFtKRF%%22VRs?O&TYf=+~P&%g3cRE0aG4$Woz6ap1F0!5_P(=x$dLP>Z z|L3B`wlqigkn!!s9m3H%^lZ~1fMrtVg*OOXe5Nw?^VcVCgR`akxHO=QFb=PQWKJPI zxK!N;af@j{v(SA}M}Wuum>q8*dhm>7!;h;vatiyTMbr$793-2oJ7|84N1YhM5%u*J zYa^DVNcp*TjwmrPlHPCcyL1&esb;<@G*+*m?geHqJ(q{!b`B2wjOGNm_Q}?h-_!Sa z8qhQ8*x5j2F2q40R7Qz%qR)Evbj3}YrEV}r&LJT*&JiSbZ(unfH`qpUgs1|Rwz%|% zC)*qARz@ZU!P8H?Mn8&Li=Ia{kiDkk7G);KPr=TsmDncF&$V3=C3H5*j7~Wy#Ko4i z{eYH6=z#W98P!Vxz)xR3VfDoi--qn7MCSG|2+U{kh3fIb_v*1Uf(A^%Z9ul{pc8?| z46Z>xwN#BqC`!4hxTsvzgW67%pgfi_dZF^nY{(!p-ZQ8t21unmLi8qtoA9Cl@g}^fs zMtDhqSiSjd<>-$e0Vw4NuS8LyM-3S-meIRX{5%W$(89S=1eYfz#VaSa|I?QUq9~v0 zMvOq5!09#M&~sPtC988TqI{3yMfX5t$qiuqaH%>@2IC zkFPk5B_4%|=DTUCueI0^RnhVceYtuvxFPFw*-<^c7K?$ml738e^}3SWUm1%lW7)P% ztH2$J&)j4627$pC)j!Nqdatyzn%9{vF==pOr92&Jfou)cYV$zQ9`_{rtcnr z)-Kz-NsZ4=4zk<5A3AidJNJXvGe6w*ImMjhFMYb>;Jc(5Y-GAg-_w_Qe$+*R s?hDQNZ$y^OdUp2ys77E*2eeYcm1K0|-)vDNGf+l;{Ux|6EP4@0D)K#f(r-4VNRu$l5{sgf%HUL zwN+75g>J;-gq)3cYvi?8ezYtX_?7$+Ziq)1uQ!iF;lvGAT6t{Gd^7WT#-7jT^Pl)P z>aW>s1SsaDc&lh3m04`8kIgGM`+-*9z4b@5dWcIyL3pW|hJOu+OJUBalVh9bM3w9I z3;6~L71lSzasS_)Ao%sl6^3^Qg$dP$i3|>j&8S`ku|76G;p{60;{NrG|MEY@z%#6v z+nDh&%?O7RX;?xpBcS;OJ7G^2rDEBcfz7=whz5hG-3_B247s6-z@AjtN5n8Gf;ZAC zu$qEQFWG*iVSk1#lL;_Va@_(hGnPPVMdGYA1Vqe0VqYoHGBp=OQE*GOx)YhvFdU7? zP!MGVDte4y3DT-8h$ul?Q& znId`amL$f)a?7g(J=oUDM?kce2_uHiU?vlrQ(?R&+J7;DUUENmsnGX@qFVD3w4J`A ziGje#DjFm**Hz$&6f@@8s3Wau9{ZT!7y}f@a1h(6P82JgG7K|CsdL_1@IJz$#iOBc zP}94zTvNsR4#I7`TsQ^2wEKvtMbhFTC@Fmr~~qFT;;t}V=g7$_HqkC!4QUL~d_ z0u~l4#D5n>uuiQ%I?uU=oCuqe#8`z~PRm6e?$8mXS_*0|9Jg2p#9}0heQ?|}Z4{eY zBbDv|j5#IfP|*}Cq~U0dk9WesPSgi%w}JE7 zXgt9Gg~igh8jg;j|G2%&47{XDV--{yjSz>Z*9kij>{^Dzb$eY1``b}>yK38b3=zc7 z;T4_2zOOZJ=$?>MYybxZCnT?L1owy?o<2Ptj=b7^944}8b9zoW>wg#WCeBC0*H6MQj6LAJ3h*+nui;J<_PpAyrP_+{tGL#WegOag|NnRa;$~oE5MW?n zh%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@k?|pp zPCl;j{!U)5&JZoEKm}|J0zkFBxe32PS}%m4THGB&-CcvBY8lxX9Dw3x8+YvoQVbG6 z3^Ep~#>FwjG2Ye3)z{SzVJa(w0+S8|8Fv61TywId9LRw?1fm6MJR>KA0nn9UY?i(t zHG)74atN}&7+Dx3fJ*or9VdhQ15@JT>h9{Ux|)G!d<1q31>1Q!m7!<kuuLl6KO;9*vAA%7@$CggV;`VqFCvaVVEmQo%7C&_Yoc~9u0;4 zhTetck}^i=`6gw_Swbe90*;{-6)x=6cj$uiG{rLj>`2 zctt0$=WERyx+mlW8^A%qF)5lG!98LJCtD}OkypEq!>KI0oSst-d%`eN{XyNC?~{V@ z=6{8}iSyC$^^-6RV-I+*2E2^xYq%4IeXn+FuC^fjDz0q~egOag|NnRa;$~oE;9y{2 zh%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrGU5K+-NIP?HfzO9C-SQE;fQuVYYTe2Aly zk88ZYlb5SAL<=iW0UN^}AlaLn@EfE>2!E=@-7(bNH5jUvk)6Q+C~mfK*M1_R_zgBTHnxp-W81cE+qP}nw(aER_kH)Td+wR3uID_{T{F`? zT|K921_cBJqyi4~yR`e>1irO0(ALhx%94Z3vv?u%KmEgdov?ar+-%4yBVNb)HI1b7B1`fwj~8p#6+{<7SH1sq>UiGa_cOs3jwE13_u7y;y`Zi%YCHh( zxQZGFJEKTST-8NNHiZ2>sFX)ebm4Qf05y2f?pe^s2MLg93*lrFV*P`en zmD+d%T_IGrF6w%w9Z&j8!zfgmq{?-8Z3a%vmL#Pb9Ujy9O@s?PfCYEr5^Vw__tUQ< zOYc79U--P`*j;8TP=As99+5lOdDtedB4hd4>1yF8H(%#-l<*ome-kt=RNni^q)oA& zU)g7^DXnE3L}_6WxP@N!nRW5kw;QcJ(&Dx(fJEjkgJ*xVzFsmAD1NRp-t6y*>X%s^ z_;GVXv018=-0~gxjDjaX|3*^8FXobU$-eEj=d!*@4?CKF5Q7x!&w z*ALDXb;2W3nS)i%R>(A22ki+8QP~>0Jy-_#h-0O|g=pnrB+9qgg*M8_NSA44D+*Qq zK$C8Q-&4tu9n4g+H?&3I_C{w0TGjK&%I#+H8G(mNl>aaUeF(Ufq!o+!;45pXOjV?Y z9Jd=F9QE6G6}BaW6y2eShkrC)V3lC@rA)BTNI}|kK3>PBeMzVd%ZK35U1$|h_vs;o~SRGJyTWnF`7~;XR_K}y$^bUKWq9^%wWVp5&8X@SDeBn@bo>sCv z9)f@_sJHIFlkeG~e|p`)Q8?1u+ z)A4B8X?m&?uHsu-`+HK6Fn`jdt_E#T3!Pr6UGe5;WZAvo0zv7g z>_bq-3_*NSAAv)8ugMg<@Q2k`-w}i`klvLe;Q49oibvf&)4`-=+${9I<3esy?Qktu z2qV5B=`8GYDD^bo-Ep$fVkUh{UE!ytl1p-g#+>gt%7I3n-GMc^Gx-^`(zQdpW9!#= z-NUMHMkQ~lOR|ZW*X#06k$<>ZC%3XnN|x?jZEr*K;2P+>dRgM4J^~GBIwmhWp18bi zty~+PTVav>J92%ERvWqM7j($Sd7Mf;86hoX(ob90Sdn6;yE;VWS>Er3r0bIv&wGqq z$rhh`A5zpx7fqP`S7{ZWV|#9{?l5~q_+6#9$G9|4&(&=&6z6w(Z?*?Lm;b)ZqoyX_ zmMW=Q@yn>1?kJor>yu@aT!ZeYIDeR@gw*c7mN;;|JglixKN`u(2mT;D7)zG|HfPeb zdm@RSHr2h19%8+gxA;#tovK769mUVf(9Wg)!zp<1=$TETgL}F&$hCaomD0(Q ziz}}hdwx8ueNN_S^JrYbrkK6x{L`SLs`60wnsxSETj%j_ZR8i+MSbxwxd`fbO?hLe z7cA6eEPXoC-yAuN>ws>Is8qgUI~J-IO0UT}MMg10tA{fG zegNeA4#_n6&3j8{d!Nr3Mqvwwp%%Ml2CdD6Sx8wKS*2x4SQQKl4?N!0NqF?g941j* z5w!rhjEuh|Vq`TAo8f6pM-uSVBA}!Sz3>Gvmo*#F=KA3JxWOR2@d9&RM_%FC&~A-t zZSWQVoyvjs_IL~qpJi1PiN!6*{_h_CD0zk{-#}!lIuZ*{VC`~G&dm9heD}^?s{iOD zC3tF%5hcq{$2M=iZb|f&i_^3JXyu87XX42P=T64OfKcJij87mQNNM*gYR6qbG2K*WP74=j z(BbVl-Z$Z9Oc(SSGdG@@*SUDWeppsKffEXj=jLd?>Vh^zrOT=Sc~zsTq^IjbP4uU_ zJs}!LbyIv8fyRn#A1blwr!DxH25gJZ0V0tR(#ZY0qL)YchS@NaCZ4t?*Srh@b7CY* zVEeQ4axylq+WtU)kCmzQyh>6niuv9Ir1oii#KfyAoa9FZ1B<#I_5 zmCup%nK5`XN0Mg8NvuR=@xow>RZ;fDreJ1K^x_O_dQ~l>Pe&iunH)iy=Gg{oGvMJ2 zQ+)1p!E-}^=_TW@8F?2I`b2#5xC|%tUsPwhK8btbu~h27w+?q>)gW~vyhkG-EvBTT z);aKZ5!}drmF1BZSB?I!Qu_PS; zoDNBY2<#+_RMoSk91_+px{18t*~OhAxKP)|UMhuSS_oX;dvY}-gPYk#=j`ia!D}bZ+MD{lI!*k1&x+37@W+MBW`eFLj z*ogG_uiJDqgC=5}PmXD7L)gqmLu^A*`RL_7#6BD^=P+t+9jE^EPL@4ypEB+*1xALK zjL?~&iGD5wm=HA5$LhTBJMJ=h1%`-#OmK>+t<*SA%WbvXtp#ceoV4}k@)LBA@YRrp zBpJ<`o-b0G{X5Rrxr?$zt=&JRX(?#Ds0c}4YWgf+zRG|QTGoZ~L!D=BLYA-E-Pw?b zI0c-UqKL3@*3`h4fXp_zIfk3@E6uw1peB^RmKSfL4e~RT6_Dgc^|b?RSSZwk8;M7h z^UCjyg^Ig=^L!;RUb*cSXh(=YMQrX%7A*UkmMO&;Jh-As9uTM1ll!4- zY}l~-5Q+ixWJ>Pn!4zvlYpA$?yUR;~& zlv`QfP(X7x%uiRikTh$YiC8xcMr>PJ;Ph;yvii0)m_s<3EyG+cR-&v|sF66^l-Z^; z%89W}bfhZ(NDDwr!z*>mQewPLNJdZN6=9T0LPOh$^BXqC9kWl&3BbsjRzY z^dTLt52+7vW!4TcThgp7XgcOD%I-m3&-%Ro2Ap2Q=q(q@pItt8!whJsJI8d~ZTgJ{ zoZiCdFTK=mxW8%9o$_aGvGxyFJncPZE%22C?CRj)G!=|{u!JInIShuW%uU2F)_W7dix7dG+lpHNA>hM48j}Q2PgS#{X=Aqw-p|HBMokp%-V>vo_X`HbQ$j zRul1Inh}E>08D0X#s%~67X6l=bC42MJ94O)lxu4A9yUI8o;!VlbjD;{?*E1%@mupQ z_YoT#UAe}Dt3f#JrQ>qI1dnP&xcIx5EFA;i5AA>~ioUR=?A6k+=TRg#XRh^t*PAU5t1Jz; z-U7u^dPC1(cCtdKBNdN=Q=GbdD?&7IIy~mQc36evrUCUdOzZn6yd@iRSuZsU!&QyR z`i*K@&D!BSBi5BKwF$2=)?mSC6B_)>i{o<~oYSi_jymw9IGb5?*uOhpKwh8Fb3gZ1 z-=bUve5h7-Z@iFpD@Z5w&n|}TYReOj3~!Dad=AJYqPjBk@_S_pP?#>$Y@{H%1)Uq^ z*>OI%pReaTg+skM74Y>=XyMR9{Ev)@=8+C3o+CD-Qx}tonnhRx%wmA$R>swVGN+KU%&ay-O zGH{@u^v8Pw;$3?~hg@(+7l87*6Rh)Kf(I~YT=_(%6mqv)jw3lVOJaDpxfx87h->-B zb>}~+b>Ip+>`KY>UT10aIJ+A=6xE3Z{!K$Ct?9A*x#HJ6s8YC(lynfHd_LL|IrdYw zTweQ6<`e3HDmw~MQPC2Upj!Xy%kMU9mbxU>ZCYdD-q8PHStn7gO(@>uwMquN#$%X- zi)YjATkt5|%Nb(&)j`{>UjiAos67h}3+iP6*UkM(Sz<<2hv~i^_%XW4zNlM`K-cKR zx>oIvdQp<3TZT~gtWY7V8G9`a_D>7DG^EuSo91u#Pfm+(6IQU?uf`SKWYq*Ywt-a; z{|M2V{Pd<8&f?h6NO!w?z_j}VpQU;g;E#S_bOPHQSKfREO5;|MxSY*BAYb-L6z`4Yu8N;v4#3y@^-qm?bFdCx=Hyrm2I zJ_a>}ISIPhI<0yNL#L+yBoH{p5d89cPDMKoUn#Zo9b2l1nq<1_em3h~U_J5is&%9< zem8W<8&$?%X5wOSnkp7i3i~e#;1~hzT&c0gW{YOoc>4B>e-%ytd}XaVUGWUVEi|Oy zQPa9Mx!@6ebw!@|7F8ltlqYeO{`T*vE|qj(dEKCq-|YWC%prtME@L0|iDXE(dm?dd zy~t-mzn7A!S)^s}=!-mH`s)#8+0{OGN%JfyMq_67X2@7Ref3 z;i5fCdM5xuZ`T)(N#&z`mFI0jX~v8;sekM@Wh0{A$E6T@El_VQbJ!UM zW?HPlV%P~&%%`x7%MACn0r-4)T3gL^mUfaj)LK_NHdhJC7*#$r(@ZlBW<& z!%MZdRNGo}PC<4-&2+weP@7@m9OwS$^6HICt(v_sCg)mC&2_fG2o(! zwMjBj47S0Wm!srU7R{)f_n~D#vhzIGF{SeS!(wdo}0rXUn47>~%c6p{Hpx zLc9f~k*~55OGHsh0D36D6oe+(^Oox8hKBqmUxw@56aOz_RDJgfE~99_+ah@p#I~~2 zq|8|z_!nN1sIlbsJl!((OKzBQPbKV2e4m&2`YvWR|GgPWt0rSC8)kZh!wT*#iK@Ht zx59V<5dBaR zLyKpgx`CmhuhJs=>&bcYm23LZM?+h=CWu3J?g^gY2K&=IZ)~Kyx2&Z z5N&l{JS)eijrz!LUIu@5x@QFdoUUrCKL+hSe!jjAYIga%pNT);8mL%yeg5=#8A^~P z&pI0$lvl$Ea2OuVKZwT~?ch-mO^o}qKh@}^#N+W*z0un^#se<&HF)syd6bMBIku5v zj%KE34 z43loUWQuu}v-hthZYUPKS`X$Pq71!gc(=MZBfSc?w~$~j;;ga^zs{+?t$Y}~ecC85 z>X?3QbZ|X>jrS+*XQeFiYQ40rdWwD7j}@H}gzz@fYq|}3fxQ2F76oOw`)9T(^n;C~ z7`cDZFZ-XAgxb7&dBiaCVQxH?d>VhT6WMZbctH{sY$ALoMKsmVRJ|Q95eDI4NiD-Z zKyXqZK(I%dXHoxLS8tlQ_uWs$@KsJ-R)~R)lzTeB6qU%)AMZ3>SYm3a*DINsiUG@# zgwqhKkPan`k(;=XleL@HTKj&Zl3@rnuVM%qf~79uC5HqpFiyN53+voV7@3;^fQ~gma(D`D}0RKJTmkl8F#mz zB#cA9GnS|xBQcW-7-Dl81ii#<1rNMSX$vu2r9{FMHlpnG*Q?*r9m2%Ot%3DClaR!( zf?N2mIuim!6;=#tPf`?$lFZHkfx`a1qr$=AtEj>`p};Kmo9z2Libkt0gtt5E$*^8N ziDD0&`bxA5jckV^<1L5^3gI^>;|{9TCH^ysnNFxxoqS_nsEZe>)hNEVF|>=I?2MG$ z50Lsg`T8qAN5{}d?&(#9`YYeXm|PF&`bo44Uj9Db2{L!3zPG4P|1+pHFKFM#A+!s^ zX?(GnOwd&V`FcP8H;>Rq$!UD)8AMQoFXyCbPUw0Is#VSDO!1jV(2FUm)y{10lw59v zdJ1{w_8xX;F5xwT`XTxHBELuDP)87B9EHy|e4dT?+zxQ&_Ca>JCQ*J)O5ryWW9TAd zFOZ99vL5qi>7S6Z(AU%cJlRa{BGZ8#+as)nW+S~unT=;oz_mu?ZB)5 zzC9KGGnpAnunS14)r#y62I03K#`NMdmY^2{RI5q+ZepR2WYd#kGo3Kl+T+p2Q5D$> z3ib5fjfBE&SoL`d^=|x}tio+L^?UO5I=+qqp^liw6otl4-j24Rk9O12Vl$S|7xict zMcG<$xmw_>It9kX?$%17kL1(Z3ArA>>i^^$TR~i$qFty@UlWJ>%Y6N)H&duD<8LDt zcB3}7lAPHDSxu9#FXL+i2)i*E|2oRs8~(?AEDGTeUyXv*yOa8}#&FWnZBF22$x#wz z?5+1B*;+m|T8WzYQ(MT;{}8p)2to6zH|>vUG;T^|{5E=3NZ}qqAvsF(y3|i=(*#n@ z)WjN=h~zYK-5zCmi)ixo_%2l;sJ4KN|AZl_ZsaS-oirm@xRQr%w_^B)R4{+Ic&3t_#&j2X`0YBfn{9qoKSz9N&kQe*yk%N)qOCtocoM;}kZWBaz$nJIjY zP&_zmMe{ndQO`r1%~ueL+<38$@xc#`LviKzb)AzOJiU}Ft0A%=I~o!r z@XGW3r_0Wss^8E>Lf~sNtAZ%}kI5vxi?cfo{D#bqnhAB}U4y%Dm_I8U`EMjl z(_SS%*bNWf2MV#lkTmIXq9>0>?lW%dxJWyD#4xjCp;1?cgJ`I!n|(6@DxfKd38CQg zwXbnc8ShNII(Y)kFlo2dxS?)(JE&%_Np+@@Ao0r^Wm;o=i+-FCH z)13R?z9S<_{_?XyY!F^82C6+8{x1Ymqa$_G$Uf)$0^7E642dT?&QeFYK7QujbFGRlrv+u6P2~1(1HJmuA?kF9eVv?kvHJUgI z^X(1cv54Fxc|=A!zIz!rptc}vnUDjLysu)&_{B7gzWSC2;3z$I5!{#nRD?l?z(=X4 za4}y#1+935S|Pv;Rv$NjgJ?7j;u0UJv#!On8mS1o1q}?iI&;HcU~Pw!d(oSE0$*!> zRpu$y&kptZ4ORNaN@K?R{f?wKM%pv*Y$W1feP= zRiUnkYDEUEp23mrr2n||J5@w>_ijtv+1Z}=k+8h#AIY4< zB$b>*ZeIYqfkHHoJqG_|5VPY+!?+#=8Te-6!cFzkQS#9_|M_m5MZaHMrQLxa$?$OK z)_^v0D=Zl%6=hQM!bn>wAQ@JMGPheQRM`KUSiYQyc;E-IJPj}LL2y(CdXB!AaQ-q7 zh3XO8%HaM9aV^Jh1N}4uK;8(oEe|iVw)fGjZ9d?0U{lch(JZp#shRPeNtS3PP$6B9 zGVA&HFOkC--FLbx>~~>S7%rSTTLZOc{_C9l#xYK} zXiVYQ5{~Dl>GpM)6sj3XlTiFHqq%6nNiZKH6*RgFgNU$nxR~hx z4LBXS15TN~yi8*LrUn1t@a$hbp^$sw_`h00A@$!%O=#p)KI)RJeyT|d7uO;ZaY(AC z4|=E$Audh%aYR|*%ubHzQTiuE{4K@0!JgC@9cdZ$Yw4eb(pg!koq%C})PdN=Ee=ZTNW zkyysCV=J5zM^HUP55^CQsXoZZ3H~h^Aj+TLMwU0Vg0W#}VlEV|Vv441XU&M3d4c}SdDRy%!!QCjsx87+y_DsW&n5GqlFQe1_F zA1-lel@Lz(;h3Nfl-(#m50{npSv{B!-jI&GqQm<^(w3gux;9ZJ{dsB|yKshf-yyAi zVRLI575Bg`-FanG+c`vK>zcl5lc|8$mj1qQl3z1dg7m<#P%{U+uxIF@Qu6RGnG!N( za^_%hSz4>OZrZu?Gg`E6K=9+VHq8j{E3nMdQi=oYmo|3)5T$~Cqo}Ens9_xyh1Po7uzQ!gE96M~|kHbtF!AuH4=@_CSFnR5qrQB8!U@BIH{!b(0Tf#zI z4QVD=RGjnBuz(?ih#Qi*9luk3B3c;SWQMQL~fG9o} z1MC~}U6-JbvMG&GvhzHSM89~)M;Xya2eczc_vxO+)`)0$lp`p3N(7!xH1_lg?u2z; zrzh*#*x3^uk_17EVl?UjL|Z72js~`O<1d$;Jq)uqaff{4?CzA+E1#5X`Y7`&)IJ^^k{-%y&L zkv1q9JPl-Ihfy<%?`0OY{x?bU|*kaw9ly`xLHV0b3AZv)LHnhLJynV~y{Zd*)fAYTzbEv>SNG=zg;dP_EHrGEuR;0-&M5>_k+fgbZ zdapDee^)f_3!NZr3REQobmAd9uwD0P2jJUe!MErH zzN-gv5ej;e2zpWr;)CXMIW~?7Q1V}|^Ltls)i+7m^b-Ez2LJV}RtTr(GamfTD6N!d~qYXfJ_!Qa7-Zeo~GjZDj7g)Ag$%w*yM(uo8=1p?c((`L1fo6DH> zwTX5Sh<7QTnfxbG!e%%nnZSu!N$-6*Ah{b`x~{{<-j2 zqL*Yh+TMHB)@C;nH@m@>e-9i6d;bw!#t+Iz!|isA5i(VESVcls(0lg_m_qkLzK0>& zWua?Xt^bxbtnz6#@~ds$^wP#5XS110dh%ktn=>Xav#j|*MeB}g5cY$^RNEJNG=-tF z3Z*69C9(6uqSw06HK@BgfRY9mX!_G2{fJAW*J|?I3tgDUp^#X_mc5%F z*j`1ujdz$SMR$%rpS?B#lyQw}2c{FAiSs$zg*shgB1X`4pGMaVKC@jyW3X;Mc-P%v z%nxBN?-xdSyRvl}Jm@tL>pG7h{{y2xrpNF3!a7b5tj_mR6nYN}epW%bVI+jevPd9m z8jj8ak=NeI8Wp&VCvqBzegn;+x)G#Z7R%Q$sKUJgLB7{8a@%>i%3{vn=NZof?Au`7 z`op{Yh_=15qQ=m#ylmN53P$I#NvIlvNdbolut(#xq$B)wR*PvNGRX5&SEqt>=s{%g z)4N(DX(Nm+TNR#7iK7|h=drE%^OFfze21~&#(cg5AH)bYj0{vLbWVY)4HI*#H6$2y zOC$|nj&u>o7>dnFu1^j+-rdm@6QrpbtcRG714gGd2(11%7~gTJCGMtyDPXB;z#c<~ z5fLPo07nFkV0?;S6|23M@pI!jjKYTb^4y#r*Fr8j- z5M<=0^PtH_ZCDR-UF7Mp>^n-7ix<=nhSB!VDmN~UAQShf) zCm>)#i}+FrU>E@J(g2?V;!knF)>9I7k6G2Ob`q>{5Ug<#oMzvzbsX%5VBIbo7IIhv!Y>EHLmyKr8c&jRXDuVWxP8R`(jlRb{|9R|t4MWqRo;FZA#`&%zu=QOB{k0PQ z1(cBM2+x$p0-nsR4LE7RS0indVId}yj{cQYetP8nmwOvAf{N3xcLcoqQ2Liz2D zbMF^l@PB3IlbCq@?y$+Xj?cBh&B8+NkT68ImI*{U-+LU9P7={pG|?7B{<@WDPBX4e zeK4DdkU5CJ^?5^X^q*0IO>)6aYC#?v!B5C&Un(NA>NgdN`Jr@K0w;luWB*rw)YGkW z*(@3n$Qo)MrSRIw)FKiQe})$9gJ`8%S72ChvxrbT0?g(qHh#Z>r~A46!13Q3`DZ6H zmw`V#U&`~({3tegB4{$MUIHYcD)#OeFz~PgNmfnU-Q6ZZF;MtH=4iZH4{+O~X*)^8 zyDG%HmV~-CD_Xa1hQl4XnQubKS_!46A)Y^(Qf^No#lnz)r7bp{kQ9qbg?Vi%`$`N2BbF`ugV`AYX(Nz z(AIW2uhJ>Gp|&0;G3_5av)h^~Dmo2!Vry<1A2Ykm&&;oqqiWatk0RjERdLgzkM_-- zRh$O`%oe3Bt3%Bi2shiH)eyBtm~_szZRmffU3K;zP;AB0%g`kdsf&Z(8wM(?s**Wo*rt}+vKWaoQ-OtKvEqDF;~EFlK%FTkdTnkzvSM~ zOcQ_Xp`bx4AJ`E_r~O4IwI3RPA!Cnpr+t_uedP_Yt|oPbOST*>MKNkcQNWwXiTDk( zYWRdSJ{9`G(vdt-Gih5?h3x7)=d*tn6%``26pmi3DLr=pD~14tC|}ys-GZPnnIV<= zrYOxfp>JmtclK{Eu?$7Y;@PqB$MVI_X^y?C=&3yNGIR6NMGJ3Zalv zQ~9&WQm``*)T@$lc}vyC(!<&M*q2FPr-i&tV0*2^AEErF5tGOE6H}5a43sMo<&KmyEWFBC&iKDzp3% ze?A4V*~43hXXR9Svfr|Z`juMixmSo_wkYWa&0X+#{CJ@e*`MfQzwLd;Og_Z}yiFfq zb&&>Vh_dSLoZu>SYph;N<>G7};T;>bduF)rv&RRmIUvjsgba9@|za{P@<~UdZ&UQvPjK~aaY9JG+ z24gI&45yyZLOo?v+7+Jd|L(e(cjR%+KaEO&;#^0f(73eU%clz0-WzL7r9^1Ya%ZQU^e|G>=7 zsyMSneFYmjYx%`ngTRKLc7ZyaS1g_Y4Z!ba(`s8|KUuTsHn8Z(Z zUs2Y{g9awI1EhcN&)gX|YH7-+2i2JT8bb^8url(h^1IBasGDuNTXSjU`TF|)&E@OM z88lzT#T-rPmzM$})xqy4HB7~P*?dBISJ_v`$BXm$48IgL!w4J2-)NFQAcD#GW03o? z^RkgyMEpV$$%}6U#Nu^*!D4=02hUtXhhTHb&h+z2#vDI+Fj*C@fzj&KT17s2ZasCr zxt-Ovl=B*xf6%A#20@qk$B2=6NF{eN*ac=y+Ez%jm~TBaC)t_Q>MM)|E|jU`8;h4) zuBb*C+D}k#*Ml6o+V-Zzs}`2!7DB1%L~LC^zMEDJPc_&^{(i5|ya1njft18?H7HdN zv`Fc_cg1{)1e;`0*&o+ZS(40?;lf0_E^nogsVwxkG-lsFc5~6toG4WC&<*CX4LChX zTxnaJTNVhYVJXU}AjHK%6?ZPmlqy*ldx84XLXN9xAj}|AqwK8t?z$PpH$dLky}@R2 zxRzO!hHOOctnlZYrW|TXpb=O59YNv5j&wa{bRTMay#4@3y&9ZOrOs`qv*>1p`>MqeIMMsf zXQknnu{j$&X|?%%YL{d&+gK+kNnaI#!O)WA&+9?*9zMc<_A+H82x*89x?r3TR#oTa zY#~Ae48fFnYoif{0a&~q4q!>*y#<6t2^@(a7f9o#jJiC^c|mRo!sAeUuU+eNFhUzP z;K8~ZV{dBQC)N~KYno9X>N3S{m#eqf^6+p}^W_C}H%iov`v~XEmj=#4pXc%< z)~N{IjKL1w9|MmryiN%pq|2I)85P=o0+4N$4kq#aRij7S5;fnrY=-vvu~``7FFI=gQn%s|p1xe}Hl z&DM@U-qh|?SqN(bWRR_!9;-PaVtCI_7$t$#%2NZ&L@hqXb*TM`&r-sbH!28t>T%L zuJhKudIP(~;Z!z)QRt*|{d%)MqO`i{)iU;iZ@GgpcXo&yFe6k6%oSwz!t0%~jf0f{ z`Bn-i9~HqdpGDhtkWTaAT|)f>W&UiZ)T1&v&;`Hk_u(WcZLtI{jDc!Hj^>lILF+co znUO)kL?VJKd)LZM2A>Yc*_kpTs5)b!7EO! z74kxTP#2u)WOdG>2}^U)S>0O%;1FxT5WUt>9oVp(CGO(Z z(H}ydw?q=xiFOkE@!mD z&NhvHC-j}Bv_a_|4?kM+?bXfNY*08Aa}fF>XG$tsAhBRRNgw>iSUKyja#JDfIOk1T z6Re@~&~Q?b7BuFcQKFsOYsEU`prgOoK-%C~YIy58Nh7Fq%L2&1r$jlnzoHojvH~d&t>woV4YCjZTrNYSQBGn+n89BiEUda_M3a}THlXv?XG%yb+4|j zjqa{p)r}4Y23Cy-{>5FtE|D+PFn4jac61mSw2@7~O3~~tq>M^iHvp@z2b$F%) z8~BG&b zx1-!w-mNVc;E`vV?`2EC=g0f&wQL>#w_bKr`eB^`N%DKh$dx87ei8II) zg91#(uoD+RBhyDkDAAGPWL>7*)m4^u*Q5PZOS>%&{)L%tkep2AsQ#*Y`kHDUJ>{Gz zZzpPxPj;!87WYtki=+V<+&+(>tD4Je!PZa5|opIhk zVsqlL+wm9H-NkJ)2s9ZK88RR};yi{rt(w#B_-PPVW0Ei=w`VZjv-M`N0UK+W)ut19 zK}U5NWe8#N|K=ZZAz@qcsZ9ce$`lQ(=FXEu}%vS(` zRdpkRDZrmkGu_tsw!OXu=T?Hdm;S|Ed3rs6l-hli8=Zu%q6RU_4s>L*F0lMj9TThl zH_JJ@#a1@y$IV95)j-W>rn9e1QZlw%@0LS=OW>150WjX<^zpP=8f2Mo%v1lV!*Z^d zMetY-Iz@HO#u$h0ci`M#;&$n6+re>V+o7wE^PGP7Os?ro;u1GMb?-MdZqRSFaQ|C` zvi0_DE0XT6HdXDlD3Mn0*Rt(StYDT`qW|v`^+Rd7NbstDY&D&Q=a!#02t9a@WYP^# ztQDpbHjW@|K@-Hz9=5#>g`|e|c{=mZ_1f_T0(IiMb|JR(tm1aNv1MXRRcRN(-R;2E zC80I1F#k(C`kM?Zfa`)M$4jU$#gSoj1n*4xuG^uvy6M*MINcPDgZGEuwB$Vwz1Kqb zTE5oZe=95R-uocCdW+Vv$r{LO8x0K2pL?!v{f{ln0Mfb9c^f56`dQygV+gwi`O~LL zeB|W?<$*KVLCLSX+@3B3{xq)MhB4KKI^vLWr%n@+H*B9%_IXrG3MeI?Pc>a3<#~zo z$MM+#v(^#LuNXsF#i06h9jhDjVIe$9mV=|GX-az16U^6|>`#+n9BvWJk@So>MdV(* zJnz~{{a&&?-#x)S!EmpipOH&!B;2CodDPdy)urfX9958iQ;XlEZPETc=X1aPlO>;X z*>k+T%Gy-tAS(N7*z@i3rzRg=yUq*e)`Qrf*{2MsE>vTT8bSV0`v3z(XZBBgb0PHr zV@fY2{i+4Ow}{=F2CT&;O2*>6D3PH)Q8D{LXSKY4Ue%3kP7WgX)X#`we|kN(&)0;GmrfIuRneTD z=S=F0Z=d3y!Nru#aT-;gi%)$Oo&`D|vUpju3Ng_|O@QCUx{YQ@Z>==x`*TNN8ZA;K zZ)LIa-|L24Au-m`EmQ_wWS`?}BWDSY zs;Xo4!S!00hZYW&)cY4Uu$N|A+I&`bbq~SeXSC}Qj8;yS=Sr6diErzp@HeGP!E=UwPvi3+VnfWE=jy;hRCEq|l75|W- z(Dg3?H0)UVCE5$_#n^phv)$dJtW}ewtfw8uIsKVI=gaE&-S)Hy=A_?X>4`~jg#XSl zLXOQY{qIk0jp;YicYt|+jmE?NI~l)XB5gyElzd{fD62RJ@)+g{(|1*~-wASwj3TVi zKVX6DKktWP5&=Ajg#|?w`(kx!;VJVh=?J- zbF`!0f8lJwaCs+KgKz(cy$IF&9QF{Y2{7d)Tk2&Y-9N9%&ds~DjrEjp_s*=OkB;#N zxqbfe-h&iX_9JvCft6g8V5z^&A|{MoptOTp8(glSaql0lU-Tl7^S@w5ZW{Q3&uKEj zY9DF3JMX?aaLZ`h1g=CLd(WjUdj)T0G(&51W{t&QgXS1#=; z$(0Y#*;8HXzPI%Z52iH}SgVSq4Jch-V=_|=p_LOtwaMd@9g#$#PNH@BktmlK5@i-m zDEnPCkiza!x@0s_j1?N`ySRL!Do#1A%OUx%7Kd1ZdQ8>zz~W!K|H`mb*SSMY#%mw> zqhiV0kpy{sn1CsH_SR`C@VP@yj7}Hf_3{J$o4HdKOI4y5jVKZIt1P2^o!VWP_X(ih z3xY-%yp=25;nAKEW{C&EaFzyC)}M**3C2jrF;6)m6PO;729Rt& z!SQ9!dU=gP_aY3M%eB!VpX|c$4EMKx-G~oc598pK;2D~wSokFhXMPn}4db8{UKSUr zC(q%my|?OA`%|3$QrEbjBO`sVzJ!C#Zc=gbegDBNS~Bb)1>ZBeTzUp&NI=H$vBb={2K9}FN3y$}!a4)6}1qh+wsGaBKOYG<4z+7V(oI5c7^`SZOd@8@GJ zK5o>ky8HXSzS3w{h2g*4V3w-%kHBQVPWk$I;WPIZn;wcJIZgYG7FU}Iho9IsZFQ8g z;VHaX3|KHtMq_|a*)V-JCEV$|7=%vCeCGTq8ah25$T096d1d1%Butn)74fhMi?0e_ zdOAIUuZnjQWn;%WkxoUd$^Leo;_#E+5R{huiadLfB+#gXBjMW%SzAt@#x?Yh1r>jA z#+npB{!R)AdN6?2PzX021TALzl~Gy=>O;5KZs1Q|+r#E#ZE2%Km5BKoN2UL+n=1hh zsYAyxx>hWH{K}9mJGzThTOf{SpWjanHu0{O!`MfYKNR6*=Z`irU$GrSH2?&V@h~lyXUD~30!a`zDyTVMD=GE5a3H64KHgL0k`}JeU^)29a zzWs9cXr5fz;5eFX;r3lU)LY~@0)6Gy4)ta@j>feVIDo^`;2r*vlfOr(CH`IR@)7mnsciAli;b_@)RAl{O}BgoS`zo z@eqSA)bt=mbvM0Wx}Zq~r~c^ypE~}4?U^zj=sNi=^@8#0tF*M7l5S0RTbT}W)go&A zA$Bc0XwF+3YpSmE3x!2;20)qE8q(-Kct2&0iC*i16BlhGkDJLKkfJf>siA7d3g zdQ(YW;xmFV%oDsZ%x#?4#(1QhoAM2U;ljhT>|_OpUO&*d{!M9G62O5mNPNv@EUwL! zAG^986-z;hfIhahmgBKt{AB9x*HNy@1y{G_5w0%@ohA9Mz`T6A!ew^mX3qCkD`%fP zkFxoIa$AFQH=Pp8F$c*FaQv~0cOa7iLxEa(T(qPP{Tl9-8*B8T_R zM_UR+48}i;ug#sWbGe>oxx73HyXa9iwc#zhPC909>v;f&_4gM0^$Q%#{p=cw4QCri zg=gJIg#%TKX=-befO+ec!`wk;T_l0O_#w3|5y}R2rMBkg8|izRV!LMq)-$;;8ajhZ zoO9$oJvrDn#))aqz4Q&hPVzGx#ftDI!H2|Mj;p%U$!+82tiAy{&X9-&dl=NpRU~4K zMm)YM6E-icZj0+Wo5}5k=5e5eB<0Sj#o#n4+O<O-lkv0j4Jn?u}e^DZ5{I|M-K|ce&xt2l_Rmr?7zoLlNv$v;w;O$T%d6#WFXW-p?Vp1Md4}Q339lYy7b(S2=0vqo^@{ zkN7f?0czm=CR^Pm-wH?>UQ&b0t~Dv)VgiauE5K=>jTwb#uQ|XU9DJZu_%_=ks8TniqNdw*BV>_E*Tw5c%?QUPBU|0)UaY}N4y-Gv zK862QDlOBM6Wzv~)TI!u_ZyRkTjb&`n<4OWsvLpLZr1p1Wb)g}Jx?TZXPgWt)a04a z8ZK=QZ?gyw!aNzX_7n;H7NM_QSa^JR$ia8bEmALRIA?~;3cbJ-a<4#3Ko>2zc*!Y4 z0BYPvqZSo0sL>AuZA4~8rnl*c4v~Io1>GLf%;;bbk6zU$tl!yG2pC0(Le9#Rw$rMP zB-ZZ|;wbWRW8($rd7uWla*_M7<$*<+Y=@92Bl&GoLVA%%czevE(kq zeS(w3gv?uyG}(qEAVb;>6ZZNkEnth*-?zNY+UoT#MkD(0LliCq7?tSvsf-li=lLI| zoOC$7b!*~SXJH3jB_U#`I2_PymfHhm-He^e;p^bUD46pP%k(Rk^NTA8bUVhnbC#f{ zE%Nv)rr1-u*QS0YSGK_NJo)jTON-9>c?55dt+Y2pKIB=rZ)b<*Pt^PBW9~}C2?YyP zXldyJ7rpXLU#M9JaBAjbBE*hd=G;Ixt3DKtOMl#HZH`vC0UC^nf|fhh?81BeyPTO?Ve2wf_p{n}=^BtwNf%Cw6 z*ele1b+9ieHY98MXj@E%@AUD98dwSLE=S3{&ZMl0yg6#hW+~||Y5FaRM;tqa^k9M{ zU+bN=3joyxqQ@GsPDz@_n5bBT#~NjOW?+)6eLHKQzq^NZb5+@=mvJ)l`XK$^+#KVI zo$t!xA~?01k8FU2ZXmC410#Tkf+EqyT-32#!6{F0wC}R%o^QlNrq}Ff`SDN5RI71b zgK?WM!y4#tzbpCnn6V`=pc(b)xMb(q8l2VfFtyGMIY8m5`t4_bbXRtx9%yT{Rfm|4@#j^Ky+9%`Txo`3z{>`V zVxQTa4yCE)#}f9B=3$83gz>XpS%YvhANmh|bU#kxsrqvdb&Y77qY(`JTp^Z7uh~`% zki&4U2YLIa`5UJs!4j`yXs)LBz9AIS#4v_C&?n5cOhxKjkN&GU(`<9r|P9hVjiHV#`+zn1Pbzfm&Au zc!{H5!Z8kje8?Ng{U~??>{;H<7jMBcIDE={AipZ*)$5TsxphG_b>|bs^LwleXW%Mc zvhRo#S))#TUXe1Xj@aE*=_-shbvH%i6`SiNl?W(jk&nsi^}Qbsn7H$c17&m?9p9!0 zh38N*f!o)ou+t^&#k;*Li1=6gCnJU5J>)+D{&P%+psKY%{6>LOP| zF%5vP*ENKHEuSrT?AC~S`v)Elzk4GFnli`X;XfWT20~N@11@oUnbrUJ(b{3Xm@?`B zTCrJvAFBUKRd8hfW-zgkEp#+bj9J$B4>9CT>b;)ov7|?WV!0Q(aa;q`xdCQOtkv46 zfF*Z5p7w*?@@k@FUQY!B@8JjgIaQ(!`bLOk>P~h!y`8FU?bX!1F(&tgo4b8)uLZEv zq@pxx$E-h=e0rKAk{PVKa`E>>cU{AY{FT2z>g0Yue>FM_G>)FRyFO1uhd{}G&mH6x z+f0>lomYLNf-Fy|@25U@&1#cY7nC>5q{z*lI*Ke^8Bzs_clePDC|d3}*?qex;x=83 z`7?BY>b#=ON8`9nQ)-p-?ol@|r6LkU_j5p&s!YvsTW$re@#xe&d>N7C*z)+6J6Ku} zkT;d2V5RK{O6xxkxFPJa2eT^wckIGeRMZpvU7Cc5X2g+Z8ZWc#dA)P9Se_x=hj;@^ zV&Vg}YmAZmg@X6GgTDQSN!4HZVSYbSl1YIkPq5pabY_jMxw+KW`)Is4i?cR+-LG#S zgvfNf$+Obj?s+@EIL6ooqHKAE*?iDc6Ta_4YIna~k$Fm+)MRKk8F^4m_K#n&yq8R> z-tCQysGZy0-wQ3m{x^b-*lLV?M8$vJ)Ipd3z|i(n~e2_;$TFk6ty={$1J2}T91?7Zuef`&EfDli@sw3W@O^TIkvuEf zTMK-q1RI`>4|mlr&i7U!+DNHXk4I9Le0P zuVmE5Fot!E9^u@F@6XlHeJ1rX<>6O)WoRCa&KOneTb_&SR=gOlYNul_Gw3c0MTz|2SXib>gGh1c{#V|1XA?1CoUZh||=n92DvXtdUy&b(j zXB~30Er%vjT!&nGcrx6*-ObDPY&jMGQA|uIr7zV8WTDE3)XpwXJZ-np-d^q=ovLbm z-_%ZZA=QIqNu2r5%$|=zvct}9~TAIs1`Xb)~H_{u8PhPXS$9b z>SeJ^-$Bb8{+B5&;slm(*2q)&O<)H2IpV&=s#%&`?c-csjNS?=wFOY-0dh$z5(9c8 zK3RS+jyS%wkK9&kVtI?Pd%Hoj^e>Z+$>&BDyFRpE{Mo-DvS`GSb${$3%)T6#{>W4cmbP^xri;PRS`)t?J?Hsl7epZ8Cp3d#Hh;lxt?I&-469aeg-w z7&fSDWI8n8*yOG}xZO&}CIPrli8p0Yzk}{(8z#Cy+#!GWR%K_FX7frH_lMHoWleG{ zJ)VpH*0K~K-jJ^iWE7iUx26Kp##00cYNDo==C4!J^~d>|Zq2&nQeMPgUS3psrFvv- zp2kg=3oT_o!0Vz=Dl52)A0#g`>#(Ew>UoUQ}Y1=z`OwY*DmbljH0*FmXv#25WuSP9sgsE zmg7LM8UA^=N4@yQ9OOu$J04);`s9D3t|w^z?DuhdK=A7K;{W^>E?e(691ueM`LqxC z>$lf?Ci8B4dbK_<+gtK>@TJAs^H~2~eAFihl=WsSvlf3s0-(1Sr0UiC*vWN#(=_`Q}TY#Z){61mUN#+YgcoEb8i_hBO{Jq7GW;(_QeHYlRDQ^`tHct3!T>d zic|#c6)sqdz1kf8te&G#2#rFPQKXA)QUa5q8>xMrb?$yCl}#k*Kssrl4s(2$vntT5 z=Np|}{j5Yxiz!tkrHqRPSC3APuEewgwpe2Ehk4{mo#f=D^PI#r}TR3UTb z3;!W!H?zA>K|_s^mP8qYUN}t|{|dcYonFhRo6MG%)91%$J(sj#JYbN5P5oOE({#`F zG>`DBW`qZam7$xJtk7$cC@*3YfVb%=&?7?hm2q0&U|J*i!8)P^qG^h&$zWRGY&tFE zfjgoFsVTt4ia-CoQB)UUwU?{OVfrIo)EC|=V1L>GVU?F?btu1kpuisX;sV7U*2>Y< zYA(Nfp+FyE)sUx2ditZkz#iseif9#k`r~hbJ@kc61PAnLC*dk|{(HG-=huBXTj2yf zjG)*PSUt(fGA$Ad@d+#zyhAjVay4}dz3NJC!i@UHi}Ip2J@PccPj4Pg4-0kvE2xIN zct^2^YC`2{BAXW2pUy>H#URWIfXIq>vw949@QQd~n^thK+Je4tM!7i3_aB;hwL5+< z5#_~e3UIaRg_R5u!Dm{*>2%Lv zeguPP^--92xZoYP={>GXJi)UnD=?_OYjS^ z2oFvxN#3Scp#iUiSWeBdJ~9eSn0$yGF7YufgvMP1&Es~a9U`V3%ycESGx4`}9Az{e zg=J&)Q{5-LZBHFy3>k-!O2ob_x>&z&%TH=(8Cjx#VRlMuR#(t?2w3A>Wqng*|F8;g zl0e5_xFLErPBbi2ZcDWKv3LEYeCwzD8WV`%mN4G1@64Nbd-`~=9siPG^v2~ zO1(khhCA}5IuTp0Rq?k`cA~A~zv&OabfG*EH{XY^|31qKL_!P5{BmU-R*m|E9(vwm9GXg~;sY)k>))G z&0}dMa6{S2tPh^_YvT0ce2*TqOJ{k&;E1O_6gK&-LGP&e`Zl@16*Sc}O`s?OJfO@>wttX6uLA=-9^QVU` z|1Q7b=bdFV98h0M_hqHo@R_N43T_llS2V#r2AIznFx|I0Cb|846*CE4Ln~<7mi1ha+=59kAGod6UwfI55%qbheh3(be&G#4*ku)V|}c(Omye7L+5wY zki-7mV-n952*EuhSQczC^logJfyOU zFmF-qsOsXd&_})4~Z(<*T1)vcmZn5E_?nBik%kfzIVP)$&7@41EWc=*&#>_4`}?Y(n;(xM!~N2PU%i|0%FKMsF-ilmh4I2iKV5Y|m%Yw_ zhy!vPbJkb1Mr0T5oaj*Y`_%AC)aT#+OfD+e#=|=vrjXM0xD~EB(BmpF;ED0xmYbQS zBwfG4|Fm^J{@V91VBkMoP~urPWXtq}=1#XG4Xdz4jk+!Vn9c28N2_YYrh ztiqdt{#(eiu4i@uE0eFC6jU&oxc@K1h0Bt!xLD@PF{>ld=*XvZ7?e(QvrLgB=~V4{ zcGyVmsn69-Q=d+Gw>rE=LUmg$)89+Zm1VdW5{3==Gk__(KCFquqvsb6nAhJJ_^$|S z4q$kb%B?-rA-!&%@~$n^98cJWo%Ns|oi;vJ%-arX+*EVZMG{!++SSi(kU?}Su3!BX zP3=eTSohm1A=U0-{$bitfOm^LiP<+T$AUD8Ny#=;HYz0{D#41tnv|HAC^JZ4X->0O z`_oJ>E%E1)7i~$cCWGnObl(ouO%3|IjL6AfQGmXj53s}ia4{lI+Uu0ICIz+=!i29eL+;TQH2uPIleN~$m~aK zT=6>rk?bocPuhc{8^gucvDRuYi{pu#p>3DyYl26@bTNEY_{m4m?J#WkkEmvDey#=$ zlDVt7kl)xM%t5RyEixfcb6U210qaMEs9YnzwMV5skiQIqz9w!n!PVJ5F*{p7o6c%P ze;Ey_#9nt>4GH&bc2f6j@l2l0@`Ia9gx9iWf?*Ei$M*RGl~boU8y`|t?r@9Kk!d+* zyv(T5pNsaHAq5#_tUoZc7&a=#PGa1-pWfi(U11s}q?0L@&pU`h*a>f?EC4k>%r>rt1jK9ssS!I;dy_h&k{fq5)w`tbQ{waq1HvK(7wYsv+ zJ$sp%*+0Si_s>|Odm~ld5TZ!wLXP=h4XcSKp0hPxed*7(s-JCLKbLhS^pqv^tkJb6 z7-s&JBf67ud*j-kP^39jvHxV5*ku)qiML1G)2NB)b6y;PYuAn-pVo-)KdKm(>A(~R z+|foG9IIh=Pgm0T6zD47iS!m8hsBA{Ao9ojN=K?<0UsiEwWGU*F~y0{ko-+sk~f1n zH)$1pV!lj&H2mQ#`#p!pVRCoB9$k`Rq}0O^cfddnS^GVC4UpI6qFG}vrLqX5wV0sf z6=O51_qb}iTU%bRUm{N)t|cH`nWxYEF=Lm{5V&clmVVsuOEjhXK&hYRaIw$@pWZww zZirsCm%qW_H;}m(dx4;+o>R)S_ITTGu@>$ARD9tjS9!n()89Rn2D#$C3kEuaDWaLq z|K~tKk4`6EOJJyx&2O18^bpNdZUogc^04yzg#P*ACC1j@Gz%=?ciPfZ zi!Lm>gd@ZG=^yliVHEU1UY$O!e#4eTP=2sYC ztMN|+2LrX0gcuNSZ4!EYg3az>*kdw+O9~I=lN9g`qq4g@&6K?H(y!83lL$rd?q#NS-N7>{XZ z!0OLSVw$KisI|BA5eqBxrRgES<;>~`vgl9+H%+{m7$CxZB-!W!w*dQ?%cm@l8NktU z;HWilv=OWvFfFUB7l^R%Q)h+%M{0tUhs3vr%a@e*EkPeR>L7HA=oV%OXP|M44zlS@ z;PeXXngQ%D0Y_OXiZw>|>xELd1;d=0bKv3DMo#ZVpG$nQPPjVg2CeXxA7997L81m1 z5nV6|FE%}!fPFULs9^e;w0y>AF`+To*wF-fnq@ECpz}5^9ua{9vin^u%GZ}=Baft^ zNh@%nqEnov;|D&nS7->)XQ8)p&)Ul1#K0KymQYGNI(-Zi!;a#Y1?ILXEgazu&6a+bfEOKX5^J*ti{RE0b|=g5JF+gQ^&mTBTMp$($-cbATWb} z;uw2x+tE4F>KyDe1^EvH$SCymYq4YT>1o>=l4uXoG^E-O)xz2k`c9e4;a>i!&tJLl zraIqg2J#E73nN);qFA5|MWWI8al?kwE6L6BFut(CFOdalG_mZgFR?F5K7<0}_nyDT z_x+?N>~zJ|GLm3Gz;n^hSF)!PEf;c8w=Pq+_rZ>P45D|_kfZvYYCHmcL6wz~#aHn? z42#?vC=!8~Gin302`3=K0HP@#$1rOb9MXtvJZjwZ4u)xnWdULqZkgM|)o`O-H2WE4 zJyPh&7}FOXK`7mMzIm41}q%M$@`M`r?$DdpciFeRcU6_%oVtb;1!S?&DnKDlct#+ z5x({(_KQvLG$#bP1>`EAx}e~evG*V;02dO7@#m>BS8FR)Pcf!#1ob(W1mrKcZy>l2 z3mip#Pk03{kI#7rlBI0ltnYytcb=U8It&&*@%YaCksLKbFYR6_Aqj1i3-)v5`1gB) z>083yTS77zdaEl^Q#j0stxrhli#h)!s&@wo2uZML0F`?Mm3s))yM)B0g2W}01jHb? z?UKrizS(S^Ms$7kS4slH0giq?h<>c1KDU#A5WmE$ zj-fttb-rEDI60UCr?71OiU@v|aiAW1| z8Sw+c3M^Da&B8JWJM;JZpSt})CpK;cgvHQpZ0KEVNZ18w$KqiM2ugkkt~V?uTCIoA za21Vih!?5e1W9#-=imJ$jN(xK5JJjHArTQo z@-m@$`jK8jZC`8RNGpm747BhhJr#q;Cs4nVQNNHW-iRtdgc2zqRDe{$jhrh+rY*so zVbF%KiWa3tUJ`HIA^k%tVPRi*hhdlI-Li>*rivOZYMl>my$NjZ30rrfK*fxPs#)h+ zB{y(Pgr|Ph=)|!;t|-vap@!hNek|iyeII2mA5{q z0?G2gL#c&USPhxrszRn-%>6e}pXo@u&U}_0sCrol~_p zVn40oL(6I|DJK*rXzNjOzd`W8=lcW*V!@?3m^GQ+Z%+pnlcxLO8!>m)uZ7onjn&F*rh=%LZ^_RG?&ogLp!~D z3t0k(?)=uqM%Q&I$WWQjx50* z8bUu4pd3@Bp0uKS{zaE?8k-*_X^O662x~8$@|Hp(X15(rob_rwg?-*=-_Hl7V^ zrJ{1;uWJBpLUGYMs@wX3b;5gKWL zi)bjUfU6X;O`&yf=Fnmir|1LgSQ%=Qxj@z)>o}eM;cychc+>)8IM$lggf33s+JHSyBgEQU^LTPhrwa zk!`QIxP`XUegn*}@PU(w6)u6*fz2|Hmo?boE*R35h}zaMlPcV8Tb6ma)TLTv@d||? zlSw*G{aU4YyvVPJ4n0P|EjbfxFokb%fhG;Q{)(zqO%i=-Br&Hb$!G32$6VzwgGmo8Mx;f0vA$K-Pwa3~3G0z{IF>P};Mgq3#S&dqscw}` zqMeciD=k46)S!xV3&Pz8kEgMa>* zMQj`yDbEOYZ@YdUWogR#5^&f)wNyHJ%3q?8wUK3Ug%r5tAF0Ps~#R z&J6`%cjRlzF&I?rip=vt7liCr?R@kNds~lr3d6Yx+)6O?3FA8!e_M_58jbP7g^Qoc zjWKU}De*?h#3b-unx)Jk+B*Yj(mrI+U+K~KBLH(YdKWfcbtimyd34T}sz~yVkM%yX z<1YWzq>j>WJasu2L&TV(&gx~SYKC#@*V(_$Gtu)(tGK%1B%ODF*bHs2+Xylk9;3(C z6hFoSd|b*&KnQ~S9SBkgxAPAeLQ3gFya-j_^sjQdt80{snvFhr1E)vYj08Lu|45N+ zR&P3f&A6sO=c&rTA_*lOaF)n@n3TWpd+wtO)&1#0q@1m(LN%_NXQ~kJ39~}mN6g|C#q+uv*ju8yF!Z)hqJBt`D|blcai`a)3xe))y}x_`Uo zqWW<@z4t%2cBiJ4B|^Y5!u3M^XogWCMv67W?=@?z5@o>sC^Gvs3P7}PjDS<0@fOog zBnWjT7>Qqb9LeftKdMd78J0?Yt8D*HvIuy3rX(#oFq~+DurL+xb^#ByH*0Hw=sxxu{FiY5<@q zJga)6ySUNqCeE2_tkYvBz0`2f&ELJD&(Gh+(?mm5{hjgqj0z?K))1~`re1!R1>D61CJmG6De*wcY@0H{^vLm4 zcMYGAERFLVsa0YThs1>%5_#ZJ_*Lf}vVfs&Hrg_7iUdF3&0tb@10TQ_Rd_*yYh8^W zd|cqlv7>(2_KjNRQjFUyGFFb~Vr@&5;Q-13f+UjiTxsa&9JqWnMJVt`@RrDtvm&GD zIiI{|u*3B+SAVT#@(GNQ(%b0sqnp}j>Ic5lcovddroBw5H)5K?Ek4KGQ?cFJ*BPX+ zZo3wqNtl{BNnL9Xl1yNg3!O_UM zJ|17ovwM#`z3duS&f+U8pLNe0T@M}4!HRc}DFH!JZd7-knBUtYxvB-DZO!BHI-B5B zbImi=r$UpYJ%#}o&l#|-FG&#YnRDltZ}nVj0OvIT#^9>*I>7#923HO*G zSMae(e;R&mFHct)A6$swmR6*H)+)l`m?I&|Uw9t6|LrfQTN_kWnYyk?_D&~Dd@JqS zEZT|Rw8Ztb=cRkGKp%SbinmEK_<<+l0b$x{Ga)s^M|+q6>q4VQ+Lz*ile((f4XWU#`D#W;CWnX&E5BGjrHn}J*TePu79eI9PvK&D&HN^ zzp&T@fxIDf#;QHBekZ(gi@d4I{ng2PprVD(CxmV5HfPdjyQ(S#0^}EHtb* zHdG!V>C0s`jO902PGgO|Ut@UpD5}-ut1>)PJ#iuAwUW#8p2X)-Om;tv$UTy%fEH<=&*x}KfM_odd${+x>$E3D z&F`WcRD>A!e)?D3jz_CV*gmUi`sO&pzl!K;3#NK{kH$-X=FqKlFtX)U#;J2<)hs>~ z?#0F=wq$oS+2iE>t{q^#Izbp{@yri!47`M_Zk+MlKJzXS`274jQTh4l1zx0SZHJ|f z*)u>@Y-esL!x)(=k{B#?B4WJR<$WdZ-N?*_T22-x6BaBT1cv@C1uYoLgq&s&H{B>W z4C;L+IEkhY3Ywf{8zee_`i;Gf^czONFY=k&oDAODT4nE^iW+P>gxPZb+^P#6-Iy$tv>;b%HuEp2^mN#pQncK# zl?Kl7>74z8rtjy}N@@Gs|g{ZNKCcWf?_g9B+a>+Es;BDg(&~YTV z*h3lOn?K~JW#_Lm0Ect&MgwLagu;&J4Qk0w8sjK`-Mk=1oBInMKT6Uc_iT}njnD}( zz2Y1w-DTO_P{n|!zaFh!g;DYbtic?;bR;+zApX4%x)k=f+?=A37GqYVw;&V;=H3@( zZw4TBjA~OBkDBstA-{YSemMa1$W$ox(sFzez;>QK(oGj^fR{S$oMMvID zVIe#)Xz6bS-;6PS424kxTO z#ZHBT>voyMW^Jrp!3goj3x@|%tcR7ht}daK$7a%FWVe`Zr|X90kD-rqHHtchmpNP- z;?hUk3s>Mpl{5_`dVgSd{J_a@x~PY#xK4k)KFL;L@bLHgpPN_ut8J)$W(b3+6mX)_ z+BApXy!%!YKW8x!)8>_tgR{+_#^G3EX_7y@Z_T(TS*^M(1~pT#BfZUk{gQF>HFtft z&NQ#nYO(bIPh%G4biWu>hlnc$r_buhnBHKT-a&fr?EVy{an2evgU60?5xM8DM>S4D zrCXcT+mKZ8!1knB$~HdIL4{&=x z+$X@Ry#~6C6@Qum zwkGRIu>4~5j{K0HzhCgco=&Eyt2*vxU=#EgN8X5Gg1p(cymM)Qz3{RW)}e(;D&sZy z9EjJy`if6S@vlh684W{s<%lgrLOEQB8=z1j}dJRCXIgc}l0Uu`ixL=Le| z0-2`jAeH)!<3KyK%w#ZiPo3!4idCO*p?Lh@tfsIr)1JssG`%fAENjGhkf^@p59;%6 zx#g?Gc;qEIS|uQ_n(%YS6s(wVrke5+R!Cv{RF49f8PnE%Bdc-bCk8Dr6muCedO@3=Sx3$4-z8C_Wl7Pt zWX|*KPQr0nqeTS*d`PSidCvy-3uL>*Zqy@MdB3;mUH3C#d@)E3cXj$nEa(^$7E=%P zMbb2ys4%Tv)n8UY>BTRrfymBS)h^4lC)eL9=MEI_?_n6iH)UYq7v=~iZa+C1^zi6g z9Sz_wrTh+atqQYj^UK}*JJ&G&LOd%IcZ_X~y_RWt)`Y3&hfz))R}AUrv1zAZT&t`rI(H>y``ss$FuF1>!=P_Z%#``Db7i~cPIZueWlozNAG5XY5}()Z84!6 sIGMNdTc(Y)w^h0JIvl&sz<7FDY&TL;5G^xCMdVEAa?#Vt$SnQ zaO5fziUB5;5fH+hwwWnrK_o(9p^m~&9KIl^mP1CmTT{4nX9b_bKTqOf7zjv!1-Q_f zrQt!3Tl{zkcImR!-ImU38$+FL=;`^r=8ub}Iu^4yDe9{08tU9-&CRqavdA^rzSH@Z zcSN^?mA74Cc7YElmdN{)0PuhBS5!DD2c(m`mo*9m+Dk?kRd+@@0C|Du<`>z)Pobxd zt(Gmd&(+-9hPG4wZXl27@7V^47)iu(+(9tXbx&psHS?jAh|LTTG z;DLK84YDd>Ij^Eu!JXhy&;)oYG!3%sC^*)1r=_~xSDDCAHlMy5=GwB?dnk9$e&ze5@BL~>dKL33$SWF%EZJ7nlC4Vuy=t*V zy%KcmB$0}Dsoq191_*1`%_GH8N|I_>?+3Dxa`lSHJ*c}Rr3=8*?0g()FJW~Cj@2Yl z5RlVB%qOT9lC)AK>}*scq*z{0vNbTRsc{Uc6WMK0j?-^C|o0xk*K{?C6uKMAFqaZ7CU?GCWrK zy3e%Q&FAdkR-tIA5hm>~Hxv7ay`-J$a^=8oXFmR>dFGbx+6*{e^R^pcSRq>CooX%? z@Uw;e$r?B7>Q!Dz!_m9*@5AIgs3t1EUZJE&@Q-#>UGux6KwSQoUY>p5wZv%j4UG9l zo4ZksJ0;A+JVE7d+|VXk+1t(=SDcjfz5z*fe&Bm4+2%~`^8qt&hSlf6ha9aMpbdHG zTBrJR^1#R27v_M3u&?s&6rb+txxVa$^77v3&G~2`&-_a^epXJmK&dFeR^^CQ5&0!i zQgGoh%dOH8FR&R6v3rHvuZ=*Bk!DT_I+cDRelR<<+5C%1Zv1vzqpT{C<y!w^EH-i`FF3F1UCdR&IBT;AMR@x$-u9ZltSK%bf#oV_0bF%{|3nqt$r&a+ zzO4b@5}ZyrC7xRj$EXbYfSeme^`)5U47cP_=Zy~LqPx~uWdOK6K-0{^#ycOl;Iiw? zCAx3SZ%tk^)nsAGFkAblgZC}$`0f%vYqH7X8@T$@f&VHY&*~HRs-1q4QCGDhG{~$bWV63fUD)1Gi1* z_6rkwrpLCwiUbx;xxwr{$S;=L z)Wt3wnZbwlp6g0P*}4Z??CmU%7g!J28SkB;=65`a%d-9!G+ES6nfdPOBR;(8d*rWw zOQw$oKlJ&3Rg2U#A-y#tm27~Dkg3SC*XD{2sN@Y1dJf+mo|vU%5cb6-(Jk2W*ej*D~VcN+^AsPw^YtL zU_?H?Ad)c~q-)R)`EJqQJvNW6TnuBeb>;K?WEXG{xAmWiS$+4#X?W>iF}9gc_N!s* z*JWfMS=qI8ab0!U-6uZdV;-&mxrE>eO9SifUZ++ccx^0MYHI$^4gmhjlh(r z1-jnuZ1dZrk6+Oq(aoy@d4P?*wO-Ag-F*clZ(cXe&5FR!wFjvdfsNL;qTr(NvWH~4L=VO!}l`vOV9^_kF*Kpq&(&MQsxa&=C zVC$oHci2C?s%YpZQMwn#BQKa7-tAKQkbaBxW9N8kd*_$~Wj1aUV=FIh@1TKi15wjH z&&M!Uo3;8oe!%T8bJ~xcBgS6N{igk{Z}_RN`kC+>E^<`&ts~p^??I|_%Bl^Ty|9J^ zM)=nB(?7I;)vd0;Z3Y|A-bDF-1MlC!^Ebd9sJ^=d-VD~pQ1HX^5wxbi2Hg@;zekYP z@d8RaNJBsootgX~z?*55W$~|x7^V(>j+U)cj#R zYgH3#W>`)>z#w6S=x)RHE!^M2^DVrH4E8d}a6H}ht?*N4f!p&-i&=j3;n;ZxgQZ=#O3K`p_gZ$e<=;nZ z0u4{9t#N8=>^(#~fi?LbCGdlfiT;0cy^;B5j;?A8A17j1ILRt8o}ekQMgV7viEibb zYAl$5#fvpo=rVQZPy6!fLU)DbXCo|M+c*`CWj41vX}a}_9UV5kQ{xWy;qmAOYI$%- zxy(MN>8t^zHhEE%_TJ$&+v;(Tfe~|_cuh?TJ?pS@#OFV!Hz0c$EuCRl?V56Q8a7>q zUh;wAR(b{a%Zn~;42Ij3+_M$t`178pI($c@8bu9pQ;>2BvTS%JwVJgS0g)liK?&$B(}!BK6&%D~1hr6GaiY3pF9D|13iLB1(ZrNC?*0 zJBhs6XDe?#C+)o()F(vl6aJ7N?tA;Rb*G0(SFY$ycp*7X$-vFgcucKym`dmkQbcHX zor}4nkTu#ix3SajpWpG&9c>W$Fl$Nlf?t3R^*Toe&qK7$s4u|`)H?YA6?^x=uMadhZ-2zOiYQF&Q@Ph z|MrP6-5$s>;+t6mtc4K=QCaT4N5Ep4eg@H@8CXFH>RIdl=rRU8pnd~~*|UR6iEL);XH z2Wn$~cQGFuHV8RV&d_`2jEdL1!Bl$%Ya5p12L(g3i2}%^{jJjRC!BK^Nf_6;1`q4y zqWC8n8tz&Q*Lljc(MlPo(OSW6HzZ2PNlhtVcUgGoJPPx|?f8~q4V;x3Bt!ao=E4b> zrE7V3|FBX^Y6i05C`n64kBvd(tT9nD;n*khz^>Z4%PF*F1nXR)ZJxy|-|Vr9!uh+C5C~irA5EAa+Sp#$Q;FCVrp16d}Z#ME+;~B+8XdMs( z&QRc`ITrFgqS-bWVFpzGh>}6umg=vT5n&s~ths)>jSYG_O{BJ^d)#4{?2|4K0*09NbvO)Tu3X`_`<6=y8+ikxHdJjoHCRbaP0|s6A zp3mTI;rLZr@H7N&E5%k~{ujw#5+dJpw~ja+T5f9g@bN0ZER>>{%r1r<6>GQsQ<0@> zIq^##rfVT8nn^=T%-2-ry=z$X-x61bl2MYnsJ>k*Xx3wCxGNl2vqQgFmecir&011P zw#(&H3LQt^iu*#(jP`s*iZ>9mJ|?dl3{(~?+aI_27``ulHqlhZ1YCIq$5_>f>F5)H6;3GYN258 zl(Rkl!{v>ky`Zbkq|nCLY{hg3vWEbmM@uQJ)v|6evi+u1S)i2zsoSz|OYeIWC1+vR z={Y^#Vh3&C6oxUF(WNH$=Ax~8(CkcKuc)4RH$bT4o?W@KhOisV{cdREv^G`#Hmgc- zX9s)X0bQx6+J}yV3<}C!(TezTMMgd6np&2|M6u4|+vKLDnb%^`dDL)Kec9M}cJ8)k zfrrr|lJw<%B=LEQK{lx{@!V2vPj?^}=ZM>fiT!6)+b;#T(M$}eY+hw1W~Y#8B+H!M zQc^8jYtTDYkF+zfq+v?QH{4k7YgS61bfne8aMWBi)_2HATQ)+fpO*U45TUR@ohri~ z>Ma0qVGSDKs(htQ;MjG**crG$)ZI;ZfZvz#kwpI7fDyADj!$qp6Kb}?sYk7 z+f%B7**c)(^6{?1rmwfR)%m1A^Y z6csm&8CvGf|8s0hAneyIKb`g?wl76hU0ofzBX{C*Dy?CuyiT%ARX(z2U3EFm%uK9x zAx-_|?^1-;mu#88nS1Mb6HBIm(rA0S-NE3NW2h8O*dR-*xmqcK%8S@{S_ZwesNSKz zPs6VyDw2jG1-kN6F*Xq)L{LoRxyYE5H(;8GQkx!r*6!Ub?8NuQ*NkOyV&YtJWW`#` zlRv{Cys=UJvE+FXUTt-T_cPSYV^)|yP>jn=RL#sY!^ma9BGsVMv%;8^P~@BUF}(6S z0YuWP(OvZhuAu6=-ys`|oN+hV*CNpcQHrvm>g6uaG5#fKzRj&wi}{s07NEI%a!@4o zw$It=%i~IJI%+nhX66c(s~mvn86|5Y$yHEE&#T>NcUMp~a-BAO!|>D6^20sM8vQ+-zg%J;lHX^bgev?AoY z@$xkKe?J|??FkNh@4%zrnt4;pj{eMs$?V_D!DY{;8$2l<=jmaNOnTND2pbrrU!*5E z*ufh3Urqp43)+R-KsUHrrVc{}1p1dS|KTxMa;b<>N9M~8?nO^sD|XK&$tw3h~J z7J;Wb?Yui*_{oD#9XzaY2?7l1&-as0kHGxeFEan}KBupDChaE78;P<&0A9Mmobp%f zOP_GVfBWao5Eq+tr<=4;J z2-v^#eev<}y6k&@?Mk=E|0x&uy=JN8qkl^~xL>ZLSRP-^=HJ=k05AKmC_ooQTfp#9 z&62@+z||d&UCVzDzsK_M??vm1`2rXIxL+BSyropy;;b=&^libZ9L7gHhwz29lix9Fvfr68}yx}$$VzkzUNN&bpg^J6xw`O zUKn+KMgrd6)St^h*zV13FN74EN=xXh`Ip5_Va~^tqZF^D>rruJ7{#bn zOu2W^$1qS?=-vZhN?{T!qHQ&yZWk2Isa7XOwPOhA5VS=z0xqIt1*GAlU`ED^p*t1r zNQdI;MRJ=g&t9~j9g-*@DF*FK!|4VW$&HyyB_LW_S1_$V-6(`jHQnI`7Ri-;YHOWE zsZ^_c3+JtqjWVetVvJafBr+ImsKre?sdv`OV}yMmrZ8G%$Xs!Zxc_4dVPe5(z?cV% zrgP*^UFCY!B=#|ZgE4k7p<#89iXe)3pZNTvP;B07^7MK>}SEFS{ z%-*h)3mH=taOviox&g;!a_}a<2Mv&=!F&}#j6}&CnOQaZoZ~QN4v54;o$@LD8C+o} z%E?@_+#Ss&Y`WMg$n-e|QvwvsE?_5&n3`7wX4rZkFPk5KC{!u`axleAfH&jcDQcD2{Ks5#cx*#{V(2uAYhym~^a4WXlfvjN_dvln(sdI)k zSi1Qv>f7xc0Pg$~dUJ_#|1M>eSrsQXv7%dRWR=ZKwiAspT~U zVx5!xA^>#`NqYVhVSRvlJztQAOw0$SSwy87T9AiV?2XS-qSW%~H!ueSz(1#-U)b}b zc~ZIAMsOpgu!o>|rNr_Y#Lhkn$Ts(?(tIK0BNXM%YRM=&-wU@Mt=ya~=;IUx{4uAW zROpA(?4sO^Co~w1g9d1TZ1#=wtpXoWc*VW)Y_j05~ZBQsr-F5%XcStdpMShg-)}ZsrJbSBr9I zv&>d${w6xd0MN`aD9mp|t!IDV3j`ix0Ho(?CFi%na7SKFIUA*rUr~h!$ZbW#9NSAp z=`x$Pwwo0{V+mA-?t&ZzC~{ILOqZe}0x(c<{=9@->wvFx-5$r{l1Y@(l6!_46!P56 zDka0{UQxhuwn(EfNKLLLiBHL+*BjDSuuG!XDm3jP2PM;$J1-#hYKMpd_#Q_KrNBOg z*rfV*Dkxx|9hQpcJR&1v2yn2>D;d!p!P1+54klTpBY=I8XI1NtC}$=#d;?0^LZ)Nv4y8sud>Nxu=8sy5!aq6B8?BD3TOV%uGYf3sC|}vLCp^ z0tV_4;NuM!he%F72l?M-)^V_%5;Y*uY}$5p=ZQzIc+c{jhdzi5?&qC{x~{BsmEt_t zUj$y1x%N%k{66rshHBDEc66T)cIIBkTvdfiqEK1^mzW<$;Ya=om80Colus>G7`AF% zA%I4eeGHLsX`g~*omiE=gbCSP07lDGX|_?>L%OVqMJd|oKljU&f~pXTw@rE2ik|WD z%>Go`^vwCx-1kpDj%T%_-4sh~0c{^D(lJ68(N%52{ZI~N<>=UF3tc1&R2`=#;|U`=h!d(IMEd>$6=;X;#AGG!pu-`gpbX|pc1)yP3_IhNizegMlrZf zRWQKDQTNz4*(8bi3yK7UO)pnNAvP8mOBFEkMbg(I+KN%X-O0nHNBxzgl3hH~lZo&# z(6RK-qCvo_`)0L?9_;enBE^ESF6TWeMQ{{7+b5OyE*g)tP>RUNAQ8p#IN{AG88S{O zcp@9qyLEWYXv`)9MKA_lfb3np$g**8++Xk_A;6`!%=El!h5bBIN2?E#arBQ%p+n@> zNUL;zzj`WQ2ELG9;^DGR!0hdrY=f$OdZzJNubIRWgEeP_c!poq<5SK0#OOvP$K_-X zD2G}RhA5XQuz%jDRP-*cojb9Pt{Q!G{mPb$HGXn;yv;;H*J`||^%8kaS!=R3l9?5t ze5tagj6>ohn?~}}G=6-Ps)J*UFu6#v)s+NKw5;E>{Slkq!0r}OeOqFr)~bVGzO^w1 z?oV+4&tM=$JfaR?!O}MI+kvlAw3lsAzd9g6g4zpHzV)D{!%d05zy3zVZ?_M@Z7N+&N;-^7s$5M>I(+w2IqrE?3zY__ zAsVHJnP^;h%Pt;pT~ENhs#m*BZDMQ#!Z3W(%(oqXF|3ZSiK#)e8E=DeHM#`*bkG^{ z<|Ov_qaAaT=(|pX4bD}~pv}43>ph`aOifV5JQKDzldW}9@nu97+Vf}p%7``4a5yAv zsAVCfT{{b9MFxF8%1T(5RW72c6xM0$7l}QQT!fAU>Z$)C$@%znkQucM0BVkoS_V3; zgpNQnts0R#YAWm)x)8T zV)ZGOU1R081{#V-Q>pVUOw$;;SPbzLNdwWyMX?k~9nr`Xv6O0t$5VabH{Fe)f%)T8 z$4v}gUq@aY0WK~x!%N))w`wK`_Ab(99VZM_p1X)#uOTk4p;}&J55fk@_|0hfTyzCuDR_Hox_)WOzHVoPAzJ8=PBFY%9iyh8@;Yu!Q|H0w(%}6<| z{XS6U5lqB5x@$Ej$zMfLP?@Gh+^Pa5uuAUI1u05&^kU?{Fej9Igi_45f2q{Y^WJDj zox3fpUKBTIJW7wh@-NkrRxUop;IoUEwm+Uh<(tYe_m>X8@P zxj0-Rq^tvSYV8~xz7bMh_~ek5jaCqxa4t1WjvM647;$uE1PYCD8Q zDkq%b>)FQw7DKt=V^1!Sy?*KZTC8K}@>;$nYCk_&F8&#~S0dya!$NNEQ!MT(%ASqZ z#797Rz*`?TlkXsTW>*##6JO<(=*s-00WK^v6T_P!{*f*oQ%U>mO8q23ELeYtCU~0i zx_>**i(0Hl6Ya%H{Ja=t=M_zNNVZCo;nRrVm50D37M24i=@qIUeGZmlW!@YmmGfu( zcZRbq*EE^nGm$QsX%E60$oByDap78wP+fZX4snb-B&{)YmP5rYm1vVYCgM9@Ji&H( z3y{B`%mKHGjaBxM&~b5K%;He3JuDE6iPLN&Nx>eo(kR`H>XyeBrX-%%ZN<=axU>Jz zhnDwCHoPLR6$h(2?@`h$Zb@&}_ja*y?2uN%*Iy;Vu@I4#Mxmp#G3pZ2WJmET?iaPg z_~0PAoc87>7rOf!OsA4aMyEkB$ zH8oQ5v$b`UDzdAd$FHW8V495b5)?pxd;E05*}NwA?w4>KlzH%Fd;lX7!Ss$Kd=Tzi zg!ik(@`kY_VF?5Ef?D@>GMiCk39NVwV8w9bDS2QDGPz994KAhr@uXufxZq*RKznu1j9btPOTUZWB1t$hQVk6o$ z)mh2mv>4&nh(*|=y!D|&T$NojM;=zPV}~-#0k&Xa9LQb(o|vYIzfL#KAZzmEl;U;J z#oeg2Ab+oQfzmathoWbQ+ro8ep}pWJZtRBd%0#%_J9j#yZ}LPpEg%OfzQ%sY(xHS- zbqE~_Vejf;n~Wm}f1gY=#wC-CP9~t6N@gQ)DTU>biO8ps z%qEkRjU}jVaO@kG^g0oz zFH0K+-CKTP>W|q#!tW2+`cK03#hZ}=xG?uH{~YMOEA$jAJ`*&LVcuCF*AVDE9rVat>yCqoB{RBbL{Nx1*W^U$+3J&8_JjK|4d0N#uECHNa|Kf zU9q^Yq+>HwB0PUbw2QMJ!m}KD<2e6Dcu7K7BNefSDDL*~)xx~{f#aNv@KTA;fs^2D z(?n5>@(=Fe|r{V&Ro{Zn61XRCMF-hIyxoPta=-o-ra9LMCP`Ne zbZrj|m^Zp=D!ho*8IHWfBe_~DpsRe!-w1=1-+VawFqnG(l{H?eWBUaLd1GR?w;LRa z$(7gx7d)A5+!W!ds9RVM#_iHP6)mR~X`3fggK3}!0&7f-MLPNW?_sITa_vUF?~lik z@uU{qMP4`NcJ@$SGre|aE<*AyGT*Ezn)t?aQp&MTFqG|_{oFT5k)r#0ZqsUJ{MhgXo;HuNbWKcx-%jy)GrFsPd!mz zFqi=g9nmcz2Z6pd$ftuX?YLwOu$BH~}<{^gK7kqVujY*Er^JMfxHTTdpUc6%xv;-9;B^nOQ!GjwZeCEwfFDXHR zE7H!o4yL?6P~0yXeNdq>qe080p|J$P^x_b|_+!Hxv0|%pvzn12h_%4?#szPQn;~fJ z!^E-ZBn-({5+SJ;&oB(RNEx&^nVP#zt~R+!1?}knFjCjg{9S=37K+J)hS6J!9rTfv zJAQ4+nAxWt0TsM68I0Kf{lW!PB@Ew8ZC1mc!8lAc04yQh*Ch^7Bti?l5rYX%K*eF9 z5y2c!xHlccCg$31duL~W*CG{3`}U_4q%&BkjOmD4r6eNJ@Cr}*pfEn<>X(RgSEJf# z;x4PslBAOwrS%;$Lp{=Abm=5@X(Z@@H^umroR5j0`>7nX_)fG0PF46$$#L!Q_)c}8 zaVw`8v9z_9p>coJ!OM^kr^Wf^|1Qti5HMkJ89wJF-pPAZh!4egX_=Q_a>r(%^W7zj zS7V{#iPGb-k@}a@)*QK!?z0eknlk=!O~#x@q4ZX+UPFmf5Tjva5F5+6_Yr?d@fhz`k-?pF!IBzks2rs1w zFPOwf{ycEQM-fG0L(HVcd?>*db(o>uQkZw{ICuUycS<;S4uKf5uv)*j`gs2E?}fY; zqn~qAE|%peetmabnQ0>QZZnNj+!lEWm`Ov%)u_U&la^?+#fI?2hBktBYijp|c#lU% z<(OSFA*Di=WDV6?%$|o&@zLDEhv*qaz{YxMN%GI*p0n z{!{A%tL?_|rzgEaL+t|v!%W{I@6!p}{CVD?A-YLP>Q760g@xLOj@pNX8i0a|91#I6 zHY7s2A5XfkAP7_QR!?;}OS;cUx{tb+AR$H)A00s{I+RRmOp6jcs~>FDd{^tm(22q0 zHJa13zA=JHeywa9eckO+_<-%Z!DBGD_7;4#-P-!P4;ei=va#;-*;&)nW5O`ujGoWI zplax3E1H+ww5PXsgjKE2+ObVjyAV88oq3g}zowca;Ms zi2@G?N6cIZ#95^KG4hiNse0l>keDNpM)EW+_ayz0^X_p`Ci(P>?C(I*DZODQb(Q$$ zT5QI-C6b-0&>V%2zoEbWW!>4ZZJb*gk6-BAU^Wt&L4dEYZKrv);E{6{gMy?rlVgH1 zBGimshVzx|-KK>zm^D;3PqJ>Ci){y-(o&d%g^z=T#am7smmgoQi;ws0`RUaeAn)JO z{1zW{RZC&^p|8;qqZ{5G0phbu?7-*9-OJe1XLRSACS}x_C3pd+ay7pO0Q5D15G0&fSPeSge)D6-;3Wsbi*h3qXBi>QQFu z?w@J(d_VH*eX1^JA+`quSP2|FD$fo(meIem8tn&19;-AIe4zPCfMY;FcKlG9m+Nle z{V$4HezUurVRkQhaHe>N{@!WcQXlrFjVxZC_Hlv9372Q4hr#N1A?|pj<`;~^ov>>G z-VQ(3`hmFj#<*jf!>o^o<&-zue=O||_u0uWj)S6#X%GquT8?<30q-v`MhB3eZEb|U%K!yTxXGNXK*B9(PQ zq<8TCY&+CX7@7Ei-4vm-jxCY=J-03UQBQF?hW!+V!SEw&>o4TAt7O9if>i;?4FgTr z!GBP%b%FvqD@WcBkZ~(b%>KrBj=by}qlpqq-~Zj4@&-C}0`W$li7_b&gv2AjgSuBl zklSKp?77mMqg^0d%xqnV;?*kYXDAob{E}UPc=oqy8*Sqh$rD8XUL8M^ z>PJ_PI)}aHo1dN1MV2<6XVn}~ui~}!mAtb2J6m^}bvida@=pqPEB3Z??i`lt0l9;K(kG%NopneZo1KAA zxY(B-29x}vtGCDHq3(uZ+xQh<4x60Fy%U?)c)_wb1(P@Bxs0!z)Y0U{Y=fc(q6N)~ z-u}M}?00tJFD$uVxOZWnLbP9fHSZE|cL5rLUzE{#l;NN4h}iaWA7x!16=@z6=VBc@ zOK;_M>lOwA7!N+e+*b4f%(Y8Qs1pZ{$Ip{CbaA==67xqVC35dif7p$_d0V`uG^mi} z`~6FC0`?zHw!Y%>Q4JoZIw_OH=SegH_LKH`W8?-kOPMZxx?X({U9YWkQ+xGxX8t|C zd_jYNe0_O>7Hinp{t&~+qerGbQrn)@R!kI543|9n{dcp+<;lL|&Cr9)@?`8QDV{c4_ha;?3rsw zk%vTDRfV>xyq3HvOsbd~E`TlQ-fFqxU!F%@?IZbhj`nf3ym45o{I;{oJahm(=dIDN{_Q<;2YN7C zin_fjQ;J$~mb(@Ecn2!)Pj!;=kmibuFXImzPdq&M=XZYcUNqotZC3qa!UM_t zx|=0NaT^6E4tA^CJ$XnO%nf#M;R9X>3vaos9IqrAOG^GZrgMv|({3PlFf222007;H z25Ijs(s6?(tBGPjtHF=c*H1n-)sh9Fh7|kdjp7IDWbzTWBWV}VT8})R`(H$x2* zy_vDGn7mJ0Y{SWo$@~y&w51ngG&71}g|j&MOEuX%qBdR>a#x^|W016S&Q^P=Kw$}L z)SUnFF=3d`&BISbjaX?LV47t#yOE#Q^^`9OM<$VK&g%2OfSAA>mc946>E>78klx>tB{5oT#V z6nU#lc!(Yq43WkJxiiS#`mVYX=J$f6?D|Am!AYpEeJoSP&Vn`fCbn?@H0rLxE~%Qd zR)PhIF%-!RQRNjr1f6N;>SoZy5v+uiN>;PGe^94rED0H*Ox_g}#~-5o`#(57-r~rN zFjVS9>ab1Dr(MXqKgLn@B?^V($8IknGi+9oo9c;*WL2qyqoYa7e-Ui~vDCfQ^ETan zinntG*@J)s1^hw#Ox@ysPCOCohlFP@az)^B@43 zPupH({y|R4pl>#$WT4~*$4901r%P8;IB}8~$Ua3&%iU_UlFEM=Hwf=~ErP&|JCzq` zfVgq86+0ngIJ4lI8YrC>b(xsflAa*S&c>@SfA&mbcCmc0EQoEX7M_i(hM{XJcbHC~ z#)d6JhiFm(ZB+Pbk92MWjwY(sydK7=VL1R$?XQXRDFnp=cd+u0>MCqt`Q$K$?I^Og z*^oYri&WTDk=xZWIC5m{+D3PVeQDrzP40_+YB1L8!W0*VSIJYV9(K!Dkvgh(f2{?@ z=5Fl4n|rR7)qNT#sQiu&LnzUfX2Nx(eM+5wBwxDiuk_F+=mYa7bN^_j^xAbr!vIc*`h5VQgEJ`@)_YPXPOd``kI_$|<=KQARV4192ZiQwVX9{U(~oJi=Ao%WV< q>Fp6He;wvv72yVviCG?rSCSQFe-TF;v;34+P@h55{3QDCaQc5IEe8Go literal 16420 zcmY+r18^nZ6DS-fn`DEHoosB|wl}tIZ=7tfv2EMNjj`d4ZQH!T%kTeQeN|sgHRkj= zJu?SA)7@uK!N9<(5x~E&%hw_DMb*umU924JiJ4g$m>8IeMck}xO^I1pS%{f97@1iZ zS(unviB-*<-K|W_h?R^?Y>1hORb_}Z%#4Y}O|4wNT1rSke5nBg3+MhH0UJmDzm!bq z|58uzbKh@S25P=n@^v-gRW!RS0dT**rT-&Kyl?*fnVwEY+W05^8){*6qG^B>ix6gD z1yu8bwQqzD*2%5@R-><)4LEckv=W`YT{DBYP5CNKURz!P6@=H;mDVNq)A~WyT`qaz zM0f7XH2;$apy%Li>Wc1Z-R|?_9YoZ}*!O7pwir``Z}*)bW_}=V_>F4E^9^a>isTvq z4ljQ+sr9{955yay8&S_pw`(d#;@bV~{_~jP%t? zKW`wiJ#pXdn1FS2cHPu{F=yD_R+@E^yM?^}RVvuvPb8_qJZfCx#AvzY;KzCyJX|ZU zOF!t2f%Y`W(K8Girh@2bYu7Ri84Zhz%tmO*2lULl>GgT+)xh*MQ@$-585p$FnOX;U zpFt<`QVy;PRcOEg!nA(h+4uklg#=&@T`KKuZT{CvXfN>puSSTMu#LZ+Uz%`82dQ3! ziM`&%Io@T9>`J5SzCaJlm=g2*1VOSplEcNGJ8}wITT`z|{h-iKj!c;FHfiBQ%}*>; zx7LI>lU5<=f=eMq=`Muh`mozu%cX+-PHiNkWk1NCb3z4S=1-MmIcf%vBMR0s#j&|! zvW4eB^}9_Em1=sk3=g-Tf|FaSGDx;3syB)2+Rr=@GN>8u#En`d>W}XMtAM?Ey#Bg+fX3D_zyirQGQEpTsnu;2PzqYp)HtPbw zkLt*1oQX{LtQH&D1h$)vrYq5!&kT2OnFKUU*PbnVe-=p}R;3)AXE0T ze>1jw%~Uk#m)AiU_tduo2%}cK+cW%jgZ1mk_H@T&%@N-1k51_&1L>>+mIt1l8K#vQ zokkvWl`wn1zYby;uS+r&zO$p~407kq*TcE;U88`Rdzw2kia{`SBLtf2Qy-mSPw1^C zP#l>S1kM68X*1_b6;XYN-d4K19;Nh(u5~ku$fd^7X*3P;vu4N3VlKTZwC>rE zBpIL!+K{sve2jat`VMiY{*q-pS~J_cLSSO#Eu~I*SMO--&$W`z8LimPRP;LZsnLAw zxLvqeNUqgOck^+36}cl*cvD;lZw@a7-xDhBR3bm6k~>K z|CW>7wSSQn`{K}Vq>#%8`Ml!yDw)w7NZQ%{45ZINld|(1OGpJffORawyGOxq#S*#i zN&2?t%3#pKLGN3hpN?L?uJW-*TW~+jZDXTq0hUTH=j8V4mh9-(Jk(r8Uvt^gmI7*j zPDi*9J$aV0kSdWf_yUbDP?RbGlU&B2OILsH)-XM_3bQifm8*P{>v5#@SZmR{MbA~s zVYd(%7*1#c71r6xrZ>Fz2bMq&)ziN^WiYlV;|-50aYV)M*kY54t};o4HX9+r*>y%+ zG^3tmMlsH^;}{fKumGe+Ont!psH0~`)pJiGZJ%)rOxLELe`X3EA$IeNx}U+4w-&dP z;yb!RO&``!F)+9WoE3C?7c6rv9XE1g)|W{;zH&9+7oOngZ#eWyG zj<6+2pl+~|Dj9VrN&kr#Vj5wKnn0b$eZ6O1#b|Gei+gg`3Mpi&`KdxnpAgmba0ZiN zpKBdf&6*Is180|AA1J>zrH^Sw(pPA_8x6$~HLr;B7h^XMAbdRh6Z^kj<{e&Xku0=W zx#wyVkua^|Hf^p<5^tdz!dNLn*D%dg(T$ImaT0H#`6{SF*AVKYDL)()mN<#PHx`>u zaoed>J3kq9eH!J^88gj?oAGU<64@$o7pZYjbhqd}$OeryiOju+#GapTQP-v zI~KmUGe3DA-4SCEkD*AQc4mr~i#Pde3h(&mLf{`dcY;(&KwwcBwe#sucJV1)sJRw9 zC(;z-2)sIU)peX^;|Sg`CApUeKxrK_S?ac=fHl6%JB_Y$-z!*43=BXv=@nw&k7jjntc8_ zz_u3|H5D>~5bUCf3TODHv3G{7qhmaz>WNwcCxS~~;dXX5imzfpx{9_w(mJ@@KxxhpCR zZw&US`D{kllaEpI^`Yy^nxqo3PEECNcdj1lE^=6StYwX_9qP_-SSYS#(SC6l$S!j_}T?U(jnl=Bs?IUHP0y1uGAm5w_j;Tq_vy5q#HaExH1-j-ouDUjWh=Oyo7GMtbZyL73F$k_ zPeG$)+H)lQ{~O_O4%E&%QW=!)hC8t`JUb67$#O(4qbAw1UoEX|g}-33Y*NZ>9lZW4 zm8Vx;*@TEy!2xo}en|(vq-^Iuk}v3Qsgl9FMgERGrg$Zd1uztgnRz4diot*nVaL&e9!E8E0a}0BsK)&&1h>Wy%6f)k~bvviX zef%gQ-y%;PXr#nlZ5-a!dd;mb%Rl{P`FoageNB&}5N~bMpV#i?zQm{W3*YS8GctjM?R~D?oLUgL|8B#6l)(4tr7Sv^xzSOI$GA*S z77@ogDML7r9nFh57~2m~QYMHA1QoN^DY|J_svZ%n3@T@oD;qOgQT0ychLnYJciTU+3QT`0loz)AbbB^Q;Wlp8QgB zPV9mgb8IyyX>4^%AZkth?*zRo0W7^Mek=m>=9Z#Wd%t{zagHal&=uorqeX0=X8x$# zApY!1nlk`?56cgOEvd-I`PSK=06;qC&BH}gIC5mu#AkN=!y1lOkEaTI(R+O?daGBM znga}S#4NlTfn81KA zkY4Y=JHz&lAJ(;F4}xdg7Bt`b6@>nUM;p%?*R4}u>)6R-m5A}cgySV@)sJamO$Qa9 zG}SC#h=HLnwRrSgdX%t8WCUz#0UbmnEFw&RiciZm9)my?v-Hi%PlvYWItI0fr>SwG zLF^h*N%^rwDNZ$ zYB(EknZVX>bslr1al1Fe54F|3CaFVMF2B?Q*SvSN7U68&xX=&OmuyjW^rXdYn|mUc zPcI9@t1l5EmQT}lP<8mJo9YoMZmg5yB2>5QGQObV3p&1FOm+Ko74#Ql2r5#CM5McK zX$)$pJ^}yoyA;wBOz+MnSlcR|y)76%=0rt+(M(mZ(asm#&`mAdK;p`dtvLSEk4&|! zsK8s%s-^nP#b-|tK`;~zPoi0a0wbcu<%QrHzKs}N8@0SJCW4^>&g!ax{#y&ZgBQM= z4{GulWeMV}w_x;n#D&)FTOCpjtVqta3AoPs5l+ywPdKN(xMvq7RCPaN4TDCg)Krx| zMv%HYl=!!eYWo6YRQa}!Fiv!aVpwi*SJJL6VlnqiKsO4E>OAo@@Thy&shw*_cye<2 z$Nc86Xo(0U>nvL#o5#y#W~Lv9?iu}@_#r&v8^*X0rIwqxI1vZ3_9At|E5gy6y}>&C zgxgikxX@^L;*}tD5kw6nZbtO+BPr23{ON0oNffHgB2P67xHJv8DI|Cm4hHwNwNjCN z8n(+QB;iOnf(s&qq1%-p6uR!v@p}@b#m3*hns8YMiHu+f!U#LJevv3`u9CE)1XXrU zHnQP{-;qvNi!r)|)un8g=jDtCti|t-ccB|dh6*!RymlJA;j#u$cXXbiq|hOW-olNy z?+BFPn6L9=s9*%fQHOdZxeBnK_a(k6EyEe1Fe3Jw$lYoMqaY2#q_z*8 zQHtO+l;VhB-^)hrgJO-j_B$iLP-FsI*hdbQcW`qdtr7bVV{e*|O7gpVGpWkvvZj^D z#Y`!LQoCWQiH-O$@ zn2k%Vr>-!y>56+MFpu*_do+3OwOPJ=?}?$=&LV@5Wy&4@&)58%shVVy;BMN^>Epqm z_?4t@)YPWI8NOj87a7AlrEFqjq%J{gVUpVJbV{1UC2&*j++w;2&1hA0iW=KstMj#@@&Iwg8(d+e#8igX=4 zyw>;uHT|1dB9!B`ElI7BO_ii6wPVPlb$@9ct!3M(e$cLkeJX_rE(&vo@irGTKX_nz z#sag#X=@N(&#w=*l#GsWkB#-p>3u1F2xx_Ae@JfQ@kwi2Zb4pucL-C}d6ghTYHr{> zup0LKa}5kfeR)C|yy09ykj^7sKCo{ur35Hz)gx zeH(t1A|QErAgs{KX$Ou;BRx`GI4?sv3C{PsjGGM0r%|(w^VhHrugq0zu@)NOt-#}V z1$m|&BtSRMwHcrl=uZJ@DisGC*Wus(FM)~5+Q)B>*3Ie3(jb8_}kZ?qfrxBgzdKR6Q|p5pw=3_4&elwhU!`2yy`{k*xG4i@QY zU6JxI$?dVdC5V5Zj(CxryzOt z3a6jtZk`payr84y1El5Ly2{PgIdt^X_PvqvkIs_MRDjhR@R`JJRdkLB-+GVO1Xr;B z<|as%an&uKN6wR>cy3Z)0&;{z=-!==Hm7GSQsIV|nmDZsI@J`&Y=2B{GiE?Nz;mhaW<30;aMmByHPvn; z)Xz9;^E5sSNnGQhaKFfCdut+CE2ZG=P&b37P;|#jYs=8;Yph}&Nz_}c*gKiJyM_m! zCzqyI+~f$H_G}A{QKU2*JRv*-t$Xp4dKWMqAPC;uW+`HRP{vr?rDDK-OOfJZbO`T) zOg=2L*pr7*p*9Jb?mOae60Pt%>}HeX+sc_noz9B1Sc!CfS^u3gHEOj&wkqu+QN83A zDNC^XqtP>KqgPpBh6i+R7?xZ72SN=yOhZ_ye6u&b0o7cw=M1qPO8}_YapVG(=vTp? zOP&pE*leW_nfctca$5rfs$|ccm`h1_LrW(J%o@aOY38xADc`koHppgbB0kKw(MF}b z6L}`;IPXik?-u`Z-Xkb}g*gBB&nQ+uOG&{0_nA;ZskgI^$5KRbWs2QOgSGLv7aHvp zZ>`Om*ilLjec~EL%Pmdn^5vO7$Uqf(;VxmXh2DZ@N2gV{WxpYtS3!Z$Yn@cxpKOKZ zBhoX@gUvT6ZoXg3tDp+jZj)1!^xs0%YXnQylcU?_8x?P@>zGa25XGL;lB?4 z_?x&Nc^t~E30G@m#Ssj%boKjZRM+(CUMxMwnJ0&M8{#W&UULh0{nI>U6=8gzoFPOn zigs24pG83iXJf-%wM+9oRS4EnDj%wuWqUN<)QuZm6&WH8h_IJq)?Hm7eJuMxe5k=X^QeEMVYhwF$&VV9T5U1 z#l@|dS-u`Qng40GL{@VezEw5QoJbn4sYpE;%VFrbF>BvYGkd)G$K%Prk$IcD`X{%C z;I$h1}Z2W0u&7S-0xx$torDcA_i|XiQb;R{lWRa1bjQX>j{`-s;7M`0| zLyc#Ja%rO_+Bo%r0=j29B?#cpV3(-&Ua(_A$g`j7RofL`sL z@uF(Ha_*?Ml&hz1v8-06lreX8wb_c}v`xWW4Mz*c%f+|-YL(s~8?X}hk5@m@^bHko z11mn88E%w5vTA#qWP;lh?rCK#!inDU{Si!F$I7_i@3)=65`H#cGDs4P8RAcQT8jOy z)-Hc5PQ|IlU9+)vV{U`&iH@!gmie3JOvU7=XO$r{W`|sd{F!#M-`9so~bMcvEmAq0?I6Usgb^?cr|K9l8%t)_3lZ8}rN<4J`4~(EhmGzI zTF{XDS`A=jPe<3pLQmgoV{t`miSYC?y83G%mhAPj0WV2Q_AjdknTlqD=(bj^gohcm zQ%i1k9SjaNCwgs4I!SsW(@56XHk9ZLv!Ftc581%}WO^z&Tes9o8eKs^>AU+6w6^mzfA=rUG8%{`DW3ZzrIz2a2 zrTtV;u5ZJ6YAV(%>TRYEk-yi6NbTpz>-5OgU9DBFMj=OH(&v0igP;6|mtC{LfIr?) z$y+H0$<}gf2OB`{quNyVYXu|qFajdZO6hW^{Q*8Exr#lExmz~WDbvpmy?aEtZz1Up zZyxgH{_^kHzEZDCNj+U3nLhl2T4^85HwOBCiT-L>X{q( zR8V{wzlyyX%B_8yo8&xjm=+WOMZev?rgMML7eAQneRa|sA&6{YmVW&|)Rb9wX>M zgk&Y7$Yc)fCW1L(I^~4M{+or&c_ z9dtO69Q>r=-rjckuQn?<1-&e^PsCISFAqud{$A-Ci=Zu;esDqvmza)S| zPiQ43R&6O0G3jOR9P?A0Db}@u13D|E?Ql+%B@`9i%VzqF=sirEgw-$L^687!2`5RP z_v-ZbdU!k`3E9f;Lbf>oS6-=Tbd0>LEd|!=o!pKF2O1b@M9?7E%31ie|204WD@r14BR{bGC*BqBiX@PUO8Iw3VU~o zWah@GLcWo1}r87r-gVi)sATm%R6K(N3@? zK_|;K;Qf;$@~rI)h+H@4v|f7f>rLk?ydaj;79N<4)|4eBs+e~ zCGI98puj#5M!2&tzZ<2AlAGfbii7A9cnN#)7wN(xFMAsZKv+e?U!4{PRTMt813~g( z?msO*4}pGYO}zN4Cwab;`Pne5O9ZRsdGDQ~-bj{Pj+UhXk3>ipD|s6yKn0i!L4sB3 zyp3bvV&EfcSPM*3EH|6a5Ow!Tel^U6dRR)(qjcD#50Jsl(gEtiIqVSz7~^ufr$0ZE zNU-`O%sZCo0q*p;j~d1f#JG(C0xk=5(B*Eqgl=qEZ0!BlhH5sqq`d4L5Vr^V)p zSRIAdZ8R66Foo3ADkX>frY43LL4eEhJ$zR-d|_M)f&P;lfMyY!5@ZCOKkahSHh}Rv zNVaXx%&S}0vvyoXiPr$`sjUQScdwHH0wLb3)?oW*jR&fMBWj2}LL|hCK74Hc{HFOXgqZ{eC|Nf@M?&&ur4HjNRXHsnKw z)um6Ib=80_qjSvQD9mH9^4xE$ZznultC!MWrk^&8X^IXP0!PD^CxS*hN>z7l8%vd= zw(foZ-9O28@W8eRI&g6R>Uxqe8XNQ|?Xg69>H8VeQzO}R!24cXCCT@=?%!EVc(du? zbJ!?82%?h}Y`v`9Dt<^mp$b~Y4XV<)hqQYcKq?;~{1GdIOId5HO0}HvJN|)%)+wS! z)D|{BaV>hTEsjPvCIh4SscnIY_M%v6C1l)XuZE!Uhif#@Co?lSjwdNg7f#oI2VO(^ zUBPdp5AUtO(NShGny8j93H6N-L2OyuuV38S){CBFmp{z{3l$nOGk{g9-b{qqYZ47U z<<&t5opWP3w3~p{NoKMM{SPMGh%~FQCOjwD7eAcZe<2(qHJp&N%raM7h|=k})ho?O zg0q`V^U5mH7sE}qoZ>f;O(hV*(1_~4{qxI!S*|!3uhg3r^Xn7hiR`3QiirQ6K3#QR zuQAqxQ@ACr{jTVHDfH`lRIGs`>rnTIY0$g_F4?Rj>vMu(Q-oX5(Se-{=czu3dZob+;A{a#&1ywjKVI;H) z6KHR94cgPK#eAnC4!l_1xhj6EboArZH_*o1fpkSMP+#K9=+Me=?#`d8St*lstTj@CJytDUqw{^PgZ z9szRV3nuxFEZo%L(z9Xy5ZGQWf`u(fwY;ps7y=mI;n1JOlBr_Gp-l?cjz0{kbra;S zoqrB+gss_G4(d^wobns9wkW6#Id z-+#yzR@c8d)w---;m4YikiKx5kJv(~9>%>}%EF@6SJ(d|Xkoag)*=!AXtC46S#Vkm zn0LaoI`vFV8L^=`FI=?4-{6}+Q-PH&7v-)v36wj)&L}4mW$iPyq{v~>PPIY`H7^(g z${E(XR#KKQ%pYvuQSwdUOyH8wSli?L3!j#f_$|Q_m>Dm3Y)*#Oh4asoiWCQS#`Dja z*Q%#mmRj;L!pN)o6S55yX|W;^Q^c!W+>QJ9mND8EyDj#1_A>$U_QtGrH3fZjg-ZrX zMn=k7D$1ue)0B?sQ>qgxLf&R_sh8CsMLu@lQ~)hd)CM|1NwxICV@oN->8r+e_XZ6f z#*&NZtTKz(R-L`B=ER~j!e-Trc@3d9QoHW1Nc5q9TCvjNC9HLo$^NERouJC(v4o^w zqywT7%EzhFTcQ%E$En2icH5V;9@Sd@LXz4hatnTsii$x@x3ma8lIrw!{=s;~1W75m zNT1P*$p|M~eMv{^o`Tvr7Ct@}zF{_aU^a+hHkiY*JI1n06FI7F{?}bTrxxMgzX3~G zqI%cDYLX}|%v2I>A6p&lR%d?1m_|a0(McZkz?R?W0vhbvv&B@u^A(lvMM?{fL-fUG z5H=zTuwF658C~fj^pCX$yNdj)zfnD=6(**0Vs~;i@!-lczekm%*-NO(F%IZ+b3Vpu z{XCgxw!x%~jpoAT=4iLrqk1&99zD%nb~+h6=yb6YO=x(OW!7O_rOp74Y_>QW+8VC0 z@5D`K^Ly{TJ|vte`fCnp27Yf|$RnOQgkNw@ANE6nxfL^cPLo>Jl}=yl^&Hh@EQGmAgvq!~`GdadCCB4w?)~ z6;Dkj2D__bLOD$vi(0Na484Ry!F0w(J|#{&lDufq@LPGah6uz&b+i?AamzPpDZ6c| ztq^gaY6sWp=7vRn+~z8dewJU=iC@sl`YTOO*zCOGp?q;!O@|w&q9uj(ml95=&3Ku2 zf_5WYSxdQPWy->EG$zKczvIE^?n|pRliK=cp@?cWT@r4vY7e)0r&@&Q_v673#$IU} z!EXx$WR;XuW0MCr zOcA^F`^G}YNsFO3@hqrCdb?P6pnz@0@|FYSHxY%PYC;#qN70;%u&muMKG?Wdh;IBL z=rX0rGK_Agz$V0kyZWPuM%acqMa+G>3}TjF`ekk*JhF-&mqsT*3WjztJ&JD6&^*5MqpN6Sm7g3y9sboLp!`NtKf#{7yIEPV12v^oedl zbbbn0Jl{X$z7g|3cQj_(vK#_OJHe^~Z~)bVa&e!HF-j<+J((DZK8~mdkEUTB3DA-~ zwr#XK;xD9-p6{Wh*r5-Fq&D>wd~8fzEszMJHLdqIR{@dZrzU&VJAg&Y+>%ajA3RF9 zCx~snoh67ru~yX%(b3SQ|v-xVaP& zRC}w~qSxd_a5Ip~mOkw?B9B^MlzJQsM>GIGrh^ch5POS{iBEuK(MDW^;je?!OHuSQ z$@6Wh;#e9{kV6Fd2&>o#j|4|l?H1GK3@48x65i(OO#JtOWIO9%12zGp!xNe7oyIo5 zUGaLvqhXdVt`s9xx&hf<$Ey41UM2zo0Uk$~j=Gx<8H-PQzVSoNMt#h2CRB&{6iou1 zJ`_O)T`&ek!5BrnSK{Z{d`h324N+tW1L6DfK1o!55qD6?`Hkq~?}si3xUHgNm5>v= z=*Od1wzF*(Lr?J3BYr>18uX*H) zMr_QH_dbh@&$o1|!|)=>yv+$2)pPpyVPo{6Dct)l?&^hP>q+$TLDX+M>?*9s!Jx)uGHMSj`x8{K*)5quK$1OHM_^8(%zX8_UP z43o9;5R0Udd;1B`<$j+n%Nx3Qf?Tx6)x!^mKAdw34q5`+t{pxMi@W$%5!Nk22p%N5 zglp@CFgfFAsOfCnmX1#eZ6o3s3E>nO7<^h(sU{jw6F2uBw{@v^-P$s^Zb?*@B@@Vj!h zo@`2Pf~qkAA3c;SgwVr>9}f!M#a zeD~Be?_zIjKecv+uUp-`Xx%=A4|l_OGr@@aRVkei3#mdB$C*k>$8ND(!Kt&VbSBO( zo611IIvf8Rz%9d!+k8)UIU)=Q^&dA*y2w>k zU7V0pV>%nSXCpPP-i&1<+nkHIKRloi?XU-Bw%fZG_@6-m4CQdLub}Smo~NGz+v0DK zD1^Bir(r&0$S=6W56~YS2BWQSaSkAoEyzD5X|Se_ach9s!rz14LO=&SSz3$H9;LX9 zGbZF`lAc>p!h2Cdn4(Qk>@S2Fi>I!G1vFZp-<7(tm<@1n@F!L7>&8OBB@D&Yrl!;vz$eEH~IYw#-i4<`d1gGEd&77#+keD ztOXV-2VK>2nIFMb2=TOk`E&Qv0JY6z^s2un$E!{KRmErVmZeUHOy2ViR%xx>kmf0huW&d^?gsC>rMshSO3fV_{wp=tDo{Q zLqZ$p{4e!&=)H!hVFlSMornb-j`ARFyD8MW@~Ug)NBA0U_!?$-CgNZ=RyZ#aS5Bb` zdre0j78Lv%QWiF@1W2bHw*^U`Frb|YY@iiofwMyvy`S&WJe?$=6Wv(raWpn^`9l2s z#(Jd^Di3s6oPeg z=qy%oA(!x}6~h#;Pk#VsBjiJi0of`2FY}`B10RY>GgrUQTwZsp!aj=yONIjglCEc`YAOp4sO|3wCE`rXbJn zD=ECLid?zu0jZ*rtWLhJN;2p?_XKu>0SfbD7KBH+GclAoZ;omg6dJ4TQhD|RG!PD} z+*?KwK9ulb!o~i-(Q@+}{T?u{12XD~!+Vd*e2a^P=r?%x4(+YY+J?x8VL=z&z-p ze6&Uq|4N*1GIII*pOMDe2Y9b+gHfValB;Xck5%LsZy}nLKUGtgkiupDa3XqWe>yne z-1JaRgN4hG)e2SiBF-w67t6eGjR(;vG>C~BtS|Lfj>L6O#NS9zy5`y00!H4RmroAk zcTRP7YE^D47<~Y1l{eOmyV@aT`u6|@l8US2wr_B*-xf+8a{GIG&BAv4_>fi%-dz{` z!8HuNe_n|Dj6^;&pwzWp(!A*Tjc(}kf4vL0?tTKU#Mi&Ve!Y>;`B*okjJqTHgTDHM zie2HkzflDt`&B!iyhGmBBcH$KNWfN{!S4{hWAV4uNYBwoPi(l@>6}=zrq>cL%nWoq zucHQXS>EBrqgoX{BF(R5U&;4OhUa_$zB&UywE{8f7Kvn0u&hw0y z&=_&^a5A*SF!8#v3EW0qge&E0&p+Vy4_khWvQK&Y$`FIA;KIFi5$m?{U@0LK0l{~C z_e5+ZyYHy51x{-N*j>yn<^6OZL{5RhO@|c_Nc>CCNyh^&7;cvY*>^tMotsx!Q#}{e zMPkwDT$aMm?P`3F@LwkfUyHT#j&eWotM-ajUGAm3QGZrlqq6f%%$c4ezNAI~?s zeL+pgK$Qg8$Ez(5{71P$@Y1e-LZvGut{JU{Cv3GwtkDKzEt71QYRe<$m2+;6gTUs}5@CZlGRI8Pl6<+Dw zZ-Y?E$y9?O4e?Sw-f0h86VchZ`X|-!*iU`au`094N5X9H!=sf&_O1P`z{fJXegq+l zb>tx3VfGZx7Wuj@Q>o&Ghd7k2ReS-VCrBXD|)`-UFhXj$-VJyE-hLPIm!rQ zy{kW*rVlU8JJX|umTUDH4{#~?;~V*1dt_qL`-Aa)?sDmQ?8Ap}QT&2!G%RKkEE5bl zk)?6Z1yAYcCeo)<>=T<4LtIwgW7^zblZlcm$a+DCJQ|jv?N#N;=x_$ z?^+GGO*(YA58D!0`Kfopgd>**Mf>eO=U8X^-8DaZVq(oav7Ys7##poOKSS`lys3-j zbbZs3?~@W@#eW;IzR!SbXB+4Wu8uT4(2RDd{PX=jTU)=+oZGa-(?l!moh|yc$K{H* ziB<>%8TM!m)V~7iL*q{2H8?9Vj(ZjsYy`1cIrM)}z;c4EO5(5b00mBgi$Raf|I35u zu)U)GRyp1E>=G~DGAaJFPMNDhuJ+*R5P;<7qyoWK-)l8y*;BvVADjTE-!Xx0Jt_oy zNG48EF6!~IeDxzZ?w6W#4}j@^J@Wrvl2>ikKUGJLc%FOI?y+cIm971A3v6DtR7N~1 zx&;Jg;-7?*1rd2nLVbZfR1-&Pgh$SGv_?@bJ!%9tI-hzojOzEb6??IUis`jOgp6N* za16iNrTD?lF3}J!GTu?&;f7>GM7PBGvCUp7TeV2b3vS4G=$x2goE)hExj8J;)tm_- zqZmfk;!3jbN2cQs^+6^bAiSim2N?m7>sT1kAHX}8L^q{}6pxkNr&8;;5ix#)CGV-V zc8=hXoE9^Ul@Ml%@-drZ)~lw$!QU<%Vp`+uo zx(V-+{<@3FhpT8H{}Kmv≻^;UWG~p zh1&NzEjxQ7yW!5z9J8DxMkXXkI*=IkM-oa9l<`lhL2RNvD1>jXlH$@yhCvYV*w;6r z1IRzvpM}UMKA6M{j(Ax;TGk-wjS_f0j&iPYyf=?(XsyKrNd`l=T71OOe80uZlLtrH zLw6)|2D>UuvRRj)c>+$4`1Pwc=Ubd6Pg_d0f7q<%XocIoO)%`T#V&TZ^vlQ?m|On# z<=5Pb*~B-hebLgT5I#4wBZ z(B00@oMz60a_bWzH&!o4#5Z%vo^1J}haeK4{gVubW%X%oMNC-fie{{JJGQ?wY<2Ib zq^YyNI5E;Hip^cI*k;0prRoh(cV9hR{7R&g=D>6h1|UX|5hHgeemdZmB$8S`&quu6 zlP+_m3rYgy@(ut?cs)=#)=Nz<)qtiW7NO?Cn;4i>11%1+4C42b2L@rhu4{DkU7qG zeO3s{2oI=67CAZcj_!)~9kxjpc)B(APO$N`{7iuRE)Dp|T)>OmhTN$=*0E#g$HwL- zaZ{K4a6MF{Sdfyh;(72V!i=#_MkQ#pWoj4cz?kJ+_sl53OvF=*MIkM#oD44O`HA^4 zl5@P3l)nhXMIYZEHDD8`DR+g;xYR1N4v!0@b2iY8-nk*RD$V#yC{Vb3y>}LqJuF~_ zx?mJ9YQ?u9zGuYu2YVd#VN%_OG^I$&NzDjf#jYM({K^Aa_p&$PSK~4|O{GS|wYWJW zzs8>(b$Fz=s2!-bkAE%GyTnqc@@YqF8W4}W4~l9)A>QM^_iP0!<(YMsKEpyU4# zPQ({BzJf`CbPl$oll((tWJOEUjl zWg$?1$bH}?Bt^17Y)T@1h6*;Mt;y;FEUy@~BQLnB@Kp=!`DB{13UEII8>fc}=qH2; ze4ztO@F~Cgp`}R7!inb>C0($bLu@l=+W=!TPbrAei#N*J%5;?mI%JaS_!La;e;%~2 z#0i^sIVcIj$IG}y1+mqfxuFYMGt?WcPtFV6??JB zU?{%I`-`og24}Ig`262wuhgf@=acKgLPOt=Zlx}n^uqdvQ$9W_wVh7gUm|^Gb()G- zY8aC4d1o?26*ZL{ciqU?k25ItGts<9H37Fj(i&MqhlR+;OL$j0E@4_mOG59^gJX%b zJNzJ}f-QS1YOKxL3JjImn9ViR=#8a|Ea@Jo<(hiOm3{37L)#+MdC!yNJb4#R)JtD~ zlVXZGm<1J`o*`QtbQ}|O}6&i1} zW17cfrtlS3VtV*0h>(SQY}|K4qVhC!XU;#LyXIdu^0Eb^7{R69)oe_+q}+FntI{#d zS@;Xq{Q#MLwy)qsOj37x8BC%JMMl(^>j11Zm1}~}@6W>+vd^a8>zX|L+Rj`koDzE8 zPNqb?rC66o?^yzC8J~jNBL8e)W!zzGnl#sAFL$@Lw-w2U_7N9+1c~Iw6SyHm*O|l;y1Ck z%sB^z+ZqsL=WKpkGShO3H@>Xe5RNF zgxXG^DLh(W#d`DJB_%uC4X3lGbCKnzSoCZm62CcGG*VbxQgX&XM@M&bGI4YzVb+Gx z30CrW*t!FM*Jgdg)Mm2E?dg&*MluW;kC{;pZEAe3caoF&wS#%lIH|#&waavbEsKwf zV?BcT_v^YB_R)Gliwif_kAF{n)U&MjsCG(U1i0sCLgl#$8`41Ft*rjBy*pbV3r)U> z2W|Si;?a{myW?sBsCy7!fmL|aqw1<=3cTM#fGPEWBwkZf?9RjGQm#TLmY?erSdM7)Q^1JhI7hXx?k z2URtP+M~Rk?d$K}$xurI07?QD3j*zI(X??V_JR)rIGGFny64t7w~NcZ*8LM%TYR-{ z@Rgj+nBm41m)ioPTJE<6UVi6&DC6-Sa!0N@#l7-pmQSqicD}i*i^#04o#Mt#^J&ex zi5We!8u@91!Z$iN+V8IswQu`I6OkE@2BMn6$o5L9!Q8jSCp6@@?rK3eAQ$-rKl2W2{-XP_ET*+244$&r)+N z8k5^dD>S>{|v8p>Z~zo~K2Hyx+ZMc0qneFo|=$xgi?`AvMp>Kf@}$ivoJKOe|45$W%o{-tR+ zRi%rr*)xx-j%~~2N*A{+iKTKZ`OXh;E;AD8;m*Gq=Xx2h(mWa>HuJj3@{UfdHY@#h zNVXjBx#-YCZHJiOY9tHZk!81DyALhm0grFJ*XCsup8A5YORinne_0?NPUp9XSNzMh zaCB^K$bNk1?Xs?bjM&q|(weZWRM$sYzu3~gK=LPRia!Q!lzNxVtqMa@4~~~=KMoUj z3}Rm9(ZxRd=4F|A?EdpziMMk^*4>O#bNu%uExa6RRu=D=-1a0SR~YHPk;P0@Dq$A~ z@7#I;d&)0mGUhoE)c(RAf8rR>+0)M@-?MqMx2Sy*i9* zFc_>!Hd#u)`sXOMZDhviEWiP!DkK9_6=J<5diz$Ak_kjaL(l}G#FI8SkO3NQlPM}5 z8sk37Bo|2B{&5&T#%%9{EqvJ!y;S-d%*NO9#Z)x^xq6At>-4xvt~lKhoai|MVE&lcI+sH)y~TAN?*KqPKUvJ-}f~hmZ|XR>_aqyr4BmrhwOhe z?<$RGeS4{)$X>y%Ibf)^EUQ1TDgK+SM{GNP#NI`)osPqBwr=((ebX#+1Lqntwk@(o=m+6-RCEr4V23^*JI*z9sd2Ll%dee%Cka zRmDQfRafhIKV@Zu<4^6vpwz>}qTz@YRa`%u$B5$;%`@t{fRqw&preAVo4f)@p zj{@$o8r^dWjMh_ilT*6sDl2hD_qCKS$7K>+Wr`Nddv7WSq%^b-l&2f*ML$_(o1%P%XfYZrmMgp~w`z$L?dih$9F&R%t!_K1 zmGr-=i<=jC46D&70$&<0O>zO)hhy#dhXvSbiiUjk68I@1^D|>8Jpo;h8K!ZU0O1c= zjYTwB_G^4?5mU~eZj^X=wYB4+K5S3r&N5Q(*N^1^nDR^i5=U<=>0K$g9{>y-cRdcf zY`pL`Rh66Jz2nC2h)*^5@xTv;RMol+1#-{i<}wS9MA4z!9bZE}?7C;xqiH>nCqdpn z+V!l*?x=9zr%6)-x%YfuE}^=4Y#3thp?a-@apS`w!tM%Y%F~hi5~rd;$z3qEHmLte z>}6QpHA{0n_e>W{^CAptytKl8q`a&}^KP>IRsE#T%Z>C~nKL?1x0hpe9k0bT?C`Oh zR%0K;bLI6$1Y9fB`OKT>^4aSewD`@s`+$Y<-||U7wvNZmeSE_bfS1r9^QUjHbc_;J zANn?SBKI-n`B&?o61W;OmW$6zY%zi5&eeN$jy(Yn_SjBlG?!d(q;Ri-2&gHQY2%$O93NTWEC)IwJCpy;#U?2E!8xq$&BFBHI)~g_ZmGBHR{?W28m0tf1cHv%LE2~d zzMk|0{C+_)AYkB5M=2gL9&Lgu=vA;lUuS^Z~*U_tYo_iUD_UC`s7%oaiC+kNW$+GV{IxL$yit^~0-);VM z@X23K%%v%+=XLU^^L2yl>?Z@%+PkI+?zz%0KGfvDFL!`nb~DzM_~GOHf~WWE;99jn z3F5=v#@;UlE8Ys&|GP6hqSO^qfGroZTPqqLvux+@lZTs{HT?k?H^V6d_mRu|53H* z3+jLWA2o=(poM^c)H3mcB5eQB)$~_N9Fl+a`{`l?xUZO3552mx(dU~%XGrUnQO%|b z5sqMc4iOetV=nCFk7O>?)b{FhlGX_8bQ(6i<8>js`%k9*Pp0!v#`;gjTBymZf6_e| z3arR?NQ@_U(F-*kM4xs25<-*u?o)T~H|$hDtsPacB8?5-eoDx zECWnQ3_2UM&8c!jCx_u(dR4q>nI>qu{zt^eRFwN*y)YCvFA|5^c9s z?fDY*CHJH3^CAwl&p*6OCB|Sd>6)oor=Ri4UlDo6?hY(EnKoT6FMuxbJu;O7^_wmo zGETR8#q;uRM7XPJCKsnp{F=@X+xpQ{bLpnTOZI6^hjPkmwaqna0{)upOXo|q#T24< zYuPV5JG0ny++;eq$22TU|4r3i)_>sT53kzhju5ugK$B+*mACSTbDuHR6pwwBMV@e6 z(XC#4+4kPJTEMdWeb;GtgF>zPTeTgz@t54OEyu69>uti*jD})Tp8Vt+woSd!<&p0e z+d_N&O6f<5UmatX2985@bL{FnH{uHsZAg2%b;C5XzuXDt+D#235Awcl#r=Au{Bnj# z>z;*6)7NY4mS0bYJ&j-^3ImO8H%u-Dy7JqPr&CqYZjZ{cE__%zi+D|>ys*3IvmBXl z!+tDMLhnBE;dk~&;89W*kNOM4*Wxj@2@#U3YO2W^qt!pCd6$mxRe~YFgIGs4sk=2# zBR;SQh|q{|6E~)H^%U^eMqfFsydy>k55;Sp*!GVJx6z?19|_8c;QT50Um{z-eYb1E z*MzmWSjzWD>QhbAnz(svCK!DSHiNbGeisu{`B<8=hW7bZgjxl3OX5jZhbkw1Pv!xg zpo5CF+z9TCsi{S=dDKYYLF3_8sVXaD(<;Cm`|}7cD3%tvYu_-59Xa_eKJJ zUkGt$ojrF zDX~&@FOafavvZyrm#7$`F0tiqg8qTL9|6PLAwEv`jSBVNAzh+oOiG@O#PWbSQJF#qs?|S;6et`;SVL!b zolGhT^qTO8G&?G8$nLHGspw_V^N%4uiHLK_%2U^1>F!s1^4hf|KkjZ1 z07_#-vTJWfzZ283=s@ECumZ1NXZ&J0kpgGulKvy7yTTVQt_Fn)ZFZ>Bi{eJF#&~0+ z<2}+5&#jPG7n00JUhT_2G_Z&EDNkMUPy+$X61*XwRv zM@OII7%~QXLAc@?QshXlM&v#VXd;Sp1%xW8Ax#}i@`G9N^#aGHTfG+#081;@)_BGk z@%5!Q0|~?#J^y9;qO`Rk#EO!6ynMb@hC1JMW##p>uI3+Ttw}}Q_}yBIoG@H?i*Zz*Z`&vywCR?Ix_9>8g)LF+jLq%YwH?Ys($WJ( zd8jDzS#Si8Zk`feheag)=O?lWF@9SHogRPEqDkX0#aKF9@_NV zsh15YR+bdmtn$lK))!jxpe06+7yRsIR52h~I}l~cp9d)wlq0sil(J(SCtNk_6E@9j z3e~&ST<1|X!5uwSzg4{_>^lpRS4I^{!N$M?-FX8kx7gVkj3x)PTH;ImS_D&<JBHYl;L6>hEM7XpA$!k@_EfO-*aeyPaimuOk%dplZJ@wrLX>w zoqIrh!P}FmWhyLu|o683wK>sJlyyhRAr%Em;-+nLtrcS7yVAO z$N_u*tCEjAhx!l!9^ZT5jJVwo#7d0mrDvqmF!F7L8>}!7~}c2Z_}LlASG(5qm(eGS6!X-bjkxJ_a6*DK?%M!xP*& zXFz-D&qVWun zcvPxI59pQOVuc`a-lyv&sQk25R9^F608x?n9Ip7@#mRF~l7z3k1@_}Gjt~kwpO_cO z3*G?Fq3f*Iyw^GH*4p`e?nzL+MSll*aPrQw~4tDgk&ZxEE^-IKH5s355<(v^rLdy?Od!Q|Nn$u=cY2Ymu&1)oEh1*od~4A< zdOv#aEciqDF+OMy)V`=lX08q9TSjp>_4K7omtjwlh_qPdQVR?$Ri8Z8Le;%Vw&(C-*r+y z3oen8eB&xnJ&asTO%iMa#V@lf;!e^rv^^+?aPpckZbu=uo{uQd4OMK|H%>oU`WS8J zV@(4rM}TQ2E8&a&Xp`Jq&vHl>FfpulWO-#kaN{j~Wm;0%=CSCJ{~3UP=`dC@v?q#n z1RS8Vg88g^ktG5U-xkt*D^|#y59b7#xiLDI&+TX2ecFy|YL?Z_sZ%1gyarDY^?Vlc_r|-P zrE%G0a@f)#Ed2a(6fu(x3JbGs?u4k3j$?3_&*eyIEc?36d4|k+Z78#&9@hjrIn#ztoEAfwS-cL z1x^8UU>=8WDqRrWuj?XO$%K%a$f^F4eq$5A7=l(%z{Sw62|a8*%u6zE0YybAazddH*5E3-%1S?lG$j0|NtLe@h(~g`2V9It+CA-V=1^ z;RCPO1cXm;%RK|=4>sj~S|J|3dt^G(p5a&14qoMEdR^94YU{s#>-XG~n|e9nnA?p0 z>-@7jv2T+~Ii&}Nj_68uA-a5xG6`2D##omcOdrzNr}s^%t9abA)1Pgz$N7@wN}iu> zl6uN4`*b2Rh+^i}VMVwumPMdFw8HX}*`)e?<~jK))aLg%$0dLAw!t~54{fw%ss`J7 za=r98w7Dx!rHPi$tfS1lX1wy8&;7>XG4@6?DKKw#suFeGZp$pn52ikwa5{Bn?sTt; zT?rcn;EeV;eO#(H_WCIJ0n=I3VOJDz#vAdhUYb2uChAaynR^(G$e@MJmt{)5t8Ucn zOvife(Nl`2%;p|hOmJ-RZ z>}@ji0A{ObHPj0iJF0(aWAvG*|Kg~gujvo8|C^0Dp3?JCi1z|1zF0iD|AitMe(3|D z!D=8ifcXU8rp=;rAI<#-bPHpgR>u?+Uv+N<)!_ict^vdZ03~;pnr^n4DllV?X^~pd zfCF8|dFAG402MRvh0s!tl&(2}(3-3pR2l8dJ?`dVJ_LArVp53moxu88GXhosSAybI z=Via(*xTD?GF8e!O{>r|ECh>4p3)=^go(U&MrZj*w>Z7)*vA>~TJ53eC zs{#d(X3X63yLPYc8A*ot3%`;K<`OEhashDPES;V$6Z0jk+*+OZ`!O*_8+8dRJFdE1 zYF?=C4RH*OIL^OJHkD+?Y|tVJ(Exol&xabFro3(V%;((7yNr|S;}5FnKZuFB4j&(r z-chTM^Wp%KRM)W;gxVLNGOuh^_z5K%gZ0BmB3L3cu~l>&-^^f%M~D`(Yid-7lwFT9 zhna(+J0~!TxxI}|n}uPVo5t(t;zpwUkzGEjW8Yh=8Q^erEOr^s#{GDNeyLUdizlGv zr}BOCMDl72Dt2Vj6-#Hz4a6)GQB{T2%;m5pduu88Lp8($m@Bd zBE2CSdL(^bh8CgZWX;W1yKnto{pir2yNa6Z+y_Npr4li&e7PyzXX+<5@(up4Ev!D9 zx5gnd|0pH)CeE+*u4;OVBKRW}anChhqr2UaBlrX-J0sNG*y)~IC5U9$$vX;6fiR#j5a6mh-iua8vEom1l|_O8O?5$! zLcP7IfPQLoC?)RTl#<;^3M5>v4e!ASJ_Mj>Jl&nb4_O((Udw6=#Lh#ghni|AuX{p$#a^F;5L~qi^J7<@OaX=Dx0t-Z zVbpN2n7uH6yZB^X9kE$%K2YB>4N0uYh!XtnuswNUG z_@t{@xGLOq9kCeWHhco$A zx&VCjDRc6@biqzjuAw2D|m#Nt2%xwYL){i`0uEIx7 z$dV9Lt6#`23+85j`xEXC>$v8}wWoHt-dfkUDFN|DeY_mpEyUvy?WIkP3E11L54iax zWbTKCjWcwchQMm2jEAameVFYj&`LPsEAoy%y#JB0z2JSpOk&uHanJf6Ey%P0;O_{> zV`1^<>fi7VY}l=3BaliqYsBH}&LhKGZjR+k1U|Z{*`)n_I}-PBRWd2)Qe!fS-InzF z;@_4kVSO}?UH{ary^JMWz&^6q0ypho(TBkWR-din$PqVC*yG@UFdM0gxnF>dEz*;U6QI`27fH@Ur zpPD;?%2cErG_+iP{uI<{eoAXxt8LBacYRdB8nLuW zF9ys#ZDMTT1bQmrwo{%L5}h{#vWu&tJwB8#-&kI_iS)Byx&gbh%%4wT@e(lfx<)e&nC-mybO?uSQnpAq;H&gSUL2}h^!JuVp)IBs5vP;xcVGMUTM0yu^So(C#7ID(oL>_ zvD7#u{XE|=z=GWCsABI0fOk9UDKnr!ALunwx0Lr$&6AAK!CUt8FdzY}Pq zZwb>6KT(#aDe@|a(Bnz3&f-KTJew1+khuk(XPoc0RJHe1riIK?*wLLZ(ocNgPdm1) za#T_xgh1eS$4=`c*{M479rh#Q3RiOA_GiMOa+L4*G2!-lH9`XleLliDTLgEPBxtU; zq#kd64ltpPecQZVH){bixR4L89&g5vx?nD@tyXfN*MOz`*<#ZZE4w9MTsLi=6V^1ZNC+m983>u=ASw7@PTA^FX7)2qi*{D!bopMYkD zsJ|YbA&r^Ki`5#mqc%LBIZC(A@Y6#VlScO7Bl^}VHL4Cx_^@wfCjB!Hn0A#R_^*Y#L z$edR>IrGvo^2GW4-g~EW`LOw{Kv&VJmDgKxJc!A}HdM{)3_TL%AZ|cdS40K< zFi>{6Lp}_2rP~8-S6Xl&(^B_Qe2)FKY=_4<^Vs1RAw`WvELPjPv7y<@x51j`{x;Y# zf~4(AJTFh4v9d^05P7g;$z4eWzkaWF)Nf(;skitVA)9Btj-7)1d{8}u#|NUIJ7$88 z#X7B8TEl@Gh1FdetMS5f172uC z>{}IXaU4m;A3gVI7a~gsm;ln`xbk8T-prfUQ#}sG5*h z2qT2`5+oC#!=S^r5Ik?*Mo?K4_Sizi) z-vX0V38u`DdR}B!Yp&AyNgkOAQ^gDk;6++8a}yZ72!a6hKmeJuEtkyXs7Z~v%ZO?G zuRdKB46##!GztQa_?95tZKey0#I%mwzuHtp55zZ)JO{}J(q%7o z!y_fylft;V=5@&WTwW*H4@-t%;!23XS z;@!vz_V2nwA35?pmGN4l4eM4rrR48?ALK|etY zi7dVC98%c1g*^4EE3S)n@}CvGXD&G`(JLp5@TnYM%ADByu$8PA76Qw=>Xcnh@_RVF$gjuo~1z!lk2Z z@Qn}cy;fRU5%rfj4$OJ%k8(i==em3t^PEF}x4zAevv7JUfUCOggH(K0veny)fneZA z_!GJ{Y+x@?_OP1ope3|c*m9md_*%6`&>{3~Z$hhIC?TGwFBcMbX4a>Tbgpjr!TgMm z>F4kHycQ#7GN@k5((--BmPF@t(Y?86?=?0aAwA1qeU(@i8GhLG*%wmJfyo7QV1&Y9 z=b!fP9RWjs=75)U=)fVo1m#wt|M3<$gU|6f*>F(ROZaog#~ODEjM)Eb&`cPO%G|;I46Xe5)Es!aeCPH|Tirfs#Vcqx#NFn9*kT5qE4b#vUhM7lg zo9fP9B+kK%#C0i1eV z0kViY_J>Y@gSnw#)g2sxlbCJCp@w~$D@R*mCwRXWL$&i z*xL7}&;1v+5f3lMnWFk-a|n&{=K8WHgtI;UV$Z-ki3tf40LTac7+-jXaJDJj4L^KI z4X&jdb9qgTS)^sK^Q6THGDGZ{xvh3@Ln3$>+={2OLR2$$Rn8*xxYwM>HZu!VHp(V+ z7pwRdeQK~*1h9fno&)}-AW=pryyFjZd-8s6qKxQ&)CR;n?3hT)u>67+lYL=3xCa@> zbsG}IgMxcjSuuG(u@0he_%U<6u9LculPLpJmJN z;P{R|y`)H@y(a_JZg0~5xntdPh^ioFYG4S{QS+JJ7gD=3C{c)jTX0m`p0LQ_2u z5yK)RGpfp;8hox7qiiGyAf5zYLw==2rm=Vlt9wdlbWVzeS20GMUGu~#jgc>2nIV%uQu-Le_1T`X zg$VNJ5CI7?Qd;ddK0Om}eM`L`I^AsQm(rN!?I)2JJ^99|Q|r2-stsjjl9ps{ZryK6 zI|)+M)yp?tzQobcekD@g3HFGq+foz3uMISv0uR>)GP!*R-*Fiz?Oto=xV)48VQcgm zI_)Rml~4d$T)|7$T6WkSHp{`sm1!gGOGPCcjX!x_o1#=k_`sA-#+u%~n}}cQ-IAH3 zr__8TZh7Oy3$z7m|KK`}POkUOG^x|AW>R~0Nh{p=>AI-H8v`!!x5vxz)(d9dPXrFA ziejgZQ`okv>86%p@$>wK54#wCF7^T5>LaHF9=g-ZO~}yQ5os(o6whcC?SIoB@GC#z zyFKEv*=OK^UuAZfu87p5CwwGNP~N&bfdTomd;H|a#8ZCFyy8DC24?SEI^O{9s_15wqQ1ojUUb!ap zF%NBc(`1>Qm9|jk>tlVNDO}I+;<0+vX<)wIG&_I{Aa>8o|ENZ(G$S#|F>&6$}5;YPFcrzv=*c@;+x83#*5 WnV!{&44~K)yEI~qE?$Zvlm8zu^;UfV literal 12892 zcmaL8cT^Kk)G!R96oDXuA`l2gKzb7i9i)SRiik*)8ahFGjT8+XX(AYkR1s;?TM&>Y zkVugZp@-f=2@sNx-}AoDIqzTJ?%BC>@7y-CcW3U-*<~anBP*vRzbd`2&c|2qrNdiq zXHO39U0kMiT?p)4ix_fT1fpL7(l)qO7oddGu`7EmwC-VUZhAjPviqT z{~B7SM{`3`lM!>&bVG)+f5x3CBJy4~h4(1}Kc4=AFGZu4xf8!Fzmw{G5PdWL0nbm_ zxx9C=Za+O`NFYW5oW1?;Hr9sS@(NeTSVnrL0P1Y{cMOxO)*8ou2e4{U#-e3_e8kGc ztFS%dVl~R<&4R-~PTQUPoNq}y>xuzryU^dE>MJXB%`|dAMyqS3)_`XSIfLP|ufxMO z_qex?zNLOz|Fjr!-nNj4_4*WfgoW)vP zb+pmOQ-ZIKPsetqx4hn$&YF`Bh}y%Ne}_UY z;hvXny7vy=H!qcK5SrXM7V!+FhofogBc;`{oRG`{&v7ego20(whXwK%D!UkYqTG#*8q+xU92$5k-RAA1= zOTpP>UWA6j*1c2n&1=@)2v+ISTxr~#)`|?Fa;tP@ncBI->LMB}`TV!R;Vq2ki3hWc zO$@u-pU@|lTirfqI`0vJrR<|$SvFf~zbLtTQ0?k*SWa^^mZj|evP}1(+WlUr05#<+ zpG|+k5dg~4e6Rt~7D26X0L<1mA9yM`nrUw(Y<=ETkG4~(e^Yt79g|AGo#-1)V^{C? z9%8iRCiKfq{Ijw32a zNUo`%cIt=CJXmu8y|hgEBnIR=#BT1~+_bm(gsAnmwDXxj4s@j#4z;lyxEJ;tRTj7t zm$JtbZL5@)jX!~hgv?9QVnM!#WHf;i56&znommZTaEt)F?vGBzf5f1U`Sg(489+gi1ylyi(>>2XY`v~s=tG$GF))7_B;b!ww7{y_#Ai&?s5ksIyw zRHnR6z0SC`JHFFTbFN3g-mP%jshu)Lb4##<(IopR2jFho#!PN6<-ebyF?x0DCmqMV zshfweCzd_$jX7+_?iq8W{#h0t%unHA!^HlM;(I8VF`+!QCb=ReB-5QTBl|g_>eZiY zb_Q3)?aGRm39qmoAs3Ys4MWh;I-BZ_L7HgO$gpPhdF!!zrEh=P$Y-&7biSaTi84>n z0n0vfHs)e!7!mD#qxaCnJzv;seoZiGzv6h^C?-+Tp*X9=ZNyzA_;BP z&f&oR;6~+y?nQsYZFucnth<(xB1U4p1)05 z8!KJfD3wNQB6pg-9&b8$eNl7{v&^{v0y)J;ZPw#UBPdKyx_p3i=q+$+qD zvNHjOybN4f>2frX6{e1t* zy9RR}Il4coSQ|2L#NPYIh%mG44D=_%(mnbY@_oMZPpyQ1Yjx<`V0OI%W&t*Kp{EG7 z7~IRgR!5y%B~D_>j~Ai1`-(!VDN8cr5SsGee0({4@eNCwUS4F~Y}1>0^!AKNEw>s8 zeRM;Fzk=F8mB2Tm7FnuY>q^x3V=w6_h`uSDl7~md@!mnky>e~tJy+Ki+<9Hno0Zr0 z!C~)|tMI@;#H6&@OhB6~>6U(`my%xQUuv3i@~P5q047s}y5_DBLYlNf;mDru?zQ~( z_pDX|Dg)1-HoPT-ay!;Jc*MVD^CwD6$EUW(KB0f|W&hL1)1oFy>n4xaWYqIBR+o6S z_7ouh(VG_inGGH+b`_In#mqTGB?#-6FiIrm1hyr$q^?E_Wy%F9ojAX)*k5>=duA9MX8dunT8-sOpK*5fCob$_X% z*@W{6h0nlcB0!p*o3SRJ9|Z42u97nM`r-2i1wXPo#9z#_ynpQ~lg{&~_Rv~vIKJ(v zTxNby4P-Z&rBr_wF)eo^SMx+$(cR*g37A!aD}*OxjS!-<;+J%3c;VqJaoK+V9^g55sg5v-N=9O z?>P+V*$#Sqe3b4?Csg!JHyX&!Ix36wH#H4zO|p+W8%!DY0TZ%2UVQhtptjYG5@v-$9h|W zveV9AIWVLy?W!YPn5gF}O!-R>O8@_g`SG&LXUUTjJ7IqgdiUzkgQwRw(>K_2L7Gnz zO!NVXV0_2KBzVPff6JjvPCRgE(iufq)?eyA5~9%0R{Lcw%`kMI0vOo$d@$e(WUr&H z@g-n*IL$v+i}p*VYo^4XKpNMH`>^N(~Yc0zNgr?=}}50HPa}=`vkWqB?WF` z@4ggKJY))EYu$S(-P8|EfYV+zJ?&0Gdm zw{B0*29WwH^}m^j39=b`MOWn-?(@YU+HYy)D>>T%%^Uf) z8(;Rg8?qY5VhW`DpxI?c*rhjib`7faf&S^8g6REz^afJosoz38$c^o1G2>na81Ii30P%Xm%Y(y>$#&O+b*q|Ruk?X>z| zjq~w5DYiCfD_rDQv0QMo*VClKcR>1&b7}6zPT=b{jHKmc)v0-LTy0Q0q*UD1L7G&L}Ex8tKpTgiG^!gJ{YWzizwV3)-0vYwusy?@JY1!Og{bHMRQ!ZUMNf&NwdzyUdkIl z-V?q$iQN1Y&iGsDxforq@VA)f!hAeNnc(8=yMRrz8bQv82X_kkMjluc>mLu86~Ane zFRvZ$#l5cmGxQ`vPT!0+yjn=&!`vK(A1W?Y4WY}+3OoOU~( zsyB8`$UHd#X%s(tq9gDr@`e11dwDN46XDQPR8d~cI8f+b`S+KF119Cs?g~>{;7`9| z6!{e%(z1rFyYVuuQ|GQe?HZ;E1D)!e8!h z)r!Sk`mTh3Nq{!#SbBrViQ)D#_?1!OP2y-F_AlMVmF z{VvA`x~L|iy75*_u=@_**!Okr=O&_)Zc?8XTi&V47tFjV+DO&$79OJ=5m>%A7h$^k zW>Zq<$yx75Gkl6W0!AM%Rnj&}kk3H}9kp5X;`!!PT*D?GeAzxKX?v`OTUM`Q4G6f$ zzQ0X1RJrUV9V$LyDks14)3Y-N0uHSrW1?dtjaHTHQ)Q|Fjl%35?&&&?trqEo)hTD? zN`0*o!MO(idZ|VlJ{cxdZ^iT^Iq)#$nfl?%)>Et@mvGUS5B6pKbp=Qn)?$R6ZnhIO z_LK_<^_N;T7B#|$c9)_a<#Cm=Pu0xG!rn5gGqk3d%@XVyvDNW0?11%%C5~I0I-X;? zUSNxY^sLt?^J$~is%h^;#{{9;b!GJW+Jt4jq6|TF$e4|xcCEI$^aS`e&v$@!AeMh@ z)#zWzqCaIK!sEp;OFJMfJOPf6`J8m0N$fd+WVK@??EWV&)$Z(VV#Lf~a)iJ}Z-0AG zdV7A-yN{snb=@FZQprckjE)#a_FNJmlCsA*S4Ak)0x5LDT}kU`2;X`_?T(^{{ZeHI zGkm?Q9`?gWsJR*qX0TfPXd5Q=!>;w@<~bB@ow^3@Q61tKAqucta)+>zO@`Z+a;dOe zR6GH-^edpwPgqs!Jk3qU_7nDD1^Vl}7qY-3j@%wVp* z#gw=8acePGi}0StMFGQ*>e}$5kEFmKo{^HS19X&!m5viAS6s;^?n%C~E8ixrM1#=w z4sGCBn}ipw^u_0Y7oWIBI;}^Kixj!CHvG-mT6XGfZ%E}QdEfK=v2;R_rur|T2S!Oq zy^YVMByG|Vj3Qt|*NMFy?S9utb_=l3YoxLTkPo5yaO5PAl^}e7p`Ds|hY6ocMfyWS zKu5s-T_?7`gVkLpmi%hhVh>|&7{%m}kzTvwjh+(&^S&pcP@)&K#pGU`!(6L6^H;&l z@1Fa`rPecxbTe%NC@jr|V^g^?1jEH@2KKiW-yt#AzL&zpl#^UT+;%|9gNbtaTm4xi z7yNH|6pS)dbGB^_8=`i^*euTPgBT(_#)m35O`t3H>U{a^EV9pJM#+Se>cd<6>?pN3 z-LLM9X&2~vG~wdgx$x0s6H_SCQa#sr7%E|~1pEHos7Q(NvX22Y07VzLbCJF*O^a>UOnwA5z}*GN3Q;zyI2gt7=y-6H}-L9-tQ!iQ%trnaXgrpECV0sC_0 zt&8cN{uiqTtL<7F7%_5NJCU^TB<-1}rXV5@4aJ#wg(PM%DT9_o6{M(4^2-lhyFU%d zKkHhJIaB(E#sxduh9#}z2)6Fro|R9EXbH<@-gG~bX7ZJHop6fp+U|CcDKqu87j25~ z{}>-LwqE!CPMj|~cg;n&3lQ`E@!^&qpRSOk0LWLUfIiBu+y5|1H!i5#?Eq`xUcUuM z!vhUH85;etVd3|eb{9iYAZ#HqUyRiAG%Ec3`ZS2eHSmZ;-R>x8Ns`&mHQ!0n3mlUj z6jz3%g!dG&yhTBeyA+;-aS0we$;*|453ae z$QRB~TEtL)u+LL!!cb%=-fw)>B#K&Lz{5HgEEA|mM>GqbDeh|xi7Lw+OiX>GuFZfa z3x~La?`=hNJOMi2@VFaqY`Hx1S}lI8F2dH`uATW;F11W9`J|0dxgYND)HATFzNQmB z&Wt}x8+9g=SSepGxG-*2&JBla*DA{cQ!(-<{y}WS8JEF5fa#giU9|Va0~J#F%IWhA z-s#l6r(OmxH>~@`k?VF6eI%NFWOuzFg*x-iN#o_pq9YiWtr4x=<)U}}-cZzCdDqYi zEmCmPC`Qn#zw)F3t(M!J2vef{55Xp+6P3`O~u%WvATLuJ<5FEFFb-g za`oLT`-KGIG)fZ!f32frs>f))qS-BIBaJ`!zo_Z*dfFB-*y6%Pn)o};xr;9YI$XU= z*27^Flf4Z(XGqhNsXu(`gh(qO$2=-Alaf-jZ6r71YEn=MKfpF8Vv>aga!GoMc4ZQR z5o;KRtvmGXQUI~K(E4|wCw1s=`OD@*B#yK_w_a;4(cOon^aYG~I0^Hs9d?a$XF)|G zob+}dl=ch8if1rfAeruiHu2qn_YT|th>7p;+lu?*ZjqH(+5uDSMY?^IYRIm3I@as) z>2Y8#8)*y6dk@e6WMIneEe1!kc9A~Cafz!;QoQWi+qbj*EsgI?@*YqPJ86Hj#7Ivl zm)A-m(G8Y(_z?_~^@5AAkzd{@|7=>$czeL~_Dl+|FXE|{GkN}X2JVJOtC>Hzu*+87 zeNo7B9Z>@>I}y3pCVWULAL)%ldCCxTnZr=Gnz)eWTYq>&_@vH^epINu*cN}hqM|?4 z%V9Zor=B1OKC8TrYyg!;sJtR`ko`u`lUw_>dkxL?mNdoe{BBVFu_lQ7Ddm=_Pxi0J^N^P6PGL(JD8TK(!S%b_i*VYS?>_^XMB9yY0w z^!-5blGP$}=||d>gT#G`oxp&9M6vOz&oF|ez171LaktyeF565$_0(LqjVF10>V8a8 zojnXcyG#$Vma%6t;Du#l%XvokC(H)I*W9^)_)nb`o#rpzQ}Oc+H!51dYV{{H|>e!quiaUFVh8t0xZg(Mb5>raGvPA6e!xNM8m@FSkNa&gz6)iXPcO1hwf5&py( z$kUEYTB6A;UX`aGrj$s(V-Xc*du?OXq8XAtieAY!lj;P$4MT!Dzm%CEW4eM2T}yX8WaMlStDN93!QlofYk~#E&a??Y?tX(%Il9}gB5FNM{6Pt+N z=J<=niO~;{UTA>oa}hOSJ=L9|s#;vgCMfBXu$5Wg2aC{NS-%gyN?9u-zh|kAo0U-B zVhQiTu0W(G3;-~%oLN=`b(rxn_9|%u_m9ow7_r^a%EEk0t;`)}l8o3@YSh+kFRPe^ z9}CcRp=OS8WqbW$u<;2R1w&p_wA>W{lN9d;u)&ee_YcIQaGDHMd-HT?iVqm^K2A~F ze;smS5$YDU5>e2^gCn`SV3zAgUYPE03EoexLsm6Hm2Y);q2*k*a71bVa_%GREkc=H zZUG0t!KZ0Jp}bJsl*^$tqpHXyqY67xJ243Fv4M#be4%*aqZ4`)0;F66A;1fE6`3<9mhkjFJPx>yJ&rji$VKo5>y<0p9(0}*uAe8$jOJxKh*u}!=#E;iNfE3vdlpX* z*P4{AGZf~B2BJIiE}@bVB6UBKj(U+Kx2)(cNxMFnMD5`HIr;Njyit*Si2FcfJ6q>4 zE<^tOjVL&}Ml)X-SQB-0)}jFn84!%7!07yB=xrE-I~4Si@a`(=xao~;2{?%*9iUOO zY|&o-A(fYNJIkGcWX~EhxZKW4$R)QNPk9ex*vCzWW<+LCV%rRfj0{+(jEWUCJc%5f zvDj#RU<~Sz{MFqa@70Lo&qLGn^jawTa?#m+#k5azy4k zMq%gay#q4@b`JSO0)|Yb_cOl7vUusI@a$zKc!ISz=O(gJErY76zI-MX_V#?g1?byT zT|x$zIt>N`yR69Q6ae9C)MFTnMp%%=P$0io<9Nk!N5iuZB%^BpoF<}035YVyENMPR ztU-5=pvtsBW!#TA93$Kt4(~dS7Ux?)>_R+UM~=eAjX8u?`9twpWGMgF>ow9#FMnrcRIntGVd3P6 zz4{Kbj;_Fa;E5a1*}camhsSnl&{x>7>j=^bfhss7l4vLDMA{13p$~lS{~mDy zBJ{Z2>J7zsFM#`;5hcl$IPT?)iGQNddaYS~t3a>0g$DAAkal_$Ehj4VSCjBNT;wA# zIuL9`hRq>E$%sPNcz5BPfCnP6#?LpfR3koFmQ*{s~Zz?Fj^&-CX>=MhnE!e(wq7 zj$ryCu6U;-Q1E{$oE?FD5je{3%9$<|!DiHiDLQBcuC^>g=~0$yXPVL?Xn5EA7lz+#*<3ou*$OMx}*g1IR>Y4Y~$O% zk8qO`7>R$unFMX2z;wft)#&V`=zZ(kizd2vkzihB)LS9K2np47>SX* z1mJ*haHjsWw?`Cd>x#fbAYC!^Qjl~HMfRU+o7q&{> ziLHOPF*KLXZ!Rg}IpR!MNJWUl+(1#Xdjwe52(^OcKHWrw{u@5_Rz2jj`k9o@bs6?| zH8a0wiY83Qvch)5XH? zMY`j3&u8VxzgU{SC(qxm%+a1#S&r<8ZkP%ySF_`e=>m?Y6_@+aayKj6K6Xg|R0%j( z!7y$p)BTVYlpFDUc6w7d_-bRm`>PZG+@)#@vsAMs9}1=gy;mX`VDLIR@mu@_)n^DO z_xxf-UBJJTiyQ%NAGn;xhO8_)V~(@v^I}8~H^XkMJy{GQ@3Gzb}mbOkAE-34?8P zqEMur16-sXg^-Sgl*qY>AFNoL8@Ejp!{QnkTyENHSY_A1fuYHPYc4X!#}kCj*Vc~b zT9&dtuJJv91dbm!*c2Z6{k^*$tHQi&<=k={a9ej}`DTp2(De<@r13|ivYXQd6djaF zVk>W~fA@XBWPPhvx1(|m|4+E~Mg;L`EortgfFn`qk9(;z_UuU;plzDyU#29!b*6YE zCzXdao&I~Q=*qhv8~9dq;Bygn;-@kyRP{XYJTIj*Qe6R_6B)dTQ9zbl&5`5+Qt?dzRpc&@>=Kpy?=V}>9h#T z_bJ&lV%`V^nfn?Nxb>7LtN#YNxwWy_5IAzIn0*@lG2Pil=}uB_Ztp`M*VzBJ-#Ulz zXUPw@axF*`7n_XEJ^EiJ3JXlo*Z(SLZ}4ezr1Gw>nSdy$byEu)I= zmc1_hyKgU#!8-VUjb_ev_tHaaNC?leEHbI6_s;5p`J{4?;!{t;I49gL{BI+VFM)sW z_^+0{b^H4^{A?8F)t@@@ot-}(K_NV7*3;isHxs;B>>K?{!~ngX((e}cSlZaZBB#yZ zBaYpzeXm_|Q~?bbNF`-7t6k7*Ng_MH{nuIc&R|#}{l9spo{q50rQwVLVD=uFGezT8 z2q=!F;l;*88N}^1S~ZYOX=|ikR&YOr-g#x`-stGgKk-`))isvcCobj=kevoG(C&2u zx4x@~_$nqo{=Z|hUV0T1i@AB1u)Cp@7v$-!8{(7W6JKjEi^Q|a8$F^d3bX2xe#4h2 zgS@@P^N!Yr2U|~HmrM^IE0hFm#R5-b=Y65ziciO4N;$JLMWMndHaKQ;^5)qg#&nJ) z1oVLjQO^xfd61RvMr)h%_>*^<_*J5;Z3piGj9*LOU{i3S_2~x1hV2K{Vm;M@iR~6D z3n@~U3;pX9n}3JDLt5UQG6O@91!F^)3^}u*#s12Gqatn3b6R(xMes?#9ecBk=Km^d zc7o@(H{NysJM@_aVdfF{1m;CKO_KMiC$)rU+^l$I)gTRyQ@!w%)#hP1+ao9439vqf z9*2?V|7;iDQuFKb6gz|&sHA-9w6dRXn|EAnI;ofaLr!wp!)OqCioD^7vbMbKd zlBB2BMd2yUSqxhb<@#ylNU^`}d$Hw33KlS?f}?5iJ3=s04}G3e+Pf|Y^%fNrujrtA z4RG2oVT4o;L7#?S2kvI9$r3{mxe7`|a!+F_0u+OG z1v!;6zG>$ApE*CU zmcCH5Mu@`?WeWZy1V6AIO|GouO}x;(Je$bi2+bdAKROM^&~cb$-1po?94L?qz#b-O zK`_G{sMmy?==sjyXg?<=po6E~Vn+JGBm1|D*;gU1^S>ef-}7=b28#7X`TqTs%j|@m zDmI<*)fZl`&lcYmX6+M7%UKgPD%WkO_THsybRv8`fIIvJ#royA*An>QQc=#!XY_QM z%MQ1*CqHZ8z2kszmecQ-_gC8Q62AJJan!<&s`}8FMgBwFAVt*bmL9?vi4Rr};pYfW?7=|3^qt9%uf{p#;kq%JukU!x%I=_B znjl$6F`vYh_N)fZ4h7~sb>foTwBo*n~|Sz)FgH*X@Y!|&&NMg=G=Zc=+jEo^UFZPH{W{Nh$)*daw)mqtyb2SoYD zVHr+S#bTy=BcwWHL%X(H1C>FvoK_PAuV20s*52(1av#%@P*E2%Ds&=tFO-PlFF`{j z!0f29Yv8fvN#hxK*3}Pmaz4EG5M>B}BxHdZu3crp5N&wPYXji!S99R6sgA`TubHs^ zzXB!R)wFe)HSq&>PFl!NegH^!7MM^x-SzA1WnFetLp0{Lc}JH`;Kx(LzV)~50C1tP z*7A0I@TZG6*RdW9*!FkoVePXUD!;82BD^c%xB_axB^>Ok1>HS`h5(wY;SRP9r^&*>H@;g_|JA}$WPPK zn7LWcGX1jQY|J&-iwqTZGUr=>BK#F3)>YWx!88p|ew|4}cc~ZL=z(XVnet~#4YRv+ z3s9q_HJWn*4cMOw3igM+zyh~!uH;x+Zq&%9tGDx(aK%Datwqma;z!?q;Jt;ZE-s? zIII`lD+eNMUBhxQK-A$l83t@yV~NoP*qV$a|4VMNYq7?E`b?M;0PBqneie$K{iVVH zE(-#0n?`$A!*R;9-jx!|BkiW@f=p8WCq^)&Uas;_dHmJ}c%2rQ;Q}02u>I^e zk$JThx>v;+>za_dNPOJqwz*g}@8z~~f$d-5MJCk`#wsx)qDR%~m@=@M-T{crr zBvvmllJu7ptsZ8%JL0jQ&6nUE*>y0G9coGq-{}eZcyOtG0y_r-As?WV9#K&H_M$7J zmRD_B)Yy{b5T)B4HZ6>u$(K=A{k+F20xt4DhF*Ood1ikdz8tQ93wy!PD_SUd)fe(Tn`qoX_RceENZ55M z28swU5M8nmq8L&0j0e@r17;Ukx*8wpv}kXw>yT6p#3(QL3;$Bc{fHVf9+Wd9Vu;Dh zFku0jYadGr0Y}tm@}LA6fy&Ez!`KySz~-CVC|yQiqS5msvwIzjjVd>=>WsjOFGUL> z6940|x(bB7CvT>ji5Cod^4siI$JtXJ6wQ@_2EG1?14cyZZLh?*1*lbKKDzo!kIHjs zq8Ipdc?z5VOkt#hG|2qpLKZ9`)UbBCx~f%)OS%TRJ@cMhaFH-9nr$x=yL`XSD|AcF3PNgr; zSy0{8`sO7#LrJ*|R7P zv_*En<86aC3U%W?f7zXwSI%nM54IoFKEHj&@Xd*unhk`xVRyTOuY4V36;?mbCq3AK&64qwqkDjmJ|0sC;izzsZP4-iG2^3G? zmC6hX7r9eqXt>gBgIaf0vnN(U-sjPcANFtC%_m&bl^35SQPG!zM;)vJwG*@}^fa?DCQ?}iB zijx(DyY1bt?E)u(U5tHeD>P@Thu!o?i~)GvsDQba_Gw4hR?Nt510L{yrHQUpR5kR}}hNhl({2+|=m5s(skZ-O)t zLJvLk&_W3{BqW#Lb6?y);l6oxKRajUbLPykg<38}~8k0p4Xc{@7W@<>Wa@`%fbNl1!Gic3iG7}$CEINI3pys@%z;*sDnc+F#E zXU(Hw>*#eQS@QeZRWW2_kCpz1kO@-$Upk}mf2kk&t~qrhpGK968O!s#ucEFeFYwWd zBx+oz`o^2~M!&j?iI=Va+BS2~^*eyw*LU7rr+XBUsP>ecIpI;d#&cdlcV@HC`*_k` z1uO%$<@s@VQ85d@N!**?D#5ih|7vb-F0vo~*MD_!X6xFg%#m2}!<;`_W9Dz4CIUTf z_$noJ>I#@Lk{SmNX>&bSC1f{pcvbmp`cy}>tKSg>!bw3-fHI6(v#}}vWaDD!x@Al{ zGW(CYZMpY+TQZ7A=`lC<1a;M%};)M@I z>`7Gib1M0YSuGRm5;2KpmoQB~-Oc%=K71BrWwlGsFSZvL80_+{aL{3-7U+FcviOaW z4#ZDY3~Q`XnDTb}>T^5bGs=ZY-l9bL4+o6K zKD}G_aQZp_8K-X0ygy68u4Jth`jU6;6>HTy7+lC$g!*>V)?Hz?6$Lo5Fd?3~bs{Re zK%LQ=QawvD+D@jE5ohUr}W6*Q;Mf zg{R8v>y<}|IqPM`j#VL6XFCM-Gv~hIU-JK~gDb4=gGUN2OJ101ZdCoyQX6KZ@&5N- zE=m)78+p@Q@MG3d7rXk54W+sn=0FZaDbF!-8X4K#L?xl>_B4XIRjzo6c|@YtPNh@5^{lmC7;>i}+YSbc-DGrcLl95TemA@FbE8Z2SLjjNFOfUb%G zQK<0UJ;b^C{iv^cfP?3y)R~j|9uodcr8y3{MX`o2f4EjK^YtOP!#hw{iXPk1snf+e z@%#%bze3!|@Exf%l=)nWESq!(Fig6>TTR1)%3GN5(cHtOU!vi`u~Q1u-FR_)X{QKX zs&&c;Z$K2Y-%9!P#n`smXB@oxV*@+wSjC82ENyHeSBZy6*xrJhX;`2axFN6nqtM`q z$B&l6HWgZ1o1_|ZNQ5a3SQ_h)P7wV-;sIoQx~&a~PYVowIt_GLUoNm~8oN7*9ND7F zU*F?m8x*Y&Vg5)x>TyONv(7?0R2cVPoZ%||K82ZS>TSJEO&rgbz=3+DYY1`$6Zj=_5MDkAg(jhpI`94GN*9;FAz~# z=y11eFQ;L>e7`{vWap8xYi?Tm4c3XlVhM^jjdOoY{l!^{j^ub|S7tu#G-d8D3P+I* zuYQO8Y>|x^V~zN<=A~Fa`UWF1^zOMA{{82QiiL(rJ2WR>3;ahq70!e{Yvq=HqORKh zXi285qnY6CNuhrBhHe>lmy_NQxt>rkd+qyW$3nZP!2Hp$sPjLe0adYI4Hr`Gr#R(K z+Klyom&_9OHKh@C=z;PENM%nq|abC~t27qS;n3?o!M z1`vDO(|@EVYd_6=#7^gBQs%dXQxSnP*O?plbLw~GxQ}R*jnAU|0vySWzBT=P*lT?| zS;P#`9>g-1A*EO9E;e-^fqG4Qx8mg1x?r+(VaKDiu6f6Rm-*`UVzIP{-8Sa<6&jwamGyx z=P^8uL1p&=h2~IWrr0khi2!#^cl9Dpo$T#QcD>WLBcsTzsdYw_QWI z#X>*neRPs~2MoclZHTeXa$hTzwSHGB)pp+ce6veB>~^8SQJO?%K;)1T+P&mSS)PEc zb+%AxY){*Ip-JBBDi(b3jr0$6Q&{4T2y0D7Uu^!QT$mC4N81u?ij5ssI?6i$3?y&s zb6Y^I^^|{-^5IJ#cq<$k^AGhmJ&AYA^Fq$>O{y@?{t8WeL^*S3XDRV4byt?@wJe(K znt!3?7DAKqtMnl)%P+ULmHX^{`oFy?C`OInTW#rX(SXDFp!%t=sZV>YydD+Tf0#b9 z7Om$skz#h{ZvI$ytmrb6d;Ip9H{N(F_T!*w|KyL5HQ2Up@AhfqJN6Xp)aKwP>L+a1 z1RVM%{HOk{KDpj3^HSZ?9lHkp4!lEE>imat3a!4 z?<#MvL`$>ihPxwK*aFKZ@#{o2)C5Czttrn@JbzVQ>!nVqH$ahgx{I8~x3ntA<-sR% zQ#psXHc9tyYh}t*)whR-hjdv!|5$Y|vTxWh792eb`Q5CZ+fkD9jAGv2Udf^&fNW03 zOo;QPKXP664tMXUOM_rSz!Mln8kI_w7h46(3D>l%dCdWyb&-84yU>VY_hD)wZEiTS z$=oA3!mKe_Rd)J`qi_42Y4H!Qr<=fH{>p)z^8f7eoej8H4`*k!T~>KZS@tKGT@Uz6 zeI$m(@F$a}If6F;#pMf%v%W#XiSKEBhiNaX_AQgkW(yylxl9I#PfeuXFuNaWVD+Gq z>*w!_TUHIa15Qy+UWhbW3*kEz^S+rUcYz7D5wL(<9`p)m_MWb?iDzCvUD;;PhCxF{ zNunOHxnIATS>!IL{&Sn9fXfG4$*Bedz{J-Nxxsn-_)p*bE3C@Ly~}B->P|nIT1a{0 zzOhi?6m+~&E5L4L3J%{O6JNL<0gjsZY8qcoGn(1r8H8*;5aE`nkOGIXDm0vL)H#r zL>d)*IC)#-<9ygQ&OWdI+jF`g!vS5CUablkm?U4|zTE`>t7*Jmo*NJ#>nPWEbV9n* z)GYPbfaY%fVDp7KUnN}7eMEenf?`D4o8DBV@PF&CI0HcbNWXm_~$ zl2VDtXxN8>NMy9bov;MK{tU-N_`Rrv@{j9nylT5QxwEk|br3@b z5gkX(KV5mc;>8~-8@;G*;%U@ zO!l~q#%WSvU&C=I2>0v7WzD9s!vqJ1%r-XP78N^NyHxVvta?OS4`>sG9Yg&H$%i@= zZ&r5|mbAXD7_VIt%-^JuW*++SDW zERV|tL5Yu=Uk|Y?Jby0o2=rcELx3iA>aMc>UGwsv`I*rXlp1h{;$kSHsZ$h0@2+{x z&wNuuA&{fG#-$|q>xhMKU}DD5-Afj;s!gj<|MZft1(ekLj`^Ejw%b$2Y3x91+GT{m zMt6pvhk@GN3PTHO+LClFq*HVBlSDX8u>s~|@+6CJUDmHJr;Q;aux-D-cmEHrT5LAn zBlYW_y&v196u4hCwU+o4V_w1|n)_C`SN`4mX$#Lc|M>G+7C9z=$F^G<=+ioB zVWM8A8^q%3yd0v3Uw%%X7Tpl3&|)e!Xb34d`quyxsoZdtW~tP9J}KlgRxqc5IPA^S zpyl5i2}V+eMnfIu1`aBv}KaT!$+<2XZMK< z2_%rSQd>yZX4$3&fy>jYIyt+2>@oWw!T&We`#$34Eoi`VZc!3&N$flU&KWNaH1sOo z_o$>-A+y~LEm{!5_*aej8g0_bHzik4y|Nj@*4#>H)EFaB9-^%D4e6ApM6SquoXnk@`N+6xEB3 z;ZFi(;|RK&)AsY9LrkC0KLKuW7+CtOS=_c6gl2)QS6f=gQ|ryBJ;QD0{e(`wdJOb& zN20E+ZoLZ!&;;)ptFY)2Mmw!vp<;Zi&IJM21&F_HX7AEZCnYDT^0Qp>Wgd?8AMm>> zc~5Hk{#pI`nu@GJcbS~*j#(lc#KgcQ6*K+YjZH3ZTcWOO33Ng!wvJ9v5TWZr6M%%sLaqxj8)|bxE&T z#3b|R6T2Bz&#LVdyw`HRo(o>`@sloJ69qW_lBzM$>5Sr7y>^Y~IK5y3zysUjXIfpd zw_hZP({Gnl&Ry#Z=Viws9@1D0a6VuBVwX=qKO>%8e24Z|3tpnZ=F22QzW5ekbcxFv zE~zV(O|gr&p@_ixo1|B3w=5dzJF*j8Tq7QgrwMp5bh?_+Wm zE|M+gJpDlWx1xlWA{T&2Pq-Eby}5Z~Rwd#0S!$ZHtP#<_rrJKHBL#q<2NB^^tuTwp z(X(&tr{5R`#sK`L7K3-)BpL(;q`LmtxZa+H{-H2`!FD@d!k2CT+JN8d&08D33f$Io ze?Nz0{u8k2(Qajb>zA^X@<@-XHSkV~pX<_qXvXuW)(f-RER?Iy4O)+#uu!&BrICsf z?_62~BfMw(1z&h7$fb(VF*0DWYIA9{YO?WpF1!sWZ=adu_TvtoPrCij3a){ZVx?(0 z!Su<1Ff_8Qeiy-qZ}h*lUfx5JVTMn=$0`PQ{!Cj_|FH(uqW51jk^P3|M*>|5LXv&o z0O5L?e8r6e6(6A4AEftgXknAztQ;<~qfj;yJa4;%=}Qo#Mb5VAPraIbfBtLife8rqfFx+F_f%%JDw@N5 zJw0~{ZSvFf0m;M=S;nCYd&>hc{|Ak$ll0Ca6y`q@fVd5(a<*Uhx*m(7J}=-{I-?{O zQ=MN1saBIipi3QdWKgmL%_p6J8-!*01HEe<5#4<&P4#GzCA4!VN!rs&SAP85tgI=p zr3TDOMx3EK?+JpIkwYX87-Tx(HwfAE2To*A0|vtSFI8A9(K{m61S<|MA$2|GA5}RI z>a1J}<7PM9{Ieg5abN{J%i_U8J%KhUar_b zLrsGI2;<)H9txD6@2)Y{L*V=NU^W86^KhyhAFLxWzyw}{-mB!W)cay)#4>vB{p;cF zj(g{T?b*R0z1J8%A0DD`0+b`10r$76H;0n&k^X>{yu*irupdl%NkKpaLG>tj@m>2`#DYtmHA0*bX^5^9j{fIb8Rz^R^=li{2sc37S zHGrp9Yrj{RXN*;BWCc{qUtG_}&;Y_FsoBnfKVmYM+E-8=jo&&nGD!zGO^8VL68Y6yX z>v4kZ!yx=_e`u4L9&TOTqZWN=*ZOW>bZzSLpWo1lZBx5vXT{-~eApRXXw18p{v+O! zSsN^|ZXdX@3{wnYTqbF}*RcYS>QymxcUwEKGfS%5-}Ql>5m>@|+Yu^?C?yx$znypK z4}G)yD$|fp8SoKGM<{>C`&EpbOKo+=;tP~ZsZa4-lchVc0*AcU@uYqDyzWP-+3t)M z1UJln==wP48)YDTZScon>SP2da#H%Ca!Qa??d=c#ICs8mS{t_(zd)DXUzC}Y?Ll8029B&8#XJ3B!Z6ryp)#<2AHW5E z#GbE5q@UP{--7~;YQJ?JysXt1-7Zxd==5 z=L$Z3b%Y*Kz=a574D6K>y}egR3*jVcqT!u>T;3t|MaPm>XREnR9CjjI)rshR(`DKWflR(qgmmPoC1rgt-PirJ(F9;Wg! zq2fekyoRX~QdNWa$i^s$khy5V_QZetJiZ>mbMBdm-CZ1k>T=kS?mh8WL5-*g#8rW* zbYNiiS^I6aIs1{dnT|X#(KiNTpa~OxMX$4QiErj*8KgtHeT@@ggF9wo4MK-wKUASKJKM1IaiAc<^n6|z0 zs(!tWY~lA_Nq~!liEh*^@EekT{(I4O-eZI_Lu?B=SbAd3J-!zqyU|s1#~AuUTOVf! zQ^&y#2{u?j;rY0VjXbK+Y{1~{1moI6_3r>THN?}6DJez-{Zm(ErYfV!1hkr64hB;r zWTLl0mOzSIg8rPmKMV9*oabGEmmLNUHob;XmRF?P=r?hAyu)h3j9o{+9}K9>%2N#i zj`trLa)zGsSZG^8{qtWyabKla4uyXmc74;b;e{O@9A?=Ct+MD~+c>Nbor|C<&u95W z8VgrQpzlpXht%mOAvzLVo3{p_%m;m-*M`sU#w1{ZN-4i_I$KLs{dQ7A&e;f(HU)U+v)yL)CtTTDP7MJMnps7T)xa#Wj@CroYlzni)i$3zq}ef zx^YM)G@fYxfs%1R^!gQEqeAaep^Pr}%GTU{9u0KV2)`uS8=FWjhbi|@M*4x3-^U6q z0#M}G{-f9`^uYSjqcvzoqUNkbnZ3Uz&!V}hEsfM1Hs{waj?qrfNOJ&&on-p!nU`oW z@UDLaH2k9~k#v`j#3H?h_^xC$l>l`N1JL4g%GP|5KOa1BdOQPt$cONjUdt&vu(?lq z^_uAIG!13qL*#twSJhwcdj=u&{+UiAHi77IvPRf>6LrXCz;;yvDd06x;Rr37Oc;0S zha=$!A~WJq_HmTH=BtP|^u+P+gu(B)R034bNPaH*P(GsJ^^?qncgt??v42)D8n0nP zf3e?>7qk!I5#fBe--~$D>_d&!5~*KTCIgot82*K2&Y)=Q|H>7fCVJNL^%`0?U1_YP z4pAn+Mz(S|=l@@Nl^I$*9)lH&K}BB;su0f!{-4?&8!kEh0!y6r2dz#?eS>D7<=iM} zzEUDSn;rQuLv<08u$*?4)qg|hJTZICletzed&l51aAMIx?4H;gSjpWSt~ReWG51N9 zLDIvona}+{TDCf11ISn68<3sy-8|F)@kj#NkhrwAC8R3e{V2=i)+DK}ef6eld}f*v z*ghm<4EUa%f#%ZtY_5rEJ^ky>DPpD``ygp}sBWNhM8Yj!56A1l?QCNexn~W$dgg>* zkdSZd_YELoU;gqoOZVo^shvG6v%4FZ#Qp}-aI5eGa*WS#hv`qpTQ6Vb+#U&R-)5uC zAdMyG8=`gQa{s7J;Jjxol>n*V=26#`0}r)=p6+OAD^BM{2DWo8*WB1_Eo!4YmHLOw z)4|M;atYhHtFttRdc4)3Z?aohm`K);(zW!W6f9-+ev4-+yT8(}AX%20qH7>J3mxdf zWmEeEPBHQ=cj5boIK^92|lYtzQor*gAxFO>U(ScL&fJnqDr zFvAWizgLSq9ob26FJncYnLZQKcA`m`L=<$8*PPu%ysk)^3I>L%KGP01r;|Jh{SThFio?NLxc; zA(}vu#YyFNAMIi-hznfBB?1?JNuX2P1Jz7>4Rzv({e+o^%dT z@J#jgmQJ*8t;uG1;Lpfo1&CkOir9(e{!Z6R8rum&V~0yO)rvLB4%*zFly z{c8CS!OV5)v$;`7K2%P9&%IVToD;${y?k0&R$GgXa760%sTl0ar-S^7mJ`ZqLpHvi zf&IS=)LI4(?}KrKn8R?-taxo-diC}ypFpwmtawa!=NF70tN`h#`B(p2^@Aa&+at=q zSj-XO3zs(CPx0ul30!C2dXs_83vOY6i1)3NBQF$Ni1=^0BoCcUk7JZ@6_VKNU&sBB z3lW0SZA48yRb5U&?pz~x@cTGAUYCQ4xscPd>=<=lWeY=Ms#QMka+F%pUdFb*1lU6H z=Fj2Y_Z49~64-dww%U#bmcs_~WjCqMuF;*SMpvTdImg`PV`<<4i02G2fZM;$77}OV z$KsM^cfLr+1^+3eG7DY2$$5$9ntrB;it_xyP)#C8dsbY`J2YOweBo0rt;*8F}LRRV0t?=(mH0ce4& zt+$5sXQMPg4c?b~)ErDAP+*8>G^9MSmn}{tf4LP^LK`TV)GA|*cIs;)m9dWQWVr9C zLJdJ%J|v~%iwA-BHd)9c7Kp5amJL6k_&WRb&-lw^#KI zfOBZG>2PF)SrOe;FCOrKM|KP5E^QdwAlKUbT*P6=V;Cai^yQ_)Sx=sNwhx-U8|-kl zT7|@@@L2;OZZ@HF6k7~2}BRA{kK3e#d_YOe5B=ucKWFBAS)a{6EJ zg=X`yuNJq2;uw+X_mN6jfISTTTxtKzKV&fB@ebm`4DU4&@dp$>Vn~RE-*1He*xzvw z&d;7~iXnEW_8O=#@tkQ!kYqRixN}aN`_D^AJhKPJ+3gSCQ$YUMpg%Z&QI?`^Y}ZAa zB}1G{PyV%|gLxALJCk}*?fnh+>Xi04R(Z(nNSSiPI|n{4zJEpz;h!y@uKLF+(w^V^ zxyXq)Q4}mYY`yhh5jJ?3Rj}@tS+G0*Od+m@DTqXNYLxC_2pNmC|FcVp(WkZ?p0t0j_w}5zZ$~0<)Pd{bOJZyJ zoFLd|%BzL8qc6!-XYV@RB(cYaF~E6@X*m$7a`2%bdar=M2aM?n9MGN;VMAl_0wc_@$rr`fvcCi*OZAJ1k=S4-M# zY%AXuQ*afdP_^LV=on}3%~2GWNmOxgH|?9mzave8MCr2|Zw){I+db}4$7)lw@vV0C z4epD=O}h1Wk7v2CIfi-2;r}2Z@;HVg&@~FN&1G|Z6qSBx?Y8-G>uuMt=Q9P|64$G4 zlm?#Lp?Bv2@y3Y1o)(^z_~_`SGl4}Jf^@ut`h*j^ee8_5^eb^D+3TYIR7v?55`Xkq)wp zQRZVrC`6m`(lZypF@iSm8Alcca&I`tw24{6;e*8#IVM+!N-mR}#$~$}B~j{3>8Ij?=Lgt~V0FDExuccUGdYzD$cT0rl6Z9QV1ae+&h#@9PSN(iMxiy%p$Eg{s{%*APg7hmHw`GgoYZD< zI>RdaQRfVBEiXK_*~aa=`~tQ%CZS{S@V;8ZpjZ&P`SQ3E3VY)>L^|oTphL~yZr<`i zORtF3My~H8*xG(B&5JUUwsK=MDOisH{*bNQr@D(Bmf2bbW$na#%u9>0-SX^Lc-jL$ zy%-aQW&hNecqxQ@aDjIO+Bd|%_0dJlh8PhMAg-WtcMB+mvJe4&>c{K*sz?OtqK&=o ztQ_5P;82e9yDkYLcA|7tX-u)or^~IIsdAd2Y+{(3l6{$t;Zn|zb-@O8UE#IrE`7-D zkt3gZI?27bp=X{%0(j~-%=NI7P1QEwLo4ZOU~*K{ahQSfti0E4FL3-YAPpJ7Ua4L= zv~l3M5dSj-p_{A9_y?!S?6#m6e+}~BU>ANN&-vF=aQpJj2mps)^Zp!%-SpFiZb%>d ze~nL0^7-Z#DLED3;-XTcz`RP8gI;#SiUOi}avXET$mOAI2TkOC?*omA*ZZM`-vrRz z_%x%S;j>OB|6=4FIn5ta(SF+}9Nhv)t*HvO&?kVupPYoZd(NM>iCmR&YCDn|3iP~x z%Rz-fwC2KlF*spu_YQp*CHL zOUliY`rNk9TE7qMxjw*cf-H*j+t^Z7Z?(nI&N`VKlzb9{7`42H^RaJxvz})KO9Fa< zXJ_N?1nSKmY0ZT_BY9#TFlOCYj^h?iiQz(ix}I8WC>$huy?K2VVe996bRY2O zx69{%zCn7)K-KG)_xrIGxoy@*DK^xvbZk=-La>!C37CpwZ75YxI4C z;ceRY1cjifP#m<`r^<`aFhJrT8}n=#Mcll3_&_fL{Xr4Vgz*jZAnvu1;`D||GdG}3 zFnS!ETnYUkDYjjMIM!zi`&Yf@<}WAuyn<4zIT;Kx1FS6-08-AXo+V=lE9J8KaEH9TBaIW^jw# zJu|EscSUrz+r?{0iibz`{h~F$EwKyEL(G`|!#g_~J=^{rW+>_`0!Kz$Pr*z6OV^QA zGN>DbxA#s3+(DI)Js#a0e)-Nh|FBA~lKb4Kha9Wto|_~cOHuk8MxyJ5)a$#$I$5eA z90h$F9Nz`vOXUGTvG=3VM_H{Fg!%JWQ8ALBz-s5mUgVcg>zrclLHi8f+RO1hT)HUw zPzbLvF~#`FPcGg#`gD&eS2;11gvTmeRE^+GW$*I72qm4(@bAlf^g|VteJ|UDLy|v= zyym;w4!$o!$*D`_z29(-4(E;CZnQVxxPIU=oZylj$Kjj%4XWGloEsG@dWNW0R=#s@ zWdfn$DOt`yTzoq;Z=Yiu&zcjm8`$o3YquNE`u*FQ-G>c67 z@$Gwy6kgWpPe@Ijr1%J<9V!fj^FX$#*}G-D-7JX*P*(Wa-ubb68q##}QzyN=i2DcK ziX>0)7Rzp(swc))zrPCy-|)2hf`A91l}8g8;GuH8UxSe(m>Fx`67$K!})^*9;gXEVinEen_K&o|#EF{RC8zSuG9Y_x)3_`xRaP?_jEhQ`o;@hTcfkleDU2^bsH5I0x z3a-wSoR{Jg(TAANo6Hq0+|0{I?jwLOLLOj`Qsr2Es{Cpz;6RE~tQ?tirK}N#b>a>1 zyQ9dh6Se;VBN_p&>L_0f_vXXJU~? z-g8b&2l8V_RnNxk#VE585)hG*oy_6h=i8^VRL8Qp|LU&P5aU+Mc-Y+bm>fcVrC(%d zXNcQJTudd~pj{GYeFcys81Xj_fzg`D80Zr}CV3EBeQZTKuAjb3tQN~Wxvq6aNdX!T z#~#gzacU~D<2r2cdCNMV9wV-G9?d)mvzs~)8+6**$<=0t)w2F;GJ_TU-Z@^$%BYM% zNIBn|7`#{v!r1leCA=oil|;P|t*+}tvz_<*d`+FCWqU|689|PfE7>)#zfj4c${DO| zyV)u-NkFX0+GEQYO8&sfcNMSU+<%oW7{{&i9U7|&@ObUk_y())GhmhZG9b#shq6qW zLclf8U)?Tcn`;lfXO)R_cSpiFSB`vaIdj1>5(H0`%#dD`(?P&-HRPJ>j6KilNyWm6 zH+|m%Ww5#QCbEUC-SE}|yutezpPRFXYzftS-$A4}z&A-YTcEBfHK8Kg;*79B)>D6Y z@{qk@hIN)zi)U<50GP`+w$uRD@!3u)u7)sFc(4L9 z8F8&{nmPhd;_w>JE^fY7!Tuod)Wv5@oqjEHK;Fj-mAG+X=j4W9l+f@IT2sB|XR+rw@)WRtA}lMR)lc&8AQwQ|5H-!lpirNm%sB(^3>v^aVo^sMO_mu zKW&&8Xx~tCXLtui!m2a|J%M>++nEtg1SLkL97IW1he}8e<8QIszg3xjcSwYwPqdPi zqF)@uYaF;mF5w{J*dk0V_-BiZ8C`%2g5C^&2>a4|)E2l_^(M#y& z(&DoHgDEE*>Qz~^KcW=%aGr`V<{)(;cJg`PkMN?wsMjf1{r$OZ#sentQ+~)VnB~O%Q=@}#?RofB+i-N?3sp_f?QH_9L$oz*&OHI~%el`t)EM_$rJi%xbV{C-<%(O{f1%(0F_S>sk6u?Xb=_gp zE|5IK45B}2Zke}eeAX2qSvx2HsDq=j^g5xTyQwDFd}PE^{Wo}Qr=?gEhe*a#t5TzNU7a287Kgx9UL7v+%%U9SmM^9H7O1X`7S| z5PuGJRhBUvqWTNY>_yzz7c-{CT|3|L@fSPKiN^?yoHSFTiY{KwdoJGW0FMRwT%Vfi zJw36HA#qZ1#{@LA?5nsng)eSwX~Ps9)T1hP?6?}5Jez?P zq=df6kNfg=nJeerou2KY?(s^)`k<;Tt(i7mVx6}3KOAQW)~S<(nRey~3WTsMcY9xL zidcyd2Eq}NX15e~PL+qZYULn(?~1@pkTa3lRF$GRU-ka(6XUr3W-yde2?ZVAm+>oq zQ>p`SbIDfLjL;xkdwS@+$R2Z<#+pg2OU~H=?&7(7PGM`zkQ}I&rFK;7o<A2wo44;M7q#$-k0C)6NSW%6te!ZT#uKEMX(p+Gzn7EkRZ{bj4Wt<*O(^} zt!OeV;Zs9o(3Yv;v5Cv!Kx5leSHu5$v*Y~14IhG2lfwhcL~zi*P#WjX!*BZue-U1i z?Xp4p4e~n4OiCEs^B@wyQvR`nDld`lK!7+(*1>cT7;hFq`qoVn?R2A7LJ!<0_T3`A z2_nfII2kSBF0cKSQtpIa_iOKdxCU+SKAO4Z0ssQaje<#a-6*c51~L^2;GsCC$LYq{ z&wH&dzML8M@RzE_$$836dpgZ7B*u^Ft@D4_XowOW;@j(0g{vJpGd2lHCRz=FY3Npx z!Sqjd6?x*LKG{EFca}+hUr6msLrsb(LCa6R*aQ*~h&1EIHh3#Ia={3m&3xFj(`Dsn zT2+AwXQziRZ6naln!`mAh^_YTRrb1?!~8agEZAU2T1`B9=^)FdxteRv6 z;*u5~MT-maGDQjZ5C3wis5{Llg$HN9tCTP9vtE_Zf2J3}c3p7h{>*)xTEOK~YyE2f z02w`r$32Y`sK1w@J^9r-Z_8d*J?M!##cM=qVCIy1n(ywsEfYR#xf}gg=GA|kyTY^k ztb#0cZb$!dw5lrR_uq;L&GG)nd0XbtVe!LwqJ7BViu1Uq*`q_Ns@OT{KhDdl{7c9; zYkjPkNnHqL9KUIcU6&xCb99O zW7@A@(v0;Ozu0(~)#q!k(&V}Cx6kEm2nc>_l^OM!x%N>)$eoZoyq`yZ8P-Lxy!|p5 z!5z(VNp5vJ7!wOYbJ)ACYI`jQqUSv!XfUWaDgSxxCRFa$3r#fxK_}ZkUS`WUn-9YS zYb8k(!G3YyE}V)!9Bj4wXF{o;M%tS3jY*bvhGAO#`96Y|XC<`Kt72-gpFTw0T5*r-sB6p*8;`WDb=;q4lKDN`)8_j2YX!MyD_DK zjyKnDqEdZcznb&16b@wk^rg~WUE(3PiCy9+dZ`a*vp*^1#BPzSbxz18L3XvbEJv4V$Bv{EazO08hK3-fm;#$zLDbvBtn8Q3KdQ5Tu&s&! zg*LkHbqG*V6^wR;Ns}*Vbo(LbG}t?Z2=bF1moo*1VmM6su^j6NIn7w|$KOvE47o(f zeXh<|%TC?ZtTdRn$UdCp{sC+i(>p5*9c6rTTYlNLTEe2&{YAygyqC)?EZrmGqRVZc zeXYV+-@*R)@_jYvbmbX@-8ogL9LnW+#V_mhWQ5&|!M-9kE;M{_cTD1RRPx=!^0`%) zCGFrAuY`do?9#SYCgxlrO-kxxPh$C9_FI}}NNlGoKWQJTWxCslm3LO2Um6hZ`1#-B z6%TXr+Ib9vzEZtrZA7=&H2RFy%CGLiT`K0Mzld7)t*@z8sw=@y#;^atGuflcCNVGm z-tnk~Z6@fl15qFBP}a+@q@t7kKq(>$A|*;kfk^KJ z0jWZe7J7gHp(mjvq+j0qt#$u~`@?t6TC>+WGtWLV&&=L4d(IhwBS(&u@g6-)A&2*! zLuum{2zl=BcV1cb`i<+#=kEkR_jz_+MOEee4Rs}D6(yA$%Btrr-2y|NySkl!^u*Qs zyz+U=2j^|wo}Rz^?0L{3(y;y5p_(H{41oVv9J#{zKXMcFKN5O$(}{Z~M1K;lTM~Ks z!Gm`C&PDH~s@KRYg}d}SaYJ%vFBn(qo^z9TNKunLJABdT6Hm%TI?X;cD&2>$%VeuU z1{^)MGw6DF7y?h$&7d*|qgEX8AdDjh?q06yG1x$e2s*sdzJLEN>c_rF)~|ZBb1bl9 z!Z>{H!VC#=od1-yF)k)k0>rDOAqC0%+FhL7yGc5lVPx~p%yexDDN5Cw4j)qd>SwEs&AEN3J;Y5`DdJ!E?v;#BGD*}5z4872 z;)Cp}H)LJl3Gw~CsZRdMDuhdYa%o~q&nn$=V(E)^Mp5ENOhifQ7fqD@sGe?Nj=V=8 z{pMa=lm)^4Lj`QX!Y2hc>fCq67!{vFPENinO;M<;-8JcYxjMA$A*aNA?fa=R%Kh0? zdc|LKf=Njwb0Tec#9+Mey5qlxk?zw=Q(uBt23yVsPK|UK`sQ5^T}oio7~zsmn65w5 z&B!p>_#A+A(`NHHq94tkoW8fTcXy|xWe-5g_)`CHCI=T>x*^nLLH{J}dLgy3>rVRk z?Uws8ScA5#Kc*a$26B|+rnhwWG|3azo*m!8=BGbie_Ei6xN4`wb-HHl(=`#?+m+FR z59o8vJzphl}-OW{cJKHjpW|`>Nq&MaZ{@~GcJ4ZTtYx1@4ADK|JPb|Th}YA zU**+Gd9-l12erb3ekFIcv<83^^K8rKl}qmq^F8}IeyCXLoez4Jg3i<~0js+^Xv&C^QKpJr^ z*fV6E+HT!K=!*2LmEvbk#nHEHE2NydC1^*eu#LEV&zgX5gt{cOXMs7(3>rjD_(~(% z-0v3HQBaNv(|vo=Q;$4K3qLn*2a(V7Z*DvXOTFH3c@z5i%${-os|SWzEjM%DELeEP zUzvPtHy_VgvA;aFHMEoaw8|k-%{zUw?w*ahknVLy)gsvGPy%fF>Uf?vReow+>yINc zDX6R(qkXHkFl%eaR=0EYXG-_Gosuc`Wob(_fy)%$42WSD+3OlW`r1k%G%-6PzGr5C z_%S$eMQHufUt_t85RHc-D^Qc3g2RkN!M6>Zfz`M51TvbQx8IrEyn-W2EX^Na42$s|e2=HIHe}YjsvYbe zw~N0#@niBO&X1Ft^MN2_&;4eWXZ#mQ{K_m3?T<*bmwou?{r3;W?0y?|z0OkC8~+ul z*>f#FABIfZG&GIfjQy*20?S!!ee%&^mxp({Z{r}THAynWr@zQQ!Jv>1TJi|4B;(Hm z_l!8ze0n~+`RGdc$ii*EFRDi*^E2#4xxP42N0lI@H`0qOi(nf=-e2vdcOlW-Ei>6N zF9+8BSD-{qbzc`$m*P=iL(nBthM~65PN{~PbQVv8QH_QN*(acenizEQ2bH6?;>DJ{ z{grb+tKE6$K;QdL0pG<`+$f)Rn%!^^34O2G+Z7)Hshi8sUO!o!$Wz&cO%RauFV3(8 zeW~M=tf5RiO%jg?UK0shmfs4F{rk^Lv3V!-lY%Ik8ur)xN@hHNt*zBxjD>>d!``{e zCA_MLcbpsdgKO-FBAd_8;Eg8qqDzH)!4h^ond610vo%W2UNx}Vyce?}fZrSGZwV9s zwrA(~#yxZ^XNr1Z*_5o)5x2kExCp_ScRR)zguffR=ImE+%JJIY(!_d)=F(YN(EG_` zauU_5d5`d9d>d+5eX0llz+b-JzS>DRZPjzSA7`AeWHEiVc~Q~6fv`f-2>wkK3vVv> zM2406T^XQebxYYkh>=IX#Z^msZzjWJMZ{>5QPMAJibCr0lxjtz7(a3_5NHs5rc7zP z*abhdo9`#{vfnVmxXkkRe1glZka?!5PwA=VC&eV?sf_a@l~7`peI#LGT+Mp4AxbF% z$WZw=R68#&t{wi$${O;iuFO}$$@p*9)%B^VCjKAZG{3*E8b5N`cdAAiNz!DvQXfcM zX;iih6H37={N1%xZ+=JpcQ^PHlfN#>zwabrAXh0gYzberQ^~FTG1(=#*%X8GfcfCn7?#8uIarTX1L|q zqC!N6``M@}+o$ZrP7AT-3k=Me#|a)%#~%tf5)Ap(;%&s)$cAmy<$fd3Lgle0gah&u+uN zecInVqH66bF5MEWWRh6JDS6u9THw;?%#T@Qg6(a4oMon%HzyAKeQhNVzL7CTs|`>O zy0$vt7(AdeTLblJi>9;B3dH{SleS~f=un6Lb^pBEMS zckb(Ww)+73bv9UQP$R_~28Kn58$ZV&3&aBuxJX?)Z`B9~6A+WsrP<%~W?rl|+6S8HxzxwAXKlA0bDYhuz-px0i%v71XSajjg^E`NdV|jA*eNDC|!n2-_nBV&0 zM%rp8&hbd2ovpz1beYR32c28KiM+MSHE+u#t4ow&0>3g#^uh&3LqBZ2&&v2w;cp4( z&I37p30u<&fZ`$Vrt_yw{=716;R)aDU(n)&qw;zo?{?pOo+=yWn;Bl6n|nVM{LH}Y zT(BSZ=eJmt#MCnZLfODgIS(%sC+|G!H|D3;gc7~i%)ZU-^|+eT zyoPIc#9^FfHmm#oZVlPX0j#sX%(KUez1n+xdmUs~W&*0RC%CQDn|H#~{`E+fcmTh=_~ z_+?_davhZ(_3?eYLKtqSeF$$B+?(Oy6mIw{jPxiW*u>g_=LR6 zpS3}eWlafx&eJBpw4}+hoS)E-TzD0pRQzUPJKa~i>SuXmhR`TMsm7vzxkfJ)(Av2z zr&jsbUeT*mP2J0X#yI~D1i10@yxL#kj6N*l!-Y>%Beo5XR8XhX5eMC;!e0k@yPEdb zX9Q{6jil!L<>~i*VP5&CP}#fLm*Ky^IURe9)sb2ERH^ctg&^-9RB8$d;PpZoRy#=x zts+E4X(7@=qpip)QH7y4Wn;_J!zX`JVa zSul5_yH}aoRd`N?NuH_dRpP(UyjsNrj*3haIj`u9mLU@{H7e0kP>{z9nE995`A<9EV381qCkRwCR^(^nxc$aFS8Gpfq+B1zOR#pR^QXZd@ygMQ*vE1W}TTNSB zWt?P|A>&Hdu+TK5lK9_^$MV|62;UvC$kGX*WJaMH>?miHw)oW&>odD08y2!Jr>r<@ z?B`}C9iJy(EBO7%BTs2uz3tMyszI1c)N95@Q_87e`l`G8z@aN_HY;$+wl?Ea<+y+s zZ|rOJdiGtN(;Gz_F8o$oZJpTa;$Z1rHxr}wSv_5>DIp0kehfzWvTKRu`0(V#@Lv@~ z<8`WzHsZUGlSPtcLDZ%4&(7ITgPk^nF4v8vdmjs4w|SJl@vF|^_gDWK4y)OE7i?ke z6}zf(vO!a9355H7X<3(5a&+rGp*=2BakqwR1^@Z9s7&pHXe1=Rr(9esbZz00_|?m^ zW%Id*V;D-qmYqjaM?-%f7=|^C+0o_P%fcuAmCaksnQ|p0L!1WL{bzWI#(AxP#>lHr zfwCq78XTYbm^@cSnxtR>L7gW@yH^+2+uRE7ZT=jr%09DJXhIU!^3GhGo>Kis#9VZH-)=x}Vy3IaU?{4|2sTF>20ToSV zHZ-;37kBx8fFzVR9=!<-ON4o#ZWfJ*g;c|ztiqEs{a>rDNsyrL92OKbKuS-Yi#TRq zEpXZC-nh9d?Qy?b!rQ|QgpYu}X|S`s2ssvw$_2h?|er zu%>J2VJ>`5b_?h`(|&}_Pz{`<`Wov6-=6daO~2GOX&K!9oc$IZOE=UN%HJ+${NlSY z6E2qrreTltkFhBKPu@K6r=^0f!mY^w>hvXTDR33LT45J300A!BEUS=YoSP+wdV$w*!Y*7{}u<)0)2 zRJQq8l;#1!X@mkl`_DgOPkO0Bw}8 zAo0tsD(BqwZX%$Gm<@Cr^Z0A>!;t12Gj)$bY`i;%DW7fRw+?oM9~~B*vwG7PMZ0a z>YyI~sxz>K_mr>;wUk+3Y`*% z*g^d2Y!#fow@tek{xo85e>KQ4nSUby*e;?W@raESb4>mv<$s1W^P){LQvzLxavIZe z);>t*YBbs3ppNZi@180yDUzMJu#X*X%PHYOtAK)-zX!P1`vUgF|KNp(YPY4tmEIQ; z2uoO#KiE@_5z8z7J4%I}h(gA|ENGp2uD@rWKdg|SjwwF~b_`ntMeob5 zAsSe6aGVjtm$?68BZfHg1a&eFD@6l94iYBylQaaSLyoX?6p1>=;GVn;+n1nxPMG{W z!0#1S^dQE5KJ+N7P3Qo`!8VNz#Z|=E4B$_-DUID>OSUP^9D{?12Y*si_+>ubn%+H5 zgw(Ww0F0mE^JsHi)VS>;l>iyo3OhwK+iyc}M?Qf&A0_L~cQrNnuZs{G*{*&oq>Hwr zUrKvQz&O_|3IAo4PMp2X*7jm%#N5^O+{b2j9q{a%5@4?=6&z{eSt zK%(>usTB0?%TUav40O=J`v~U_Ws=#q7IMi(Y<ecnI@pZy41pZ4L>UYwgzLVjRr%}gf zMH2|i$X6r!jKKLb*xRbCl|w`GyaW>we+Th?#@;`*3Hs3YAp!V{%~c)+A@>WT;=$)A z!iM$K%&}o=yqf5UTxW7IT_8?p>Ro|TlSqV(L8Ln(Pq#by{qzZt6E*WaV#hG9+Jkyx z>rZ1wTzA%wJ87AJ)4!O=E!F^yKAbs&8twm^6JZ^3-!-{1=ya}ox z->rq+ac9yamfMG|2<4~Ae?1)KSK|a!02idZEn%$G&6}saB2)K068$S#r6iMagj#Da zZTBo*gy~z!QeYpw-;8U`edpNx8P1fE!gxMya1#vrsvf_O=ke5y^iV(s+V%Z0UB{mg zsPmb!o2)8nY{m23Dl!@!V8z7rJs3u)#(2QJ^WY%E1{?N+uo1*@uJjfq!+pgH05KvNaql(7p8D}=-%=MSQD`>t(#e8Rr%)EU@c=r$v| zm|5zvz8@Q*jaQXqT7speElJ;FpdN<&2#8B1Zl8G;FHfw>MK(aALjxZ^jZpNh_$(+J zN((Q;1B`CG7Q-&l%Be*rkA5p=s=sp8HQGdcLNy#4Hj9%cY`8iw9g#7!)`KB^HB5)8 z2vXNUE&1l}et2058u)~rH3+LvCEs1@gtT7$ua$zLAfG5T2EGfU_ygV;YRxxCmEVqU z(!UlfxE#Lqm|L_(kBvyfabjEdnPo8tZB4xuaIeT;-*N&aI9 z0m8KK8BT$lz1R1-3=R##WU=?}d}cFRRh5=GgX{&r{hqVV8l)yz5sVt`{=^oI(cW@= z_0Hsyk>M#uFfHf0M?|tQ2XZ7HkJlVE)KoBH)>%E6APJ^BaRtFUKIe}s4u;Vl6&tBCEr z(90orZ?JXQt7VNSWH8_;=@_ zwid6tu^o#ckp&M`R2CZ+UOK4vf&)&|V^17#WXUaJ>MBqceL7uDEWJB|5ldz-}LGLWFZdiJdyFezYW~A%WM+Y^;JZNsBFLheV6K4Sa5GqW{}Wzs0)ts}ifDg*AqAs`Q;-VZ3osMS<3Vm?!L}S)(aP5C^^JG6PD4=T^cuPLPphn_4BW4uSW&I#`0Am^{!)9hQDJ`{m$$09%$M+WkhfC@Z~jo&PR6|9Dv_bE9w^$}ZFC~4E1%SkE#Ab| z-ex#Hn9QSB35M<=Ha^2z(f2@c!JYNl*AgY?GANOYk1aR_>XInw?hu1QR$UCVBsMHt z?pN_DLvHCv28JeXeQ5GtGnRvge{C5b zg89GhJKG@Zn!Ee0`=7ZvHlM2+Tc-MBye6=rc3rR&WfeGw^m6!81k|Ed^R>>oYp#Fi zqI7*eS^`)X8SH^X21X^~Xu2c(WQ$wCShv0U{rd0EuaX7O!5u9EW zPJqsp-yD-GCY+sVD&?iFUjCq-abVV;JZIK2KDm^lG)y}*VEm03cHcS{K{q5mr46m8wphRHvs|y=1rai)eduA z1*dwW?C@lwx6x(|81Zs;+Vm+(Q>yMY*wJhV=6eK1&g_IKZ~O9~4s;MxN_y!no@eNP zp!>=PH5Q}J(y?Hf)CnbqoN>){M!Qx0H~@44)e4GdF7B^75#M9MBg_vQ7)D}le?>BG z;p%1muuN$2Q<16~O?4^Kqj7D!4Dv?QFZ%9*Ute~8?H`y)@QxhJ-2b9=@Z>}{b@y!O z6q3@0M7mA`@UEy3$WHtwhB}BuKb5qmzrj|EHSjTs-P|Zm;a6sfE8_bhBtzV=b7gf` z!wFPuWNB1ro9(-Ye+b<>%|aHYq8jvRtDXxaXqeBn+`o$x1|ZTXgojo}2cC{8%5sCY zF-z-IJa*w+;}R3Dy*3VQ_h5!z96nI>^Syu3NC?VRbJhe~y!)sKackE3;1n{Y{}C68 zEk)_z{GPcZ$0Xh<04MxzwFrCmB;Mrnv)m~CurJbqIn7>%K>e|EAEbFuA(zbFq1`0O zHU}$ZuM#l46?b>FHkSy;0hsy1==jK_JD}aruPvBo>&w&@b4sa~R8#ga;opY^jgtvd z>-Kj@`jYaEFwNQP^e^F%t%K{URIS?NT*sLt51p{okbKE_t1-8^nETu2iA&*n439s_ z+lZeXWQcqQxN%kqoq+Wns%3XI)ZN9Ud*5ew9<-c}n8j)$$1(T2gUYaj;Xi$a$&!A< zVpXpVxyGhHJ5nHn08O(49kRAxkB-x5!Su3ZTYwL-L4O7-g1e09VQvLZ$TK`qHql#l z>1t#%Ayg1Nm3{NOKkQRGW@`v5r4M(|UH7-MlnOh;Ox%LSG&JO}^6%Q;P#w4H$* zT7(M+O%Ju5C4cUD=GY!dV#Z>6zu@8X63c=OY{$@5= zFfW1K9Sw*<#i;U*r%!A*vRAz;w?P_5)7L>}cUY+To7*6y6?v7lD?Fi^K}UOuqOQLM zr#7$|Ce(uIDEWh6z+j8=Itc0!^{m{09TqDFwg)^lu4LL9u77uRJ1?okj;s!T3+;->@<5>5Pz#pL{D;#)*f zFx6f<`g5Ps>^3+C3^rgtR#*p7zRi+Q8j=_#hLA-iyPojoYk2`4bU;Xa#?COC%)_Cz z%GpG1QM4e`>^{{XhaGtXhf$gr#!`u`nJcAZBUbp|57B{k|I4AYc?+?PNua+tnq;u z2*vWxn+b|5`17mZB6CT3(^0qtFz7AVP?IkI{&0X{xClNJjhjB9<;p~jen6))a+e7I zjgR49w@Ase7t&nQugv+2^LA=chCQDzQ;0l+#E38RE#I!Yzom_LS7qUf%cHC`im|3bX35ioG>hbBCVuusGcfN|N* z2?xyb1I-S~epq5Pn5Ri352AO74@q}H^wamqi)gsIEkk3OZsKIzKpkHke{E=`l~upE;&<72Joc$ zRP=)|tn_Y!Qjodczk^XGg3v5-hDiE^xd5$q$*RUWQ_69%Nl!ZdkwiJ-mf$ApyuK6LbLy_B$nTrV&wnKg*(debvAw_7E$ za{Md8(3^2!G4^DB-b}MSA?wm5*TE6?6+?94IGQXCfwl)p zyg+fN1o79$h0Qa(uJy>P0I*gtE&lpl<^_AaI#w0Wc<{s<8YqkZQn%}xa?p~&+eBy^ z>&jy#-yhhmT=kD6&|G|!(K^4S`s7v6^me^)6c_FFjN$wcLyl+))q_=1TVJgD@8PG* z6S@ei^;nJwNi?dkt7&EH7BS>H?K}!8x!a}Yc8K<;NAUOLm=(fXk6cGXB8hx-alDGS zD=zqN-UU2C<}Wt`G1KI|o%OGSUWq9QFUH>pF5UM5C?r#UVF~I*aTo?~PM}`;C)XMz zzs;8HPPDMxjX_>eA;_$Ym4^pNTjB0^7r5QHKK@(%oU2Nbap*Ro?oRam}I1zR0kMZQhr77fw3QUm`rGDEXCxhr6b?6ATWF2pm z=fowVzBi?l{H;tg4p17ARe=K2R5OJlDLozS(_3`wJ+tJuS$O`Jk+wa-Z1C|NvnM*I zF_Mvlu}e?EorQf7)T|*8Cz~lAg+{O9{hJ?a-JCevTLvCQWQLL?Fq(Fb)4gi7h?ki$ zqx&fn<~^aWfn4%SI=5i=@3aD!V&#^=Zy7q=)Q}I-X&?M~sS7C#>kL*e#R(AG;z)Vl zEg4myB-jGid!zM+DLEU?j^w3%`rN^r`LKyA%ri7BHZ;S4{p_F9P!26>fA+;gsx!28 zvnJ+eQ-%3pK0{L5 z&e#K#ugGX^9ak+~9}Cet%6R7tFrL!;l{}()j#iVis~TRf2N&v6!{w4GdT%hSL0-n6 z)vBMliZw7j4bhO`ZdU8S+5>8Tuv?itUJ0oYi3-5S@NFS9chd5deK{-#*+r#EN!xE?Fo zjwQ9ruQ@9F{thzmF4A_u&v z=I*MIGK`cm*cAH*McrN-$7NSMJNhE>D47NnC9|u{3Eg=lO{Klk`Sl@O3NwQeEi491 zlcp)5twb}M>(s%I5$gdv&Y$q1D`isezvbvVWz+AGh#s2h!@C;uO0Ss}Qe+R95|sHG zZ0RU@xVrQ&0HeB{yG0+zJmK?N6voQrf^E!*8z*h?JLVBg862jhZP)3oLn81tfR4US z+~`jM^WH*FI~`)XfHb%L*W{0QxGts>sq-xs_J=I7wmzfjr9_^#ieO3}pgLG~=-m&y z^tCG}i>*IYyFR5pJAX^mLAgyIl5pD;7%6>g7jm}?Ir0+jo7*DNt?>%XWw7<8#ILdi zsz|#qsI0dJTZKvgssKk~2se?jITIW7e;-s)&ZEwtzJp*{;&cp$H^A5)h`!D8_95u| z3^Rt18jadPpd|{~64uf?HeKD)W;Bd+b;`EPB{$y0VbulYlCkU(=1!~6B@Z^9;s*uD?H(PEc-y4+&Tsn) zK8oMfQz0FCuHR6mbd^f@7$bUVr%H%+6lnx`qIyRjWhBXq*0f$oN&g+7XomxDLGw)W zCUZvFZXDF&lru5xeC1_VT}%ph<<3jI7~})4)MO{(n!}7o$7h>+yk0_x}}YF6Ra}q6SVye&Ax(i~=5>_< znm&G>qy=K{op~0AZ#M0BbcQL7Ngj(gVCcL;iA9AfcLG9(d!DmF=kW~1H1IL%)s!p} zgYp3>+WQ=hJP%g-n95eY00w=8zyej4ve+Bpy`(1}{QZ{lR?cpL&SlO&Go!+7v+8n! zX`I{^MY{90+|7@?ib!qMYU5RjW6@mR)5>Sa+@-DB&=Dq&1=n%$0!?Wg>$^ zIuGPkp$+VJq+xcM1Y%Gs3_IFa_JLY=hs~~U{G*grmUI_fg{qmq>0+o$<<|aC)k_py z`VRky_>VflL+W7Bht!$-Sv=INSJcBIu+>DMr8UJ9PI=c7MCP zr3fUnDtV7DlUx!0q7TWgx}Jz?mvm^Ti9J}(f?WfU9k+h%vL&i4)#F$W>qLX%A6Ihh5K^1EcmJp2SNT!W z73LDCC*`n>N`cHdTzDJeRn3@XF5P<$%;c`EtZxN;)>@O(EFvI#|!C-myV<7xk7NO1W(@>sa(-_-@$zQ$0xE6_)OPX2m~`baqcE2(m|UwT`Z zlh3j&?SW|?JNu_>{Wpct=3J>{Z--SQYH;q))ZL4|Xpm|+nUi(-#jS`v$Sbb1&Pb0z z4hckd=^1Lo4I(^dW@^n_J<4D_JV@t3bGV3`u9)^)>y{~O*(;nQTWE8?an-1NkZ_kA z3CfG5Y)9gi#yj>K)hhmM9XPFO&5nJaCjI>IPwA(8H4awp9Ex?BIwk#*xW`JnQ?YUI=4(czTA*TnGA}^=3{)EcnZuSD6_g@*{`*i>zt~=2(GkR zK_pXqymt}WqpYuxTI->|`UI4)rNyz+v4GJ_0b|_uB#tnACVbcx`@c-Pj;f_s%AaQ| z*LG)J-x9_+tfn7U_X5JK;+F&0PIw?JAp70nB#^~PMbBg8Wl*q}uPc<$?J-Co4Vne?H|*h?QcMe;d=c@zq`0kHZ?~2vP6moH{ApC@pndxBK6piE40` ze^zXwTM&qGZjT7O))yQx%dfEam2lS!B@{AI2rJ_S_G<%aVLThWSN0&}YcQ@=D`JU) z+HQtfc!QE?Z%oeG5JUq?FR8+OF5Ye@Ro&B&P2-NVL2|Lg=3Cq|m1$vmvS0}}i@?4d zWqdRcpx>SRf|*E?K5mL!An9)4cE8G>A!p4!fBzfF52Sxj_Tf$HR4P^>OLN1^W~N52 zc$C%7nS8_7O>CD>+xy})9YpX7Q;W8Llv_W|yKOt#&OOx&i!^FiTKzHp5>}4f34NRI z+{cdFI?@w{kPlo>pWQ#=(c-LI2R5m zNWJ;t`$UEiT6_zOY381&c`5lv3&{zcOBU$Q@8192W=TE<9m0KODyWsS`G7iFPT`Kb ztsle7yPcCamVvc?fGD{fqv?*2qRm4StzS7P$inU~&K1Pr|M62h%HiCsB2HFbs~qZ0@bUNO*5K? zCwEwuQ^SU8FpCr344(3q?KWo|GU(un0BfslHc4@XeKi(*zFi4$c<_P&lE@)YJtNXM z$Ovx67(ct~BwO8uU_6fuI0(DQsBF{w(gxljI-9kpa?5 zM1(ZY@JsLy&=qXF^h_8FIDNiiB<&2x9>eeHJx%PS{hH&O9 zHiiq?E=<@Of1Cen%Czuu4dkr7uL{jye{&&}d#Fy<`s0E#+dnDoXJo-n&k1I51L#L& zc}_|1KE>eXL%JFdO7BQcVSDTZat^yevL7IveW5t?;En|OWU8n*%0Pa)R?0)aMOR+4 z2I+hhZgy+hFS_<@PW*DzpFB;9(4Jxi4rCE6?*wfzgH2 z6<{1;JM|&vk)Ptxa7dHu2C+KzesPu}cjsqb^ zfj>Bw|5_dNtOhM+X0$i?zH=RDK1OOPnJ$D%+qx+VbWH!XJM4lc5uYpf90v7IVm)Po zdn#H@dxOcX_=Cu?i*aC%@Z*HCSCOGFUZ`%5pnSH@+^^v$M*4G6p4HHGSUF2c&?IWW zo>Q42+ZoY{a`l-fXp-9V zgcr5l>w?UgdBV}0IjD`M7y9rp=ETSbH)|a>(+Tb})I${lr?B`e)QA|3E->Fv7%8`j zVFhO6h38DCH#cKZ9I&&~p^uQY5KET=$SS!YiXI01ja{X?T2%Md5OAV!L@4X)|rt2(VBif{~eeYC}7iO}@2rZ_xn399q^u(o}W(`nBa2~1HqIEXbk zK7=*nK-a7#8?bh0fr)h^;dkI+2$uTjz(LuTqZ*DN*;cVuu8XW*G&s6(7+NpWM{bZSei8+YZ?p$LHN4NONSpv-`tTL$L9V1y)aZ`;RLRcgii2x}raI47dW9!Ew`7|Luu|KQDQ)b|1!OW2aRfGSoTU(( zoa1IA%>m0kp2Oz76;b|f4}KELGV|UfASGEX?=*;3EdZ!qjBQp1nFoD(PgFN1czKc) z_%`xlvaxZIRFcf^-kgI;$FL;dHQQ0r<;_dmsl-I!0kPUhOrLDjA-+OAWkD1jCqpzu5yZ{I#4kxzK2rJ19_lnLjw≥HUYyCo;H z5#}u;d3R6_=l{XsLUJ!2+Db8I>9=S_L?6ZiZ{*pB0ZmBuof$q_Lx`+JysJX8i&~(2 zROxB=ad3NMqN0&5CJG7=49duQ+|5fqu8VPT_KC;)__4`#k@ow)Tx8dczod!T;*6R{5uPdex`{j4-MtL-n&+;%raYnu){wNQ{d zi+v;-PJeI>S-E)iT65IhW_90@@CnJciQNYA&6|p#H?i#;qL-O2H<-7cnlCi(HK?qd zP+j3cKhH5%xT;*7khnK-xtT95{mlCZ3RhkIez}$tvsaorbI-i*o4B8x*xv6FcBP`Y zGxrdtv%-UY-g*eD_+QxnQu4o}DL*}Jc`ULUgul6*o&W{pN(-r!M;-nRhmU4jn1f5#Iov~GTSFQ1Ea`s|u{ zCqf^Pqie(|us->YVDiyv#J~}%qe_Uv(vxq4_>Q)Hgu;&iCSp~xE6#9t1Z2p|jRDd7 z`Z&^jc=U($jW;)PR6BOnfw;@hfwCiVXd~SW#hKh^RnZM0!yoUd^q1vkEtH-QQ}+#!M<5? z*1|k)kJi*ZasWQZ`be~yRw#9?LfQRuzUMr&iO2w{rrWCX|cnvW0;pm+CP>wXRBvi_cioLJ^QEs66Z%! z*bO~(ZTq=jAqIfrz+|bUTJ=TCV)$;nfDL_b<*U|R?!E8F7Z}c`AAKI<0~15Gt=FzS z|DjlXiDK(^v)5@Tku7ZaRJMKlF1Kz`w$Jq|P##Q=o9M%j4a>hz-#k`L!~0)XNR62~ z-@ZJNr4n*3&u6#decYA-cl5K}D*J0$cW0UH%kinudtRF}|FqA?{xG1dSOIb_9nIDe z=^dIn`)r}S`DW6})XByto?Cr-k-LTb25W6F0v6 zJoV#hPFV)vbj2U80mqGFf~S;h^-3vE{*9)cH{;4omz8>?uRW$WqZQ29FIy?Qzbzwg ze^dcVc=Ddj{y&Ng BvPS>_ diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index f2d58501ff5f39f0090da0edbc955fab146cf6b5..ba6e6f55f1992844151fd4999a9fd0f7d7219bde 100755 GIT binary patch literal 29575 zcmY(p1CS=o^9H!%9q-JJZQHhO+qSu5+qP}nwr$&ZXKud#FYY2PI-btVitOyl?yAbH zibVMJ>sJ*t(9hlR=Mwm#D#i|u=C(F?^o%rgH1v1^&gND|cnpjTcyuhZ^bE8Nbo7jP zipCBu=7z?2a{7jrc=ULR5_qb{26)0o=1xCP)=_}|nECZ<*XsYsuL=17(GbV~Q8%E= zE=Vt8QD+(-(@VWxD;+f$G$T&%3{=c3Xv>NCON3=HL-XNbDLyT6)q^l&bFO(kPNGqG zoX*(VG`?lse%$SYu9Vo?AZ8#g&=6uPNXg%RdYu2Jy4P2CjlWJR&K0$_wKa9A3C-Jm z&Nr4yx*g9K%M>M5Ra>I5*c}diI4qEG_(p^ex()!GxB_(_p&G~k0vAr%qN6>-UVP`@ zaLC!qru+Mouqk}`Br87gb|HH5ov>o?4FU1fmhN&WO@B|>;-cRVANM~O^VZge`#Q)q zV=ovP7oZ_9TR{!3dzBM}(zUpliV})Z;ihQCiz`vVcK!a)w=}v9eXS99XKSjpA_~}9 zkEKC%R~~gneGZMPlNPZD6rMC?RWnMH6&M#fOpAHTUG+6VAVh)CjjBZd%FPGIGc?jO zGYW)6!(d{hS3jhd9wPdEk{=)v(9d9232HV9jL6)Oe%Sa=YFU{Cghum`J=;3&4CG<; zaSRo$RLd_-S~YFBWiX#1S87=a9KBp{34)M7&>w?uy=D$*Vgao)lJS&D14__OWTi=R zBlkFaE<$vK1{3T%tSP}R-wxuga_LQ;sP%}?`+a;^N)oW!_wIZ$d6i?^*yx%Qp`~5c z)x8L3Yf+LI2|3s|+?`Wk##?}!`fH?O&k)H+qA_RI?;%Fjtuh&AG%Rk24WLyFGj*JI zqB>-(jV;ZYSoRPV43J+xj$U@}y0m8k6s&c&`C29WR=vuZ;;&T%(LaPGZA9v(xmO)K zGrlWvQRe)u42D%*fXB0BcG93mr#IHD>QoV&R#{w8EnccsM@Q$;wlb&MNEuG8H_ecm zbz-N1S#Z7-iEPO3eh^vITp26*uqZrpSHA1~wsrEX6aic?G<&$SYP>mZu>KWwm*OeD zQM3ADLQb* z_=wD&ZbbV?_u|1(?atI?tT(vVgq2d?YOSn@Ymo(?H+bMcnfPPL*^Hkf=rvUsVs!Cj zXyCnKl3s>c@~|d#Vp+%-Jdv0<)ehfksE@{y{%S(jowa^%RFa_Sc0FnpafKTfOjVp({y z)J7S3t2~%=qXK7ppfgTe!C#viwG19voalr*gX~PB)y=4%v`n6Ip;95k+$}yK{u)p5DBdGIVKh3|b3g9nWr%dUw6vOsIes=< zr6%Gfxl~L~-AiPE5Zw3$hyJ9+F{5}_OB4X&H&atGQ@HPePfWMv+#PlZ^iB0CXSbL7 zMGujE#HAQsR@Xh*vVLN6)Wfjkt6EOlxsR$OUJC05?yc#bW>)L!KR%E)Z>QbCC|zJ% z7fw5`kcOydzkAX6sz7}^3GM!vwgsAFH-u`7Fme)!n?3F?EtX}x`v>Biu#wG3fOiyx zwyU*4DyLmKm-cq{DbERZ>mOJ!k0ANHf(||^Y~a0;{UM0Yse^+=2u|6T0BK?x4=bfz zEy!EZt|&WB@-RPd%3&?7KZJulpK0`hF>ucyv$dRhur0xt7_8t5RLw{qG!+~aMx#%R$ASxlmjQ3-I3OA$ppcP?CH+km0l1KPeQnk{m20-+68j)F`{(lYQsmjO0Q)I z=jpfM(}RKBEIyRW$Yy`G&m5VdTG+Cd5-ebi!9PKdg1QNKcP!!Gx2Epyw@=nhwhdUl zWT$|}!b6{gM<{QPy@KW0h`~NX#j)67zfTfCH>=&@R6gmU5(jB$&a0ffrNQKAH~4fs zzU+BqO+82qA2r8^Olz(YFJwL$4_s+34_P$m4CDW*CueUk~5TK4`{YC`Wkq;vSz`j2Nn*Sx!;v=|8BN?IVAt=-3N1G6J2_iNJk4)Xx|%!= zha&9oR^0D#^SC zmHFhJ?LNv_6*6qb8&B2EZ!mSUn%!Gp(A2U7sAOOmNfzR&@DQr*ujG1#HFmkR^EIpJcU`)sKCI+$ z#G+gz@ftUId>KYlD>#tsNTn=S&lh2{e6Iti1Wfn)Ht_W98fVP+Ox=%JwtRn8U<8C8 z>8{FGY)Js?6=(+LYC2d-s$KW&0ni2p`+NAzcp+ceg|k~!KMgwns7SD+10G8n3-y(K zNB(_xYgzW7?Nr>|ta{LpZggVd*76sYe=k*iTgsMd(~2(da}yKGrREN#yLeYU!`a&& zRVNVOLK5;UmM}}tvWlf^%C7J3Qw-?Kz9GtDAZPXuw?J>na!h2qjkj!jbvp;^SX1+- zYJU;cel>6({nh-ya(3!UW#tYbLIS*d;D3YUSN;4#gtx8IBh%tB%MRH`%Vw6ha5rH$tmttEx+rod@};Y!8f?hoeYd z-kB7SR_8YHtpKDe@+JR33h8x$?G}@R=i%mwCJo)(56Mx|W{s{A=a2+5!^NP&t2Q;? zx+@p%OL6ZlqMH|{2|Iv`S$MK8)xgusnDd^k0=w-Z8ac%{t$4k*Vv_v1bxnz3)#vjw$%QZXxoR`3I%+YEhv&c-C$$xY@4|#yIn25v8U3Nr zq{v%CAXD?%yl_hbvf;v6P8p3s@K@V>6_s?P>~pu|`L2|t+jiwyJA<}G(}4827PNM$ z+I0QLyqB>WH1`StZ;MnFe4Z=vlip%iHqpK&4fhHZv}1FXu1_axMG&=WkH*>`>O}Y( z1k1eG>LUF1qxm~qq$UQNwtI8qhUFYzIPz_XmvsnRcZ)o|o>`svaNUONB5(G~lu`RG zlJ0~&@zADk{o|d&E8QerO3r+(uK_I{i*226=UdXr8!^@Uvk&m8QPR5MQA$PwfO5Ob zHw0)2DbUwIW^~J9Q}3rx_=N6(uv6D-!atu7_ARm@k2BF?ItLP{pw-U=H6IOzmbaBX zH6OB~AT>a!vG5V}9Vr#AF7qt#g=^|U6O#~oR=#EVj>d$nn(sTxaQHc^CypS6>9hR3 z;6cUixR1(K_air&<{Q}vb5+lPf)5RRfmH?vwRydlO-HDQzciH#0+A@jo0ej`)z0zq z5beT9%DF8$ND@p|f2 z8mo)_9ZVN+v;=n{AO0^ zSlI-CV_otMAO9zM(z$*cCY_bccD1i5dN(tn=vkGo-FTNLy%_dRe=ab`UVk?4s8TT; zQvS7?U>R&G_Rg_ES`rZV(q2S7%_F%A>QR|bV>t(J0a!~g=Dx4dS1ce3`qD$5Si9U7 ztfF$mRfGJmmn?p}iWQTtVu1IYSNKqS+cZxHeBOk_hI-Li#mW{|NT+l!t%ycpP%O@%X!8~s zoUDUp_)X;4z0+%ahqQf;m;p+@;vNv5qmJj(b#tV>>l$gyu{GW{8JbLWWsBl1espVX ze>&yBA?)bRVAW8FYXXRwYpyxJL9_l4SN^UC;1oRNG=FR1nmlR~FQngmEHtYz@b1U+ zblP}@k@gH^H%iQWs-ZtKUTt4;)n}u?=uiubv}k!uceG4@23Smxr4@XYzx;CK=|1}8 zRON{9RlNZ^rcx^P9q}|9B-&6tEYcq+pw*ekzMid9hUcimuu?Lx@dZ|&3NSQ zJ-tUS@XGs!S~D#u;oJs^Y@QLJ%{k!irB>{Y1z_I*iKOh*_0WW2=Lo)6F?myVL9|vi zU+FYkTA&v6j6I21rX?@io_)ee0;s*Zy)l8KaiMXe*k#fN_oNMILI-?3WZE-ZL57sI@8hcQHjWY0TE2=lE5PdW(6<1O*k@ zK8eeJ5ud}Fx_4NqTF;*=4o|55SndpHeZPc17a7s{_McG*i?9Lg@UmvxT&4|DdH0+^ zhR*Q6kc$*^^0%9KFdk4Tyvlv6A4S$GnDmSLGus=r5zj19c#a^F8xNY7qOsbNHwWTL zhT+fHx60y$wc~8|kojgMi^J-kvYn`;91SaF^7p zo@=rlvWa0%a2y?Ha8^e(IyMK-`XOvyR*zxiw+$U`nrf$d+N>N6t4O$q^)m*|CQy3D znx3YTXqL0C?bd=%?LxLzge%E!!AE?CsE=|r)V--ZBdQyPJ8ORziamftoWx~*l~BX&c3>Hyrwo@ z6#r+|{Smdf?A^!Z^s(~Id+8-Xn#t46i~P_s&uQ)j9)ThyWU}M@qb!F4)I1~U2~ga{ zp72!W7^hj?t;*`_yW?w^dm{^@tEIiQ+vphxNqg^YCx(d~w%Iuar`>~X{x7ZQiq3)-N z+|&-R{qJ5XhiB?NTbF0~)#au!`4|njXA%7@(;q3A?l>22-N|S^%m8Yx&PJI#N5jQy-SfJGM`l{FU!M3zOk4Lo^#X7AJr=D;-=YuqLAJOgUs@eY?-@R+#lbk@(3%Cj zdBD~c+*@B$e`Y*;=ap(xhD?lR2t{?ISm%?O=6vCy8LP({wB=K79v6>@32eEJ76jtW z(}yHVB06`D$37JB>&rTWE?+#2ctuBDcs@Mrp^tY-HEySu2(*XC}aItZ}xr z{a3iFv4$L=r&JPo-=5f?o26ZT4!>Nvt&u+dS}?zG?I+=6bgSLATZg++STeu3QW-(7 zm40qmkZxF0NB}51w1qIv8B}xXck-Sze6>5}>}te)%N`LC0H)6GgRx$7JUeDBX=s$m zfuNQf(eZ%uTr0~Q@<)%L5Y?{{{Fa#G^R%mW9v0X&!D4Z zp~EF&GvtjuFNrmwMjr()$RCEi5ER|VqA-tl|B;ZR7pUkld+kYg9_a;#2x;MuWJZ@3 zc*3pziwbz%^wNrROaW&75DVKbyQE9eXwH2MoVIlz)vKx*DQbiHZGPQEO5kN4fm;>N z3rlA9kjm#}O8A6s;^9$LP_Q^5(-2KtE^K2W1(o>IY?%$Rq$WF3X#DtH$G4Szwn0=SAQ> zqPuim*ZlvR9Fss5T~EQ7=PobLfI-zKK=7Tx`xhpNx39sZAX~(fIXpoNGnVJzLu&cW ziG=iw#+HgV@0w7T)^5h0A3q)G&rj!8U2p#3 zgmJa~q=Rai4^aViH{L}*AFxivs4+=vOQ`7Q4GSp!jtk1ScNVtZ=DborhR5L%=+|v% zs3mKjmra}hkc_`!V^U8iQy!rjrr7ofH??eYxP);V-cyc@9A?w+xmJ9_@Vz-ybE94L z#*=upu4~R>8G40%Q38LIeXVR>TKEY@$ zwMUjd@2!0+af8%6cVpNT%-E~Ce?m_WMQ3%@thdk~<){Og0hest5!THuQiD^{(_WO^_= z2vlAhU25{m_s(CVa5uBypK3$5y@sD&+U@60l^gnay- zb}Tx7OB{utCb2HSki-;ZL}3m&hNSLTvtww@gJ8dhXJ<;_yy>P*08Fn&V$%RWOYv+3ql`HlM{f98Z%)pX_uIN#Eb} z#8PchP-u;$E51P19iJ@kMGRZ;N^oiLG#_83bEI0oN7)^3t$UYb_2stVo@-jN{T>z% zEq)zNL&t$QW8_IrBZUF(9Bn<@rZT|F=*=DZ>rU1`REPI89>TCamOxQlHibRO$#G0+ zeuP+293n-ExJ#2E$;o;QX>Y|9b6|41t`C0;NG`=g>=AlUHrH?^dT$xWF&}_ z;*>ZJxoc1VxA-?02FDS^EyqyMPTkrW80j}r=xKNOFpG}II`jmB>f|5y6ctHx6Jkw~ zapijRLM`Hr{}}rpMpN{MaWB+DxEfHHBK8C@)x>*u-)d;8W)^mjWSeJ`vqMAX2N5J* zM+hT;zpxxQ1bAlHxAk>RwQlZin`qhC*)r0wwzitUD$o0p;& z*EtZ@P%RiArP8Hj!ryM@N3npSI*z3Ys%krHS2bg-H55T5GbB|wI%R|W4M2s7N*=GUa}_mk zz#r3|1B+x`6>4E>wKFSAv>= zRb9y3l6HLl5jL1ib=75<3|{5Ngz1?mYq6~^DUa%Z)wt0|86675cjQC2zPNqMv@cLv zMn^VSjm_%MZt1+0q0uL0g!cs>v^irC{7)@UYfU{#%^$tJ)MzB^ZNse~6P-?y%Jl_m ziv&Y5B`W2f$IaUNI{n=_;)ql~zGm|jzCo5&OSxM0Bq`B>fUqFENJx9T=a@MMxt zIOQC;Gz4f6zU}BdS1=HO2ni&#f_RiL2rictxkHZsMKP^q@b6+peSX9go)lwrFW`?v zB_nhOzOU5j)pS7frh67W_GfMm%wa_Ck_B!)p<;$a>c8~hA1gp+ba4p;BW>`A+7fxX zL&Afg(53Tzr{Tc+r3xB=nx)9^?xcD|i1gy$P}|bCR0mAVeQQuR6c9I55I1BHwzLqo zln}Pg{qmYxj;GNir$C7_;qH~XQC!PaAfEwssS688kvL{UW__n@S?Jg$%mrH>yb=qV zR|*y}3&aB><_UXS@4XXi(NH_fsK#S3JDP|wBIh>F!rq@%jiE2B3k2IcG7+zAvJ;nz z^*$RC%d}Uvp>?Dj+;zru)gH)P)h<79_<_w2EPi0}1A`yv{6GVFQ@i5Sbd?flWK%NI zYfq$T_s#`%{@{w{KYHud0qxeg1;({~4Se0qnWh02>9u;iXW{sww&iZJm<4O%_M@Of#LnUILb3DTJLrvbx)cwvThBo|$f_8iBD}29W zBEt2hU-*t2|E_1Tc)E22J;vEUh)DgfGpwOLT^5^8!9K5KLcM5MBumB_R z=9|v11tP{swgfy6v!BkF&R@n7Yw~C1_dJY5lRr~Ht4XYhTsd}V5Sr|_t;=yE>=L^Q zQk~5SmBE&e%3!;8aj5f(55h(DD8aHesc@y|wfbOtNfQT3G=4MaNMt`bTlKMmoz_y( zZfm<_pM6q_|5nqBVgu_)_qm#-9;Y+9T_>}cLnrfZEL&LMjw=0kaKD30bQco4OxBWJ zHdmQG`!A|xtWG%Z5|y3PrrXeA<*md@ldAlX0@#0btM(rIC;`$fTtj^FY;ERcNbOZf z?OjOi1xV@J|7Njaj;Bx<-k$lA>M6ltZ~n{xd+Ws^0Crtyy+Ka{8c5XYbHS|Y3JAe# zm`Y}3QpikYVK|(79GurM3DwQFPy=M1Lhlh%Ik%os2r+J*T4boSBw`rCPepF|Ix;Uy z{rdwQe2v)mP`oJ1p!%P8bkCY8d@$#TboLXMAvNA@ugl={As z&6><*ePCD=Ion6_t>@<~^l)RmV93^ge-mNp=Lp=@0yspBVeTJYtYDA;iEeQ$;E@o# zDMHM2PV#6I&od*V(sPaURN%0ZlQHnpg9dd?4B*fea~*{Aa<4+|Da7E>_hT)72D1XK zUXWzqG*ff9#&CgB9G3a~jv}k$Zf~2S5RC10>1( zR~M1`yDL$L84|{9hngZkH%e)i=!>QgX^Q&I?DfYo8ul$GEIsu<9SY9i zg%>a@C2HP!`Dt-~Y&L_5bbCZ?KJ=*C6W=GL1TS+17OIzc6gEiOP?{0&=N#X6lu!!k zSxrFwHL22OvuSTl@%aOE>6sO@%*Q1) z8JStyk>qI2JW?w^HXh4+91T!keZ~f@kuI%MLQEc`G5j5J;mk& z*0`(xHdSFZJHRs_bnKD1#=x=NNo*b%CwZP)3$kQWAK>hNAztUD+!`B{ixqZReO z9p_s>BY&QfsRNZ#V3IKyu_58BmJ(E@kK?E=_KgRzyH(q>m(8&Qp)4HX_EorTC6$B1 zd4ZAWJIEFwZ~b6jtZ6g>yGeff`-UcK7|K{Y{Kr%#3V%8mLoidEIhd`$0>-AnAP4$| z@f4!u1f{rU@&jL)B|EB!uIZO*_8tNHzPjuRne7h z>^SGd8XrcLh~x;;8gQoQvtlWHx7A@4uB0-hnVRjXsi~x8ZgObTRhAz>#O#sE+~itf z<$(W-+s7e)_hz}eNVvrVsw6)Jv-SnI=$%ye&F>(f#&a*fk`#vfFcs2bHDD=K4TumL z0!8bcct}H6@9Yx-rF=HA@CQbu5g5ESVg6(OFm!tQ=(WUnLd164CLhTPPtP-Wv46@glcfP%M;W0!j#8bd2vO)_lhsKqOmwSb!oou8Qdgm;Md^Spj7VZe_`(A5`}L z5uknqi&?b&b)egsAJD|==YJ;xQyVNf{u z0x_0~7bXr2SF=5S@PM(Z+>kMA82E&ZTO1NsV$ak~xFdZB&YF<1E$g~A`(dt=-hCh0 zhWWWx=uOrepbJ6p2p3CRge&k3p^hr^|53{of_2#DFc;4Z;YLiWzgN#b5oWBbBJapJ+W#nwrFA6__s%V$(TddEXIoto>oDQtRQdk!s>8hD~ z*(EZX5vO2lc_DdxXkp2_E<869GY2=U0urC?_*u&1^F?wL@=iep6Rh_xcd(+5KZqrI5v~@-daB(1w}J)RFejjda86 zi~VS(iqHic~UaR{IjrTn~!wQX0tqY-z1)kNqlqSa^5vsY*0kKc`2<_7U&|BSnRm9_pRDU-|YUWD?aYx^sf@gfwIt4&Y&*A9m1- zv)vPp3Rp!zPXJk;UhEzd^9FtAqr%W>m$iD&55+<3*2~DPNsW|O4UP051$WGtbTUXr zOaSV%-SJbwYz&J3qjt6^-$3t`_F@IYiK`Hp?N{q)B(NkBJCP|MUIH3k^k2$*y8rb_ zD7Hvsoou5)f}~AUQ%BZbVV68U(7U&VL96KYJ}R z9qi&-z|!dX*_^kf2!grJeNmgY^%vs-C8gbX=AZ32ILEd>--za)Q#WW}Y+L@^1M1&S znPBu9eI10-rMvblO1Er;6mFTw$XwHrP&lTdU@@#j!vl!HNVjf+P;Q<0KZ#*1FpjMl zPz-C~zYf^2?q~>bDQsomi#r2vkK_~kilbxul+CFFmgq`h>Hz)y_)uJh?@l zx-?sjVpD?$PHAXZX)7OI4F~4Bxf1mL3B=EHrR>W#&OQqRLaGs7NP=RpiZJQ*kn>=9 zM&y@X)1Z+yg2ITs4er9!d9Y1x3-!Hgzd0z^m@bu8Pq&u-16XxwtHY?e6P7V(8jJFAIwY(>Xyp4oT2#n!3eL9^ba!`{Uvw|D895*>6h?t3{HH z7iMZ4SBUeu+_o{5^h4~q{;4)NTfIbErl1sihR%(TCm!;Fk*8R6p6ZCnTYGP#;Ft>{ zMz2k~fme$Gs&q%{zL+W8Vy>3qcPWL9va@TBCm5z+Huxp9eJv%!&bW$n})tumxnW=O&MVT+cTdWmxfps`>O6@zY6P~%bkt~*x`c#x;U zIu`J+pb`Xt)wSxxo|rn%VCQK2Xyv4g#ax^-cxl0>>}_FZ@c0^dG2LU*aAUctHF_9+0W4MBsvbLryn>yoWuN6ghHUDTFk$^>ah&kR zZomaq&_Kl)N9IRjzg|j^6A@4Fyj-Myv7XQz8@^uRxz? zah_vBbjg5L%G))_kSBfRcdp-S3p6ZQzlniKeACO&)yLv>m5sDy%XjUyOZ`WlvY>EQ z%qiToY|D19ZRRb|Up_$IJ$2=2swY;^_N{8@2i0{ALmPUA|EPjs2x?)Q!PqzD(zjj! z;U4X?bkKXinek)#KV9XQIGlLh+cTXL)xU-5BCkx)Ipd8;vuez{%kbM)usyOl7OeE6 zC9!81@HF*Wxm=?C3EIy$9U%~>A!?&+|KnDAz9v%qkc$v58f$;R7p9EySXR*l(Lt=7 zCY@d$r~U|v12O&VvYcn%tD#IMU$uOMEcFkxl!*vy4w>cUQLL?`MvMlD#!4;Oe?~J- zuhFq7jHZFny*lx0Qej@YCfHU9GiBjS@SM^rq17jK?pj6-7shXR8r79>rd-Vi34+50 z&1lWu5U=0>oKIvV$|o`!^BoP_)^?R>dfSX}so0D`KtR-{Nlu+)`VtDq_NCXXn3JOr z+AXt$(k-*%2Q@!v_(2Qh&lWVuc+*96>i#x)hv({e&X)Xv%cg0Q>pH%}eRJ6Pq4i>B z>c9noHD&{Pi|ZOk`vl>kXsRxwjIN0@rUWY`Io+X`8(~$LTd`ve88W#4xoqn+{LrJ= zg;p(YcXrXHJMq!Nq9lf_|LDJUM2h*RX3W)rrLhCEs@SEr77Eg!7z}{fWqr!#X^8jJ zWE2<}ow<}`yuYc{cW|X6eWm4snjF^R$=oE#nx(49*=S&W7hWQnx>Wg$>DDRz4O?^|Sr$1dvMGQbRXImt4BS}5B+%HRNtc3}Zh6qF z*jx82;?F$9wyeP@Vl?5SxT8V!)r2oOHeB67A!-MLmoqFxsV3hh!J0O#1G+Q?l(=er)Vxc*L{Rk-0Q55Gv zC@Zc%r(sAvP`E|kyfS)|JjoaOcC(c@(KaLM;lN+UYXb1A(aluC zD?+R)Jf9F_%pjXI`iShEmGvSj>VPvkwiN(_W3Szv8ITdDlV)^n$d7bwQG5Chtnly& z9S9z&o6=hYhu3mkQr9*SQ&Eh^>_1&%j;Q6|Y^v}(GHsafQh;gxU&2-CI#}7X@$rAD zmYJ(%W2j4jb^caYz)vn#NxN>?mH$r0ok80a+@bQgVjf7Vj9WAlOpI3ePS*8&vq8X{ z7g`qJ6L59*`lyrFi?Sk%yt=uq#(DBT>`h!XVZzRDg+mQLzT`u+?1TEMctqUoI496L%8!5X~i< znQ-X7c{FGJ&)9yQG)|Y3D81REvSUfZ*U>Lqc9YU!#s@LF9_Lh{_yp@4Yymb%p0VcDroufy)>aOrEp}I8 zm6iBmA-LVNv+-bSs|x!D=j_Vug{eQWu?FDr7hpB#Cf@n5|cCzV@@fljzXK68%)us#&;TJhSF$apF zZQo+-FuZ~yVM1H?mJ>%l3WCmt#5>xOuI=f8u1)%9+-Uv`mukJAG(Lq(%BJOsu1zy^ zy0OoO@*W!%iy{ND-m+@V8fNwys!$ z4Ed7AajJ=(1^e0g6c>I{VfxfpE&u7E3Zp^fVEZ{c5pOM;@HHadqHL{VkrGdnaEhDl z!`~B=nZ2~~sYzQjpLM?5z}S#G;P)x3)N4rhZFPkpUti}{+PTt-VFDH(+*wS$=ch38I~#=>xuw z050&8gKcgL;n!v=I5>};vrig5ZPhSx(qd*<*N)*`(!d92qZf12AZQnZL*K6AB>5}c zxxwJlxpB?Xd1JC#{H>%1SXuO?xHZZRb-jq`&KaSfBVJ%bkt_N2qS^4vl@i1dW%n8- ze_*ad$X6N0Mx3%IA*%Gr!Y^Bw!jH#E&txy1Z0+>%;<2Vu3jj@AagMM$B(nG|95nrO z7W6hm#uTZVqggsLSYD?la5RKSL7S}LPOoAtWlDf6(>v-`G-V3uANsG^FpIWj)afbW zDDdtp>#rao1m;IQ&OepGs93ZWgJh+gj$)rJ1JB`hPt>Rv1@K7#S~wp& z)EM+B=@xjblBs^(INZErb0xM-(LG?-R5V%E+l-oj!xc<#dK&TXrirq3p#|X~xM-I( zAH;#P_+A;4xTI6gQ0?yhS|ip3m%?wL$AA@}y5NLhP{L5j;0T#3iZ*I&Kea#8ybS9| z;FQVybAiJ}fzt$fS1x8N)7j0>+hK8j+tUy0?ej?X5=%06ewC+v3k>0wC=lufxG3=M zZebA(o2MtD3aCN#X~ykQ}s686kqVt=K!3fis$q484Se(2^QntrW@S~u1 z3Bh~N@IWK$rbosxmRFd6Yu&cQ8+w9@81bqKMioh26tc`L@tUMbxRo`yjdD4HEfV{_ zJbIZWX|BY&wDb_4nHwb5ol|^-fE_z|Ow6>gomZ?i%o21UA34or6{}wL z&4Zp*vk({Txc~k7SGzZJx?oFkG2ZpO*7giFX2HFBk+ynCpPlf0q4Tg7Zs9eG%mk z(IzivLyYPA^bg`)1a4vELSM#m!VVFEs;>&00M$(~632u->I~2teSD_PIWU)zW}qmm4tk8yML(g1ETz@#_g^@9CAD_0njTi zZ?4<3vJkyaf z8Jgf6D3)RPp8M!w+d!8~F|u`S$+PK6Fkbl!X?ucC@%0N+DDAoajHD?4~w(t<=?d zL@xAW7*!qPR|XsS>;79DB~%mAtk$fta;2#H_tak#6x2(dAtWinS9wK$BREJ=JDoQ$ z6CkrV0gQ^Ao?JxQo&d4_P@_yi+SW)UBIKY}O`=g$;B+(#)HhM!R6m&zoi1)14&?eEi` zsyffn;$`P7;43(A#i5=k=%c0E^#wMrB&aQ=CV~rTeQ7JGH$wE2LWK2uwN?G54?r+Z z3`xSCnl*EjPM9DF?>&!z7BB&Uz9vFTUxLR^{)S|O@;41&Mn4_I54(il7J$k38ATh@ zp-8=Np_XeMoz6>NT10%t%*<%V`3dp!lc+=h_iWDES99%E77i$qvw~6%wl^3Qg}h5Cl>MKYH8ve&+&oMKD#eWEQ-+qIaK!#(Mqe`2!B)xy7Q7~h)+@E9h5Q0sy z#$w2Xw^9zl2`?3t>B1{wOVNbyQCTnMH;J7~_?X~V1e~scWmOOYg?;(l!=OJ8_1d6^ zKmy3JTM{s#sAu(#t~Vca14C{dA*$1*dVCzd<|nB>BWOxc;aKd#$|SvQ%->% zQDOl8kLU-dl&K5EN?5?zxu0*vQmAndw`1i9T5FTVLrrL2{fY*c>;6Ihs7ilLwb} zo%{>cg|{K6;smIgLN(O6-V+X*LZ-$j^#E1Kyx%sTws=4*ie9O9nJ*uw?YbQ%968_F z^9Vibu~A@?0PrZWwp)^Rju<+cF8*&eeSxQY_j$k^s1MwpI_*Q`2lnE(r1&-s{Izvr zG#G4xZcp*yA?)J=5F(q1>FXTp>967-xM%9eJk{A=H)>cC4&R2modPa8q`5KlcJi#F z97+4tKfAJXer)efG9zzgXUy-~S|9@>ABq_3p%}@xpRg%%vqc;3rp{GO=k@HM*!weJHf)`G1h%}%f5cD`%6Q8wWKnWr zQS;*M2#O8bx}Y#kR+;;XAC)L`a-Rc`+6;h8qy!Bz?c0u-CW~s+*-D=kyc3517-3x8ci!8y{XbV>kHq&>~r#4Y)6Tg|M|GNOxsYObHxM(n7 z6KP^uezLSf`;s8e|2u)j-fW-RB-2T!;`~P3z*D|%L#WA5M+fM=nVKaTxjiS`rwub`VwH69(l$ zk|jSp&}jR&J@2whNsQ*BDlxdFxz=`GwRn~3vI^$vp*zTle@&K!)Vv z{hiGiD7|Cb+D?xI+N_qt(~E*tDtyA_i9GP<#f8%@01Xqa@#Rlx2tmxf9vOMxL$0M5 zxz{zwGZ5`IdtV4o9;>i$uP2i!8dKxM-%~zJ;a|MlQ*TT|Qx5$+viVHAY+eBbwaE8Y z&#!P}bRV$|;y5W_%>vs(66>cYe~_W9ac`{N?hjdFT+?oo2yju1!Qr0o_vCu=cp#40 zLk?%h(8<&jjqRY5j@%c011~E#o-K{COvP`*1iqP`NrLFG?* zQ4aO%zG;&WuTEdiG&2?Rv#d|{nAclh0j17B(A_rB#^3*+nHHX{A8M>fZa%!Xcw3_G+ti8xW8n6gW*nk zlOT>7lT%V0x;r8abfUwXS48mFD*gQMhu<}ULz}6_sVCoskF%K{tfAk|O3So=mtAcq z9KN#bxNw;t2AGd$dwXe`oo4k^cL4n_#+7VLf$-( z7f2J%LrV<|gcofu>+CS)$pRgTXMPN$eZEXF|KU_rPde5G-j&w0*MCKXsCXBfSYW8R zJe_>TzzTDyYaj|wzTBCj_{bsLcwi_E5QY6F*GdwPKjit>7#AlEftoJQ2|}*HVdF`Z z(rIRq0g(l}TuygA{el9oTdmI)yW__RCiT@;IYw_m<+tNL5~VCl_BK|`I_KrV+315F zAi2I`$%`w?eG63QPOq@=yQ@5uvTN812B-n{>O*R=6_F~lZ}DBy@gD&lCKe;${BKjz z5gN*2p*LrEGP*=vk#0zS5+^OD1Ai}!qEFNKB7F88EXBSC)@T|?29zbwr!bX0HN%`lCa0v+#_8Bn^!5l8g0&C&6fj5F^}EEW&`R%-s{kuIX&h$2FSlaeid8nZ(RN&LcG?4Ust6(f@iau_J! zbQ%jp(gu9)&K5oPM6wS&Qb};|a+!$xbr2fAyn>M=FbVLg%sj>Rem#Hy{#I27vM};X zV33K$Ki1)Me$@kG!}a8(qh`hEF=?vEUg}lpno^7R9Ugi4Ex(#cpm5bbo+T2%z-E+P zU+nj!`SPgU!t3l7d}_BgSZv_bYB#dKB7~rXFKS|5ZFOm5b4QhRZ?COYZ#R3Gdbc;s zac(U9KLKtsk-0v86q>vF! zIdtsCH|5T%opZ-FvC7GL;@m<V!i;^)k6zi^!3cnPW3c8W*f78(~Z8_=|*Q`cDA** zv#V>SYo?A*T;&3)`eAe+hndFo!c0TT2goMBt#zi}-B<6OnwshAYn|!t?&;}k^wirr z+h=<^diq+yrw7Pq6Y;nFL;(P@X3*JL@9CK8Zfm6qY_GR=bai!7ZPur!yBfW{on|Lf zLk74Jn!f6Q_>bs*^=BKMQ?oO(^{$S#)((=^(KglBH{IRWJ=1gy$_jS~%8amor`#L(i+xr?_vs0~YZC%}k8qQ}RKTGJ%V7hC1w$Z4Q z1I@PAr@C9GIy$>LX1nWCQ`4R8Q=Pak$^~SAo8TGxC}N}TSH5+&t*xi6t-GsddZx3z z(dg;z?C6>8?C9+4?CWmrz&EOL0kZM1mIfXErsCw{(!u)D{%idibu`*$raIeOXItyt zRI9!9wyyTBS$Jw&=SYZ(E zo$i>O>FI9ond)er=}<;`kJcBU=S2@Nx43jx?d$j4SGosa_oi=Ao>gl(e!JDZdwaTP zrl#84yXsV1Jsn-$vvpFfle($)zSfTE8B!t_&;y8>Q~KdcZ{u&Yb@lai%(iv3k%M>l z^mez;bhozmcFayqb=BKC%%NDd9i9OAjoR1R*EZeJ*V)zA-a%bJYtPioY|re}Y#a46 z(~TLcYoldOU3Z|qxHvoOm)_Yv)7m@R-q|tJ(b>~B+tc1TJJa3PsJFLK$3E3Jo3jdC zz$GA^Cp>=XUDG|?J=D>XgU?I}3(ZdV_I5X@7V2H?Qw6i@o}GHM`V^_RdA4=W%yzW3 z_4PJ7XWM3`8|_oQGqcpo&ra26>y6ohXJdTR>sL4rZe47BWAAi(>rCs+)NK27-*iuF z-_%T_wY9ygtFNb{uf40G+?r&H0OvvHt4+J5nK01XGU%vJ&rWyrOm%lR$bGt|di!Wx z>ZTUcN5fN>y>l@$@Rp#pwcgj&F;lO1^z=;kwYRm;bWFE)^mNY9b$eH_9$+5Svhq+N zod{O-shjF+ZM1dv%+5^rO?5XKQ?2#J^z2l7qi?Fw*MW7^T!4LYKIE4^-7{V9?49bQ zfxEMifQUI< zf`X($E8iFHBU-`o88)7Jc4dgkk5x=HS-;4kmf$B1NlczT-|(f$a^iO1muFEYlvB#B7kH5-`@YXw+oY>s z%=i$?>jR3bPU6zAd9xXr;?^($a~edSz9`dBn^jtBn944~Un-yAhJv<% zzMyI=l}}VYAuLd}hpLZ+BP7Bbr8S$M&i5#lsAr6SGVQi)6i-N_q?iU&l~&nB_m|2istM;(o?Ew>&G-&hIr_`t>5>BAAmPQ(uNCO(L-r+zkn{xB%#dYF*`dx*!@O(P9 z4%HI;q#?wdiv7rb?ei`*B<1W zpIxRe&6i)ZX}#m3=Gd(ri7fH=obezBcVkTkkT2^AoRVCg=>)p!MbU zekm+->+*%`_BPKw?8dN*^y|kI)(xPY&*x>me`Qt67k-Xb-e$i2Sk0zMyF9^Kc9~|M zGsraDix=-@q&4eihwAf}b>qenPf~bFF0vx0NqIRFcXQ@yrKz zdi?Wc0&C~9m)+;rn?e<5XEc@lILO%ljEIq_;Pe0b{ zf2H;d@rUf2wa-7N+N>q`NkfAA&C+L^YQGu(#~?PrPa1^$Ouq}P{*|R423vxkG#LCL z|0=GZqI@Cyh0-(qLivZ%KY(H-_(_8(UuM7IfPF&pkInSVe(!`?lhn2%j{48)?~*NP z->m%h`mfS?esXNuC-Z-M`Lp!bi8f=lpR9kp+S-1ybi4emo}SsyUjF#>;(M(5{;T*d zYd(FY`8UVE(*7mhKF#U3apYfTZC{ywue7$WEWNq%huUAfe0WZ%DN!e8{bcgr6sRA&ej)ugPWo?J z%b)4@)(u(ytbXkF-|Xp`{q5yjX?n;$E0w?2{6|~=Q2r}TUqAcFy=UK`Pltc{ezAwS zc4gWbVzE6zT(}^eOAy4Wsh35p$LfdzL5wV3g!op2jwon)HKigb)$#3R1Vt#Y;KP*& z3Q;IaSg``)IZ~z+ti`ijSPvv7O(O7*^$?WmkCE3IfsHIsX`(nOmetTh%vm^VYcBYA zARH2o;H>lr%iyvo7FJym_ygFAfd2}Cpa=z)H6d_WRJIpOH6rv7+NNl6QZiW6je;mB z7ACBQ>fv16h(a^P7o~+#WZVQ1HnLmBUcl0ja0KT9iy(RtO|XQ8t^`r&U{6f-FKDU= zVmu*~B7{xIh?Qu{GD>8-8nxd%AXWh1o2vmj^NTN#2G?@CgWU_ zP{_6k0^37n%QBEzlIAE*B@l(tG;A@>wvdY=6sAtkOSMyof(&Qj+$?7Vg^FArv@NUK z|NIsGOVEeV^&Ll+DKSYU)aaYq$##QwKhcgeX!jBAc!PE?(SFUK-9xko7_?sz?e3rF z^L-%c@=Jqu0?~eD&>ldvdkosI5$#@sc0AGUGib*V?S6x{ooGi4+BTxq44vs?%gN^q zTszTjGHA~r+Q$sqGl}+(2JKly`=~*CHqj0nw1j9k8nk|*eb%6L5bZMtt&?bu{;(xCMc?GpyAk7yq^Xip>BKN+;A6RqE{>5b$E7Z|jU5bY}l@43YL zRfG0qqJ7PvolUg=G-ziL?Ph~^2GMRcXip^CHx1h9MEkZudmPa&GIahR={#uAK18%3 zgZ2+Z8!%`eCfW%G?UzJ5$)Nq1Xb&`KcN6VF2JJ4QJ=mcAf@miiv^$CR5QBCH(H?5h zeonN98ML1f?coOPr$l>%LHh~OZa3_A8rko=2JO*A`@TVYB+-6o&`u%Rj}6*MMEj{h zdpOa4ZqOb|v^x#jiA39J==^u2^Qb|4H_?U-+WU$28wTx5M0<`wdmqs*HfZl9+K54W z57EX9+PjE$p+Wn5qU|zh?TPDv?>r7+v& zue~e8C1x&fFbQXxgkrWq#9ssv1)+Arn=~hREq(c;Mv_%oL?-kJ!Q7CEf54GP(Cu!X zKvSzc;eaXQMia5!B+!Pfe75~2;X@|Nw@d^TIj;vkZ31FyJ@K#i@&x){=y}4mCSlPO z`kEl3phv-kh2n<^G=a+(uE0{LmjZ(Ri(0<41x>?*f*u8yLbe4&LDMtL!WF#m6{hNM zH(3g~Y!t%xOx5ZCPvonCRxR^&J7pq1Xd=!!!C?8w-G9)LxD6r@R{NGvxCA6@HQqVNKX+u1y)D?R@ z-4Wz+DF`hP9x2q)6e}PKgu+9U0-`X!6dtJ-JozM3k9R`|Nmds78a=(p748kG_bWs} zk98#IntsTfC_Wk{(-AK>5rxVwwCvwE4Ro4GC_JVvRFwD^D7qdWPkmk3HI*k$1)FYs z1bdKMQ?`d2LAUxUBq~Oc682zU2YZ4a4kcu80|INp{Ne(&hX|cjNK}j>Di`)3BqsRb zpb%jhVFWuJgeRPXxL99Jc{CMSH>pRsw3`QUSabG7*#mXL^)iqS7H*4$J*ZPjZoc+6 zk4-mv4PtC1H-G*gU2;l@JJZLLfY^T-&nr#hX zdt4sOgFH7*+#vk7YLp(lRIr##0F4a-lU@d)Mt2ex=d~p`|GlHSpL9f)m zxApmmGUat9QeI~g<#i@dUYqi|4f#FB zb+2*Vr>^lqnf{rXgJcLUH@*ueNSZA&TBdqN%hb#QL1Ix7XPca9AXv4R+mckLK%-9OJ7rIfb)G(Jmr$3xId#QzZm z1+8Hm%|mA(g60z`Br8=)$cmK`vT~(_tY9f2D_Kg&ik1?xvZaKqeo{hKJAwf7QyElC z9v|FmXsTC0%3^3bxYy8haIc~1;9f)1!M%A+=Lh;HTG_#?Cc{xWq9|DMk-@m!idD3SSc z|3qU>=cVqV)wot=gl?3cYa4W}JS|N>$ozOjh3;p^W#f}O2TbaSmAW%Wg~SW$^H(x2 zUZKHt0Yp_X%OEh(wNe9iAD9@tU}%&Pu)-i;z(Rw00}d`6TH<7I4_$qzKBvs0D9SLX zM}cWmpp0ZeDGO#OWyFjmc3Q*XGEx_7m65uOB=Kz)Fe*(|hKi!{Qxuh*qNv;yMP;Ta z#k|BTpSj%obQOok#>aMMRe|9H{n=upL0@6W8adJ0rkH?g7lc;Lj})=ZMr^kcJ8Z;G z8?nnq?6whmY{Xt0u}>qS{!pTWjeT55^xEV7e1+1D_wS_6LgUJa8Xw%9I*gqA)3tJc zAy;|3Kx^(Uy33)9vI;?S7;h+PXez3+0eGUZblEtaMR$y1)aS0LU$>ZZd`VJHhdiej zu2R*BH=pJXTs1kpaAZgxye>!2G2Pu1=MF|CKnG)J&ih%TpH1*7Y;U_ zM&}0RJJ1V!kD;SJIlVYPb!c{$1RrcH9-3R4oL`t}(3@mp{KhL(a|;VsP7?3aWaNrQ zeP;5|{Qf0+GfH4uAZBi9@rwPkODv;t?b762eW@`&ecj~j>>`SU9G|E|r|Sm~UN>nV z2~b2X%+4)b<3d_W-+5oEnk7xOWJ{WA!PuLbvl_`ef~sD+>HxoE2~9a%&k;J~KZ~Kg z?;0MR>=6=?h|<55gdmQfquSaeO`W%hBkQj{D zt5P|l&A8M_F)v~=Sm@U4Nie~KWdXXB) zWm_0>dI}jCyG&Cr7kvUjLf!Kd34@VT8Y#!FU9^PtIU|L%ov+4FO@N4=8HSui5c;b* zWjraB;Ta!$!;n6L_#OReVIfZ^$jPMZo{6EB{)v|Hp^Ns6^pCd;JBPw&5W}N0hF#-h z7tz}A&f(FET4*sxn8@<;Oya`w`o!-3(ZT-lK?_8Xo)w9EHsJ#9*G~|p;DqREQP+Pj3PTqi5@P6eoiU$#ih_A zgbsMdPJ%py>Uwx|c=zP+=CHnk@#`ci`UyOPTYQL3MKZdr!Q%Q8H#EW_Ti48KVXr%Ht@XFUkSht|ci*`n;;L{q z^FbktVIfOl$f009FCs)}Qgls<8R#D$%Jpg5#kGXNgIwJE?xb0zvmd;UfT$tV>*VaSuhkSB*B=Y%2W5`_LdOO_XggJz(EM0Q&Rjd@m&joa(-ykoyUNq3c2lc@49xBZNW8fkDVpj2LMXgWDE~ z+fF#jy4K^&@wiAy#}khJ3Xc>t{eLaZ%vb@{5Dy3oIl(F9U(@Gd4p95w8p@@(*Fihr^JMgdsPEA&0|| zj}pY+I{q;%ugtkkBtF6jzE5UKb{aM-RM}$ zg~KC5uG~&17%A@Sr_T=N^`x+nCx;>bjUdo?_vO2W2i)_<^MdM}pCa0wJ7k_3M6?nj zV3+Qokk^HU+>k*U9bayo|g=a57A3AyZc8bT~DJE0;l26!W#U!1EM|C z{*VKba5lBthY8|$$dA|&S~gFmr`~93JNuv8)7siPkx8f6v$Cs%#^J!D#ed8;M|6=? z+-F=g-b+tPGbt2Hva72}rmem|k_kHKfMh~y4<-}f-|X;nR%&{?wd7p%dJ^|2qU`D) zxVVKDIO(A;p8wLy&(L_j=o;Jqr3}c9wH?D3?a;`%=u1ejzbcl4h@S+vC`?hFmRv5# zRGFrz&7@k5&p04SCglo>Vo+#Ydtl+<(&P;C&4MOtKT&PjW#HO8#Ubm8=}CI6?|^)> zPfJ(Pt0@+c^Ffc@A?|81l<7XUjb$7c0vw-aVlh3-IDsH&*1l&E4|0nk zP7xmJfFx-;o$z`dhO%djF3dNy0g*^rM|c*YFbG{rJ8nkCE}A6A%I_mfC@~FZ6Ba$< zr8@>kCfoY@Iyga`HKEUPXs6-(9grl$TtE<*s*8sAjGB)Pq+;6LcZ`DsvHJ##!bcJ; z2(3UDrKFH+O-Awg8dSF_PAk|891u<9WEk?oFr-cpOv-73(?2@k-gz?>iJOkZ%|zlF zk+|7N+&;qL9-UU>jKU_FZLjbN(`soy;l$7|KGdIa=VQMWfw?jQgKxfA8l9%~)hQx- z-A!ns>3c9mbf{N;^19MuNf5DQ&qWh-C`Dv1b!mwr`l2A>8bV-XcPe^qDxyujg=S`Q zT`Ho}OyKL^PDQv^QC}QH{H`65a2~bVOYDdYPHXd3gn2+R%k zoU{`EAt3^z*&BjF-WV40M-GT)+P@Cw^EQVN&2sN>K(r#i#{to#yw?HIw0&O~^8OU! znG}DFAeN3o%jc7he6#}nvjd_P=+h2}meIdBAX-LWBnalbBSV)CjW}ETR|thNo&Qu# zdTv(ybw^ej{yzy4Y5_N=n8FM;$pqcv!eo%zt*JU@J!$s)Rthn1J+z!|Cy1pgH6h<| z2+>seEEa}4p2|34Gwc@FtVz)fC4=_Jdudu2I@xIT#ZJwo77*Un*j%@41gPL7Sbx5B?J zmFIr&`Dei#|2&9zSBh{idcQkGxOa4XkPy@*xNqNXqJaU+4x>Z#I^>Z1?W+GGoWB~r z8AN<5i1;=kNMqkq^4r3Y+k^RhCy4lN5b?br;`>3w4}ypv1`$6BB7Pi1{3M9@X%O+V zAmZnQ2-NN!K_Pbr5x)o`?g}e%cTmW$f{1&9h($U)fwDz8-b@jBg^zXT7&&2MQ*6!bEX>V=old$41-Zyq; zpurDO9E-E^sqp0N{<+4YmYiFhy^Rvkb>(Ni+Td|JC8FHD&h00kaonB|6Ndv~$nS(9 z?{q*C&ZbuTDM6?%i#rOm61^)pd%Mt)QI=2bnFN82>4Ew9c|)TE^d;*-Ejbq*cZf?e z)UzFs3?csYZNNw0OC>WPqa-2G3U7f>*11qYq&e2kF8O}slg3ArVE;TKLKF2Bu(T?x zN?hwoG#Xk&9aRvjlg;m#9}Kovb2D3HnZu2~LZsbW>PThn@s42Cjb|B%n5F7$LX6HJ zLQ2&cMAxBV+>Ktp0@VZj<$~8?)JX!p7Yzfx_i1h zI@`LsI%v0+dPt5BR(>O`Lwuuw{?W;>FCQ6#blebK*gsL&%)|N*jrLzKLZU}5o}{nA(anq~x#7knLj*8kkKM?w zos;6B$zP>qRW^MMrQSj)z@a*jgTv!P^f9clQJ~Rl+utjLwE?G>CWe57sc=0&pCqC! zMs#Hj#jOno)BG8}lY}`6#E|grhrp&Zzg0LJoJ(4Ttw8@SY0t=>2{w5CmOYh!BAsEe z{!9Bu_6QroxAu(Eed=He$(o-nN^jsrDX?%?g+8QkeEbbNq1_a0cAektBhe=L;2B9U2yZf}6j_#fu62%nFYG?m= zp(%k8%K;<(7f_=Znw%II8Hbu~@h~J+%H-(KWs|hGbs}vWXsf%&_YBdg5U_MUaKUqj zCwKMJyB|Z;Adm%~UXG6K-a&iy3mhroZ^`u`xZalEJKNGCJ2Ai*v)ImMAY7WvzH4~b(8%zp=ua@NS-T$bWT*eRawrtTAWUo>24OUG z8T^LO(BNc0+Snj-STnGXwaii&Ymo_bLMt1Xn7m-mg%?sgV|adf7FY^v(9ty2;h{}K z?aM9~`l4pdeKSy(f*R;iF4sU0V9}q!;qhlMaVQS3oWkez7+_J>Yxd79UBPvzx|Y|m z8g#}moSSaIn1e$X_S3sZ8can?x(N7+<~VQ^<{NHvsIpN6#Vhuj7(U&7<{F!DqqH)Z ziHEfQw$|K`*3u$-a~L@{%~d`JZXV7siyEaJ){|nw!0u`7#7erGyOM8!0%>q-xu7}b zI%=tU*VnU(bS{)dq3}OH8Ccpon(ASZTd^6l4tzekz1knL8gz#328VX--r;pFw;x=k zSvX!+uD4aS^@f$}rDV;mqF!$-t(WzdO|@_uA5|zj<7$3x*X&%aIKW3vSPRWk836xV zkSD8aJSMo6&}*T9Qhww`sREg(xE5t^1xHtw-*YkP=5S1U?k_9Pjc+UK+X}3n+r!?9 z1rrWi!E>)Ju}GkdaE0c*%?~7H&DZR}Q!Ns#cr_ALReDwQs4Nl~{>)7k=M6fYR;}t! zHDwF48PDRG{SG>`?pX3Z&u+kd+UyvJqjEo@45-=9v8)g>N?EgS!lgS5ySW7etNGUP zR$S$OgI0fTEsxTIftsRwm-0NV6oo7HK$~q{u4+KVRU+jYrUU2h%aohz_dam*xY@0} z$sYYxlUx~{ce~*-YHi;e{SHPPG_%Mc@R3-+HY+}-_kG!FrK{*UybGk!E4*e5OY~T` z4+aL_hqRJ7W?)wzsvlfBw77r&rn$gc+g(SFEI*RYh*KY*;1wEY{3 z=d%m{5G^0Z(B==35B<-t==_*B_IwxhXTYMr(|KqqN?iX!%lomUBmHx+Xyu%4d2IwMs4TGV7NBvU_E+S8IKv-tMjwhZ z%^k!UK8B%#pkmFG$~>F1>SbG@1AWv?+eC7#-1D)%Gl_0!YqFQ?aLel(N! z+Ofq*zb%!6{t)@Gp7fAjT%Td!Z%*l4-&}7$P3ccg@&A!t-<_VJ7wKC2EL~5fm>;HT z-%iipPtWZ0tP7u?kn(*z#jy|nx0L=Rq9c#@(D^w;L;U?(oIZNLyf4N6af*fPSq%%_ zz_(24d(-$QD9-dZYVrS1i@(Vc{}TuOe`|D`9MY@yHNxP`7GZN`Py}6pQM{WjOR7FKEem}Te)kdn>gr&G(Mv)80(5}HQ87! z<1+KvW)B<9Wuucw=Cf&DL_A!tO`U1Hs_h{eJdfft`VZVo)4g(2a(#MTO>%wdRod#? zd@XDW-*L%>-*OsOqd4w6r)x2Z4`WNiB9)D9qv?9#@wg46(`bwm7~)IT`* zpK*4M@bYuE)0|8b`j@x99fMky%i{Y#_+a6yEcDAieJ_?@GsN3({WjWLMmXh$k3BWV z^6Xb%`iUF?@SLW1zVdcaNL7{-U-X#OM_l)b55F8at6VC3RQAx^Vb%Nt<4k;e89E>T zxlCjE+|)Tw5$gsl!`^^&mX99%tKJ&A8z;-!H8Hv?+T&A_O(qpyhJ?>?`Q7WuPs%jQC(D2>lOiO1&hqo=B5h@tiDyl< z8kuvsC{uLHAScB%%)>-T_?+pI)lD%?xE;tzF>Pgh_A;ML_mDHjRjE)gDG(%<1Vus+Atr)C)EEsWTAHAVQBe{l zn4mx;27JV5Fd&}Ed zDY0BvMO__I_ry1^fUo|Ve6E#qRN<%fY#&JPEFfLd8>wm;wq**_>s{RW1(v z!n^fsO65+QKgU98s=KTn>*kow98mGm4+w*l3;>-kZ>V}<#(cr3K zE8xZ7IF&v}!5wFr+yI=JwtPbiR>0wf?0&fKYkF=UevN~MSPTvbJX@G4VXC@tageTB z;yz(4%HiioXo%NcH6sjOz&wB*fYImA{aywSCZ*u6W)@0;de*TeaQ|)SIm#+2MT~Vl z$M=%4hIg>>fWLV8&31UOo1l?hB5uT|xW{pnoq^VmCE$K&Ry_t98vzX`ST=giUoKSa zWnY7l;n$zBlWQjlcw>{GArLdVyVY?HD;dt%1a<`8Ufo%J8Yh)Nqe&UvR&FLMO#kW2 zQ#e!_4h1=_)UyO=e`sc_0sXNW-Q24*FdG2r|8mbD5Ov1|AcA!-?`QR-!=1cub3OL! zB0}AF&z9G52FMtQl(p2QzG}sm9L&zb*t#9-KY~Z(&n`6ryICc)A*=)LFSXD6c`!Vs zCV>pl5$HXQJppf5J}uiNdr(l@ayy3jHGaipd*R% z@AiQQ&|f`d97(Vk@&^Lu=-w81#L;4zsv|tiwyxq12NOc5@6%KAZ1PAkiebF0VY%w=tmlP?gD%i=618@nO4sUPlztD+2Qq4kB zaH^{%jZYK3^jrakwo+uEYaErS%O7n0xC{iI2z{uh=2*EvWGaF|5mLsIw`V_oa?W~4 zWvLq)BX&p#O@g#=?b$$bj0tHYIYLwkIisTTn}@p^>uN?uGP(w_k$^34FW-&TK-T%< zDatI0pOT%OxK}Z`e!S&@z@f7%;n<;)9OpN!9fW9Ugd((`vAKug9$W)S!`0{Cdk1Bg zg)g2%C?uav7Ji`g?I!FR4Gk?!C0K5k!7hLSIfG6<-*2j&h?Psq4aJ31K@I9Ej6mf8 z>gj}DtUNXsWsn)~>98LKNToVLfX5;}40npJ5LFqWI=b-Cxhq8679kb`LdG8K2D+)q zwW=ZvN+U5TE0=^lFXXvB!eD+&tLboJ2m!Z7fIopns+6=K&qBmZLR>sj-RS^@Oc$Fw zP`NzeC@D&l*3QpSm#CbElgE>%JIu}Khj@M1j6FTAhX(|7M1PimyK>~)_u&!A5gtdP zLXXIa>;<^jupeflI>Vq*r3el#ilEYbeEVNeA0ciFN8N}B2Pe@9V93EIFX5N0&T&Nf z9>j}?>wTK25ARaXB3{}%P&C9U8tL0y{$LwoWIR#uxq>@t#$PJp;#EqF`pT*^=s~Fp zo#nz3iY&v}TX0`h(Xk!fBYnsnhv(_shZrI%V^6_b!;bm)qVf`$wKQENppu~?d#q*M z6`UfscU(`=Y5C!$>o?%gP-tjXhnpHz6zpV8aQH4E`81#OuJVWRqO7V2vQPKc^# zwGCgc(1O#cfKwg!L<4pP-qQRecSU0&{u{BB+)=fyZ56U(;uCwa2|Vko9L{Aox2&eu}%alYJtuC|6^QTn#+oih!g1w~i2OpohknCm!f_wI+H zo$LDju&i%>xZ!mwNbzSs-0|9XU{bV^;cC7oR(O82iv+tbYEI`0htxkk_r<72;FKb? blAua5uK5qLC?+$a^uo%CDf~YG00960n3DhA literal 30066 zcmZ6y1ymc)8}D68DaDJs6?bjf0bolbwT$Le1R8-P+Wg;=8e_Ed>XKnmmP;xe0}|nKb}*<(BpbSTgV4{d4#~ z!Mibx|Aj7Y{|i0gPP>r;NM+qveQB`2Ed_C8bBqx*F3H(h82*)r=Qg#i<4&@qCZ@{S zo*XCD^%PFx!D{LM0w4I!7%d?k|25?8O7i-BU|onvz!K#yjTdFeSBlf@$ z24hVQI1|O`_CaO&-#Alq<}8i3SJ0cq>Wb%qpD%-T6DGkx_60U4g9&(T?(+~ZD}NT3 z>zG2LEIyu2xPP3ToWtbQ6shpq4h?2>cugjpwFTs`N-j&t6L9oxH07?~!!x5aGnsbJ zaqk~Yw{FkNt$Cb3wz8A-j~rA7ESLC9mdVtvv?JrTOf_6e3DX=U6*-wtwy{EdKs0XL z2;-KcPhS~r1329s$T!>7F!{L&EL6=BJ!}}I3!F3nGwk#vR7wouM2)|s`m1=g@xCW2 zr5g8|qWhiyvP(RYylJ}~!Otku3&hPX=NxJs_|5q{%C1b2Q=_!P!-5(O$u__m6cn5` zOyC=1Im4lYESVT~GE^+0?z*`+(H1V{7{k|uGtY=gU+3nXU0WVFAb~TT@`;TqBOk1A8{r#}Wwf!J+@Pq0{v#q!Lz*Yc#I=l5>gqqw zqL*k)LH5!eftGh#?qcCaiL!Zz$Crum%D96U|L)a7>^sNNrPDoq$2~6lP34@udI*kE z?gSut$a7h|rvX4ysYakX#o3Om8+OzLdBIlPX?A9{&FY+Y8 zt|U7=vvk7NAlo@vc2J{3kg3DZqT^3lW9*Mb(*X#R4JCYG3EKSF;O*j$15J^MZg|m9Q~sr*cC!reGoHxZ@RJa+lnuU4Jq@^5d-{oy+WdeBys?xvlI=iIAbj@9k7G@kR8p33a& zwEY6k#7gYy+c>o=Y8m?NQn)qJl$QpX8yQ-$oz>h)<8SUJjOfxY?802;&CB-tM=|u= znG{^NO|9fju7ch975?0a<|AJ2}>bz?gliZT_Oq6NGS2=>aG&p*Gqx2vwU z`C1ioE~0=c?TV`LUj%<6j`h>D3eMq`v3%G4)$-LoaOHh7f(^?5z?PZr7FR29e_@F9 zkuVgpEKBw?ud~8UN$nJKs}yHIInZ$%y+qW8cuw>?^y?XXGsb_S*Wx-bSp>fFruP3O zc{2UJUpKs!_q-Pa!6ekE+)K$e`mv=HVy<{fWu@nFc{%@+f#1SUOO0l`aad3eIQE0) zDm!-BgGZ_2?&W*xK&^o)IfV3wbP35#bWYUdg*euRcC1K8eGb`rl=-d)n?8{#94%%v z`@g4b_G5TYnX5MH{$TOki*Oa4>nGi$Uxz(6OuO($(IX+huCKNw0pxFp=Axi_}(7M)Ui&|u* z@{6}_VtR>pZsKAwoM$=Wtxbw7`XI|;N4Z1HiAdQI0f*pKr%$W+EVzRKb$)t}S4(*4 zs!L?3=JJ7MQ&uj?OMVvt+W2SKqa1%=I=RT~o`un@8^igM(??fcVwdiMv5NX>?6h)F zo^!8Q@aANCfa_PP#U>YC$|;?3%HV-G?rnH{W^Yfm6?~;7%|B$MT7USD2%r<&!MXI; zKzI7P;${u@jss4$@4U?xzxTdLBAN9i7CA4F!`Bjg;sx0;M=Y!SIh6d(?}O5`MUs}Z z4Bn=0-WV-IZHl^+vrMI)LYm4KM|h>>{JyD_M!sNywKvOXos*Sq@N3tS9CR;;#2^16 zbp69?7c_BN7iWhu&i@*V6)+`9>>IGAj8HCx`v)o_y{85HPor1jhaL zNntGWb>f4XJ1s->{LzZ5MtAQY;$hKp-H1(f|7oK#|8~bqwN1Bm$I}i+&?`v~rQTmK zpG;OniCF6MnZcH|;U;%~?AT^c_lr4e`m%$F^ZxFXYvE%5%Hd|snLsALo4w)r6tFXA z#kZxFRLFV9@94SMDxKwrYw#DtELlOi9rDA=Md_%jS-z95f^j~H!p5%PLR*E+_@O|({P(NZocL=-CzQ)d9q%Z*Im zqp_b@_Nd$@o0nmvzNkS%9Ov48hfG%Ms$o)+H$9lCvaqPgcX)ODLXyUvVfIE{d2Fb^ zz~A9xrydCT%$RD0W?uW5)^6`G%?zYg=v0^1)6o@srb^pK-X=8H`R(R&mY4W{KgS`&%S`FnRaTtoM*o7xk}3yB3)3Ykfn zWN|aCMOuGowOURwKKA^=ta2e>ux?Ubq#e1#h|*b=)h?(PJvFudu{b4INnWi?RDL2$ zJaDa7>gPf*=8ii%kP|743kfkG6E)3&c?K4y!lLh&8phItCWqk7nO_NS$D>nnt=H#P zItBX|GG4RKF%Kvx)^(-nDgot%YExkQtK5=Z0rSzW9BVmUh`0&T=2cP?XHSt*4`=3C z2`SsOInS+L?DI2cEHG@7ialsPEhdG#<7mdU+64DWd@Sf>68L-SLi`s)?n2#Lx>u!#NKx#cqBT48|5QM`c}*Jm2kAcgd4X5T*x(uhT-#>*zM zz6p|zsT9ZlV|bx-k-nG15qmHo7C-XPD<-y%sQ^f6rM!*otE9X>TAW55^R4HH?G%YG z)BU)X1V@`RJvuKFLU(k#Yp&fCdt~3h<*)6W^s9fn_y9UH7LaFm%sZ)U{?jkt^0=Eu zS^&!(gS9A4RtgrKzC+%<kGB*JS+jYovzr?0@UtqkLw&1jsycBDp3m3hi=DeGMN9H-vFt{nAG@)I zPQPz{D*^^=#%_@dkXCo-Ha1Z=Yarg(dYt`Q=XnPPzR2jmeKA>X+QQZJMzsHT4x}$+ z_KpAdDXm6Eo@gC#)V{*M_tc_yRNYaXu~5T?3^Fl@dqx#QJIfq#7CNpM7D?~Oa{ng( z)CZ=qo)N{p<381TB|{iE2sRIfIU29tQ@QBy>aVG_Z5x4ntvG@c|HvIN>65oM#y19? zw;6vIQ8S40U=ubS+1XjC3X@+CwO_pnFgC18k}ltH|Ev|HGXFwlj6hX(O~<(Y%~ZXZ zDr-!wk5~T5x%iD?<=*Wjx4>Sk{6x$iRBH7ycAtOJU)57-q675<*+t{tz-t}%+YY6t z?0R%jS1)jhX@$7tNhU8_3ta>u13J^xpjnuHOL!{+VAP zp&l*${7B^o$rz_K9G@Pfiiq*hF|{FqY-jWEsPz7(Lhnr14P;>*=`sF!Qd)%Zc;ZZh#XNIJdq%%J<%|(OO)S!ev6u!ERH5F1lDVku ztEiTq6a?@_*HY|J{c=tugC1HaYC$Yjg$)sYXj6by72kvFx3rWS5@Y_ijBH|*)Wlf# z_Q2Ut4Bx^5Ud!m~yd@oJ21OUarsE+}qJ_1|}Ci$kpeJz`J-Ftyv8-*P`g2HztQwsrwfX zO+2}&FnwO9P1aG>0+3a|+h%^Pce_Vd*F|`3>ix^-OxsOHl>S;?r;a+M^@mFNRLeq# zlOA$jj??<69@eK zIzTZv==inuQi`pSWMmZvxa&_yL5L;-J6Eky&M0R>4Fs5?yc!n73=%so-aM+Me&FG6{ zA@;{SUh(#Ei?-Kk<}QAA-Lx1d1b$XEQ<`BXir(G$vde0@Xq{;>N_Pe%=4>}PD>Ypg z!_Q3JTtYM#RIrN=>Pu!R9GcoYE~ZvZo1w4XY;oJsVdQD|FGl4DFJbEhu}9EN{6i@A z$*a1#@!S|=3u59b<7n-}R^=1-l+5~qpBSp?lBtFtruMv#v!d)=%P>jxMsy*I>7!{k zez@k97;(kJj^`QGiaIPat&H`o-W6nSOyuhagI`MQ=7`Vt1wE zZvGXUFt!>1n@Tr6q9)I&_KbTdL}5lcU;AP((O`uzJItvUBa)h&I)x zU9>pi@%lM@*ssZ(mlj6|yGyH>Xr1XXXiO*2=~u0KW$8%eg6HcV&_=YHKk@IPiFrS< z7}Hzv%B8rIi=C9mZP=LluPg3}ddea7DBA_}q?_Mu({N`0xQBd5JG;lQX%^pvHSL6H zrYqJ_0{WofDjO86^&o~j8zO2CEYyiHodTR-Ls+#tg!JgA$P3Zshv48gdyoF?-#w+) z+;Jx@_=&`6TVSS-@(!QeRR(#*h!&C!8Zog~)^6miSb*h#elfC&;2- zKeroYV-L0Z)^W@2-;C@;`5pmp6E4#dw;}{Svz>TyJ1j}j@_@cBAn2gX3t{#!>Y_yy>#pb#3k<8uUxw4F&x~VVhgn=Uome1es`f9 z(cca#r1G@zW^%q_lMX)Fnx;x!;$I}-5@@L_Th{z;ctHL2zk*k3-g}#Jh^Qo%o50Jt zRxAmsmP9F0nO7{a!bI#BnE0Yv60v{jYI|I7`>@n@^sY*Qi+UdceA^@$1~D?ds@$-1K;wHNA`4AIFJ5e=_2rRZQL z3#r%4ADx_yQ7rN<8g{Bll_uFqdbq@?yA?|n)LMfUH0@tjQVX+~&^bA4qaB3-W$4a1-qC+SDoAIU(VXrmR>)l zb9K7t0Ii{i0FPo;73Vwk9h3YnZeHNg?c=1pFG*n8Hc7kp>y9u~x4A*x#&E9XNeoK0({63n0| zg<-S7R~lB!p9AwC+2oY+9it>p4H5;_l24Ku`wE$ianuZI17B6g%Xj8zCdzllrP(K@ zw214e)tccHI5j#8(-h~=DXGP_B~IW$j$IftTNz7G*h zwq`_+%c?ENx9N#; zsDLnfm+w~|7QsNgP0uI%W^x*PTULGh`%RbTd#{Fgqvm$>e&an!z2rY~ObD%uD#=_H zHg=sUTa|}U?%XZg{!j!%VpitI6({4q#V{0<9$vklm(p!@?N)W?33C3mETN!j2?s|Gno?9G6SL^6TRxCYC9^hg9#4m}=T#oweTeTL?WR$-uVm^YpjnHn)S}WtX+m=% zBda=#g-4f1&8CHyMPSVUAx7P#&|m3v->l2KGkZy-*+**-i9S4gRF}W)v6qEptXi{K zi9~Pkz#(Q?o_8CqWb3%5e0$ zn2K(YfPTtH5{3-98Y=9MU%wRX$Rb%7(k@ghj-(VOzB_E&!FoUsqdQuR$U|06$CB1j z$77WgCCJhJJy+;_nIm>t9IR@Y;);Eo{IM)=Qi=6l?C$MXB<#p7x#3iVj1z#eyx`Vr8c1c6-Qu7c@rhJC6?{B(>p4apCr-a8o;<==OhJvn1{l{gI>E(1p_ z%zu?enhSpsdai4aD0O&c{%!$jS`TRaTT&ol@W+tO_ZLwYh^VWUsH>HztCXl~6{QqZ z-l2L^&&jl3zh|>s4{6#GgsP`ig&d)(GpJj-O(9vM1_alU$&cZBOX8bwR|JXIn=qE{p#8s7$o2?tj&3D`tyqExTE z1NjYnbNY*R?o6Dz9{C@X22VmaJ+GW&8E|Xyy}*ORZsp~VTV2n1G25fAy91jSgBB{u zqZrC#q}O2KO<)+7DY{f!`OAW#oOIRZhIADON^kPwCysfN-;P`V zD)&3Gm@87Ha6moh!|*)JG;(1f%rx>oKy5F=!|<`0TOQHi!~P9MJ;6 z)o^v2L@kc*NDjsu0-QIP`<%~V_R4&|q(J&aC*#++xI;x`=tiae5LFP~f3i@ *f$ z(dQD%jy3wHSofFd2U~y&4Zx8GU`PY-r@8%#nzcchhmaN+h#B~xd5vjAsD~EPwLSd4 zW$A!0coA- zrzfMxD18C(HZYiAx!uo)16BJ+A+uz*BYy3e1~%FJ8mE52N_N$BR6!vT9r3?VS$upt z_u**NMRK zQwJ^n$n;m0B?MAOz(1A_e8>EeC8QL}VzN~(AX7Nrw}PjuYx04~NqsTTrd~uo8i$yV zN1@)i`HMH;3T`=LXL|g!>vBot z(vJ)}7dmf-eTfDY29V}(g~u~b9%ggi#Q*R;_AfW%F1Py`RFK>*F(h5WH$>bS^{}_A-*T1)sKNU%*v_1?JJRND5+WrDc{@bJh))Y&v?xBuf!dtF7F;&LVlHwjG%@%fvc+V_hAI8oOvfzAc%k zZ+}cMp>?q)rK`>Gr+wntZsC=p8Ih;5;`SFj&aw4iooWBQmNmBiuQ-0;AAOHAtc^O5 za@FU*?bGVXx^yBvj4(bwIb*v<%V|adj$-1Jg8wF5Dl%1pRR1NXYHBmgNIPmVd{cY8 zQ^Rknow31&tu{~z+r8o}h#I36+7zBKlP*zVQ`TCQcKjH-J4tRguZod}g{vj+yq|IJ zKQ4skjeny5&qc6Sm61ygAu~!OB=h}In&65&s$410xC+N-Ws%&=wmT=~fY94ETxYa+ zUF^lnHjV!TK1`(kCx~HU{y#x(*-CS2&@lYBY5|p8tCmo-#)QjPZ^GiStzzQR*)4k; z%*fY2Jns+|U%7#5SP#4sAM}Mc4`$)jWdXICyzJaWibD8|m$2Kn!;}9uxkmf)+p!N= zo3TG?aO$rZMcfDkQ%)YChVj>O5$vo@!hjGAT*1H;_=D^Mz--gRWnjK}1XF97R#wkG zo5XqE9tK)rU>gQvVL-#dMZMfoHc@7SoCepR4x4U{@`uAbrsZA$w`a zMEl?jt~-yK0)pYXOAU_455^#*yl$8MUe$$%+X~$t*Sjk8Wx61im+7mV6iESw4B`?d zVo-T;fVOLvGfimdZnbYo6rM|<)+a;85dtDKblifk1$60r{l7*ME>DMsdCnDkKv}V! zV0H2=S{F0SYlNO4(f8z~7?vj7E=7VwWTmlT&{Ph`7i|$&=Xh?Zs*<}&ig0$b2H+#0RtDUlI`WQMY9yg7 zqT=iQoJ7M<4nTxh+m|cA5tGk>iwouFZF33M8Eogw*TXhdl}D3{HOlaS)=zNX{wXH> z2Ft(mS!cR|l#*U4d=O%KuWwO)B~8eTtLnGWfhz$>t2q^*>TGBRv{~uP+jDOeH~*qN zM3v{k4CNT2J%eAAM1A#%qpu$mz@EJ#j@3G7;>yK4iVP?c6Ra7ZoFQ#E7HNi}G>;QB zqwiI+YMjJ3eNv+tl028fMc>Pbw52ZQwL~Km^u0`|{kWN?_L0y^H{i!`s(#3OFSXL@ zM>hcu_jZXAtbGH~gvvka=WYqnZyQu<)5WZ3XFvDlrKeIV?4r29qgZQozPnqAgs7g< zvn6-Wr5mWfzP<KlEfV}!z5h2+Vxsv zolPJ&(6zf!;dz|Ho@Ab-e52~SHXv0^!F`2zc%3ALM{^B6ALfKOdzcc6o*OXt53fg> zd%pQCvBlti4$Y6v!MAv{xzDe*dRzYcGMFhke=0`YKE|JHIPuy@#;LuS7A}C}$578T66ZeFS7>>{=0>c@N zuZ0|ul0mDg`}>(UIg(?D@>!{o`}$j{^-Of~O@=<O~M0DypdKbq>+Iv+3&%Xh9H=K=b1Uj-4fNH^HS3QjwRSKxJ5Whjim=1}e zoAw%(B-iY5fJquwGF&&t)R6u^*33}VtaIvxi^d<%87sTbj`Wx#xnlp+<;ndRs;^aY zA;+?XSJfJ`$bYc+DI^QNjB3)TRH@Cgew}dN_}M_zEcjhvIMv1uE^gSoTqicUB<+su z$G)j2Fz48PlV`U2Sq@)>6(7sM9C=Zt!(}0n^{^%0f9PUp_>50o1(*c|yAxk02K#az zkvA%ZqJDfE=@Q!}gnu0w@(!{75wjCx1L8N%F5U3z?i}x(|XxiE8 zYfQZXp_c5rkqh%YNJhPyq$AwPiT5B$qHM_L5ZGCp+Ko7|R*Y+_8G;F0n0Vq9*kN4T zji_`^rm7iLiW_w!TLu$&Nx2KqhJz+2kkB4@O7b#9nIf}QSM{99tX&~I+(MtSnI^gH zYxpmk+INKK_M>i8VL%NA9GhVvtx~=-BM$tD3?{#yc zah7&`i+b@6s1aK3yQmvn8(pJMw~_6DY=IBQZuY$IOrbg>A>(>yt?kcodP92`stRpi z-hM_G8^?o6#;&hBH3-u;!^hg5(Mn>*HU(iqUmQjXN847eW0T0{$H!J=j~vr!6rJ;o z_izpf@YHe)e=0gV7;_AIg%08z;J| z(9`>1EYV*U8z$Kw^7Z#6k~;q&Owe9vgMDvzg{RMxyqTzQS$t*h@=i<@@_)QAUoy{{ z`sp-JdrFjBy~8RnvnsXV4Cm;X-o0?jaq=(S4}l6P)2(d^2yMT@^&&lBa4NU?Q*iMS z%C@&LY#K#0cK3a@^n-OYUSTeQMu6(MC3 zAsLKmjF0~~5GsIK&8~H{8)C9ujMU*V4pRYH=wqHn@d6!6tQdEC8QQ@cvoAwst~`Z)^)2&eZD%+tqA4%Pd~ zcc2O*@5vlJ{_i;U#XbD&Hi@}4>}0y2C^kbaQaIj_MJyh)3nT+MzX-oR-P}Ct4@`j{ zB*awK&N?&LSPXLgb*7M+C)EdVtgbFqQPWIx6($G{HNWN(*LEiK8cnABo3@y^_2p^y zaV=($W#mcLur6yo^^>>TbR1up&KG@?7`}`<&b=_mZD)adZIEo>vKx71kx>2)x3m zfceLo`=1vzcsf||?&eq*3#29S&(_3nuZb6@z_nsx@te}m!a%m$n@7_1-Q}-pLN&J$ zts)IAzv(>qG_nAnJbL4^xEV(v9@9(a+(cqJiDsf7$9c2OqQ>qzS$2L9jr34u8^fn; zeHLe!Tygb-b5j5(enawaNJ^IKxyVtAaXn*+mAi@#`GEP~LxkMRU&Hpx!TK2wYlgFL zRo?Iej(MH>H-QK4Us01-@7?swr`pSaj(l35)5R~l* z6b$T(jg1hlrwtXlt}w88$#@4z{g?8d#gU%j*Yz2G42JSMlQ|dP|8hd96M|Jd`IlF` z068*>zS*ib4)`C&iclQu=i>aA`9*x#6?qpn(b!e&{QHqdI`b-_Jfxizmd+PZjt1NY zKiCw~SJ)I%N(UhLR=|7GV>a#p4~LhjJ*uD1Q3;&M|4lAL@0osDkBmc1Gg5}7E)T(@BO)%?YR z$EpXBm=AyIl#q{5>+xw`Ku7dWBmKpaDxcNtKBf_Lg|i{1z>UjE@T~R&*1Ytb7+XfH}_8wQ>J7{jC(uQik*rQ^bwyJ>>#+o>I{4@+?q9r}DZ^Y}0R-V6xH&DOUu$N6DFq(A>F zYA5(1U8*~8__bKNNv_RGYfoQVc@9=Wi~GWdW+=iOORQ;Y6v(N)*col0t{ocpoc!UP z^mpI{rM&Rwgg5HT+$H^tuPTBtX2A?Osa8_3N}S=lq5HwvY~lF47Vf-`FVp6RAIxDp z2(GH+ugCH?>OQ(qZfj_g?$4t7YaI?jJ9YUs#AZ6LUadojFY*i9u3nK6U_w8$5@UJx z;~V>SQoPE5#*70F}N8$1j5# zVH3DoRJ>Yx%}aXDZl@RAz|!c5V-(Cu=3yR# z3-g$VVpwDq<}tbvBkG%)W}>=xw1K$<53e$Bq;MNhA;T);G2`!Ozn>75__=IZC#DDt zxsPn^jL#gbyJVj$knF5<)00v*^E2hW{gts;u}B!H84Kk5(BgAyEpMhg(R2^KKY*d&JBva99<8>K^EyR5AvY=F}0;#c>ymYse zvk{9kX&NKN&HfAmtEU-(CM_V;OJZpPy|2?VaQE@U=ym>CTkoJ^N&B!vzeUjU*YCkJ zhTg8fVMBwFxJs$exJqf5$%Ni7f-zz>|H3JwV$rZ9>K7psZqy3VVM}*yayoQvp0oNc zYs#d*n)}B;Tw{Y(|ejr8Rgr*fUqvq1VPWwh!%ncg`Aco|FMtoPA%Jvu(G zdI7Tqag>3u#su4}Y&>lM=@j4tAb$pe#Px^gzu^!$KRkVJ`*47jkooB)P0GV>F;VAJ zR}Xt?yXl^<lBj`p_mHV57!D|+MZxirt|oRMbYGR2ei{bt}@LeTy|O(GMlZn3L9l{n+|;ay=CtDun7T|dSbdFg|vfWFFe z0xS$IPcCLp`nL9F@d>eNcvrg44E&c1FJ2Pq!f^?cIw@xy$TT3n?w%BY9hW3jByuLr zRMJ2G^QQ4oN=I}LPW1{SIk7y0gBM-rvi=P#7n*(@)#OJU?w zb*m4Vb!e?{qY1+Ji4&Ul9l~d^NX_duoxg>?t=t7W7EJY3CoM%^e*N?ZM^gBV>*9_m zvY-J`9!NpC^CkUSfNL-#k$WIPR#@`-@;1j{_k(XAj?pio5d$zI$Ih}6X{6ieeqCVw zzq$&yCs_l3+u=b6-eN-Ro@W!E>raxrt9j`5`c4ux64$0`!IaWN0&FYatf-f7np8JO z`b6#b`{QTJ6MSbBIa+C{<9`t3B*$mfVRF%=t4sT>4K$Lo;TaI;lIjW|9yy z7~*-@Vmzt5@pJ?9Wgb=zB4C%ttC!(ui42F&}jWi=jqgh>wM@d*+4rbK88}H-_lX|`m zXHesxvxOf4i>t>a;-W{Gl9V_pyMdFP_Bg%Pn+kTlIDi8S+*Uc`!KGzzYF~J^=w1gJ zyEXR9bySJ2$YwadPak7XRC{GgBhAi#gWGSVYry%_B<%%fwqVv0_ysj7c?jh`4K;!o za*2Mb^eX~q&ZU8z!ws5>U!4*9&M%Nr>|R6f<&&%d_l@>Ie8;rXQbv>3w7$AEcA7dA z-A6Q6;^I3K$w=!vH5ts0eQsISapC*s?<(5{aWI{o*`6!ncp$74I;0k5Trb2$hras> z1q(P>CG4N9#iXV1eUPcsA}KLJ*Oq(u?JMV1hdJCeKg+wWb!Is`mO_pg#r49ahCh!O z($8Wgs!&RYN=bVvFR7Kug_YT-68T(R{B2%x{&8FU_#^iF_(CesYg$Vj-uQD)yvS#< zj!G{y);X(ek`JwT|5OV`T$2IPHhK6j$ln26okTzNXFy-095|m{-BBgp`Ky0Z4>@>x z&-*hVvk6nf?bC^rK@OtfkAKg?SB8{2(7w;d>Hzd!+I)Cz06jllZJ4Z8>G#~ae_tUw zUvo*SD&AET3RatlO86yVUavp3|2j0OjL1On&BzO<@KoQVWp#nI_?4{8TR!(hwp~EG|8LoUIXGbJF_IsX_XMGHa7e~d~{IN$# z1M^ucG9sy)E;!TX?~!P{>nVPH$&bvQSO0jpu4j4hNiq$$LNL-@5iwdlN3;!Gul`EX?GL$zsQ4RotaDCMI@l5q zx0fu+7WvdoUGOB7`&txDrUwCOPGJO)yS(~?Tq~=<500SbWM>zx3UR|D>7Y4+JoV8B ztk_wv1p7(i@LLL2Zyuy4>C=-S|&{K`MpbLe@!EF5>iKkohKCTP&R24gR zqeA>pO?w@3mStb~(4P$P(dQkV-(ML6b}fxfES@YnuMQ|Ml&zhv!^Df2AP1C__i~rR z&g_!{1@Z@wMA(L_ZwEXa$DW-<^>25iFPyPwcutm&qIOP{oIo1YiLQ1cTZ7fB@UOJi z)e}6#C zwkIi40e`1V#B|#)j;eGWw(c?noo{VdGGuHswOJ~d-Z@qwoTCk^Z?FCYs9rtk!FJE} zmYW7vpA?n3k@s9jmD#ts5a*3zrMw9bXAqwTiR0w9I1fVBHl-i7A&UB;n=;e`W0q{W zy_d`K-_Y+=Gf2CvxF{;!gi2L?idUI+CR#q?ZK0p?{4FWeMy>t2BEK>w@2QjuIbH8E znD8tG#7#T1+(b>64&ku$ax{I@-%N>KZ1t|J$i1pA8-?xi6frqj&4P@`-&WbAOk`^T1KK9V1s^h#4)Q-AG_sobA{qksHjE4fk9_@i_?(7Di0{AR??VmD z6oqaEErB}PC^kk4VS~m?T9b+eZ~?!qMDq}q;JUy&A88=U~)4#m>cBEOFiKf-HB+=%>c%9RA*kB5yY zg5@K_Xn)IB;myKrS?8YyQqo)bT>`5G^&(Cf0;?b4ArON(y3eKlnO>&4_mSbjGC9a^ zv43+}az?PR4}`Q^Hbqf6HMEUn?tUj0A>OJKe80u*@zq0e1CIUoE(=>r>{)dkjZ8a zrk+H;fqOU7|LnzHv%<<@$Hlfr?bhF*S06cgt(#rOtTM1`$JONjwDgyjaje#%pyAT5 zWn#zlVMEFs!uT;~;yc@e_$v7j#Tdr|>gF)QurH5=>&73;{xS(ANiJ+t_Ji%i1)f}j z(LW4F{Zq>cwL`Xd)66ujvz9%EqqR{0otmU!&iX1tsh8ya?BLHgnONg~S0YfhZ^Up} zC@EteHaCIlK-@mkj8WnB!1DcYs~h@~YbV4KC%k=hMU72&hA$aW65e_8Wy13UQ?+Hs zdWt;H%=z^4{l0`%)OxC44%)Plb;TEd@2|~QLu&T7`zMGe^@Z!^j*m&F=f9HKzmO*{ z_s=dSgSWH7{+7m+icR(V+_9?|@C6>k{|#q(a5|A!(mUd@yg==;tJJcpiN)!5chppci$*3Tn0Of_rdxcL?sTi)(O#Yk)-- zg1fuDJg-!J7vDwq#njZPIj8!}O!eviuTiT*659Tb^yydjG}TQ5S4G&_McAS9n#$f) zwybqrVGn;-dml6za}QV_fNNJ1y$QSL$H&jVW$&IhHm0X#t;?3@pdIYuyidXu%nfT`OPcP1`AzJwW!PL~wLDWI0)$5u@ekPf}%3oJ|tv~TxBs8nun51?8 zJzteI!d{qOM72LAKPI(8V;+BB3IG7_$Cq5O$Cu_=z%Ifro^CZQ2`ImXUvLSyvM4g$ z!oHN1oqcqceX=*<&B_i}qdm1{?O1KmcDaTM1WEvbL2wezps&?`rycyD)8c+cZ?bPA z#_r@IeTk^aF*6a=DUbK7A(3P&~H4@3{Pkdeoo``t+<-nIK zI50~JDwpv_h9RWW>0-mixxTcvL;rQ7Z&h?k*&XZ$%8NKO*gwrV(n}{NIwt*fa+Gs6 zC;gZ+gI||xieA_1y`43*=j)+oh3=pvgPylaOnx9(I^!Bv{q2s7j3_HN`{aZaRL~sy zh=73qOS!tIhi6rAc8!k@oyI8u=%4QPhYdcJ_f>Q1_lPW1T*(NX#M=S%v(DYOuEV|6 z-o(uMw) zDlxIM6B6Qd@X~d)){olt;&bKmA^}8|=z2lD3IbMJ*eiiMcmx~b!6>ZzSjjaOayzq# zyP*hS(=&a&dzK*~c)Outh0Vln2zq4cp4p>FM)`#&r*|cA?}IT3i7_fg&B#f_$8O^FU5P=;7%p zDFFfDA@Knz$f`wC!G+ANdngOYgKddeGucwh-@?Ag$1V6}Dews}{wdB_pGY#QHxDAX zajJg|_$oiWwnjp@pJ~tg6dJlK?dj#Y_q5yo6#BU5xF)Dvh4?G1sS2nri`ZK(++Ln= zs;Trg-S@mYa%^15v$AG0!7_f^EN!7j?c?o04{MzkaQo*$x$U|wzs=(9+(rc9^fhNQ z=k)aNhy&CH%KRFBIwD(}^HkI@Xy2D59HvhcbC(o@zyif{be|B zS$v?gF12iK#WcYen(Mkz87B0GA58i{Es?0?C)-RPc9A_+e^(z-Nc@J_V(O8`d*`q|8V`io8w@9%+lyGt(Z%OoNaFO zcz+9Zhwv-59977VrZUb_LIr~uBp!kcKcQg;yAL@Vk+_|~+&(~>Ravk@M zD$`O%4H-n5Zc?mitgO0(^_H?lIK$hYlxB>8ZK`YaS;+@iY08gZM`vq%yxVgC1`w8h z={?k@xRiM*-JbFMgmanD&$LsVPP^v)yNJUsj50jmGZ@ z#*QRLN4>*31|SIxc4*j~W5(l_Yo3pfyUK)pH`|j_miT{(<{*leb|;68M6UX@b6V1= zn{(g29ad`AE>m_|h&bOQl15iaXTr$!J(`8}Nl^Acw%KBJ8BhQ!^w>-*$YKjcj%Z;~dg^5D5%-Eklfi#RraF>%|3PjEYX{Ds z1U!8{?9Mwy{a@#Qr{ij*eE0GHTrJzq;@Y8y)AACMUOB~I#yM9AbYo4b0Ly`QmZDmm zXePH03`?eu+_bYh7xg4{c_6}c9Py|03O7)?kDM^k`*8xs_u{WjLAoQJebOxLZZBKX z@=jh_@lhvv7pcdtnVD7ef)zJx;CcD{`@^XwExEPbyJ^!pmR=YL8R@!urJi)~^IM&{ zr?M^3Ff^K`-f!<8G~am67FWfj9Ow+S5Ls2`%V&%WRJWhiNO#qSjyKv3MpYmSVi87j zAd+M68^5&?nai5nvCM#(Ck$H5JyV;)TnzV9by77`jXJE%AF4|m-NqJKp`MIS7SqLR zp4!&koO)?Z2Mvu)tP)>DE;3Ssv-1JcMyID~eVlx3My+-|hEC`m!pDh^H!mpG2=g`L zdvl&|E;3{I>)2Xr7UpMWjhU&C9kI!VQma#biC;rJL{Q+4g#2tp+6#2hQ`z!CH6z#L z?myddMycpzQ^=CA&vpk&&zNv2DRk9qK=b;b5a4>%HfFfHNKC_G>*tm2CTIIXTWX-% z9Yo`82SrpbskmN5$;izE=l6sVH>TP)3PIP&TT}) z?U4|DvN*7N0xNxc+6fRB5r1U{4xD`xSvc}5zD2zVJI-vH(#qo{M)ot4)$Y-G6xTc9 z+j^rIqN|QFa*Y3V>Kb*2{Hq^gRLc8ARJzA~ zv0ox8wQZV9B>r@PwSrulTX;K?~B8W%IJg`bL~}!Zh~QR;k*P zf19>*Rs&_uUf1UB4!y5MKJQ^x_PrDS75^48+B18d0--DNqlMZ+CoiT1j_8u!Mnzv* zZ%_|khAeubr$x9(~7#Ru%x*hByR3*WLz>c!s{?4@O%$jv!r!Dha|ih4#E4;|FjtBzo6js8W>sM3hfQl1 z49blu|Ej^46su>?$F2x-zMw{#NcPi^rvJD_8%{zqDrLv8sBimlL3kCfRq?dpTB-`c zjMdUvET0KDC&9=eHY*hLUi&sF0E^TSlEHG=0`^~_EF}#r+XcuW<#Ss_ZbT~an=Dzm zJI8s-31hB$4ec+_EOg(-*NPLKZzkwsDLE3bh|+>?dsrZ*Vo$_TZiJxPCQw@7tw2;W zdSg!$$V===K8hC=!o;GB-T1ax0EB6LGoP^#^-7U?@|k`WiE{f0s%-|vA)fHy*@}St z%xB0DAvbs@{CO-ojUq57L{Yb@(|9b9G0_f4K^I9Qoo=JZ#}j|4C-OW4%b6ThND?#T zN>uR2%qu}7HQvcX9*bThzQ5a2K^I1&H47w4RM2e35C9R2y2YCAHk%;>K+;$sL84Cy zQXPUIr54bzs8^_z7ZJ!0G?N1h0kc5J{clO4+<>4dFh~jZE0OG=tpXPMGjc0`j`n3lxKH-J*D5AjHfN9FU*o3@gk@44y4I$i4y8 zA?5{^%7i~*!L!8&`Pt971>R~#xp9E(!Js&plR>-_43OXVnN(4)3@JVM6D1Z1w%8L_ zlp8L{&u#`!bj5aN9Uel1cXB5BR3jw_*LbGW=oNU&66IzzEo?h833oypM3$xuH+{f@XY48|`%)p8`TJMC14t$9msG)>JdVA+!jT z+E=ChVoo2^Ixx9o&bvv;o1borae*%;DOQ%rZbxt;b&v9WYbSJ2l9qhH61z9^Jxq*9 z=8JJ9OLj4`DV|3ro3X_ODYpO}jrUt25C2RvD3tscw~@n6`np!6ZJLmm_ET#^>6U|Y zA;GX?o|GGt6!vjvnp!W0&CyBfU!I#%y}OJH)w>;(S}^J4<|=IlQL175VtH#H?`#$x z!u?{&`};n+NB4%bFJ!HNm6O$eeop2leZqG)_AUGs^bOZ)}uVw0gcAa+??ki-2xfCZ;A(nE<=yGyin)`B6q zdD>tjz@@w4LJNAejA!=zel7Yiguzx!Izah6PaKYsyFM@)7M1Mipy8Rs0YOog&9*N> z&@!AHx@GIP+WJB1_n(rD7{C5pg71GnEBGByLg{6^pX~9CG-7{lY7OV=$KEfDy>0pI zgfG4>4htJfdXH)^dCy4YKI+0v0n2&gxE-R!qh*Ltg3pL=?`>CtF9pEE4BwU*tIJ-f zXUY7nQs?Y&?Lij7o4g@`itqB!LW^=^j%#fybz>d2h3T4H&8xURwK|PIx0!A{RbRar z)ZI4AHw^XSZd8{ub>lP~%O}k01Ghp^wSImf=Dn2XtGSBm>!oie7E#lc#qPt4l1y)V zjj|SK4my1`w{fqiE%&1C28Ji?m=xpfHt>0aEJafZSezLhCE$XwdU7Mz4EJ zZPsbZ84gLtC5Z=tW$f27WwFp7qGpOXJ*~zk99T8@J7R$`B8=+O)LBtZ0w_xApZ=zqHjBY{r7qWJ`047ARLqajiPh^clz1e(nNuTm=3%_3Mt zC|x_)fbM~Ct%Q1Bp2diEw6QYGK4iw9(-sX&tc4#NSBUyvj3%#IdFQ5u2pfIr3`3ExE~f? zb52zzXwjK5`Up~5gGWKDn}el1+wXL=v9jkT;l=+y1nqyRXh(CfrO?M~J!1EboYHp` z8gE*yJ_N$vLRSX-#MTvaD57|pchASHx*%g41VMZjt`pAms@AX#xMe<%Gd8`On)z?N z@~Z5RwOtwM8g$O*<0NhVFzq!YSU7Xq9vgw1a)Ku*!_bmy?nvRgXEw4zt*u8;>NDk$ z=TbY_%;K~3>;Chz34wS@rr)7-JV4K^QQ;;!wLEvGfy%70ihz)XDN>VWOgyQ9gqvYZ zG>P-!*EU8jp?o2ymzAmor{ZYU(8x%^XtfHN;f*j{^BF2*rE9 zv1)(TZ4?mKbpBot64#;2%bH57am*VL37L19AHv7(`5 zs`+e|LXWJ2ixQ|qooH+&&g z=(eEN9%WsQi)FN=a&u{K0_}zfOW~^)O-&tfj#{tzZ#Ug?d-!Po+3Nm&tMWh6+qIHY z7ghJ2G9C0}jc?LphjfMJlgTrjezT41Rs3d+q>gi>%t+49{Ss7noejA~yOXs)@t*WM;R(F7j6aF|{jxIvLui?5AYACc>|L ztI?l@|Kx?Hs0#^`{EFdbu&R^A@`H-TD8m+W&9@&tb4yv4G6?V~&SY49$pdYu$5#A_L}x2@q_tlx8^md>a? zwT1_={)>-#Cji9~NNNhnyR^C%Gk&H+S1UY>EY2ia3*i{s7!5}tU%?xy)<+MrUh79{ zYnQ+T70PV3gp`mNL>A#c;>fYNFeV!AYkcmSu9o%8H%hn`AEr78$&nhDA7UFNi3IMd z%j*SoN68l!sw7wCzFX$IrJ2-b5kHlpC2$`$azx43ayhCywYzRNFl61V*0=pMxC-6T zUy+q-e^DKO&(XPXZ7i1Hp&M{Nxd4DWJmr?$uI?E~fkqpG} zgPw6XzlRnV}*Uu&Ml2~d#C?gndk{b7m8B60;W7K5J`xuJUp*UFicI8T?s$VmeQ5alF ztUA8Lu{FgUwuQ=16e{}^la;6xOV5_6T3f4U8LF5cvow{D*0(e|aaS~^@-S9JzZ9FR z1LewfQPd&o$(laJzJ-!f8vjJqLtl#9nVJy3PUNW+k20zIscWE1YD|eH&!J254~S-I z6do^-(^%72SOKJTT-iq}f>a>r(#yW=U!QvErwF4fhP%r+Z)KJ%`51@S%XqnQG=|pc z=Z%wZUy31>b_F;^nWp;YGCcW)wU^JP@g#(I$E&P(K>i?)Bo4&An=b!NQb*qPgdd?^ z@{)0Xmle#P^;ZWS;@5pPL);SEPgCN%yap>8sWSKA{FRfgnm$gb4?$@#I|dR7wGyLD`t@aG2T^}|At?G?HIUEoD9|g?l1>CREQhkp-phZ?Q4oV)!Wnue}mlW#I4~ zdQ?R3UCV%lb92~fvF?g-N{Z3DUhEQ4wxUqd#ln@l(B2iM2(n5l&(6esRHl5kih_~Y zuLX3B`Q&QIK8`fk-}2yc>QUo63To(R>24<#6i(NGU2EsT`YxQzw(bO+xSCON^RfB99XJQRL!M1Ebz?O&c=P)_ga6FO z-9+=?1kwIO{70q?f)^Nyos@Gk3aZ_PP+z5l>m3#uwvj(4Ds_G$z^J>ff*|*vHS}(FnKl4586Xyfe(~F$o{%h!i;z0S?mvumA{GY&x29)PrD&Q8BQXhO-B5O4QJJ@tk>0%wAM`r-Fg;)&YUPZ6uL z{YgUT+&;W9;j2(pFOv|)R>rN!Pl|}ql9`|A6g!_D4Eg#-b`yRcPNX(lU)M{lt*y;v zxD5ERb$n(2ghb*RaK9i@o`b#e16t?!>+r^O&ZZ^m1t?$X2NvT|f z-W?4`=J0zlhp0a0OPB2i7B%xvDrs^tM7ejOi3+0{;ZDjR1Hb)^O=_;IaX!@0D!9D% zhOyrWr_s~+?|Jy6-j8EZ_`5l>DE?=ORNcke2QF0>AblHt44!7EYw9U!@b z=6dtYfn!$IW>a2HfT^cT+7f1+HS}8*ykYkmG^pnjNJffa_Ki3Erw_(OD8;`sf25`3 zp9Gir?AszR3~c9?ed65&q23ID2RsUO8ZR*f{5A*dno&$BLZI8x$?askA0s8rl!-51 z=ra|djRw$$7VmTfJ;g6LJ$-=a{MNd^O%)`kF+;_`ncd=B)fAmx#I=VcN6(4-3yvs| zlxT6D!Xst6E`!63uA*Q{k=(CDu`*(@jc&G-Zv~SO({zXYkrHPxbKr0g^X2QeEcQ;L zEH<YsaWp%ZSeg|n(S(C3ukVB)g!d}wpZ~D0e8?|HVDGe?_qOT4 znLFw+C4n0_A^tkwN^F9&PgVX^r&_VK_%mag^cIJmBmzhu5InYkrs38eLa=;{f|~J; zB+zhsf7kcL%lE}wiH;sw+G~##lZBh4j;%frl=xH;n-J_=Qv7oylLAmay?+9Q&s@$f z{OdHn53etEpDc}W3O9|#$7e=g4q84&vrN)2oq17&j%2WJBb&%#V|*Ls=q1cvJ%xI3 z5l3W34NS=V(@kg%kzGyseL+TA>8NRvG~TS0g3R^f;Df_=P&yI$MC(;OowRXH?C?Sau* z-7w*WjA)e5Ya|Z!_t?z;?#IWx>m|$)+6_f4(T8UGr~*R#HdDWE_bc_md!`G1zji45 zZgy2E+8Rs{4>TE}F&WA1k8godtvOlg7hlH=?c@S@@KNZO^w@m2Ig2Gcvt1Plrs+bA zkKgLzgT3lT8o#I$IE%x$f-xRF+6)pK2n!5Hhr>MYj0>hDN0Si73-uNPJPfmMWBVts zocPMJ%488ru8M?fBTyMHTf&D3aWQZ6n~cc!$7_X9)*=poKbu{v*@uh27~mYnsFd+Z z<*$>3c*^4(p1qWP<{H#{p*Niu!WYJJNGhcyZTRX|OM*&e2cXJ1$z6}Z zjrqoCmGq_yzqiA<=%V=dBsPE^ z7*39WDS|@f3y{onv;TzhAct`=NAb_nM9P;8$4MUJVvOS7Uy6Uc6tKKcK<2^}zKN)r zqNNe9N7nt*U+YPJUjwGdspQ~Ulh?^*+|LRI6Am z{R>X05Dmbi5HYdLhA4&{Hn5%fl%DU zWZg%&plVDl1BQCLML3k-d^&ih_{Zq@B`s;exudbk#lx*tD?DCRVzo=0*v*6JGw{Q( zsJ%VZfo^o(%7NQudJoChg=CU?1udLC-&iKkNL|5T+n?-C-%y)B`e7qPyN;9 zRRSM5o`8`ELiU;0#lrZS*X_6CUq4Bf+>|E57vV=D2(=5(f5$PqOTh}m^1D8a-;x$$ zCk;6DPu9%*@fl28{UbVfPN&ln7aKF&up!5^&fo35_oevCYCWWIE`(1d`E@I)aK%mh zdNRuLoWWTr0-L6#lY~=}0^0~A6pVJD_e6UU@2Vi~L>H19I{=j!g@V0) zoQ-z_O*`1IH9VE#KUzJV{*n88U5xFOO}@{}&6!$Km7tP}#kEzCUZ8VAl+DOZ!+EK5J2_(u!r9`QTXFxUqS*732rGq?i{Ez{ zU^t$YY8zzhdN$wfn1vGX)ZJ|Fbv!VhqvG9aOoA4u<8XAcecB~>Uf>ZRfn|ukSh``M z^g1|IQ`3k|tzeSs)$ZkCi_q+0NSyxcl`ngBi`rfb{4|f>qdd!27Z4lEzm~klyyp2% zP@2Ez?s3Z(z<&HBoDz)wxs5c-NPP*&AA4rD5j4YvJ-07U`@j?0)kx~xDfEJU5WXWm zvvmCRAmSdJ^=w+q6_$3-0884Afl5pnz@V|W$hpYIPAU-D zY~4Nf9B3Yx87`5?CqS_JzQ-A^XTgKr?%-YEIG@S zNsKij1!@OBj5Qd#8^4ZdRD5z>y!0(bm?Q~xoS5MD~W&QwP7QZQnah`eAyBczJ#((Mrri1AWy2kSIy^Rd)7;)H-09TSSOq=JGbAss(%MXoxw~92FG;&|P(w~EWKN7P^2!%ibNT4G z;n?NPnE=j-nw;MLB$B$0`UCPCmt(+j;&idHqZ z%PVarGJZH!&~KUV$SY;{S?ew41`)OU{GI4#)7G6P02!CAm0|DQ#>6jSCDw7g=;ZwE z-W$IKI*eed?c=o$F(3(CPN%<$N6M&jdM@Gp7lo_zD?Ks8+~Os31F@$y3cc7^p*)of*! zEnz3`gGRfY^Ppl@WF;6?Ntb?Yc&|_`Cj+mYx1Qr7Wq<6TPf@RdlS!x2X9%P6q!Z#% z&G+yYd0XbvzR@_jvWXV@42*xX(7&p>1Z2Nq-Fs{-EQk3OC&cwVM^-I%;a;kB*6;28 z@m_{z2x)U+E;>uE*CM)+*FeLslEt*VYvEovr=c|Fw|2IlkB9AhUIsX_ux|?wy;1+k zR!IC$i+z$3hu+KRw!&7;gLJq^>*W^Sy7`~S zU5P^vFpmvGywmHJ)9L7jdw383Qk$!k2IE}|lb>7$a_vS;1+1p(KzxC>3Q=x= zMv+F465NRn-br~LaHRnFk$aU3$*-_`Jk8uYtf)(AHYe;#kYyDfbk+b86!mJ6>OgAr zs{`Q&-jW4Ldr_SQKiEq_s-k;_!h4)jwyMxm&c0qg5^Q%W9`?+I8+DFes4(O<3!y(; z384~A@r_!0%gE?&32PQnD`q*O=XPkixRQZqMd){oIdn(VTHjb|>%DmB&T461I1+u^ zh2BJGQ@n*3a4&_~rVbx%~a`TV)N4)gt(0?eTk5yS!8tktbd& z{W)^qRLGe6P3V_OZ$e_vuzxR)>6f%^^1_+@UawUzpQnO9dlqqTZI)%LIy1%f??t+E z3j2)(J=-)W@gT{W&RCt_F!0Mw5zsgA%hV_t6R<~dbcK2ctW@f~B(^>L`qB9w;e_%E z%cUwU9L(m|EOnXh`>hPl)bvz$#+KyD@|OVk8_r++TE>;M(l|pY{KllAJI7ioTT@82 zDv53Wh3}Hi+DaMMnPY3W>z#MDdg93(nyFKNn&zCdZ65WlAxq}0yLZEKs5;SNfJWyr z^P&t>TEw-UMt ztTY;PE{^Q67PJQeyAOzBs;GHlc!P(9j#XPWKcivq^&!Ik(fN3 zoOOcFHE6EYM7){EBg{NIH|32?y~dC%JGX=4#_NS1Kc@L|%TNfF9w_BJp{d9kv~~y` z_-4zjp3mF~Me!f$|4jiZ3__Z$=*;TC>M32GHa3fL5*ZU^ zDM;@_de)RaZf%cf`I(#3GkNLy*?E9H&>#Qnj{(O}3OGgcCrX32`4xv25bWBMnld6DzPIwS2TWtwAK zbY@;L-D>3TXAfHPG)EgEkJFIcT8kdh^r*grwehe=35eKLz8w;KD@pCgelUpw!zWB z>F)1H*7EoR#vAyWFDq80gaQVGJJj6B#>c6622{$Gl~Lg%;`4$rJ`-SzQ4W>CV>5r= zCx2}DMb{Qg@eI+XnLkMn@93eP5@1$%;A?#(OWdVQboCMVxZUhg`m47AiEe8t0W zdhA{U4Xj9a<6{YJDIM8E>uFutQqvyZq=!qFSttm z=e%`~MvSS5QmtaM=y!vDGGcNmpiBdMq9H za2;wo7%UgAq8rU`BlliD3RT*Vs4b`l9F{n55Zq71u4gOm-33y5Zy)@!U(%e?Rl-D+ zt>D>PtG>oE1sOlVN%NMM+i|jM$SWSPf(p=MRKC|z4K&&BzDlxjL?CQ1lH+~xHP*(l z#PgVA&t_KXFV&r&jx+AZc_oqKa?`1h`@&?7Xdxg3vrV))-Pv-m({)cI52p=}_%WwY zPi}1%um+cqtGnwnsvqCKheY(7I45;RvB}?Aq&};xR{!3VK3)}NL0&IJRdh-~Mf&P2 zh|NJ$j^R>!${kK5Ve#CMBltY6wrlPinYcz++RJawwFA7q8yV}5)%)GcW8;XSe zDyL>l3U3cbTokx6MeL<1o+?t6G8WAljX7F{QC=ws&7Oxnt5F|f3j^Tj1JQc;M~INM zTr=ThcrJYne&c!W_p;cVApRkUOm1o#46xW^;a5JUg+NG;g!8qIr#|t(jum7LQCzT3 z)HY4Mm{W8W)8+#jF%E$#{RfYG67GL+kq(z?rZCQ}aIQdxb#C)L+KHIS^9j3Rlbqh> zMd{y?|GXWGs7Turq8W0*(nY$%gE{pTsN1_5+PXu9=n8)~7=W?sf(hHpnTGG~!dk>l z)7vwq*S<|-0$w`0-eB%4GowwB@gh0JZ-Cv1uTwHnwpKN#)GGp!g3(cb&cW4TA5xJw z%}2hNIMHHLIQ)YSE={ecH=<3Zo^CZtqHukhGp-Ncy<=Nw5?xg#s&n7&ZYAp966^cM ziw*Ra25$~8k^w_}W~nOrslsM|wnq*1|Kwy_#^=9Vz_W%+Es}NA=%uoprsDYJ+tqb8 zt5GG(gQ}R{;3*Zu#_n~{1`B9Wb=a_Eru*F#*X;ZF8CfXcZ=NNj$vJ;SAHJWeC>k?G zGWX^9pn~pk*ENXa@>4_}ax6u?7jO)wt(P~IS{%2&v3LgxT%XbAXwr`f6D4#w#xxpaR-~$YQko7b=u{9k9PVrh< zYni@sfBRRQ3X%a&fkJ%7sqp{+=ax^Gi z(kj^OScQ+hs!^ztYDknQLJraff72O01>``;R@a@#BbI7Q^h@B*FF&>Dk}y79hXw1^#w zjC4NFQx7?FQbGQhM){p|f=M3TK1`ZNyh!!TyoNm@dxz1P3|q*Gdby1?w3=r1RqE(}5rDx3?FdG4MDpG!*evG_>PEzM zlr;q@p9E=0*T1>8FO+w$`wvd*9Z=+ZdYbCI+7EoMiN;;wt%i61EbOerJ(I#ct=^ut zc8a_V`=CPBJ_7B1D${PM3DL<5p_$sR8nn&j(n-cVgAjHg<~NPq+No}V)gIcXPNxCl z!CJX;oz{zU)lx{Ur|uq+gXWLp_D`C|J;&920uuH7=6omRzfeG8GYd9jT4+q8uJPG9 zFVp4DYwhHz*OTZiKHnJV9^}c-zWfYSKq1aB6ga;z_r-8ec<=Awe8Cc+&wI4YUpvGD ht0$13!ddg#RPWU+iYg<36NQOR_1^Ej|LNP?e*s75MGOD{ diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index a6d2334..069778d 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567472162325 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567472162341 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 20:55:59 2019 " "Processing started: Mon Sep 02 20:55:59 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567472162341 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567472162341 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567472162341 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567472162450 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567472164700 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567472164731 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567472164731 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567472164872 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567472165013 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567472165013 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165028 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165028 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165028 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567472165044 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567472165185 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.000 " "Worst-case setup slack is -47.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.000 -1816.000 C7M " " -47.000 -1816.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165200 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567472165200 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165247 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165247 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165247 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165247 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567472165247 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567472165263 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567472165278 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165294 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165294 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165294 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -432.000 C7M " " -4.500 -432.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165294 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567472165294 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567472165435 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567472165497 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567472165497 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "263 " "Peak virtual memory: 263 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567472165685 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 20:56:05 2019 " "Processing ended: Mon Sep 02 20:56:05 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567472165685 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567472165685 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567472165685 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567472165685 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567534159804 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567534159805 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:09:19 2019 " "Processing started: Tue Sep 03 14:09:19 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567534159805 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567534159805 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567534159805 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567534159859 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567534159956 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567534159966 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567534159968 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567534159988 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567534160005 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567534160005 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160006 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160006 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160006 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567534160008 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567534160020 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -1888.500 C7M " " -47.500 -1888.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160023 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567534160023 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160027 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160027 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160027 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160027 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567534160027 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567534160031 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567534160034 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160037 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160037 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160037 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -450.000 C7M " " -4.500 -450.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160037 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567534160037 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567534160107 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567534160131 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567534160132 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4530 " "Peak virtual memory: 4530 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567534160247 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:09:20 2019 " "Processing ended: Tue Sep 03 14:09:20 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567534160247 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567534160247 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567534160247 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567534160247 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 1dc84d58d011ef2739bab7a465a11bfed663f9ee..643eeb7d097e824fd57efab16108dbb1e72a47d0 100755 GIT binary patch literal 11525 zcmaKSWl$Yk6D<(jA-EobyM_S4o#5{7ZU+tS?(XjH?(XjHZowrya_@cjem`ElI#s)8 zRdrz;KGgX5E0s>MF2l_GEfBg7AtdgOfy{WYofRUMwfsPTt?_g?S0AONf0x+=B zGcwULF)%U%rzYK^h*xwd{ z_HXM9dglJ(L^9|=pfeiWZj0A%w^Mk=8q2ja0tf92F`OTp+e=!-U`juPUPWTa48Qb! z79=GNijAwbb<2#HTqNN&h^AAYd}39Mrt>%Uds=MlV>BB*d06^V!rpkhqQj|@Vy96- zsj*nqGoDUMi?w^>$%4CrqOud0+vC-CX7A?6$O!XQV@*rTP5G5QH9kpZ64^3|Pc6_H zPZM|Gt+p|iai(eTMBATQ8#Ic(cQbM8ax$%x$Dif*wu6DN=fNG1_o?T{OoiDX+DJCv zVs@Jd+Zj^w*8BDYkLR5_%nS{G0$o|9BBjZucUKd0CT69b7FfS=DCd~Ji7`dwo=-ml zSt7`fO`Nx(L}qPS#$!x?3N|mqs&nEsx6W9S!nq!f>?e^CvsOSBd`Ctn49%dxb`utM zu>o0Dj+C|VK{3BXH1>>wSeljwr(Z@uoJev3KpYk(%aCC-F+qk0Stebcyu9 zmT00z_FFi)82w3j%Pb#Ln&^+AL`3gVUb1Sc;r3bE7{j+(iqs7c;$x{CxY%e41Zcac zU>_%uUM;Hp>>nk4Zt@9~Euav1K7RCg64u7+wyq(4)AhTyF@RsABoACBY9=WpD~db& zX^@O@awFflxy}pMA02sux0G#yu;s;%?10-=9PFUeV9S7bhjw^}Ao6MKuO6^FkUD7g ztYBTUlqNw6A#epIhO=VH#?t@-smPrR0}e>l4enf5o!n0$O+db3Fhe+3Wa(^@-CN5z z_Y}#2t zFcVe-yG@(Y&pLU&vkE5+sBQ~wi~B#P*Rd>E#$}LP6N#h98_a;Hn_^$8yv1BY#@PuR z_`>;SWZj^^1Nu&<@3LhG7{rIC1^qF+gwuTtRh9X8PgQU@I&h?n;sVEf=3n7#TGk02 zHpieLJ&7N2$+r^80yS-!)xL)ZioM%lA;kn8Lx=;*<_IJ``~$7PcwO2-`TDs*Jl`?V z#$SEKDLBGFT74fgN{3prvKpnjLi~raj%6ZL>sp=8V|%n>z7IdrpxQwYlAmcj-;MJA z#Ebm7Wy5S&hm0^_zHB-p87ar0kbKI5U6@OtRssT{luOhkG#5eHA_pWayxt=Tz2IjM zjiXME;Pag#1ZfoGh>JjH^EoHa3QH0}Lvy#ZPTLR*I1=&0?NP!kn`VdBxvFTtg?|0S zCg}nP7ISYuZ41^d)Z`G2a6v@XM`u&aMbk*PeQsd)4OFSR1XrjS2(35L#jd>&KX>J_-VuSrw!P^4Ao%<8)4lFY?{#242&{9j6 zMCMl`I)GZFh3B2r9WTAJyC(oQ{tX6Vy2JxW5L_H(ThH`DM-*yw^! z68Hu>^C(vS7a-3?M{)cob$Ef7l_O|2{cmLAwRf~r472b^k-Y0eglc3in+knUQI-(x zdsJrhst|7&S_%``C~Agb1jIV1}^-0S)yMdtWsN+~K6q zWF8S;MId@N=U3pOKud-1p$iQ{Cv}skp{WR=Qc}P&b(Bim$dVp*xL*Niri4HdXCI$0 zmkXHKiqZy+A?H3JIh7vJTUuC@iGUm!wdWRSM~e2&FColW>)sm{e5Q^@l;LCNXahcJ z#Wbaxh-3FNM7P*b)8nRuEku=hTny_zcI!p-i(9u9>lNrz2&vfl!D=u(6tmU=o63M8 z>4k=B`g~!E9+#fCHxqfXQaL;0h4hl&fwZul4QY`FBM6=guZ@um|T)Z^+BoX ztT2vCL5k~_o>94kb4iwG*n*7%*9^XFI(LM25pb`Wc{S?2-ckL0zY6qTe-2;Jcay4a z9xdG8gXZ1pR;2)2vDpas{Wekx0HmeQkbVaBPz*X)?Rik?PGM~sw@Y~jw^3U8dY-{wY}mM#U;`RJ(RkFf_dJ{{ERgW2aN%&5o!<3`Q?&fW60PGiNHDq|AN1rW3 z0YPX!##=Z)Lg)*Irrs??-|z4jRn6c1j!n{#a>cYBFs-)HR=;&sG6J~Q>V(&M5S-p3 zTfD{Qn_g^cJ@&%oAzWoNS&|COQz(iQ?Bj39B}_W7<349*ZwY|26Yd=yVBWNdMFI}e zkZV-H#|39y-ql7WQl5|t3sfy>GM_%v9vS9$mOUisX2{V2vx`?ADji>bZGj%^BJ&}G z(lkPAkp=-w_!z*CSfK=<|H>LPaH<<~jLat)a;jf1lvJu1+t zA9iS230jfS=ZWYi5i$*GOQ<;-u?ERr1yN741OaDt%m;Qo?rd$R~8%wnK`&%W33pISdR5ODBD0LtkQ?BMK4 zL=;B?Q-N7XPw36vbUvEkt1A38#Rt79eU=247M1W@u=fn=^a%X)~7CoaAabRo770NP)2I*>d{H2YE#{$pebVV6YSXDa>OXUb{KO#~MdG_4d0TqMIQ5y0wEko@mG zJ)2A5XMjv@=QH{kQIY^dpbjDnYVYROXCGuAX=v{4v}bU{OA2M)B=#;FV0^N8%?BMp$2VNdsuW+%DfGB|9Lp1Wu?hsNpX+5vhx%ZKI zI^GwkeOE%t#hq}3lpI8jLMtmi3If>?q$5cSEf}O|(^Xa)^$QE68 zdXK0j2GJ6SsmBJz(E-g399?y^co|yejO3GR`BI&9yO#KOnV6g3uxT2EJq?Fd>I@b= z>03(Ok=>vyt_QsNc$4^?6YGAqS%SrOG!0BwiiSH|hCga*yix_?-bWCw`_tm-n(q)H?-G<( zEml=)=576C8`Mf^+SSXiD@%QcQtN*$ZG%~*+EGqlE5{yVSu3!_*)#XdhKX~cNC#)= zk35{0tdd&=-}L#hAx>^L>9{nQ(U7C1tvz_oOm@94u=J{Mj{me6tVZ?NJ;8cG$?dgX z@6?rHq4o&GyMXn0|J{F%X^m&4;dZrU-Rlu&Tqs6D2c+}Bo3YEab1{_HXvWq>x( z9&2=v-EiC4`IL8=?=+)j2fZ2r7gZ>j0E#8)y23M?SQ#?fH2I)Q$V#s)lOEBByjUB1 zE4sN9_YIQK3RuB97U#c)D!MFc5nfR;<6RTfGc+2QyJ}6M$snt`H4$5qS7rW~z4ldu zmy0q=O1kUTa5)nLQ|J37ykmC!8tX?s`uq26-t9)j#|emitXq?OUxf#EoxN6LOWI4A z+w7rVhDN_f-*N;}+;PM?lc6aRn{b$n9&cSaa*X9rSE&{)HEJ=0TzuaTCBRf%ZgM{M zUhBn4M|0%URhE}2z62kb$e?x&m1{nv>=?b?p*(x&pnpvr!8y;X5`VG1Jv-VM<@HKY zg4Cx-+YnZ~1fImrcU8L9!Z|GC4U zxf}ycA5k(vc8?R~!CagfcSgNgGiJ?KY}`O3aM0gx7_6^P-8wJM;~eT(Mef$CT?}>B z_FGmoxj`#xkm2>)8NaVcb%%%R<}+vU4L_2Wz-E6YL;Y>57rrutKzd0?^)i!{tELCk z`ibM(r2rIdFb#DU19tan#}^VJe~JY)Ok$s-lXWRFr_Wbz&X-vCCj`P(ku1*A(3P@a zo`tz+Ej|NKI^+vR)6*-{&Vsg|_3$r#myO|rrL~p%q{1sIlY~21!c}7beq$v4bljag zh_9;QS~fI_sreJ%fOv(2J~iX^d|W6NEE23fA;YIHw}++Ah7ynr3B&$nh6xo&LgIp+ zcMBqb?RR9Ne0w9ym@`-m5lFmr{wBxOKMPCDIshx1wk>@9X-zcaO2(*lsi_FIQ8>D* z6FxcHEKo1WmV%{7?o&r;PFD_3qGpP>L1DoVKU8(wn={8*&m%-GaY=g{Yqof+Vg6() zOFAC(}80Z3Mx_<{|B_0}-O zke^&w^}!c~d+N(tiy-**pI5_v6$SW)cGYN!Fq*LY&%`T+L)WK=pEzXBD~^Z5u0%Re z8&^(f9lM?C^-@!hZ}t^<%3OqwUV_upzU*|1L(${6)!{1oCNAjKF|h38U;kVZe`gaU zZ_Vr=3t&SF+^-vZsit<%*>Q{q@=Ld5of5jvBxZeDv66mHE|JPN?AoW|P{(v(Em_Rw z+!#P0#W#&JIX>zxvA>#{5Y=+Nw*R(_!U^oRScLzK%^c;|9Iql$;mODl;dzlRuVchSp|^*M-t+fY9wsQU)^PQ zwoFeXTcsDdaN@0k_>wQ~Uq_JbC#K(gV2jyZoGVI7kC7lL(AD zQH_j4d_X?y1rq5F#QE13wbm0gP1mg|S0F_9WWqK$J5Pf5_RzN;p%czuyD^=`qW$PE zC~0nH)3^wFD!;to%5IuXBY1znFE%Dk#%?{OCZ#zZoK#0=ac)`wI3mI5iuteiLt?n( zBjmN)Ob`gEtaezcC=5Bm`o3*sxlss~Ft$_>c)XljQ}8z-2g5yI$B~v(3%Q(%=Z2ze zQqu{$O;SQ4PpH9Sr=yZ882Jh!+bYJe3iB(;R#*N$y#!Qa zY_({J@{Iuw2gK)QhR-BlgIdXtWwzbWqqO4pRA$>BGq76tv2_&Pr8js}A~=N_YH+(y zpK+QT;T9OgVQKot4bmbMj5O98zDsSSko8u?a2j=$jKC-LaEzicEqNREOy8>}o`fKO z*Ne6Xs`F(@+|QvJyQ2tse#ZRVOOK}#gV`^q7mfD)SR?RmavDK}fQFvvo9!`3BKN3y z5#}V)B`;yXNhI%2J^Z@7u3K-F-!!w@a6FBDSKPf$P)bT4Z_2CE=k7uzRUVTk{JPlR zPqXZIGM32jTA*-Gai=%zAJKK#qQL2pgC)4DtFJ-l*sg^rUoAcE_nk&$s`wv>i}-GN zm7qsKhI_{!EaGJX1>+IFTb>p> z$e_4@CRaLCXtj?d0zcuXvWC-u?ZP(ghKC1g^QZWS?A!2tS3R{M5?tBR6$Agpy=~TR z2;Ot4vutpDlCPkUM&87hGm)A)HcJ&rafTtEtFE%@%8ylmhN9IS+2ma)qXmQA!)xja z+i9aC&jl5lEX3cYc<0uciPx(xkwZ0Np6jHDV4Sv-oV{;9%cM#1pN^z&?VyYJL~reSRyQ8#xJ2VZRO%H-+C1%WoGBdub#IowkNL~Z{ z+-y0m(heT8n{uA9t;$+A-O`_Xge zQ&S_JMQ-N#Lhyvn8E$4bEkLB_I(O}2(BjBs!=y!4@>}eEGNm;F&AaW(SOjp~qrlxr zVEjzGe)8$w-6$|+S-Nr3A}{$(?t}5QR@)+TIoRl4{+wCf9ZTnle#Q8{rDB=K zI#abQ`K|Uod3|BbqtxB#(So0#bD6vL(@y;j?m2U7e)5~^%b3l*JVEEeM;_e7k@O9d zXXqc^(sSmQJfr(dHT{A>cAlbSchOAMToP(;eG>fy%K@TTqkA5pyA7-s0Y*o>$zWoUHau!Q>~LDQBp_@1uV#E&82nOGGFOQqp6 z&(oA`i9M)f71ZagdH~>ha4{E^y5lQF~^`Es6;Y zV6H8Swcb6CSziEORexTDv_gmn8H<-=OG`c`LlvKmRQu5I-MuSNL(>UF1@8YW7Zea_ zQ$XtZ+WR1v8!kM}|E@J_834Ijb5fg5JMT+FltDXx z+L`;omiNNrOhlecP-D($RqH9kqaH$4co$dW>jTr%omaAHKt&+F`%@SE;@C)UNm#sz z3Uh?9_|@}k)r9fY-rf2DtQj6iN9)39O6jb&@_0*)+>Bwj68a^%D1Tw}bQ^2GhVURPcemvE%aSvb!$!*+7dUPcyt@VamFk*#rKKKYG#frAM+6 zin>KBlDT7NExhAq@$y@tVbu%W_yrH0?|RHv=Gl}dm(GBzi-)Qn2yE+vvD0fo5B3zyg>f+_s{cn}K<|Ee2&+L__sqG#! z4@6v#pW2f`59y6gyT*Ga&$@L3FV`1S<7FX6joOxmq~&-@cO&Qds!?mmOEJkXNHPzU zJMpAmQK!$WX=n$ICmkfO5QJS+=BrR4;u$y&og3SvxMDJ)M`nv|0^S*Htd~SxP>a4ru1B9!!>&F{97Dvn%1@yxXVL*P{CebI@}; zF#XG!P>9la8}#}O7^?b_n&ioC%)#v`t-Y(N{d0W+d$n*~`TiNU%D1wDYE;RU;M2K= z1ZM7EbtSrgGUYYzvu-FuIh@W=X;g(P|BE8_ZDK^3mz_i#ZtVxlb|225n#o`5sJOZr z{dF*r8|W^iet}tUrvg@sDw$Wf-JGn3^SQ=~S-KSGqq_7ZtSr8$#-rw4=96XY3_{AU|&o@K{_ z&5i$B(L=(%WwqwkL&LshmZ>mvD$Uu#5@zfNOY{cMT-qEaS+)^IQ@lyf@vjv5g%6sX zJx=4;F5I<5V4+Cd(6{oKcudJ1Y_zqXrhV5hB=YC~*0=eVZ&b0Y29=3INu0kLkr zGwOTsHCk(`oowcNWXs@2M5d1#K0WocpzGPFOT`FSBdR3ZwY|H?g7sfXJ$@>+HsMp- zQp$lL|7}I%xiSAY1M^Kvt>Uw1(N&Y7)a&?IZUXytA@5UYy3(vlFxhz?wO*RaUojq# z6J;MgQVl}h4hT^Obyusc=_>bY#Vhf$w;B#Jx--2Ji5c9qPDS^`I1OAi>aTycSS{nu zhj>hGP+eav^T@MQvNl(G&$3jC6r@#CzV9rAc+|IqyUH-S%eAcKm@VU8WTp1l_Dr}W z8@v1z4w3!Hx>l7IRNVwXwSz`clO#$q{gl9(MW|fM;#uJF&0?3)1OH98-lk zT@CB5!rBZP_PW(cQF_fEeY?0qtbZe>)exNd-Iy4QgqwiXmjg$8;tDm7L!Xs$?U{a76BC1Q87Emtal?Snn#No7x9P4T-l0?VG3c$aKV1zK=h=X@L@Pm;zerL-PFD zMTU#1(m5BQzENAEygF!#3vITZ#T12$OPwO)fl%7Pd<45iSiZBB`q|n&`jh#}AwRn6 zr3>xv<|3@sB~RZ8z19g|7utE3ts734x_Q0GBE2@DUiMOz7bH>w+0g6Jox`&J6+#p4 z9E|Uc=)0A5;EHI|+nPKU?2RQ&XCcF+D@}^Sh&1UDRuKbc*DRI8hvrQx8Satoz>H%T z1fG&;jm3|DZKS&fONzawW3K1(ktOKu3u>};U9|e7ov96@I)?q&VMi;axaVDtE8goC zQ1?H+SJkbo#e8R-KEUX7+b%dwJqxaxVfA&d!Cxv|@y_o*$S{1VJDkxw^@(@*F>cY# zDzKJ1$+amKXag2V3h6eOQ#-ATKdMdKe}_CP4dm^>sgOSBaWkPr#eDw)h4ZXKgKF$WSoPmj1QHX zMIomgt1qo(wNX_*?E-v5U4Ke99Uf(As>QabDnhGGURi)9n_NN{>%$&t*U@A^rG|*M z`j+Ksj{r>3x#jdh7{UdEqXoyC26K2a_TuoFv&LZsl1a@rM%HkIx)+)HJxuy6d*)Wc z276Z$i|f2pjU3O0&@MaLrrMy)?HWWGOeB@@h3cM-9p;I**eGoQd)k`6tImw=Wmn$z zhBw@|*XET&It4%|e`3U)ooF*0`jm62 zUV`O0>?qi&aRDRs12>JauWgZ8!nj{DVZ#)0D}z-_N1gxgC4bx5yDI;UKNh{}Bc^Uq zuns-9-KeU(ZfTx5%*9s-l;&d-nH6)3N2;<5W|Hrx5)oZv=yug=5#2+%43Xs7q>tJU6D%llfin*;J z)%?s${_9o_rkM9F^Hp%)*Ugsv-TyQq-JeE`{L_fNs@Y#nLrfa5oo zB4z~H*Bec?l({WBJV`T~Kc`J_BC$AY{<&fZ7d_K?;EgUUl%QnfEha3*-kK~aaa}7O z?8dv){EvGpYU-Ay#%^yIeK)KOZY9~haL zt`}l_JMG9ZFyZ*CAhc?Db24;)22(e7(#iBt5>r=R*+h#bu>(5wS%B`m?f8qu&HFFC z=3Aj9v`-oY(55*wSJU=1Vm@?f_x&HgjSDmP|8GVv_FTSbnsZ0cO&Tr8g^U zeihz$dFqrw^P5^$`1vB3r9~%(iT+DHFYan3#LAKfZB$jeY8Kqm5}25iC0yNk!-!Ur zoLXJDNWWnT$BX{R7fycT5+i2=&5o;~9JlRg^rs%;(QAK^g&DlbYGmB0FeNL1?hkcc zXc<-L*k%x`6iQOSF?0c&Fynk1j>+!VMr7Q|X8$GUxEsaI0}OuBnDgm1ev7sP481?T z9%6qbUx8M>gKA{Vs%-x%NgbF6_G28##H2|LoaG_|G}x#ZI>z3sWN~89VJb8Lsit1Q zN2S7GDNKG;@-w7HSlsreB!FdqDx!Z~F}f7IKU(_WdyVPB!AVACyyAet*a08&!H5=Q zgHRr$GQ(#!v%vZkn3HnnYy3SQXv~2a?~mrcgTP3}W4+?+{tki=O(6%R-2W#iibWbs zZshM}(Ez3y;CXT4V+?KwGZeftoG_x4fA7AmSDp)Ml-%Em`~FH=fJ;EFk@(Em`XuI? zQ@8v?=S@F5`h_iV9sbHt?F6Pn!kDW&(X@*Ek;(lYT)SXBV`~)H&d8~jP+hX#khIJ} z&2C6O2Kg3`N49ckli6YJGtY|P6FP28hfpvst+9+@eP|y(DX|*oBFJQyIw5F-J6{@9_?9oQI_8B$}w$434D zh>>dALGFCC5_k;oKalcYuez&y=0`aGF+Z)4I_Aw1CO*JA$Y zm6+k_&Eh(JFZo;fsJ2rld}drTo#*-+liSQ$%d5k~2VwjlLVD!~fhTa2(>acpHJG3& zLBW%yHv&(EZ??Ji^VUZ>AQ3qM;v;~M3x(E|d~lczEU0(!Vh$hulx8YUuq82j4PvbJ zgP3i**#)sfgHYp5=ZCmF8i6T+eDl*fi#*15^a*2p>ZT;KAqv6qf8fo(pef z<9|Rk=NaZsXM8*YJPE($(iVV(D#&6Vb>LUOh`nlmXKvmBN~XaUrIJ={Kgj~s#KsE` z6xL)@&5f29p(TSF2ba@}kNd*Y!hZQ*1dR_uM|ikxSJG7f*Z~V&D*Z<@_j`paGsv3o zJ0rR|)R(r>!@6EWGnt8z5F9 zbQ+fEX?q89cbIhjLDBwO%m3(Hk8x2*w16f?+a4|( zb@<-IiilOq9KT>ru`5$%e z<&XmYpUQV;()E~A=T9)rNo}Xo1k)}^bg;R2Lw#hjJ~pZpPwa|?Tr{j~)&6jF|Ko6X ztX<3(-x{rJMVx5=<2bdtZvi3_(2geA)zA){br9Di8;!_~L2^BRsMlCU0Gb~eiZ$Q~ zd{okYcPst1M3BiawF2ggY9)^#lZt1MLGEwlVH$Tp2-e^veAV{Bc|~)0IbgI0F)?LQ zqk%NaFgw8e(Lz{sMl3PS`{PDWNl8kXFFwKh(b;jlF-k3a@O{Q~k}xF2|AAV6gW+(L zT-GWA6nONn0#9QHltRd(mB0^Sre>l1j}i%ph8;QiW6qtu%WO}C8jLyIA3UUT%{bdq zJLxn7q^Z;sJ1;!2xsRDhU4I6m@919?mS8_=ARm5l)hKX@HPccA5ttI|B#}_hZNOsK#Wr&px9>R zaJpe8$3v7WkSaVF^7EZxBUvJg*Iqv!FrinXcS$4Tmj%f+(2>CZz^8w~y8pnpf5F>- zKw8e@#A z7pc>C8@4`z)YOE2JT8_z>^}yEt4gi>sv&+13?2<;DpI~5PZptN@ubRm{g(Iv`dmu^ z4o{R@Z53Pkt8ndO?$(cNT|csY`^XlSfM0n1RSC<`>#5hfrLlP{E`ui(mPnseLWH&; zbdOVD$Yepb-@E(5nI))C6hyOkWMr{>vpo8zp(jrZwzL!z-xm`{d*yp4dzJ-s@zwG^ zYLFLiYu+<@?vF1}M=!UQBRuS`v6}*vVGAO%o&!^v4izQ+<4`{l?XNqrT)dP{yc2?e zyuUkx?ilOnL+cpmG`T+ZkikGgLdpUV?QBDkZ101SY=Jkn;SWwXb`FT3u*?iapp2Nk zV1Qx`Ml+qrwAOWGo7*SrW9!>X)zOHw7;%FbMD^n_Tl-rF z|6`p!h*AaSU z24pF<0)>bHT>>75cYT1{Y8rrEb>#5t!PXYRr+C|V3#23&_=^Hb28L5DyW-Cm$?xy~ E2S+7dW&i*H literal 11160 zcmb_?1y>wf(>3ny?(Po39fF47?oM#m!Ciy91qkl$8eD?AI|O(6Cdqy7eSg5WX01A> zc2!r`Io*5r^sGSz0RgFi2L)Ou;71fVs+l@ES=!l>u(C6;FtL(|x>(wnkg&0{k+5(v zv$8R>v9Pj}D4ROES{j>@d@?k)CSfH}mLX9$H6oEPv2+F=xv>1Z90&+K-JbzO3GDBf zO8<9s2R+q&cOn>8O%VkP_eXJj1cUV-_NPBEc%;yDyMv^25gZ=*pkXd`wMNMrYTM42 zuy5N?w!(ZzD=3EfQCgKGNF}~XxHskEmG4DJr4*nq3bq9h?$qh`T6^8UJ;_>HT05#S z)m2QYzxLGg)4M;p*So*Dks~G`An-d)1D6iw+Fb2i+2a_=NrStQk{a z{-`**KPmX;G_oo+P4oQp%dT*129W{{2sd z=S67VKwFMON+ompgDXByCHA9lD`zDeNA;f61#0GeU&OToUBR91^Nk(aa9y7GK5`$s zG(K@}+oBmJ7!Lic--w*3(Cs8R8(8zj$Kf2TLD(%EL2bG(n8@woK9th7q(73-UYlUj z@t>`Lfe_cuvaMYc@gZ(w{=%&lNR`d~^&~gkqH1O7e6X$b1MjC~XSb=(n6n1d8iO^> z3nv^i%{d$eX2qBS~;hJg%kSdsUKJrT=X$twLove zl2wehp>wCBLv1;%sv!Z!Hz_EUl^roFDrQaknJ-t%U`5_VS5cI3+qYgZIwznN6lZSr z1Y~m^gh3pCfK|Jp^{9TrYua{|$Yvn=Ef>DEy;1SDTM`;0$$9J$%mv;R1v!^u?MAHR zxqjhS(E`+~LX#U^1XEK24utDh#%h#;9b+}jy3+3fwur4G`{^Hr6tp{(hZ5=e5d^PX zdFY*|ER3t$@ZIh)n;!3tFIEnz43IN?>`xD-YPh||?!oPSu)MAI-@8#SCz(MvOGz~D zeudWUsTE(^C{m{Rp}x4H+b_PEiRnh|-)+0jEZYpVee8ePfcCBvf_pE?RrN(CMo~FU zy14I}0=pxo$UA1?s5~E>Y-4PPV{|ix9@GAgFb03S7^$`}(V>s~*-_x=TuP>D^JN6o zxtkBReZ`>(Hoim(6{gq3t+FYFW2ORwLE~Uqw$-vYoT^+{M5P$uSdS#w+ahlStBJtRAyT%RQT;i8P_0S&!V-pKDtUI)Rmhw(u*8IzHbgW*AA0 zmi=7h(iJ;lm;fB&BNF(zNb*nY{ms7ZS(#zNLv0Rl;>&|@sVG0?bLCJzWe7w=_aOGK zh249V`u(hc?7{0rGMn#Nan`M9zO*qTSTtHZ7recUd{Q9$gK!G zE0K;<-krN5D>(Xon!m_YLicnk0CKM}6a;a2STCRTxdX)Nmz0WwE8l`)YKn4tl7mcv z6JIcDoN6mGx>lB`PC^r6TFtH@!C2%Hw$ToXxs2`2z_$bw29XU=G{#lhES? z;(nMs*%v*)Fg+`eFPQFK;(#jZ_ND8*vPe=0!!2l>O}X{dV&LbK%hFkUq!79$yy@h@ zyQ@PX$RPyJLf&ph_!{5GLpya+q#p3d4=1j%kKxSUMp1r)|>nQ zzQ{n4xuFhv&!MZd2DeX4FRu_UxZ^$c#6vWzVnu7~MR@J7VvH~Z%4tUl-g_-~L!xd8@{ zJ*c7#Fk37)FnP)dJ7Ad5qC5y&EJ1xJNMbzYL`a6bcn5tJ2@9{mvOEKKS`Q7jVcggQ zy7$GKrSEOFC~U`_UQRVH6Zpk&wHe3l8J04msR18VxoQ1Ig7)$IcrJ0_1jVPWMPk1A zIxcb@q4o!%i;9X@%QDi?N==rq>%5JdekFaCwfA{$j^0pSFGqm zAWK7wK#D+CS2Bnv{q5CYk$`}-ghWHc9-=)Msm6dGGs>k;;2FI;x$d0B&Fn`jcxaMn zhF3F~<8_D@=!bQkDah?=d%AB6Z08O24iR%Q9E^^$9 z>{|u)7e!56BzSNdUUUCzZIYI1%K?IkA;8ZuFRw8<$BedhPozM|P_{!= za}i__WLIpAQ_@AT>d-CBmLBE+eTXg?U&9rE57BmDWAuvi&cW(JPlnNhAlkaliJS3d z1;yp|bYj)@7QVvq7tywgvuk_QVlaEy*b2;NejNn7nVPLBO)!m$5B880oh^~F9v8RU zDEuL9Phtz+dBUW%t1Ul7^Te2Qe97aUAiWA|fE=d_&KN_%BV~Z1 zgrKybhe<|ZAk`4%l7ac(fl=Uh`ps!j!4SXWO*oyy?a&EhXy2~CG`we4CCP*lFfeOm zH@3$ogCrXv>3CV&sbI)CA>2mKereVih8$+O9hu+;v6OS9JPWqRUB78YKd1aM^9CbR zEpLCvf^83-WMHG*6;#}K$Hu%hE$Cv>t{?LC`pY6G&K<^=fd#bHPd9ptRv3*peP<2l zb9_~U(URsMe0^J7*@&xH$gcb8%GAw_-?!%4{2KXulAO-*Y~V{=8NZEIm(f&4Flvk*vj5_RNoF;a5-N+ZZfEnXT4gx>7W7B`G^{Q!hFo-<#qK z3BPc2WV}*4E@NL1aOwBibu|;)H(55z5j!nZu?17H#3=EIZCZIaqmLzWfu2kG5OHBF z@bUHOoz)wjeOE5NAb);+7^Jd^w{T7MLU*tR-_Xz;%nCI$KHrCX__`a6EVs4Z!)-^T z-|6h&^!8ApEri@QfA)(qUPgoG%{KSeb&%Io>Dy{p74@cUTl_?mvyQ&^<^$udUD3i% zvs%~;bow}UI(VDTb;WzFXU!|kq^LGfyGDaZWAKLRTvioNJLS`cTd&NuB-F4MB?y zHnM_{hyLeTBcWQ` z6GbPmHagaKA40FTLlAY73dh0pGuasMr${;Mccx6Eo(`qB$Z_G9eKf5fEPrw(=B~2A ztzeX+aQP(5m)-j(Lut%(H{bhP;C7u^a+)alw+LKvtvw_h>k%juDxOi2R9II+@9y;QrX_K z1J#;+yhZYoy!D1cKXU&cp$m~jHU%G6}F*I z@`oq(u^Kz)Q$0szMNU6`PAtdCXOdaQBYxfE5q4+NpX+($6hxxm?@K(k*B6U$msZrc ze&&`ZTq!G~g2eq`RzVK=U21{w#u%f}aw@JMUrs6_HnC7yM7F7#K9Gr5OSQn8BkEAl zs>c=jE=W*m;xeu$timgp=6uU_rmcC6V=He$t;S&*qtcly*bj|OY>sR0%V1G$Zafx% zc^?Z?Bl^ITdeESUa0~TY$&V4Jn)V9!DckPX$YnZ$Wwqj4TFZu#n?73v*QZ3b{Vmxk z6vd9UL<_`Ff6x9s4hV?snp8m;=~(BU2`|)}U=4%v@4?m#w^|2}Uk`^J>R>%T^(ivC znRsP^JJ&1NuumA{A)$$wv_u;f?_toDm0OCJoVn{LK9^To+Hy>(Wi~keI(|qZvemV5 z-}%;uU2|lIIkde^Pbw1_92g%&LzwRzHe*|bm+w3uz3(dD=l{SIGeaD@{xL@4V3rZ- zw$D>*e~%||o%QvO<}4`Qd16W^s!*|eF@HV&rmp}hsndd0hg#WKh`I7qP6tHXnYA9y;26n@bzSG&sOSJvy zo1~B_t0aUx_O(96BFbP*?bWq{dq8wR$Gm`rNEqC^@Blc?IsV8ihCGHvZLVr$|H z0YMW^VpBU#a}0PxO*xUaz`8BPn&6_aA8~y^4j)NH37uUpI#cUg9aX`6unVcatPnR` zS$nF7)BRWG4h`;L7E&=m1+_`EJ!3^S$Fx%7Z)Rl>`wptSZjDpWIf5fI(dzpsoM@L2Ej%T`CGqG-`f5r$J~QyNR)-BDKY0y&M0OlB zb@(h)=-D=V{h3ia?LbDIp*jwGgAc;foc=bF{*Ua0{!=`?ik; zEZ%yav9W&XXX^71exX=9p=Ds{K!E2h2)5YinEpE40F$z3_Nf`mO`s*4%>4$3pQ1w+GT*&%h-OtR|*H^4~vsbe3hs9!9W>>qbnc?N> z;J{v;tP?!4g>4WgJz#z_&$6ues{?t`Ts`3q84nK!RTKu*=C93HCkj|{S$TFse9Dx) zVakRg_4rbX14B|rXVm$lV~WJ01TK5TT7^ES)~QaU!0>(*Q2+Va0F!b5n-Hzg@+0-W zNlcfVwLXa#cJ`{Eu03{ZW?Hb5ducTqhMito3vUVq@je4BB%&$n{`8*OPE;InM6;}i?U%ORQ1B!$j``0pE9^!YpFHDk6n*>Ov z?roRX=`(%JMD51;YG_qv*b#DXH3}Ozct*?GQAw5t)`>j;^-fE zd<^_$FrzZySeTnL2K?bbLYpl}lI0h8ZFdZMNpqm-&u{b#pYYoO$L`aA4+leVoeOsUh=Y>^p?v^hcxD-b&M6-zs0jXt~AW6Kpe2 zca+DWTlhYyuTKw-ue}%3IfA}zzJ~k0_`9#Ruf6FiHEA2)^;2_3!8!3=dg?gN#9im|*Uy?|6w!a08{m)OkcdO~>!D4{tOVmEw`%YyY5 zQNg&wQ8nNHb%O0mi+<;J3*QFpb>%5|ORh=qt;pB#fklDXPq(WYF@f`19T-i3eIE4; zV$^ctc~1y;XF{jfkww|_HJh9(S<%s6q-HZTD+LpW`%^a~t@`||eChTn*oVJt>5E0( z#z;^aZW8axsd8Gi!mHI7g$8vm2&B?9o>1D{7=Lexanuf&@EkzEQ@j=MO4ah6%!xRlJJ*uUY&{txlNMLlTgJ6 z(tQNbT@_z@-vrR_o}PkD(aO>DK8UEOkWcQ5VUE8>bz_T57(P@>6K?>^3sy`I$=`Na1V(XZhMJDCLv|c|Ht(-)(yCoWR5*ORuS${Yz_C#N z+!g{~#K+w|!&umk{TP*r1RZBXOQJ~V=A+ErU*xEx%W)?!9?}%>Xrolj-{Hn#^Yih< zW*4j~OC)X3e1b}Zo*dq#e-@-x&SpNMb5=jT_mY))(a(+a2}&c)amg1}7a0awa`n>Kw3 ztN8b-bfWaz+Ph=t^Y{xFOdkYDj$7Y=&XAZ7ld???(g-Klb=-7ujVWVf0_KbeexxVH zLU8IgN=lh)&{&brFdx6x;c8?JTXD;*R+PIEcM@*fnr+7zot+O;Np>NLw7V*Mh*6ze zH;Jv{vpc-I^7VJnqTkQ0ke;WokG`mO#n?ZPm&1w7XMA8bDaB#l{{BLV>PiE(H-gVh zqmsmJIaWqzHIg zadbR&0>@)ecYQ*=%${E!i^#4@FRD(tfCT}2_Rcb_y@*Quji_1{vN;=MGfAoRHnzv0 zkM$3w6frF8SeHRhj5!qXBwo{zIt7T|;dJkw-~y&lhWTs{5kjk*>2yXTzMsp@P8|xA z1hvfyX(T7&9ML4D_2|r@TUh9u0VQPNUoy^g0r2?|6neEI$A2K&HfUoJN3Pxs3O(_m z{NHtF!_~uQ8N9?13Rj8+^tM&qvI5Rj!@YX$ zN-dZd^)Sx}C06(%T%PPF0E!6h5K04N&3>g`^l6qINl4S-H=KWGYWUo zyH1*sf7Y95mLRBIS7^@mG3UD`nzr~FIaVWhBjPkiK*@}PPH}5R=A~v)NeHklOTN0W z$psr!sp*`>#w9q3pD_6{e5TRt?B~zI6XNk=Sd;iQ12vctRzN&U!^@lM&$*PMswd5| zpO40Pf_fp8B)o9>xs~PFRcu!N*VdbsTUAX`!%ys5neab{#uzb}pRJ9RE7HiJsMghIJzbBC^4)&CYoNCAc@f5 z!1Pd%n#Gcb(_aL#k6a!&zT2Nxsmd{1U&R7#TN2$epD- z+m}1HddW+l4VT41s@?XAzpXNJ3AJV^*8A9isLBQ}#Y2Dbedb)uWo;AhR zJDAFv$JcXRb>G&-7On72?}?P9EJ7;fJH%pb0>DQHR*qBU6-d6YIXocTke%Xiq;dQ( zi5V`G*Zh!;lPR})leELlUoJ860nvPEQ`}`|E&dIa*E~v9eo;A}on_;GG>L*?5RLyM zqb5_MK4*)v8crxAj#m{^nj-xM@QJ|eG!l_NN0(>*OIYGdnj6oJrq}5%M?-^Sr^aM{ z)nNCK>lpFv=NdyB!(csjQLLvZL8`Busso)c`|Q!xV*0Faw!J1={5P0lp+X6aSac!& zqY)}S7!@$(@FJU3g} z)RnOs1ES4cE4>1KWBjT4a6O@d?hwRnE8YzC8WyZ!jD{$3Z5ah0c+hX>*Pc`Y4aN#% zr($UZf_xdqJlyYUrecGA5sYLHhpbMA(u=S&oMld@LcR1@9Q%1KGG}IoP|FtMeJ+OR z$6_=h373Wm-VCNtty$M2Hp5h-np}B|Fn3aTV>AYO58rI@*RJ6UdNAf-PN$Fq0%JX{ zSgYWDr5H&2_afX|rajeSFP(~*vNV$&lAmJY!i=MJpAK$(l+e(- z@y!%hT#X~THRxbhK=AFKUBDxif0Vr)1P3jC&JH=%~suhJviBgIV+NYz*Z}ptNz&OMB+g(A6sc zGfy$Fho&jS9o2D-TM$U77#H$|!5-FMoAZKDNwGav=nrxhdHhiQ2`v)&Oz$H#SFo8d zcN8qmO1lAGrmv_BwhI2m5RzGqIs8>D-va0@KshJH@DYUO^-ROs4iRi^@Yt{8Mutxj z>@v#)b7?c@K;%g)pe*351@l5P`>C6&`Jiz=TGQ;sk)Q3vA#NWijH2er8w)&q<3L@h z9xQ;mmQl>pOLw%ZCU7MHU&}Q7k*nSBx76=}F2*&0*6ejn;M!b}A-i(~b zfRN?fY~Ut#&$m+%ewR(H-|cu?Tao{ST2x3xM0Z;nyI%{CS$T_o*Ae|78H z)t(M*-V`R6j0mCpeJWr$>vVN0DB)Vh^;4A*xuVS^q`X!T9}0kURSvfNX zjZ#GM%PwFU8T+WOvQqPrcGO|q$f)}ct7;9EZa*dxbvK#XcSx~` z`^eu9S$;@*imuV?LZv&jiFTbfT7aLF*$aYp#Kw*QTpC_n`~PvK=1Eo3q*KxiAIA2k z0lU~mLE^1r2z};Eu}~C4EP(%<$^Yvt{Ocgk+-WI#??*Y`L7<~!?N_AC;YLn|q{J}Mv^8B<4(oWtx)bdm5|zb5j}REbGA*=n za#KmKw&DuI#0A_b>6I!l>DZJ!DIHB*!eAKBwhH0?HgMwOpaV5gWu9EnRo3* z?ThI`IfMfAptIUw|nXQAkVq6$pcWAH42`2CQGzwvv3Jw?j=LXgW2L?)wM{Z z^K77CNDCFq5Zki)!Ze=g;cntNwzuzQ`I{jt#!TE@vh3zWM7YFGEswhCAFeNcJf)6~ z|C$*~3zga*(y{eTF`MA$uNB&Nyl&%gg5xJlW6lZ%Ktr<|-YJQp?2q}Ep(XXrh)Z2B zit-cZKGQl5)vD3`CVr*nUukHu{3`&vwSOhz>+?TCP^kk7`x+OYGeK* z1xeVFN((_3tCvZ0m8Y#t<^5Epe>J6xNSbnv_wvLaZKVoY#-@virsmZ%xBu?MKj>^6 zT(^6KNV<_;;1g89<&*CjE?R8F34H97&sMzKV=sB^Rj`!aE>H@FfKnjq!apQNk-MgC z2xzq2PlS>NU}p1J3D=_P6Omn(dWH3u0jVBKqvoWEJe=wA1oTwM)`;c=#J5%NQGQDU zOe_K~gM48J+tSR#ScAeB%3aJ7F^!MXg`;CE!0Tcm+@{n!Bkl$0PT#5XCQPtSl_Z_{ zD{pZ`EgaM1-JgJ2sgBcNENd6yEq?_7v@ovfU(ya`eyeEv2d6kmZan`hCQxztolq1b ze@h{d1p6RLC;mMpj&Q^?UL4I|Wnl%FO#JI8#qynwBXXF;Q?@RR9)dlPwJ`)bRbh8y z2ODsE;p&1YV(BDzoO%Lj44Kl?vH-ssdAc;NCkaf@bi>W`>Hz8dF~4?ddV|96namkW zDKOYcEo_ouHX%9&*90q;dYEHqNJb+nTFNIg=tNh32=>31Q%NVF)K^{tGVs12)I;UWEjQ(_Qom%}%<Jq0GeK7g z_=k?D3Eo5G6pt}dK)y1^QHlsm-k;|F(j%@dNr3sa&s+ecqRBs0d}jPh#i*8lsCfDh zSI7QI$Y2I0_`q~|9w>omn!=7vMc_TAuEz8eKs+EM8C(PDNY0dzB=TT`z_aqo-@UZH z4?5w>glyl)3?!)T5Rjm>Nt-IWW_|RH&~xNQXiV=CJ5;Fe^oXk8x(UeZhp(VF2eMF8IrO-~ ze%Zf)1Rx*ibe=$=f9$*Aol z(v5lM6WG@zl+n~D+(xA(8-(AcuL4-+Es(k1%=GvtlfL`iOB6~_AxP&=jZ0*)#}bp? z&S4`XA#n_jmQB1PgMhrhyMu0<8<;ltb$8p}UIfdd32R}51?psOi)H$3ixFgb>+HN{ zwd-Vw0eTS-tc1sgKY>u(n`ezdg~NcdmO7g2W5+=Ra-y)a*@Fzzh>e=W!EmDl&O)_7 zJwS^fn1I36u;_p!xU%}EM+s}8mD2FcE-bLppba2Kog#1pW<*1*$Ao1ha%FUVvUGHH zbaZo>On0G1bzUes76t9zYbk+|`NI_0@&K$jyGRv)Hr3fXsdItv(9@ zo0883fIPzyfMol|bXKwuM)4aYSy5fji17ALsf2XTIRNGc&fOnmv~acImAe1{ diff --git a/cpld/db/GR8RAM.sta_cmp.15_slow.tdb b/cpld/db/GR8RAM.sta_cmp.15_slow.tdb index c22a7a1d48d74c7273e232fb2d53518adfb95737..7481e90db1c94e79a9b61b515a079fd0d0397e0f 100755 GIT binary patch literal 35584 zcmd>li9b~D`*$T#LQ<4%Dy=9**_-*aNM)-eDNGWR?Ae(mAw~Fn+9bv#F_mR3S;EX% zLJ~uRvCj-K#$X1+j9H)K^L>`z^E|KTFL+*FuQ~TQ_qoq~?(1CF^?qOPbEvFax2{-W zJ@6X}{GA21H@qK)`UVB=IbnS4_^}gv&W8K?-`itkY_#XNiQx$&L!;v-jQ2QsKaBA8 z^4@dB)5~wqi9Jr2_qcf9-D7>vHw+m0HE<(vnRV+n0HJh;Kr*HpEBJ@$*slfL#d;-IPBkgpSSt90=* zzBp-EuI|z(Du;ISfc?+&Q;U-3ux zYD%dDN#R_7gr#v{M3@l5qGI~k_oefnh?WmXVZ#-56?1%cshFq%lB$Y?q!lT2P@1>k zxm+b-Z*%PG7+fk|U8V*Uo6TJusb=uH0x@5Ok;BG9scVGPeMLGdT*VDhsdy+WviOwa z3&b_H+bU)vWH{$@Z_r+ogwIur7KVQoznT4M{VQEH>CNvFgOyk-+n%TFYe}eMJMUU9aKDD#IylFBG{jy2MGTx=_TwYoA$L6= zJTg1`$Hwu*QmjdanWgBD{ew_ie6<($X+?#)0Q#7aT2Y}4p8)ZldIty9#iTRTp}|3r z#EVuP*oGPJ>RLZ-x)GrdWBkQej!VytOLmN_zdp0g$d+bvm0&(i;cY6#Ri2^3J0RTE znfErl02;OQ4LX4Qn<-nQF9&{0OV;1%=a&a@zM z&+eRz%cC2s#W_;rk0pwJhJstulcd}tN1xRsbl9yEH`TbIuEWUm3l%3h8U--- zCzIp`n4FmSAVaYH^1b1oS*>#(;x4^qL}?z}^-a1=hT#;c><=WF^N`b`g47Z<%Nr^~ zchK9KAx}A5IEgCA4I;5TP9A00q~AzQ<^1Hxs(8ps91>g-N$DI_`qI974bhxDPRRvT z@$>t@IDGr0xk|~2Jc+~1DH9*rz=>68YSO!D*e1j&&Fa!21LF;tYMC}$Z7w-pavp}& z5v4XT>K34&z&6_xi9Kia=m7Fyhph_I1pXkw^brK}C;D^m zsbF`TKW({F5D9#9tjRR-l(fgKVbSil((JxB?J8sPlIsbkaV)Jyz5LL)v z4gA_?r9YjdqY)l0j_#^D$^uL_CgTI@WH6|@gRX27rNBQI=LKewUjJ#^SmIZ*I`~8+ z69*F~RKj+v(6#B!8+ekiIAtZc>7ox&fAx$C!jwO%B39(AkBddsHnX1&FxRs|^wV_l zzIyfgpae!E^NAfq5V4%dOXeUsIVxkIG2LMIJmZibw)yk>g4#ML5!$^3|O?-BIJWntd;?s}sy!xKxk)mtY(wi2zH+M2Vh z`7b#K<8E6?`=Z1530lvP^kTI!8-w_VX6-JZu72+_<|s%K&_||8=>yS9$azfZl9gbS zilq}BCf#gl_yMASm*E{HhIle|a$)CZ>o-B>90#Jc#h+Cy$+U230-8C^+9-C9(2m2m zU(@Gkj;uzj)n8}=A3l{e`9~h3fYYHb(GFeUTXOVNBs%qXX)W!rF5@DIm$?zAMe9?m zdXxS}kEiN4TGbmnY-SCgWTbG?>E62VBcf?}=?;&BO&N{pPdSFPBw3F~^{cBpSFgpT z?3TWRKa+=UHdL!|4?6RC*+6zf_0mlsQorokWM6&adjNtR7Gpjnek{4DUQI2l@T0ZW zEHN(d!EvOA3=)(UD;vlFU3s9;p`#+vhx6pAn@H;P+DEh7>2=-5@GE&1;g3%K{Cn{; z9+--X{)JN^$iZzBKpUaz^hBDU3~%n}R;Vi7npUV=e;DpeGtpV>^E7B6Zpn7`u?ot1 zS#`t7@fXA55sX|SLzM9l@1)pRjxsgHh2QDDqe z9`xVc+Fa$!R8j$N7y~!iG!DxKiVs^lHW(_6U?7DxlB2VNYRdrs?cCcVHHa;i zsr!WJt;EA?YeQ{9o;fcy5Y@}0bjJ1sLjtjltWALvHzt-YGKgK)KdxEK>oiGkouT%p zl3rzd%`QShska0HFMXyDe~DhzYUB|Jw_i3gdb~s=JiktQaT z-Y3HoMDK8T&WWTs?-RL4<;$Z$$g{MpX8v_JkO7l3g{{tRQndpIC*vhf6kooACXzrOm_qawy~j8q6)k>_ayluL8U#z1kQc2S(V(nxE?(DGNn;uLoH@mt5I zp<6?KxFm({jd6c@kBrIK1Y|03+pwdZvwPaB{M2VZ#%q(78mna;ObQ`?Hz@-{t|?H6;iEO^4tJ$ zdc(w8H9Mv3Zl8&M0K91TD#Q}BpNlr7q|ld=@E*q&Al3_hzB;ks$jIl>cFGV_>X#`k zv$N0c*iiH*RC`V;#Zc2bdmw7ucf(l{Bff52tav9UL}hF{*re%pqm50JCSN9wxLx2L z;17fYV9oHyEYShQMZ3Bxds{5-2~{09R+*G8KO&^A2U|5+HYHg{!T5i#J*iOR#NiD* zM!wB6W6v%(M>g!Q`_y+q)2IBX?}<4Rr19(|bo~#cuqjq{zAXR}MS4nr)Y~Lb*Ryqi zU_mA|SjquT-8LHECCx%hiF8!bds1H9A_S@px4{{;pfoTDI(~yRp}sP5zYwoCVBXR~ z59QP2Z2bg6`a4WJ(!C9oP@Oj2)_{A?NunLyC-US^sz?rtemB69YL0-7Y5l~QVm(M7 zv9QNYi)%j`V!9qdeky*(X^j&~&>Mqx^*|Jf$D6PXB;{=9DikyxqgJzQSW+G1kLP`c&%7?(JW3(PI2PRNM$rY4vJuI0^J3~c z$ev(#r|a0S$@NqHKOsMd_z$A~0j{&`cX&q{r;>A4g`kAIl7LH6f64*Tg*JQ=2O-1b zrIJ}2ntk6F;@=zD?$x?i(V~g}!4fEKY+jHmIDEq5M?=p68RIA2! zOSjkXU?2c=T)mftji@d4?^7x~QUc^fvRKGA$vIlh%ejol9JUNjtww}fF9++Ao*P+R zG9Gvbcv@GibvI6FLcF6hBDPPSI6@iT#F8HW$5Vm~JSrjbv9cm?Sd$*PX}*nN&lz6p_2CDo zAQeRY@@z%6Djh+?>(+znVKj-w?=?;aO0uNY+FWq)7W^DHUxz%Gpqv0zuSsu~j}kT@ zv@QTCeSU(ouHHT&{YiB)r;PJP1+0VwIMoKCK@%w6G@XN`rEcI+23LcFeYBK9CxUm* zHoa%lTXW|pb3iqqBvKl7xz!N3so^$Gxe8$iGK>aR(x0o?qK%&Q;pfB& z&4S|CD)ig*Rb7!OKQK-hHE-W-8e(-HEG6? z?elTLskm5aN`s5se4F!C{+Fi&Gq`mE;4jJ}>4oK-beTh0_>$LorDlMU0f$lXSWh_K zq~AnM`A-$G6AWo8Y-VhlpFkPGBWPgoDO$w_-a?Tq%D9QufCa1)sw7JMHwhg}WB@(p zAn<`E!vu&nU6KBBU;PRA-2~QY@@5s}3DGn8ASIMRlVLn$JKd$B&AMhxK}VDB(r5$t zfz&-%Q#vuir-UA9ek^%lDzVH1ZLeYDj&T}+95J^Aw4*07o~li!G_$vi@Pc%#4A;DL z&NkNtfIeYk?1d;)O-aUEK9<6cY^by0uj4DKfVUyt6R0volP0<5x{dSc3iuVKR!w?V z$+9-^RCLw{f#`X(xedIK*d0h;Aft!GW;Y#(6V{|AnWk_K(e3w%jzs0jV*oPHgo%T0 zqm!CQDI5k}aUWdIja0B-xNv}#oMbXBjhV*qUqco!Iyigwk_oIPxVy_JVrjU+t>{SScB1QwkN;wXtX?6@Y z5q(&c)quye(DZfdH;P#DhO$zPg1O+$ut)NVdj1$h$gn~;%+(xOk&Vjza! zsQ(Yw@oRv|&ZoEC2)5K&9;+7ITq2RobzmD2mmE%xUk>kaR2WH5a-!qp9889ZSf{8{ zA8^Vj^Cr?`;;tGHB$eg}0G6XF|7DzTfxHzAZd%rvpHM*_5%tIuwIsm_)sG-M=q^od z=cD}i@hW3#NK``g8bX4wYsKK9tkh80mU95N{VfYNYpQFK1G2=3>X>0Lh~dE@03pR_ z1S(_0dY1%VJnuP2!qHJd%GJjvC_kcZqsJ%$<9Nd z#@Zf-f~h5@^vVr9w(hUT6#0?#rD%?-42AK`+m@*v^|}EOOHE`&+xS|o@y7%d;95

;iIl%GSCo<;$1EdV(W<%|obkgOhGI^+y;coiB>1nPHmd9P?+|#oyZ2Y3?Dtf(3QHN1U?H9u28B!S6g#mSA&U z3Y29)BCcv&vX0Uq*aEIEC0MDpaF2#c85^_zfz(PCRkX$~3T^7Z4=GgmbhT_?Tis9& zh;S+xRX@9#s#U`a4dNGUq~@m?h;57zF}E!6%7WB0IMRF9k(GGq4t`5bHa)t&Dt@^B z?pNm0Z)Qe!k#Y>)#ZR!w0n*D{&YTE8gQ_HBX1UF16>h78xCljQqXr)0MO*m8!rAe%) z3S*|6*=Sv5&0rQa-Ji(Cm6%7LL1hmILAm%B5l=$_3MtQ42H*%twTH|l&*QQnf~^k= z@carTRG_@Tqy!}Qfp{#~_b8axfJ^1X(kUBDNXD5GFuyMjHW81{n!RR05!D zL7I7~9D5F47Y;DdjTzFq&}+w~%Y!#peI*QKU`W46s%TkvV7BzqOeDWVB`118jb2wy zrAD9l7=X} zq36?~7x;7zNw?lWG|^!AaKU`L#Fa)elcqmLZ7&Pm3cr*9Qji{ABOwa)al`Boj&)scyXzJmztSG?YFiy#-&Cuwuvmz&sg5g429ug0^qhBjR>CtO=UTsh~UQ z)~^?>%0rYT{=fhhFd#0Mx)U`DiaI!LT7x9Yi~l5OuTuXbVM2D(J82WTZqz}3R04Dh z>SU8)5=4uBl%{OWf6Y0eGNvK9lTe*V1+DR%3sG`>jy!uKNQ3Uu%ueC>(Rdg5m7Lgp zA`5U0B*;JJJ2}| z2sq(M##4^_>fINBpC&!)y$ORI5ZpaO?WyEx@^j;aJOm*TAc`my3?S6N>HW@2{}1AiBth#qmNSB9f#Ud_bm& zZK&QjPvk`z@(try#_~f+za<-9L1 z`$XsY_41TRSyC$JCA~toeiIz1%i&$pptOfKadteBv(FTvP@SPIo1gSuo1I;K<;2 zTlz2lV5Jg!E)S&2&2#O*P_g;sVw#@QulwI4i<^x%aO7kt5d~}L66|Y7eIpXb`B{>y zf@$_wJ5-~gB)kW(kKw)+ogS51|%c$rhT)@%AVXxrLu zD#voIceI_VvbMWcE&{u!fAH3(l>lX9oUNB2=Z{dfWX=u+6p#)1ClH2-5*wJ5Z&R;&_D|!`I3C=6}$m>ZhEUKR>yWAR3ytH&CtpilJ6zXbWAK8A}D9yia z0y<&&IYS#^TAHt2w&Y#v^e)v7x9r*Ybmnw*{gPsuLdXjGQxLpMNnb3B|#S z_g*wAv3=PEskmuHiCwag_NwjA^jNZPdAP~ey$yne@0cXtEcnM1FA^p!`We8?@iXZ@ zS7UmZEdjUtT#>%rS_dy0A-6_<`3)`2W|+4HiwX@^&}ugD9ds7J6fs6>oCIJEqddKi4u`H7xNn&e)Ux zeHKaldvnLX@6~UJ{$fNV1(!|Knmn)qUN)T{c;i1c^oc(msrk;y#IhX6pRU#vRhR({ zJD+xNoy_gOTo-)5FUm((I3<>k=TW0;9@mGsFYUw}G?>Unf_^5a3yKeE(SC{FZQ$+K4>-I3~cGj-g#Fo%eYVV1N zf<5ircP~FFe+`_e>FZF3fJ)6@c~F$SPoTRly(4{vT?K6XV*X6podq|EUmE%9-}hox zAxX8K1`IQB)?)js+ogQAg^Ck;>O7_Vt@dr|IdnQ!z}pcPaC6fOQ}mEjZBp*$51+Yd ze$TfrDZpI$?JT!bX1UUL5AA1a%MWLIP&@Oy5Zh7~Tf?Q(*MGW{Plx8ThNJ$3|Ma>U z7!lKQjZvj}Gcfeu#(IN$6)PE6!RT_N)4BFp&?SlU%>2b^;`^c#edq4JU@9MyZVwl` zhT)A$yk-o3CYK3%`IE20!F?y-h2%mHhDrYIY>$yDXY}M`-s0%SORbZ-&c3H9GplW{ zCT(+on`?WKVHmv`j}8+0^h$Fnt1GTw@xbJOIT?QWS)YxC_l$*Nn@-d~Pg%)Qx&KJ# zUBPJlm9~#*(Gx%Q!@V?5Ow>k9XJaQm+kVo`x<;MJj?`ueZ`uW|IKLsaM(X13nLPI^ zW+l8JMi!ONTsVAgG3NDf1^b^g7W`=Ewl{^@m|CB!c9A~2C%Lt)?L^C)L;sixYjr*m zYb&g1T-(F?7f!nlnIT+%4j<-u?VjUeoNi>rmVJFF&^^o&Q8T=Y$kNNMWlmW@WdgsB zUOAoCBKlf6gUCMZGWN5|r1*6J!g>JvI$WnW{5;rw`^=95i?aLv?bt&x{Aw}t~UXj%c&9FX(cwlA)VvmGD?T~{CjP@&KopL zHDb$_7hS_=EMrFX#R>*vAiU2F3z*#8eTkvi)?h5HQ%V{+V_Dn(v#W5krG@EXG$B4B z4F8WrQEdrpTC~F(oiSr>i8#W#^=Wl>E0%rk+ez=v^an3ZEu&u%Ki2=_eK2~W`WpCo z`It*FlWPGcz8C2#3>JAXs+x}|Z`+@#jV=uHr~ci|66JZtzW=_T%o2qj3a6B9jdW}K zyCju-)8cPad`Vs{q2D`K&yS(DXhC}FtCi`&8hiYa{h2qi_@zRWdS(fTgPNgB&b4YC z!ZcRQd#`Y-j?I7UQOe%vLs?~T8rLFd#_d$fWE7V8vRs5~T+Ai&-WM(X@qaUsEs6MP z;h6Jl@gK6E4iGFWsG@%xzbg%FvppED=(dwY%U0%2EA|KYHrq?sjMII-_{S}?ej_A<2LN-fhZAS zAMc--3H|ch`zA7?{(yI-=k5-|AA9LGZ*8bessFy9`lCQg!F44frqg)`d5b|7+GFC} z36JmPSaN!P(L|wD#|&wS|m285P` z{M5J&EuCd^JCFE*gLkE9_|5h0qQGhTYO>umt^9*O^KV9k3wmCK+wAo-2j$QKcN_nrLjU3273IZ|uZBZ%!TnWLc&Joc1RI*c z;OjD~9uQ7uShufGUW*)ionZ?i@XzE%t1~Z{%Q2OT;fD@#c~|<)9f^}4?+F6`gm*0w z*&kz8^H#qiCoZ*f7a99zxOI*Zf!FZkh0$5RV`lz?f6>L2sRu|$aTXmcs}gu_Y1~4{ zt8lGi?<1Q|mQ<$c=D&Ux;;qr=>cNPf6e_%U&a!fJzC~$oe$v9@6N7ogUcP49=csBEHOyrxFXu^8$ zmN3l@e=Nms8#-&TtT$M&beJXh(3L&OC0*?gDO0dLilwYV+gko9HAQQ+rL~3W%@++v; zZw`~|;(7^D)bdkGo(g(-&i@yxSozM?nBOh-BPYL9)CbiuhRG>@nzlZ$Siw(j%8Y~Z zSu#<<$&`?sp0Pd^v*9HI;lNN~Nd0%UaP1`SbnYoni}?r5t>$8bw`p~|OW!ycqQXX) z)l01wcu`LXmbAX|VNCfT>mTXXt!5Jy$dt}SWHA-y$C$mJc93~Mq&c}#Xs+R$N&Q^+ zlL?JGqQoxalU91MzowYU29k=uVp2btWyhz|y9iT@$?;%JU;7|LyTGhBNikeNxs%-7 zQCf&5sM;|=;x|-;17vH#QaX~#*1%HCFEBtYG!HEHt-16*qL^G*^wGqxth_kYb+F&E zW2fevOWEJ{6)cKn(`3?b?cCxjan=AOI$ZfLhvHvXq3jqG@i@>Jyr~h>0COQ zvpk$aF~`sQ-nU{cw!`RmeLf93C4 zeV_4n`cHRPR}NJfy9K;JWcg*e-wq-X=Ez~lfbjCV(}7EIYI}V=u&Yn5g{nKg&X3+F zkM{^y&(l^uNjUc179$Ltnw|DI;Or|VjBmuEGz+S3#GeWm%p6Znu^%qJ=qE`+iq8 zo4ccelE}#RaJv*x1->yDI$7-RN4^C-y`R!~)>(1)jiqybmXPe3@jC%oY6%{=+S>bA znbg~{*In8Mui|~L!~RRc2~Sz9mb)X0+~v&78erW1Ya+&dzff?r*C`uP%d-sgB~D890{0(gIoq51fHxXHrQe zrLqo=CPI;&H&zZd^od&YwH}Ij6p>ru#b`A3+?lP7_qki0NtG2hFxd%50<}HcED@1F z@En&Qrn%V09n~;TiRBfKq$7chToMwtrD&TSz)wF81<|hBAxIx;n2}ByW~kG3NN6mmgH9=?@RReI0LZMnS?!uoAm=eQY%xPAeY88_jz&Q&#Obe2B0TqnM5_pcxi!yQkIk(mJm z?5?2jn99B`#wvQvo$pmdF96=0#YW&1FL}O>F2=2>-NO)NQkCOBH`+Awy9Xb z=+I*a$P2;?CiXZ7Pp(etNn~CSSq?Bwo%%z*?hr>h5Y@etnR%Zf-@nhnoQAgAcZNo=6%ZxX9+ z#eS_?YQCl}SLqxsP=p@9xwsNpu|+BA_X<{j45}EH%o*Uqj~^8|j-1Rv{Uv=CB>%eL z^IuQq?OD8heKQpJqk#}|mDs)Nlw$`>x=-lzk z@1;F$%S*Y%{W)siFSIQ`XfQseG;yc4KrI(^%BV0+dxI=)kKvXrNvUY< z_FqZQy3*c;5b-yUAI6R$2j;b>= z(lf&XKi}bJ#WE#`gI@KYNk3Uk6%$67;Z!K#dSY>D1yljwB_lY^QFFiv`5L4?Pi1rb zSUfo@N1^WbzkZk=5|hO~(prqp@n3;U-UDmU)Gr^buc<2gSpIv3YeMzYFfqc9QpxNn z=%lEpV%-BAasv5r$%eFEAG}h>^8s9Jrn#ys4Xq%>jZ!st-St`M8Jdc%u8VqXj3}T^ z{cHT%*xINexFbChcNdkH*a)EJig=SKJQ=VJWfX z9b|!c6%4pt2;g?Hjd-*NZsMc^w~kwFf%kyxkc*_$H2@>ygj6c)lLXo{ZT-M_kVY~pf0g02HnZ4tuec=>B}9S z{x@(85r@M8LwsTVnf)}jMhp5Izj7cUX?nAvp{7)#zDI43S(9OPC&Ng8g~bIf^Ry+#QcQ=`)>A|Hp2l%BAqN6g3%K+N>C_pRJSqtS|5WQ8p#x1L7B8pt0baq5sCNwy+KD!8UCV3y`=2 zt0i6v$y%?;=60ML5j4LuM||E^ty6jkEg9TaO(;F+fI>dKZ8+fMKs@=q7*zBR8KgSi zuWB;LoU6I9_1MFh7IGR31^~-uE<{iRw*1;Rl*c$9?gl;I+!Y+sT`^Ky;P3=LGyZj*o zDvWf&Ig**a`;@3>s_}fmf61uQCkQ?1`GFr%SKp>lQwABgyD;yLYZK9)STod^ykIW? z)56-Enanfjmp&Gja+l9rys=)W5YJ>@SU6DB@_blBb+?cAZT4Z8tb4=}%-1~ez=yd2 zVk>-brr5zdHmCgT(@bW@L^>Gr!S6@ev7C35&p{8$Bm*s-Rpg-|yA?;_#N6Sa$$0PQ z_XxWvx4h0Wkxo?LQ>12W~QFsZTt9%dNWn8e~7q`4Z+gVCn!__lY%euJjyQashb! zNBO*BvhsNxYofXIT(%^JtC5n?Ob8Y1oHkxEol%YuY=5=ZAVvyuU!A()kWY9|jw~oz zs`pTr(6}*Oe=(Yco^lol2)Fh^RU%@JtJ}@eFyDNmiMed>(j)#-CiD{C6+?7$8t1#-wt&!|AApNN52?R)O}(z`z zC|xVt#v?L z8om3bz`>CEI!rN}#^oIcE5~CV-)JAFrv6eNh*BtK@IFAat{CUClRdod9Tbd?AiW*E z&NEG`p|71It((%`{x7ywk>*S$VRZ&X#DSi_=kck{#&cS_rt+~O%rYgtLUu)Dv` zO)D*)DX38?5NAnlj2E%ILb;b)WB=({UMK?y{zMp}-XNF4s>z%NU78lYr}(k5whOGd zK_7^xb2A{7J=E;(4vbAV^$Bt#`mYl&xz86S|Acw?I|iq+V)o+E&{Sw#m*CFZioEy_ z`Lm_ew63mW{$@8K2H3^DnP|0H-es;!BT)76&5XRd(-TIgxbGaR&QjGPD#(6da}9t+ z45KB1+~S_dN27nZlw8y{aqs}zLAtI;QE;$S6YYWgTw>DU?m*p8@{!jbU{`2>fAOES zwn*3-QunjBJBgj&i;qf7^NO|IwN}msLr&~c)|?+Nl`GN~yu72Gjnwzw5grQobVyHV zt;TrrT`?-`nqKLU+Ir{x{RY{@ZhZD2%_eRxb=px!2!CI0RmoIdnnOvr&s z*Ob=*0lxr&&Q%hLF`Y>SiW=NDO?T6vkHwX4S~JaIqxGsLy3w<>?rY{MRO_JcR{c`r%=!`Ps7qy!KqJcIp3*8y7>F z(U3x$dtMw<3g7m1-zF@*fpSbq=8jjl;~8iZGbq9R(&*~6iv>PM7i2rCIh|rIjvWYk zM$n1*QnAomKfm|qQfclzB-o)qR-jr&U-h1DTR(Pb8e~n~h}g+h9IPJfLF7D}cFDzj zC#15#j$Sj#AEaZeD619Bhrm-s@0U<2nkHwV`{|BO+?USiZ4aCf#}MBV*>B0WL3e}MUzT3FUXb3c-trs2L3VW@NUn}jOHfqvh<8M}dhO0=Gf zc3T$o-D<}b&qEh7jBbYnPL9tmS8{AHuC-4G{FTCA%phM1rd<1DLyR~=C;U$}1)w}0 z<_*uuqg*dsoJyg3c%Ai)Uvl#HRqEZG0tmd)M=N_6#3B988$)$ngPEK2^w1b3y=m?{ zg+jDbH;N53jL-jO=viqo84~B=m2V|g z3Kyhw`-xD`C7M{2#_5(YS1wR8PPwm@jHK`D@cjp}J-iQ8n)ef1?O-hQWOC|Cihd^e zWF4}&-q1GaSB>b(Ew!NsaI=+D?0429Z)(lL5`4#;<7>1h#yNZKmP#^u3_}Eq$2;q0 z@*w?8VPlw1W~xLNOO!2$rnFC|hYHj^-$iy>OLNH>MRI+i1)#&N^ygDgu>~sl7nOb% zyGKb>NG@b2ex4!C?k2_&whItF8HaBAV0Q_4-NY263fj|+)OZnk#8Zm++7Fw|Ml%Oi zAJrm(kMw7ITe=non9tA;jw$8$7@mc0T&V&+`k!9b4l49KWR5^^K_3j2vL?8=_YfuV zmVqM6E6ihcS3u9&d+B;{ywE+40fBQB4yvK+6c#3&&^sA_R%S`G{w6tvO+r zt4!9ou0i#{#AP3-4+Zy{=e^Vsx|+*#*V5JH^;n`g(uu_sam|K9R2AlN3pXxfIwZy+d4q)x8j|LO1AK>94e@&H6o64E^yW=>uj%-FN1) zMDl>E-lP*5gC{~aipj^nR7hCHJ=AM~Pl1%w1N)$S267}9_Y|pE+qxOw`gA_P{=g<# zH~6od{+Ju2j5C?#1JFrL?}U38S#Cs_qdgO;F84bBn;xAQV7fgZcBZIaKj0c+8zDV7 zV#oO}zx{lJ%8X(9Rnv~zQEL(XqjXn9A(?fmY-;nQXSEsLL)9;*R6YWDmywefeO7h=pxDvC zV^HwCSFzBu?6Q=g->vVe6(;TzN+z}ontNCX>6^`B^=Z> z;EuArVo?HO6jcTGupQ&pV&Ll%6SYnzW^0c+j{Ws*gIptiwizfX+#j8F88|iDT;pMb z!v=1f1!JXXw9Z9$b--4b^teSCL1SsI19VfwHbG#igM)Opzjk-R_~-9N z=8=NySRq6gjYK*B&9$o6HrA8N_6Eq0Z?Qj1dr~ddt$ntmiU*i#P_*bKbgDJ_+Q&5| z_n+NyqdPsL&TKk1t^b-!HWL25C3jDV`P*8-uKHihW#sS3X=p3GEt{|_rqea!F7X?g zHB<500|Hv^Docm-dF|HIi{IuC|yEJ{d!Qzgx#~|SX`XsFxRoED_l_B&8i^S-!U{3Zy66gDZt)gm?1Wg z=aH;VK5hp-hJXy5*l{qACxX2lTl#i5m%_kwT;tiD#j(qyFtrLmVb=yd=&Lm|_V2aT7-vHp>(#0|OMS}luw8sJYU+Or@ z+beo3(RJ4--@8`B5jJ_^@2j|#FZ1>TSGydJ?s0!vA}j1=I>vuSqdmQ|J$RzyuXQ%u zp5n&L|9z$4Nn={D;M%TSy-}5wM6!B=d`s^(iS`s%lVjtRZ#=7yrK;jvrSdnAV5yID z?$(}`5+Y)L?Vi6y^SVvn2P+HS^=d+& zDKc^P1C-D*lY>6Md*`tXE6myO+1`iDdyr~+VA%1|R zJ~4FsfO$mtL}temjtEkEa1RCc)62U@4|S_Y3JUKCiY|n5^|O&X{H?;%a}Al?7#-%_ znPcaOBg|#1->SW*AA5v+czcQ3y*}g0z!Q)5sH+ zE|%hB6Z)Zlhf-r(%ooyZA_Ln#UFT11Y0Jx&f|`b|L>``1WuT%5WO@-Yz#DZ`d}V&g zF8^m_wi3BwO5d~10s$VUrqymQP0yA@iZl`>egGq-Ziv_^xK^r+MrzrSJ|bl;$AE9W zxOOw1rOMe7@PPab;n9(eJB*nM>{QNM) z0YA9FiZ$N^A6z-K;1z|}oe!Y1o&x0}AN29F>wUj#ut{)Z$=D$bbN0{G z0)4XmC)_0WfTx8zyekSxW)h$5wFhHo&wcQ!Q9 zMs3;!K@pVR9#jNGKt!Yyiii|JK|yNhy-2S~L_iRPC{=1eN>r*MASH>4fYJg4=@6-* zhmsIT-SOSs|F9qSQznzioV(3w*L9x(?2@tQwU~}s06wc7(e$~naSMQs(p-GZnJ6G) zL(UmTD*+q{(}@DK<{X`%q>TPwdkXa;!M@~B7de$qCDWKkE;JMgo!STf4s z^pTHON!0YuzG|R}4Ug!LhfMd4<{v~YFnbCnL! zqeBO|Znc%uiQx`Q^V@=VUp$CV_bX4;7o=~zVm^Ly8VNhYctAq_c%gwpW8Yt(jyV?< zIubvf(+7*+9$AGO)_L|izHrziQSCdPlHzT)LZVY-{;V?Rl+6^1GKw{RxZOT?)H4qV z&}{$Z&ssW*Z+Px|Pth88(WZ)3$^ebxEStZwV@}grg9!X{JX8LhiPPqXwk&A-MCY92 z_`S89Pt(gJ2N~aUp(lFf0DQAXM+Ln`of2Gj`cN?XNQpG7{~2#0HZg5IL0;F z2^^%oUuPGdCsjFRzF+5B-yn55o&B(WRCt+0Igj`~GIMl&g*20lHCSouh}8t&Vcnu0 zUC{ywGZI$<@2!MkXo95U7pO`@h%}};DW9;LYxH*{wX`IvHmF8Up>(Nn^mdMBGhiSC zLx?}}H&nIH#x2YF9;l3V5}w4qUT+XU$T`IFor0wOJkaETKyrHDi*Ih2o;|lh&Z=!L zeaq;JsB)V6iZ(Z_6So@rnmPj|e6E>%dZ9Pc6W?Tc{mT}K6Z;e%_+U03t$@-S|ZGGl>O5jHD9&TSS zJeTZgc<9T<9%hSPQ7)foIsTp|2e!yTal2!p%fKS`~)19>k`OE0)6 zYU@^Q*NWCI_juHwM(fStn8leVW)V9NMa}qsLI2YzGI8)6|6m5w+OH}TJyx&` zL>Mq(h~X0l0EK$|XOJtt|0&gT2&<1jX$5$by0A;pC@xJP!tmhv!x{k>%LcmJnTRha zcWB>l=BE{!mrBv9x9Ag`RmKjW@u>lXk~8ta7_U|TD)`0Y(tz`RXxw_(`mwdGxW{49 z{hik=Bjo(zaCo+u+}0T9>>}uqYM!vMZ?hp(#TzJmh{MG^F>?f5~@VME%%`=ULkibBTBv#$tk?)^sGdV7sYZ|D2n*>K@ zwE^a8DZ>fS0OmP|!$6WG^m{}P~I zzHbETR?+oehI`LSqvgky7$35-LCMipHlTu&3^+SV8{1Kfqgi6|AO%If(k9WM-r@no zcO854X7>pI7eiGFpgJ<}fWA!o7|NT22Xc-6KMJTPn}DD|Oj3N`_{*5~<(4z3j6<~( zT9r713c`KEg^uT}hVqDgjT0>2XAxo1+aMm>rT&5Ar4GHH_JmcWUo{()hiBixv^DJM zdF5WU!Kg!ylk(x4mq103P1##Us%_v#qp%!5j7zI|#v-+_d@Hk>qsuf9F`+5_30#$1 z#3*9TTT#mP@FD)L`I|vFkp%x<8d=?sKa}?Px_i};jhJR|8h59QR`qKfuFy1gk!A~+ zB|$`rfiqGCHdgYOv!=RHbgHUI^yyv8N2l~>>#R%VmNzW>4q!L@DjF_>7FiMX^JaCf z_n^PJ&LDFYK4zw6n3*ev#L9T|7CG+oYIIhA!L83`xJ0mRm;gFZGOZ)fISJ6^xexR` z`Ns)nATcR5gI$ArnuK{$)QU{y?ha82NsM=dG@K33!ch$CXwXAvgenSc_|_#_mi2yM z-$aGvGd1}$5`7xAMdLMo<>^2PtC=x3ds7WlW{pA`5cRP4dxrSK4>S*VC%h_*gz{?* z+?Uw^IDdkutpd@rv~R0qMtLix;bQo697VT|*dfCagI}!!j@;ya__)Ay2*z}^+y ze(T0)SmEp>@(DFk2qEV8}d>oe^<_seS zAXuR_s4gqA9gaa%jiL3JNWO2t_@ts`pWr(}c%miuEb&!ek1+}p(4thH6*Hq1W&9vz zu|1J_gaCK35`y@Tp8^iHCBu}Q2x<)e`i}Qi>@lOOTuC1Su5KIyP?39&Bh)tpkuXu1 zwjt}<2A~}xf<)rb+J2t_gO!+D3KJatwc7R$#KW+uM;0<2Nr+My_ua7D0aV9dH?y$1 zb#6PcCm6^T@Vyng6405M7IsF*O55W~x{umYOy@0G$}Dm34v?LLl(s zN#%3@@)ev+@Tv7p(*1ZA{2Lt_CYH(aAB?Hpd)xK`@4#8}YIZNFq3<^(P% zNTRv{HwI8PtUa~*R^`M}-u!Ps4`9DH{yBK^yzI~uMWLOtA z(Yw(8IIx7kFT4wXP!t2^S zvUz70^gq?@Y#+HTAG)v65Z9$I{I*F6g;u${;2a33i-I>}k>go|>r_c_*Hx0Z6L_l0 zbOqn>VxnB#7sCT=DnOftZT|87&v$BK0EVi3IWch(_OouJ`k_0nHhm*38dCbqAhLHFnhOY3{LD!`~b0 zkn?>=Wz5@DxrIHa4D`9GEqdK4OHK1c0Ny0$&a0gbe}`LNKJ|FDwLYTI5ieC0Iq>Xp z5Vd0C(N6W*n6ZBjdgMUDk+cVGc2oOC| zICrW!X#gE62`~s6J*&!iOHJ7y+9A|3Jx%@}>7i8fA=zSHrw#3O*!S~*H>)+q0CzUT z>cO5S6Xp|`F{BiumISC(GC^|gr&myw;QXPghRqxu(V?xFZPZ!BlG441eeBf;?1|tv zsM@TKRe@d`;2jU-_B13?j2d1uKKHEQRysMnw=?<%YF8%wqvgKS1~wynwnJn#oIF_~ zP1y*;4s-;SsE>i2kzFAr>S1!@7=KCmxxLx$^~Z}GgRC&(N2mUh42EQXg1?=6N(~&W z!gIwxEb<={TX|E79tLGJM#x5!V?HD}5*~{hf~83NQKdZWfLe8LaJ^J)m>7Ak}v~+*0x!kR0Ed)EH=;mfg$O5x77%WD0?K z2$ig$((|HID+l zZ<&Y~M#I_nR(CesxHu=Fhz+0 z<8|^4UM_fDh~Bx%4x$|G!AcDgiThQD1^dk^8-|`Ny|+|{Ihntt&oy7P=Lko?V}my$ zihvS9!d?ZP>>wl$YzND;U`ZV7#a>=rjGuvap8&x+N{NLGtMS)}wjpp5;3O#61<^zq z&1Nu>*AB=C1K4{U>xK5hnGIniUKG`Zyjsv%2pzkj9g$cQ8hz4oVJ||U4ZB~ajVXPy z1!OelBhT-%-={VwIAUP`c{3B3I;6>PUp?><;dx*yAmsR~kEGO%MK-?)Xx+09`0N}t zmUv}#WJ+#OG(=pa&Fp?DH$t7!An;HVE2V9yfhrz5>ri03M(Y3MtnDS9Ge)?c1-d!p z2pM?(k>oipP1pb*%VZgT=hL8>e_Lcp)$f|Y!nauhfD;Z=Jiwf=`o1dO^@0xKYZ_d& z`?g3hykTX-B8#L)Zr-5>{?M)*R!WAsd&2%RW%9?#J>9QM`VBZ89|46RXiZQ3u?$SQ z33vy5vI?*o+Q2nQ0KqP)1bGd0EIb2Oce;n1QLkoJw^O;(E^-$rciB7WtC@SZ&W#ay zB|43uZ~;*BCee|4uoKb#v?x8i%+I~KJDN#}k&XjqYc9R}Q*g+&VyPdMYOKIGnc_Vs zq&lPOprh9Ek8_;{Lr|wPM1CU_IY!BrEtcwV45M1Cd@#no_!AKg!GE!@I~p^w%4Gm3 zhUX89$Y6qOX9Hifq;Kwkbqb+?9R=0UA693Fr~zXityf3unM2 zH%Ll@vc(qm=Fx6#6k3udtYqRUr( z=)PMd_ z`b2kFN0E3SMWxHx!3qba@y%FFVbKsgnA!`#1>+JcQyL5%Qmg0CNd_AdBZity5`Bd( z!*SiMqd2#{6JI8c0XDHdelQ}ODzQRF`>2orHj@u28oL`nawv3UR8X$h83MTAA8<67 zEDyj*@Z8mZ*B$qhp4hSAXHZrU-7g4%@5WG7fG401DB}ip#sj~%_XCkiCqLe73Jhhg zqpV&8T%B2^kHyreLmvla;-(-4j$bI@$T51M{$TZUS`ZB-> zYc>D3TL}c7{47F>8W5ksN`g72ZkqitiWWP22K zNuQ4CTE(7Y1dA~71QNnkpD}zqyb&jU)DlDbN8#tbK~A-hLK1as7?-rCSBY^C`^wLm z;n{yk=AO;hU=h329FWw{P|bNv zEQQ&Nt_2HS?k_BoEY<3G-m&ay^W{;6#yiOH1m5(B8ztb$1y4gvTjkF5+5IdWhz%EM z<+Dhtk@nRz(U@5EXBn|JMe<%@CT@fMXu9L00)layd(+Um#NT&g#zO)y)Yx}aw~HJ0 zKyso{C}i^=I3jmLl;71Ez(xY!b|uvME2wLAnp%LV&>`*YwbR1Otcnv!{g!9F{t6B- zUHz&RNt$VqegK)wHx;un*J?c+j@xXoteQ{`&Ke?RqzfjPDfVlSbk^NOx5elS+)>hdEXw`6;A zjp{RtAT!n@0zPa7>!dO#pG=D|WT1)7g5_isK$IHOuI``Qhj$?lU8eA<{%Kg`Cx_pg z&9bkqmMbKn2>UUzrmjm-PYjU6leh!FBX%n!EvL1H5A|ovU>c?idw%~?ALM+OHA!Z6 z1oh~RG?o4|J`JGT!y;qd-@A+^oH{0Hm%oo3#OB-;S=bQ&)^!m_m>aS1WF33kMG&qp z1E^4@3k_l$mcUd0)XV;UQg#qkQ{8$mq`x6YO>4rG+OTk#>@+o5L4aM1r+8b-G~?fV zG0DHcvk-L(os4Ba_qIs=#>ZhPXV=8Ny{a3<_!qnp`zA>{_Gg=VRt;S{x~L*(^c05rAT^uirCFd*ImWn4dM%Q!?2zK_;4Niib_lsbuHCQ zl2BjwS);3)ynat&Nm24?gtS+gT1625>YaA3wDI{3#2H*9ld~xahdGLi)aKa-vZBp- zx6Xl*Hn&K}1v#TpA3UX-)lidwx$B0Z|EKdYXpwae=KCjVz`!^<*X4@>jVF?L9Esaz3z-Q29P?R|DwuZ(lX8}VQ zR*{0)?4}Cy2q^bN_zcwb{y~e66NRyT^7Yw((=4r{tR#R!PG|oM*7^&23v7#&Iv}1o z0L5u9vlhOw4xX`&kmACgsz9f?w-L{PlRkf8F5g&pNu_E}jxnc>F|qHssx9H2aOGo6 z>r(h(til27;x-~(`&QNAXOD02t+l^OiRV2h7lRu9%x%-bCsGeF{5n)f2~2}ci3Lj4 z1t||=B&ly%T;CA|$Cy<^-E{C#Cd>UBmPP4khn%3RoTAe);Mmz8fzQXz9;jJ~_01y$>G8_B0+l~cWS8F{ToXN7`I3ss6FpC;w5m>Zm9Y3H{!mM`CWYW zQOp#U&JDqG9Dt9B_OTiVDVwK2dkEU6n&Z%0Rq+&2XM6iuN1rfN`XLmtw?sZd&d>qj zi6Q9NbN~5YSb?bnqDOV{okv+`ftDT0QJJ^@5?EHQ#R_-utW$Kb&gY%OMfDPt^k2f0 zs2lwHtRhS;w$b76e$`Z?^^dOyg?n}aV<+Qgl1iRwPAM;s9d2hbggf}f%&=xpPXw4T zE~LBdO9Np7X5*F@SD&gR> zG)eetJn1dM?Dk?1=`|m)K9RT~`&DYeZh!bo%-sGo1z%pPJPzzpiJDyT>M5Tr z1jkyHgSw>>XsCKp;Y$%c z+r$cyk~zgc>aZG#ZD44`EmJ3R+UXWZ*_r)#G^}$t++b$nuj{FRwg(3t@@lh9QYUx` z=7Y*LYw{OrLpcLDmL_v;Y1jzSruJiu0Fi0v_7k_14RdWXiJb~nR`+OxF2lEJz8eW7FP+~GICFCHOp&WGvWtf_r`}lZ|!rd?NfA! z-62177{ur4=1q(KpN*J1Z$4zf+V@3pk2LQHUws#~wQuY>1>N$z-9)uu27`0eBd2DZd+SA0AY|y|)a1qAw4y8TaA~sTZ4j67?&#lUnJN{{zZWJY z0ewFav@Rkq{haH z9C&onoM^Yiruaj0c-s z*8U5T8tW4Ek2=@Wsj8rp(Jr{Y()v|bs)%x&BM5qe@K80^&;@gXbXksZhE$ZgxBhzS z3>qSI);*h^0ZY%Lim>EX?2jF$0T)S2ktEXP8Qpe+u}xb|5>RS^2D*V4Hw}}7rVVQI5%fk5SBcFJLBEH^@8B1n?wa$9B?e2F zQ5#-XusAmhhM>9Gga)2n@#NcwfzMEK4{EtE|J`gnYnEgE4!)$_WbZ}`{2WW0fHHso zx4VPqsHe9tO?_(;EkgKZKueb*qM2vEeqx>P#Tx$dBjN^$ix}r6N@FO~NMzUbAREdw zJ~oB<#6Wzao@)fu!1U=%%qlj#iDfE%6(R$zcN6>UHyOd)!^>x&n;L-(eUrE=Bbe#u zOH=9DZZrRgciSv|pKdUC{LM8A^UTuoHOZ?+4z|74b%O_mX|`K+j+b`53!-k0#ah_1MUQzPeQ~IaT;F;f7MM-@}~X-p4!ol7sViN%b&>>vdJRj;0?1TB`c$ zMG7L|ng%iP)s|10U5eF%0&V3u=b4|^v#J!UAaj=3iyl7{t*;D=?R;HqUskdRmdC$! z9ccZeQGSo6!m2+P3e5 zoPBjY8jXflq!8aTo4&L%gA5(Y67DL$c;Xr0kRRaOaz;|SMQStdlre7Tq-CezlyGGy zcS{xS_@Cqhc^#pl8+>|ox$yei8f@D5ya4Q?l>7bSPs_pH6=y0s23_aR+Y0X4#)6nWEJ!caBF|W?85cluZU4u`B${w&Ey<|X$O(W&AK{SawE&+wp3pu zEK7O9#_3hxx!+4+{&kf*HR5&*ht0#;*La7RMyoRXsLla@){uVLssg!8Sb3E8Oo z;59}-8V5omBWLm@>?m}UR*d>?`T2&@Evm(3ZkqQElLft&=#y1TX6g0IcD_Czsr-_nT6RIB^M*PofM0hF7BD!w?VPCMlE^vy@xpn^=^KQ0Csx(&|O!w zKfY#6+2d0V(@qVfM)QU&t@sQLn6I^~a8o+o33d}Nc{y8L;&Uw$K91>mztEg3s;^=1 z!U6db?AYeD?BVm9e~lh;DIZC?k;-qUDWxRkk`?@Q+wQHp{<*w*PH&Y{lq^`*gt%R@ z-A_NGOSuO{Tx$L3Brv^RS@?6w%)!Cz=K*$T+Qr`c0kZsxxe{g7(iKC)jy|~q3N_Ly z022z@U7U9$YQC48eN!W#r6@e( zC=;W1#f9S&`Rij)YIc{{AGNaV+eh+&`us8+hB0e%NGiwSS^;Ki~Ixvg1v*t?pn3-vl(fvm23Z%j>(7fg? zFSQtDg3w^_^RXX~^YIAbmbSrZQLV1r?jz=xp2S$WGHy^?l8h!61P&zMy=fC4gk2f_ zvGYg0nRJU9oJo;P7x1QgF5OLsG7|%}#Mow6!ft|nq5ZfPP5-BNuoGH*d;+-ygpiUNlkinIvF>zT&*`Q(HCr*afa{JQ}#m2ap0RLw=> zFGn)|=y8be@~Nh-d+j1_jf}q3#Q2)5x()0Jw@o(aqY`-sS!c}c&J3vQTg4AlX?ebq zg3M78?@Aelx_3ouUh+}q=!C(41o_3*s-m*Q2!T#P*lPOCq z47;1L*Ul-msdd5gntsl+1Lr_E>lCnG(1pI3Pv)r0EYlhf8CGwo6{9&9-yMjEnY{M? z51&|q+3ayawM(#%gmMHK$idx>O(m2MM9aZ6gXfD@jM9=PEIrvgyX9t&dDCN+VPv4!5+FGwa^Wz^nSBv z!%d)QO37(Xg?w(zCoFn3lW+NaV7T#(NGmoRR8;JoeD&2gq((K@D{j4Zt~~L-n7_Ps z^b6HLpTVeEQBPIOlCQwqbQbcic&H1W-MSn32xk6FRPzZMKD+Rq?G#8~l?@%OC;eUS zwbYYU<3ADAV4R<7qms@z=$2Tta{O)ek2kp>oXPFdk<}~mp28-RUd70FfxLyy@o}2n zDv5b_rqhFk@14rstq$~}-6PC>@<@2w;x_+VJtaR*9>saF`+Uw8-!JkTxM8`drRy4b z{x~qvDv61;VV!{{Kr>Iz;1n^c$UNIZ zyb(s#_t(#mNmKXFZFXmF)~CN~!VbtjZM}KPBHuaqYGvXp3zc!_1`$W9Tk-=A6EWOX zi=ln1(c8Uq6=Mm`lOAgAB$a2UPTHJPfTT3`2djDqTdqKAY7MO*k~>x@^VeYVygYG30u{Vo+174ZiLRA^fwVLf0DUqk`vM+{9(IO-7@@T(^ zYYP_IDV%=l=!T_Cv2wBu8Und9HiF zJ=Od_QT^I0T=09ZRb*w3I(~KlMOCshIg2=kdV7@x5nd)zKQlC?eNsiZ#N851{r0v4 zf~s~t-w{*Gy0&e6*-;~-?`37#TSyRn)Jx8p^B+`S4SthJCrPT?RDO| zg#Rcv)GNFc>nonw)L|YnV`_G+Pgzv#BZHE z*c=j)7O||YMn4SxL3;ipMsI=UkCOQ%b*dH~>m}5Cars&($F+3bYrlIt+RRQ?9O53` za};wt%KPF>rQ(AQE*Tdt1tVON?XLn4i_PQXFFRzNYKzhaE;g)RE;5w717@el$TcLy zI==1YI~{S+<1efvl&?I4?#Qn7_bb?mx7@=2_@LgM-@Ro*$D}R=V&yN6zQldUkzU(qL+WU5u2Yp`uVqc=l5VGIA)Y*KdB=ywk z`CX`(*aL0Oc@uac&wgE+xSF~$B6dV8^`Fr3;BqGPV*|}Q`MJEis{Pa?dXU#qvfTr1lp-aY|59YIdvniuv+yQeN6P8K^Szn8uFZ4MH_=B`#Y z^1UNwfXz`Z^1eEk!cQ#JScP3}-2xVB_#>e#Vz{d?RBTpbt9LS*-=;if`tMGbeOT!3 z?)GTQg}{qE@C$Z#(DH%4r~vr~974NEAb4eHah>62O;ZsNTmiSB8dThrX+sUxxq=MY_X zJ-+@TLC4G&ooYfpNrSWzoogV*bh7b!e|cdVX+-fFly5LU8ctf|r&twy#V z;n%T|hG|j9PUCg!8$o_St-)kl-uA$_Y2*X+g{7&XZj!2aY|OJj#l;7r+qe^za+?k1 znwe>-%HWuW#SKYuxa{|*s-DUP$pLD9p=+B^xy`Z?4$0q3xb^ z9=_lE%UW6vx+ua$vmxwk+4X}sREN#_>k(WKQ2t8Y2@Vk7(?QN39;$~-M7zilUiqI! z>gKR*vc?gi_Qi!lNCa*5|F})F#qN zGNJYkAH-1GM|smxL1%y02yZAPTb0*RD-L#Ue&)0Ca=dC$(YCQj*X-XsqQp5&&!$@* z1~dD@=;idja)7&tGO!+j-c`#Ba%o!EjWYN$_&d!gtA)G1Dkq% zV|&Ju&t)`8-5H8hBeMoF7xW=UUsF zTUPeSn{`>@$|j;B!hVZkhK8&Qh4=vdj<$({K`RvY=pS{ecI~qvt^B zUYG@iqM+FDC>gXxEQw(usOt?iTDP`7{2XDtnNoK}L1LOh&md7Cu-j8(-4k1^uM2oG z)1C;g;B{*!wLoFh6tYb#)U=hcQ5XuAY4vR4{ur%!ms(0oohZUPpmO*5GoV0)B!(`~Wyo4IM6@|J zio{?+{t3Q9wuJy=Rg#%I8Zbox+bb)V*lXnMj`3Im>H24xYbIeN;0BZWfYJ_dY?z@EmkN)fb$^j zxt&6O#YNggI@p>e8G9xA0@1@RV~i0fC^5sz*%72rpX{4`SV+f~PZ9Ga`pHcbIY1+A zDA<2Dp_XG=|K?%W!F9?$FyG$@X3g?!YfpgR37nttGua(x+C`&fwJ?7uQWht&9QAa1 zKr%3sR;jqFY392R^I_4fCwHGt)8c`pFB%cM+{&mG9GwolHI)HHu|{a-GKL;XklH%& z1vG0dU$~$A5s(d!q3_M0FN(jMeHGCl_j{dTC@6tqNiQgEt?N%{RHSQY`(WBZTluB^ zWc0tLGECI8GtrIYz3^j z4D2d{X}JqL)JQt(lCRb+<*?q}c-@bhEX5`62kB$U%k2@HpTC%9k9yXwO3DqBtFD;X zj;*(qV~9IpWN=1q*BCDgXyYv?JHAC;VF`XGV%@YBFzxEh;RDImELcYS9c*Vm*<@D; zb5qZP-jxQPh{;>qy<%-F)TPCo2mt@Een*&JrbR~kgYWN^w;Oz0|g>Q8I$p8dpQ z%(A(lt_(Ue9UK*UvvK{Pg3!^@9?r-}T9^gjW8RO3hNcDLcL9|+v-A)UCE425{OWa< zxVv{=ernZvu5y;zEwp>=RW0-aTKnF9?@A8^k!C|GKQ#eb&6#{riQmUmm*ic_J? zD-#N3vUTPe%n5C6cJU&FdqOBQ2Lmo39V%@dPBk-v_t(hH>(r}rvO_Zv$A8kI$9DQ7 zqS(PNi~k8*l%)Expn41i!^mL_c=({7c#v(VU^%1yR#x(C2m~gRh6#+u({Sho4H+&9V~OqiI!`YUePGkOjJuw|D{8X0g(G6yCV_d$p8bQFkjL|ajG#>m zxIZv5V8-!oLhtV_WepvY77Um)zz3Q-&OJBFcxWT$5ck%qPtFM{R zY!apBF*;$(spKGg&vMT*oM1i?Q*rHB%Ck!)Y|{V#>^0fk$$WJliu{WjQQ+9~-#8-{P$9D6o*_fvf3raP&R6QU6>=`dq;+;mZ;S z7P}#;wwnRE18E8_K}B*&c_I(}i)`1d+@w$R`gnJ%6`GB!cwE@Oem3;;&(htZbLYz> zA9>6)+NijHVSDz##8RGUW06O0Jt>#unL8f6&X@af+o@BZ-R5tA)9uR-UUoY+`*gmT zx7x6n{#@v~+Nbk6R3`j^U*}up%T_PDua`Bo`#kjQ6KuXYDtkrKIOst~j(gtiv+{!Y_s#}eD_eM&CEtvF zve%k?vs=NZa+GU9On6tWKUhVB=cUVq)~w%>wzLgFiKjvGl7(Z}PfP#d{AIeS>9M2t z;zk$FyS!x;^76P;lko?|V{(dK{lS-<^sc`sg9!K*U0yPg6O$6R6O{Tm{@jeOVDENQ zkh6^+lHlhS_5H!~hQ)vvqbAo*Id(7fo^5r1I^~24qu%$oh$hKM(Hgja>d5=z zXOZqNOS42?Ex8P|D)WpsMxM8$sb0UmZYRNWo5MxNxW>CztG~;v&$PEq*2RCb({U

@shx>W&P!lISt2;Hu#rPj0o6mP)(|_^tz5I%+O_8+K6t0Q1sC9H97@vuGa-lS( zLm-4|^>z@g#NGeIrZPLZ<}6T?%B`w1Ax*ST_03c59N9)=bvb`+sVAHc?A3s%AEBw8 zS|*pEipapnJ@Y40`MIW2_~FsqIv_REH#h9wMM+(2`T96RcF098sOrjCO3vE?qewqb zO#^>VQ(PE-WU}{#B*g&tWBg_roB$ERR0`vIqYKE@%%p)M ztbHi0`KeKh2Xrz~Ql3X6dA#DG-6s!i-%d4Cihn`FCj!#6AWzUOn;-QSgu z`t$B4HqUx_Q?hHYI7jh{`ik75DCwhtd$Ceo-_-i8$tNaV)ho4Q84+Vu0d>_{p2O9f zoQ(&vdgFOEin4s5dNsWIcAU(y9WHpi0G(g{v}!;#^jwol5#F}c$I(Y!q58xrLpiWA zlCw9sk}RxG+cf6gJZ>Uelq;}<)O^&)bW%lVKW+@Tr5<=ASVg#{BW;=`IC;orU3k~8 z{%5_2n|iLmI?FrR@NTfeEk3kQHK^kWzMpNw<;!)?zGAOa{+ptnk1$g!^u&ph%^jzu zpU}Ipo!PQu;mUmBw~C00g-JqY95dXqR)vIuQon{Cr>8pzWToTLcwa4#8>(AkUIq;D zhpdQ^!}A4X*N5PNQMfK7$_^w%M(F+NxT9SZf9%7u+J34Q)t^O+0tV# zYRmrH3)@Bu+=RuWiO-urJ(&--UIc4x$MR?f>M`6%n@PJkX^KJAnI6c4;*{XPEM#fy zXmr=DX?SeN)_uuWray$pI{O}G?_T9QC$$lq~4eY|pg zcS+^Jl(zn!slHY5aZs)I!A>xVJJtM~`Nye?o9jZFrFpzMu+s-zr&%dY%%BnL&t!Nh z`g0BJBH3_)>iixwIm%@K;k5&uAZtC43J}-T`ocTPbpji75~Js6jTgF^tG|3CtD8$^ zJ+!|40$~%t!Cr?1>Z3*DV_jJq4_M_j4Gc(O!PHyEaX+Zfy#j@2eeSPYM5DK!p~%j} zn))C=r}*$l`_vF62~h2EY~|k;-ld|!=A$H(Al2;N%5jr0%>+dAvk3ofrfejLXWNl| z(&}UZP*yrnhjqE9t;AJ-W&E_d+1b!%i*WIh{f+0^H<{-N;5Y(bR)jtQSGpHAczF2A ztOt1KApEbx>2~5NqT%t`DxIm%PJ2Fs%|{p%X<}S@T8S&yimKG$(F_nYVY1;2_1A%G zA@&58_&`2xz*C11FnG8G+~oTADA`E<=M=V%HFG{V3nS!5{maA&1^;Mb1CJ_AR>^AI;qAG*B^-Ymk4>NyR0$D znwFq92EgrOg)^{rclYI!YHD)d$Q=t-7ywD+tY6AuJ%0ji=kD#*fqlP8O43g3uJ9U{ zyFZTVTQ_mu;rh0!5QmG2!pu6o<%Yx_4+;Ew z1zEiO=|Uvd_jlV70dqM;S`Aot2zRE1ZZbF~I|w^UrJkg6*OSdh`5?~@t zIv4?J6FbQvX}?mJMtI$zck|c zE=8JhCyKAfx=i!J(!zt;m%BUCM4iJES^q2U0ulYUqkJOD+fY6U<*g{!K=~(>Pe%Di zlxw2=1Iqsw00030|9An6luc+8K@`Vd)9>2W^wVlnQ!9#kGZ(d#;&ykMtl8|u&7?J6 zM)V?h6N-Wd1raO?Uc6ca@gRawDR>Y{FCtosB8UhTw4f(1UOWl<{*#YQYziHCGufHn z|IN&MZxW|28YQCU`MGW$D9)ywDebvaB2WcwOFyRq%k`DcSiY4M0Vs;FwHe{z3&##% zW|$4atmkRllXhCW{SmklT~0xV1~*a7O~zB7N~7Y~&hMP@wLPiw9w$Is+e^RkYU=WC4Zq7&#_XZ*&#HM`p3mWkGs4l1r7Ytc-H_q`etP-5nlDvS9lnVl zGtr9=^UL5n*ec1x1fAu0?033LeI#NI|o>uvT-=Y0H;+WpbyJ zfz0Cx7Td7dqs$NRBpfr(kILM(7LQSik<tj={LLUuuj{ifVxw*%<4GItEDAh2g%)j$L00030 E|5*>^ivR!s literal 38571 zcmeFYc~le2+b${~G7}LM1tAIuDl(`bbFv*#5!s3oks+`h5COv=b4Wy@h=`~Nhz!xK zf{G9nWhQ~3qM*rEgdkHA5F&(-h8Rdlr*oVA`|ds8J$K!+&i(%`)}m^4byZiY-sgRv z_vvcAB}Oras9R(Hg-1K*6)rBi#W4>`;P7F?RMI3+ittv zZrhIaK4)X%!%m-F|7XbQ@b%l)`y5)2Is4c8eP_Zh!95=|E`^6#vV@oWZ?WWu>VL|L z{Qs1RN>e2o;EC>G1<~9*?BU(LnfvoBG@k$S&|K%--3>Yi?wWtum}j2(@p$3Wy_$K) zHrN-~A3gBReBbsSDGlARP%3NT`MH}iQ>W$VP+Gs>?J&QE6_g@)%NhlYY)0kv>*TOc z-$mHZxnuuaoA=elmL6zOM9FXC8t1)d4p6@?9GHh3N2x&&ea2Hj8xwhS{Z1Y%qEUv2 zdleW_sx=OPJe#U#r^8X><+k(r3ZkYrn~c5@P6MSP4+!hUW{u9;+AxV>9w`IRaD7k5 z@SHHGw?;glP)L<8XY=H;#{waaFfj&~Ps__GG}@T-G1%R$*aV>iQ3DWmNcel!k05J2 zj8#zbPvZtWnMB<1aN@!OF+m#9M08;PZ!{}xx?1wQLH5J~C_IKOi~$?sXE02o3SP@w zZ%^h{#o&x2p_1}B8e+TODir-D)sAe0vRDX_84aY53V z<6Ec6qvhp7fs>%3fJ*H>o${Qi1Z{#m_~qpag&~`@aD>7{zsN!J{Ry&DCZg^FVqDXN z^hw8jUBHCY508^h3kjg2nkvM;q((H5-~Y4*M9R)6;Vzn@=b&66Z}aoZP=G1YS$!6Jpy$&1 z8u<`2&uK*M`v}_6_z z0sI^Av-CPu$Vv4`FOl-{<&~wrt)91shrpmEwQn~{3XX1=t?8kMHf#fQw!Tkb9xxxT zdAUxKo&k>5ue^-Y#NUlHh_@Fxrd8-{LVCp(RxE=~o=1#y*b$8r`PDSP78vF2;(4z; zj~y7uG8n6>$g3Xu9e;M}ST(vew$}k>sk|YGGG%E!)wzfEeJZUXTgZoNm=>;33%z?9&U< zquSA}+6?w4o|S;=6lJmj_XIcA3_MDM#INOq85BaQ(7`X~_HbiO!AlaE9>D?} zYOQovBnTcYgQhr`x_GUMPi{K^#2gp@{Ho#z;2kS1)*+inGOFaP^ns)ovFrWNlcD#^ zwx!>d-{|oV9Pv@Eli&3rOTVb&mXOzRdF@z4K;*zI?J^La#(yxIlJxqu%ktWoi#3Fs zF@#Ll4U(crT2#^Y9K>KjjWal=PFqujy0UM!KXf3{Yp}M6HJK|Q^>>MwM8yHr5Jzs>tk&#Jn!i#ZeJ$yTHXqDr@F2~n zCu9K-P?g3vj1+K#=)ET6uTRrr_m_bANC1`_o^iFgO zTXOYMbRbd~niLLT29lIgZcE2oJ>|c1_#f312U>kPS`1P*49Z#0}G<)qbJ2Mjm``z4-0mP*~iVG45e1^Jc4K$X02GTB9U zcx#kM(S-jn>X`j=hZ2EH{>VE4ez=3Tpc~0E@j)GE^j+qe#B}r>rjdlVZ#GFQNa+1@ zhbrx&IXMi7RqRFKM#=i0LYFnq!99(^Q*AWnLS*08@fu~}&jmpOH?(XF(V0w^{#I8k zA)F!+m!ci$ytazHlHG~#TXQxRPkEmtbmITwXsy}17OPEJo*3eyJnG3EGnLw=7i0rt zz&AbWilFUuOuP3DfXR7phPQ4$$w^Z8cc!h!<2iqQVCmVc;PSawRoGv?fkIA_^1Qt7 zj{3O#g7|~#u6d1yE5s`mbtOM@cSK=J1A%pV&<^mnI{JDZaX^%!zz&MrX(OQsNUaJv ztixPgFGfGy8u*9qbwK4K_B7ggm{}&z2BrY48Ms`+OhZ;hZr)RdUrN;p_$)cPw07_8 z<|XWvT#t5ICUBaARl6wI;BVcp4CB?$JV!XaHuK#1lF_`e67B2QLeB4Qloht!u}@t0H^x^DvzV3jHY+~$l7Mi@@--e zepS`zoX?F-XAcI3R@`A`q=gqfa47K%KE=s6>6(GbU}}J#EC%y3&7hvmHUxg?1?i)9 z(8Jq9_ez|BIN-tT8$+iS5e(Mt%3un)^++g1yedJbY{37)T$x##Bd`9}9$`rWtk!P2% z&XR*&gKrqedIetsjG9Ihb-?GX@|i|mXfLO8PfeNcQj;v87w}LaPxbHdmt1eHM1J(O zvZ`>$pX6-4D}E>!EI}GNHQo8yKb;L^z*F18^xAvPL-GYsGXr7JrOD)irO0)OUKsQc zH&q3DUe=Sft=N@nJv0-T)@>!B)D!9vu#FW5zX0XeFKHEjDN7_KvaX|-3~!{XwYjQI z5AgN?MljA|FIy4UVj%o)=g2GQ{^S@S;3;uXpL(Nj{Ov7o@TTg6?edqunF>R zGuQz+rSa3*z2XdSIz?wt_W1gno4__;wH~AmcB&I~2}vC9Q^Wx)tMDCa?F=@miZI;P zU@n<&7Y_2P{j)Pu~AFK51PFc!OheSW1HePFm z+O!;zc{~ef0AA>!kU`FL1G?3+;q6nD&YCqr8=}~@smiwcPFcrkZ5vOjt@QPgskZq z5h;T>Q-NrWgq21f>+eZBK4PNzH$Lc+xSF*4o+UQ=Mt(m#9Uy8!0W1c>0`%kzqgO&c zB;+#1Haa3hv7EaYk_i@`k!BSJ^Xu>BzBoXrdIhh^YNvrK*e?Rsou4%hQCJ2Dd`Xjy z`Y)$$8!@MI_unpV7TwKmbj+XG(E>N7GY?sC7ZN`Y^lJGzoc&9eu{(^-C8%4Z=G?a*chIP~#52QN%%*}#6 zN%#_;8XHL|0y5OFr`L)G+A~zA<+{KlyUBl9=~YK%umdPUP~@yk?c_EBtw zn+|PD6phAgrQ8HUxtP5Y5zqzuj2GmCdkvStU2M6%D5C~Zg6i2SBPHh!vf)7rmIZxo0|hj|BDDj zA}XO#bXHr|UWr9wT5E$2*kY1A3=FZtqM)kN1S{vdu_^F%G zBmf4}hzIv6IEo;hZxC{cJZtsO z-GGiB%?_VRGDxFn4HnQ=;`ec$&-#pS2fUS8sJw8~Ta&r5pJWU@CMTow#?k%lBr4>AY))sd>Wwe^&`8K4YyBd!|5 zcCdd`DCr&v!d|QAcgd<3+Jpij8{jXS?n3JjE^?^y!x?1b8Fm`$2H*$Oz+N#F)f@v~ z{^hs#eQT=w)@zvSz*8VbkE$KClU~s7=^;6k_(>hBgWgO>ryJw|Z-Kw`AXQMJj#ab$ zm)Dr#Rhs`K;YbEIfiD24M_olYL&Bx=vH{qZ^cL-iBqZ@}0{>DQEs)ci>Z;Ho{K=sj zPp2W*)Z^;>E*#b)tjBvN62=BXY$Wq(viSQ&ALrovK5Ma8b3N#F`x6P0adlgD_8RV0 zj*)5ee;G{{Fv`X5P25&rO8e7OaS3~L9ppzMFU1;jH*&4an(gsPq=KuqS^voxl&DU0 zE4moJqXo?4ip-j~;4hLe>BKevNeQ$iDSAOFC|7zoJ#>F!pyVDLY*G=$=ZdE4EdQzP zDHEIiY^rv=Lg9Pg&HcWdcnn@I$1C32gJj!mE@eMx0bop<*Wv>>5I#%^sqfVGT~72g zeXKms>v|iN3Y8Dd1TcS_{BPQjP#d|D8^}>HZMJGAk`mJAvj7tSr8lfKY()wAcF&AZGg8av8z?1 zte>~W)EeG#V67xi4_XJ#suPt6VI$U`s z#CQosuPT8@xCN{O0`*GusXOSgZL}O<5D@5<8c?n2IV4;*0EcG1AUH77wb~r_N?rjJ zc;DVfN43~KTQI+W0kba?Z&HurS+=tFN-_a&n4;AxVx zd7{n=wb3^V5U!>ga=kc|y@@*{_3AWr)K0o>8f^`?ppBdZSaF#9B`1J#Gw_1smpW}3 zbex1$Bbw6v+mQd#RL)641nrPCB! zTsu<(W+Cp(^~YSJ*ia}ve7__jF}pQ2r8nWs7S-tik*Q=e93z{T2BGNaYjE04ZtdDD z(M)`hDw8x`A{ zpgJuRX>ohW{F25qv@i9+E^;ZNjs0suWCkQGo$ey5L4ll=);*A@jg~F(Xsg?ss3a+a zL1DWL3kO@pdoEdZn$IhICc9Za=_mVRFYWh zLF>VBb)q`qG%4qDT{hssT_}0*n{b#G*1d&JzKk{Cdbd$Bfv-S?85kxxp$BaRZ>SSZ z2!14*I?bHkLASjDus8-wOB<_RiE=qQD)T&{5g>wbEA$7F(`2HAEdgw^W2wo|PO!LD zE|n>PZx#zZ@Hq|tns#4jPrse6)Te*eRu z0DV(1Hu0;vVg(_9L{w!74?iv7h1U~0wv-T6Si&<;MP5;IU5pD`nI*(O72&v2Q~aVi zQCsBd=&iuO6zay|gtpkF1X@$hf(GIIJH=YUfd|Attn;Rkxo^quZtfj10MpaatM)}6 z?^arA(>DKZWvRA3WCZPMW2Fp!m~TaB56-e0{rW$tqnA@Hf8q$GL4&b$0pa!TzUc&a z{Vj(^>NvK%{Xc3`>>%5;0eQ{NA{DAtlnJK1lMH*ksDUal01%qFIpR}XgzrzTzBq>O zpXE{Ky*j0lfd7gk`T7cFUd|;d!86Pg7;sV?=JD(H5}3Ss_F(@SFy*sl%W~*iD=h{* zDZ!)}92{$Jn4T3DkI)nsnFehvVcAJ{gLc@qDUPWHiW+sa2Gxb`NyqF@43o_MN4gv1 zc$ziCZj*!|+1>;?0jXZlDwHF=qMf&NTE^SK5$*&3yHPJ?Y|gt-$7^Nl26Vi;<>@Z* z*CUvK*#{sUZmwyw9{w+q<2Bl%yId%>+b1pOefz?Jfo>}4Q)eao3c3k&ahM*GRY0g2 zxJA;fH>?SrhsE#q^FX8J<#8CMN#I; zcI6_DFf+t1?P~iH4J4PXeqTM;E%9QcWA8;_gn?scin$cx# zP5Tz50CnUN;RLbV%xGg3q-mR1@pqvATDwr>Z1+Zp5MO9?bLui+b+4~DAn$R)~ z6goqJRg@HFyV$k#%$=7;1MF8omMMN+vmb{*T$BL-3^&^hZ`yp6b<1R{T__fUu zB-@OFBfNVGtNJ{}!kgIwZ78=2teEjW#LSo_F0(b^hI8MWf?ARpJ*sYyIi1z6RUX?( zQ@J>XEA@qTw;|!APmdOyjJikM;YK9kt|HfR|L;Ts-Hy;`uFE6OPLX)_)15S}QnN+6 zy+~0%S;V2v%^00uP&x}SC&yj{N>~e(hN~T z0>EnkYep4@^}-I_G{riuGpEVa%@Q9<;$0ykxeeTfmLqxSw}G9+t%IFd(@JpQ3Wc5Y z4HfRPf*78R0zlY-JTE>Dk+kTJ`x7@vuBqEz>F!df*^0PL z(ho-yXFVv4(~lWozXr~xVW(zom9VQMT{eniz^XJ^@+K99IoPu3-(=}Z#M zh#T4#x-St{5A+B-@yRabU^dqmvJM*~uLhN?z_^+6C%pD#G5jkdt|;DM7a|3p^a%ew z-@466iO$iko8`S7Jt8OWFYHhwHn~oJnZd$<*}fQ-=(bmB%ee*ZwTkWN0BJTK!Lqm8CL$O=6w;ibtI+?1#mQCQr+nVb?nhEo|Jzjw_R0s3LkXSrRI&Mp9vx)Og_Aqh7~4}OQ_QUsww`MCzoAG3kf)!|P8Hrc@aBqDmBY{L$dg$K$2D@a z1Nen(L+Csw<}+@O*0qhM#zm!tyNed33i#F_Qi>`( zJ)w?pZT&itZ25G^pfr^`qyo4jL0%9SVQf7^{H5S ziODu|{uj3A=0Mg`_?dWX>zDRg3cu+tp(w=XYfNX|+&S{TAIZJ&Sp~#B5w-zqS=Li7 zV3jMD$;6?2uHpgBVcp~0@0$wWC?4GUtG7jT;oSS|3U@y4&W|(eA}Io_z;y_NS}5AG zQ{agrXW(zVzAHVoTB~r=P^^~K4?1>fdE&{A#G@nT8_6MCKGiP-<%tjPvKZCgkSot2 zw712!oPGqAm#xp0HX=_1GR{;9B!(j!uampn(yOIg*jq-r?=xeeLn9kAkxcNK$WssV zV2<)glt=z%?-fI>kMs;%9i&(M*OkK`JoPO$zshfTHQE^eoWM9o$g7q%E_+TaWlRy9 ze54hG-zFce7#L{M(sGBRS%ma_nEaCyFRN&e-Kt*pyA`xQZ+|MDB^^8jxi@;QV=MVc z#f}p}+WZxtzlm(~u*CA|?ezSdS&X!32U>_9qs;P!tUxZP`tAfIDwOxV-5x!JY-Zr| zL_LJxx>up`p>9%SQ|F$rMvwXjKkBXDA|6;@?E1O^k;P9)>{)FaO!ziN5vooQK0s8m zbW-Kbm&VTzZdM@vY1nr4N31*TpN6B@+n?~+%s4h+A=-DV0umqoojm@E^u@sE!Q|i8 zHPQaJ)_)NS)!xN@{_Q9;iIE<+tdbRO`@Gag`pbRa5OZ+-$1~DN_kHf8>k7w-UIFt? zk>)!JDUDx+xXRNPf7UN}d%5tw*FB)PIHf0gj&C4kS4^`{`x5a*A~D`8cR`&-s~t|2 z%y0J(;L#Rd_`g|^JM%ZG2jkv4)zBHJ5>)~3SchJ3LkhZv{vpmgPIo5$Gqg5sx?|Wv z5Hj9WOp(!IGZX%z7FCZuPT4JvoJ&y8CN8Vk^8i}?$YRuTMd_jbCFhu|6_|nV>`mX# zKpLc;-h~|%m4+MHL^kPR|-9orm!ku%dwx97t zZ>b0BLdIl4UPtQZ@leFyjL1lKJ^jJO)5hCI^)oBHdmNzr+xzW2JA6}L^taoc6Im1A zpEx(Frq_EWX$|WbOT){t5nP4oS698?OiA<~^jJq;-kZ9-_ruuZ@YP@6efgZ@LR=M{ zhg(uZw5SSyeG6kS-cd}uRzLYnE!K+eTRQSCuH{!dND`fx@8=a2Qevr-$5>fvh2$7& zpzRxPmJ{~u@dvf;{nFahr?TX^fRL<#Zvj~Yvy;_cSrtO}`{Fn^TSVB>nu~w=4jd~i zwTz(7o)pC-wxe=;ZsME;PrPzu(1fXPHMxf15(iFdV*E zL^RvZ{PivleXL#TLT0UCxofuFqfiA)N79!TZbgbuOlrB5ODBon4Ycz=pP??0)1Jir zMd*tz+0(d_5q#0358OqHORfBJ_}CWmG^vMq{kd@=<*fHEi=o)Wiy=?_B)2%9;jOQd zn{SR@Ei&zhbN{DdL0Pn!^aZ&)K>qXTXU}2^!6&t+>GN0#`gVOM(L&V05FUb?WS=cv z6(%E-mfoSzJE`aO3h!@oT~0LRXz@YgLW~nu{(9ln>HD6}*toLO4`pp97%Yba@{{QC z6%5v^rrbowaa9J!^{d0r=2uYRH$y(tVj1>Rfg{ zzMtiS75GM0Zxj`fzR*e}E$>9zkUacTE3Yof{Vy2QgG)#FPDacPAD>XYKi6A-d0THi zpXcx2`-;_AOT|uWvF7YosmsP$b+OyX6>1GJRG-VAWH)$iL(>wikfFELgEr)@>-t_R zPY-kP*+wVIZ13l+kC2AHd?Ff497h(jOX?W~ai25vcVY$JamPtg51v(ET-k&jFKeLj zP))V5s0VhRCmdgvI%P@?I{cSor0CPA`_)o!eT+0~hhiK*H1G_}Dn!Ik`|CQfX-PRE zibd6|?OD|A>M``Sdd7Ur=Pgg7OVBRtf^8M8AL1xaMJ-!JX$)E#+&1<(WfSa)3%VU1 z*^9hM+5BN{)QHP?#)_R)PrtqSEj-}YIC-GvePr0@krdaQxdGhW*ssJBB6Km-6nNvA91QC+xrP$2W+P-Yj_cgtqzRC{Bu9*~?xx zyR#}bFgO1%Vjh3+7|J*QH~t&bY@jM~#}FF>z24dRW@{wv4dnl6<#1%Yc=Ho`d1Z)K z$emM@LIh@+Vcn}7$YK^>z|?x#Wn)PtortFP*2Mo}4;io`a<2+X(SAwhhUCL1rN~yi z;XjG)BcB?V;yIl=RLSROcYT^*ppj1+8Ou`GQp5CTXts`Px748ZJ2^C?g%4)hl^D?I zj2I{uu2|BK5o5C?t3FRM#Sqb&ht>8WLRKPI8)B;Mk$K#YLS{U{Yow7l@WpLNT60}- z;MC6X?+KQ{jp*-dMpDLeirKmK3%>$B#|1+2On&mK+@u>Kp=s65lH3NW$l+Q~(%USl z7mv0#Kz>1Yd>MniD?t9D|N9x9z8A`)XBN-1syf)=lvJF%jas)Nzt`hIsAnu3I#b6k zX!VnBwe-Ff%VV(hwdJ3vjz3vUdCIX~&ngqr&tEw2@LB4}Ldy37rR)J{(-)%So{8~I zU+AY!6@F`QF2$6Yyb~wz-B@eVp1eEW*?q;{e_s3kEJbO}=o~+F&DVDkQ1}FBXjhWs z5c0TKVRN|S4+c#_(>9;h1ksG?I*1sV^A($;%rqHZ>Bzq3)eYD(YhQ`UIY@ zb*ixFDzCLU7m#|>A~svmBm3}MwntEI;X0mA%YOj}x+eLtfUt!k&Vy!3J#-`y@i2Oz z6k45xEXbMm_%TL;P)XiA;<9e4Z@M@h%C!4C6Cq_1L%rvvF1C~0`AgJkQC!e3;qZK% zl)pBMktGTqDxvVYE?{u2)A3Y>&)dvvc8Zc?CFL%*7Tj`bx~5Olx1>id_1$CM_Jw6* ze?Z;Ga;>`u(s`QxgZUEzE;FVlyOz>;eGK<`R_y87y|5*t%)gzQ%w!Z61-gICdrbRO z1^Y67N(VwEdw{T|vZTx7zi*qt6O(ro-OTZbD|ha#`LYwKVrUD$s;yj78IVLx)AMrs zK=gK^*&P1f#|(M7})_{o)23ucFD(H7)4^@9=Ta#X@dq;G3Q?( z=XxkOp17gPUg+uN`=RVf;!G0ZKEm~yUS$!FffYa(?p$+R#*?Hc&UT>o@h=Ywom? zVV(SFf0_;Q>0(?6e;yYxJ4ioN16;e!OHo>bzP9AchUjGzKR*5=*+0@r)D3pbJ0HMw zKX~Xkk2b|%#d^PGA`U8gq^fUF1cz^kXvlZu0y*}e;)gVuP&wz9_j?_Ky+1%cjQ^J4 zjAeH8gr2Z)FBi2vm(`Up)GX&`)C06+W4eQbHb}nOi5~-j@RF2uejEjhHfOyy@l>M5 zLQ!ijjF>`QJbY@|^W=dmib@fSe%<009o;G282q9RH&f?CbT}+7V>?=Lz`%DWa0~8l zycp=4^^?|!2@h8`BfO{0k>TN8!s>9s2I&7nFEm4LQx$@o{P-Z^0vR^`@{;uWT2}Jv-tA|sLGQtJ%z_y39paFcchdR{#~Gsmw;y?-YpLJUrNYyg z(%hMYhd^a3Avgvb-JTH7V(c>V`4LOV^}W<;rU!*cw~irf#=m7EL-LAghFF0ps!y3$ z(29|PO1|%<@Q-yV<>8x%KE~up{FUdUk~^YLs1tQdeL|uuPv?$2m>Y+UXQKM9G#T|& z9?00~oiH|`*$vrv;MsZQ34o^$a;eYQE19Eldv^dzff2IHNG>(Wz2BzU77Yt;Tq5qp z2Sl`F)*I9>yph!zRY@_#s%LY4dUFp&{1JVxtv_l0 z#fqKO{?N01Dh#v%RuGGt^5rpGF*MAoaiWhudEW~%IZH@PH!5xe5@AzFDVo1)t5iroj`I%*ETNfw_m4eEy$(B5^sTd7 z`6Jg$JG7`hFzI(v>GwG>A=iqTCo$i6t<5B!ipu$(G^EtakQR*Ud;OaKiHwG_BrX(J zdOy5lNPe@4ve5+iYVk_j#V9Hv$6F9J=M|g?%-1Ekb_cgP^ zjf+;Y-Z-+S*(T~%g}8ZKUGSq8O?S zd$yw@)LH;l-l_1eXg~P9@T&avhUqgHa=c=0>&vvRj%jw)DSJ#!`ct>%4&Wt+IGs}o; zqDnHx_V$S`0nJ;YdD_q3kAx^4`LxsmUN{9gWU*74kc$kX$5&v)lQ_o<4c zxQ|#l-t3PP7({ol%e+O*y77kO^SZA0-tngUQ@0k=W$%Lg$6*T$oQN zlF5^M`Yah(-R_z>YV~%8tx9)_!BB`*F>P6yXlC=t%{+sQ=alAUwVl%TA4qW?ztGc3 zac&OFMr`>EJ{M)JV7dBc+$Q`jqFtVF|4#mt6a2#Xh*@bteW}?6&8(lYJp+K_Q)zOB zmvENv{M16^y}o)imNqJHw90uJ4)amizjtI>jLPU)2hP2we&NN^g$MmSnv$2_t;yVe z0p^jaQI1Wsl)uP7n>D(Gvzs-{HXlXSzL&=A>Gkt)#tzo*gH@xHEh9PC@;*=O`a@c0 zks}O%+-IKN;?Ue+qRiNJ>~HIc43SJJjySt!N}b1VS^IaUFF2nr5~ut#=~;T&cp7X1 zdMH6^vaix`Ag-m#YaxVTdn91q(y##hiEk--5-xInf}C8e5l)PjVvC7t(PA{BO*bA| zk1r8A(y4LKO1RP;EG@<&usm5qwL1fo(kn$7$3GOEK$QqX+keMw`}*?|n#!0@fX`lj za9#0_G)N0>jXT1}V~YCss6L=P9WpZhiTA|J!TJ=LA}?=7OHcP@7xp#kh{bM`?`%9O~BwwY@0$loY>QQ zj;mo^k?wKGn3w-gjx;Z9MEL2?^>l{LZ*UP}8j`DJw;A8V4`L9>xPbW$h*8QV>M+ck zuMcy#2*810myURjZ349X{`8((t+sry%5Es**NzWo88o#r!a?%3{8;Gx{e`Dp&wo8- z;52+_zt^og*(O~mEm+v-uHrw1Pi1<_@0O;WxCHHnZ}XFAm0&f>2oqbuP6m2e86gY> z;d!{r&#^CVVte3jcNy%flGqZOa#`$4Q-T8ztKRKgyGF5x7rw4sc3V@@Q$o}@Jiozn zhUcW%2+ygLOXh+m@SL``6PeP~5W|Xl?42A@=Zfct9&7aerfP^{zCJxyJ?Ua$kKE1}Hy?c^GCP)5NLiRuh% z#5)e+N{nW*xVgnHY;kGl*LSp57Ae2jWw~UTLSnDj1@2zM{|3%U8au47kO%V0R~(+N ze;Paxn6#RX+7PMw@{XvYz4NZ`vYlV0$>^lDVdPdrSK7v@GvAI}YncSUR_7d)t?7Ly ztqd7EV1-C|FEtDCJm{|+J`QfEo_$iHY{Y~2!t;Rt(x#8_!I3SueKmIWN*&u)=hiNX z&lHunU84D`&iyUox30dk6A@nsI|F(v zI}6_URr8D4dhuJr-UfR+DF}TYPg+#M$3Tyeo&&B%bN599ttj(ImzQrv9&Ja=1Oa`I z8MI|{N@3l>ktM`!0-8%$T!>jQWnO!nYs|4^yIjMpTY>X;Ert!Z&Au9I#b~S*)dLZc?e$zWx%SRJMYDeOB>6XqGL!a zwL5iJCr-N6f^2l4NvpSQELPL%);pR}Z{c=7l%egL54P$?KPPvMsv6g1SKBais96~x7FqgK_1}2p=89xLhaS@5<#%Mm@>P?OPKIGieOb=Mk(wO zf9gKtdHUZ7P$8r73D5muq_d^p2ePL+yr#kpFo@jc-wSjybl?-=%GgxDls;pIZ8mxx zam{CzrzKdVWDK~|5U|ZW3ZqUl`_1AHi-hlyB=k}RYD?bvm~S#_!g50ru_!zPw?X@z z@TOu(*f{YfTa{=xwffu50yDcMG@nyRE*y3y+Pu;Y!C|Mfw|x;_XRjije?UYNyg^+_ zUDIqu>hF-=OB5?w=hGh+|BY4~NBD4E+YfzEYuj{a#H3bwzk(ch4?B8`H{-wIK85Er zYuj$=oJT~QbUc?jE`8QjUT1F6qWD{OwyWHrs{U5`{b>Ie_hs!T_K#uH4nv1g;}@QP zvb7e#QQ>a*j9==r9sXDrCGAPzp&@%j*fDX$o{sU|`J(|XKax+K3S16$kIhIoMa(qi zttS~F^`Woe^6hf7>s+ZHKG(p(98R!h34tCjGE(2px_d(K zL5h;p%E?LYq5Gu@g=P_Nk{fsb!_dCq6UA)xvgmmim_=JU*-U!aTs{#4rL7D$fWoWy zF<2?63@%n3Cf~_Sp2s(f)_f=0y2GQ>W!19`#TvL@VqXV?qTF5Ixgf1Fef4o!(Z>EFrFeAD>_SH#{{T!-SkG zsS{wcU3L@Ysxc1~2Ezks$U3FvZT8btllYe+G%0LQ?lcw7W5JbFm8ms6=9REP_Z4%n zC%7CoIJ7MOjR=_z-=iLpE5coauju=>O4~yW6Xvf)MiZhZedams!5+>;9r5!NV6GZh zqR@obzCA=*%w9=UfxPVQ`p9GVfTypcZ&Ea@oxLmo2SSrQmP}stA<_H`(O9tp+$o8i zAZ;b*0$93`gucRfpZK1GIMPMjS|#398HhsOVLE*)Yc+|J{*t}<(swbij~UTE3m-i* zAsS9CuMNSwrIkr|bV3}oQ9|K(XPixdJSAQL^O_)@uo={l2wPt}6E{*zPxM@wP(Cvd zdH6WRNKcH&U?{6@sXZU@EL`m&HE@y_q9hwi_RY@iKJLc&P}as01mul=MhH57`T z;%0K++Hcp-4Rzs_!rniJ>9YIhCqWi>Yga4Ocr4TQ{gU@U4$OcDe#U{eK!OuiAi#s8 zGa@IV0el2IPQrFp*n!t1`v8$M5dob6#S*p)+K8Y6(j^|w3iCcAi4joer10rWda{ac z%K>vkaCd9OsU1@ya6R0PKubmmEUSdhysuo5_iyb zh2kWSFI(nwaNwFEPb3a}nSyDdAdq*qHZJiC%DoQ73ZAVQnWP1cBUz50>-OBA$xWEF} zUl;qfV4(eHo`rvkhdC$n1M981ZNya(lTNut)A9WvT>xcfpjimniMAekF7XesbS1h% z0U%hNQ$kjwZGq0g1Q)4Ol!0Ad`%Y>U(rEBw5kVd8mu!!z*M5{@>05GZm3%)*FPLc^ zu+sMD;NRXk^rSKamTnneuRd9o_9Ygs+;~!%UNQ+rObJcD-O5P)3A#@SH%qXsM`Ecc zbl^>__FS4sEDciy-@-O1c(fH-G4g)K;nBu&Z}oc}imhL4GiWPEpV$-CrkwDp=ovg~ zcq`hP$U!4n*H+g3fHe#Q>i+GyEv`Zlb{>{X?Jue`yZEYLXs2m$d4PV#V6Sqp#iJjGB_7pWStcZURfCUiLQr8cpw|2p#=Hon1|&%*jQ;bv8Bm4ztPucs|SiH?09(4byFxf8PLq`%BNpUpiki0m^#AaCyc;9Uc0G7>Js8$Q-o;hl0FTFZ@M}p z0Q2m!yT<8_u2|OU?5-DW3oidwP$V(jU+a7QOP7~*qMWCv|EZ7Ag=@I8L6>fP+m%+P@ewd}Bh4e?T@;oV@uU4AKRMo_u*{yXVJNvd%rldef%! zO!D%brD%!sd1n8^nW>Qo%*%USe7!7JFf7<+p=AC4tEKT8(|19*7H zlPSFP*Z1bOOLY>UwnM?++&3$ZiS?sy_zmWdpBl`UbyiMqJ`_^~za4{L6z%n?ijMT| z)(OF8BfDPpG%36HwZ5$~=^cgsaQ~g=J~sKtiC_Z;Rl}wtD-_P8wC#7rvbEfmX^5!{ zyb9|HS2y=yZ&%u?t&RjV%r05NC%;S@jSkCp!C#6l>2|+F#Cv^+`-5s$8UBDG`__Cw z?N&( zM~f-K9OJCt+Aj-5T|U<+0EN^t0#=0V1IX#HfV8I11rCwYpc3kB&Gb6j|(ps zzS(1G&QWfVXBd^rdzrwu{lFZX%n0^9i1%XGTvWHe*c;JzKctk z>ZHi?cId>v?kd;3y(ibnp!TygIsc(NLfwjwclTUh@y@(2hNn2(^eF7y5W-3Tr()zM z?<%YFV4`%up05O1P2fv%E?CvI`nnR8sQrrc>RfnnvsQQwekPKg*&n=Kq>nH##(ni+ zm7+@M4HM36|BLnZ=oEEF*)@1|v20h(MN2>2tXTIzGb7ajl?ystyzpU}W@GX*82MrA zB62G-sCL;!r&=|gXljQ?%eGZp!|J$(*vvWv&i|R__7@FR;gr`Q*w8UhtBVIFgcv%y zYseTOEClUD+)n-A6&al2O4|Te za#{&6Tv@F8Fq0`e9zUhi(D6i?{3kw;Z-22-BmS8vt`eS<)06=Z_kmUa+;~*ZMrc0}C47Bt9|H0I|$20wg|KmkbDDQGU=MbSNay~4n=zvO*QzNJ36ms4n zIVI|E z)Fm<>E=2d98$Si8`3PBfa$a0}jqV6=r8$qH<+mP#6d0nJ9s#)LGx$Z1K;FlH9;QX= zj{Nkux`O{~LgUthD*y~RQQ$!^Z%A?;*MbZQlH3CP^9?(Z>b(e5EW^G_@Sdv(7&E1T z^uTz(hnRp5FU^bSj0r!D25Ov;jHBPkdws+P@Y z=o`gSy8D(=)dm-h`@e>N_vB?Yj)6?3Xort1hguGzl_f9O-RNH>Qjx3IV2dA%BAxJf zAkLlXti}=RWVEIeq$cMpH0wlOH`%Lm-MU@%TW**w$$rAL+QRCgMFFs-v?N1j+HR?L zfTP*5)Sdi$I2Yr?=yz1`%RdG`L3m`a@j1H0)c&Sr071u^W2~B_Zufazc0mw0%Kq1B zZ=HnR*{=a?c;)Hs^OUxP?CO!KEU@`M9 zhadI&Zue*zlDxCSw|0nmYZ%Etrul)>nKz#;OcEPCU8ORNC4935$h9%_ea9WI(U307 zVAQ;~uZexgKle>!g{wJ3DC9kr9Oq;)BU{Cvk2|6Lz7FA1Y5?{{pY_snCIbbypjV5t zr#@VcBt9D0IUmeAT2*R3d)#13j3ZLcMi&s7OBbQLAqqb4sn~XDd0*8#gcBpnm!p~fXWq(y zGq!5`!PvJ$UaUJ1r`tTA>Gc$ucHC>W#Gh=8LDk7?DnRh!RG2S^X@YtW2yq!7#@j!%lJ}(Uu}I?r;ZM@g+E884^(0E;R-&-yr?cB`e^+-IH7W$yagt|^Ip2P$mBTv z8N0x|j7x$Hsb_bTK#zHEG98>7Gi;=GYpC%Zn`eDb08XpzsM1-NLZ zbx8fGHlicv?knoV4X(G^5+Tjh;FJ*A3PqA0fhZ|;W#-OQ)-Zy%C8KVp$}=CXLXsZ! zXt&n|yBa|ENRm^c1n;Czp)I($`WLK2GpX|%m7EeQkhb`5L6IsXdxFf-v1TX!-4beJ z=YIOG@XtmE=;MikIx5A;|0)Z*g)^suyU0fuxT)M=9mbh z4C4H#`q-=`#?#zfVs+glPh>OAhV{YY#E-VD%ZbG1_|6F92sB_!xH+1ql%m*HOx;P! z_%>+YvGe3Lb?1Cq$u;Flk%D|s?*4ewj_#B*K}SL0?P+kQz5y65a%0l&x=ZvwlOcW* zJqIo1@c$toBobB{G? zfmm1mM>+d0#6I~y%2|X03jjWmr^Flmy3oJr5$ad*ldZHpz+)oxbnd$pTbn?vyznBs+vI;z&#eKs^-2 zPNR|mh_`cXDtTDuCBR>46(EAz5_&3<0kh6O9Ot0aDIJX3JfY?1%jdlT`7QBmZeN9T ziJ;z&ZK^+Bo<&u~d(Z9jkd6~XI)Nu*fRDLMW~3=tlB7(?0iNv0O=%5-0rW_GH1(9A z0|@7x(}0s1ega@k#Kp>h{RH4hJ=$pna4v{98uJ6z+1~(bZ5$!7R0beS_s^5U3B;L? z0mO$@gMgl#*i&KE_4oy<=Z<*e;}b32ba73uIel@!WQGK&BTkUy{<8u8eM5!+<6Edafx2_&F@CbwS)p`4w+Lvtp$B{I(%Z2L8j&JnL5*LO zi67A(LLX&c-Ss`fIGtavC8rR7dY-@QZ%BY2;8h^@y^h@YsWjBrsRQJm41) zSwOxN3V{VMZ_z$EqY7J=)Vsm4WV#V8qO{`Ld)x^yxeoe%6_*SaXJ2D_-XwqnIXo+l zor0Q8G-V5CKmGq=n$(21A(svT>0y3B>kG$xf_7@Nw#(MW{@4OWeZ5!71E4(E1OZ8m zJigl7=U+DH89sH_dV32IpWQLBFVJUp>(~9;8?&tES@-C~pj=Q!YV)6!Xk$O=jojNF(uu4wB`aNHT`p%7AL%g8f^TnV!Q_fEIXFSv&lOwz^M;ZsWb=%)hTfXtGc+vM)P|veW$M&m`4Xg*-*rpnT zo!!{7`0qe~4C=v+d$#0@afxza^pz7X%7A3$_6;37{sKUIc+@2DH*D&3-^2=2rG( zSuMernJGZ!j}zhVskS2@02X4|QPkU`SF|d965!vdI!oS;dR%NMK?abx?z~LR0z~}w zQ%5=|ah+;G zu<&=R&%O7m2!QbD+E1^$PzCrf1+p{hc&a=Lko$M-CDbBp^}yuA&qfc5UfKBn-*s1d z4o=)5CR8D9jDI&uTFR={AXC2sGA*&N=zL6lz|IE@_AlQj4bmwBk*d{()s+E!*AX5c zBGW*G#C@l!ktU(f= zLlrPDPXx2m-W7?W7u{UE9$CPUP}$G z4HfjN2XF#1RH0!3^D-E4Gc%bb1)LHKkl*qCJ#Y!Y@vySRyMT?_31!`fwDB4XO%BmT z7Ku)jh$`4HTnxZ|jm}2-fWflzETLl`fKF}x6x_?5K$!6(S!P_-<6#`vn899#Gc=#A zKoT7l?}*T4>%S{hz7zAO)h($1&kLY@xd-b8Ie7FBT zi~;)69rFGhx5Oq;Rb?pDFaGy3)4s~RFqZ zo4`z>hVQk>!}xtlsJvC^2s5VI)QMr-PuBVzN@BN(y3<@dys=qDEI?weh~W2Pjs8H4 zBn*zCl>iJ$w9BYWZv$cxk)D;`Z|nj{6IIx()=fSxfrlJCes-3LsuCW?Jh}KK^ifM! zVE_wyv8^jYhjfb&`f5mNL+u?%{RPN6wl0!lggy9aIJ$X<8qB_%RTt&Ox@c2)W2Vt@ zZzo)f{WhbA=e-~(Hu5N5+(A@Cb2Eq0>1{2no%hR=u+P^siQy`@vez8=>Y3N0R9(?% zE|Jp!Cd5J>d>%|6!s^;1ep`MbMIic`dPcrCmUU@^JtcZnJ74&RDw80#>_lf|#x93X z4YjOOJUv9OJc>%_P<*>9NwOdijjDLfTTa{H=;+QDmByTTOH5lAeOt5kb$#&4!x^6e z&=CE^b1kP~mdaCx`~Own-)uL|6Y_-sCvYi>a+QaEjuG*_jC&_mz})*p9)8{BLius8 z)Q)ck>?2dECH1eMYs_db35%PN95zFA^!-C^_%JD-O6tx*y$8*z0)nmLXjqRg3;ARo%S&;8@7lQHqurn``&g_AM%}D*tHDXF9m+ujSNr zT25NRN-^p#IR^TYEywV4gf4cK6vUz51HeJ}xA}}&Rff4{rT2(wNdXVzCmr44C+90& z-bB?h7Pqt)nzAQ&7W3%)-KMw*IIb2Uwg3=2#~=0E%3kapD5cp& z>ZU^!nSOHWztLNt=;1Dn=?yAF2n)~$T<9dhLTFlqbyn$3Zoc3i0u)hM_YSSz`l#>e zy{87*n4Rvy44dr0)xaH!!mE6DFGjF9<19;)@wtDS{<^6%K5eu4a*$!E_*+c;kIT8m zPwc0t^zmHUX5EI3%h8oj#6=`2x}rrIyl%cq@Xd7NN-Xg!yx27vS*wqk)L6SIr7CI1EQn!wF&+eyNrC*GuN%aEqRcA&L{KYs?1 zxVz$i#Xf{EIH6$cLPdX&t^-^56FhUQ?tR!TJ2`7KzGAIN!bVjg0Q>9@#jcag?;?F zO8l2U-Z`mDw+ylkFHi3`FnJnZ4 zf1zffM;~}148ZiV%?F-JzzP_D7#iyg*f%}bpZQBTE9tX6Kg`UUR9O|k&q+LtWSp?+ zr0+)_|3-}d=Z-^vEpnyumS<8$76gU{I^e26*L$$2jaTXU-v}18nZ^rV8_j2opDlf< z+ig7xMuaX;-grmS{S$g|C%hxXMujv$9Rz~**s}kqcpVm)_i2C?67#D}7wl`2krL&PP$^baE#Am6HvL85{n3v%%|RQR z-ktHh2;8Kz%{22`0k#j8e0`l7`^k%irR_tCuD%D0g5AMM@Df#YVF!`UzFAdKX&Au; z#1fUccVhucd&V^D0JiS1t7TPWYn9ErGOZuuvFPj6d4SQ@WJz;l`IaB3ZY1ogsW1e@o zBbh=tdVGY}}e+rY)X@F<7?j|NM!4W|Z&)nnLVEQzYb z0=6(Kfp)@0T@P){Dy3xrs@%E-TyCey2N4`+yAEh?f=~hgWv*CnOm|8a1Sl$ z&|Pe-@K0^D_lkq*Fz znRAk%B|JGve@0MYp9K_aTLW7>(VAiefLpv`fh~L(+6GkqcdxEg*$EI<-XAB-J<~EYZ z#%ak9!d5Rln4q4Cp6Lmai6N0;?Liq~lZOilTR|E$?w17R-IshY--YSjaz2;>B@^Cn z=_^^nEsXE`hW?Tyk{h81pXB=5dCRVWfW$C-4_*zT5x_Re^eUtZ#M!jSculK zfT7HkQXbNZqvF5mb8gd*#DavbZd3J0GR43@q_(>lBN+f)%Pm+KC?K;_hQ|;Y6u}9) zx`;U>Jux7-ka{XV;P%3~nO!&wy;2)Hf0p z{yYsccE-SIQHg{9;mXCnlQy(q*=y$}tYrl=eJLc9qtyp)Qd$txmoq zk$FJEVP8~AO?J&GW3Z3ecf+d9WHfqo>sV}OpJSUgQwxS||7kZo+|`Nat1OGW zx@+Z*U~0;!#ra6YDzSgY|CVhr0obKKS9WtyHr&vZiMtY#(TO`I_AP6W;>jC}2K>H zX%-J?F8^u3{i1!OVnK()Rx$pv(KEY=L=`F_aH07oytz^X9x|{HUMTnC1s4Wq>N%UN z83qfvM(_3buiF^p{ffSB_zT42AP1M$xY}#l@cs?luCI>}k!Dm0WciYAs+{AUR^dU* z$1Ddq^|2}_wwzYRWgeZdI!BQ|U-BB@`5X@Vo?m=m_be;XAL6hf0NYn~U zb+eS?FeAs-oq*;!f#$(cJ|;qJ`?Pe-581pDAe#!%dnSL)Q9;(BWBmk-EaS=|hP$w+ zm1`QpgXX8)eJBz|l8?omxVlZ}a-*s+KecCIVu8``fg4hmsTY9JN2dTBvCc<>PK8~? z^)JL9#x$^VI;fExnd+8GQ{BdJ%;D8C%t2Ys24@u^4Xj$gOxol0fJy(gbKsZU&Hb} z-DL4>rF5|dG#^|35dxr}j45xR1 z4;jwF3OlF=Ap;^s0zOX=Fkz6$BlU^I-opT=Gx3Zwyu(o<8H2FLMapwB3@CAYh?_Jjby8Fs!Q#zP}g_ z;(en6H$?*}Fa{4q@dX>F+=masQ&OklfDJ+ho; z7bmbq(u~ST;N+ep_Srt0yEKLc^S0@3T_-jC7#@DOCQFc|BEYUmGx|y~=rnePozxK2 zhQU&9)62zJbTroG+rAJD{BRompGL}_VVcor68WeYo-JAf<3T4Tp!wZW&oRLkOqr{b z;KfkxLMDcP+LQ-=99Xuvcpr;cu+-Jz7Q~g^mz^LEL&ERKG!tJ&ix7ph&OVH>=-Ill zphuqXUud=roIf}pjXoUK09B2K^M(z?OLRSf%PzQ}^d%KeJlH}U7o$5$)dZ-pqJa_R zH*F~D^yg<>d}zm6(;~!@`AO5akd+j0kT8?W-=6zA4+Z%N2Pwkz8G_(y>`P$jH%jgjWXdvAE8_XOYt(v z9|X`~KpNRblRVb0%}a!B)6cm%s2C#PCJ%FYYb1nVDoJS=E7@85MUm(<$nHy0L@a^r zA4gp0PxCFK9K2LQkc-B!%7(b&GYC+}er-Xg|6xNqlb?b;#~cFo^4Tcd@lz4#h#6-(ILrHc`^+QDBaOwbjkWQZswQWe6# zK>xXPZ2@CTlZhe_s?0di$WF`=Lr}bt7%fPskjGH4^)gZ#${R z$E=I>`SSs2bD9_WJm-6+T!#Lcksdv(+`dnSleElzmFKrex$nBW9vE7+U%Xl&{rYD3 zt2gm%?lfYTT$)rdCC9X9XHl!q@;*(^C5G5#xkkRn!@jgXxZ`r_h{n~$X&Jv|^ZlOh z-KrX2Z->WJU)T{_5K&XjSYX~=$qBdo$+4Ex5DB0)e$(^z#vEZWc1F_L!*>Ht#wAtf z^G%x!uyC^YCYj^YcEDLC^24}*WBM=;TPsF#uPCjcn8Nw9sWq9lXHAP-6#V=Rbe&01 zW|je1C?(EECYG%SARqzRmMe?uF-iDNU-ei*@TuO|?L?9QiH1>_zOm(JYXVH*q;H`p% z7|y_NE2mTYj#!<1s-EbXG}nOX1|2;aUMQYmGG+M#WVC&FLIvmFrmY0)sl=B;3(@c7 zXU`d^=dv81a-`|za0q`}FKnOx0DwTNvCZ5x-%lh8+e8DwA45`%MIY1n^3fLnI#Q=s zHLHsSA2U7iIa(Tn=&9^SB}Pb4uDnRs?&kq@B*@D(v~Tk+%qlL)HBi|t?;P`9+9g8V z6>a;))Tkut(ycyi8=9-Et0iSFB&V}j+*k6pk=oyWUsG4pCHOHDjd<1(C5dwk?+;x+ zi^?dT`88~ZzPlCq$shoChV;E7Fif={FQQFLMF*SHDoQDidv_}WndFCIcAR~UDc~ic z-0@G2*s1LOJEAXE7xOMQecm2qXgm?VioQEV|3T=_#Jzshlu+>SIp4$J+f!kWel(Z$ zWAbDjoTkxyQJV2E?&)G4xR@JBpm9PF<~g2==r*pTEFliEjB6{@L1%@%;Nm`-ApfWh zp)8!KtSij#^_(G4qSGb%3LnzsW%2KlqkQyYWe$r`gXH@PZE2jc zuBZdv8h1g~gT8nEt=bXy7Vjl%KgK_kRwjEwh5i#vyB7|thz(MEs(gxLo;1^=4 z^u1%(9s;2|{W*c5`Pz;~QsB{izn-F)*A`_{w2iHQQDcpp+gyE)A-r9x-mz~NjrprG z_&;3wHX$;SfKX=KzS6^FpW?#Zr`euyWm%kye}?;iLF(l!&f;r#C14-M*y%>j)7nZ%IDUp9_)e6MS1gEdBs7RG}UYxSiB)xeZLL z?{Ln1)j{TVi;P>odWNjSO}de|#&C|FY~=k#t5-?X70o^y8(Oq19+E3jqx4S%>Vl1< zd;pM6Ft zy2kMuEg=>b7;cdmQWT%`#8X&B1?A-p39`Z8rbDNF_rxVS*#V-C!#QV7Mvu6|b;W~F zOzwimR?`R>phi?$vMr7O3?33LRTBkBcJ6*duwYF94_c0^0HZ{g(3em#WDF!Xf5GR3 zT~`cNCTll*8pZ%GLJ5-G*RrP@@x~>Hy~_nN(G{8M(fa)#xR#y0vxtpNg4%P}I0(Vy-15N!vJxefP+95W#IaNCbFl=*?%jv{H<%9R zn38D$k9`hJTkx=ty5)^12N-%{=KyGsjR$fUmd?kiYW{oJ*3P_#bcW_3agVcPrSRzN6t>q9eX3x>EVWc4=PjJCZWPuy- zPOG((@4jR^05+2JR$b(|c7**)0=3`J04cbTbH1G#?o(J`%0m$mT%a?xF~ciIX-+&8 ztk6QEYWv^;$M^`)SloL_YuR1_josoKTQXPTQZEyy&Ceg5YjO;j#&2m(H7`H+EXb=I z47lJvr+?6x9WvByUKf7pwdH`}9nZ8&#tEjq??cZ&a$0wtNe}rh*u0yeG&plQY3l9w z26^9_?>@iXO=mOkt@mgDYui>?jx@bSrSzqS*sZv$ShX3h_VzH%b9-Bj zmU~AGSKa4q4BJC8(tp&`Mw5CaL_5lESb;SV;o;Y{-h65Ok(sBOy9PNs9Fcya+QRZ_ z9{9&f*?U8e@+DVZ$=ed^OxxZam;x6^z9d}7LLS(_DsBNPRQxr zII%ko5yjQ@X9YEcN%)}~ECb0@jb2EWP1qSM@FI&hJUOJ#h z6*Eh}_WQJR9gZLAZFN5XU@QHTcSd-1>b7ji_DugLm%RvOUR{_)VJrnVu#PnD9 znNs(PLB=WpzO5A>Su^4rr-*OZPdOy>XcG5|(KrL2duJic+8dER_&0244*jPFQkS+) zeetpSDti6%piuA+N$Tzev$;jN`K93Tp5Bhxac92J=C8?mYvK~G_^s-nLSh@wnY~Dp z2%(4C`rpV{4^7cY`vUZgKKz5+S(6#C@U8x=4T4 zbN_}cb`~#N{1l(h)taL`Q({E+ZrZvR4iRKeU<>UFjnuxwl8rkDUOjZ$EX(YZUM(Oi zJm%Hlz77Dd_j3s)PA=1g*4ddjbHuV*A z#d%E%?)8bQ{Y{NWE0z3eUPnqY&XJh*Vza3xkxMc^SaRzOSEWrS60};~d)@yKNHW;C zYeuRjp{ZXk1*FdWZylSf+sCO#I?nEfz2rU``C(2b=9Ja^Ii)xjIi|2zUDi0;>3l^)~}|6 z|56h%xs95PfLauQ*&n4~r0%!u$L~MU7TXMowc>4kIGqC{>;j#9@--e^uaBx_kqbwI4CzfLG@KP?7U^?9jLDckfk(N*>>#?~$ol(~|T zTq33Nd9tBkZ7Jk_;z505T+!Y6vo%wS2zF0;bt8mF5-e-(wxvHPzE=W&qDjB2=BJeZ zW8;y$gpUsNGEDcP&5_(G!R5W(Xw;*e8=t)+9>Bg7JI&mJB^&Jz&)kW)c3D2J=!4ny zjhNcP2B%YRQI7f5PNTC5HPs%MOKYW1hhR0e;dMqIvzFh;Rcp*Y`jejP>XqHcjGOu+ z>!Q4ybEBbAQBQQ%2U3x(+du7@-4RpUa>BDsZk65*T8%w#ap}8T-fW zjaz&l6#NVPCB#4l&TdAgps_d1dU7Faf@BHQN1P=%PL)Wzr`aYe|Sp4*uKgoKV zI=jZ~ErlGv{pA|eym#sGU~uYapT8VG1U5ctYIxE|B9${WhFF&+2Jrdkt~7NfQhz<- z+4A+_nM>-7@%GzB(l7G2f{%d^Pf|ZmUSih?HSRSSEfE}jdpmqT*}#qNdw!q|d}9TW zg_8dwO1I3Z)TW-T&VYq6bWh4<WPq*H3&yDKNvbUHG`$ETRO0C;!chbmuk5!f(qespzPi=I| z+GFx<*@s8ifCGn-F!j)*9aarucDpKH@JlgUuRrZ3nVJPnetGmxU1sXM`F1R(bt+!W znlN5D+|AItL-CDSUQZ*Ry$tiwQ|X_(bK`npykIiV>{;8un+Ao6V!;rr(L(Q!f6JHb z;{5Ob@;L2@5C8L6TK9cMpvGHrrh-_1TYu6q^OopBcc_oPl_vn^KmZPZ-IjL8-bh+D3k>EuoO6EaWosy(JYS-~9 z;cb2Be13>l%HI|}d86}LEAl@@wbTdm&{yGayWW!Z%bM@CvfZg`dsrr@tmY{NB^ntiS6LXelGEmAXbQlZ3*S5lVbQ9G_P^bvC=w?B4 z$3XNFnEm`&&206+CwfEWzqZ=Q_pki$Rz;lKT7Oqh8v=!Mhz%<5c=A_Jd~eAOqQ%+? zp14_=rq}Yt+^E#TahgqpW02GD+JaVaTI-3f5UB!BH{)={LPO3>9mO~EXP!yi?mXkG zSl_LPtMZwxgrvUNZ`av2=#eo}@c-|J(UNGr4pAXgAloruH1h4ygwX2yR>QX^SCUUf zb{ACF^?oknuTGhyORIa|oTSg*9N)NJ)7UR$*L!hl{?X`$=-~LzZ|R#C^zfl-Q#ka?=phiUwg=H$Zi-p-8N39>=+F> zXD8Up-)1D@0zLeGMe5fqYbNi@5#Q;K&5woJ{n5?vbaP)Kz56m&{Zp`_mrhc&n$cHV zPx)i2Z|Yd9+idf9M>em*P+;5cJnlI|?RzCY*lHUkQLFcLizWMYD@Fb5*6`)8pMsf{ z&L8phH}2z|Z0_TymA`&!D>W(!mU7B_soQknWr5_PilBk(%6eh>LUpB*=pEFbu18I0 zH|FDwE1^+8{;1pNs6Q^8JNDf$ujUOsILXJ3bm?uiq31z@+U`8>HlYHvPD4eGa-+a9 z*fpqU8RM`Sr%$r?IWMXtDZH(KL!@OY@!*?5IdFycxRq9Q~w4J-bSOw}9;4Z8NneUm-N0 z_%RzBx{tpzJeGcZe{c+X@+!856@kBfiCNJ&Wgzb`xBi+rwXS;-e=pH@lyM-e$6cf3 z^2gh)&#ysBGtf0LU&7pY?9Bo6cyXNs*5ms5n{G+5>=@a}5nS{nBlOFb@-s=^bQ${+ z;Eb|fWH}O}0{pzwlY*SeZhP{ZeMe7Rx?vm%)QpR>(UE^<dYsjDl^v#p}_3&=zKk1kw-2#b*uW>X}R2^Igg}} zk5CQu{m&8Q+DW^mQ}{28Guf&ylZq`<4eZ39q~|x@#7)0no4OTISrWczzt@z!;yvX! z){rOjJ+vZaL%8O9^9Q_+&bFNVZPLARynRl-PXB4lOn_Uh^BjG!ARw9O+<7Dy)VJ>% zCrHOn0g3A!dEeFwc;6f@6}uKc{^qK2ZbiWPzd3&kh<1UfmDgv9O4BRSjjp@TA$V~k zih+0d`K{JYu#x#pK+g}Y6i!fSviI`7OIaSr?-}LaN6PS_hiDd8J+N-1q_?0n_R)~b z{l95q9D9!LQE7%6R!sp+($HxkTorao?)qG`t^}y}d=-xvPd2DX&|$o@F6B-Q)~3ke z^|bad(f0XCtr@d}d!OtnT!|85VVbx5f8OX2o<0cdYvS6DCr{Hp`nW2tGN;17$zHji z(+kk-%$>(d%9gnO^-_#7v^*?2s`%?}ZsQoS^@f>bV`lIj)Vk$=_j~bzFDL1r#tjF} zOwEWN<<%zMRgW#zb7guL2!PMK-L{9%UsgOB@9T4C6eN>oyai zOZT;QaKPe_A_ee8=E^}y=nmgaR1O2>*#a}g*u1X!fBg#y% zsJmD@>?+v*&bkkrov!gI^M!zj@An~_$oG@yo9lCVh9@KQ+}!Qp#OYIqT%Cb$?hzSn zH{g4#M`v)~0pks+Ek|mNylD2xzI3l-*i5nM%Ma8w=UVw|XW!u>ExE0`)K31Ufg%;)P;4Y&n5%RR9&b* z_v!2UUnbs|hfUE|eT5tAZf3NIb~Y#~CowJL&-Sm9vQI4qs60^-%`{vbJdyGNHT5;K zAlUCKN)Ht(tn&RJqny>)s)4XHe6edXm$X@UxfZe7gDsG%}dTM5Ec)ptsr2uH0(u zJABJjJaFUH*x8MM+Iw5}EAA8U`8R7^`|ou98ZNc`RdRInN;CefHu18gHNh#mrguE3 z_VLuyojV+E68CVQM}i7(jF*aES_D6Edo40>|B44{7Lr4zYmC(fW*c+9SWoLoLN8N)A03 z?M$3xOlp%}YU+jZfVY9QvUvximc7lKDduGJM0ZQ_mj^YinrclTmK$^-P@ zOE5O3w7&ejbBqvdE7xsT8O zxEcQFxmeM>_R=Nf3$^Q^epmiHdz6~8TP~nxPJI$;K|lTri@#Fkn|;_)G`#Ta2cMfp zItDH@bEuhPi)U1F=@=JAy~C`@yieAiKJYtvL?X&bZ?6PPjMEP0!u- zWR&MdKCsX#`#7A1>bhMdW3nDK4olC?X))w+;jYV^nM&CLWV%ZeOf$vL`N$i8CnvOS z9?htmdtIVcw_LgbLDyoWUy<`ZLSIdP}c0 z^l=v?cIJFuv^JG#ea|$D>vxdetdG0%Z?!ZU?)#Mqn|?LW&|bK}zh^J*%{TkJc7&20 z-@-K!@iSzu{L=jPbL7Mo;ogS1a+4a&Y~lHf4oY!(zUJ*8)6cOo?q3XWBQEpA^`l5X zum9&DxLJ{Qx6iIOu+HX6Iub^+l<;ioyqvndT8bF zIgCm8u>sAyC$ERA40PQaWh?6%bM(S1s~vfpxT8G7)87!~|3-;Cxoy(B6)ql;{da`F z#_S$p>^|1?tL=x<0-;|X>X|iLM~`@z%ZM`g3vCweJUTd<;`u{PwYAC(92zg<*J`=g zwq<*43H_8Bv|y!er7wytX!qkK^@$cTay~ct1^sFonJ=MTv}MT(f0hj!T<~!IyhP*I zh5gq%y_@K2VRu*`C0KVlMK62y!?1CFb_ahP$!O~Ie3SEf0dHqpSeXem&1jQf1{#%j znzDgXu_EB7~$do`cI5$?y6}Z5<^{dg4m`clQ^G2rF=RULSO0 zuo6Dxhnry7X5-pZBcbYpNMu_o9DyS3FW0IQuig9OO(vq%Vc*)(z0<9n;E;H@6T6 zHCU_UVH_&2Ir1V8XnY|8CN-mzgRY?#mo;fb%(2Y5wcN04h z^ML|;n}@jJ-F1)?d9X$zgyQIfBJg5r*~A8ewzGo?Wu3%w`*A^u*3(q)+SwLho^V)d z1wV?(zX6pO{j0_Wp|3o>F_7-#<*t&SFlvZajK+dx*_iajSBkg;$OQ-jS} zWNu0y2CDBx%trjmUeT!w1(DWlqk#P#pFCEh|naXaZqXe}@aOd%9*ZMceZ&@=fM z{JU794n;+z7Cnbbug0sJ+1ruxWPTs)Yy8C({Fa5=M?(K5BO?DE%zR|1q%+RP&!hXyM{`TeX^wYltkUJc1P|lA!#TOyMnfw^!i1Hp08gfWy_*{;J z(Uz!xJ|V7uLbj3V4P<&XnSPVT{H?=bLVnVo14l7~r#JcZ!EDH-{Z()nx_!vG7~_wk zCK`PI;JZsZ!ggZNbNpd(Tl{$PKKrn{?b=6TNIHWQPvEa8K%llZHutMo4I!}5oh?-Z zkb8l;3Us$?Co!+6vJhTj%-S5GdMBiJsG27wmPeZPwyQES*_& zstn?Bn3@W71G)hlzzwVx zfji3HJjFvrR#TBatY$L|^1}N@xjnmM81funEFshn_P!0(RLnc$k!nT3HDf^T7;sTr zWb$!3uAYiB+J{v*mI`GV^DffC-h`Zg-7+pmBoC2m=nw1sfc*<{1T~30d%e_IVeh3`jx8#CduIv{r}kb2_7g+c$P zyi*8`RjiKmJN-D`Aq8QBQ4b{_*yoo1+a|RbHozba ziorp4^M0dz*pLkeDD1KUOa^TX9cdM8Mz&eud|-eCO9dky_f-F5dYx$PNe0(q*_E5P z9Ciij|0VxF?VR~H6#neqaYrq z__$)(o?>EO0FKeKE&`c=H8S1V9f_b56`@?BUO!^wDh}KgZU%g^Jy%W#MA1}&MZ$c*a3~saPI&<8o z514r5HfwYJrag(ci`Zh5q}SC6iSA7M?>TF7J;8A@HR`w?^=>o5_AZI6a!Ai;58O#0 z;kFM&VX=~(1=r@jqu2-!lY_RCe}+H~gfe~sE*?%mQc|z6$Egd)Pagf>^1mGo3#|*3 z)&jn5?4Jmo3c5Bi;;Eg=Es26_cAq`d`Th0(+Mo=T<;@@4M4Mm4IK7XSt}?KcL~_f9 zU;HsNpBFS{dH+u6*W0CP$0kRt_llAq)%67}Gb#u45fYRemrYI23@}>ILtn08VLz>| zfIoOT;m6uym9^06%&zejD+jT+p|6?KHt?2ZRl1d}uhZg2}~E)0i^ z#e*x~%DxRyD-_Tad|~#9lP|369bj`}^!#+_WX0H1#>58-xV)*LNzK(lf&bna7gxt& z`PbEj);d}@txP0$0@Q1uG#5F(2&jRkZE+CZ&~!lg=)*63;mKES&OUPLP?><~X}X3- zetjFBRS~&5^f96h<3xkF4sZ&;Jg${cLHDt7ZDwfN9?vLhj5x&G;wXq1X}s7l-0Tx% z5HqaU5{=R-J7W@N5+-QvG`aKo+V*LwSH{=#{_0^R^SB`zk$jyI%_|m~qjly+p}Av} zh_orEfz_RgA19twVBi%0Vgbs}%BsRKWZocVCX!;}hLiQtBR-oyx*8dC0B=q$c{&Nr z8~xUXSCi6D{b-i?L=M^btvohgq6K;G>h*u{0TiHRtHtVQ^TmcT`zRY{?{V_XV3Ay# z&F9pBDN}WiNlUI;LUMHZMN`I>7I+>MW9(!E?RfdX>5MO!`P=h-Yd*z#T z@$*R6H-GKF`y{C-1jvTO64CBa!#>yXw)K>VyH{1WQ+o1kVs)ZvF@pw4M5JX+Z^{{!r1FMSs2W?<%N=PwHR2BXMZ@r!CObKtYVS65#E#*dtP?77WrzMz*VgMRtrl+A zYiuw-tL_pk&*xTjb$;oa87jzORoR)#cCBuVbI)r@sk@T{1Fnoo>sA0x9`?# ztbYF*l#S_a(otNk)m03@2Yi#y$hw^fjjwcdm>ZBO+p+VVS@Zm>a_k`JG>x;8Fr5vz?+8F(g5O`tr5-9|V9$?Np5*vc zH$+lVW|T@F^UbOC>SmsP@2cxnu77IJx!wPo;*5L{67B+&lPRwDO@&@Ed&x8TRnRRv z*P-ueZaw<@ow1EC%^$Vkg0-1|nbppLk@b2?Y2Q$3CQMIVVoekFbM6;iVNtuD0$Ygk z?dw=t;9-6hoF$8B>q0RI8T}4LA>_T8wJFCb1qRaT8*U~vCAd2ah!WS=Qw&1hHw{>N z`9h_D){U`0e3;hdTV*%FdhbLO?(gYem)4yn0k`|tIhOB70zrP+%=!CXz?`(iXUub# za&oGwU4#kFpBYO}>0J9n@X7f16nd~SJ^^sZx{8f1rw z=jXh35nn=s9bmghu?b+1S-T!K-G*VldkU*KmEnRQb=4I)J>uvHngs(E0^K*x8-7XvwA!Wcmb#PYt#|C zEHI-nw(LJ(KQO@MZnu^S$$J`(&J9;TMJhDw7GZ*b>i*fc-G$rM`xuS7ZWwE$RrHX7 zUn2m!Js&Kz9Pc|~A#~1G51v+@O<}z|j-q?KWuVNzfSpeMsFGAv zfo9YflJQ(0l!`dA??`Sn-#ty;=V!2x zF!|oXBQEA{A5DS`Q9s$r8~VMOCkVX;YlmQYZ7@RCt4q1}Wi4$-U1R-Fh!zypB*V22PEQCY z2Zxe%QV#6X6LMz@umQgfeV{)}Z*7s@>?5)n#U_cJupU{eE1F7svgdAxV$nFJ;ZoCQ z>SEJBuLYO&jJ@vR*`ontq*`OJTE|x|ztD|jZj_PwUrSPVdugtK?46z?odH>nzYcj= zb9#RQH?B8LR}4b}k^FeXY<5(jz7T>p20sh<#{2wiPrvSL#=48-QapIl3@Wmu2d101 zOJI+IdCP$5VW+TpwsMcdxUdQ~CCOo6Y^)6?C+re-%SH$meF^JjE0-Roi=7AOzy?>$ z`_aI(zg+qKWtT*jM!?riqQ$U>l1GjlIXt}2k(qDcCw5t^pR}Z}@pu>V@{6C{qo@j7 zuj7!;yiV;{{gqjlU$+4GqY!y6etvEd0X|4eg$Tb$>Xlv-woN)&{?SnpyC2F~S+|Ty zhfCcPE~fy&+si}rL!afYRvk;k&&#G;8{st88&AlB6~4FBE_cw`A^o-}G{G+re+*Ur z#MoBQIqztW+oe#h5)Q~wt;-%n04hCS!{o zx~^u;EEp8`M~0ql*E@cW4NSlGeb{|$tSZAn2pBVGdt0T zL)*xZ$cEF`DlL0=>o9zrI}`)w^!&iO`H3l5Nn||-9R8pH$KT>GH8E2~x^!*}tSq`S z-?S7o`igc@!h7==U2XrP!_9(iFP7ZAuX&{n4w5Mm=$E zgOYkxeC}si895ucIp?WsUsFCTmW z(ciCf@zZz9!==hJdG6-OlW>MyJ|#xV?Fb;K{B!0j{fnfdTQ(fXo9!VlwKUN#X!J4D z2MmFeQZW63)1Kbb2hRl%kA4uS64e&azCYGpfmOGlX33BfGbPjQ{cVB=)}h%Z!k?86 K*;J2zHT)m;A_J5F diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb index 42a925deb75e79279ed0abcc68dbb04916b2713e..91bbe104745429a84af8ec3fe7aa1f8ec8927ccf 100755 GIT binary patch delta 12 TcmdnbxSw%C2h)UviCv}uAQ}YQ delta 12 TcmdnbxSw%C2h)SZiCv}uA+7~1 diff --git a/cpld/db/GR8RAM.tmw_info b/cpld/db/GR8RAM.tmw_info deleted file mode 100755 index d487ab5..0000000 --- a/cpld/db/GR8RAM.tmw_info +++ /dev/null @@ -1,6 +0,0 @@ -start_full_compilation:s:00:00:27 -start_analysis_synthesis:s:00:00:10-start_full_compilation -start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:06-start_full_compilation -start_assembler:s:00:00:04-start_full_compilation -start_timing_analyzer:s:00:00:07-start_full_compilation diff --git a/cpld/db/add_sub_8ph.tdf b/cpld/db/add_sub_8ph.tdf index 4d85006..7cfaee1 100755 --- a/cpld/db/add_sub_8ph.tdf +++ b/cpld/db/add_sub_8ph.tdf @@ -1,5 +1,5 @@ --lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=23 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result ---VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:40:SJ cbx_lpm_add_sub 2013:06:12:18:03:40:SJ cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratix 2013:06:12:18:03:40:SJ cbx_stratixii 2013:06:12:18:03:40:SJ VERSION_END +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END -- Copyright (C) 1991-2013 Altera Corporation diff --git a/cpld/db/add_sub_9ph.tdf b/cpld/db/add_sub_9ph.tdf new file mode 100644 index 0000000..b488188 --- /dev/null +++ b/cpld/db/add_sub_9ph.tdf @@ -0,0 +1,46 @@ +--lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=15 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION carry_sum (cin, sin) +RETURNS ( cout, sout); + +--synthesis_resources = lut 16 +SUBDESIGN add_sub_9ph +( + cin : input; + dataa[14..0] : input; + datab[14..0] : input; + result[14..0] : output; +) +VARIABLE + add_sub_cella[14..0] : carry_sum; + external_cin_cell : carry_sum; + datab_node[14..0] : WIRE; + main_cin_wire : WIRE; + +BEGIN + add_sub_cella[].cin = ( ((dataa[14..14] & datab_node[14..14]) # ((dataa[14..14] # datab_node[14..14]) & add_sub_cella[13].cout)), ((dataa[13..13] & datab_node[13..13]) # ((dataa[13..13] # datab_node[13..13]) & add_sub_cella[12].cout)), ((dataa[12..12] & datab_node[12..12]) # ((dataa[12..12] # datab_node[12..12]) & add_sub_cella[11].cout)), ((dataa[11..11] & datab_node[11..11]) # ((dataa[11..11] # datab_node[11..11]) & add_sub_cella[10].cout)), ((dataa[10..10] & datab_node[10..10]) # ((dataa[10..10] # datab_node[10..10]) & add_sub_cella[9].cout)), ((dataa[9..9] & datab_node[9..9]) # ((dataa[9..9] # datab_node[9..9]) & add_sub_cella[8].cout)), ((dataa[8..8] & datab_node[8..8]) # ((dataa[8..8] # datab_node[8..8]) & add_sub_cella[7].cout)), ((dataa[7..7] & datab_node[7..7]) # ((dataa[7..7] # datab_node[7..7]) & add_sub_cella[6].cout)), ((dataa[6..6] & datab_node[6..6]) # ((dataa[6..6] # datab_node[6..6]) & add_sub_cella[5].cout)), ((dataa[5..5] & datab_node[5..5]) # ((dataa[5..5] # datab_node[5..5]) & add_sub_cella[4].cout)), ((dataa[4..4] & datab_node[4..4]) # ((dataa[4..4] # datab_node[4..4]) & add_sub_cella[3].cout)), ((dataa[3..3] & datab_node[3..3]) # ((dataa[3..3] # datab_node[3..3]) & add_sub_cella[2].cout)), ((dataa[2..2] & datab_node[2..2]) # ((dataa[2..2] # datab_node[2..2]) & add_sub_cella[1].cout)), ((dataa[1..1] & datab_node[1..1]) # ((dataa[1..1] # datab_node[1..1]) & add_sub_cella[0].cout)), ((dataa[0..0] & datab_node[0..0]) # ((dataa[0..0] # datab_node[0..0]) & main_cin_wire))); + add_sub_cella[].sin = ( ((dataa[14..14] $ datab_node[14..14]) $ add_sub_cella[13].cout), ((dataa[13..13] $ datab_node[13..13]) $ add_sub_cella[12].cout), ((dataa[12..12] $ datab_node[12..12]) $ add_sub_cella[11].cout), ((dataa[11..11] $ datab_node[11..11]) $ add_sub_cella[10].cout), ((dataa[10..10] $ datab_node[10..10]) $ add_sub_cella[9].cout), ((dataa[9..9] $ datab_node[9..9]) $ add_sub_cella[8].cout), ((dataa[8..8] $ datab_node[8..8]) $ add_sub_cella[7].cout), ((dataa[7..7] $ datab_node[7..7]) $ add_sub_cella[6].cout), ((dataa[6..6] $ datab_node[6..6]) $ add_sub_cella[5].cout), ((dataa[5..5] $ datab_node[5..5]) $ add_sub_cella[4].cout), ((dataa[4..4] $ datab_node[4..4]) $ add_sub_cella[3].cout), ((dataa[3..3] $ datab_node[3..3]) $ add_sub_cella[2].cout), ((dataa[2..2] $ datab_node[2..2]) $ add_sub_cella[1].cout), ((dataa[1..1] $ datab_node[1..1]) $ add_sub_cella[0].cout), ((dataa[0..0] $ datab_node[0..0]) $ main_cin_wire)); + external_cin_cell.cin = cin; + external_cin_cell.sin = B"0"; + datab_node[] = datab[]; + main_cin_wire = external_cin_cell.cout; + result[] = add_sub_cella[].sout; +END; +--VALID FILE diff --git a/cpld/db/add_sub_qnh.tdf b/cpld/db/add_sub_qnh.tdf new file mode 100644 index 0000000..e863563 --- /dev/null +++ b/cpld/db/add_sub_qnh.tdf @@ -0,0 +1,46 @@ +--lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=7 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END + + +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +FUNCTION carry_sum (cin, sin) +RETURNS ( cout, sout); + +--synthesis_resources = lut 8 +SUBDESIGN add_sub_qnh +( + cin : input; + dataa[6..0] : input; + datab[6..0] : input; + result[6..0] : output; +) +VARIABLE + add_sub_cella[6..0] : carry_sum; + external_cin_cell : carry_sum; + datab_node[6..0] : WIRE; + main_cin_wire : WIRE; + +BEGIN + add_sub_cella[].cin = ( ((dataa[6..6] & datab_node[6..6]) # ((dataa[6..6] # datab_node[6..6]) & add_sub_cella[5].cout)), ((dataa[5..5] & datab_node[5..5]) # ((dataa[5..5] # datab_node[5..5]) & add_sub_cella[4].cout)), ((dataa[4..4] & datab_node[4..4]) # ((dataa[4..4] # datab_node[4..4]) & add_sub_cella[3].cout)), ((dataa[3..3] & datab_node[3..3]) # ((dataa[3..3] # datab_node[3..3]) & add_sub_cella[2].cout)), ((dataa[2..2] & datab_node[2..2]) # ((dataa[2..2] # datab_node[2..2]) & add_sub_cella[1].cout)), ((dataa[1..1] & datab_node[1..1]) # ((dataa[1..1] # datab_node[1..1]) & add_sub_cella[0].cout)), ((dataa[0..0] & datab_node[0..0]) # ((dataa[0..0] # datab_node[0..0]) & main_cin_wire))); + add_sub_cella[].sin = ( ((dataa[6..6] $ datab_node[6..6]) $ add_sub_cella[5].cout), ((dataa[5..5] $ datab_node[5..5]) $ add_sub_cella[4].cout), ((dataa[4..4] $ datab_node[4..4]) $ add_sub_cella[3].cout), ((dataa[3..3] $ datab_node[3..3]) $ add_sub_cella[2].cout), ((dataa[2..2] $ datab_node[2..2]) $ add_sub_cella[1].cout), ((dataa[1..1] $ datab_node[1..1]) $ add_sub_cella[0].cout), ((dataa[0..0] $ datab_node[0..0]) $ main_cin_wire)); + external_cin_cell.cin = cin; + external_cin_cell.sin = B"0"; + datab_node[] = datab[]; + main_cin_wire = external_cin_cell.cout; + result[] = add_sub_cella[].sout; +END; +--VALID FILE diff --git a/cpld/db/add_sub_rnh.tdf b/cpld/db/add_sub_rnh.tdf index 9dbea30..9106a37 100644 --- a/cpld/db/add_sub_rnh.tdf +++ b/cpld/db/add_sub_rnh.tdf @@ -1,5 +1,5 @@ --lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=8 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result ---VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:40:SJ cbx_lpm_add_sub 2013:06:12:18:03:40:SJ cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratix 2013:06:12:18:03:40:SJ cbx_stratixii 2013:06:12:18:03:40:SJ VERSION_END +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END -- Copyright (C) 1991-2013 Altera Corporation diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index 0199f9d..c3b50e1 100755 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,74 +1,78 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567402633084 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567402633084 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 01:37:12 2019 " "Processing started: Mon Sep 02 01:37:12 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567402633084 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567402633084 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567402633084 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567402636787 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(40) " "Verilog HDL warning at GR8RAM.v(40): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 40 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567402636975 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(48) " "Verilog HDL warning at GR8RAM.v(48): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 48 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567402636975 ""} -{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(175) " "Verilog HDL information at GR8RAM.v(175): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 175 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567402636975 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567402636975 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567402636975 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567402637240 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(33) " "Verilog HDL assignment warning at GR8RAM.v(33): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567402637240 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(130) " "Verilog HDL assignment warning at GR8RAM.v(130): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 130 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567402637240 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(135) " "Verilog HDL assignment warning at GR8RAM.v(135): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 135 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567402637240 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 23 GR8RAM.v(159) " "Verilog HDL assignment warning at GR8RAM.v(159): truncated value with size 32 to match size of target (23)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567402637240 "|GR8RAM"} -{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402637600 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567402637600 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "2 " "Inferred 2 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402637600 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402637600 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567402637600 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402638147 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402638162 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402638162 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402638162 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567402638162 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402638412 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402638412 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402638412 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402638412 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402638412 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567402638412 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402638740 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402639006 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402639053 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402639272 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402639693 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402639787 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402639959 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add3 " "Instantiated megafunction \"lpm_add_sub:Add3\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 23 " "Parameter \"LPM_WIDTH\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402639959 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402639959 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402639959 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402639959 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567402639959 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|addcore:adder\[2\] lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|addcore:adder\[2\]\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402640178 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|look_add:look_ahead_unit lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402640318 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|altshift:result_ext_latency_ffs lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402640365 ""} -{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "31 " "Ignored 31 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "31 " "Ignored 31 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567402640850 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567402640850 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567402641146 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567402641146 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567402641146 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "8 " "Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402641725 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402641725 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MODE " "No output dependent on input pin \"MODE\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402641725 "|GR8RAM|MODE"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402641725 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402641725 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402641725 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402641725 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402641725 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567402641725 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "165 " "Implemented 165 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567402641740 ""} { "Info" "ICUT_CUT_TM_OPINS" "20 " "Implemented 20 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567402641740 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567402641740 ""} { "Info" "ICUT_CUT_TM_MCELLS" "102 " "Implemented 102 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567402641740 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567402641740 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567402642303 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "308 " "Peak virtual memory: 308 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402642443 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:37:22 2019 " "Processing ended: Mon Sep 02 01:37:22 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402642443 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402642443 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402642443 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567402642443 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567402646912 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567402646943 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 01:37:23 2019 " "Processing started: Mon Sep 02 01:37:23 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567402646943 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1567402646943 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1567402646943 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1567402647256 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1567402647256 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1567402647256 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567402650052 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567402650084 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402651162 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:37:31 2019 " "Processing ended: Mon Sep 02 01:37:31 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402651162 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402651162 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402651162 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567402651162 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1567402654115 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567402654130 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 01:37:33 2019 " "Processing started: Mon Sep 02 01:37:33 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567402654130 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567402654130 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567402654130 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567402659802 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402660708 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:37:40 2019 " "Processing ended: Mon Sep 02 01:37:40 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402660708 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402660708 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402660708 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567402660708 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1567402662083 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1567402666020 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567402666020 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 01:37:42 2019 " "Processing started: Mon Sep 02 01:37:42 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567402666020 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567402666020 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567402666020 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567402666129 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567402668660 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567402668676 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567402668676 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567402668785 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567402668863 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567402668863 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567402668863 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567402668863 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567402668863 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567402668879 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567402669035 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.000 " "Worst-case setup slack is -47.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.000 -1802.000 C7M " " -47.000 -1802.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669113 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567402669113 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669129 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669129 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669129 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669129 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567402669129 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567402669129 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567402669144 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669160 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669160 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669160 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -432.000 C7M " " -4.500 -432.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402669160 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567402669160 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567402669285 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567402669347 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567402669347 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "263 " "Peak virtual memory: 263 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402669582 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:37:49 2019 " "Processing ended: Mon Sep 02 01:37:49 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402669582 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402669582 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402669582 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567402669582 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 19 s " "Quartus II Full Compilation was successful. 0 errors, 19 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567402670472 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567533898320 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567533898321 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:04:58 2019 " "Processing started: Tue Sep 03 14:04:58 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567533898321 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567533898321 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567533898321 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567533898533 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(38) " "Verilog HDL warning at GR8RAM.v(38): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 38 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567533898558 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(46) " "Verilog HDL warning at GR8RAM.v(46): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 46 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567533898558 ""} +{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(194) " "Verilog HDL information at GR8RAM.v(194): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 194 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567533898558 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567533898559 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567533898559 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567533898605 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(126) " "Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 126 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(131) " "Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 131 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(163) " "Verilog HDL assignment warning at GR8RAM.v(163): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 163 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(168) " "Verilog HDL assignment warning at GR8RAM.v(168): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 168 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 7 GR8RAM.v(174) " "Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (7)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} +{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898686 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567533898686 ""} +{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898686 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 168 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898686 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 163 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898686 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898686 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567533898686 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898719 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898719 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898719 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898719 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567533898719 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898736 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898736 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898736 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898736 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898736 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567533898736 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898750 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898760 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898761 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898774 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898783 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898784 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898798 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add5 " "Instantiated megafunction \"lpm_add_sub:Add5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 7 " "Parameter \"LPM_WIDTH\" = \"7\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898798 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898798 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898798 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898798 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567533898798 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 250 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898799 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898801 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 191 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898802 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\] lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 192 10 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898804 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|altshift:result_ext_latency_ffs lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898806 ""} +{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567533898861 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567533898861 ""} +{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567533898953 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567533898953 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567533898953 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "8 " "Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MODE " "No output dependent on input pin \"MODE\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|MODE"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567533899135 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "165 " "Implemented 165 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567533899135 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567533899135 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567533899135 ""} { "Info" "ICUT_CUT_TM_MCELLS" "103 " "Implemented 103 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567533899135 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567533899135 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567533899135 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567533899176 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 16 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 16 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4587 " "Peak virtual memory: 4587 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567533899220 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:04:59 2019 " "Processing ended: Tue Sep 03 14:04:59 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567533899220 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567533899220 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567533899220 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567533899220 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567533900167 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567533900167 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:04:59 2019 " "Processing started: Tue Sep 03 14:04:59 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567533900167 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1567533900167 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1567533900167 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1567533900219 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1567533900219 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1567533900220 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567533900261 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567533900263 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4708 " "Peak virtual memory: 4708 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567533900441 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:05:00 2019 " "Processing ended: Tue Sep 03 14:05:00 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567533900441 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567533900441 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567533900441 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567533900441 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1567533901287 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567533901287 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:05:01 2019 " "Processing started: Tue Sep 03 14:05:01 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567533901287 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567533901287 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567533901287 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567533901404 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4521 " "Peak virtual memory: 4521 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567533901536 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:05:01 2019 " "Processing ended: Tue Sep 03 14:05:01 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567533901536 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567533901536 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567533901536 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567533901536 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1567533902188 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1567533902511 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902512 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:05:02 2019 " "Processing started: Tue Sep 03 14:05:02 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567533902512 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567533902512 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567533902512 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567533902568 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567533902719 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567533902726 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567533902728 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567533902748 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567533902762 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567533902762 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902763 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902763 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902763 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567533902765 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567533902776 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902778 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902778 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -1888.500 C7M " " -47.500 -1888.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902778 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902778 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567533902778 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902782 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902782 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902782 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902782 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567533902782 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567533902786 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567533902789 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902792 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902792 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902792 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -450.000 C7M " " -4.500 -450.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902792 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567533902792 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567533902856 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567533902887 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567533902888 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4541 " "Peak virtual memory: 4541 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567533902965 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:05:02 2019 " "Processing ended: Tue Sep 03 14:05:02 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567533902965 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567533902965 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567533902965 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567533902965 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 21 s " "Quartus II Full Compilation was successful. 0 errors, 21 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567533903599 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 3982b9f4a51f92d14b7120e0d98da253547aacb2..87e67add24b5f3d473bb7d24935e46643ddf2c75 100755 GIT binary patch literal 227 zcmV<90383U4*>uG0001ZoSl)&YQr!PMfd)Si}tlGJA^J`Lj$EG5Xb}CrIGD16|QAf zk^_N!e4PrCmZT86ojd2;ftj`16?F+EI?C9~_Hp~P3Ok{TvSc`Adoot`Dq}q444;EA zZm^f>#t*PqU^;o2ONUnS% z(v2j`17OzDq0qfl&K8y&+(D1`8EVLos)*rTk{J`7vB(3_Z{lx>GeTM4^uu!ekEQ8N dNA;bWGa*jh-gOP$*vf;^_x+|k_yW-Xk<%y~Z@~Zn literal 225 zcmV<703QFW4*>uG0001ZoSl(fOT;h`Mc?}?E_t_YQV<`u1s4`sLC{^$$C9+OZ0I(P zNm?lQg}6{U;4wzvMVhA^>rtOth(aL0%(%!z&I|0xO}Zi6%ccXz{uWQ9uxWKz zNLD4ls-wBKgEqm}o&v&bm-`$Y$dPG?;i$ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index c4d2678..db7a9dc 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for GR8RAM -Mon Sep 02 20:55:48 2019 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Tue Sep 03 14:09:16 2019 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -9,14 +9,17 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 1. Legal Notice 2. Analysis & Synthesis Summary 3. Analysis & Synthesis Settings - 4. Analysis & Synthesis Source Files Read - 5. Analysis & Synthesis Resource Usage Summary - 6. Analysis & Synthesis Resource Utilization by Entity - 7. Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 - 8. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add0 - 9. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 - 10. Analysis & Synthesis Messages - 11. Analysis & Synthesis Suppressed Messages + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 + 9. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add0 + 10. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add4 + 11. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 + 12. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add5 + 13. Analysis & Synthesis Messages + 14. Analysis & Synthesis Suppressed Messages @@ -42,13 +45,13 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Mon Sep 02 20:55:48 2019 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Analysis & Synthesis Status ; Successful - Tue Sep 03 14:09:16 2019 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX7000S ; -; Total macrocells ; 102 ; -; Total pins ; 63 ; +; Total macrocells ; 103 ; +; Total pins ; 61 ; +-----------------------------+-------------------------------------------------+ @@ -116,12 +119,23 @@ applicable agreement for further details. +----------------------------------------------------------------------------+-----------------+---------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + +-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Analysis & Synthesis Source Files Read ; +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ -; GR8RAM.v ; yes ; User Verilog HDL File ; Z:/Repos/GR8RAM/cpld/GR8RAM.v ; ; +; GR8RAM.v ; yes ; User Verilog HDL File ; C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v ; ; ; lpm_counter.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_counter.tdf ; ; ; lpm_constant.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_constant.inc ; ; ; lpm_decode.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_decode.inc ; ; @@ -151,13 +165,14 @@ applicable agreement for further details. +----------------------+----------------------+ ; Resource ; Usage ; +----------------------+----------------------+ -; Logic cells ; 102 ; -; Total registers ; 50 ; -; I/O pins ; 63 ; +; Logic cells ; 103 ; +; Total registers ; 52 ; +; I/O pins ; 61 ; +; Shareable expanders ; 1 ; ; Maximum fan-out node ; nRES ; -; Maximum fan-out ; 50 ; -; Total fan-out ; 971 ; -; Average fan-out ; 5.88 ; +; Maximum fan-out ; 52 ; +; Total fan-out ; 830 ; +; Average fan-out ; 5.03 ; +----------------------+----------------------+ @@ -166,7 +181,7 @@ applicable agreement for further details. +----------------------------+------------+------+-------------------------------+--------------+ ; Compilation Hierarchy Node ; Macrocells ; Pins ; Full Hierarchy Name ; Library Name ; +----------------------------+------------+------+-------------------------------+--------------+ -; |GR8RAM ; 102 ; 63 ; |GR8RAM ; work ; +; |GR8RAM ; 103 ; 61 ; |GR8RAM ; work ; ; |lpm_counter:Ref_rtl_0| ; 4 ; 0 ; |GR8RAM|lpm_counter:Ref_rtl_0 ; work ; +----------------------------+------------+------+-------------------------------+--------------+ @@ -227,11 +242,11 @@ Note: In order to hide this table in the UI and the text report file, please set +-------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 ; +; Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add4 ; +------------------------+-------------+----------------------------+ ; Parameter Name ; Value ; Type ; +------------------------+-------------+----------------------------+ -; LPM_WIDTH ; 23 ; Untyped ; +; LPM_WIDTH ; 8 ; Untyped ; ; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; ; LPM_DIRECTION ; ADD ; Untyped ; ; ONE_INPUT_IS_CONSTANT ; YES ; Untyped ; @@ -245,7 +260,63 @@ Note: In order to hide this table in the UI and the text report file, please set ; DEVICE_FAMILY ; MAX7000S ; Untyped ; ; USE_WYS ; OFF ; Untyped ; ; STYLE ; FAST ; Untyped ; -; CBXI_PARAMETER ; add_sub_8ph ; Untyped ; +; CBXI_PARAMETER ; add_sub_rnh ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+----------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------------------------------------------+ +; Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 ; ++------------------------+-------------+----------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+----------------------------+ +; LPM_WIDTH ; 8 ; Untyped ; +; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; +; LPM_DIRECTION ; ADD ; Untyped ; +; ONE_INPUT_IS_CONSTANT ; YES ; Untyped ; +; LPM_PIPELINE ; 0 ; Untyped ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; REGISTERED_AT_END ; 0 ; Untyped ; +; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; +; USE_CS_BUFFERS ; 1 ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; DEVICE_FAMILY ; MAX7000S ; Untyped ; +; USE_WYS ; OFF ; Untyped ; +; STYLE ; FAST ; Untyped ; +; CBXI_PARAMETER ; add_sub_rnh ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+-------------+----------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------------------------------------------+ +; Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add5 ; ++------------------------+-------------+----------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------+----------------------------+ +; LPM_WIDTH ; 7 ; Untyped ; +; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; +; LPM_DIRECTION ; ADD ; Untyped ; +; ONE_INPUT_IS_CONSTANT ; YES ; Untyped ; +; LPM_PIPELINE ; 0 ; Untyped ; +; MAXIMIZE_SPEED ; 5 ; Untyped ; +; REGISTERED_AT_END ; 0 ; Untyped ; +; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; +; USE_CS_BUFFERS ; 1 ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; DEVICE_FAMILY ; MAX7000S ; Untyped ; +; USE_WYS ; OFF ; Untyped ; +; STYLE ; FAST ; Untyped ; +; CBXI_PARAMETER ; add_sub_qnh ; Untyped ; ; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; ; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; ; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; @@ -258,23 +329,27 @@ Note: In order to hide this table in the UI and the text report file, please set ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis +Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Sep 02 20:55:40 2019 + Info: Processing started: Tue Sep 03 14:09:15 2019 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(33): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (3) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(136): truncated value with size 32 to match size of target (4) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(160): truncated value with size 32 to match size of target (23) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(163): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(168): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (7) Info (19000): Inferred 1 megafunctions from design logic Info (19001): Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: "Ref_rtl_0" -Info (278001): Inferred 2 megafunctions from design logic +Info (278001): Inferred 4 megafunctions from design logic Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add0" + Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add4" Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add3" + Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add5" Info (12130): Elaborated megafunction instantiation "lpm_counter:Ref_rtl_0" Info (12133): Instantiated megafunction "lpm_counter:Ref_rtl_0" with the following parameter: Info (12134): Parameter "LPM_WIDTH" = "4" @@ -292,17 +367,19 @@ Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:ad Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|look_add:look_ahead_unit", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:carry_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0" -Info (12130): Elaborated megafunction instantiation "lpm_add_sub:Add3" -Info (12133): Instantiated megafunction "lpm_add_sub:Add3" with the following parameter: - Info (12134): Parameter "LPM_WIDTH" = "23" +Info (12130): Elaborated megafunction instantiation "lpm_add_sub:Add5" +Info (12133): Instantiated megafunction "lpm_add_sub:Add5" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "7" Info (12134): Parameter "LPM_DIRECTION" = "ADD" Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED" Info (12134): Parameter "ONE_INPUT_IS_CONSTANT" = "YES" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add3|addcore:adder[2]", which is child of megafunction instantiation "lpm_add_sub:Add3" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add3|look_add:look_ahead_unit", which is child of megafunction instantiation "lpm_add_sub:Add3" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add3|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add3" -Info (13014): Ignored 31 buffer(s) - Info (13019): Ignored 31 SOFT buffer(s) +Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add5|addcore:adder", which is child of megafunction instantiation "lpm_add_sub:Add5" +Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add5|addcore:adder|a_csnbuffer:oflow_node", which is child of megafunction instantiation "lpm_add_sub:Add5" +Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add5|addcore:adder|a_csnbuffer:result_node", which is child of megafunction instantiation "lpm_add_sub:Add5" +Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add5|addcore:adder|addcore:adder[0]", which is child of megafunction instantiation "lpm_add_sub:Add5" +Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add5|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add5" +Info (13014): Ignored 32 buffer(s) + Info (13019): Ignored 32 SOFT buffer(s) Info (280013): Promoted pin-driven signal(s) to global signal Info (280014): Promoted clock signal driven by pin "C7M" to global clock signal Info (280015): Promoted clear signal driven by pin "nRES" to global clear signal @@ -317,20 +394,21 @@ Warning (21074): Design contains 8 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "A[15]" Info (21057): Implemented 165 device resources after synthesis - the final resource count might be different Info (21058): Implemented 27 input pins - Info (21059): Implemented 20 output pins + Info (21059): Implemented 18 output pins Info (21060): Implemented 16 bidirectional pins - Info (21063): Implemented 102 macrocells -Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 14 warnings - Info: Peak virtual memory: 303 megabytes - Info: Processing ended: Mon Sep 02 20:55:48 2019 - Info: Elapsed time: 00:00:08 - Info: Total CPU time (on all processors): 00:00:08 + Info (21063): Implemented 103 macrocells + Info (21073): Implemented 1 shareable expanders +Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 16 warnings + Info: Peak virtual memory: 4587 megabytes + Info: Processing ended: Tue Sep 03 14:09:16 2019 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg. +The suppressed messages can be found in C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg. diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 960dac5..32d499e 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(41): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(49): extended using "x" or "z" -Warning (10268): Verilog HDL information at GR8RAM.v(176): always construct contains both blocking and non-blocking assignments +Warning (10273): Verilog HDL warning at GR8RAM.v(38): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(46): extended using "x" or "z" +Warning (10268): Verilog HDL information at GR8RAM.v(194): always construct contains both blocking and non-blocking assignments diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index dc81286..588e70b 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,7 +1,7 @@ -Analysis & Synthesis Status : Successful - Mon Sep 02 20:55:48 2019 -Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Analysis & Synthesis Status : Successful - Tue Sep 03 14:09:16 2019 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX7000S -Total macrocells : 102 -Total pins : 63 +Total macrocells : 103 +Total pins : 61 diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index b81bcfc..ae33f13 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -56,7 +56,7 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition CHIP "GR8RAM" ASSIGNED TO AN: EPM7128SLC84-15 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment @@ -90,8 +90,8 @@ VCCIO : 26 : power : : 5.0V D[6] : 27 : bidir : TTL : : : Y D[5] : 28 : bidir : TTL : : : Y D[4] : 29 : bidir : TTL : : : Y -PHI1out : 30 : output : TTL : : : Y -C7Mout : 31 : output : TTL : : : Y +RESERVED : 30 : : : : : +RESERVED : 31 : : : : : GND : 32 : gnd : : : : D[3] : 33 : bidir : TTL : : : Y D[2] : 34 : bidir : TTL : : : Y diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 5a6bfa92ff9a4a6282c11c77eb8e8f50860884b8..5fbb7b2104de3a4b4eeb9233c98ed2f9d82b195d 100755 GIT binary patch literal 8022 zcmc&(-D@4k6~9eTh@%!-Y~r_aDMi>&#g^^kIWwc(tL|Ot z-ni`n&73)Ne&=guc4x0fpZHp>R{KotE@{Wme)Gbasp$(Z)*pSee&YDx$i#GgbZYXM zsWZ<%KR#9e_W0C`6O%90hmPHM^uD3`$c2gX=jy}9hU-U992puuGJJICSpD?))DI?} z9;_h0?`smfVQjDs1q~Fj&}Gl6lcANDFL;le==MSI{L2Emd($J*<~D zlwfB#mEH^-t*V6M;Xkk}!EV$G3oC@Ruga}r(^kUR(+-8oAh$PsmoT_#sCmwZ=`A%W zJ#w?V#>{^9DR0}jbw%O_ ziuoP6$#f^Ff7FReH?UxnyEYh`OX$5F(p)=pmNVm;IG0A2@Lr_KU6NbajngomE&GzQ zuH!<2AcZ>}*uMvbl&(Wpq&(9DrLNtZ78~MC3lH{f@y2PhuGbK?Pt$ChX~gC~j{_*!KUJHiN&E z4|W^1EkI#LN4{L4Tsj{>;=^y~XvX*Duy&n2kD@nTuyB0ZvA5M*yXpVV$l&6#Tzn{K z_5&%>)69d_H~|Xm3Z&3wX>a7%?zT7Fp1c{8S}vx>h!w&kDCjQq=891_i{5a>^Og)= z5e0Al5hRY6!eK23Y|MFd5ioye7f^pUJ>~`Cc!V_86`P4r|4v zq$TUS*cQCW@~Vt7JVqHaDHkn~YH6K`C~tJ;*R6l#lHR%b9I65vVh*E1kfgEw18GDW zX${}tvaSj{KtqZ=HNLBuEh9;&Xg60XNHy*cI?ljFtRmPfh}ZDNFJ8p)j_O9}Ui;;^ zefe8TLIhH;**rJC&oF6fiB~_0ZNYsZ3+w>=*ieyiVN-Mk<6{-;upHmVegPyB?R;rs zc)pK$VN~>%E0s(0`8{!&iaVb(mkY8c&1`@-b2FJHGWk%ryp-kPv`KAlYHvYiJ$p2EsTcTNx=?=ZFHNxiR}!pigLT<(tMM21uNuH zvlOwt{Me40dCyxp-(BV5luP+=D@qkSzD1YUsMT7S zMFcr@fQ3baUb#ZKR7#T0nl53vvmU%PyY=SRt!o%^0^zCHT}hxGm%6-W<}fcL-iMqLiQLy)Yy z4O?V0OuJT6iyAlOcFU#3X@A(VU1W@U}*t%^6;U) zU%YwX)r(J#-t$=7VDRd`>wg(I@alJ;-T&N(%nbbLN33?o;FU`!K6vxi#gl*QziaNu z?=D?jI{4X)CCotWUwzk>4!%C}!$0(YW9~~=_hIHM zXI~rLf6v??s|_4Fd+PlDdw+ZKgT7xa9sKjiQ_t={|L)+GeOF}ga{m)^gU1hFTe^2; ze}yz}$-M_xJC|GBx0_riwKvbcCRk+Y=mb0<34 zPATqrD>!_a<5vl;g=A>m&-hY8E=H6wg5)8#Hwr3O+ap7<-R0|^T(KFe^5n++#1FDd}qcdtl1!FzJzxn!L7B#2;}6wpwaaSD&9Q;w6MJ@yjqiE2{_w-~b$zP`hsWy!W1}yO?Re#tp|SeYLu0QF zkB-!P*WJ7J-rjoup5d2w)%(`<)z{v?rnhfR-`d`F_3cAruMO`Ust@eg`BJ^NzWtH< zGed**t-FTDfvjC#yB;K42Oizf+qZH1wg)$^U)_6O?TXq8XrCGxA0B^sXjg3+%(&f9 zJ9w)RaEN~AIH}O)X(GW;;DvfDTxx1q((g2873QkZjl&u;?c#VeI_Z= z;vCY7$S6w1q7?xfZCd~sPfR!%MSEv**q;zF4A%{?W&+0&MifmZh!P^R9f6`6CL@su z3lk7!4ugkESVXX;m?@MIlZ*|RN*E#oOs0TYQD}%J$eE-AOq24kl%gD_+prx58__ZR zXw-Kz5hjZA`ssa?sQV`jPYhkUc7~7N#w4lhGD`~wi^jOEXg$=^m z0Laah->VA={$6v<)#tlk&DLD0X+xm zJSwb5ZpFe{O|{lDbqiyUDIdCHGYHu=%&cTPJ7rwBnHm{~@QiV%Yj02m^Qi86Qz{El z=%f|%W06g!Sd_L&n??+9XGsvUnXKXsW>-PfI^UNEvqjOb*O#@CmeQXAg}oN1%;s-F zhFGzUAwG{VOXL#Ri05V|VV8&zwM>(!I5%UGM3vVO>!9=8IA+_V7s`|Gq;+{sL6PRy&P(5veK_EdkPRX2a#+C9k~Wxz?f9r{uJbzOr_q57Iu;JrV&Svw*YfD}@)xU(~PY=F{ z^REiw1?~Y|_A!CMwy@u@Hc#YIcDHBvZ+euut01v?6#YR0%Pu_muof(SD}@chTF-W@ zYc{`g!hXZrJjT-81U`sxnyO-$TTBvVw`##}A}DJ8L9#M*v#I$0v0DSI&6We} zP18^rhHyAxZNX32Agslj^lT(j&`;2FE)07=tb*lpLmvEFs_|gpRj|x=$v}B^N$ZtF zG7nNR+ulNuY*hgh87yoN)|TqV-fguFu_;ysjM;>>gq{w&MvF zQnKZNJFooy{afCCV{G8s&r5^VM^gxW+uRYD7w4q`AeUH?5hvwV8>$NP@Vu6(Ee*`InI zo4)PHzSn10-aYv2i#^vk2lota{iSE+NAPxFcGb6R);tajcuw~3-+j|II9Oo@Z;ka_ zH@)RU4A$R#`~K%|9QkZZ-<=zd-+p5KTZ2z-dyo?=g)sTWe+E^ghy-%MYfDP3MWkg4 z$w7w^1f+_uLFj&jCF2rmaEJfd01m6oq%5512b^R$URF}cDF!?Od;;H`(M<}N!a_;( zllZYSaH10=SOTtrK2;2+bENUi2zzv>u{7+lFzj;-k5eT`r!jw=CgAQa$=ujoP`W}b@iif)SHI2FY6L#OF1;MBl)j>Cu}jQHbN%JhV*JA7ih3CNl) z;Zmgt2@P`aFN~jp|%Bs3I6zp@=A2t_@=X)zc{;@&TgXL%n>q-zz}bOfFgnI e3nLW{8{a&&S<^;+is+Ps!$w?X{%^he+P?u37ul@< diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 93591cc..5f6fb4b 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,6 +1,6 @@ TimeQuest Timing Analyzer report for GR8RAM -Mon Sep 02 20:56:05 2019 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Tue Sep 03 14:09:20 2019 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -8,35 +8,36 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit --------------------- 1. Legal Notice 2. TimeQuest Timing Analyzer Summary - 3. Clocks - 4. Fmax Summary - 5. Setup Summary - 6. Hold Summary - 7. Recovery Summary - 8. Removal Summary - 9. Minimum Pulse Width Summary - 10. Setup: 'C7M' - 11. Setup: 'C7M_2' - 12. Hold: 'C7M_2' - 13. Hold: 'C7M' - 14. Minimum Pulse Width: 'C7M_2' - 15. Minimum Pulse Width: 'C7M' - 16. Setup Times - 17. Hold Times - 18. Clock to Output Times - 19. Minimum Clock to Output Times - 20. Propagation Delay - 21. Minimum Propagation Delay - 22. Output Enable Times - 23. Minimum Output Enable Times - 24. Output Disable Times - 25. Minimum Output Disable Times - 26. Setup Transfers - 27. Hold Transfers - 28. Report TCCS - 29. Report RSKM - 30. Unconstrained Paths - 31. TimeQuest Timing Analyzer Messages + 3. Parallel Compilation + 4. Clocks + 5. Fmax Summary + 6. Setup Summary + 7. Hold Summary + 8. Recovery Summary + 9. Removal Summary + 10. Minimum Pulse Width Summary + 11. Setup: 'C7M' + 12. Setup: 'C7M_2' + 13. Hold: 'C7M_2' + 14. Hold: 'C7M' + 15. Minimum Pulse Width: 'C7M_2' + 16. Minimum Pulse Width: 'C7M' + 17. Setup Times + 18. Hold Times + 19. Clock to Output Times + 20. Minimum Clock to Output Times + 21. Propagation Delay + 22. Minimum Propagation Delay + 23. Output Enable Times + 24. Minimum Output Enable Times + 25. Output Disable Times + 26. Minimum Output Disable Times + 27. Setup Transfers + 28. Hold Transfers + 29. Report TCCS + 30. Report RSKM + 31. Unconstrained Paths + 32. TimeQuest Timing Analyzer Messages @@ -72,6 +73,17 @@ applicable agreement for further details. +--------------------+-------------------------------------------------------------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clocks ; +------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ @@ -87,7 +99,7 @@ applicable agreement for further details. +-----------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +-----------+-----------------+------------+------+ -; 20.83 MHz ; 20.83 MHz ; C7M ; ; +; 10.42 MHz ; 10.42 MHz ; C7M ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -97,7 +109,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; C7M ; -47.000 ; -1816.000 ; +; C7M ; -47.500 ; -1888.500 ; ; C7M_2 ; -27.500 ; -33.000 ; +-------+---------+---------------+ @@ -130,116 +142,116 @@ No paths to report. ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ ; C7M_2 ; -5.500 ; -22.000 ; -; C7M ; -4.500 ; -432.000 ; +; C7M ; -4.500 ; -450.000 ; +-------+--------+---------------+ -+-------------------------------------------------------------------------------------------------------+ -; Setup: 'C7M' ; -+---------+-----------+-----------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------+-----------+--------------+-------------+--------------+------------+------------+ -; -47.000 ; REGEN ; RAMSELreg ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[1] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[2] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[18] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[10] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[11] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[19] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[3] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[4] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[20] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[12] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[13] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[21] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[5] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[6] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[22] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[14] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[7] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Addr[8] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; ASel ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; RASr ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; CASr ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Bank[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Bank[1] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Bank[2] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Bank[3] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Bank[4] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Bank[5] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Bank[6] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; Bank[7] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -25.000 ; S[0] ; REGEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[2] ; REGEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[1] ; REGEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[2] ; CSDBEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[2] ; IOROMEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[1] ; IOROMEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[0] ; IOROMEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; IOROMEN ; IOROMEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[2] ; RAMSELreg ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[1] ; RAMSELreg ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[0] ; RAMSELreg ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; RAMSELreg ; RAMSELreg ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[2] ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[1] ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[0] ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[0] ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; RAMSELreg ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[2] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[1] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[0] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[9] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[8] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[7] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[6] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[5] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[4] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[3] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[2] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[1] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[0] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; RAMSELreg ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[2] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[1] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[0] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[16] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[15] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[14] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[13] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[12] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[11] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[10] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[9] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[8] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[7] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[6] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[5] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[4] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[3] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[2] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[1] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[0] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; RAMSELreg ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[2] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[1] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; S[0] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[17] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[16] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[15] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[14] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[13] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[12] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[11] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[10] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[9] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[8] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -+---------+-----------+-----------+--------------+-------------+--------------+------------+------------+ ++------------------------------------------------------------------------------------------------------+ +; Setup: 'C7M' ; ++---------+-----------+----------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-----------+----------+--------------+-------------+--------------+------------+------------+ +; -47.500 ; REGEN ; Addr[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[14] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[22] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[6] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[7] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Addr[8] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Bank[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Bank[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Bank[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Bank[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Bank[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Bank[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Bank[6] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.500 ; REGEN ; Bank[7] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; RASr ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; ASel ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; CASr ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -34.500 ; REGEN ; IncAddrL ; C7M ; C7M ; 0.500 ; 0.000 ; 31.000 ; +; -34.500 ; REGEN ; IncAddrH ; C7M ; C7M ; 0.500 ; 0.000 ; 31.000 ; +; -34.500 ; REGEN ; IncAddrM ; C7M ; C7M ; 0.500 ; 0.000 ; 31.000 ; +; -25.500 ; S[0] ; Addr[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[14] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[14] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[14] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[22] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[22] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[22] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[0] ; Addr[6] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[2] ; Addr[6] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -25.500 ; S[1] ; Addr[6] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; ++---------+-----------+----------+--------------+-------------+--------------+------------+------------+ +-------------------------------------------------------------------------------------------------------------------------+ @@ -252,11 +264,11 @@ No paths to report. ; -5.500 ; S[1] ; CASf ; C7M ; C7M_2 ; 0.500 ; 20.000 ; 22.000 ; ; -5.500 ; S[0] ; CASf ; C7M ; C7M_2 ; 0.500 ; 20.000 ; 22.000 ; ; -5.500 ; S[2] ; RASf ; C7M ; C7M_2 ; 0.500 ; 20.000 ; 22.000 ; -; -5.500 ; S[1] ; RASf ; C7M ; C7M_2 ; 0.500 ; 20.000 ; 22.000 ; ; -5.500 ; lpm_counter:Ref_rtl_0|dffs[3] ; RASf ; C7M ; C7M_2 ; 0.500 ; 20.000 ; 22.000 ; ; -5.500 ; lpm_counter:Ref_rtl_0|dffs[2] ; RASf ; C7M ; C7M_2 ; 0.500 ; 20.000 ; 22.000 ; ; -5.500 ; lpm_counter:Ref_rtl_0|dffs[1] ; RASf ; C7M ; C7M_2 ; 0.500 ; 20.000 ; 22.000 ; ; -5.500 ; lpm_counter:Ref_rtl_0|dffs[0] ; RASf ; C7M ; C7M_2 ; 0.500 ; 20.000 ; 22.000 ; +; -5.500 ; S[1] ; RASf ; C7M ; C7M_2 ; 0.500 ; 20.000 ; 22.000 ; ; -5.500 ; S[0] ; RASf ; C7M ; C7M_2 ; 0.500 ; 20.000 ; 22.000 ; +---------+-------------------------------+---------+--------------+-------------+--------------+------------+------------+ @@ -270,122 +282,122 @@ No paths to report. ; -1.500 ; S[1] ; CASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 22.000 ; ; -1.500 ; S[0] ; CASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 22.000 ; ; -1.500 ; S[2] ; RASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 22.000 ; -; -1.500 ; S[1] ; RASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 22.000 ; ; -1.500 ; lpm_counter:Ref_rtl_0|dffs[3] ; RASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 22.000 ; ; -1.500 ; lpm_counter:Ref_rtl_0|dffs[2] ; RASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 22.000 ; ; -1.500 ; lpm_counter:Ref_rtl_0|dffs[1] ; RASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 22.000 ; ; -1.500 ; lpm_counter:Ref_rtl_0|dffs[0] ; RASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 22.000 ; +; -1.500 ; S[1] ; RASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 22.000 ; ; -1.500 ; S[0] ; RASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 22.000 ; ; 20.500 ; REGEN ; RASf ; C7M ; C7M_2 ; -0.500 ; 20.000 ; 44.000 ; +--------+-------------------------------+---------+--------------+-------------+--------------+------------+------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'C7M' ; -+--------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ -; 5.000 ; PHI1reg ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; PHI0seen ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[0] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[1] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[2] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; PHI1reg ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; PHI0seen ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[1] ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[2] ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[0] ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; PHI1reg ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; PHI0seen ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[0] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[2] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[1] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; IOROMEN ; IOROMEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; RAMSELreg ; RAMSELreg ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[0] ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[9] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[16] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[17] ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[1] ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[2] ; Addr[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[18] ; Addr[18] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[10] ; Addr[10] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[11] ; Addr[11] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[19] ; Addr[19] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[3] ; Addr[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[4] ; Addr[4] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[20] ; Addr[20] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[12] ; Addr[12] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[13] ; Addr[13] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[21] ; Addr[21] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[5] ; Addr[5] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[6] ; Addr[6] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[22] ; Addr[22] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[14] ; Addr[14] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[15] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[7] ; Addr[7] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; Addr[8] ; Addr[8] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[2] ; FullIOEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[1] ; FullIOEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[0] ; FullIOEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; REGEN ; FullIOEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 18.000 ; S[0] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; CSDBEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; IOROMEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; IOROMEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; IOROMEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; RAMSELreg ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; RAMSELreg ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; RAMSELreg ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; RAMSELreg ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[8] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[7] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[6] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[5] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[4] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[3] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[2] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[1] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[0] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; RAMSELreg ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -+--------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'C7M' ; ++-------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ +; 5.000 ; PHI1reg ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; PHI0seen ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[2] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[1] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[0] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; PHI1reg ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; PHI0seen ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[2] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[1] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[0] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; PHI1reg ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; PHI0seen ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[1] ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[2] ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[0] ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; IOROMEN ; IOROMEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; IncAddrL ; IncAddrL ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[0] ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[1] ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[15] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; IncAddrH ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; IncAddrM ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[15] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[14] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[13] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[12] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[11] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[10] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[9] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[8] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[16] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[17] ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[9] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[10] ; Addr[10] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[18] ; Addr[18] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[2] ; Addr[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[3] ; Addr[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[19] ; Addr[19] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[11] ; Addr[11] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[12] ; Addr[12] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[20] ; Addr[20] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[4] ; Addr[4] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[5] ; Addr[5] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[21] ; Addr[21] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[13] ; Addr[13] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[14] ; Addr[14] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[22] ; Addr[22] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[6] ; Addr[6] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[7] ; Addr[7] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[7] ; IncAddrM ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; IncAddrL ; IncAddrM ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[6] ; IncAddrM ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[5] ; IncAddrM ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[4] ; IncAddrM ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[3] ; IncAddrM ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[2] ; IncAddrM ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[1] ; IncAddrM ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[0] ; IncAddrM ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; IncAddrM ; IncAddrM ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[8] ; Addr[8] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.500 ; S[0] ; IncAddrL ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[2] ; IncAddrL ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[1] ; IncAddrL ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[2] ; IncAddrH ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[0] ; IncAddrH ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[1] ; IncAddrH ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[2] ; IncAddrM ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[0] ; IncAddrM ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[1] ; IncAddrM ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[2] ; FullIOEN ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[1] ; FullIOEN ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; S[0] ; FullIOEN ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; +; 5.500 ; REGEN ; FullIOEN ; C7M ; C7M ; -0.500 ; 0.000 ; 9.000 ; ++-------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------+ @@ -413,82 +425,86 @@ No paths to report. +--------+--------------+----------------+------------------+-------+------------+-------------------------------+ ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; ASel ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; ASel ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[0] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[0] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[10] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[10] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[11] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[11] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[12] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[12] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[13] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[13] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[14] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[14] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[15] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[15] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[16] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[16] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[17] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[17] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[18] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[18] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[19] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[19] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[1] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[1] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[20] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[20] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[21] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[21] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[22] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[22] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[2] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[2] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[3] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[3] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[4] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[4] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[5] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[5] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[6] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[6] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[7] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[7] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[8] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[8] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[9] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[9] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[0] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[0] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[1] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[1] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[2] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[2] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[3] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[3] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[4] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[4] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[5] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[5] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[6] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[6] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[7] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[7] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[0] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[0] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[10] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[10] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[11] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[11] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[12] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[12] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[13] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[13] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[14] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[14] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[15] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[15] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[16] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[16] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[17] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[17] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[18] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[18] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[19] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[19] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[1] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[1] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[20] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[20] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[21] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[21] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[22] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[22] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[2] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[2] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[3] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[3] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[4] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[4] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[5] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[5] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[6] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[6] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[7] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[7] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[8] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[8] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[9] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[9] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[0] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[0] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[1] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[1] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[2] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[2] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[3] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[3] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[4] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[4] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[5] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[5] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[6] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[6] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[7] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[7] ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; CASr ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; CASr ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; CSDBEN ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; CSDBEN ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; FullIOEN ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; FullIOEN ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; FullIOEN ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; FullIOEN ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; IOROMEN ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; IOROMEN ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; IncAddrH ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; IncAddrH ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; IncAddrL ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; IncAddrL ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; IncAddrM ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; IncAddrM ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; PHI0seen ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; PHI0seen ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; PHI1reg ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; PHI1reg ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; RAMSELreg ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; RAMSELreg ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; RASr ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; RASr ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; REGEN ; @@ -507,10 +523,6 @@ No paths to report. ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; lpm_counter:Ref_rtl_0|dffs[2] ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; lpm_counter:Ref_rtl_0|dffs[3] ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; lpm_counter:Ref_rtl_0|dffs[3] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; C7M ; Rise ; ASel|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; C7M ; Rise ; ASel|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; C7M ; Rise ; Addr[0]|clk ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; C7M ; Rise ; Addr[0]|clk ; +--------+--------------+----------------+------------------+-------+------------+-------------------------------+ @@ -531,20 +543,26 @@ No paths to report. ; A[8] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; ; A[9] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; ; A[10] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; -; D[*] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; -; D[0] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; -; D[1] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; -; D[2] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; -; D[3] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; -; D[4] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; -; D[5] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; -; D[6] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; -; D[7] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; ; PHI1in ; C7M ; 101.000 ; 101.000 ; Rise ; C7M ; ; nDEVSEL ; C7M ; 46.000 ; 46.000 ; Rise ; C7M ; ; nIOSEL ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; ; nIOSTRB ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; -; nWE ; C7M ; 46.000 ; 46.000 ; Rise ; C7M ; +; A[*] ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; +; A[0] ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; +; A[1] ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; +; A[2] ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; +; A[3] ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; +; D[*] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; +; D[0] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; +; D[1] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; +; D[2] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; +; D[3] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; +; D[4] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; +; D[5] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; +; D[6] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; +; D[7] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; +; nDEVSEL ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; +; nWE ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; ; A[*] ; C7M_2 ; 26.000 ; 26.000 ; Fall ; C7M_2 ; ; A[0] ; C7M_2 ; 26.000 ; 26.000 ; Fall ; C7M_2 ; ; A[1] ; C7M_2 ; 26.000 ; 26.000 ; Fall ; C7M_2 ; @@ -560,11 +578,11 @@ No paths to report. +-----------+------------+---------+---------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+---------+---------+------------+-----------------+ -; A[*] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; A[0] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; A[1] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; A[2] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; A[3] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; A[*] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; A[0] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; A[1] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; A[2] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; A[3] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; A[4] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; A[5] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; A[6] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; @@ -572,20 +590,26 @@ No paths to report. ; A[8] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; A[9] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; A[10] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; D[*] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; D[0] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; D[1] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; D[2] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; D[3] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; D[4] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; D[5] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; D[6] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; D[7] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; PHI1in ; C7M ; -12.000 ; -12.000 ; Rise ; C7M ; -; nDEVSEL ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; nDEVSEL ; C7M ; -38.000 ; -38.000 ; Rise ; C7M ; ; nIOSEL ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; nIOSTRB ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; nWE ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; A[*] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; A[0] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; A[1] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; A[2] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; A[3] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; D[*] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; D[0] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; D[1] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; D[2] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; D[3] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; D[4] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; D[5] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; D[6] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; D[7] ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; nDEVSEL ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; +; nWE ; C7M ; -3.000 ; -3.000 ; Fall ; C7M ; ; A[*] ; C7M_2 ; -18.000 ; -18.000 ; Fall ; C7M_2 ; ; A[0] ; C7M_2 ; -18.000 ; -18.000 ; Fall ; C7M_2 ; ; A[1] ; C7M_2 ; -18.000 ; -18.000 ; Fall ; C7M_2 ; @@ -601,15 +625,6 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; D[*] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[0] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[1] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[2] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[3] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[4] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[5] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[6] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[7] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; ; RA[*] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; ; RA[0] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; ; RA[1] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; @@ -626,8 +641,29 @@ No paths to report. ; nCAS1 ; C7M ; 43.000 ; 43.000 ; Rise ; C7M ; ; nRAS ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; ; nRCS ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; C7Mout ; C7M_2 ; 19.000 ; 19.000 ; Rise ; C7M_2 ; -; C7Mout ; C7M_2 ; 19.000 ; 19.000 ; Fall ; C7M_2 ; +; D[*] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[0] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[1] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[2] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[3] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[4] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[5] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[6] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[7] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[*] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[0] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[1] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[2] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[3] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[4] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[5] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[6] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[7] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[8] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[9] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[10] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; nCAS0 ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; nCAS1 ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; ; nCAS0 ; C7M_2 ; 41.000 ; 41.000 ; Fall ; C7M_2 ; ; nCAS1 ; C7M_2 ; 41.000 ; 41.000 ; Fall ; C7M_2 ; ; nRAS ; C7M_2 ; 41.000 ; 41.000 ; Fall ; C7M_2 ; @@ -639,15 +675,6 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; D[*] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[0] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[1] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[2] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[3] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[4] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[5] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[6] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; D[7] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; ; RA[*] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; ; RA[0] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; ; RA[1] ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; @@ -664,142 +691,162 @@ No paths to report. ; nCAS1 ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; ; nRAS ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; ; nRCS ; C7M ; 21.000 ; 21.000 ; Rise ; C7M ; -; C7Mout ; C7M_2 ; 19.000 ; 19.000 ; Rise ; C7M_2 ; -; C7Mout ; C7M_2 ; 19.000 ; 19.000 ; Fall ; C7M_2 ; +; D[*] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[0] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[1] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[2] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[3] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[4] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[5] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[6] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; D[7] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[*] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[0] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[1] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[2] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[3] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[4] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[5] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[6] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[7] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[8] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[9] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; RA[10] ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; nCAS0 ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; +; nCAS1 ; C7M ; 21.000 ; 21.000 ; Fall ; C7M ; ; nCAS0 ; C7M_2 ; 41.000 ; 41.000 ; Fall ; C7M_2 ; ; nCAS1 ; C7M_2 ; 41.000 ; 41.000 ; Fall ; C7M_2 ; ; nRAS ; C7M_2 ; 41.000 ; 41.000 ; Fall ; C7M_2 ; +-----------+------------+--------+--------+------------+-----------------+ -+----------------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+---------+--------+--------+---------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+---------+--------+--------+---------+ -; A[0] ; D[0] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[0] ; D[1] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[0] ; D[2] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[0] ; D[3] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[0] ; D[4] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[0] ; D[5] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[0] ; D[6] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[0] ; D[7] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[0] ; nCAS0 ; 41.000 ; ; ; 41.000 ; -; A[0] ; nCAS1 ; 41.000 ; ; ; 41.000 ; -; A[1] ; D[0] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[1] ; D[1] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[1] ; D[2] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[1] ; D[3] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[1] ; D[4] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[1] ; D[5] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[1] ; D[6] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[1] ; D[7] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; A[1] ; nCAS0 ; 41.000 ; ; ; 41.000 ; -; A[1] ; nCAS1 ; 41.000 ; ; ; 41.000 ; -; A[2] ; D[0] ; ; 19.000 ; 19.000 ; ; -; A[2] ; D[1] ; ; 19.000 ; 19.000 ; ; -; A[2] ; D[2] ; ; 19.000 ; 19.000 ; ; -; A[2] ; D[3] ; ; 19.000 ; 19.000 ; ; -; A[2] ; D[4] ; ; 19.000 ; 19.000 ; ; -; A[2] ; D[5] ; ; 19.000 ; 19.000 ; ; -; A[2] ; D[6] ; ; 19.000 ; 19.000 ; ; -; A[2] ; D[7] ; ; 19.000 ; 19.000 ; ; -; A[2] ; nCAS0 ; ; 41.000 ; 41.000 ; ; -; A[2] ; nCAS1 ; ; 41.000 ; 41.000 ; ; -; A[3] ; D[0] ; ; 19.000 ; 19.000 ; ; -; A[3] ; D[1] ; ; 19.000 ; 19.000 ; ; -; A[3] ; D[2] ; ; 19.000 ; 19.000 ; ; -; A[3] ; D[3] ; ; 19.000 ; 19.000 ; ; -; A[3] ; D[4] ; ; 19.000 ; 19.000 ; ; -; A[3] ; D[5] ; ; 19.000 ; 19.000 ; ; -; A[3] ; D[6] ; ; 19.000 ; 19.000 ; ; -; A[3] ; D[7] ; ; 19.000 ; 19.000 ; ; -; A[3] ; nCAS0 ; ; 41.000 ; 41.000 ; ; -; A[3] ; nCAS1 ; ; 41.000 ; 41.000 ; ; -; D[0] ; RD[0] ; 19.000 ; ; ; 19.000 ; -; D[1] ; RD[1] ; 19.000 ; ; ; 19.000 ; -; D[2] ; RD[2] ; 19.000 ; ; ; 19.000 ; -; D[3] ; RD[3] ; 19.000 ; ; ; 19.000 ; -; D[4] ; RD[4] ; 19.000 ; ; ; 19.000 ; -; D[5] ; RD[5] ; 19.000 ; ; ; 19.000 ; -; D[6] ; RD[6] ; 19.000 ; ; ; 19.000 ; -; D[7] ; RD[7] ; 19.000 ; ; ; 19.000 ; -; PHI1in ; PHI1out ; 100.000 ; ; ; 100.000 ; -; RD[0] ; D[0] ; 19.000 ; ; ; 19.000 ; -; RD[1] ; D[1] ; 19.000 ; ; ; 19.000 ; -; RD[2] ; D[2] ; 19.000 ; ; ; 19.000 ; -; RD[3] ; D[3] ; 19.000 ; ; ; 19.000 ; -; RD[4] ; D[4] ; 19.000 ; ; ; 19.000 ; -; RD[5] ; D[5] ; 19.000 ; ; ; 19.000 ; -; RD[6] ; D[6] ; 19.000 ; ; ; 19.000 ; -; RD[7] ; D[7] ; 19.000 ; ; ; 19.000 ; -; nDEVSEL ; D[0] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; -; nDEVSEL ; D[1] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; -; nDEVSEL ; D[2] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; -; nDEVSEL ; D[3] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; -; nDEVSEL ; D[4] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; -; nDEVSEL ; D[5] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; -; nDEVSEL ; D[6] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; -; nDEVSEL ; D[7] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; -; nDEVSEL ; nCAS0 ; ; 41.000 ; 41.000 ; ; -; nDEVSEL ; nCAS1 ; ; 41.000 ; 41.000 ; ; -; nDEVSEL ; nRWE ; 19.000 ; ; ; 19.000 ; -; nIOSEL ; D[0] ; ; 26.000 ; 26.000 ; ; -; nIOSEL ; D[1] ; ; 26.000 ; 26.000 ; ; -; nIOSEL ; D[2] ; ; 26.000 ; 26.000 ; ; -; nIOSEL ; D[3] ; ; 26.000 ; 26.000 ; ; -; nIOSEL ; D[4] ; ; 26.000 ; 26.000 ; ; -; nIOSEL ; D[5] ; ; 26.000 ; 26.000 ; ; -; nIOSEL ; D[6] ; ; 26.000 ; 26.000 ; ; -; nIOSEL ; D[7] ; ; 26.000 ; 26.000 ; ; -; nIOSEL ; RA[0] ; 19.000 ; ; ; 19.000 ; -; nIOSEL ; RA[1] ; 19.000 ; ; ; 19.000 ; -; nIOSEL ; RA[2] ; 19.000 ; ; ; 19.000 ; -; nIOSEL ; RA[3] ; 19.000 ; ; ; 19.000 ; -; nIOSEL ; RA[4] ; 19.000 ; ; ; 19.000 ; -; nIOSEL ; RA[5] ; 19.000 ; ; ; 19.000 ; -; nIOSEL ; RA[6] ; 19.000 ; ; ; 19.000 ; -; nIOSEL ; RA[7] ; 19.000 ; ; ; 19.000 ; -; nIOSEL ; nRCS ; ; 19.000 ; 19.000 ; ; -; nIOSEL ; nRWE ; 19.000 ; ; ; 19.000 ; -; nIOSTRB ; D[0] ; ; 26.000 ; 26.000 ; ; -; nIOSTRB ; D[1] ; ; 26.000 ; 26.000 ; ; -; nIOSTRB ; D[2] ; ; 26.000 ; 26.000 ; ; -; nIOSTRB ; D[3] ; ; 26.000 ; 26.000 ; ; -; nIOSTRB ; D[4] ; ; 26.000 ; 26.000 ; ; -; nIOSTRB ; D[5] ; ; 26.000 ; 26.000 ; ; -; nIOSTRB ; D[6] ; ; 26.000 ; 26.000 ; ; -; nIOSTRB ; D[7] ; ; 26.000 ; 26.000 ; ; -; nIOSTRB ; RA[0] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; nIOSTRB ; RA[1] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; nIOSTRB ; RA[2] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; nIOSTRB ; RA[3] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; nIOSTRB ; RA[4] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; nIOSTRB ; RA[5] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; nIOSTRB ; RA[6] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; nIOSTRB ; RA[7] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; -; nIOSTRB ; nRCS ; ; 19.000 ; 19.000 ; ; -; nIOSTRB ; nRWE ; 19.000 ; ; ; 19.000 ; -; nWE ; D[0] ; 26.000 ; ; ; 26.000 ; -; nWE ; D[1] ; 26.000 ; ; ; 26.000 ; -; nWE ; D[2] ; 26.000 ; ; ; 26.000 ; -; nWE ; D[3] ; 26.000 ; ; ; 26.000 ; -; nWE ; D[4] ; 26.000 ; ; ; 26.000 ; -; nWE ; D[5] ; 26.000 ; ; ; 26.000 ; -; nWE ; D[6] ; 26.000 ; ; ; 26.000 ; -; nWE ; D[7] ; 26.000 ; ; ; 26.000 ; -; nWE ; RD[0] ; ; 26.000 ; 26.000 ; ; -; nWE ; RD[1] ; ; 26.000 ; 26.000 ; ; -; nWE ; RD[2] ; ; 26.000 ; 26.000 ; ; -; nWE ; RD[3] ; ; 26.000 ; 26.000 ; ; -; nWE ; RD[4] ; ; 26.000 ; 26.000 ; ; -; nWE ; RD[5] ; ; 26.000 ; 26.000 ; ; -; nWE ; RD[6] ; ; 26.000 ; 26.000 ; ; -; nWE ; RD[7] ; ; 26.000 ; 26.000 ; ; -; nWE ; nROE ; ; 19.000 ; 19.000 ; ; -; nWE ; nRWE ; 19.000 ; ; ; 19.000 ; -+------------+-------------+---------+--------+--------+---------+ ++--------------------------------------------------------------+ +; Propagation Delay ; ++------------+-------------+--------+--------+--------+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+--------+--------+--------+ +; A[0] ; D[0] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[0] ; D[1] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[0] ; D[2] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[0] ; D[3] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[0] ; D[4] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[0] ; D[5] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[0] ; D[6] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[0] ; D[7] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[0] ; nCAS0 ; 41.000 ; ; ; 41.000 ; +; A[0] ; nCAS1 ; 41.000 ; ; ; 41.000 ; +; A[1] ; D[0] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[1] ; D[1] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[1] ; D[2] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[1] ; D[3] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[1] ; D[4] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[1] ; D[5] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[1] ; D[6] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[1] ; D[7] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; A[1] ; nCAS0 ; 41.000 ; ; ; 41.000 ; +; A[1] ; nCAS1 ; 41.000 ; ; ; 41.000 ; +; A[2] ; D[0] ; ; 19.000 ; 19.000 ; ; +; A[2] ; D[1] ; ; 19.000 ; 19.000 ; ; +; A[2] ; D[2] ; ; 19.000 ; 19.000 ; ; +; A[2] ; D[3] ; ; 19.000 ; 19.000 ; ; +; A[2] ; D[4] ; ; 19.000 ; 19.000 ; ; +; A[2] ; D[5] ; ; 19.000 ; 19.000 ; ; +; A[2] ; D[6] ; ; 19.000 ; 19.000 ; ; +; A[2] ; D[7] ; ; 19.000 ; 19.000 ; ; +; A[2] ; nCAS0 ; ; 41.000 ; 41.000 ; ; +; A[2] ; nCAS1 ; ; 41.000 ; 41.000 ; ; +; A[3] ; D[0] ; ; 19.000 ; 19.000 ; ; +; A[3] ; D[1] ; ; 19.000 ; 19.000 ; ; +; A[3] ; D[2] ; ; 19.000 ; 19.000 ; ; +; A[3] ; D[3] ; ; 19.000 ; 19.000 ; ; +; A[3] ; D[4] ; ; 19.000 ; 19.000 ; ; +; A[3] ; D[5] ; ; 19.000 ; 19.000 ; ; +; A[3] ; D[6] ; ; 19.000 ; 19.000 ; ; +; A[3] ; D[7] ; ; 19.000 ; 19.000 ; ; +; A[3] ; nCAS0 ; ; 41.000 ; 41.000 ; ; +; A[3] ; nCAS1 ; ; 41.000 ; 41.000 ; ; +; D[0] ; RD[0] ; 19.000 ; ; ; 19.000 ; +; D[1] ; RD[1] ; 19.000 ; ; ; 19.000 ; +; D[2] ; RD[2] ; 19.000 ; ; ; 19.000 ; +; D[3] ; RD[3] ; 19.000 ; ; ; 19.000 ; +; D[4] ; RD[4] ; 19.000 ; ; ; 19.000 ; +; D[5] ; RD[5] ; 19.000 ; ; ; 19.000 ; +; D[6] ; RD[6] ; 19.000 ; ; ; 19.000 ; +; D[7] ; RD[7] ; 19.000 ; ; ; 19.000 ; +; RD[0] ; D[0] ; 19.000 ; ; ; 19.000 ; +; RD[1] ; D[1] ; 19.000 ; ; ; 19.000 ; +; RD[2] ; D[2] ; 19.000 ; ; ; 19.000 ; +; RD[3] ; D[3] ; 19.000 ; ; ; 19.000 ; +; RD[4] ; D[4] ; 19.000 ; ; ; 19.000 ; +; RD[5] ; D[5] ; 19.000 ; ; ; 19.000 ; +; RD[6] ; D[6] ; 19.000 ; ; ; 19.000 ; +; RD[7] ; D[7] ; 19.000 ; ; ; 19.000 ; +; nDEVSEL ; D[0] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; +; nDEVSEL ; D[1] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; +; nDEVSEL ; D[2] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; +; nDEVSEL ; D[3] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; +; nDEVSEL ; D[4] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; +; nDEVSEL ; D[5] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; +; nDEVSEL ; D[6] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; +; nDEVSEL ; D[7] ; 19.000 ; 26.000 ; 26.000 ; 19.000 ; +; nDEVSEL ; nCAS0 ; ; 41.000 ; 41.000 ; ; +; nDEVSEL ; nCAS1 ; ; 41.000 ; 41.000 ; ; +; nDEVSEL ; nRWE ; 19.000 ; ; ; 19.000 ; +; nIOSEL ; D[0] ; ; 26.000 ; 26.000 ; ; +; nIOSEL ; D[1] ; ; 26.000 ; 26.000 ; ; +; nIOSEL ; D[2] ; ; 26.000 ; 26.000 ; ; +; nIOSEL ; D[3] ; ; 26.000 ; 26.000 ; ; +; nIOSEL ; D[4] ; ; 26.000 ; 26.000 ; ; +; nIOSEL ; D[5] ; ; 26.000 ; 26.000 ; ; +; nIOSEL ; D[6] ; ; 26.000 ; 26.000 ; ; +; nIOSEL ; D[7] ; ; 26.000 ; 26.000 ; ; +; nIOSEL ; RA[0] ; 19.000 ; ; ; 19.000 ; +; nIOSEL ; RA[1] ; 19.000 ; ; ; 19.000 ; +; nIOSEL ; RA[2] ; 19.000 ; ; ; 19.000 ; +; nIOSEL ; RA[3] ; 19.000 ; ; ; 19.000 ; +; nIOSEL ; RA[4] ; 19.000 ; ; ; 19.000 ; +; nIOSEL ; RA[5] ; 19.000 ; ; ; 19.000 ; +; nIOSEL ; RA[6] ; 19.000 ; ; ; 19.000 ; +; nIOSEL ; RA[7] ; 19.000 ; ; ; 19.000 ; +; nIOSEL ; nRCS ; ; 19.000 ; 19.000 ; ; +; nIOSEL ; nRWE ; 19.000 ; ; ; 19.000 ; +; nIOSTRB ; D[0] ; ; 26.000 ; 26.000 ; ; +; nIOSTRB ; D[1] ; ; 26.000 ; 26.000 ; ; +; nIOSTRB ; D[2] ; ; 26.000 ; 26.000 ; ; +; nIOSTRB ; D[3] ; ; 26.000 ; 26.000 ; ; +; nIOSTRB ; D[4] ; ; 26.000 ; 26.000 ; ; +; nIOSTRB ; D[5] ; ; 26.000 ; 26.000 ; ; +; nIOSTRB ; D[6] ; ; 26.000 ; 26.000 ; ; +; nIOSTRB ; D[7] ; ; 26.000 ; 26.000 ; ; +; nIOSTRB ; RA[0] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; nIOSTRB ; RA[1] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; nIOSTRB ; RA[2] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; nIOSTRB ; RA[3] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; nIOSTRB ; RA[4] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; nIOSTRB ; RA[5] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; nIOSTRB ; RA[6] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; nIOSTRB ; RA[7] ; 19.000 ; 19.000 ; 19.000 ; 19.000 ; +; nIOSTRB ; nRCS ; ; 19.000 ; 19.000 ; ; +; nIOSTRB ; nRWE ; 19.000 ; ; ; 19.000 ; +; nWE ; D[0] ; 26.000 ; ; ; 26.000 ; +; nWE ; D[1] ; 26.000 ; ; ; 26.000 ; +; nWE ; D[2] ; 26.000 ; ; ; 26.000 ; +; nWE ; D[3] ; 26.000 ; ; ; 26.000 ; +; nWE ; D[4] ; 26.000 ; ; ; 26.000 ; +; nWE ; D[5] ; 26.000 ; ; ; 26.000 ; +; nWE ; D[6] ; 26.000 ; ; ; 26.000 ; +; nWE ; D[7] ; 26.000 ; ; ; 26.000 ; +; nWE ; RD[0] ; ; 26.000 ; 26.000 ; ; +; nWE ; RD[1] ; ; 26.000 ; 26.000 ; ; +; nWE ; RD[2] ; ; 26.000 ; 26.000 ; ; +; nWE ; RD[3] ; ; 26.000 ; 26.000 ; ; +; nWE ; RD[4] ; ; 26.000 ; 26.000 ; ; +; nWE ; RD[5] ; ; 26.000 ; 26.000 ; ; +; nWE ; RD[6] ; ; 26.000 ; 26.000 ; ; +; nWE ; RD[7] ; ; 26.000 ; 26.000 ; ; +; nWE ; nROE ; ; 19.000 ; 19.000 ; ; +; nWE ; nRWE ; 19.000 ; ; ; 19.000 ; ++------------+-------------+--------+--------+--------+--------+ +--------------------------------------------------------------+ @@ -855,7 +902,6 @@ No paths to report. ; D[5] ; RD[5] ; 19.000 ; ; ; 19.000 ; ; D[6] ; RD[6] ; 19.000 ; ; ; 19.000 ; ; D[7] ; RD[7] ; 19.000 ; ; ; 19.000 ; -; PHI1in ; PHI1out ; 19.000 ; ; ; 19.000 ; ; RD[0] ; D[0] ; 19.000 ; ; ; 19.000 ; ; RD[1] ; D[1] ; 19.000 ; ; ; 19.000 ; ; RD[2] ; D[2] ; 19.000 ; ; ; 19.000 ; @@ -1041,7 +1087,7 @@ No paths to report. +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C7M ; C7M ; 736 ; 0 ; 0 ; 0 ; +; C7M ; C7M ; 87 ; 0 ; 324 ; 200 ; ; C7M ; C7M_2 ; 0 ; 0 ; 14 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1052,7 +1098,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C7M ; C7M ; 736 ; 0 ; 0 ; 0 ; +; C7M ; C7M ; 87 ; 0 ; 324 ; 200 ; ; C7M ; C7M_2 ; 0 ; 0 ; 14 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1077,10 +1123,10 @@ No dedicated SERDES Receiver circuitry present in device or used in design +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 34 ; 34 ; -; Unconstrained Input Port Paths ; 449 ; 449 ; -; Unconstrained Output Ports ; 35 ; 35 ; -; Unconstrained Output Port Paths ; 267 ; 267 ; +; Unconstrained Input Ports ; 33 ; 33 ; +; Unconstrained Input Port Paths ; 463 ; 463 ; +; Unconstrained Output Ports ; 33 ; 33 ; +; Unconstrained Output Port Paths ; 265 ; 265 ; +---------------------------------+-------+------+ @@ -1088,9 +1134,9 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; TimeQuest Timing Analyzer Messages ; +------------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit TimeQuest Timing Analyzer +Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Sep 02 20:55:59 2019 + Info: Processing started: Tue Sep 03 14:09:19 2019 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Warning (20028): Parallel compilation is not licensed and has been disabled @@ -1104,10 +1150,10 @@ Info (332105): Deriving Clocks Info (332105): create_clock -period 1.000 -name C7M_2 C7M_2 Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -47.000 +Info (332146): Worst-case setup slack is -47.500 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -47.000 -1816.000 C7M + Info (332119): -47.500 -1888.500 C7M Info (332119): -27.500 -33.000 C7M_2 Info (332146): Worst-case hold slack is -1.500 Info (332119): Slack End Point TNS Clock @@ -1120,14 +1166,14 @@ Info (332146): Worst-case minimum pulse width slack is -5.500 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== Info (332119): -5.500 -22.000 C7M_2 - Info (332119): -4.500 -432.000 C7M + Info (332119): -4.500 -450.000 C7M Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 263 megabytes - Info: Processing ended: Mon Sep 02 20:56:05 2019 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:05 +Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 4530 megabytes + Info: Processing ended: Tue Sep 03 14:09:20 2019 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:00 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 68c274a..f0e328a 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,8 +3,8 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C7M' -Slack : -47.000 -TNS : -1816.000 +Slack : -47.500 +TNS : -1888.500 Type : Setup 'C7M_2' Slack : -27.500 @@ -24,6 +24,6 @@ TNS : -22.000 Type : Minimum Pulse Width 'C7M' Slack : -4.500 -TNS : -432.000 +TNS : -450.000 ------------------------------------------------------------

%NuH_?xCN zMK z)16?ue%M4+L;AO}H`T4@$aRhVB}YOi8=~KGn2RR-chr)WZ~p?;xIuo`(q)+Pb6N;B+{`rF}Ws zco4-p6SEW@1}^GcW1_eb+C)Zrje*FWm3y3z6e(#GBS&Nd%+0+6^1d}X`^EFIVGDW- z0%P$mKQ{$I3Y~MOznd~g*|2lbo%&zAUB*;^nqjF2*zCAgec*3R3)kZ4&#gTjY2+gN z!7GUKUpf^jUjK5{ByNgH->_m_{-hYJ(l4R=Lr)~}ay9tVnSKSFwu0KvCKVGr+rQWJ zpnrB#jFF@V9S69L1{odj!q#Hlva=liaTx&4-xp!+aL8}IHS(H7$?-iJuO+}i z`prJiErz`mjA5PpIp3}3)c6{MWEQWl`dIdSKO%5tT$_Prz5;1Z)m#PP9O*c_*S_(( zO{-clmPSoJwrm+!H}p6DHb-KsJWJwjrTdg%2*`Ogts%qj`jMUCd4fPjg|?gMckxZI z;JjV8!Gu{J38rz>`^R0QRBW2Z4Iyg|@x z?|-zKVO6UHNTv(%oobLG%#=9&Mbx{m^`7+i=;;&Z7T~bUS?ZZ0lekC zneMeSc5-KQZozaDKl@&3lQo=IGG?eo**`S0{RO|#$ zkz19Wj=@Lt#n#Dzhnp|>EcSns{2;=DV_-Msn5mmY6F;S4gT2y&nOr1LA8 z{jTeTqYiYsgPr6cW=b;AJG=vKJR;kyeid;IMXwSI9`8KHP7)9e8P&Vc*#8;bPyE{W zx~YJbYDDEMbo$-X!5Y?L(G}Ml?YGt2JZv;cOT3BUrY~>r++Oz)Ll|i)gegKQTFqsn zP9>F;D;r%+zth^q6Qi%5kExt_4JktIJ7-1%+Ww$%N@q8p{m3Lm-^@^tTV%E9OQtA9 z&sh_{4Y@q`-23@;35xpsyAx}4q_Zs!#ei9%Uj#S+yW}Eb;K$(3frez+6JP59ZG`6)P*%}BUe3}Ey(KliB{cftRZRaQL^QATQ*N{A!- zQMZc~W9yK)=zR2kfg(f;1i6VT-e9as-cBD>`f|WDBopv&&DmdG!1D(ptQm7Hw?@8n zK747N@r6pXb1hIe#Jslbs#7Hu{A!CY)U@blW(hLq-bAsJi@SHx0o8d5L!eX6FjGep z`{TNYWAf>1FI9A#{acLJ8mJPdY_k!H2)=T_om-L-!39smhlObV1%IWwTPPS*ZrsN( zm^2Fr;SnS&2MZo6w*O7q$Q3C|0HbrpJ`6BkWqudEiUvxWtvF~bb)5FLZv!8Ei1YSu z*_Re}R=2dU@abwvTp{vp{3^Wxljk zlCCk9M#pp4m$t)>rY<+9RfU%%VkH;ye&QBnvW2d*sd8X+LQ`EuJ>tr+c;wmBTk!`= z_O9IMIvUB;v(6N*yuajvYDW=h@U4sx8c`N5iHy(jOS&CYEz7G~>D*%yhZBiqStAC9 zfa*zy6&`x*17K`Aj6GN)~{avSi<1g z;y7+{ax^haeR)C!b;SvV7dLrVkbavS(5!2yME_zcpKvT2^LqpVx_jAYg1ci;*1pNe zjQh~qabPk1hED6n=Ky-xh4Xhin94@fwV$D_ofny-C?F{@3=SSQJe&bU49e%KH$tqB&}g)1q+tLeRctL*`=0+~cycglX>%Q^P8~; z@kX8B7XIS$B@pLXK!sXW1fpRwP46pcfHe-zpQvD&y$f;seOe_B9b2y$r-(D5 z5I0$xcBV_MNar2rMUP^x%O@VhqW_sSE&oYHIw}@KO$&s|%G9J0;Nb)IxxNyE&!v{0 zntttL3sZLZl?w4CteS9II__yzL?xAAA)E%wGG(FJ#?JJcTMshLR1PVyk^HqR2m@h_ zpcBUm85t8pCNAX9*!&Yd&j-S}$m?fbP}!k217j~U(%;pYGn0KYoxx{IJnSvBO$64R zerlpWL3N8U`XTze##ITlo z^ZhzdQk{3E)eMPY-|NkdpLj242Bw1}V`!_j`fLYPD-*quZ?p>dc-;mkqPO(0m16&$5p9_j{ABdK zGr)hl{M=Bg%R+_4>u6#(qJ6bwJqqHx${p4rmj_M;hvF5Qf`Y>Y62X=2V627)Yaejy zq^qAk+3kfCsE1>IImo`cVtoU#L~hxvB-G+&l+>!_z2bT1FzBR|K!2gl1fD(~9=%>5 zs4GFPlCDoN`rA7jYzj>q0I07tP3paWY!0p!oiw%#q%a_FU?c-}e`0?yY9S!t@Q^3D-!H`wcD}1y4tf{1F zH=;+S(E(%ufE>L2eW^X@J2I%ZFf3IGhAc-d8vESsD2{$$oldE|J-pbVl?!KTFvnaX zwcFR-Q5`%DwfMf5i5({!e0A_*Fp)VWqmBk)sV$E0f7vA?jQO!FAPo5`Yzv@LQ73ga zg{dzz`UwazeyzBHaqF2CL=tfrYzYtk) zSwreZx{=Siw=rbvzPQ{>8efeW$fid7v-Luu*)T^A*fw!Vqs}j1lfTZa)qDWpb_v{# zO5LRBlUpW7ql*N+LrNvY4}BGk*QD5nlP;KcX~uM_A;oRGa~~)PsRI*H;L>V?3Cnub z_WZ5mEVNpm_%tYk9+th@MHB?Nza+cLH|C$A>UbN|4N%)WRiPHgPkx~6m>vv(ahY}u zT?e?qo5e6UyuhI?Moefv3=`~&Nr^gT$E%(GIHR$6N3uZyhswBafE(m$>oT@Q1y?Om zFk%N&Cv-J#CcdlS1>#`$9Il4WgzPWfkA}s>&8PV4lBA#@Du{~yY4(&yFQ)P0e!xNR zo|xUcYP9VrD&~EYZU+Oa==i(HYb$029HHncvy3aLF;xztaV|^Qaac*PY-Wm{mGzA8 z>!g@;G#ebtAZ>;4D-zzXdbh>{5?a)KMreWBok1`u$H2CBf=pJ5MKfj6ESidE=-solA;X|FH66ISwYU|exy5cyYd{#eg$z3#JPW_OhFz%ObB=#-D&1c{- zS$ifmw@dWdV}KjfILX!57~2R~??%9UC!-Fkk2vjjYIB`hwPquO5pMY#p1#`OQs4wJ z9}bQnyXO3h$<@f|V~mQ0nDW+d(P_s#$oVC80{8(;0M6y{x)9htk~k<@%Cw^&15^0d z-F%~I2+?~<(axjZw*hzBO2no#t~16a4euvkN8OxhL$TSXe9T0#nVB@=SG&<~m*S%` zoWB}v&uvi09{?vv#6~5-dW1Iaknmqp;2!SoPb&2;AeHOj#e-Q7l*>wU%8Nh{Y@BU+j}qhXlT+UMDDg^ks9C^I)=DzSB(UaFzE zdV!S6yEd#SSRELiv#qT8g-ahOFGG}-`=}gjsKjCm-GL`#vkHr=MM$R`_n5-dj-SHe zm5DR`73zRXI-+9lG-8^}MW_<2|K?^C-!(|$h{^T6!W;K+%;u3W1lL)>0#;$ZLl1km ziSV-L1~Hk;i|BZV+&PoY0RIkfR?46!+`h&_m1DsEZhN8?(GF?TJ;h9w8z;Op$TEiA z`ouAz_8jnz>MUXb#fn`WPQXGRqrzjB3vKYNzc$BWmN`tC;xinI;UU09s9x)CGe7pb zyD7&G`o{@!QczrZxECQhj3}MEq9q)Un_7|)ZbMWKgh1cwjjvfY6HB6VR|n{*YjIL3 z85&!;Mz1 z68HPBsG2lp1}0YbH;cfFbj~Mem3kQR1*AFISx1bDuk_{}l!oMFZv-6mIb|Q5>E*(B z=ezeUomwvwY{3!A!t=<_`FEjTpOuQ4A(#WGHP81>Z8}il922duDl*9ixq4JNSKi z!!V^98;bb~Fqq}1 zy zFU8mMLyUD~7NHDGsP~d!#TKR@i;b;1RY@uwy4o{4BMwfM-ez&+!;#S;4{2qF)>ZkW<@&tT9{`A>ZPHHaNq z?f$C4EP!6bj)PW=;AL08T8)jmi26Xs7?%H6Q$B`09D|az#nQhV3=qG4gk$t|b||oTs*O;g9Ji9*wZL{^FQH zUw?*!AF#H(|I)N46)G-hQ5BiW7w1?E@88D{#+tOfkA!%{jQo2f<7mFYjiY}zg@tk& zJU5UmvO9L1nIUTm#n4oOXSb(K&&-qiOE;|)V2qlqL0~+-+|x8|H0}$m*v4@{`e;9Q z1hBM+?|6!!6Ki57_`A_^1a2h`t|h^S_{yqNz@~;%tE<{ge&g+05e&6a?2vGdCWN>K z1=Gf$gv`FjGv|PfQoH5CBYW<_K!^oq*eCj;K24@LtonMT4>c1JRt)azb;CwoQ(#I% zf89vCh-easg#|FKBq*YJY_%E^a%VFX{b>;va%a}1Q6p|^9mK6b|HiMBCrPYm3ekTH z0;5li_;u(L?VOx+qe8QSm+}P_YFW{QKAPlke`xfY9Ycn;mU^OwM0QPq~r= za5kt?0=q@Q6igyO-liWfn|j?~O1;PkVO0NBv=^u}FbXeaiYpL?NRdM-E}Efy&Wj%+ z#q61bNc)?o4A?{F!RP&838bH{AdS@}w5V(~7}vyRKvS5eviyFeIiJcwPPO?dDIB}K3lQC(9((!dP^*KA9E{u>uJ-NU1~reOpC z+QWa1Eu~{>eVy`qnY-g$$AN3F|AZp5#JZz)IIOPnEIU(8i(XW8RA{5~SLcXTJg1uu>$x|}`-f_A ziU!1I-;z0np(#=md8k*+jHmBDjE21ojZY4OKKdrO!lkS{(&+i9xgCxaA6`5lj>*_+ zz&aK^kOWD1%j}|UCE+q%h^2nh235^@{1v;fI#<*??CuO!Zdh?F!v=WDI@Xi2{C;Z; zZg##tk=l!r;2LCEv3xHrQpCs+=P7Fnm9f6vyu9_8jBmMh>#+f!S4ipe$i^YDu+rEt zXAG|720U>$eKIy-Kc=q>B4~W9Yiu@IzBRKYuvNv!3H5%%NI&f@ImfnhS;hv}TTCCw z9UDBA`k>VE?0PjKh(TDuT->aivlb%b8!e*`!$c0De1QelBriTUc$ zOFe$F;mX#tH}SKymusVsW}W|JYi2#(?f77QTpWiIvuNvMlv#pr055hHaF3=_e810# z?z^}3AQAga2XRDM`rrH^kh0A);*)M)!)-Cscc-vq7EuW3L4n|M;dkk={bk ziT%E~5t;RUM&~k>`g2UM^VY^Q!jWg^%z7wh(R5L`B&>U6A zE-5V6^ev{%E6_{jpL=#`QC(P2vC=z}a-QtCe@obLU^-jXY!+UN?ib%C`m-E+aGRt# zl^t9LgOtVkcpAKq98ILpT_6#S5UB_h{=kp0tJ{atHs#A)@Tft4vNbYr2fAd|s2y$x zUMKF{)~Xop2ITt+A9L?{%O8S5OjmbW<@WOZ(6GFZ7{n`Zs*=j^#n_og|T z<996mFC~VmwB!4m8cafZKP*$93j@@1ItRWnrt%x30lM&8AXq*&nNs1GA(Xd+TEDdM zM_C@6qECqfO*q}4sGg54Wt;S7{D^Pnm_HtTm`1hgVA`ptE_1`Jh`K6VX%0uaqw|=s zG1yN%y6%>X1R{t<6lM(A_}CO#LFXQJBlKk~ZT&e;pJ@06NkRJHH*AchaHPMdV zv_d|IiVpWNe6{r5A?7k30_Sy{NS_nkI>y`iygU@gi2t>@Bmb^GZmR_l!rkfW5pWvA z$8r*89~d$D(l2ysZkE(%1?0jToXWjG;_F!-0Xx}qVHx(IcGN{aRU5Min%sFj=koF3 z;!;+U8*k#_a}7pdhHuX;gwbSs+JE9e08vM^>4Y}6@TA}}=f%l}8&4D1it2nRAz4zP zwbrReh1}9hl=3D^I;Hbi(xYyRN~t$XU7vg~E-Oq(@9uXD8=ZaJqcZGeR%kB0kd;)U zRP#;bns!RoK6i~zL6=# zP^9R9wewkd!w74Qe7?!ENS2~XL^7CF-9xB?IzH%Hf!NS?R+ zb=4GgwVujUPE0DR@QlLnT*Zl72|>OOfv9R(HRn zSC{0MKXX)!6)mhXRxzx3G5Dwtof3UJ4^gjPV-5bj+vqWKX>?B8W8p716g=qPWn!2a zr=8PJ9hslToztJ6qHUt>%0sJ>Y<`=^=~+$EZpsgt*v(7kauSrwfA*hu{hwvUp~XFQ zQb}xIRIO{$xjDPK4Lfouf~&V=&z`AT zRk*Q9{)X$3Se0O8SR1ytlH-3LWz3G!vkyub$IGVLa~a19zWL_><+{~#t`>Xc)05}q z+8>s(wPJ{;cp^;9@KohkDL^PEg!q-Nr&>Yf*;F}^(D1tULACt|$$ zw(y_Atul$}PTD9OIuy6Vqirm-n8#*iy7TkwSI-IO_g4hYkBkG*4E! z*)Cr*!#0k%rXWc^bH->r-hNUc>?{P3jvYt*hDhYYlyu1=<-$-wl|MSFnTIDeG zYr#usbRW08y*BRXl`wU_FzV4lKqtx%GZHmBLSuI_ovV{~xsWXm!L zW1q2(HN#j2!~A@IzrW)5Jl}ht=bq=@^S<|e@44^uKCkmS_wv!v(Uo)3pKtxo*Zb$v z%GuA~&D%@rhMLNCl^as`1Km7cN!?VtDRup}>W!PKH?QANld^F33vzREmU`mo1eLlW zW${SL+WDoF!7I0b^D}uW7|$<5M|UOs{|Y*8*8eBF;QvpC&~JWb{Um3wf3W?pJ?*2? z!}hd$ex?jrd2$N94?ilUF&m~mdV2R}8q;OY2Zonp^bO=x+Ey!K@0lTw}BMM`zYS{$=9B+q2G4}7)`it-MIeE3B&cHUS2K% z;3h|>{p{1ANeRgF^kJrSb(J>0=pZCEPIqjA&Y#x9xOVpJ^bq~c z-^jlc)h|<5DS40=sRqJ!u9XJD5Ewh;h5FE5DmMncLnbDt}IjdIe2Tu6~__!vuL@ZZtL*j9Y4y$1R`Orzh+J-TVyF6?4Eh zD5qa~4Pv&*@;LPdRBzxB9JlnP*(?VNiX{Y3>a)K|%fO(RlTSE8FSv z*0&nI^a{q{Rdc|%DCgbUr*Gtdv$li(x7+_U^8XI0{|_9Q(KI$bjRb&BGAIEndKj{|!_S+(&WYOQ^zD)tj>UQDx?l*EvOx_XW*5%{zcP4EU z)RpGH2CAs|{x`fn1$32Dt+7q08ZUQxW^rG#%&xtwC1A^reg7jm<3q|uCS`=OUI-j@ zEG*g%+Ot;-{ehBD714Is)MM9QONgj+`gQe&4WJo%V&oe4NHM5`vfq6=`3RBwY{GHo z2sP|$aCQtX+W72}nJ9#q!F$$@OQTrdI<;>z*L=Dbvv@ZC-OqO*Xa+E@WAMa61FG_U zHo2^0s+h_GRUN@3=jd_Ydc7z4FXhyR7cmYsdWU4@`)b~H*ZoSBUd>cxb;@*UU4Ry#BPjSmp|oeJh)y~LK=qQX2=jSjt5#*3#`##$3~ z&a-K?s?d*9xU{OF!DODGhqH*i8q?fo$H0!2LjBEw7fXdYeeq(~PANS4)F^lC5Vyryc2K~h zZHTDGW|n>P9^`>G$TWBd?zQMcWQN@Zv+nl3>ODxY>I<`8Odm?t&-+{-YsgqWo@5?= zY3MZG*wyg+SXd3OoCkh}1&wwa6YeXQ@U1AX>d0I*mLQ8Yrk}hxa_X`M92CTky=Tbo zf8rcB06gK14o=yKu^Q(w@WD@k$48YND}L_$fMisRPJw%EHpYh`+SdzfC2A4N zI`c8ifgkNz0qOJQ`zKGjpZ=_~MQrOy1n1m&fBYibYP>0@tz=r#U#$FN42<30xO02s z1OJ)k+%Z@_Tgc2$u4T?)YaLfm`shp z9LfS85h~XhmHT@M_0v0DhZPPXLYI7R!Ph&bbE+>wd2LGpE=1 z#Y^C3?1@dSqq6qvQ8gQ^JmQEyU#+5BxTW?LC9}Iufo3*q`=>+&AJ+8xN@d^lv59we zK47FOczJ#wGp84hoc5d}SL|IgtFx_UsvT2wGrByf@sI_`2{eTU6|VP30U2}454XRp z=C{>3=yDnzu5UG3Z6pJ;_Q-mUSn*GS9d@E$C(%pgL#OpAYW_FREq&V*yrPb_sB#1cvcm znR*@GdExNi)<6_|H$`>LCNNJ~YpS^{Poi{G(XIR8(pUN=~&ev ztx=e~WvOb44zT9a<=v6n$}8A^xq5GV?7Qc9-(tgwY6fX@A1_b+VZAlweyV*%ndZt@ zIBRUDJ*rsN{WJ4(>0i4rhm@Z+9ck-_VU0u6C(hgX-`+!|bEg_Crs78C(W<3v!}g64 zkCu$xUu%9GhubbdHIn%kjALcn>tEIG{mk4qer_uo`*oxd9nf&k>Gs&yVjhT8OQ&#) zu7|B1e0G|#==31$qF870EBWq49#*%S*Wy#|)ov*m(0h^I=FWrbf4q2Dn|AebO~RE_ zAcYfG7ml_jtX`MDbD2_A?jDZ<5_hYtM6e~&Aq}UMbn5-(Nv|L~f~c7km8cq=zB@$nB6!U_aF{GH62F4VRt<-q zR(XGTA$m(drYY9=?U$`BPHLfl@n45)Wv36pKL!Wj6GEUBYW%34#sgTrv1Jyy+|@QW zi$XHjEUI_~>$g7g@!xAmxnrbX>%FvjhhGK%t+j+SkImb1GSCs|Ad6|X-#(3aV=tC9 zu`l{R_tQ}D2(Z~G*|gHRQ-IXaM;6YrpH{<|vFs|h#}hY?nY?_(nX+Eq-3qsVmF3g> zKtsLZ}xVpWf zw)_o%T%P#iA_(>N&j=5kYHb|IqXKV18%*8G)ra$(bh^vcn%z%MLkD_-E=D9P{ye?p za*`aiWnn#mHj3YB<@9K6uL<|iMtgbVS*Npe(NS1fx3?j zl%;t*Y4gt}W7AR*-)cjklNgYIHEDB!$_SBH@JIw28wK46x*|dAx8n!p&I8u?kBhJI zRA4~O=4pL#hhMOMsfh0jM55eIbpsi@;4?4eZ*ufM_U*ic^7OVIY7O|8C{;JJvOXoG z(_gk2qk3O=UVXXS+};tAkNO>#$R1WyvA&P{Xr~y0wjN<^_oHpvnG^6!wZ{0N1MO=b$dcbd|FWkRKApdY-V#V`t+)BhlZL3RIPK}W zI1P_O&$i-ZkBY{8(`PSF;wMxhzSemken*qNJ&bPQf&+LN=h958%oj^S6+Iv&zYka$ zrt5-hvE1ISCwv48{n`rKVF%ShwN{;fA~$aJb>yyg#f?l)I|M>{u|u5O!IxS^X(q|0 zXJL)*ufiu-6@qGcQ}#lIBoyAr_B;8`v4x_ei&xJ!!uC>Cq|Kh?qTeI(6-ihniV>XW z)c?^NdyS@p_ovPEop$LM1788LVxuiN@IE1}_Ry@S(0`k$a>v*j*GzEq*klAs@5dhb z6qlfe;sEEK!2`Pz@vI^x=^6l?%nMK^&GS|oi3>2*c31LE0c{?(hN%6FXyBi&U&Cb4>;U{Yik!0_Q|&kwzl}Ez1ckwlG%v%CYhv5 zwI^DuFgd>w8(-|V2y25TQ0(Ae;Z>@@#&l*tknhMDw8;Mws84A1$BoP0;x!e~vYRoK zTTbCUWVYjetN*aatFre0J)Zh<&FJ&}aw^`q?X z1ZylmvpU(9tyVqhzwv5nL2jyjV{>|;La-JTzeN^WZGI0;*kJq&DIW@*8(N{5@L>1@99;kP}BPFS4&qLwzVS&)sanItY99Qtnog1&ylY+d_=b{8TD5$ zLZv+pcP9b{_~Cxo`7^;qd&^BGqKh52x}W{uedN)Qi^LzD3v1*TQNX3{r!?2Z-+yEB z?ENpU`n$452*oKLV2ziGCqU$9BylFz){?$ifmx1kw|S?_>e^LmaJxR8{tbm3dm{F2 z)hgl^=7%%p;Vnyhsix~?9#gEA6Z`TyY4P3s)2uowsA&r;(&N=*Q&>vveGJ*U7%MCo z#O<#m`-L)ZqO|5FlvO;9wIab*Hs6O*oDHXkf1NGtg*HbMxA^lvGvxP)W3vQxQnE{rra5j~e4m(7?zMFy`?)U4==j?9v3RFu z{jIu0vwsE|K~(WqfdK)YZm5h#)6T>8f-RWYA5tRQUR2#abAzdK4M_54bHwLE$(Vs;R*em{sn{5<~=S;x$Ks-tz*AhS!3{g85hFr_dLf7e#I z3*dP>yYZI%q>$vA*l}nxNB*`ZDCJVlSe)Vd4(^eC?owI(tlo5}(5Jtwfwmol9k`bk z$RChhd1^r;qAs!iikq*^Z+-pV};@s&ojKf2fux%FdE8;b8w`k4Q4TI zeQgl_@8B1eed8stQaK_jy;6V7zl=CPAqYu%N2O+q#XzYWo*>QlH{!~^k4ud939QXb zY+s^yzm@ekw7V8^>vn#o`nZb)?25f*79c$j+*WvEp+B82D}L-E7jUs#BFf&*l0 z!|Cxcm8a?7`_0C0(MGsvk>`sCY4#&>zY>n}pGE+c8iFboHXA`dH$HGgpn`%TF7Dsc zWo*=_Dsu-?zwtNKVpP`nrvmT4vETkU@%1Rwm#X%2;~htniXkBX#=-BPa+b3fudK&( z4ifeP=f3^fx2VYqVBb0pePdrYZ}!T63$1V*nY)b7tk5lrcCm~{jQgMQr4mEY?I3Ih z=?4Tue!`4hl+(!-BsGI~(7&CM6$U}M!Xy&7@fSqL_6t^sS?AeVXi=<7A47i1wY?*6 zc5H>XnWp@a(Qmy=pC}7Y|IUf#Clyl6?JDl~uO62~-HRL}+yEos6hH7}P zzdp`d&$(R!RSJ&4D}0A~uBUmdb{r{gPO$;jKd10%j&A(e1#!UYb%3K(a%?KEi$9R7 z8*5|`_y$+8mS?{+@j94@^zk64zl-ZAn$18g5uX!>+a^}JC-!+?Ei`-vU>3XKqGm7L zo7RDcoa{F01YG0%9XX7ZQ@&Nb~KCW|i)ejX;z9fB*QR zkezBOcOD4`rB{wW5+fXu#Gc@tikK~`e4)gOPfcllVWnGq-v-s^x4=4h@2ud@_QTN0vQv>^ zlf#=c2`J3r^e8)O6N*U@V$6>l!y0JsDV z#^dK+l3H#ea?(y$)7jIck8_m>B%Z=t!tgN7{@&+d3>{oP5FdU8Lat3A1#z~+Qr zM-!Mf+l#=E6^ZTIfO#-KIox{H|KjdKJn@=3k!#LY_UA@Chh$P6A9k%;tg_Pf6|PiJ zhxl|mo>@oK=%Mi1(#bCNO9+qCW{?Z+U4acKr8ca#DBZi_J5egUqndl;DIYe0 z-QVktJ>c)ZI^QHMrG@OdqtK|k$X>tN-h#&;vP{I!uDGk}{yl;hnT>rqxG}Zi zwV-f-P00f;?4F*+azyN*Yf)EsP6Dgj)B?|&z_yu5F!Lb?(U{?FTMOpnensAZqc+pcR06Y}kv5rlcRlA6dshpo;u{wGn=A4mgI8TE zj6Wm7w20Ht^!GXk>bumH@&Rpmk%;RBL`BV4xvdWU;I?W}@hZh+Jq^fknAQ+cLGWx? z+kQcW@5tuxzN+D|&*Xj<-A1)Acp%kLXy1h8S zQ|!02({?68)$};AZ{ke52b_qICqBpSJ2;*2)c(BDSA#;85=5Av?rm=OoFgBO0QAg` zr7mOIR^J!1%?`vx66ws>4X?${V>z%hBe2(&kO!==CMhKW4g6O)ZQ#o^2bi z9$FbV#k(*z4f^OTo~Lr4eWBCZ1<1X`&nqEwKL`z+p>U1t6BE42y(Z+-7@}#nn#`Y; zI#!)-?fma9?{fNnXEYq-NVZ1hF1vPHsCUhY@Jt4}7Gb%Vb<`{=F3gI^@bQX?fc0NI zzQ_F*ZH0%YsoqHO)pK}f`5!O#a=-4*;XL~Z;mf^TNy2*L+p5Cn3C9HRXyL}y)^h;f zrblSWbNcR;%Xc3-D>c=x_EHj2H)Sn<6LN4f27JZ8&1X*JrpskDfLiU9d7(+!{5v-l zSbc}9XcaC!rb|+OmeXW6PRNE5$)3Y!g)8j9pJE#Xob6HF5A{y*lQgjTN>mCVIQTQI zWL98=yVELDN43zvmmcOx`c^4(DmztE94>Dwh#RpstJgo^@^~6k_z%?k$zK@#-)@<; z-8xhObCDwv+$a}rX`lwhh0qwxv(tbHro(x2ulNhSbFo>UmGH=9gRsEluj{87>|z(n zDu<{{njaAXPbs{qv>pl0zcP75W!>$e@jC|zq=&=A(?nMJxARR>8ebZ+s26#a;xAV_ zuz&M^sVQKRuZVGB!q?Ez7%2kTqQoLY+F1eu|De1`bMHEg6;v6WqK9g0|#9x5|<;W9vhd?cRLE(tSi%w-&@!{dq01L zw8Gt{5^feKFjN1Lh|b%Ibf8Z?`5OcmtBmWZYO_w*@hIxWF`5m zVVccT#5n73az;1DcV7GPqo^FMbwf8WV$;W2ZvN#b9&qbrv8-pZfD{N<%G}eeWobAc zrHK$81>h$==EN2eu5AnNwCd%-=+VZ%$u1!d0YLWUV!b$xo4{=TUL&=h8L9cPr^_It z;gdFDqh0ahET6UOtc`2j6~Z#GAW~LaMv`2a!ESYwVsM1We06kR-L_G^Ej5BvCj)!! zbF@CohGYkO&v^g-ey66yAwOW>gTB@()|=_fF{%QcP_Z;!7VW<f!F(PQlUikalFe4yo@*=Et*x>ReZC*T6%4Iur3`{wBGq1 zYqXQ`nyO3ABdA6z7RJ_3?TPpt-TpoHts+J-{CwB){JODaCU_QWkJw%JzkzN0d*JFe z2S9%8o(Icq`&jY@GG9X`rBwMF#tA7K&PKeSkCZ`h*N2?SgxU*Bs<;*&4J+Uc|Jhy4 z|8Ji!|J7i}lAX=E*}G7;ONLJi0j;ZfBtUd4gJd5R_Lcf0^g*wCYBP%Rhm6&y@Ds#h znhviEgs!lJ)zZ_XNXa28tOzBH<=y)HQ$?D$V4eW{>TX?Bb*@r-=#3)c97D?0CSX>4 zOZE#*Rn`E`1~2W0mj z`SB{%%dqZY>RzZ_r6S9I0`t%NJ3pN4kA4YOFSHTaJ4?D#-WZkt=hRtJn+9T;p*_<3 zBs7mKj6a?|ay{j;3IRK1Ov@O{;SE>5Ca(OIF2(DX6xln>m)(V#vChb@&34O+JPdch zeYAWUK04}9aCdk6Ovny{~=MeJE)sb(C=bVbY!IBzCJrqVNPo{ zdLp&f-67qb^d{{@(8v9HKh@Dh}VZqWJ<}_=eM_#s4p^gPh$INMe+(LE#Qd9H) zK9|!S$)|>z(-Y<2r1?u71s`u|%ELb%+LiaFQt9jA(oo-%n#x{``t2rpN8%|*rd;UM z&4!NrFF}s3j_`Zo*LSu32X~)?4S=g6K=YGVRmdx_N)>EVFmz| z6e~_jgWJMo^Vu;VMqK*L2L#NA%e$2uc<)0~KvsZq{rbL*{(ds&nMRzdz^WP#MV99f zN8iiKdI%Tc>q+4roSxkhY`eu`p__(Nikbrs<=O=qE)erROO&=o_qz|JwgCRyeR7$| zgzFhtsQvm0w=Kd#jzQICp4=(T|GHsnf!+PlT%MZJvM(M>9sePHrcSA73xHf)fJ7k~ zYTaWIS7%mn?nh?|33IFnW^iHuhq&#y{boiK)Zrb1=jqYxa$FHiFDdB`} zf1TP6+w#wD_d0*uOCg-6-IJEY63fRA`r}J^=dua4%yoHLO~>&&)8}@^;rd63KP2$cB)Z!KP8W#>$0YEL7+9(HWB-@-@mdp+lA` zNHW8yhYS88vjkwR2{|nsJ6V_t7Hbgaj&Ped)Bly2z(Zx^TMC(A7M=2rWKQUgRz$?^ zVxu5c2@Y4T&BmT(m!_CNf4O|D=y#stNY?S-{4{kDN#tKT4*eY z12vrOz9hj~o$>WJsl%8ci@BdqizcJNc508L&j9Bp{R(|Y6QdfF*k!=2E>?3>SK4XJ za7Ica9}0hwDh7*ZL$FcVNpH@z&YCP#YrZo&%0t2*y@LE>?Ji96;%$ zJSG?C2pm#C80>=PR-fI-LiLkl725;;gRw09*UsQVXTg+U`FhVC`?lifXNlK{cHq{W z>`#jYdH!l=hUuUf09}x6Q9OL#|I)+`A6%Of{~}Jm9_|?sU%eD$QBkvZ*=HbIiA%`# zJH)t!9cUIylc37_7=&J_fVf4_qafs13LX<^FvSkLU0Y?B8l*_s7vH8sKZ%4epIz^U zM#aI0gx^TA;y$VV-giEazJi_7a8XJ;p3RysMII;(;N4w*GvZvueMg*LEg8ABH>Seeq|rpc(D`A5mR*RBRzDrj-sAd{Gaq z{Ke+ovMhKT1!aj3j=Z^h?Aht+V_?}s7AA2HFKdpkX{sg&vLDZu$*AoY!CTY>z82pd zNftLeaQE_;I8Hw9KjfbY^Yiopnbq?XTNG5^9x1*GG;mNW@kNkMG5=n2t2bN#pBg&N zUa$t}&_7YZLLm~$j3`qr1AP{1zFRVVaz{TG7L_|J-~Z^sta0^PZFbY#CGj2`cLUJ@wFsd;ky2^S!jFy5gM zZN>l%!?gvb8&sB?M=pfy-%S_595SEqLQ8sg2(1iFp!O6-Yx$%o9Z2s5jbq89}VzN!2CLMe2Q$ z9!BH!#=Z^{l>r9Rf>JJ)o~tyIE})+l);*cxe3<6?J4*4(eQ+xmJ2DA+at z6NnwgQ#)dv+N?@JXr1X&UecpwDc+iJQJuYMTS9jfh<_d$4c+{%piw{-CAh@UWZSJ- zX=;=$KD6k$6JS~JY2`ToXC(4Yi{I-Knk1o4D$8(iL_gV(emc4H@F&(*;QDs7d;!l+J$z9pLv? z#JWfutZ=d)F~FfR>;lE%g=q2H91j+hc8aeoID#5Xa4&rVx9~|?rzKMPqYO48K^;3^ z`LJCC)fgmTUMy;Z=b_DwCHw_&u$+Psg@uq64Fb$>#6T0jqlI>Vu);z0@J>K&Pa^@* zEVYGO{G`M4Cn7#N$EW84=;Pe_!6<_0ND4pSrTLI} zWx?kY4_&j!d}A_=o|?f%wKR?OGzB>>{(vRJ^gF3OiP9?T)6*iUS{1y=20udGdx1I%~OAX8Pykhw3F6N;X zPhb0z`NeSH`cEkQUU@l54s#elVKEJ#H;x7@k^n4}1wu~N{i5akMjGeJC11`f>9qs7;b22e_ufG~(-+(3# z8k3nn(6lB*eM}??0&o5n2`d@~*5_f*r31GfG?an)g-2N$r`6B;}J+ zjsNpSkI{P8Pg82YU6^s7S917(ob@Pncj6QHYmx@I89?Zn zRKU8?Y4Go%?+t5?yO0ug*pHN82P$QSc+QR~uedJu<6`xy|J^Q-NgNZY|!vw#%a zyP0vH_I|MeSYf&(0a=(PC6zhzU&2b3)OPu>m5^s_zUsvuMKL9#K|OuN1o&00h~HAi zkVr?T;@-whW2^pPKEb}|jXC{uJfB`T|A_FO-qAKzk!74N%O%#04|VCeFgTy@n?e0c zLcP8=qZlmZ{q2bIyACbkorzx&-6Opv!+uk(RePR4rhDeytv{#A|GC_!IRjM%i?|uY zg>Su4?D+A|r$+I^d^QhEBCgC{7j<-W%5jUPoI`8tHMUBi1RI=tMBt|IJXyN+QP)WB z&w!kF_cGB7QRjK`hsrG>*uhSwYxBZmeTVnM&MYILZy~h5jC|!v8kp-^{VSN$ zR0D6v;kV2=iTB}e7)80C0e6ZLWr`52Pf;Tn$CO3fKPqkur17uICX5@B#W>Gj zx<=3QBK+862LB$Zgt^Wy+00TPzPnaIgf&H4;BF>iZcQOI3C)70Nk4w%A9r>y zy~#sf^l@JzRgtR$_G>r#o-}frYK`TD>h8;}T&$@@sEEyvs;v$kh_&J41k9coh8@@G zE5+2!Ml=i!+YUOe9?9fEjq(mLrA@mZ{i*kL_wfqi(qer_cbp-S{@PA;gYE6R8YDBd zJUH0hRKas^mSK?h>WNOCsQo#wo>zF1V`&Cr8T2!?$CQ?J5q%y##Y(m0yF@q5G07v= zbMw=x5)O$<%z0)O2Wp!87pET;iWqw8)?X zN&@Ziop_5muQ7T!Z=e%Yf!D9*ls*o-{+XLB-P#*CZblaCy`AtmUY%1= zRkJXB{i>jUQ$*wx*{Oq_5fP!40~Cpi{!xkjjBj)jWc03DO$H(g`d^AjDEe^b`qOd9)CB)f^z`BwYGt;Vt^UAV2|!ky^uX5P~s+P6fU!=lk5 zFY702`n_Y93ZlkzP?%*LDC2cSigVu)^6M<^G3qByq@{3jdfoBYZ4PQQQ=OV6YYd1D zE>J(#HRvFD*K4@>^FJ>oukFPHR)~=O?B{qbIqW}HRLEap`-6a5HUK9~nq-1GWFu9! zsrOYfP#oxiTwrX-mPe6?B_y0>iR0GrcA+})fSFQ}3gZF$PYKltNzk9?OP|@jl<$aB zrAgZSGqwSjC^ubyN?Nn^Iqm4R1;&+mw>J|}7F8vz;1+KuQXM0>wKQ|(c+UPEjRUMZ zm8`V%)2?#W?L$(Duf$))asyV`(%QeP_=WK=F_>*uYny4)@h^;RV?pf^+7CM*(W*%X z$eUFTSdv}B=(om>jAkmTd}-{P3h~z{T+%xC8e;wVR&n{#Au}$**?l;0boXKpz{a|} z6`hnR*EkyFa=E_7RfGh!FQavWv&#)!qVcgx8NbFk-KlI~^It+$$F<)Fjnn_Ooo=>? zzwKTqK1W#Ae*eT24bQlIX6HE$@lzMD)daYe<8~y`p5&jGAI?J2CJ1ZKMEG};Hm3Ki z@4!y(o+*=%n$-7n2mzRI9E6|X9SN8x2{4@JA5P-%$C0fpb3v4J`m<{kcOF`BWGe%@ zwgbR*PU&g*Qtau^_$gLAt#TL$(_xFoD2l-wXgDvcNZOvmGWI&@%S|xDZFhz=*<`JM!HsB zm{YP~1fG|4(pI-VFjG1RVNd;eDDUWS2>MA;@bDdrNIVzn2z%?Ls_aW7lUCwBr`2-5 zb@&lbHSN#WIQjadi3H4cP@=+@7DQ%%G=;kd?!K$C^7G1<@xaLwjh=jf{_ar^^tLyG z#K}n2@zHfOFFL)7QKh5Zpsas0)$^uku!1BazOk=(TW;qNT%r)F?KpimW(7<}sNt3}jelLskK8N+u70hUZ zbFLQ6M>*z0-=GK)p!yVff~d4I|9oyVfDRZOOS?o3A@$0_)JWy7!Wz}DMGeo+!zosN zQ|SXC;oUEVyJ7LYypQ{mHzQ&m*l|5vejM?2VBz+N(6c1MhMcmO|6%}v9EtxxxIKdA zonrxk+KZWSEn^vcK<@hEoB(pdtyVf+LATNsVhk*KYxk%@MP6NAocFC=YBS5gY2Z_? z#b`4|)S<(Dn7GgE@HR92I_&$iT|7NN7=~k`snq{_hY*?OS1nE z^~MLeRBF!=*qaY_)Zm#Q$3JsS<@6a~eWcd(9BKA&vVkyM|JXZlE$6NpuDXnjX}NYdgY zb+@To6P#-@s0jqMiv(;8;?qt_2F{}>*ZN_}@50H99gYwnGqQvZ0RVg7mAkz8&PYvq zI=VR2PBQ%BD}YY(8>r3c_-ldPtwln(LcQl?Qd@-)Io-{-&&%$>-F8WI2`TR#XWhu1 zYOR_p5=uh|7xl6ba&$jkYsazTT&dawJCTSVD;rVUOsG2@!zVWh3WX_E_nvRxA^agi z49@`%F$!^U9>jnn{|J_WDU-_E1m~q`IRsHgRq=UfThrq%JrA~%ru`voL~WEhzN=tDU!{EKL(5RS2$g4wk=u+wv&*eI^>h0p zN{&6P;`O`asZ{(Fq0z;LQAd1OnG@x4RY&})GB;W_L^M0>?>66sB>N*7ZBvQK6VcQL z@smFW$A0Ke&sVkQbA?_@)7L9IvK$6K3>U+2efY(z461T3vsiKa_pNm@E}#YKR~FL3sBA@i=t1GpRhi;i2+=Fr4euLF(W|8 zF&K`>%V(YwBd|t6ZMTYetlXcfus;`4qL^OV`K6byQb}7+k&ouQ>&j{$wfckr$9W{(_!Q8e! zWsMLXU7Q0*SfR0mihJ(8GM>B};m+1#7h$sH?OPVgNp-(|&3jVgst0oYjSX5NdOVm} z^gHh(|7Fh;PW`eYVM61ADwE_K1jei~pl&9x0Eyn_&UYU~1gwQ#TGB17*{jS^)cdYX z4gY)qeFC%Lp}xKa;HfTZ!Di9pnL^7S_8*S>xQg=A`E{-#rH0VTWTp-hA5h0@bC$JWYjOl`ep9|B^s9c1 z3iWZj9`|?f1dJW}dITi^m~(V~Q-ZGg*mK^e-t%!oaa~y8jZ~!rK8(fl!mo#XKazGu zh0cbi!v6d&9;32Ol++FdPA*uHwb~HeD)>ol@eQ&T#)Y9(cmU6N3&{=ETB>D33Q{kS zEYo9#mqb4Ro)*r%Fy{^n(~>POy-_@OUu4j#-qxRqVm(?^_oR!RwAKbywd*OT~=1=ZC3m=OEvQWZ41vlCjc-)!&8HuG>KVn>Px12BgYT)L81!jqG4$vt{MZ zOz-7W$20DbKh1#toIz%Du@O)JI=XDEtE^`uK|Ir+=={~}^ zbyJi#Gs=q1*EwW=Sy%=pOj5_}zac$wa7xpg*NwZ);hW@mi}zdj^aYv-C5TXGL@{eq zN9>2hZnL2|>d|)vcd1M;5fV-o#_D6=hEE`EwGkNs67$nhG=4BS-dK#ga^b5K1vlKc&bNM1weLOc zcZkf0goBZi$;BMOVY{F(h{7nO`pSAaG8!P<106STGIbsmIQQ~fMp3+h&&z5w_`(4_ zZytF4d1l8~c2w6nVGTI)kDh*Ke-X^JmaB5x+m-7;zz1ZylSAWyF_VryXGnsCV8%YP zIJUnsyyA?g8FWZ2fE#^>GD9fKrM_McRM%mG0_pL$Fho{7JM6w8n;0vFfiN9y#*Xra z9fb)q;hM*F2xl~&M}UEl3NBRWHQK)YJZFq5H(IHFZjY>!5UI+7zDcpAM_-{d6E-3@ z4qF}IH+%+ylep36*(DE3WOh5cf}daSCx@@uoJ+_@BrliMY#gwl);} zC&|jllO|Tmj>tpl!^oMtD!5?P2p}kR;+u&;T|C?s#W}V%u0*8`&fFiFawx8 z%^8i9oX_EOWCXjN^uW&nwZ3)EC?CVs7p$$P9r?f*}vRP-BGswV1-6X^Y5;ck)(q3xB59?}>W;lju>T^*LR{{rOVy zu}P?o4zWLb{?}cp$ip+2tWd@0v_&AFGtnX}&d^7e0o<}8a9)5r{}2xlgJ1{xUas(6 zArp4gl6l)y^#x8YkB=*z@nYhlH*8;+Lr+c!#m={AS97$>T3#5JOC^l<(VgEe+b)lh z>LT&YmPibOhX_5-MO4>))<_~0BZ8>;>e;jQ_T}_pLYTJJ@8xtNM!oEyt-7KC@qs zCq-ztjO+afr^!av7E-bx6>6Cv3CxR?jSotz-94T<1E}R}M;0aAQtX1bp{)9h=kzDt zeqvXSQhRD_t-o{(5G)kxw@l6pGVEyK7DDEK8l}i}9wX~{yO_C3oqE67fAP&@p$4RC z%(JYU^|iab9E9{J=&uXAN~}N$iZLI`0i<2O`}j(Q1LE7V#>|YFV-t$gGEHf>w~=6) zUaMOv(Zl^fg|#@pm;&8t-U~Q-fAvM29kQPhHQBq@`=f26&1hZN-H^{H!OE{S2; zs7{L*GqL%`Z%FRsAkz-d;q|Z&WLJr#@}h#9{raOJvi14u(@LJ|c^9a-%!03p+uLW~ zSU_F#e<;dAHSHe>#5>^Z*+q*evrV7SnMFJ!@?5nki4=#Of7o3e4!cS6SMvI41r<{Y z6Fj%Yx_~eU@?v=50g(6XUZinHCkD@gP;cK?dWJ3EJGqLLJu<)`>1cP_g;}gOHr5_q zX}wUNAG?me`CFJNINvwNJ81=XM{K`e&$FQZ>6y8hd8o1Xr=v-=W#rWtLMV+cnB5f` z_3C1J`3p45E8R!lZRnH^wfMRX49>)7`VWE$*CY&R|6Y}Ubc;m3*>C4};C^A?#Z;ZD zM@1`=OWqEiPslt1Ce^%1cCjHcL0D`i7lcDkcqqlHnEiy#cn%+3Zp)=`p7V6^T74Bq zlda8CTx;|ykLR_RsmbEHA$|1Ix&H~;CZxU(3B-ZDjm@tg_We5+tdbDL5rG-Vv0tB_ zB;dJ#^!5MbxmHQ7QHb*yk0yOiV4#36c<|x_i{?=)aq8EGdah;6^iP&3u6WKclP!g{ z<}B~IEMpi;H+rNF-Gd@@NQ(k9>r}4#-F`koHhKbBTgxuEe%ctyPNK;_y|3J%a~=HAapK~`*W{}I3Wkk+2j2U}4%MszKSKvI%yrv~?;@MuZfITw-FcGN zeE;$fE>u&a=X2c{G)IeMeOJ5mIV-S2urs105X7RkFU=o=_^Ky{6X#dpLa!7rKTALU zP&=~ZM5@84^QyOYIQJQyXOc+qc|ddpFY2iD$r&T*9F|JVZ^w9Z!C%F;vH}eZJ2dVs z3AlZ;tr>gfB-DHjx%#}uU6K0;8Mngz=H>GGe@6XGDo7ond9~d0~o$r?=H>@<0je3!oZ{qjJg-wayLChnCi_36bAzvRNA{)&MQ;c488nH zOx$Z&CtX{!&7sj{fM4y#ov#iJ>|6*oA2;;1df1gCRt88p16)z_oQ*~IHFhh8Jn#HY z`($-R*gUkdh25gT@~MgXG+NJ*UkswRA#pvo)Y1b28)L4O=n8K1>;yfyI#xb~{xvZ& zV!Y8uW%}+_@GGR5HPww7ZZ=_X`=~s;u|@N-t-AyUX%eR=)oQzJ)@e~zW?E?Ug0VN3Ia-%-h@c+L_`Fn_nwF}={1x9K}1A) z2kD*AdxsEIdhZ<~og@?qosjV7`+M;`FZP^?JVpUD)~WojtI^)ZoRbpOAe5 zw8VLIOq#7QD%owMoL2_??yJi9dsiXMuu84GYc-42Fg`%cu&{7`cD>nghBIqEU9CdGH~wT9Zxoz-L0szSAFm8 zRP01GAhD?QUgW&sc}kU%u)0$DdBk`1@R9#$rdoE+ShLj@q8c5RgO0Ez4$%>#y&bEr z{18Uhr?t;t7OA_$_|DH!uCO(EnYJ)E(cz!hR9xU{jT zugUKhRmQ#T-;f=~QY-VBclA1gEUfW1EHBBEgqn(i0#43)CP$sx+SM&|5JrP3!z8GM zn{1mhaj_r&#jj+%+W$bcV$I~L{ED!8frPYgoWw4hSf@8P1Mr+!YO*lTOAj5_e| z?S^kJ8Bkcp7MF~{_m$@mb`cI3R+c*3)S$ucrG}u}^|sre6fVE*-_Vp4(y$73s?&+n z-qjhWa1?WiPl$Hn{uy+_Y@~PWaLVsAzbT@|p{aY4zs&)?bNW)OEQRlfaar2m{<6v6 zy0`at{M5}&Wu+(5_Pu=z-YA7_y?qDBi62(j0g5evs&|^xozz~P2?`7RDqBdnZpu zVN+1V36{I@qJyHy#|Wn$3^+~Ux1X+5a7?HisJ&Koez{owGevYq1D0KX{5zDsA@%C{ z3j93@rI_U1$PR9!Q`fK*x%NG3=Y&TiYFy#mU!eG}s;Z5V@9=?>1vPKRdI9kyFiwqP z9?J6cmh#GmIgP`gw0r>MY1;md!Q6;^JsPdL|Hfv&B26{%@k#N?=LK)g$;cd!=l|K@ z#=n9eFUr1p+_Wi>Ue$n(fprznfk_!z2k@AoWDaUQMQ3a~Jwla2mCC|dF5=55Hbpjf z?;!&c-dK6d)IDkKV*SFY*VksKx!l$iGn*@=KBdJ^IsjRqT4}?b`Qt!24>t1O9a3+z zTN8%k+MDbb+D^3RFEp$=8gkAO1&)@^MVxqDbFR?wZGU;+@Uc+N7|!7LU&)Ea7dNWe zTG$SPusIVsXl1W^mTLJv$u1{Tg)|9L$HBWPH8ip~tcu@0ft+ z69#&xFJOCqE3hgi>RyVk9t3uY43j{Dyha7>otXz*WUw#%(*77#-_y>Rc?lv7 z%3Sd_3M;5RlI4qInQsWR0FiFEP;xCDV7e|Ebi)c4hc$g5^DGBtP1-!xWfG(-GYSxW zbX+x9#Rt-IpQ&tJp&;dYQjV#rh^SPO`#!8DwW!TYi?pX>zRXJnOMc!~91jFV;QS{-o7M(B!_j8N3(qTV=uiKb_4ExrJ>$r z8c>s?B_E_mcymr;nrx7yRd-JJ1~MW~^I+wwZ|#Sa5h|l`>PX)!T=gIlZYy&QTq&P|L$)c)d1|GOW&h`a`evg4huDbH+njRK$JwBM!jaP~yic@!c*wIQcSfe)8bTQXQM?A7mn0 z>?wAbWYBaUj!!EOsfV$bX=a7ue&VLz`sG8YHyi_F{&1u%55(pd$l(-1v2 zcM?P@$2kaCnOW<-3oOyB4p}SgPL_S!sXq$Sl zn=9d#duS2voJ^501X!6+@T~uM9%1YX6E>ncdt) zoSKi9c1{Z&(_qy~CwLP}H_5~#`YtginZ0cB%M{8OsSS&x_-$P7^~dYyBolB~y5?Cq zvxy=4uf~;v{J1pNhNUS6d7m9_Wwu3%P6M}1(GCVd*4HwoZ}kj=If(bJ|2!WNdQRCX z&}&^q6mJNfQvlv))+7AFjw5`vzha~B2tY@8L849AhO#lczD&e;mCAtX<;;$^(`BDy z<=lLoeSK559XRr=v0pH<@CS?~MGyz=&_^fFsTSE(uBU;Ax4kAWwc&2yorYbMB3$kK znp4xh!ZGe;G~s^Igobx_&fWPhg(ug6Cu%;m`O!&H}qMQuEU&SeAN(D%xh4 zedfNe<>PytviT-!>i40s#fkfqLZCVV{17t4-Ztu8CQ~I5bd5A>Ui463kmJHK>jj#h zI#ARkjy)MT*@g9*P6{MHS+#mKjdIj)BAU8q?^esp&}=9!Ob;A5$tfu}Vl*EP-oag# z&_no1IqsABykcv<=)g3W3CO%Ne3An;`iM-VjM)CS-mf}^GNH+6A@viU8;`z6SZebs zHSl3OIOG-MUKuzTB_5isI6RQDl$+Ir&e|{O!}L!S(3bb}kso^_0?Ts%OlUmrItuHw*=c zp}sVGq*vn|k=WwwmC3~J1-IbhW=;W&_x)ScDgQH}I^*K*D5k_cp&4A%aAAcMI3H43 zT!(hh<88jvhxXtWb|P*ktv~j@PCPCI0oJfA{Y~aR(U!BhWyU__Z)P4KXcu5Ed^gJ1$fjK+hVE6!dioASXz_X z!$HkTr8%kx5L}}5WRX7%ldT!piBwyEBkiQ-F4VnG1GeL204(^wl;b?#U*{yaSGoG# znG*N+%xPv*sZb0E90CDfDv1x0ru?QMqX%_EONuq!6Hc{!aJg(y`lpk|LU3wsnJtBd z1i>~B{Mp|UAVu>QVfT5$lK#^X^j%s^!f9w}q>oBK)}nVGu*{AtDxkCV!`IgR1E>UzkcN7yZG8R*sZ`$VzeG0B7hypMYmX}b$jnnfQ zpx{ypwvqBFwr=|*;$!ZI>t8Z4oiOLqa4vz#p9e+I6!g}S2f?ct=%;j4S%;oP`tJ>? zLUPqmmWi#O6Y-wPf}z z8osHFFev1QRS_IdN@Im}DkPo+?$zGHMO|ySL|VPA&GSinX!Vti>0`UmM5f?WJ=XbF z%f#a5p0fuAEIf!Dte(rPoLa|MZyM|i6tki(DAXB#!P{o%M=)aqV&KRZd8mk3t0m}` zAQ$6>V=w1@PbNiCi64UZkY^g?X^S$mHs87AjVIf1{;oEoXUIy*-%+i3u@LR(JPMpe z!`pK?TudwgfQ}_?6NoWDH}LOYQr?Pt;fzH{#A9t@OWxa?biz=2P$ zWABQdlcs&wo5jIIc5b1QjGQAO`s(O9&-cN|bvsTaPZ<-0P32r3P`b>iHv5f~i7d%< zYpzA$$eN*d@le;IL0d^GA*vC$pB|{JZ(^M*f4nu+)FYp)b>cr?Z{q2#&2eI{vt(CY zKtFSF^*>mogYBkyT8ofZ8)nojmBjKdqdGZB(H zBZiSes0B%Wxn#sC&6Tsg-KFMP^*}lns3T*yW5n|sb|adIg5SZU9Lj1fG|e~5vn-pl z(>~k=_}3q=T@_VUgSQ=y`cU0X^IR4oGyuqUk9AOob#1VPM0b%>wWBTEH~jREZ@)~M zFZx{Op9hTrB2uD+02FF-@oRgBj))l<-YE^|k;kt7i zh}YpoemynZr^73xmjRr|eEH8pM*ZXhoR7Re^-@mC&$1qp`Kdubt3T=Zo(n1pclO5^ zR+yXn%(yoKo66Q=je+R6XfhL%Ld8|?$#=Vl(ia>qu@zdP@558`ugYs2kbC;S0ktuc zJXkuLVPU4hKu;Y~RQG=Tsm7UuEkeIokp{G%v+r=hJu7m^GIFGk);=hxm$~R)%p#6l zscay8OD793=8ZX+lWJpXCc8j*05zcJ^r685@=2z9b|i{j*EZJVl5X?^(NS^mgQ+#L zsdH3xhw_e5V8XQ=*017V39}~@`HOX9FtY&+MkweapBB zE3L9eVy7xYucvA0p4Wa*5~FuiZa^e_C}h^B`yO65XKoySi#5xg{EV61;qK(8I3Ag| zi0?}o%=~e*RMBgCjSsFXYjsTrdg2o+U{=HDD9y^JKD|adBAD^7Jg7%LteAJX3g3$- z26{$RIVY@^o!OO>IVPU}TO2PI%<;;opHSNxR1kq2fC1=@D0c(xVY8L zbM^hTh0U+@W1EO5n8QDL;vZ?6RKG9r7bT4==~kl_uYZ7q6KhUi{_HW>dTS?A{IC9~ zt#U|6q5I*3!Rc4;SzS*>l@bRLmOW3n?}=|6hI zqo>2=q^D|T%suq(5TUChl+~0h#;16=UlX8emX7*|#BWn3)X0@aLTsSWYrjc6d5+>SoN>~a z?Ha8FB)(_pncj^)Yb>HI_J=r9E89EwepXL@F>Cf_MmjvYbO*wxk+Pz#t6@@U4VrS9 zE+=Ic!)OG=L4O|%P!1RrFg!#XJ-Vl}o8g|A9r?((yu;yz)r;AayS6@2yyTI)|I&C% zyg#X$|C1gk3gR*;_U41swVXIn_A|-Hqmw->$k&O9R9?Nij&w5nKJ?^YZc0zInaR%ZV4giRJFaTgNq51&&nSIIUkoGk_ zM7Vad$Hq<+iB+#96t={jg(XqxZF2E7($Yab(Lf4l0oy08t z2`p2mDNXQ7%9Shc{JV)Zw~ptV+bm*gPEXusOU?=tZYr`yC%x6xr7G)4R#;rfxZ9|1 zii8+bg$Fj7*rx5Q?@69EaszB#u^|x&WHmW50tS8Q71CFe6bU;r0J$>mSyhcBV}?V{ z2y__;4R5eU%Ju>*p(m3H6rya(F7|EL^1Q;JWx(SHQrp z66CxQLT*g$3GKQ4zX;aNv`oni@3xFM8>#4j?u#U#Y)8?5d7G2opB*jwmI-mCQA^Je+(_4>4)!XeUVA&lja%h z`uUhuQfS<0 zIFAnCGL?V%*=8SSEoLU}NO6Bj{HuEsT6^N{JbJh#mF6ZE@MOoVQ3~Gg=`p3#eQE1F z%IoOasgvPZwwPHxx1A|HX79p>b3QS39<_Jy>@>|d&RO=K>VoNj_$EAASL$t2yxpE| zw*_rUxv~bie6Y&?*L9G03=1@~OZE1BwmJ6L77Sf|Jqp?eJQmHGYW2Lm@gNC~U0R|eX z1T7RaQ>Wc%8U)t8=@B<>`=x61EQEHZnPA49;v3uFFG)bp1P?3Eo4Cn-Mc zw^beLs0Kb;W`JfoC&_cFN__Hs=h$+6^D^A*ml9~w^pBqe=XMdN!TROhQL3?fVUdzI zhpGqB2F9gg<|jG5U#u2j0qz{wn=khxIU4WUlc(mb1tYwZRA?KvU3xOFs*UeBEA3}K zOuflSXlaQ~HvL`H4gPzu$UjZv!7~T3|t#*6F#a2*2?kljvcelWtXpf z5|D&AfN;uDKdT1R*q(V-g>Hf!RXcBYgWBD?oL$<7_-wp~(R*E2(i-nmYj$IfR?+i} zTfn$e7LzYZ39&CswA+bx?>SnZfuKBN16Ga3xCb1R18SI?Z~sO*AfFCs;1aH4nd3Qf z%v^y^hl3#^2lk3zz8{mz-WY&9KCxMQbF@k|u_UH$>bIzP=yI@Bb4uNB)N5lBuBpD+ zdi-)dfTk0R%e;yui{C8j$-J-AEJTzAfZK_B3NYCLJA zB+GBLuKj;zt2$d*UMB=({6Gl;N~`CU6STTa9v|cX{kRu#%*nCfY&X{MaFOusRvVFW z#@$j3*y-CAf5?dnPVQwoAHZb%9dy0P4>a}#6d;(18uQfkGso|%XeOj?&Qa7_0}>RE z6YfJ}6x(A*l~sZ{B%JoiakOairVsHzt)jD)8Wj)A*;-r^maHazbFQ0P>+{l` zij{nCK$8VnmYjB`leP0-=l@w^W&EFnO}|^y*V)ym=<>(Sy79Ig8dH^iDVd@Z3`tne z_y!4=wNrR$@ok5!jyzFF2wqj=xg`gFJn-}&jUDVSF7(66lRWc2?WL=kWV!W(+qG1O z;eJ_KYS2=!T7%gKyuftlr|kN!vzr&KZ$Ncj-i!HRi;HE##t)s4q@#!1Qn%244+ko5 zmC%TKx4*RIIOo&*MTxjfu9@rokE0RzA2M21hx?QCg3usAV?K`hq~etz>q>jle-}r* zDcJoWwb{@-E@OTC_N`45=%%-qi+&_xeknEP=x0og$TumHoRLXVmXG+oahCI`9=Y^( z=b+ntZcJsG%(SS&Ba7uW%$MaBy3{j6{(CI0v#-*CNNS34dgM-${uYXH&iPZZFf(S} z@J-Fh&sT8Sb@PpDAwC+c3;yaK4gTLa@My?ghlPv(Xe>OUnZ;>BES*>Nd2QLE5{rm?nIx=@&ZaA)2 zx5yELB7rR2Fg5c_tsGw-2@f^Rw=(W~O7KL*0cV3$D2|t30pIz4M=bUFU512`H*ruKd90UX)!nZoW5rixp#O&ZL zosrd!9YIH^(r8S&(34vx+vBWLca3hLWXEj1Jzrb!QX+rfObRJoe%?G-s8)s&)OAUOjss2$bYl{?ugjP=58O^ycbm!tEGl4=s$*1ova1X$*vH3T zZ{AzWTq+&)>3dU7b?^TWI2qC&jeXFXmf6Qavk4pI&#Ri3t2Um6ump{gO4Ox`TIEB=Qc+@VE*us8!r}4fcdz>Xu#ix zt*2QXx8k7)71wf>RT#hcFe%f_y%Gd${yJd3V7TC*0>=aW!?`wkfWVrRodOGj3% zO@lx;rd%kzs79>RH#-m3>zd&`j8VOrv5)1?L}g?DAgi-b(wB!9Z*HJ~Vxk^w7C?4; z9EDHO@e(!DwUGo7W;7MFo^js<$b8J)wA2v9Lj>7ETtjVo@`?B`!NJm-2v;}-taUaE z)f{5JaT7Xh&!D%c z?&se(%yL7PV4uRKZZ8<=$ zG4=#Mjzd?~7q*LPTPu_D^E22j+a0UB^`62{r=@z5dOyQJQfAfg`eUO!a|1*IUZDKF z(M&t=6n|M&28C2_Z4F|I>Y6a+b*WRTnNX=@RXXkVhUCK;E+nLb_;sb&0|u+4&H)}a z+XN6hP_zT+Wx%)qBiM#;9_ngK4ahT3zIoG{@2yC;fz+;20S*iVK{hvm1GoPHYo-N@ zK`Yg?WBj&TqHsOV9Rjx$kcdsW>G)+p)FXfd+coy%ZZQ44KuVj+x>V_?8|&&rWrI`L zck>xS*~ucq^CQ1jz8iF>cferDXx)WO)Uo9)ib@Vf?mt1fjOpu+i)@Y?xwK7HR$Kc> zt@DSl)r#+w1L8`KBdID?ql8Pp{v$1{e-q?NDsY+0863^7X&2b}M)Qo1V%A#VtlmG2 zMCwu^3NH-A`xzqCc!~zE^Pvz}N#Yc&tO2<>2 zTOoUH0U*da&VppB6zQk|YqE~Xhy=4>;kvwG(2CY_MCPhbin;edQ}y;Hwjc3*`Go1> zL>5N9`4AQu{Lmf|#-2FCEBk&cVq;~9h)Ohjd~^CILs919lFQoLa-}{b^V&z$qvu@C zr~9u7mt=e4FB)0XFW{o&KzjKdWhl zkKnL!$B>=lCpOKc;Opc23rWcnI(!oJRmeK1OkG{6IY2lMk+o@9R$?TLmRC5Ihplo9 zNwm!|Wtit;mttrF`kP!8-yLu0dBshgs+{j+ZHh9N{g!wtMO6C0nX@+o!GSg}tkq>4 z%VRoK_Eut!A=&T}0ZjkOm&{&27d!wMbTmO+>y+hOvvq=LMk7t7vcTU? zBPUx2rU5=bn+5BXcY@B9w(Jqk)@Oh%wXGB8&)Gi-WnZ+eEWRy2`71EoS;45<%dk*h zUYxS$i(|D$m@hzP#ylg;9@)$p$jt@*Fxa3Tut}Y!&_`bykxI>M_4UZ#*zAv+CD;mW zguFuPFR-=_$js+u`g3CwnD(?Y`A~R#ET2F2L}Gzo3J8d6lvr|s95O&OOv^(MgXeQ~ zRjWTims`+T1L=Nrr;bO~1qU4KXK~|))W0;DqXYu=Ip8g~A#=GfWJ8dZrZ!p&Fg7qB zh?$YTFd=N5<*u?3x`wZV7w51>T-;{T3;gmyH=ux(W`eHyk`DZUArN7LwB8ZGTx_XG zo~k>WG}Yz3bmgH)TI$HOp6bE$xtndcZ|!P&U{c$PeG(E6nLq4{#+C*Y!9d(Z4x=7! zAV2Zf*4(r=we@33dEM%XlqBiYIw}EON2Y%P`XnX=z2JM)9oC2 zlE^d&pL8##@Q6U#8QWE`p38c$OZNxH9v`ml03mPqM0+DK+-&mcoK zY}uR%V{j8l+Zrm(C4ysKA9PW8;D&_y_g8NDgI-r9 zGObUgzFV17QzKLaG`WOH(xhH3%2kln0P39NeGzj8A9@q%`>r~4QYa}xl?fx~sJeh$ z*a;){Q5DE2b}2W_Vll#hz)wEtHs45DjET8aL&2YgxwJ5T5;;E|?OMH(aJ-c0OJ(>` zRn-(^Q#8kutPa*GXVzSqirf#3n~)j0K5G(4+w;sDOFWbOdQ1;S!?ZkXV9bMlr&43w z3tp=G2L39e$3?f*cFSvJ=Gx$_1NeTc^WC?CCSc-izQ=hoNnN(aESvOO{7SDJV<|et zNH@5X6TCwl%P9gTu?@O{GX(odms@Dv#m#0z;E}Ux7Q8NNV!#e>gUkMkPIaJ^&;Q^% zbX8O}h1ubkX|3~(2aB?p(ZF|CX3dQ+mN^|G8x=hw%G*5XkzP!DYOddu(wMP5=LHjQp1IBb#Hr;WnqXLI)R}H;-d~=o4kjB92h?~B zo7AP!F<%?}Xg}jr?X1&qjvZh`JB9(eJ{fEG{=VZJFGJtyCleL+bc~+P=Qk+e15SG$ z5P8ie)w5$Wjo@9Xh>Dp!8<%-LR_h%x)pk@N{`@{FN(^HFOfPz)X&&K!8jH>}Zwj2k z#^VfljAlC{tPpx~%OmwEJ%}8i)##>jT+X_Dg#>@bmC4bvhScQ-qoj`qjJMoqBLQxV zxEb7>?u4A^WlYEG0jsG=F|qLJ8pYGu_(UeiCI%-L?Sf$;!t(lq)^#)G8TxHDPC~4g z3nj^Ki^6RVIX3F-tdm^1BQ;W~k0uTCdq+2m_1mW<+xv)9lh{f!g2ghC)}1C7E;WEo z3zYBP{Gb2)f9qw=#_QcuxtVM8{4}uInYnM-55AT(o_EtHDt4K zNl=#!^RPLpaL->2L3PcT(T>r(en0%gZB)qeBYYwSxFT{h>hU@goCwmcis6g7|bG%_(U7C&ktP^*usIuy@8{x#T!xaY=^@PJDtf z;^0Q^g)S>sh)5CYU;{Dr5X6ax0W3bVx_TI}q>IY#x7fm*;{M0wu9G$@K#0l$$f=b|DEj_qmJUgS-PY_Pp1#l5l zdwYVV`s24c*1=ynbgXQ}-=xXCqhd>(PU~lTSS)Pyu;QC`{j}=Pb9Q)JAaExWGPio} zGYWG2bN*XyClhsuH*>7s0pX9@HMhunr((&@XM$JtSLdt7e8_wh9;a?b1NdQM&vs{o z+dDSNXQ@$fU4h^hiTf=!fv|{+)yF5Xh#7FH(vI-o5SEAMn9l(5R;3oB`;xd`<~4EB z+e_@%rKf-X3`u=9B9Ywes?I;v+^wZ>!W!v$v%A)eUS)m;oOs3XW%+15+>Muj@j{ze z#Abjv61)yK$;tST>sN-Gz)C0zX&4tGy>tHo6d%fPLvYnNJpZM1#qOGmjJ|`~IJq1P zjl_tJYQG|ORwz)KNC9sbzku!`94|v9-zZH$g^1UBmSzy@fU&3Fu54thXLja<{q`O6 z{Ze;L0@Sy}aO0pxfnVobfE!4=PWeh1?S7CpYWd}|<6Y?ABAB1^XDj47sY5lH+c>+{ zyUV9glwKr`7mJ%769|egZbRi-#;O2LC=neztbJJzI`__B1BN7i<)!*f%KRuiM{u) zg}Faiu1Y5oLw=%;h#xTelYhy%L5TjfaAAu(F`5(c+c^98Kq$Yjg?{2U*KdysV zc|hlJO30NcJ1LYE%v9~@OqRXOY(l7Ic31vD`AI0~o*mJsuP>xr5!`mnaFM)LyuM*N zVT~9a70~D*y*!a_Y$nC6pFd|j)g}ppiL|^#v8#3-7c0xX&03+4wQjFs{?(Z>N8(RG zcg}y+*OEK{7&Zb^%|}B&<+yQ^N?zE``b>Df1ML#j1qMks#ny$(DF03^O>3(4$D6pm zi9tA+-G%O5T5^nXkoWQlx=3ulo0l*Je&`t64TbXPp$bwnruTfPm3F+A&SS6ZN_sD9 zMf>$O0*E9iIfse=?Rhl7^6k~nHu!pUx<0ME=U|vK>sQHqK6K^4R~@K!J>GbfIp}!v z>E|cR`0^XJ7a>%Uwodht7V) zKVgh?Wr1v63Wtdf8TS}3W3K?|U=Jdv2yqg4EuVh##VVU|1RiTE|NTbkvJ3Z(@!d^j z(2O@brP5`@wK8aE6Ins*2Tb^WWlTF|HS1#qRHV+Xn;%wRYvzc=Lfv!eaH#6g{{>v% zHSX&_%GU`#W>{#qz%i3o;2sYGSwT?;aZFr3&RRpPub=farSialwB47p!J3iGIYOh? zW};-aJ(V{u@r_7#(=II0#_a^~>*!Ma$cAXU;g{8i2#O-zx)4w9tHrKd1@4P{IksH- zivMzPFx{x#?}o(*2U%tDmn{u2?7lL!yB`JwkOr7=uW~Pw-d#rgdWDR_h;7S_--fDF zLg^gs*P&_0VN{KoC^!^=SD)x4@mOYC_O>wd4p|jk8zpnwJ#=V1|5tL?f^+%u!E*91 z1fZ)H=@#lnN78~1y=+S#8FEOQ;YaK;9LvsL=E#qiZ|ojA`hAydgLgHqmvn2)C>~NF zw8B@D@1bgmXv9GWB_2788Xe&PjYIGRJNksm(a|&pLoz1O+bNgD(l-KeJ>|Vo?&(^-HT?2ku zCO)D7_W$W0i((o2HCKn59wO6PFSwR5X=IVr?NJWTz}?%PXtvLk5_o1e`h)`NYJZ}| zv_E3;-6@AB^R}{pT%@WGaqIQnFb(0BP>vXPE)n8t zQ71vQvJvS(tOHBV=IMa;0b%?>ez{$Z*G1hLThTt>Szs)eWH7DDPlSS4q6a=7iR5&< zP+I`Pdn~jl5ytX1h#V~k#PsFU93gWxz*YeCJs?VCn$(UwU~M0eN{4v6@ujnwmL<*C zO4jtzg>F!wVT;;b#)kQuPnRqN_MG*gClndhZWjt9%8WK{e{DKxMuy@xplfXH3V@IT z(9oZ{lR8OE<0J5BhB@yQJmvXP04P)^%oYS%t}!v|Ltyy?C7* zh%n!n?fKcF#QX)+0K57AYOYl2*d9j)c}q)oDR=tHzZN+@lY}vvJI)EcOfXd&y8_X< z;;AH^5`I2$ea?84^3&#$%5_{M$UVz#2O7+L7Jb$eE-`Aa1p7zs`U6OET|hC`cj(W! znl0#^z$JNO3NdbG9hcUoTn{mq*Y4pI3tKaFH(4+4{n;E2?q%-gl-y8bA>3Lbht2aV zJ8Xz3C2#5e5_TGUf_X zdKGgzQC(#>3p{vQwPo~I?u9xR?8t6iBLCKslGiUn*uge#CY{rY6=8B_UKXz2b685# zZZngx85pD_qM1r!%P5MJ&Yp4kc~r=z$gW8&#t{WX%#EOScM?pa*9P3XpIA-mQrVq) zYD}RK`d%gMnv}ajJC@hZXcO5s_s76!xBm2>Yevr*Vad13vE2v}d%PVzAq^z<$u1CK zs{28dMnoTQu;V2-JY&%D+WGPNKBI*r%bx+l=YSy3pUu6}6#lP@GZ^(GuN(GI&nV3H z9v{Rlu8$*40g%qIUYqBxdQeNo>+p+NLLK+5&p1(eGjC*+_-x$W*!rdb+L#At0r1v< zrw0fBC?DW!O^0s&L;^Sdf6p6{nP$S~Pn7{iH`#3I6Mi(k6+<(cR4_x@)O&bgO~vNW-&_@x z52m{Xc0FfrKrDa0qZmTj!S4c)yvz7|j2^FYh@U%*54f+j?xEI22Sw4i_i|rBcbDYTuNN)}zDf{BdL(;pbL<(3wIIWckah%#jjcGk4#U9h;j+I+Giok$vu~ zAf!1Lv|S7=>Hh-|H8JJSe{u+28-3{3Eg;oaY^5dG_ry}XMBrci_hDe)7}cA4cv#1@ zL&ve`if1KQdG`8)PR@;<@#xI-v+Rzb-7VI}K2pgOoZH=V+qHSS^evO)qAJC2-2VoY z;(xd{?&i^5k=Xv`K`0xA9s1m8RR;Zsziqj~+BCn~Jsh}0fnZesBS;$qm0eeJ9+a)- ztd_@am-o}39-92xquk8{o)sS{z*r=QmTm-@h{N>5lB1{1Jkn8wy`iy5toz@_#=O3m z^=n3+01;wp&jj+3-1@zCCA|8@Pq_!nX}|VqTnxEy^;_UNM&m6z%H-4WYMS8*qVIu3 z%$h|r>BePU&4xQV=#Wf~nq@=s5KJ2;#|F)BOS$PK^o(V!`KL+3gO0mdmA^5=LaS20 z_T4=lIt>HI-=y4SJe53sr_q<4$$S}VDv{qO6_-JEQt~@$Xs>anJgEKJBfn~6BR&V! z%Ta1-abeABUdQSC-qCZ~!@n~YpN+_iD*m(gOx+3v2v_YM>7 zOg;X;sTcW-*<_`@AO*@e+ad^!cMw@Hd zgu}59sNqgi+AtRD-8ItV?lsaUawlIHu}OxqR~@%%Q9H79$DZ9MU0MIVezxnr3s9sa z+{#WAKKag`QBV7YqFE5&o|Jd4u;v}U{Ze4n9ooIwP7SpUSWWz15S`eN2@w9P-$`tP zK0-b*-$!B3(~7rVA{SKab&(=O(RW&oFRz|wA6ej6AIY6wK5N9B2Hl=oeRJb`=c~Sj z%2S=Eor$J~#KL64Ct^}*axjg;*S8ycD=TL8BG}=IHU;y$f#qS)lK(jV`|>I-A0GFj z|Gy+yNh&jjm5q%pB9{fzn9IZFANO@|)Mt4C;+Yp*zjGjkx&)rPJT6xXd%SM^w26(Kr)$nxCTFaC@-H4R&|bZv-e`THQBdphrujv{eU9>KQEQl=*q zm!XlSFUsno#4_`M@->gL`8={8N1mK!4sDrjJ=wi8_;$Uh-n=i~@PnvZik{vn%jfju z*o}9E6a6v)J2PX1@zFB4+I<~PXK!+vMk*1Rn^uQixg$3X9?w)4&oAIRRu3eQ8wzTt z)NRQ6SA1di>)*qaDX)X)M&9;Ib1|(HeLNX0q>^^XsMS>QW9OmH+1g)f-pMXk>IkQ% z@#lKAQx?S_{zDF(M)*aJ6rWuQ4}66Gu_9jZ1Mhn?XGT_qOOd|CUu;|#q8&; zfvko*MLv>S8A1cJ7o}9uC;0sd1L_-x@RG3U&ON*xG&^k;mg&O#cwKC3YWP^PCaCTK z)n=mWzq~=%p6BaZ=UngpN~p_HX-pO!>3JX6HvY8=7?AOz?ebt7PAJZA)vN4Kp>#ZG u*ACnGoX%j=a75M0xj#mn@eGP05BS;C!2tX(P_k;T&?^19&A-vo;z|Y}?+k?POw2tch*gw(ZHp$;8IQ#>BR>W9{(fJLkX8dG3F1cdu2| zZ}(EI>h9{Qg$4!&R)YZkmAicHVqa9l%-O}t!Jd?ror#5sl~l~l%GQ*Wjh&5@g^QV$ zjhT&wm7P@0%-P+_#Eewg$i#+}l~hfZRMX6uRMOPS^{eD;1jJW0U|=1R|3$!*Q2(38 z%Kta@1i$cu^QDr^`m#DjsM626!uLlIVvC#f zGlg+=+4r$8KAONEJ--;BCks<7u| z*>g8|lI%-ra`V;6gj19i9qC1v+7OcLwrSa`FV7no&IvFI)W+pYTabz4XoaywJwZie zGCQ@RPAQZKn=Mt8QT=AiG6RZ6;T%>_RzF0eqIKOG=0#;R6v81b#4dQhzw|doT*5Vu zY#SB(5~bT#jwOK84w{NlX|raTW-F={^abYu;=$HG5D5em{H_sQ{?)f)#*-sGY^*^{ z={25Jt5ck7Bz^8|7)>T#!pw*rDh2IK*EEmCO-WhUt+%Yzrf}P3TDxO+Ditl2FXgu_ zsoL6PFybLk+u7uQ`p}*}6Mf7@ zo#3F_6V^XRJicWJ5_^!#$Z-W6BS;5OrJzXXW6;jflHHDpx_P2aQlU(+%jUuKPo?d zjN?=qzUtQATcGp1R##65M8$#zDd#5IlO&FP_g(X-K^JoA{~uZWXQ0?gFvxt(Azc(xLa_cRtVQ%uvdi!s|2xJTPacTp54KnOvl4{om z8>-8u%t#*e##K&@IGP0+e2BGVdvs)5yosk}Und}8)_D8$UfDH2-Z|r6x|Yw)JBhj1 zr`-bYy(iO8BFkCH1S{j%9^G>6Tbo25&?XR?!%k-`|F22=pBed@#YsqA;&zy2@aL>J zsrOibBSoqHV-JZmO?_&KP$pOt%V|Vf3D!`gy3AT5o5hDZJ6s1D$%<8OBme z{Oi^Kfx4CZkC*pg*H>m>*Mt2IJX8h%M>q-~km7ItodvZ-r6Qj$%7M63S>Ll%-c$Kx z`*8;+PQ7$Ic^HPU$Yu_SpbHlw#(2RDp}EGiA<)#wdl(5_kkx^cm-->RPgG1obBMf_eL$#r}jChr~Mt4?R@>FSwjNTg_m|d%(*x`~!APiDz05cvK-}(Gp3-WrN7h%S`L#WgbF=BX&c)^NN9%o6svP`RINm0{7DFv1dnnj7lZ*Jd3GJpD=^5P zS@lmGyGG;;^Ycc@uJhoJSwzE3FVxns+wLPKj%5-Bc0+pPYYBH4@X< zTk;UX02qTj7s*MuZJzS-7J#}TbM!GMXg}4jMe(}rxV|)gVrW&#Ui+uvgMbrDf&bLY zGR$h3V^598%ug{v0H?=Dn-Bov{mj&CA}Lww)|w% zVy@J?Wxt-%JmI8$_L1~1!nB7&2CNQp#%_OOR-E?r(iiZ4f$9+AABl?nEA!FDcOSjbl~JQ+6z z90MB(>|TSHiI}ll65w9lI_JWBZH9Ve958Hoi*?4mqK2A)e zlUVr#?Bbv;7lcd}8eaH^KK;c;vu%7ju|;~}tDRbs>?xF2wRcLu3GpY>#M~}vpIRSb zvM&I}X14y$hQ#~a@YO&zR{>zt@#<@24rY4y6xR* zW;j3@*Qd9`L71m_L5cX|a3OqE%RqPDdmdZL`QaVAz6o1E;J*I$IFs+tBEOj)lLiVu z9$siN-2>{h{p0J|pN-#W_Hk)H_x0lmYVP*A`4dfhX#Z=y``5#qjianxbCr+~IR9du zug&8np##zZGEjMakc|`*dc^1Gu$|&_z6UL-6Du=*gB$uE$bvOP&pkuH%98Krnpe&7 z*L+GdRt7MOQo!8C?BN!0a%%%_Jb~0roZ4udDm%h4pPqT9R4q^N{!1IC^%C&h93};g z_iLw#34b5wlg>vVfBpOyQ}APbMx^nGI)>_&Bm_uM{8{N^_vxf}KrIbNNgeZPN^m!{ zGJUvTcA_i3atxp@wq2j;G@BWGw3`dKV#5E9-Lj#*a^V7@ZNij1^jT)y_{wB6DcNwc zIic)}-@*{)`=6#4!lnqY{=*Dn&D&T^DPWhR?@#alnhLg+4hj+JwCl9!)f7vy6vhuL z2>RqXAZjlm5v5L%gg1c<+u$-1wy|%}O1>NU{p}cqVmyYv4yr+tSZHW$O3?t$Ev6~V zK7icSu`xwcZ1aVc=<~GOGyG;`&vOBsb)WsbeOX=0bZncsZa7&}eCXDC*X|J(dfi=! zXbK$RR)Dq<3UfRUCmHRF2-d7X0A2wISRmdN%2aKi~5aDd}j62fXZA=AO zkiTa>J;XPwRupshrP@!n&tDLn8w&lQPh(P?`uIWUYVbKKLQniy9xxGq`a}L=t}l$= zI_IB)Wd`GB>QTIgCBrYZ9v!x) zU8fDDF25(zr!$yz`7}Fh?YtYxy1~nT8=Lqd#mB}Ymg%*%{X$H*FVl_iH1m2G|NHi6 z?n0lIruY6=tGsM)k6706aQ-q}e_Cukcc_I|&d$ZXc}m+pr?Jy+T6R5G(9T6;-q{(Q zJ)G+of|epf!0bh}gmPHYO?K71-S!+2zksUiwRm9eP*<2YTt#FMDc0yBgy z;}xyNvP3?3sNE@Yp+KB|y_l=9T>u9eZBn;w?x=XKpE`vK;U9{g(cs)~`m9?$N-%qE zXQ#)w$4UTEmv8EXARb5Z6agwWZ?5TBu#%+k;R+n92?~loUb-%J`)<0EEejt%TY(%HqKtQ3tCeyWLs0EPD8+y)gZ2h#kYJXGUSDHfgTG<`w z0eMN+LSV9U=z3l2dacUm$x76fh@77g>e6&D>IA~mC2@!%A#eyMA+Q@z!zA#8AER>g zOLCtjH*C<_-;rA-7Rq8I7Fx?!28CJwLK(Obq`N_UJUKzSZ$%{miu(Gt!Q9;35D$*P z_@Wj7rZ;Zre-UnrHQXHPQ0y_TUUyuZmYnyeAk`u$akVfBtj>M`6#oILzku2=;7`Z( ziOS(_b1YlG*ChEP>DMn!f6A-=ccn`Y@c%m{Jo4)SscVWJAr+5|U~(sika@Bah#c8y z_;*YV-228hE&@|PS@o+7dn*^_1O$S43uN{6bL^avaRyMqD<=1Y{ zKleII%H{o3ZOl8`eQx6ZPPSu8ar|A8%N3btb%l4wG~_t2MEXjIFt#6#98JJFqJGU` z&itlV)~#=>8+`05IXXLl z)M8kM?mOf}(6siR@W&VhWj*??QU0$L6+J1bQIvNZfE(x+mt+GVc& z7*oXFxvh%H(e9TG)M4vPgOiBDjv>w-=6ogvqt*@=7z!qe&3`Lo476}%joX7s6oa28 zWjMI=@~L&|1m>cs8;re*$=u{+E{51WY}Yt=`dO5?3cGT02SfUi1;BGKCt$v*t<1_s zAI`_f*zWja%}7%RIa{G7s8WO9t-Y;A{RY#7z7*n;r%F06ywQ_H=a4qBcKr#UOIM<% z`peh)kt~AuG+nbp>G(L)la&L-7`p!Cn9^tGaZl|GBdxo^q(rV2i~%&ZWq3i?HZn;e zB41l^gOovZCt28|FsQ(NHr1s6CN!HC9W>)qYP_?r`vom%d_mR!fh@nE674TYLPPbN zffZvn^8w{#l1^|f$I!65aRk+5??_<(tM(~0eOK-NCliFS0E>qDMzhK@`-sUnlf8|Gxy`sWpwq+QxYluZ8Q9II}u-wM8C?}?AKmV(_MN~?#CzrHnTj^ZV>tBQS z?wtcQ>A5iJZ6>&{EE+B>V%zP?HMU4ae(yx(jic`=P?(9rYV6|4|K3#0flS7hX>BL0oVwld1!-FI&+4}UpPh}sz=2`O-CrME~fc)B40XMTgk5_h2TFaTGzPTV+yh^NBv8% z*U!B1{+fJPRkZhaZm#iu373b@+Zt@ELd6nfd3AYNj3Y_38&tw3TiY-Kz29VN<;Gf8 zUNy46r;ZeWKEg>qb}oD*WZ-qIl-^s}R)uiK;0vy%-A2g!G#Kuo*^EPhX6bg@o1ELD zDcgWU)GqU2CktUshgeM8UYX2b8Z{>A^l%`^=jR-ZJugPz-{gw550tm8tRr6PBz2Xt2IKVv-;#j15_hfy=S~-j{V86o{MvdU6yq zXj>4P-0lMl7k`yb7FqQ={+PAbQ;0QIal3VVn!Kn!e{ac7iAfpaIX;3zTYJJx z*7}8EtfJBLqccfOw2BoUUF*qP7S>9K)Swo;_A*q6YZMp`_J|317U?2FDwFy-)`FV# z3%7}LaM{K+y(6-yCL&1$i%o3jr2N=V$f^j2FyomOWkaQ9D`>snaFj8amHk*rc+vBl z-_xW~O=)8IjVsu!{87_s&t}bpVOI&UX|!>Q6MvY8Po%Si#-t7X?H>d`X2?+Xn-+@Y ztu&yr(5PYR zKlK)UYQ>nwC$mSCVHch!9r#uME_1H+GHW{?6{39rCJYy4Ta} zEkunPEUTpL*_ox8U^*nR?=5uvNd=abR7+e~t+cx)$EPVFMlhBO2vV zt+|YbL4GM=0sG;y=7HHdW~QZTG2P^cmCa)G41bTkVJW<_ma~Rh1;}p)vG zs`)U(`tX!Kc6&C-tyg+61@7D&i~44=CKRgx#aCb)8sU?kgrY+&Y3C_kDWP#1-VyO4 z;w1MVCP)T$Xo}soeT`%rjONFSx@!>Ki2h%@#9=iWYPq*#;g(qAl!W<6Y39R&>letu zNuHfi$)8$X57Z`qZ&!~rMhCuch!bl8BoGj^v_(qaI#R2ezKK7D{d=mCjVMedZs?4| zURK8m#C2tk>|YL!z_PV(*n$H`F`}R{_nj7PJmE6Bq} zepKk1H=MSC&1tdE@0$syZn-Ki5Zbi7aYb1iV_eU!mWA>kVCUT2kU|Gr8hCzsTB+@S zl+u{ySaPqvdEy@m7rqIlwifvcj|ZHm z1|;07D}p>|d$;MfBW@QJK?bS9JE>Qqpb**uWZwJr(%EK&Lxw~pvHO@%C-`#fTYxQO834akL7Y6t1g~N#F>xr16lx}f|U=)!0xuRse zA4bt4n(JV4fh0=fZH6^vq%s;1_eM8*CH3*+z=KQ}ACxzmAnFfu zTSnGP1VX*VTLDpx5{SezMe#@_71+%@g!Dm2`kVS=xVH8^ab4RT@&x(Qum71F*}tX% zntvvIyYdJW#(uCUd}By02zl#GR3q-qcY`=Lk5uE$6Yo!gj(bR@@vUg(-V+)AmEK6dcR!z546wM#8an=ng*+Rk zPC3Lhovs8-_-ryoOT7sAPdGwu6>@@bpG1|w!?ag5G^dZ^ zt8+HtrMVd_f0O_TM>DyxYLY}M?ZKpRk4LDurg@N!TL<8X_0X#=mJYW^%c!PzId2sF zM!cYf`p#z-mL?pg1zRG$n_v-=QaP&7wJlFqa6F*;5e;?Qo2V*uoZQ44bEe?wTR- z{B-kkoaOPM;aQ^dY1N^nFPC$-I$V# z?wuS3FxsJ_rJ{FQvO!WhDW#K;F)NUW8sXemPtMu?L@IHBR!9Pr-^>#4;-iK~vnq%R zB;Z)6Nz+3CSgzUsjzqpJGmcU70?%z1-rJl+6w*)f+q6;Pgg3weH>qLRoA-eQMK3n* zmAyYY2y3*Jv=^P%0je7kBz15l)&mamNi0Zs^Bc_>^}?`02Q7|zK?cc1>S3;p%_#l- zv_63pa4Nod8obVpctk0D4;iIN6h;9tj@C&l7W6<9MB7)E3P&f1h40vBkMg}$xl zs2m5s>817ty^$Zp^8XuEdbv_Qx*faO3);p%(7VYXqX{Qaa?W)5o8cOtXPYpw{cQtp zXFq81m8gBx{A&1{>i-T;{U=_P83N-8nBG69-tt~}^liGycU*8caG!$N8v8$iLF{43bJ9nWRJTXy@&JVjxf*7v-7E2vOOh1o!-N3jJo0#_0SR zy`B@H=KU&Y`7hLio$l*)al7`l_n%&8(Hr6K!?5-28j^@(PP1n7_l{x>2AFB35vB3d^>*fH74=hg#e&YOw)+mu`9plaPl$ z*9H$BD_-I?s@joy%^IF}bvktX41T^3iSU~+~f2NrERld%>~!Fo-*d1zIAEf`g#5e5GivR zeyEgKlW~#qS*64n-_uukM$e#Rl@?~~e_1SznMOm0_+hlMQ<+w2O3ElzduPTatDgLf zSM(0e9yVS)Wg3tv>(G!l%m4d~4B=gPahX3o!J762spVHsAZ3ma8*02EPT@9u*@fuB zU2Ei<%AUOBn!H0EEraXuxfwLV!lc?9V%z(f)W?}JkIr|Bw5U2fH4TIw{VN$t-d)CX zHdk%o^At4!7;NEtW335IP+sP_=LH+90-iUUTe0#4cg&{Xp=zmi-D8u^VZK;R71!$G zg_SxysCF!SaErx#DB@@4GaYikuhsH`UD$%^D*?abBZZSQj7O=93R^PqXr~PvM?cjq zLQmt%gIE5+L&J&`FPawLc8v*~=$iZ&)Ar1({A%^ij>=}^=9NvrV$7ob-hwO4#jiVC zXtnh+8@2f|hs+%j%Mc)4UHQB zJ0J32DWtfggLJ9+^qCeGP?&GYl77v@!g-uC=l02E?T^YS*_v5(TXoqq>wI79V&7A8 z(2L|8c(53jtJ@-dskaAN0ytplw$!;Dp+q|;7r^b~-4R{sm|hXsJDk1I<>rhafa&00 zwW{vGsnhISk;p8nQ=wd^LDN~aSz}(WeWtqoAi68o!9haewW%|3PHr+4VJJJ5KW1GK zZbXZ9x2US=QNIRgJp+jqZ4luaEef-R8e_?))96l_BY`i_FP&|Iyeq2otlgH+9>!{o zyQ@eiH9l0p12p0t#zGjko_(v7%Q4|M564YQs#a_@ygOvmG&C!!*3^O1cN1M7600lR zPUI8M1uH_`HC)|B7G1@vjkDz*Sa&tPH3TJVY7D6{hu9R&vx~ILIsTjmsY}z_O{E6w zKWGp44?S9`C&FZVmelE%S-NU`{Tmjne{{s8dDCsvwky6=H()!49mD^zdcBWo|NNNG zUtkDCbf`9&ju9-?=r*Xul6~1)&*Q)BCm`@z^lfI*u5?eU8bVJG z^ex@8RM&aX06(8JZA8Og%guVw*R@%1ZPmFEUsAU{@X;BnRV`Uir)#1gs?{jzoUO(} z&{{cPOuxkCz1qzCUJX1Pll6c`V1(#W!6CL*c)WMzW`%Edt|xZv#9vjE=yXVkQ~z;d z-y|C*)?Bu_NY6o?GvuvQ5~kjxUe|0rr9s*N5;u4AmAt;TrLncU$O0S@fTX(BbE_3K zZWp~vvSx554y{XnFsA3&Ysne1dbW92yl$<4(JxZ#rj*KdcXmcy(=Gc{t}wfq4F9P2 zp^so@`tpVnAKW!tw=a}0%BGE*Hfzyx$)j?>AIwDvPq{vTIf2@DV-CrRRKT6*3!uS-gV@q!b zkiVeX$87fM&V`kK5RLeSNd~42wypd2l8!l_6w5QZ%e#JiPUp3A_nFOaZ}I5}Y1m&o zs^o?f9wD_Re0vkd@jnoz(f)YchxCs-Cs_9?cTepkB_Ze?8 zi7qWU}ITa2=7yAPlley;b*ju=Q9Y` z#GNhctz9^E|9H;(%%vQ@ls4}6Hmj^R5vF6OH;@OqoXT~6L^Zetto*YlN#`rD@}D#V zT-=m~=MDuZHYA_K#>BO8`3QJ+kd(1oV!T>S)IfW#n%DV#67tcMEJ-FLQ1uG_nq+kM zOll^|+S?c>Z%j$uUv=a!Su$56ncT;$WgcfHld%_Yy;&Tx=SHM0^h&ie(3BZ~iA+UPNN&W~2jagfMG^)S-FBWMIgSNaWE15vz zRD^AuDXA7Y{zU*e^~L`3wOjEf*Q+O8xBP1+b1t)@xt^{b$=>stU1I{wz$@zVQms(h zmC=U9?i~Z6#pUNk%Q~NO%g-b%+s1^HMy^eZEW@uLzj42=cmwD^bqkr^1d|`YG4B|ECVL=S#$0w!il1Ml$&_sKm<16t1XR+ZjmXHXBY`L@QBQjc3+PX^D}SN@Bh=3yMk$^W1o(<)mu8` zAscJhpP+K_5mjIh<7+;%jv+pCvz9miNp>j{@ye%YPFS${h{3w_c1&5%w0d`yIxCcU ze1t+f?tBo%#@GrZgpbqLHE*k%dU*+rcl6smuVuU|RPJe8X?{gznz#2+lmDzmI5A1E zim{tH0%KmMTTUk;3=sZY7C-cPn}FOu%?M}=64vXb5%$y%xoyvvtg(_=69iGe|9+f_ zc$~TWEc`1>4N91Hp9v^HeyHR2w;)OUcz7WZ`q1vw+a}KYxY-l#`ZRbwEV>)f6=p`v z6E^T^dxPxWyfgItOlgG)kUrWL?qOT_96Ov@>~Z{PuVsE+|L`jd&^&p&z3;UW9bV@6 z6nNj=KW}EocftPT9lISViwa<01w?43zP~we-wQwI(A?(i%`AMjx6CXGQ@;v=c0clj zKRR$R{CovKngqi%8$v1XF=crUFYz%m34rkrpM8wW&(f`)*&CzV8L{(%s<(H$UYSq5 z_2V-Vj9v@F>nzmI4>LR6Woh}B#>eM#xh`UJLkxtT{m}jW=7_q0t&eWP4u2mY^~d$2 z^y~A~VgR*&N*^9~2JDzCIe0K;92>zHb{=hTaY+l?iAYlt3N}`a zdO03Utm|Av5(?0*{9W1f+HFL>b`}i5@NM*f9Kw&409&MMG~Eh8V>}<*3WlJD3(a?) zFU96%&V7^OI}Uv6JS|&>na~C5F`=qkdAbGN4>>xY^lY=y9w>PaxZAvWrd_;v`V3N^ z&OcDAIGPjMQ9#mJ=UH+Wv+wgV%@Qeh4#H#*)>BaUw!9iKfIhKGs_fhV@IgJ;3D+WD z3U)R4qBn?S`E&pa$|&lfmIML7yvRiq3VS7l=oK=(1IkGNHdQXs$(nj{=-+{k9{-Wa z{kn4JidJ#=bVh!RMy72&D$Bd6YLZ?-G!3Dh&Oa}Lm2F}sP^k_tLl||LifQdypwVaT z1T3O^U>LK!nRD2H-V(s`wvtd{)9ij5__ksuuiy7VYMxh=H_iu6O6lAT~ zO-hzcoP~a>C2=9{aZ&`3Ks9_j%qAPowbepD#oo-;sN`)bpE)}UnW+6?L3IL@8bk{ut& zSqNORtb+!+$GIa~dRR7?N?4W`0aV^kIy>pgoh58k9Tp^F{aV>C7U)SRbyVXkG zu`I9tSrUl6#!2x*G<9;Usfl#zmJmU&<>3P>3jK6SYQDP5xt3L^Up6Nt(rHjq0|8{m zx5I6kv2G%pwV$=L2y!ox@&d{-{XgJ0{$2P)5A z&RZ&d1A5>CQD;92=a9bvm+^t$XNhMmmB4_aagUy}{#A3xFi7WJog}NLfEOmw3#uOy zfsUc#PTCOCows(UOnbKa6YFmMH+*E}OOb8kk+85xG+jTO*4D43(ER(mSrpN`2ZP1=rsC!y~Rx@j`Eaw!%|QpLoURKhw7iL_tiiVYg{?lzdwgQCE;4 z*r$`o3D^EmCQQTK8w4a$2zMww+>@Rx)NAj+q1Zke&-dRj08R`?(o+xTK&qcwU}%3Z zmt)?p8@Lr@DFV=Ol)XKBSN3 z6DY!(S2My2jM@cl2q8fw3pmo2lSnzYqG#Wv?fAf0;Zs2CX=oXN8C2-E;zYv^((wn} z^nef}@N^T*^a#?}F=h%8&tC)L0-6?1XT*CdihX;N-AD)0qe=zGL(EP^D?j9?{ z#swzn&W}Yg3~v5i@4zs0ZvJ<9y!Hl@?>YmdV|`+crKcl11pEs?bQTW@XSjGgVseTL z6c{6?RTArClRp_ijCn|$Wo!u`k+&hXX%Eyle#d$zy;c*UZ}cffnZ^j{sxZmRkU=(= zdOO*5t7Do>n>#ppA!-|&=SVy%W*&OMt0r&g^eGeQZI!5rM*gv)8y#v${7V{pR%l}C zp`|4JU7L7(%YOoiUK0y-UKBS~8;PXD+zlVRMWlApzk{KzNJHCaels61>L6NHi(G5J z+TVf_tzCu;A<9&7SPI(%h*N4x?F`!$y6lzUR}wkws<0$8>{lG9ps`Q0z+!%m9W;EG zTm3nvp;APMy^v4`4-q9_i%7a@+D?VZCK0MMMa-TMqb(JV`j@9pB{Oc~?Uf^De&|%q z%U0$bU{riJlc%z3i%RVDesdCSx`FAGa+t2H75t$ENQy;x{x4rXoi9C#_t@7ujIVmV zY{$OIwM4^{YoR_WP)<|<#N5h>ZaoF@SxM5f-;G)pY)5*cSiQs=dj-uOBk+tKGrdU6 z@{!CFvPx!cPi3ik7)x`_%?rw|n;8ZO&ySq4P(oKt?uw{V$i#;AK`4{~h%*DWEU){7 zCD@=9)}ZuYMfS$2s?;tK%$gzFk9|d z;3P5oNQCxqkG9j4Q-=e9lLRjl$dic5&G7d!=e3prkj`yjq7P>gWR8HZ#G~D&A(b7^e-}7dw$!&3Bsz`Dp{o6fKXy@bKbtPwj8lVqOyF0cmMgu&cG$bSilLM(sq za{!=Wo%ULx(p1vMBh^=9r6oibC(XXNccs79!8QTp54vzvY1jo_iWS8tu>Hwd?fcuww$E-j{8 zolRg#A2)taJ9rm?mEtSc*vz`Wp8tQZYn!hSFIq4nNkh+A{>>$8@G%Wb(Y*`gcI6@F3nVrDg_8flQSBuWijTBT_KXH4n_0n zk5msEy)j8>;hf3HJE#;~W3ai{w7g=$MhKgrp!Y@e0O0mqOK&0tkS)i#2Wj-@^$-(j z?IOXJ4Oq^n4Oi?jaX}?;mMzDT<4mx2s;s-J*e$yo?yOUCpOm{ePnfgjVhVSAoztaO zmBys;Z97il>I$}57nAQL#>G~~7>*|A{rDj|$^I`&H3fw#*4PFxUdF1Lo{1!-eG3%3 z!9P^WG*L;Dz-Nf!&={=d(B7sPUW|->5G)Dbr^U{sRYAu*_kxo(?dZmqJ~bgkei&Mt z`U6b~N6l49$ZI?l$2RhJuw(S^p!ZiAj@o?CO0oN1J;X)1^pgPHrVdHwr@igh`b6Wh zM5HJr!V!-#a-k(|=fkrow*-ka2|u6aJH8bZBeMVo?B%voEhZohwk5W8u)$K;fXS zR-%DED!3aGkt4oT!tX-%pw5^)Zb-tVJh?n!NTU2w zV##AjhdCokD>0W)f9xCo945UK{*0!9vqOt_xl*qCUHLvc&-u)z?Y5EG`}d!o);qwG z@9Og^$i+Kr)h!LaZ1H>TCPch<^4&5^G03LAdU`lX2f2so&Yr?Ge-1d7Ly8sZA4rG> zBY8rec)I`5yHZV}PlVV)hbo@xvkUF1sHkG<ee2;`NKJ>CpiOW zJ{n~{Dq}v{2p)AcYxoxs2rnDvdaN1T63 z%)kuuR;MwM8!xPD6>y+rK58^Azi9jqqAUd&rhjKu4Q%&~$7L!ppi&lZ6v3P|4K|W( zvMwr+Hrl`@To2;=8;hX@_N^WJLBYU-R(|s*di*t5`xOD2FxVcfV>Lb=(IwH@1p%Ic zAkPB7P&rb?-q3c)0Lw2F{KZ?lbS*uXk5bM0+?sEUMCPOHM5D8#eCjPa{@A2N6O9mj z*tnN6b~JQIJ;r6L`TK<5cU?V5&M<<;Z_G#G0(Y2k==N9RV>91P67W=^DJf?eXZCty zQHv!eT)=q=32lbI@%fDKIgL3?DPLJ07k>R{MNJB#ASylJY9z#0_dSg6{#9q-b7GYS ze~F%f!C^j)k9(>;yCMQUanh&ZP!4vZ@`yh_K=c>1*IW`25a{vG@^Z28tq`;aGs3)} z7W%vnPwn*lD1M!fB>ql?U-wUGPP%JQ$cy9#`wg@BhWH)RvMWj$8vp86DQI{ZOg8%1gN`^EF_4e#{N~gKy8W z3{eZ|8wcA$QJN~1hcfr17>n$j(^GS*Ns+BjiT$2RB$5(jhUs@zc1;R7Jtrbk7T9ID zgbL?I@w()XEg2tmL*0f!--_a8@rEY72?nbu>0tMME9%8~;^_P9JA>S%oU;>eM3GtLeJf2-Vo?BdzMO=|XT#-#Y-!5EW1d(?Y zkrx{EZAh;{U>;x^hSz|dXd#Y*toJZbKJ6B=&%nQobf3T2j=!9tsN1NMCET-z4g1$H zwtik}5Se0+jTCYIrbdd)ft{R*yQ;k(5%ksbFP(m!N<_&fBjFVP_!%w$2K#X@+S2vi za~5zwCgNEGZs87DQdO)3`h+FKc@gVz@hpAIEG-+O6GX&(6rAI~5C#tv-ZVe7+(Y9a z2)0&gLmkTQ7I9p5qfQo^J_OWpAt3rFBBm?{CmW;IL=Do(6Tvx61csdH4b`b6-Did- zBSRnu1nI)3)11!`n$2m7kl0|~wmFi`-a2%Vgx?>Fgc2Jw`1|@|4mWZ=g+gW0Q1=PY zfgdG)aWs8|*3sl=-M8I9wLdIB5W*Sfnvpi^lLFmnq%qI0?W2KQo) z7(pd$@ANRmS2lHgJ~=QSSvj&7i**JpxLcR=pV!gOY~a5=1|bXA961B*a>c2*juj5cCY59J^yO{Y18z-(8uyxiz8 zTfdhG@$UXLx&~&Q$%m4gBbL>`l$qP7G}f}#ORcuHxfe!@XWEU9x|O?^_lhM>F>&KM zy3+T|a|!bTGn1Azp&!l$> z{*WRy$SE~g78_|3#PWP$&VGQ+elQX^=R>~;5Y>qH849@F)ZX*|bNISQ(Nhe5?ujdb5& z6Zx}bMPo<$9WQ|u9N9Vbq_$N6F99-ip3jk4OgopDgzTFwn;;#8u?!foFj#13!*R_T z+u{%RNK(2EMX+f8qGr!Mb4$}9D2Rzq!mKbUnmj0g=3q0!YXmj?qO}ZHq#oK!Fzh*| zuLIQJEz1OWQPucLx6hA&iWxMuSRs=O7)k-IU$h@A!cax7mSHBcKNo(%9)#?w0#I&? z9YbM8^Poe?79wKBp2%0oB+mvl$H)B}vy} z;m(+1kC0-I3N4&=+VDyweCZkG2~*b)9@c^?f92e8KEgu#n^Z)a`F0VRVOIa{(hwcK zAvU;*gkmPyaTXb;h^KNqWbT%_-<;Cc0>&OS#vVSL(^P1ihS&zE?}m^_bH8a?C*OfN z661I5zi&H(wMMRm%lnTRAN1hnCJ>0*?C5N#m{rWKs9Q6ep;Xb`>x2?x?_&wu8hQX$ z^4|l^w88E?uvgOhvL&=V8?MQ1S%E&sx~!U-!?lq=-I^tps6R z1Av1(G}KaY67DmQL@4Tz%d(V6DpLR#MDh1_GKgX=pAx)VUYKGMOokR;qQt~7G1fy} zG;d6@?JuiGwTA#)h3~!&4OMck7qZ`Et0<@$Qaq{gU0S4GsjQ&r`x6n?r$;C~^rvuO zrg`5Ck^Bzq(k;{`e%K9ZyCKif$^NhBs|;kyg9UN;4x+^8qAm)t$kf0$MG^VU4~0aDHUl3%phAGl0I>{KHj!FU>(BgR)~#?j;lGeNKz#UdIxWEMGO%Qe>7Bs--g^P!adI9w;Y#pXvvsnhTjG;J-Mm+e5t^X z%o}+P6-bY4`UVpQmg6G7gysNd6xkIJ9Y{OyKJ{herEh<+A@^T~9l!ag2RPhKjxlh~ z5?PdXm>45o+14)`t)2)r#KO=9(>Y3elwc~6;pH9Oi~y_~XLW z*i`+#ESDnif0B3q9S{_2V!5~dafERg83u!qQ0M3 zenK<(<9^IUK9{21Fp&Oq+R(pUpG;hj^S)#uzvLjlWFx=iBKNnGcpHvDE5@31}UTliAfQKe88DXhLolnSxR}v z5K8Co@=U7UJ?Q?Q03{3B^!9pp-g%+)Y1s0`zbsb%vRvux>|L{B&DeNnzWe`m7W0KF z+Cme5Zyc#W;n;yPFV5O`g(q4YHZ`{^&AyAXx1oVcm;cCD*?HWKM)tcW^6``JMVy{k z;BwN|2#9P){wg!%(`LwhfM6_xPZTIUy3Tm?c4mYkMac63p^tIHeDt(|RegASa=+3W zUvV@-oaLcj7yYq|{*Ma>2>P_TVDRjwq9_SweeTyR#cc?8)i7d^7 z2e5YKJ_Nrq$9|D(AP=`hj(wfTIS!=bCvYOi{!rwMBhop>%(01lC-!Tsb3d9Sm-3S0 zqi}z8^kM(&vq##MG{=5@PW(6r6#bU|OR6^t_d7>kRJ%?;*|A@ao8F$b{-C2D_gREr z@Iy<{zvnvjA3AkiACCKC{n)9s-{#19*vX}xI6V>ij??fzcj$7w<+$DF(4XVr|I4ZK zm=H2~o5_N$xqn8z?qGi7wEfD-?{;z-^U@ZXKg;3!CkH2E_*94fAD~mmXOX`MG?qW7 zl<}+Ane!d&yBsWKw-hXGBi{_#*E{8JqAcm}P|6=r%2!8}-yK2!utE=$L!bQ=80ND7 zbIpJ3$U6=FqTKI0b@W%%ImdbNWYDgYCg@S)A=_pCJx3l+87zp|FX=F7{iJP&w7;#K z=P_&ierQXM;~STk=RwYz@6sfOKdY7HEElmC@X=E63(vii*vWN9;&_@Z%ck5pXHM-K zK9*{dt=jY^2ha5(+cFH=JvMSKG@wu&Zw(WpkPuU*jN%2i9y7e2ntbRG?-{-1iYESHctpSN+nv4c71|OunVs92-}lY;n%~Uj*c{f6F}Cs7&0j$WUyNl^ zNnaDDFU*|br?XtER}I^DJ?`aP8mww<*|c^|%c`ct+Lp%~P>i0rF-;3#tg9v*Nr~mU zDr##{b$9ya#q`zPP@ik%998&fJ=+VV_r#Pg=}D<-8Mb8#)9cyX`7zD4H`L5sSJzCV zS32*F>8!r4POheX?r1sG=zDovbQjYFy->X4>)%Em#4k7qW-py{GZzJ@_>i8e*|Hpzv&Ari7{L!o^8?YDo8S zV^I!2M?gco?y4DK@R;U)Y(LDta_*0z&@pKRcQrF^1^QXbmcaY>!RKgKNh=Ci*K>R? zS@7^~HXiU7ue{q19UBLY;u7gbe2RM2N3S+gvZy)?z|E zbpMvOQDRsqp=K?0sjpgvYz|~+U~c{P4WB_5MWz!^;V`R!Hi%*HUTdHC%RuO*CxHzx z5cqvNdm4US`*P?`If91TkU{(=o0gC-i`I|2iX$=?DS=eu=SZ8X#mv zx_K<#aL-|imEnZ5R_E)^0#4S8X*os_u#9B@;G{1dc@X*u(9kMF7)jw2K}V3zf7<)+ z$9#3O>&XHRdl(Al$nIw73e{qnsv|ty@tw^b1|fthJ=J^w!E#f^${Qz$eC{CZ7q3s= zhRK%cBWgezAsoI2Q@lUCP}_v&mP13&!t_Na0%qJ#TB$sW&@-APpr254=s3#Bh;W-s z4mO)B96bC84pj>A#aw-}#oUBL(xmuaLxN4R=`jD!-V2uzC*6#PLZyvc((nSQmzj%U z=r~6W^o%8{yzgs2iqMtQ||k97{#^@2>c;%flIwaePeo<}y2XHBt)GK=b`WXI)d6q4%~ zj$JS~O!hHIfgn51x2_w2Xc>eew4brLhvgnT{mH{M=Rf)YZI={TkRcQ*pG^^dr1bqp z92x};BTUDDJhFq62zAUPKK-G!W+F0|v>V8U6R8K>4l}?UK#!G#UaUMh7j2L`-qYbs z43J7ygaGo5^kKPEeTAsIB2-5wJ#_aA5fu?)F(72^!HJ}snp|r}gh6X0CS~Q4aPEeB zrbigu-!f`C+*(4woe|(K&>~$*TCmSTM4Av64_DDPklV%P4ltJ|97UsT(sKE0^d+Lx zP)|B@&Fae%@Kz3g|1ort9pRNIB6{SIiR@K)SF;~yqb)xp1p)$lAQ|`<$F*s!ox|jTqo6scB*G^ zM8-RCG{h>60 z-ti2kN9K?_4$srwlRy}mq3k($*KeQy06H&$SxeJZ0xC<1WREtlzlJjMc*pgWEG<8* zbi+oR8Vn7i>TpvdM!`X5P+oRaRxiY_6tra?l!@xQS>V@t6o^=~%7!mjXu%Cxz-5Pf zq8Y-xUyyP_dc_$y;lIx4rdtw!y*@kx8K5i;wm9PWmmQvy)_hvwr|_+InxjtBVE-pJ+7PKUdL&-=R6dhTsNNwuXlO4<#o!* z@s~c`{?h)8B|3xqoWzzKA1GqzJ7fh)Kpa{YeqU&5S5L N&YZY~{|5j7|No-mz;^%u literal 17463 zcmZ6y19&Gv(=Qs^wr$(o*tTuk*x2UA$wnL7wv&Hs+dg^E``vTTy)#cw^;B2Y(_KB& zJ@cz>WFR1*YFOZ(tIN+R@5>rKz_^s0a@|?Zvv!%^k2#;_Fw7= zeBlS3V-Fn)MJJyuDGq`x{HbB0gjcQj$vUC zUeQ=p*Er2$@=ZSmhH8%iib3gq5X->$4e!tr=Fw(wqD@%r%A=kiSI5NKdqmd7qHJO7i)t`w!?LNg#omEc zW-!eTQz3}0$;4uTOwM7GoAq3EzJUsOhAf)CftO)pBU9~_UZ+K0jAByqm&Cv^+*pQ! zxX?c-XYypzaqZa7Ww78Q%}TNc*ZhqJ=l8%;5N5RV3*cX7hzTQ(-#a&TB#tYF8B&QQ zwj-)hVn>yy#YSaJl3B{ZY*9p#1Am48tqX~BsD%R`lRT`+tcje9-iw{?J1{AALsVIp zj?Vy5iI|C?uPJ7lR?4g84~3$F`2?Evgv6$e2zbUZpRVdiizbHq+M5Jf+hg(DxL)Ka zEeE*U3raxs2<09|89)v5uj4FWHtF!kUasS6@ zwsSDJae>l$Wc`=}bKa*CdZD&NG;wiW`&QNoe1f-6DYT^dOjw6BpLETlFL%k}nTRcv zPpQwT-|O12Q~Fr5NU3;}Ka`4w<%prt;2V>iLsL*QA2!d_8X5eX!ggt~Kpt6t81j+A z|BtId$UJBgBomwk))ZruElJ;SvR#|<=^ zV6cwxAEBphEAV%GfpYqeU3LQ&hcY%n1)m*LjMW_2E&p}VMJC41hLttJWYxdaUz~2n z+J_ynYPcaz35XNu^pR6{n>vOi0OyjkrLM4iZFUS7y1I;Z4qEpC-V>d^PC0e;ZWg*( zx%{%B(mrvc_QD~fj*@Kui3evp1qp|3)^Csdwyu}TZ4^+XQ-Q0n>)C^ z&mgr0XB#Q6zE~D?dWKvH1zd?#NV7~kbu;t7<5O>?c@$3-8S0lady^m&cEIezX*%-5 zf(^aW4ML0ECMBmCr^!G4{(t)Q;uWLSVX2J^v3I3%AFfY!fA5Lr6&~mw{>?Lw$YX8! z5_5OdkKyLaPc3w&$W#1^cUuj4`?6-c$3b~)!ZWCwW^etrBL2M#Se%0Je(E!7`gs_& zyAfyPWY~T91jC+mJD#wFS-uy_3k5=Z8?uNXt~K!JHU*9|ocCtjXV_q$us>5iMdcB# zX8i^B>NGFC^`pJIN0HAb8h=6qa1RUA2u~-$>p1a=!e$7z<;J-EGUMDt&3FGn68ML; zbm`R%NL`d(6wJf-`a|9t)C=JPO8H_bzTR(%eQhSAvCcojV|M)Lr%9S&Y>~%-te>FD33@^$O@eJ8S!6L_uWou7d z-T2{v^SPkx-9QYR2-UqlqO!0A8@@>@=CCT=>@%o_7v*3@unvb{TBi0|7<95w5@TIP zAbfCxl{-|m5^ftM{)`gOrJ z@(1R3O!0sG2&-ku8U`wE(&$&zj}F{49)bS|EhtFo+CQ`Mll#9kUfGA}vU-}^<>^bl zvZ~}Wzr-t5Pz%{`ZsUJzD|nicCfcmE$KL80Wk2ioT?KE4Q|{@-8g!qto$iDAERGIZGu+kJ?JE|Cq*UVT804|dUJsGICUR}_g{+%q zo*t_F5T?6W)89z|JD%9T;Qqn0SBPYkrPaBbOK>jhPv)=rtv70?Fb02$1$2dar-J7$6tD$bk=8HO@1s}a$uQ`bzKkyE$@Ut z&*2W|W`rtj4Q~bQ%$@{WPAk6QDvi3VE%rU?B+4KO!;NAtyYM<>8>KAZwL{B!{);^RH+m=KYQaT8P^hBnWt9!GF|RT z4)4l4pPlIk7l??#1oPX2XFtlkYmqtr0Dw~2n#Dzgp6h*`e5`OU*96seAv!H;s&opH z_~{*zY2Fwp`+lbC3s}|* z%9n&*yhAJkW4i|2XaRq%-(gPRor|yPra_mny0l%lO+sIgYAlZ*PLDa+#W?bFBw;q+ zx>Gnig(yOkr!p+8Slg{kX{G8MP9E_pH8K@8d+(f4gAnTjRL>rUC&dLy4!C1Cntn^K zVJ!>~Dv#wu9<;OiPqceA0|LWt&4J^iqTvPhz|3zJ-b! zDC7h8Y-QxHmhi!u z^I@f&zXtVgyq^rJ29UIZ^- zuYr%~tZ{>Q;KdOvQg%&gb$HunOhA{0=+CuKceOl{{|)K)-H))w!WD!Kt-nQ;{mFQQ z)!%j$F>&}SU=9yoi^w$1CkuG2qt@oIpTKb~gb$`y1u41%EGV#@;^ zz$T@1T+Z6^c3Kb=9Anufdf<_mcC1zxu!o@3ul9<>C&a>)9Y{a6RuO3fGU&0V>M7bB z=Jr4G6)w+F4zZ~ytiP>K?3?S&)F%P|#zND@@ph!|tI9?BWK>lS@=7%9bTBn`^z?ZT zX1jE~)Q}#?SE%}^mJ6d|uY5ad8ZhhN*nktCt#4N;&n#AeuhV(Z$l*?s~0c5m+K zV(m(duiZZTLR9m(#*NC$!oidQAyYM#lMEw0lY@=55y_ks&RWgP%9e&gp9#v(?eC3q z6vzFhoEg%^56alDYSEGlf;k_EPyeQ3wQIOARfxc$S33$_z!B_{WyPl6AS2CXAuca5 z^|AOX0Ow0TOr!-pYEzxcO{Z|fE=;-wy>e5%{O6`+9p;gN=Em|P=azwni+3^w-SaWH zY+$(rqtH6WRnLU0c{p|085-vBz`tiR6CluGHl9s1`F;x#$s$q?@{DK>9>)IcVcB9z zkP$FQt6SIa{AAUrZRaHw*8p_YFjnnsjo%CdW+eT_+Zbk6$)Tb6ubIzr$PIIOMVQGd zPqEam#l6|K%huZ5rfO<;3a1oo*-#PX1?=|eDK1yXyo=_a?l*L*s+uJupW{Vs0-1?{ zsw2TzcipC?+-1%hodrj>b*KGl<#M;LyS0o-Ge4`}kZM^2soa2Pu3>w<-#fYra;j3A zCAzx%MQh;rg;}fQZ|%y7ezeGh3j%~At+O-0EfbQ*kXQU*k6_Nn=sqr8?_Q!rbY0e5wQU#hx7 zAMwOd8!;Okx2aBs=4#@!)PRmg?cS;mc`ak|h3yH~cIsV+5Rv>^Y!x$0e?_8NTfjEH zFCTtM_bVV|B;TFcZ|H~2{|+6==PMGSaoilczwM|q8L%=8K$@I~py4{{_v$cSSu%3H z?bNG>v@~)(?bLHdP(#_&WI`yKIKHHLKSd!7smW=nN+QtXcfhp&VmG6>t;ubH^_Ubd zn!3NgklQ%g!Q#WQSJv~?j_$Z=r103avb*YEz}XBdYifs8uyM!CTfWM1I>aZf^93g` z-(V!|SmM4O3_38)#Z`8Mh+!D$yMhhozPC*oA>dc5$Zn}_e>8<}u;{`$`AcK!ICTOW z+%}4HQi}s&h{--{#@=f2Lu|U3RDOt4*Jcx*pGLf$m*eaxvq}v9rTL6g+MbvEw6ZSq zYp~(;1rN_WP|f=VO)1V5LJsNQm6-@%d`1@DsUVk7qhR(SioQ8L^2toA-IFR5xMYmD zIGVzWpPLe8Ta_t4d_VBx0!z1M!2cfXk?%I}cjqcI)S!;3Z}rSFnA;VKcIzfWwfY;O zP}n?H4?_(rPM{T6XK%apma?3A@SMCB!uw%T73+1X(lnZKa39p_QDFm?u>%v-Xiv4L zt8*z7x4bM+Ttk>hwoTHQ=!3x!r!$%P)*iubD#`WVUD{S4h>%4#w7bMNQKo~wh(5Gx zKfAixF%ZQ+g4ePm7XpgqV_`yNfuV-~f$&Xk!(A1O$DmU?(x(Sw%5tK^KfQzLpyi!iW9u%^x|-i$JLb4>mEVOxNNYB=FU>^ zJv`pj^8OA;?rsoGOZImuNtxE?WU}&d`IMK%;$KPlyecn)-pH$%%u#PJ?}_{FU)<`; zccbvQUjRwGPgN+DR~lI`KZB$K9|;vdr#L~bLv$zOi*Gv?%=}&qebnlH;pk^4ikzVe1UNbJ0_`+EDS^b>7XVZeUI3g zvUdt;1^eB|$EwQ_)~_da1Uaz}iC3E=5@Pb?AG7AS6dCr}Mx$3Lz{O>r_ab0QU_Cm> zhM9z2cHLDz0V>D|RkM>OZ7_5^i`CTwxt7DYNpyoANS&h8vlW?M53)jQvB5J-eS%Vd4tne5@%51P36%2K? zel?ggzzu-k`$hJ&$ibj`O|S~l@x`6T#}9HTNroso2t7_0`TGVzeo)k$qI;0<_w{i& ztbsm~KFn{rhJL#nPV<#)O4_LbpHAl46ICywnmd0>oButEA#Jj9UDUzyAby>I7OknLZYBZ&87+<;MNLK}l&{Bx8X zp!c{nE<(^ebhS`02pADz8Mt#u8LC}bc3nyuEvv(gX#iAP$BhRkR{5y}(Ir?~jETxK z_UQUR3Zo^r(4^cS2xCe7w;3#Q>6KI}f&rYMS zCa~XAy^1q}y~LZ}lEx0cAD6_MJ_MIXsjSl|>vdBg_k4!-!_f`?;R6dR!0(jyZ?V66 zz)$mozbH6AndUXxP9qY!0dn79r#2f8@rnKo*4#M6*zE2^4=@Wi_wtRaYmm)og zzf1-l#6D;SS4g&kUU&v|kiNP1IQE`Nzc}^=dP4{#X9iMr3<88?P4ljqeBtc22|0G& z1KKJ(fNtd?uZ1Ryl)DFRx9f*)q2?991(){;A~*$ayh$RsM(=XjawuBs^;Lbr-;8L- z^@H6%u>p@n7p_Q0e;|m6713keWU_5hA zo52&|Itq}=Xr`@Wu7fAjMF|`txq)#V;CBDsnXQI-&r|wGLGVG~c_{XXy>U~S45$-R z5SH_N>&)gKFB^Sv-FzT2d16@!9S}T19Z)CxqF;tW0j|Yom%%I%V7Glq)LCLbryTyZ z^BgVmmT?O*`L6sv_`c@lNVeKAI}9UWJbcL*?_TL$I9A;`(+y+nz#3O$(K6RHz4bGd zzkG~Le5a#8T17zLv3CBJ%(KrQCov`0+f}t4S7sY76)4qhk|7VjN8LAMa)V8)=p0)Z z9GO$=qU7PYtlRh}r&XHUky>>|6Y!PQXNYAbPRUL4sq|oj#*g5j%QVwP7G9>6E?}{r z4{=|?@Nn=^8>dMq)&*%uc2;aVGyL*e#ienSj2E75ZUdbi2v*?o8Rp{@}9Ydez4R-3D4W_cbzFYwQ9a zwJbg1v}t)2r-LX3fKjlboOB+{X~;d!4s^WAq~ zMsyLiZ9w#cS0pld_;-kMuPGPiH^qx-(PS8%)}qPo6o+&03maLD_y_c$Yim3)f$$_I zU9F_-%z?;lNF*o87v&&d?_T;*o8v~zOIKuD!WZnI-C#hNC(i9V5+@RA(!UfFMzX6w zNq~2UZe~h0MN)O^;Kzs%yti$hKe>k%Zs}EX^4aZ#4@MO>==MgvD`E-&` z^Fc<5zeiBUURlu#=pF^%k!<eFA4K$jpz$AEysFI{At677PT@=u6MeCMIQfq(1>64%o&G-<`u}LA zErFDU@Ye1R*_9Q>ta$A;YwYYG5B-nz-UR?ir&rF(Rw^FiX5C7M6X?`O%K8OTq9S;76ZF4R}t#4oaD;$jDh$#)pf z{Y|VrT%>r?FQh&ANWs7tv&e5wW3j|tmx8Cl57j{fS0_S|ECmKJ_0dQ@t(^g({4NkX zFa8JZ9+1bg@m2-loAbHS6^1&4t-$tLEknI*>TRgrDwVr#;8kW&AJV%bi7g6RS_5nh zPt-+EwQ>Irm{nS3!&-`5^8q)Y@QK%cKc|) z1>)oy$Pb=lcU~}%ExyU~n8}1yk$?~*3W4r$YGN*^q*IcqJ0rRDXKdbp(pkbN zSl2l!t-73MnMDoX4Sd1ik2G~UaeIn~=oyN@_j*G$v!7DOQV3%JTMd`f#8IZZLj5%F zpjiP%-ebV@IJ28HxB>pwEiE?L67~Ce-Sef}N4pf?cQGEp%tZWf+riM$v(xL1QQ`37 zLtN(3w0v0?etOLD3lSres5r_)9#o!h|{;$)R2PPu7%chT-&C<54h9$9bY2}14nB|HYdcSg@y zr52OVVxBWqK<9&FlL+e;923YQfL&mUoX8@O)Mz4VS+jD2&>jr0eLS*wmFFSO)_=@s zN%@UT8ysL2I`pTAnm6`*25Jg}?K8ijZ$TFK z=u3(>86Aau=W?~~9T!3+EMsZh!BvB3SlfH^XYpI0kn4zrQCvNJL%$pHt%qnZ0EXz)>ZTr}xw>)cb$K^#+QyM9C-bi$G zW+f07WboKyR3E#qYlnG~8a<$mmFQNyc;G0b9rAT+S7J;*k2UVnv`fz#YA-9Q9Dz)= z;*;#1j9VL?yvUkjT^JdYyD1v>u)CcXygPTk~F zc3uL}UZ^^`B6Db6zg6R!^(s=R4F6bX&^w*E_Vi>5ypVb--?148>J}Ai&c02TN?Rh| zG-oTH9zEsUx0q)Ivy*J{LV#RJen0I26Q*6LSiM-Pb7bkhQx>fN6!TekLxUce; zKtq*Kj8EO8Jm!71Z`B&L{7RY4t9QfFw2P!hZR**O^ty}99`*^}gS^Xj_K8r*u&YJ8 zs*QS;U8_RLn$C!SMHI5i$W;Xje;XJ$Su;_-!y;{odXZA)QfYk$L$mb4t8(>*NVg{R zGw75k;T@l$atWN7j&g*IG^bi)$|9tiZw5t0K$u zDZsU8W1Y@qM33QNPX_E=yE&FE^>LuYFqDupiht!%g=}H8C?XONlsqAt3wLo!zDzimQvM$ zUFZRG#G?O=t~m#9-;*+xUfn+H?INVr|7N8pxfJb3>?WNM^`DLMy5>_p^yl?zG|C5y z7Nh+3Pzw2D{JzQo!7_Qpf0i{)OA=I_vaghmB&|`@Y80%=GoxE+?Knrr^1dGMh`^qRYHYekmx9sa9 zF?o%`eCjRPxrpaDs!nj~rr}?UqQ{u*Y&&njJGHicj^3&bosK1U3B79B;mJN(o|By^ z?w3Kow|n8GOi~LTUk~{@Q=3mfrwg8(vhdp`#j6hzI-_r#)RL5F zA+E43yh}RcwhQ>Oz7Q4tN-|9^W|7nV>0I0VqUcDz+J}P^ z>?cWO^&|!<7m1`ismHV;TW(jWz06d%>yC-J>xsUFQys75bVXDsW0SZ8{IT6vGj3Nc zJcWx2<40MV=&N-yy`}l~o%652nuRCPOzOh;OVO;`##x*YixAV34rydu}F2!N7PAVV3OpB|-dGWa)EWOL{ zxKiRVUea))io1F7RL;MZg_Pg``-G>)`eiSjGd8@zH$4 zIwu)NE3li8&L#)q&!WowWf$wJf`bd#0hHp+eF7Zc^hsq4PrJXi-8?1M0SvW*!uk)L z=QjtL>5l8kzAILuYzk!;S)osxNJ%3YDwvY_(V}iz^4$p6j)d>$+Zba8D}wxs`Tk!m znrD&|D<1>L?4$WEdK12CS2fm|5Z%ZCKD4cyy@4}k4Ilkua%UTY>zBh>4?|Y)P*U4mldp!;+E+%8PxIn0?Ve|?H%e{6>@V7~oZ3a%vz{J< z#LJaWRJ(8fF5kA#0rULBv>webh2}4g*Jyf!zkarlP5!$+B3wo1cd@WMg2u`Dsg(H! zd#_B#IhrqPMo;k!@p<15UtK8N0q4#-pC@-^KHv1q{>^V3?`OAT3Sq~Cx$!eIn=!GO z(Jv9bsQbatYhjzn2W!iNGz3<;|YT)6&(DLH*(rqx*%blxm|S&(~5S zp@RTuRn1oI2`bevRpRK49wcMt&?Vtg-vwe(Oxf28VDwOFCWPCBL9s!Q%GFE;2;?lo z{L3@K`9;#vM$6`^;FaAdRT3pB2N>g{sJO}(*}?>c(+Fz2(W6*=rDtmC1VIkkCO1%(O$57^ zkOjzRpGyQJWbVLVX7NZW6**PGG3`R3Po?hBYifw}x%vcbijk7G`yzI?P?9>C($qx~ zGk(vU_xA>xsi+|x$H$6Px(ICwLGBWPPg1kX5SIBsc6xzNPP5@4joHGytU~WtmW&9q z_K=t4zyP~~E;gZeKFcz2%a&jYkVYM$bJ$q{NWdvDz(V*OaaI5nAQbpyH5&&z+YJgR z1O^}q^O6a5U^a$;Hogn-QVDesHey0pA_lq}20js4jzCy$gI{IfHmjXpxWd_wO6mJ#5Vf z-~e=BfLeha!t53(KnoZkS6~Mljs59Gip*n|RT2D*bqc+{dsvjU&_?y@g9cj2#HDkgHgH9H=%!~!O{GbW;1@9F!I^@tZQh}l)ItJs z$W>P4q4wDhPZN(XbU;10B%_eosCBcbznok|BJ0*p%E$k?q3H$TrgDKf=$c<3FGlb1 ziyRR)#&gUjo5s&({-u&h+cgUw$niC)8tH*CS`i5}QdgRXWG<-5S# zK7h7RsV~AK){Zi@CJ}SIypeA?=Nl67<_iK-S@3{lZ#s7}G`*Lritr4TKL|rA^iw@y z3$SFnz+BvyU}466cFR#B9c}O~ZxAItn-VUK0D~@^fG->#)A*a^{Q-^HRZ0L^ANM=M zr9=47OIdJP`+N$xNa{G;E0d9blqAY8(;H8qQ}ErAgi$1BjwYSSEk<_&Y!ROQByXc- zMj3~NNd=8kxg|gn0J`GO`*Cc!E!(eX&nHiBFBZY%vS3B%VV?qi^(ab0!&N1$Q+wM= zjIO@~Q=--M`^XA0lvNFMx8CKC-h9T?Y)$I}H5GdCl*1#7z*EU~%pLp}P#r@T?^N%W zbvlz*VeWD(^`aX@s=-c}4TrB1{6@tcyGGA$K0S2c{r2@G2}!pJ_VZ!!m)sb*%o4pK zk|AA9$J!<3*@sZNBcMja(^!%3Q@RMd+*~{v}Ul@=$n<1&SVL2D|IH| zbYe990XfVe3Ex-w5t>nt8GhGBEg74F7kuit^fC6;xe@qA&nmp}C9{j&EOqC%sv;Z4 z7cXwt6BvIEvai$<>D;F)EZjZc)`I-<>@wk52uFR1?t>;FSqL?;1q|_;|BjM(EjZLTK@kRJTv%j?luMai zfwESM$7^bC%qCo3V6+xz#{l-g2lTZ){kZ7BuX@=XOQb2=AvBR}03)kZZH2A6yY!U8 zGRr=^^t9Bo(h`|KO6KO5cA(_^2fs3yj~yLi$>m{U;}~C3GtNxp)5?Jv*&)xU{8$Td zLhmV3Xf>}z;!USrFB8kVlVP%bMe@p&>s@=Sygujb*!eQI*Crcf?fTHi=xT4ld<0I7 z0cXlKA8G*_TNpfKsUcg)@$gJq{!qOf4xa!>Ml4KqfnN%@{8+%BTPcd&G=R96CjD5h0VY15q+LoY_xlt{t$ zrFz6kGEGr1rmL-_kxD7glao&?C*YP5TT+(#Tau(%RW{eH!GoJ9Wp^Rh$iL~z|2Ee# zAsCekLawbJq+Fr@OEuS@Ya_p$vkNPMEkmr<`E|4niH?;1)EGtXz!-)8Cw&fDdH)$l zAX74dOe(Jfm0Da0Dpus2E5m2_Ejk)R#uI1LA=aYgqU`X;j!*TE7g}Y?i4g(K+^?Sm zAfU>opSFlzEkOR1`xDpH3Jsj3J{QWi_9Pc&tFYBKC>))jxX<;<3>X)dcOYz^c} z{Mb{ERaG8MsDEr%T&T&C*JoJ6Eh#tEXTYfalyWQiu*;DJckX7?G^oHA8vniXeMNYV zIgfaMZ}T>44bmXBcdGft6ad7;w{`vqCtit-;ED{cV9}6ris~fRoBObeZ2=ao+I=EI zL3y|vOL82VK5hpQnw4{*rI?1@?msUcvkUG%XM_g#hYcC08y*R##9`ndqm;x9s+}x6 zHYfm;=;d(F&8sMPjCqz_T2FMk-hI@`ee~9S6grTM^CVRfwm^|Hzh|FhAV)t&|SAF86=zw3cXb=PY-xrs~I@eQD_4;^_pfUF2 zd~f(EE$hU8;|SdSVQ}%eCU+bQk{~GD{I~^0fxCH&%t=Z+GEb|I+<-8&WzTm-LRizd zdeJy=upV8ycvM{i==TwQ-eQDbp+&IOw-wB?a1O7cLi&L?xD*gRZxr6ckSq3BSGd>M zch@lN6C2S=yF_|MpD(L`pLO<$g>y~(T+F6Geq-qc7&BiAvQM!H53z_ZFtL*|Dtq#v z(|i7Yfj7tzkAA4AqPUPg6c8g3Z^jmN3qePqm42Ve?v4Q(e<9nA_*c=-S=&WwFC`M) z^y&%DV17cjmbz9~e@G*Onmvc1h-QQh5MvSKKeA7ehsP`XYAn-wP#W4FWZH=bX4Em z+0)vdHTTmh?gEYMi59WF9K6hqW%N@(n#6ueZfaznsaM~3RL`F1SD3f3lqwazfA)u! zn_*YiHi|NUkgfT(Xd+{-4^3)jUevK>Hi^1xAL_z{+9|naMxS2e60$-3WAx%?^5w>k z_mixvjLC(p1xol#sblg+CmPGWu_jXfYX%Q*upcF-N!7)HuTt37%@AHuPvgx>d5w+*9sS1Ho~+{8rr-mSFdRI#VB`@R}B_aT&T3 zJyP24i#lzxU=zufIp)1P?*YF1Xvamuw^>BzHo_|;??JlzXf0459!Gmvh$D9+`*StixvwL3cr0h3%#ntD*>pDVEpq_&1Ve7pXs%EaG{W)1U zJ9p4Ny%lUI2<~)L9!^9!9eKDA2H|nVNWkD;jC7Uqo;oPxTw9jGpT1LUYVJ#LJpV!& z7?Jxod=1SH?AfkMS%1zf=wjaiKc3@EAu)z}c-|}2h&jMfUMk3a4qC=>X)hlm_|Yph z(|c*}1*9wvp|`N~#uv2{Wbq(A6rR6S-h)WWf*oj{sVl*D0`AaYAf9amo^b@8bp##+ z6eY>Gme~LB}Er{%aAj5Ns5$|a%90E3J-!W34ki9}L01&=Is#|dGSoB50 zHe@J{LSBb|xuE~1=RdVvc7{J#J`t1D>S6>?(R!8Y6b=onULCMwBL;WAj6{;X44t4r zD>82*kdhFRl<(nXdo>cUn_dC-D?5zFM8mT8r&y81C#->FyayIV$l)0(j!W63cE#6b zO}+wxh1o%iGH&vQg0^jqFJ69<=z?fN`!P-XU9HNMZA9d*S130zXV){<66+>JSyES8 zOnoki8Y^1N4-~P+`Gd55S7B7T+baR;i1Lnme;8}O_a;bT7dD;y z#>7rCFv(v=5}%g_UYWxR1oYv*A79bRXRhB-07-;6rtDoxya}^bMPN5}QzxRRV80>Bv`?gUnfji~XX=JN6+<#+&g-gH~?L95rmmkEBVstv;MUojQ(z}Dtq!cne`-Xy9Na&HAh4p6dflPj#NZ3=urDZHWDIvp*b?J)yR>)AK zNWs`d!T=iV^Q0-x=%IzySvDIiaiYSxQMn*MgE*r(05m%oIzqj~d=F z@FshV2*p5rCaXMfM$*Vnr5Sj$9;U>-}<6vORIeB|`SG5KUzq z8D-A!C5JF}e6{|MPw|c|m2E`UN153?)3ATQX>;Ztx+cVVgT#@RO)AXYip$Qc*ydcHuiW<2x7O-!Tg% zHFa@^|2Arg|4*y@NFa<`56ggdt=?S1At+Ylh6slJc*2v3FIWRt@va-Fnet_V+Jo}bUe^-Nv62wjVak68RWVIgCAXzcJ;MViG3mBJc@uy$hf zvtiZp_+k-1HUhKOHMv`=fhGCSc+Cw{%<(?j-K$h?+C6F7J!>M*3L8_X_}AO|g^K#Q z7oFYhqr2#yo7ZFY-vLJA8#^R>3f|i%BzxB?Bc$cWm=g8xYTZN)zZqdZ5WJXfP$ zD$s9PY4?tWG`*iO)hT)!N&L;k`HVFVlxUN;HId`Bkb9a)2q1q(ne;t2?Ymh%Skb7E zPDSnH>rBn-9_e}=1Fz%X^P=^K(PbDmW$M=a{C>F0_8A!$V;bKDWf_c%N*LHLolbv& ziiYKjy_}cZX!w@aI!n3+sHP7?r$3RTfC^)s`g}hQ`sVyQG2MDCV;Q*#2IMUl zt(cKw6A0rPUQQT#ed^Hfn?#rmXi~hZhP<1aOBE}YrJJlB9I7=LSNzJ5pfz?w3>Eg- zVS;3AJ*H-=WMW_%iV_fWyCZvFYI}n`kQOvXM~qYSrEdK?2eQniu*n3-)9U!quNU5( z_+JOvHzVRfu^)!^xZ^8$i!hRp4!307Ihtp#HtM}x#^HvwujXD~+dz?7V_$_FMbxeI znUt&;G}N;8bFEr8@CaWmaPHsm2(MJF2)fE2x+S~=IAd!ve1PZSW`-r`IGj4ODFjPv z`=4$cj*m5)Q*hTSIfgV2L+WX+DG=@qxBk|S%W10u1}hq)if)S6#`n*L=Ei2|8V==b zvmT5ey70f0w5!+udeVD*)NkH*ZcjHnE>M3~kGQQXXhWFksR zYB=e3^{^gL!9BezBj^hyY3EgDb9||2Fr+05U~_%b+tKR{cNH|bm=)LdpPCT zs-1XiX?3n=)K1YYIiAt=r*Vi5m`R=J2H~(6SRW^JIy4EOfBn=DB3v@qXvw;ZbG`Lw zdobDT+`}x}b^!6MBS>%#d2wjt`U?(*m{>E@o z-Br*o(INWSmbdqT64nN0rt}blVON`Kjajq1a1zdZu-mGupb~-QaY;YFhaR``q{_p< z;nqGp6%yaW#axqa!RDEFJB+Pqvq63I1 z?BxY0r~z1MC$H0!xhqzM^hQa%&r@C7+|L)s-8mWLZ&1V>U)`^?Stl})0MmYj^R!wW z?ga(2Mb}t!bH5dpuAGVJ!zsD!Jr}be(`xfpo3w+w=lhCwMewl@*YHEg? z=sn!b{!5$(#{h20h0m14Q{V+3V_8g(5!HsNzjmi-D_yNFCOK91qgrlyq;{G7dtMGP z7DH}cmD=MPxvmI!ezgKs9%c(({Dx43ZS6pdBn~*{9HJe4D#U3Ehye?x zUwHBkidvOYT(oBI<~&@$>-R&V4_i9V^ZLHArNDH-As~!ZI#QeSaGOIEf~k}5p>0GF zGe&HIoVwNOoJ&~80((H0-M&vVeQeOwVP~b)t!T3i(sZTIgrGCd>QdBqnkz28jEO5Z z_RMKFn6;hj(#cq&A(tq(5CRq6H10z%I9+1UU5HcV5(U2t*@O%&cG&|mYmC$`{xFA; zXEs+}a?vqxcQiHcgJXm?U&iW95i0}uN`dIeEJ*)xsJ~Y1TF*IrFLC%vPbi_`==1B4 z1^%ktcd0o{WEQi#v z^}~gGoKgheaHs2rEhKC zPZvi~0xM!gsQEeKxC)2Jo*CW}qn+^#>ZUcnE}2Q?CI*fC!9@3P7quW6L#ctzIl;E( zs^FD5?@!7Ftbt$05FnJCTA6Pp;R>2Lb?f?qHvpTf!u)>)AOqk0+!PuoZo$ImnZ5K} z48!dl9QYZ{rSi%rTR(?O#?yeFX~)h5B6A@Q3ZXKJy*=~Eld~=mPU;3@P6%`m%;=&VBR&WS1o}Ps1oMpUD<}xbXct zERCQ63v(NgEj#E$;4z)+=}*m-;}MEdZYnM+7xkdF(+*`kUD?VQy-0atHe`?)?-|q+ z1Ef+OA*lF9{1EOOUm@zQ2-Vh(58wSlL}`S;T~EdybtJ<|%d@6M7)T>ANjsOIz8mtH z8ex#%(rO0XT0+2`5#SBtB2`M*lxHC##fXbX$~g^Wx@1*{Dwn4_N}AK8>GC(wB`T*O z@mThBo3$B!$e&La0?$Yp;UxuP^%f=cR*wAmF-?&i;gu*V^gvD!uh6?f{5%tPfp@$G;2r43OO-*qEK*c{KdkO2puxr8rSo%0dpdlWBvQ15ZD!K@GO(#`-c z?Hwo@VwF19x1s2f7GPvNaqzji>S(q85_68#Lvc8LTlUPF0%)x0YPRL6h85;Iw%zXi(4lkPydS)t`Qet&DQ3lA z{B*}#Pm^Y_k?E#=Phal&Q5OliFErR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR?gAPD0*@dRgDA*!AeaxOD;R(M2ZDNs zGYqUfJv>Yf%ZlC78l4>clH%gBCN@>CQ1_9V$)=T>@PqpbH_KOtZ7gE7TnCr#+}Y_m z^~A4)oC#%aY6>6OGZ;9ScN$ohDI8Yas$*;^s>D<@IlE!~&V>_QH}r3A?OeA|6KLuG z{}qf$=?N(U2?+@uMq7N?f_UyY$UJ!@BL76{fxHNlP+|g8QW_h#8ynm184kV*M-@1a zD7YS6xNl#dvsJ zjj4_OD-abSsnXz;?Kf=-vnHFO0^3cdi%g-XPaI2NC~=aQy;R?$SD{O)gIi*zgh_)} rPwkyWj(x3?kDtAN{78zWR8`rUnLVKB(%Ho}3dsrk+N3}s_WwTsD#DJ- literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index 77968bf..f150694 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,5 +1,5 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567472156106 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567472156106 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 20:55:55 2019 " "Processing started: Mon Sep 02 20:55:55 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567472156106 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567472156106 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567472156106 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567472157934 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567472158387 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 20:55:58 2019 " "Processing ended: Mon Sep 02 20:55:58 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567472158387 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567472158387 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567472158387 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567472158387 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567534158591 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567534158591 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:09:18 2019 " "Processing started: Tue Sep 03 14:09:18 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567534158591 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567534158591 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567534158592 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567534158715 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4521 " "Peak virtual memory: 4521 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567534158856 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:09:18 2019 " "Processing ended: Tue Sep 03 14:09:18 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567534158856 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567534158856 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567534158856 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567534158856 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index c77d18db880b5f16dcafbe784a5c74d0b2ebdcdd..38e8e6a881400822d3532ddd7b22ecfc9905dbfa 100755 GIT binary patch delta 1241 zcmV;~1Sb2S3bYE4Q-2T)00000003MB000000027y00000001-v00000004La>{d%} z+eQ$k`as`Lpaoj=Fo&YBfm)(e2X>4eqEND3*Qyg!34)+Nu_Bi;6TZUTb*)_bzuTtn zH(bf06w86#9(w2kXIL;kBPNU(x1Ao$0N~WVkmb|t+8gF9d z1%&>rJ%3=1=QjX+g3lKK9=I161AQ;QJ1I?d;)-+44<7}+n z!0P?3Kj2;U@fn!L>v+x7S0?CXXz2oiU z?w}PEN!ZGbE{y&qVq2yknnfP1FpIP5X8AfZd@)-GQYkT(E3@?@h*@i!LZ!`TsTybULOcKe4Q$2dZT)1#k6 zD(&)HAXd5MKL;7+#YQ4eWkUT-$9i(bb{5|iX`D>k0JoSy_tBQ?y1oVE9X529{}`ly zZ?M(wZ2O1a_U1S(IDKL2X2i)BQhsgy+ygEbp)iFFHha~61zD-mptUz&%nl;QmFl00}yDmNu6C(270 zoJH~2pf(vMtV+r%=g9q#X<5R>msi*q$N3hIg@24n`OL^Hm{1;(K9N@da{{zo8tgx2 z2*0Q@ShgF(efe1sc7wp5}ym6~peY%E~RUkobGEHGz%3$72 zIK~eOi&LL}kEM%1`Lc}R_d^IGGS=^zzkli!XUmm%EoT?t1s(KzeUJQM_ukanEqWR>Q8n3*w!~;Hfex*%+nw zL3mqxtJk@;Nq++X0RR7Z0peg_WDt{31RZ|{3q}S8yO=;tAjKdF#2`h%p}xM3L6Pwx zj!r(V@%~O;uFeoGoInMvU@bz1Ys^4eM4(z+eVtrgTwGnCsu|fB6o4|ZJ089Y2GTHt zAqEDA1bO{d%} z+eQ$k`amB^pFj(w$YBmaU;~v*2{Ei1IYgFaC$3c|q7nqT6f1HmGvO=TUDwK`|GRDK ze#4b4O0nG9=%I%$K+eo^=bPD`$MWp#?CeiGj^jMQdHRejOW2v~b&_>xWcR@Vk`#=v( zOm*sKDS0v{w?!>?dvkMpvkj*CgI)eP$N-0>a8C4-NPncA{s_bxxAM0j!z{1I@)XJ&{E5wBWg!^gGYDT-Wt1Ag{1NvhtT8y~q8nW~=QTcH8&r&CU5j8%8UC z4C2|6wiCRAT^R}!YkDSPGu;7u{8UL&XgiwxEXku-a5h*ij^6fD1EjZ^AqYPrhap4(y_;cF_8rf^uZ z0~dI=;5TPM7kUMP&GAdJ;BR#}Ke}<|zao{H0yPq51sqPpXlzi03=@>aV~um<9;I3q z*y6i0ycfs$9*>0#3;Ei})Splml0KG~0CNH~U4QC7e8CWob1uJmTgutDksYj8vkP9chAaMKQ)LMON)fiX# zJJ9T2aMmQQprJg~We26ThSuRa?IEy3QH-UgYNah~WkG0FVF%(D z+JA{s+}ShGVsstQ!z$=Qc`5?wM~R4OjngWw!^=eIbvi1W@yyn-JVke2FO&01d*Jl0 zTB*V+&8M_<{C!NF2g;L0gnd7Q zA|hk=J=?E3!P#;pUTL!n@PZC{yS*-X!+*}>!zK8aBDn_qLg)n2-F6yW)CVG&+S!?C zNnI2fwHoehH!ny2f5lw2`l>v)7yjKSFELsgHjs6YtNb@uE$(BN-dB8qO@B|U#>%UY zJK4dovtM>HZe(N?Zh*L_xJ%1n=RO7L9!vj~G6~tKn;^WbxwYfEZTbrU0RR7Z0e|9P zU}WH6U|@(cv`hw44BS8*Z7dQO1Ax#mG-n&W@P-;k2iXs9cy+*nSNC_>JL<9t+Ne3yR2q?WsFM-gc zh9ZOxp@mK$lmLOe{GR8pcxRs7nLWF+v-j>j^>gky^#A?$Un$MCt1IN{d~rn$?K~ik zuAg|NWF#I-Nb$b#a&)%km6nm_eJm>}B`qoaSW1Rh&(6c!(Z-JVt(A=vuN1G|YhEKe zYhE>5N6)J-Kg8d-8s@+M#C`q``R~r{|4Y$+|Cjn++s?e5DyDXHjGNcI8z0PD@tN1; ziy+lq-CoN0=U;BdA#-i%&Es<^!?Pdo#@~N_U-c&aeF-)}O@_Di;VT&(w~qbcOpV3G z%iDKp&b&Z6dxy<|XQdGBOhu-~LZ1&;$D6`2C_1pUU!+Y*aXo50XhE3T*X-PEdf{Jd zwstcX2*wnqaM(!j$avwNSzHup|MA}nri0Kn=d!w-Ox$*%{UbHp{fy&=3+*BI4SRec z?8y}A!{ck7Z$sU81xOnQB2(RB*&RAVACPpulX_Z@vOhRXnudh2n!|jzK<%XCmbC2= z`@3!T;4%B|U0>Jr6_Nj{`o)pk(g@R!Q>z!ZwkR*+yjulU_mB1Nj!c~89I0^J&coad zkoXt~^aHv=%+r^rkCRe-1Lalzo77t=C1$vP8F{XUs8cjk1{48H@DD1E3>B zEnRflTFk06VwX($`?I`zh^a~n~$u0lQoKhHGP1Lte-azdbyN2K`dJ5 zY8oEwCCD26X~-R9%3F|_Hh*$Y|Ebjf4-ClppI2i*3yVcb71oq)*Q(t%`~gYZ}$Hjz3Rn`OJJX#$Bq0dT8ld4;!`S1R%-u zV5|)7QB=$M_FPWNo&LNtrGRAZp3N^`v+|A5%LHwQ_Rl#!PTiiL6sc8hP2WO*nI^iC5vl({kY}%EU@(Sn4Ot*b9$w|J`tmz zENR#HeV$9s>R7r<=$>S2$b9bJU=Ey3r)`f-xOiAfg3Js#+J1g2abYX}KDJ!&ol<5` zum>oFQ=D3j=uGV*);(m)G@SDqdfTf77 zWAF?xkM!*^_|W^7?!Or(RW($mOn#U@kUc8McO^97U7gKkPgWvGxbBLPceBhk9yAPdRNl;Pd)E~K{akhe}Anr2X z9`m55TKyHvIWvCsOPZsFW2gGr25@x=J+Hfur}y%Zz{sd(9WM5&!2etZt8*py8N0ue zEsrz;Cg1$L5$%e>fjpgeylV>bOWvxe46o;t7Y*jeDAxY_9Na8m*I zp~yZfkW)iriea9kaVqiIJl^XC`0*@5)wYU?E1UO)#w42yZOR-tMcl5WUo(52qI=5H zG|Zl`5DBb~VhrP!^$T0BjFUQJ8&3}s`JijbQfPfJx4iFQh?e3~vgSMS??30@SyqhX z7_XR5!C%&txMxDO9CH@V6GnvZ?!5o#Inow$`S=_t8P$3zeX_t|iF2c!24}uRbF_x0 zwvF(RTQ+58zA0w940a8u2S%NMG^4k~Y+qA3Rm0PcTXGz<0>YpHE2pb1IU*h4O z7uOdYsbU1xwK9q&6wv~OBs&m{d#=T)HKYUB!h1n3psIb+>Ha3PyJDYc1 zgguxLV+BsrlJ$d$8qG6T^yT z)^D_sgJyv12O66pQ1F@6(6Y;B*Mt~hMum=5@>1aa?t=3~xl^dSn&Gy=Uda$lRx^43 za_{70TIO_4UAtr-;zE7|*oMX$0N_g~A?p?fU}JGkb%d+3Zn_PS3V=$`hF>;W;s>pKD(O5bdNeQEjmJDw&jUopx@a1iIM|_A}zdG`M255B;RO<6Srw%&HLOfO>XML z51U zBw4`&jE=icj}fQRf&F{ny(cDNB5$jz6W3Z$oqQbWA2tQ%7ff!_h-3Z7vr6-Nl`J4q zr?WC+!Cz^8vuZMPaxh`_vBMhyrh+^M&z2S<&MsFvbDl$9z>@>eoIap}e&KZ`X&FtG zKtbs+?dc8J@39SuU%9CZyaX}CEx_28@N+h_+S15ufZMaP5P(ywQ~$IUqHK28fC(_8 zWj#9_4K+*>Zwglt7%Ib^YTiQYbbB|-yp~-X$&7pM85aS#F`wkrxFuTuqUmrvBjw>7 zl}!ndgTmW=XLdBqNx{-DBk8p1K8?!)X5Sezd@PV(z3!ptw3^qXWqXc(q*|-AU~TBYWyGS?KRjF}Zg+khL^lF0r_TDVd3c&%mX|Tu6^h=+U5m4@vT$A} z0Upbahi$>h+NLbkjaA+Z+?xTp^>PLZA0KIu#8{G;reOuX|3*FbOB^;E*EJhDV}Xqc z`jf4O8UT1l?cmVYC685ap0eS>CyM6z5Xhblfqg#2Rv6S7i)Md3%ugWL|4)y zO-QdfY{J+2Uf@GaPxlL^+J)`2M4p$mNSrfR!yG6Jv&caU4;}aNX9adu=gOlLFFbCc zpDs1LlBHDzuv>YK2(6@*pvppDTVLKkg(m$4Z5iUtw@Hj!GU;7PqBk!a{-DZIXsm-- z$A0W)d%(NPT0{>Uv;nyFA6-|9r+)c7Wf+7kUrg&cbwGsUFbC*D0dhdY7u*>G`OPw^ zI;!5YA%a{dK>o~F_$O1t{L`sRHXUyM?!jhKSxI0>n2UoSR}ttC zBfq*nx_dNK_B{-;qR&GZK-PYrwMMD%wEmu~@7Q?LvgzqFNS(7LqB z3kj|dm@%pUf~&cni8V0;U?K#fDiH?%ZY?*e&}=Tf+Ru)BkWib~@WQb~BDb-=BwNDU z%gf#5J%*3ztmI*!zU>L6!JBo5?S>~+TZhzH(4T1KM&Y5b^k1#RLWk&NCO~8_`gXVj z?QlBG)dA~!gaCv2w?P&WYnz_U1iqb~y7p6k9>t7YMeu#J)Ga_K>sg4mLFv;$ z!6x7%4vJjGOl_Cj77zMo447;P-y9e%2rmO_x&c!wOhM5J;{IgK?~1oPOM(+3 zQI)rUqIK!%YBNfnD)ZPtG}j2Dr*)_f)~4}|fQ0Rn{bv225aWrS&gx3vPj{UQOO}JH zwDkfr1wpRNT?AGuj1oxCp|QlQrl9U8Nd+NyFHx{Lq7+^AupKU+)GO&`D<%#&W_)myUe8y<`a znlHVB^kU3MGoJ%E!bJvJGL)3Vr8Yf9dOvY@v3hNK9ZMP30BbWh4~_RL?76$U{ZJ1Q zb_}86}NV#bP_%x2|1GU`&@CXvbux;7H;AEUS5N4c9x6`||e3rbc!UPCQ!JD(;hcQ-| zKTO_pyPKkQ$qfH6Ninz$>cC^Kvo{Qy4Q}0bnY!J=Y(iAKe31ExdzXyO z=L&JOak~uSkeuX6J#!bZpnv{?rJKPc(lOX{488KkEyCOO^-0f1u;ReV&7nY{55WV= z#x}DizLVaT_h2+M!XJ!9_VE zpA#3ZgSI?ZX1 z-TQL<&_$5NxdEP}?N#=osZLdjTy|)s%=c$&nwon}2_gR5$Md;}D`u3*{qLEIZlJ~T z<`y`}0&TEPaO#n{H|161%N?~{^bMzMi(#)4U7Io@UHL7h@`R9mlG*9$`y?(LzHZe) zo||g>OgGfRL7~YqdWo%xJ7&A6&#TE`GE^eR0$f}q>0q?Y$ z#aS2})dW|Ia?cD~UTLyZHmVGv0P3;%Z*9-5LfP@O5oa*z^=&);Ls=kKZt9odK?1;_ z9a6O%aWQa~V5b4)E?rcp48bYg0#qB}GZuZ9d@n7pp{tkxAhG>eB$D&2@S_WEouLV4 zoQ)P>I!oLq&F_cU#QW0Tt39!JIx$M9n)96_GG8fJyqlO5>qcJ16E8(W3|Ocs)hFyI zMhEurE+|G(U;5>%V*nOgZ|7(c*6Jtyh>fRP0U)-exDnv|+yr-Pxg%PT5aayz!giwO za4Thi^UmDT(XWbd#|S-e`j%Y+di9k!k}77CGG8S1ca{r!<{P+@l|#J@)!TRj zpu2e#Iz;yHYG#xsd~Bjt3U@7V^b@Q0%|$wVz!~bHvA%$-*);nOeK-x%EjR zjjC}TqxOrS^=RvMyoJK(snteQs})BSVu8}j(y|s==rk0$nW&(2TtpnY6|bOuyww_5 zpbZ}Nit>+ZNB=b{JrC?1-*}cSgBfB@Jkm5OWKXdr<$)ihdZ3=N&G`=yMgDB{9~AzA zc)90#9(xZi*)k`*XDufDLgZhBdbyk5FSfEE;=*4vh4Z9M9l5Xfgc)3%r&R^Uy>jq9 z_NlGbUhLbMXPx5SgrsjTDSYqxq8N&kL750p_Ov(|;W-}!dYXLVECYM~ID%$scDERy zT}xJcDZco<QePICTQTNsYV-d=>DX=?}a}U4aX6#t5R%389H;>+L z9JHDsXY$(tZMFF4O6|19TlC~%d;IBk%Gpo@nK&P1Pcbt}7t92tzyqbm*? zh|piztwm>JfflfsogcYZ_gOsWAF0r@OYLQ@6h}wV)F2+oruEw*5leWksT#OG81XwC z@f?RE!XzMf{|{??N*DK`0vT|r%bb>uAZ_4X@UMz3dn54HOYi6ui*xm}X1(@~mWmXb zCAVDPVF%1Jgy83o*@XLhisBrN}UknpXlvThyGUx4pCokdNjrKZGP@)ma|XX>x6#ltV~WF zQovf%(&>wzXelNDoS6<5gYf71Zn0jik&Rwu0^q*ATvBKfWGi;&GCcaV&;^G6Tj)4{V8O5Ojxalxz)55-ajJ9UM#`RK(E*_>-rSjq@q=1Frlsix;zp;yh_*m*1^zsVFDi4(fRhp0oSSFuFj}>nEaLRpifLJgR9#ibYjsa&bP)APS z#$g2RA&GgC@Mh`o)G!oLE;XoT~0S^OyJX>SK@hIP(P0Mas=e|b}&fGjB0iyekZFFSvww>w!Wi-6Z?KV98AeC&e^`qAN4lFeFG$~1SvPM~A&7>1A&Zness4N9nrKPF&YZ}Bp z))5VWg)Oix{u|jHb>rI^fG?`U9$}p{U=~(UbpT)u-aX#S=EZvfc+C@1)C!V!>if&( z_V!Fcq-Q6n!L1wowe2EjR`M^0o~>A*W^&oa6Y!YDeu0?sGg=v?+1L+O@~`U4YoPj% zRuedgsSA-4eA4svm z)4Ai=>l=0Xr)Ac}X14$uC5|8X)|hksQ7`(|YW$AiLNs2I3L&hw3P<;We@I%#w7i;cgdaty@ySb{!_5 zUO^|$U}-t-)1-8mr-in?>d|&NvH2Q#sD~mSCNlKo{pVJGI#=P@mY#e_f#@}gO;1=aS&h}{;oo$I&;)cj z!)}k+B7$Y;f~+ZEjxM5w=@XOaTjSgg@V)e9&|t?~=lwVMK$V?@wRNmqU*_{Hb3?$N zxwl!Y^Dhn-qJ1ZrxYh5NB<7{>=S9@MKnoI>M61|LKp6@@2;k#^aR|!ZKHCj)r%BT_WD~E-Rfl;>G=Ws zzP#6F1(C+NYbH$VM1|T1X=kdt3t87h>Fy?f( zIfw&QAK3OEOBl;9o<^<6K`e9Z{7wx*8TSHCH~Vad&f8ggtTNHDQ`fHy)4$X+$F%`3`g5+DhGOwxK zl_O0}V-J1S19ji-_Qj)dA6To^t{(J86U!<2vSKPm9 z&bj-QFDH|_zBd;>^B8&2D?%gaAel(A5Bh0Hq<&3?1_>^AG-oYHexF6YJ-}brP)5FO zgSrK+U_;|g0=v)3E#U7#SLG)i8PwMOEvV7+jYyVcm}k%mFf_i2`Bj$rg9e+RpIPh= z@S~Zx;t^trB!{4t9vY$a9uef>>z0ANmP491tIQzwx#^^b3+YOxFtHZh&#nan%Q8*7 z)?V0WSxrV@2q{V$(E8i0+c5wv*VdrYWntomY_E=!K6PYM7AMDyR*Mk`-uEnuGSiN{ zOk<57Tfa9XerBCugk_AqFk&4v;jzuQ+@VB(iQK4@82o97%vaE36pl&TmAjTr?5rN& zL@l;j*9m&i2F2v0NA&U6B71WO_1S-H+m-n~b1mEPEHj#z(`PLca6Ci-Nk0Y|aq zXgvJ&@$9Qh0CrgjUh3H>Ivggl`fF<@1d?KYUmXnz;Sn}hXr`Ii2+(vu^8UUZf{$wa z2n}z%{0Zn*YGIW!o%OS)!j?A{qer28X>(m~;F}Hvw>$+jZ8h^RhnOZTs}~Elhek=| zyex+9bS{KPVjD$?JN;7CxTfHAW@_94Wr!t}BCrjA6)0dP;K~y;lEFT}tPg2Cf87?y^k%q*R5S@ZdX{F2-1A)#Jou^eJ zk|PE_bn8f3PepRv3NDmD!yY-qZpG!yU{VgodEW_&94|D`JG}5#vyWwD_=49$`+p5TXi7$IXNrhYYU2O>JFTC{kh`vlPa?gqIVq^H<0%5(P+ zf-{$ZY@KpZ8x+HLp0 z!pgclfO?eGh{5zetIZzQDw{ghpEr?au{*p0?*uR#fE>cS&FmO^;T~e5fklhfERyIV z3H5rJnJzQz7UkCWla6xV9d$c24;l{9Sx?#ZL#RZ!z`{^xk1hg@cU@4>g&pY92>CTz z;;)Etvk>wNc}rY_bZi2Gj$S*Kd1-~vAIigoU2$1c5K)zfrf2?67>kBlIS2ktWEFmz;_-uXLBHaFJ z%mG(tE|ny;-mFUoij$s7ZEqL{ij9=@$Vbt~Vpd*ZSMo*vpx2+Mb?i}tOj)Pk$^VgK z@WG+VT-cYCCj!RmkFv;bu_v#R_o94>A>_x{k_QD|jX`4hyPv+REn8$-Z&-ii+M+@@ zGpt?@Hq&Hb0v6iP5(ZRHD+Hk33x#Y@93;s%{PCtpXTv%EhSfhvcy$@<`{PZ8P7@cr zjjKmU-MRvHw!^xayRX`G=-a}0{5aY7m1$2ks<-X;?THDK&HJFt^33M;zurnFea_F( zQcAOa@6E7!)aO5kaze|Uru*fsK3u+gyX1_DWl(nxxeB#Np@7FI2YT)KY)P8cUd`ov z1tE!?>7@k4OkLsy3a4W|x+Fu1G>}KuQ4_ikQ6iK$%lF|rA|!Kc`b*-=5Cngq_tnNC z=?|)R(y@4>@1ylsX#Gy4GomINP#aUB!Z7RSaSe+Ox6;K*tELaql~_kJtr^iN1m{aW z>~59RTHk1&Y(sn}1);QK@jamla@sL?kx+1+N5i};zJ&jRhiMmtFFe0`#n&2y9}G=+_#z+>D%%Qxd(LI`n)>37RojoNmZV_)@`Fy*2Y@Iq0!E2y(QUE+Z$LjHF>n89>z>v zUaF$S&D8m?3aztnhP!q+;|)6}8l%_q{Ra`iVhtV8);_K+O{pZl^3c?zubipnKEn9y z7ptK+(I->(G5+RK4@Ah1y<@BAg-truBQl@;wwF zQ8FBIK;cRB7$wwyQM_nefo>IbPS(r`YU09KD-PYIN(3`nd85kg@_Q9g8+tEDdZ^!H z<*M>%noj~5{x@<+qgT%s0s#-Paw7j*tfz^iHu!zq{RK6@%aUhVCIixJnyv}?)oQfH zXNjyp@r*OlpRzCM3?gHsOcUNC4cIZ!82bmj3F-O|p--GZ{YKwIsLK0X`-Q&8BhoA; zpv(*HBXFFp_o~V)6Ic<83(0piZN*xyQkLJNS;^qFC#{uRFW~X6Ml6@+UuNd<3|PJ5 zPPv44F0Owq4kA$9n?EYZ`8*DX{fe=xbw5bYiSww`tq@`;>V(A`8Uhw1DH{2-;yQAF zhmJ*xm&}Kq`1xxVGQhsNsZa0$^W6+L@owQdd{^q_;Gh3g@M##UubHBNg!Lj9)cGkE zB2<4gpo(?<7L;`B4}SS3;JEg+?H|vQAqd6bLOdYPX@Wr| zAbDZokmlCCFoc4ns4hlxzRuNcjm3u&1VW{O%|%HElnww00uK=&sbLFg;DV@ANnnpA zn&ryL10_imy@lMC+DA2wWtUNa(;|LOIvKt5AixAu%v0t%Zs2R8d}Y}$$dw^RD^E<| z`NgqD&uIg6hNR?$+vp=Nuq)yYTjyC3habK6)#n$BY|09d`7`Uk)A zPbZk?4Flj^CZH@TZ7)NZG)4~#cU!yI3RhYgQ3F)Hx2`amfJZZWw-KUA~_M1A^( zR|;KN_TB$-GKoT&+^K(YMs&ZqDj`Uccb~n=azK0;4tKg0B$Qnq(0O_t^~Q4!@8X^( zJZ$v6zVq*99e1ieQFHgB(w91^jz?P@@qy<4>xAGC8TN(e=Eb+X&cgA^SDxlY#<>2$ zq)})&`(Lt|Jd~>6BzBom^MplPl(#rUM|@X=6pKoe2_?3o(s%~hvFDV?*YbqlZ(*)j z9~xYYYxtfJNgunVN|XyBzde&_rCvo!WU#-napf~PNxdhw&rw_ep>T|G8!f5}uFoEaj2QUkz=FWH()HDFh= z7H2~EWrqhVfV|HTe|HJNRt^3k z_&0)@dqM41;N~qaPwQ(qqs~*ReO*va)=6Z7Y`llI<=@lb>)KU4UzYMDYXv?+-_Eow z1t{5^*S{Fr_+or=X$$xd=Q(}Hq{m}kY_>)TON2P{;U=#Sop|nCUrN{T|8UNQQd3ok zfxO|v)n6a-@!IL>k~8as7P~!7{9LW~S3%<%PN~rwwm-<`?y&%U^A++piZ#D(Dqtq# z&u2((S*A_^K{Z2;k|!OzlBt~~<+4|DwaFKKTIaRXyd;o?s_iu&w*y$HaJ88IRjA}m z7B&#O6CPW&c%-m`Uh-_vWzA@Iz4NdMI@5pH`6-}JQVf6_U-I-(ZcK()OBIq5)+FXH zHZ`>_I*1}k(8jl48?r2%)Zq5J(5wcbgxdBnYCGc@4ZB^*M5}z!q=u%q_WMx-JE78= z4z?tJay1ZaDgEM)4Mr;zAZlxSc(>^KjM2c~*|!e}8&LyCq0*vuwq>G;?oPtmI42rA z!(P0(-UETAyy*RuXt4ivge^T!@i72ymNskyCI8GC)s?%L=yd(Iw4i&QSWuE; z09VP}6E%7x?u@&6)%>tW1vhl}Q2hc&-S_?J*3EJ*T+fvTH(@I4 zruoZ>P=m*NCAt5SRpq(yh946pM1RrPGvYYFCXtrI4+39X%?Q@ltV6Lnk?p3z+_f>< z^fFGu^Xx;}e90a>gJ#R_VnTI~{ST7)kU2z?l#af83$NsV*l2~j@{+1ifq@@aBqM)C z3J5tO>}r_zXC(z{@)%}KJ0VCG2h%S#AC!+iVItU~FTQ>ijX;RCSPe%8!!>`DNY0kF z{m^WqW6<_&IH#t9WxGwhy0du63(q2&jD_#>T!AJHKQOJv=DM&W{ByC=ZeF)uArjn^8j5#odl! zx(ud-zc|=pO7ySnn``|Kq(Y>)MN~u8J!&&iHg5e7sX>I@s=rK_U&K)3mqT4eFP48S z$(ca3lY4j)EX-cb#|YJLI%_Z_NPYx&CgR(MHH+HAR?>Pyq-Orqm$t*HkfV;)4t=tQ zr-Q=g#KDvbmH={2k2TUqSF@kA2QWLXgVi`TT0wL%J+W;&0-6w$8#QUhU@~SWEt4PU! zyIACXn8|0j4sja=ze3>D3%b5VSad$0R|`@QBTFj_F6Hml2;oUxl%+6a9vbkotjrEY zx4AxuEyX=PG4r$;DUAn@VqsrH^6My10y{Y#-4Mp7hwf#$1)GuzMN^YK7U0f;plK1lvTd`qxf1H>;m+gfty3YaI>t#6NMOByh8}Q_?gZ;CD|q{L0r{}$dg1m2_a-W zV&x$DiS8`wgn~G+FR2!CK9fE7&(BNUD%uGg8^|#E(I1MIe+7%;qV>@W7=x%S)?%3X zRfU--eD!}HVc5gQX3cws3l!*to?km^LOk<&=|%@puL_fzuW%GCrGtJ4IsYu{A!dm{ z>7d?ll_K-Jenw~rt@a-DnP=%0A!eYEk20Fslh38F!6@kH^3i99yVxk94?S4rnpkRF z+4Idbo0T;8gEsN$6Io#G$v>jYC974P$Q7ajhk|!DmmV*3adg1xftCXRnhSRy?!m%$ z5r6Ke+a)iUdZw+gOL3@q5(G8g;=~4imf!C`#cLEYiNm8m`kD!4zx^E8sfq(U+oV> zDfQQ}HE81&+iY0+b49zv1Qai+Jj9kx;p%@EVP4cE{@$i3KL`5`8)df~H-syW4iBO% zl>BGIH1OD*=58F#c=$Klg@rb|g)C=bm7MNQz z@JQ+&Q`yZ=rdTIR5V(G|j+pIcI(39Ph2OEfyoUwq1y{CLBM(=4cl8Ob)H!8PYg?_r zc-^$;u8+R@9A!4S{!5y;5#p^lLrso4Et8{Q6?d7RL?@+6?+MsDBj3=HrFYh@byS22 zF3?|MZq^MPW+5RvTN|IDKG+n^OUvH8YaF%r@;{L1PLJRFaLKob5L!j}16Z(85X)FM zKQs>&CcoF7m{0zM0zHJnuV*~4ds95a!Itq$_)$QJ$DIRJ$-tm_x}-RAmD|~uF8+-5 zivs*r3H8I(?tVADw!Vy#rZTedN#SlxLQjFm$lr_3xu@kB4>A;5yGn6LF;eWoVlY*8 z>6mNyDJ~vqUav1V%@MgGmFup8y-h)MLb2Sx9H)e<$?FcLx2c>Og(7$ZN|uM&BcF)K zrWSe**yp)}HCn|<#G7?L_5?_WD<35)e(doUOWxbXyq6DN17Je(0an+$DK0gzDfbs6 zX^`)TC2^!&6x6;O=a25NAaYQn=mHDDpLKR*EZyquapHwD0vlFRp6oDqn@J#_ zIoLCkna|a+W7J@=_^TeF2vkoIt;*2B!7!K_B-n;#(iYWzN{;^%s7ZO*f_gP=cnozUe#J*neR_&BG09b) z$k5$K3rgn4D=4u`^XJB9$Fy1#UH*`Ci2+mISlUa z{G4_j-t{j|%J1De8yZ+xG9)C0$h0QB%X}EUP>KeLlM3VQj@ss#YS=By8H|{Qe4|b1 zFjgbf@eXBu_1jI?t}G-!L?)&h8DDWgqDz+vE&SmsGPp1PRJEV*Lna=swV+k*>Q;QZ zjk;hQKTfGPBurhUMfjcIAYt@tfZp>}Ws^}7jlllTT@;*zRP+`AEAk465E zgEdch1Z>ST&X_wXgHEDa_!f4B0Rcv|;-6mk5)RcTt3EMm-<^7d>h&rQ4=dD1C2P`L zB&__-yH^+r6gs^j05x4#h}U_#@Zv}DS)-EXftrJWcw%xFqohAYy{n1pJ#yS^fACn< zUp^&udGW}kZx{R|PHf7nEM~3)lBvAti-Ecq8T$n2)ez(_Pf~IVcEII24@aI8z#8!) z%&ByKoOj?4ITxxf>6aX+3QH^gN2zgB;w49WBB3~1EDMeQtA{4kz zgy@Jurvwz8;_^>LUl{iWFp`@}{{C7M!v1lOoRPl@Dl*>_ZRI#{G{vpSNq|X@#;-b0 zR)#3|2Tr_hWGFqPQ3x3Pk6ekT360h2n|y29BDSJDMRW}YK0Yi9XbN$L>JnKkT-=MX zODG&O3}JI*6ZN|*>Z_4({kR)DmV=UP5CT^v@?6QOL_}*Xo&uiw$7Z`-I7{02wb13u z_^Y~4E#dNkscIiyfp0x(HR{g3tSO?XDhqR>#yC5D0Ktl|r7M>o9e+Bg<+&kagcTE# z=Hb)C?+&_st*P|2ms#D&GU6pev5CD$3wQ9*Az)#^c!0R$<(GTv3bCo8&ODtLCAh=P zxR$QcwOO>H`VVwz?Tx1L(811t3|oXpzB|QWY$8b{v`0YbNrpi^X1d>%Kck@#Gres5 zkx(P)qvaUmdKCtJDhzoM33LshqY}I$+E*a_2>cQiSmF!hBU$=hKXs2sqF{2InM3TOq>m!kL^*zxKO*%-3Hl_KMF_@O zsu77%g2=V{)@KJ}sPev7-l2epwy!s!F%j+O2DO>xIb>;6ngQ|0Mf@Q`WdZs%ZTzk6 z@2SiX_yE?s`{O=WDB=EUYW7n;P#8W<3+i{-nRx^8uAZQ71g_aYzFUFy1i^`1VFYyy zC3~z@zwPJ_$Jd!57xX>cGO8i{{W*~1#tK>~^G-q5EWl0_dm^8)@Bm&ag8Vt@HXoDh%Y{ z=0ragKM+enllr$dEyQ`_iO+y&zki*g#?On|C^r@bi%9pLl|l~-UX`=+RQz*S8gS94F9BO$0FS>qUqG#mU#6BE6-!h{ zTG?CSBBm}C=S>y!+^VKrudNKH z`Ff=?Ep)7LAqJloQZ8&B^MrgmPGp4$Y;(9YYs`+T~^ zN4$C7Qa*62ub43edu49F-b%~TztRG_9Q-^Q?;Z^jlT-U*Hs@=N^~RR>GmEQhb|EjLRM;XOkam-gpA3Oh0SZ_HB(aZ#~NMD8sDhtXYgx0 z(Gco=HL1Pep1T$7S=({$!NUOi@Wl-AMx3)e-hb_}kTD{_aG>)>gF`JZH&7%a>ZHOA zq4c}QD|Cz_KHp49WooGqzkcKsLGXrc zRa#t;8^0lMldS3BtvEsXynQ9x_<2%8iQ}@}S+1J=?(eJ8o8NXr?J5@uBkDnE{&i2X>oJI2%xZ$Efp*XhP zgwBPPBQCAthJ~o)1-)+GNbap|yuiJQ#*LQ&bWz-o2>@DU%uOSI?gdkMCp}x;$FeIM zt7&uvQjYF^5c@t6p;c2-B&v}4f1L{2L=V(54#FyqFS+0w`HOpxh0+c1QrLIK_JcnB zmE42nPtIt|FDN~KlO>b^{3z24!md=VJ7Y@*Hm=(K4-9eHFY`f##bj1Q;y34G`X28u z+~pEUuXXgR*<6OTmjAKWvh#O@xKwEUJ16KdeT(t4@^>4JYZyo*XI4Hav~XqRk$!!Q zv27VDh!sZ5YVo|eto{^{-sW$00IU$osp_7&sJh?hV$h)83*PF6>Hhp_EGb2Zsvi@L zyc%juz96>ILdJ{7^E`7t(3(^mO5vk+oNuhB`8y_W!Q;>7v-4N}H%ns&+*UX)4sGF1 zY4_v&N)LZ^XG)L$Opz%lu_@-uRg^fXX*LBhprAlL=cgt-o+==ljkrxE_Q`jfZ~d>! zK0t1(tcr!chJT;}+h*)3|+wVw3IP9FaC)M7nB zdE0>$7X)O3M&c+Rlpn!uWDeJJ| zN5x45vk<7reL7rAyC_fc?v+#UY%1bO{^9%8#r;l@y0arg_j&wELZ9Ps**Dcz>T8Xq zzXCg*{ip}+lWYPQB_35veN|ugPVx8`?xo2eGCR*B`yj!pjr-z51Ljn)yvZluTbg7{5`YiiAQ>~L zUz>2ChpQCqr1Uj%rhV}f-JpkY3YAPFL9)09QCj__V1@|RK}@*p@0eEoPw%*FlX6G@ zH$=!z*pF&`u!)~+b|+lE5;j;EF3v0M2d!k!Z9|IJ^bUuUa`AE@Z|bu=jmG5B@un8t7C^E-Zs z?PPo$+NOhjIX`j2Z?EhI>6t!AfpyoH*I+b+8h#lInuJ;HGs@u&9{uckJb9hJV?`O7EeE~C-u7<0BYrm^_jc`~N1o|fPxa9#V^URGuXJPPw8}tY zl~9uA1iQLRfx5>}^6=U_FlaJ99kqZdw|`k;Ce5F}$0}u3wMK~G788Fo{%>Fx#@pC~ zPfz8ObJhr1*FE|FTDt0}sG9fxs;@690xBvZrF5@=bgYQd-MGN2fOIXn#A49h4NG@O zH%K>2H>`BWvMjJ{{rH~q`{SN_=gxiN+j0S2@Ea+Q{6j=?- zzUk1bVe2kzt1C*F)!fq(1_>681JfKQ_e&RI-AnrY6e>X4gZ3TuVths#vLh=vP%}8bR-D3>6Y)afWi@q4;5`5Q~=%>y`GD5mmf=vZ*ZHJ4L2P0 zzo37=p?fQfRq;9LNHT5=ZUbp4n~A-6>*{8~7-I&CY0T{vyth&5gJ5n}(vVv0@w z1x2lJ_rnM6@!x`}_YH*U#$W1uK0wM*Y7%D=D362w8tTDGTY?Y=g{n+1^4a1Ha=sj# zV)riX-(E+&3kyyB!?Uz#HoHMtNZ5{ltk#E<+G%eiq=Zy`4gQyCn@Q#i>zBG`;hizC z1#?!r!C(of!_8e@2VcNcA0=hLYG+Xw&7RDzoU2U|`ek}y@4e5?ZEh>5hg@ctZ{Wjo ze!UTT$Pazzz-p(&x7**j<+fPs&vb=qB1U|`-Yet+37VD|JJ<{*jtu!F?Zu{=X6hG8 zpP}mYVKVcQ7Be;P+G^Nx2?XCK#~Cbd=0GJ$g;A}1tf<6g+(DSbpz^Tdd@N|*t31q} zoaKw;j7PxWo6k2ELuCb3Ufu_3lMmMH`hLMBc&hyk_vb4PFu4|h5uX>tdSixearMjm z1P;2K*`9CQs^1J8zRkv?+qM^GZ&<=4`D}Z2tttUSE?vbY{W(@{^3!g}fax|LU-I4X z?-7>!+I1rn_vRT(>N7Q!yULoc5)pE>teFM-x6I?VLwcTYN7V%6Q{jVM51{by>+J z2;AowlJ9l@(-(Q0GW`Pe8kh>h5;aJ$e!8PF*zF)>An}BdD5Wg$kkX9RFLrr29K!m+ zXk~ky7Lyk}?I_KV0{SQ1=6tB+k7M^12Iiex+0i>iZhbBGvbB-P<=ut{zW!N*`jv=r zlJk)zA?h4@%v0X+MVsAsHkY+IMqcr&wcmm3Pu|V}UzS08JNB5# zoV7lsxPx!^)-|1lbE@AKy>M3zYVJdozY$I|_w>#P^jYk4d!e+bW|nL2Ex#k4ds#tI zwM1>UOnPZZMS0XLw*pnRtV4N1ExnGs{3>EZig4ZHE&2ISR-94gowEv0^q$+JB1n0X`ru0_g~n!!d%j}+neKy&u0r@hPSs%reAZ3xQv4t+PRKPnqNH?>`em@ zW_}2kxDF&yO@bLJqAB%If8KwA9l$ARitrYC?-D4#@Tj=$!6|#B?DQn^wgMFhGq)sx zRtz!^VOcVtfUXk#Gqq}?604W=m@fk}UdMHU3ITkA(#MWV_%~Ow4pxM$2eWCGJm_u` zz${sW9mDU{`}6p)P2=b%^sb~)fKO}&`1__7L%$O!1}AX&1q;-R?QHL?}* zlCrS12J%X2U$MmPeyVW2rjIVpKPWx!p${O_36?6r(yzC;s-uu4ZttQpYH4Ny=6+lf zwi<~0r#rWSngw$|nqOv8!sz>FOm|$%Up!?}eMHK=E1`=RN0S$3es`GMgmHEj#l+o@S~#4O81SX63IZ zDoS~1Cc|jj^AHVes5}|W#LO!6L%$t;&BPdA?AO*#=9N>gR=vH1xscMTL==_dt#G#| z=B|I^D4IMsNEHPj68?pz z^xrOB%5c?ZR9l;kr0fp?LM>ah;KgoN&)$Z7Me7!8uGWBFQ(yIaYi$AS> z`&TvY7q54ty%Y%DUFta7x$k2--503kKFK?Hk7{qreoln5#nXhHw=HHxNuIfqH|G|z z^}4Jk&8v9zWkSY01=6<~7x16^94_61qbChfN{o9F*UpBz^?>g+6K^3xg6VIi=kV7y z?Dy)ESSrJ?5}p>T!$DG-T7$d=$GYdQGiZ8q#i1AJQeR}Nx-ze=;xGsMou9mZEkU~S z#s1K-&Im`dR}0G0WMS}QK3R87n$`lDo(Pd1y3kE0w}umhr<=& z;&i(@;}?O|kC9Bid1IPd9l#G3oO?MwoACsr{k+m+{o1ACk6Mcw-uOT&Zm$WxFr=A(Z?Z1(i(x^=%pb%2 zUTjBS_9J%qUp7F@OrUY=oRw7M7A*>%*GyBqV)5~4dt0sj`lsm@qc`==`vy(X@J*K?uJg!^ZaZZ zI2EV2U7zS@1&zB7Uzc}CP*iu>~b>fqd?E$Yb8f;>2&@(vLwfWPXV@eTJ0gjJKpuc z*ZQq1ri*@nYu<4s`5$AY55Vu>RbVlrjU<7E_FO6nao|w}>@xXhW9Ou1;ZGzLn?1Er znqw=FH}-mTW6iP(UPPkaDr`GXLF?#xd{C&h=;ca2TR@*dPc@}1pO zVqjkj_J&XHl%SOGCF2Q0(Swkf z9h(;-Dg+m0?k100xXwGTq*A=$QyV0_Ef{zv3a`osc&;c@0rWZzKG@J*oYYv1AqPpIz4HzpFw4tl3y0)~oG@=Kq|m z@_cjr(Cw^$L4XPI1`34UC$;VESY0`p+ux!XTokfF^cw%Fk3M3-f#K(O0x-07WMH|@ z9nJcVeLrqmu{v#FUf7+A=?Sy@|U4!=l2UI3md>}uT zU^WZ8E78fuK&1Jm>x6ixl4-wXJd>T-Z?=_dF!(ABKO_VfC**j>Dwct3)npO zPKqh`_4DL53++gjDlnmq!pmZ$7Hus;zy|Iy0CsEFPPV9huam>I61c;&Z!6&@7I68H z?rJ|GT%RS_aVLsvD{3hW|62MkNB*-bmLY=^oi-&ZANkeEman!4eA7lrRVnpfsIa9; zO>Bfvg|tY^_u|!ZDEvK!ad8^6F0Wy*(B1=Z0p;j+2h~o^J4>zyL)U|6i!Krh0!Gt8 z5Z~suD5Bom^*OmM@NB0`vvSOM$6W!sa@&j6RlCvnC%aW8i>R{eaLk*8N=n8ro7Kcy zN3PXJp2=RpWUzgIn^4T7NXM~`8L9PiLz19nIvDm2pJl0iJ+hHV}W+9 zov98dF22gtu411gv{lXpyZ+YDwhr}AY;QG?rpf;p8ShSX6%raep9 z6&2_?QciLraSCi`c)8#;t7Jd2IHe{FUn)80A6J_D)t)Edy!I(^EI{JfW>@xHtkuVq zvcOlxQQmVDegYlYKiLu#4{WvVLt5otx&SgdO=Sa<16=#MOU-c zXO7vFZv9&lf_FY+YB;xdjX4uaYp%cdy(kB)?w^Mu3=WLMb_xgHA>OdJi5RWy#clA- z<_=!km{|W{x#SX;T20;6XVocp)Jji{y@TvaJ(WHHF>|eky56sXw0$b9v z=fY>2G;q?P$TseJ2(&#CF?mgFT)v_W|A_$zF{&Vq*9{*0PP*M%Zrx<&ufrG%oQ5U3 zUuJ$|DAv$<4eN;mP{~}Bl$(-O$dkE$axVLowQ0gTVBSDH_?`ElXtEO&zdxZ%#^hnV zM@XE#Yn8xsI{L3c+!kyg!HdJM zb$AW6*lkvVXUl?%Egy!G_hiuvlK)&6psvh&)NS@b?cI=mVH8)-=)`~J@4Ozr4W#OQ zawTWR_GxW>KuN!YYUHw9KlFY2_$ze~Sorc;O7{ov=|@NX9g zMRrPKsoEa*GQ@LYBAc>MDTS2S~$=5y++nmsrA-Z_{ULVpQV z?ouVHyHhL~$KoA*O7IZl(3+!vJJlqW+UNf-`-Xma$vs)^*- zU3qCc#*9+*JyOQ#-#xyqPX9&ep?T12&cx=dKad9>IB!zHQ)~GOrCDV?rKcYHv%9xXLgSK}RI{v$=FS}^`iS{~Axl+Pqn$UgyLwW0d#UZg>VoP# z_1Yi&<|i5lqJ+$bt;+pWQ_$u#zD{X4H#d8A^3xz)@)et7mW6tFWC{D*=zS&nFWfzE z|K#brjyZ(b$mE3%y?H>MPuYSl=8(yJ(m!?%(AL+? zRS9POl6g9`uV8wV3W*)rA`b=HWeH8wr1Iw z_NF|V-jD2CiJNwUjUsbGOMs!&cD2jVxcc=75$s~r9eziBdZ!ShXXU(K?H}#7C*&XV z@`{2zk;_lxjn@lwyYQdImiWnSfm$A(ug9arwh}++s3{aVAWL!zgGcEM8O1|>_(qt( zlw#eSBmOfIe!)|`aF<0cvwSa=K95O)tXRTce^%~c;^@=fN2x&8XSw7n)mU)M0#|Uz z7wXc^0k$?Ni4qr`Mdp|0BduxSj4@g$gM~OsFM$`&rXW}wfb6)P%nz?MUNim1$_0_q zgz`W8?XxXCoHLC!g8JJoA5LtXT2iw1)F`NVcHckS%#bb+^UV2OGt}%|_v3be9JS8Z z2`1&anyL#g`8OuHkH%lLFT4+XR(&hx_u?ncy<6I3DRY#V)m=4#E8dBZicxY_&C0a& zWkGp1DY<_<;y*-dIndLD4F2%>>HI~nMTlB&<-+42Zd>9>O8q+l5NqRo09#>&RxeRN z=5L<6&X+Z%)APu0IevoB2E7Nlsqe}N@3wKzz1vz;-`fCx3(^Fog`YAbMM