This commit is contained in:
Zane Kaminski 2023-11-27 06:22:56 -05:00
parent cdabd90e3a
commit 85df5cc4b7
105 changed files with 16436 additions and 336167 deletions

File diff suppressed because it is too large Load Diff

18
Documentation/Boot codes Normal file
View File

@ -0,0 +1,18 @@
F0 F1 Outcome
----------------------------------------
11 11 Both erased
XX 11 F1 erased, load F0
11 XX F0 erased, load F1
00 00 Error - tie
10 10 Error - tie
01 01 Error - tie
00 01 F1 newer
00 10 F0 newer
01 00 F0 newer
01 10 F1 newer
10 00 F1 newer
10 01 F0 newer

Binary file not shown.

Before

Width:  |  Height:  |  Size: 359 KiB

View File

@ -13,7 +13,6 @@ GR8RAM/LibraryCard Slinky RAM memory map
0 00 0000 | |
-----------------------------
Firmware area memory map
-----------------------------
1 00 1FFF | |
.... | IOSTRB bank 1 (2 kB) |

File diff suppressed because it is too large Load Diff

997
Flash.kicad_sch Normal file
View File

@ -0,0 +1,997 @@
(kicad_sch (version 20230121) (generator eeschema)
(uuid 87f571a9-5e73-49a9-af1c-21c893cd2383)
(paper "A4")
(lib_symbols
(symbol "+3V3_1" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3_1" (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "global power" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+3V3_1_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "+3V3_1_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+3V3" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "R" (at 0.762 0.508 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Small" (at 0.762 -1.016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R resistor" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor, small symbol" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Small_0_1"
(rectangle (start -0.762 1.778) (end 0.762 -1.778)
(stroke (width 0.2032) (type default))
(fill (type none))
)
)
(symbol "R_Small_1_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "GW_RAM:SPIFlash-SO-8" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 8.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "SPIFlash-SO-8" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:stdpads:SOIC-8_5.3mm" (at 0 -7.62 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(symbol "SPIFlash-SO-8_0_1"
(rectangle (start -8.89 7.62) (end 8.89 -5.08)
(stroke (width 0.254) (type default))
(fill (type background))
)
)
(symbol "SPIFlash-SO-8_1_1"
(pin input line (at -13.97 5.08 0) (length 5.08)
(name "~{CS}" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -13.97 2.54 0) (length 5.08)
(name "DO/IO1" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -13.97 0 0) (length 5.08)
(name "~{WP}/IO2" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -13.97 -2.54 0) (length 5.08)
(name "GND" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 -2.54 180) (length 5.08)
(name "DI/IO0" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin input line (at 13.97 0 180) (length 5.08)
(name "CLK" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 13.97 2.54 180) (length 5.08)
(name "~{HLD}/IO3" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 13.97 5.08 180) (length 5.08)
(name "Vcc" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+3V3_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "+3V3_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+3V3" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
)
(junction (at 163.83 33.02) (diameter 0) (color 0 0 0 0)
(uuid 2b2e5290-f873-49e6-abaf-b2b2a58df220)
)
(junction (at 156.21 33.02) (diameter 0) (color 0 0 0 0)
(uuid 2b78e23c-0309-457e-8e94-09503c4c39d3)
)
(junction (at 154.94 74.93) (diameter 0) (color 0 0 0 0)
(uuid 56ead989-5522-4d49-ae12-efb63ed3115a)
)
(wire (pts (xy 162.56 80.01) (xy 162.56 83.82))
(stroke (width 0) (type default))
(uuid 05d7aa32-8f16-47a9-bb9e-9c56fc9efd6d)
)
(wire (pts (xy 163.83 33.02) (xy 171.45 33.02))
(stroke (width 0) (type default))
(uuid 1996f3cc-fc10-43c6-82b5-9e5cfa4fd7d0)
)
(wire (pts (xy 154.94 41.91) (xy 163.83 41.91))
(stroke (width 0) (type default))
(uuid 22012746-d3db-4640-be0e-ce2306513e85)
)
(wire (pts (xy 154.94 81.28) (xy 154.94 80.01))
(stroke (width 0) (type default))
(uuid 3b9329b6-a34e-4d4d-8a9a-a2c289435865)
)
(wire (pts (xy 153.67 81.28) (xy 154.94 81.28))
(stroke (width 0) (type default))
(uuid 3c2f65db-2718-4002-bf65-20c752561012)
)
(wire (pts (xy 154.94 39.37) (xy 156.21 39.37))
(stroke (width 0) (type default))
(uuid 4833e1e5-b894-43bf-a51c-ecc0e0af9b90)
)
(wire (pts (xy 171.45 38.1) (xy 171.45 44.45))
(stroke (width 0) (type default))
(uuid 5fbb9b87-a9f7-40e6-b77f-4eba45cb6483)
)
(wire (pts (xy 156.21 38.1) (xy 156.21 39.37))
(stroke (width 0) (type default))
(uuid 65508afb-56a7-4ab8-b831-e1ffbaec6192)
)
(wire (pts (xy 153.67 83.82) (xy 162.56 83.82))
(stroke (width 0) (type default))
(uuid 84dbc8df-4a97-45ff-9fc4-3925f4b70fae)
)
(wire (pts (xy 163.83 41.91) (xy 163.83 38.1))
(stroke (width 0) (type default))
(uuid a2458346-4235-47bd-b437-5081c60c5125)
)
(wire (pts (xy 156.21 33.02) (xy 163.83 33.02))
(stroke (width 0) (type default))
(uuid b227823f-ea2b-41ab-9374-c8e59f799c27)
)
(wire (pts (xy 154.94 74.93) (xy 162.56 74.93))
(stroke (width 0) (type default))
(uuid bb29a1fa-ae8d-4f3d-981c-227a0951ab03)
)
(wire (pts (xy 154.94 44.45) (xy 171.45 44.45))
(stroke (width 0) (type default))
(uuid e0af1d04-e366-46a1-8bf5-aa10f77f0cea)
)
(hierarchical_label "FMOSI" (shape input) (at 124.46 41.91 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 099cc492-a55c-4ca0-94eb-aee2fb4d3ef2)
)
(hierarchical_label "USCK" (shape input) (at 124.46 85.09 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0b6d8817-8168-4721-a5a1-3e50b8829523)
)
(hierarchical_label "FMISO" (shape output) (at 96.52 54.61 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 111c9a66-ca0b-4e9a-b343-682f7394b5fd)
)
(hierarchical_label "U0~{CS}" (shape input) (at 96.52 80.01 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 339e8e5b-23dd-45c0-8207-20355b94913a)
)
(hierarchical_label "UD3" (shape bidirectional) (at 124.46 100.33 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 4bf501bf-5f4f-4b62-a4de-740b304f95e1)
)
(hierarchical_label "FR~{CS}" (shape input) (at 154.94 39.37 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 53f545fc-b98a-45eb-b1f2-38164bdc3125)
)
(hierarchical_label "FD2" (shape bidirectional) (at 96.52 57.15 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 595424e5-0904-43ab-9937-c43897873982)
)
(hierarchical_label "U1~{CS}" (shape input) (at 153.67 83.82 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 62e571b8-5173-42bb-af94-6f00a1276a96)
)
(hierarchical_label "FD2" (shape bidirectional) (at 96.52 39.37 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 6846d99e-f8b1-48d6-b489-acd24cea96ed)
)
(hierarchical_label "FD3" (shape bidirectional) (at 124.46 54.61 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 74165f76-fd7b-4dd0-92bd-4138adac5f25)
)
(hierarchical_label "FSCK" (shape input) (at 124.46 57.15 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 74975359-83eb-4d63-9820-7bea5b1e5228)
)
(hierarchical_label "UMISO" (shape output) (at 96.52 82.55 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 7a214ab9-953a-4542-9414-a27c9e4272b1)
)
(hierarchical_label "UMOSI" (shape bidirectional) (at 124.46 87.63 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7d7d9d60-0db8-402f-879f-76e22a582a45)
)
(hierarchical_label "UMOSI" (shape bidirectional) (at 124.46 105.41 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7f6b4b97-aaf0-492e-b879-a2f01cd704f7)
)
(hierarchical_label "FD3" (shape bidirectional) (at 154.94 41.91 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 91594992-f335-4aa2-8f71-d04700656fa7)
)
(hierarchical_label "UD2" (shape bidirectional) (at 96.52 85.09 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9316413b-6d19-426f-ad49-b8032981e45e)
)
(hierarchical_label "UMISO" (shape bidirectional) (at 96.52 100.33 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9cad4efc-532d-420b-8160-066f84c5c4aa)
)
(hierarchical_label "UD3" (shape bidirectional) (at 124.46 82.55 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a9b7c39e-7547-4cf9-855b-bfaabcdcf975)
)
(hierarchical_label "FR~{CS}" (shape input) (at 96.52 52.07 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid aac56298-6171-4fd0-8d00-9430c58315f8)
)
(hierarchical_label "FD2" (shape bidirectional) (at 154.94 44.45 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid acf26d2b-6284-4782-8632-38d2bc7431a7)
)
(hierarchical_label "FMOSI" (shape input) (at 124.46 59.69 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cc0dd28e-3b55-4620-86ba-f202e7e4219c)
)
(hierarchical_label "UD2" (shape bidirectional) (at 96.52 102.87 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid cf2e83ae-6f50-422f-bdec-630afc7e5037)
)
(hierarchical_label "FMISO" (shape output) (at 96.52 36.83 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid d6a14e9e-11ac-4f45-bec9-b0c89728d46a)
)
(hierarchical_label "FR~{CS}" (shape input) (at 96.52 34.29 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid da8a9996-cbd0-46bd-9df0-e494fcd5bfce)
)
(hierarchical_label "USCK" (shape input) (at 124.46 102.87 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid dc70521d-d639-492c-8973-7005db23e916)
)
(hierarchical_label "FD3" (shape bidirectional) (at 124.46 36.83 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e5c024a9-aebf-451d-8ab4-1560bc5f7241)
)
(hierarchical_label "U0~{CS}" (shape input) (at 153.67 81.28 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e907fccc-dd34-419b-9586-04f94a91d60a)
)
(hierarchical_label "FSCK" (shape input) (at 124.46 39.37 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f2000a2c-ab2b-45e7-a6cb-1a5ab5929f45)
)
(hierarchical_label "U1~{CS}" (shape input) (at 96.52 97.79 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid fe3d1656-d00d-404f-8c91-370769d2837d)
)
(symbol (lib_id "power:GND") (at 96.52 87.63 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 0057b6a0-f322-455b-a707-844281632ba8)
(property "Reference" "#PWR0143" (at 96.52 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 96.52 91.44 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 96.52 87.63 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 96.52 87.63 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 94d38e10-1f69-4c67-af07-a7a04183cf47))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "#PWR0143") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "#PWR029") (unit 1)
)
)
)
)
(symbol (lib_id "GW_RAM:SPIFlash-SO-8") (at 110.49 57.15 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 0a2c47f9-0004-4b73-815d-41d8ee799614)
(property "Reference" "U3" (at 110.49 48.26 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "W25Q128JVSIQ" (at 110.49 63.5 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOIC-8_5.3mm" (at 110.49 64.77 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 110.49 57.15 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "LCSC Part" "C164122" (at 110.49 57.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 06ec1726-55b9-4961-9cf8-f48781080126))
(pin "2" (uuid 038ebea4-e161-4c21-8ea3-b86c0b8b9eaf))
(pin "3" (uuid eb7cf93f-a59c-4dcf-a366-2b7c9201d4ef))
(pin "4" (uuid 6446b7d0-74d8-478e-b97c-d67b407b96e4))
(pin "5" (uuid d62c9345-d138-42ff-b63a-9561ba1efa4e))
(pin "6" (uuid 3f86f169-d97e-4ae1-96a9-aaaf99510a16))
(pin "7" (uuid ecf0ca3b-8334-40f7-a6fa-b482da52134c))
(pin "8" (uuid e12b145c-0e93-47e1-b459-24a9b9561ddd))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "U3") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "U3") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 96.52 41.91 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 0d30bb0a-34bb-42a1-a997-731bf7ab296d)
(property "Reference" "#PWR0143" (at 96.52 48.26 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 96.52 45.72 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 96.52 41.91 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 96.52 41.91 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c22c9b1a-1db5-4002-a22f-50f91c0d0ac7))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "#PWR0143") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "#PWR042") (unit 1)
)
)
)
)
(symbol (lib_id "GW_RAM:SPIFlash-SO-8") (at 110.49 102.87 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 0ee1d174-a738-4a83-b21e-165652696f08)
(property "Reference" "U3" (at 110.49 93.98 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "W25Q128JVSIQ" (at 110.49 109.22 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOIC-8_5.3mm" (at 110.49 110.49 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 110.49 102.87 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "LCSC Part" "C164122" (at 110.49 102.87 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 70a27fe2-8062-422f-94a5-6410b9cba699))
(pin "2" (uuid 3bb20f2c-3504-4a0e-9207-edb076e2e646))
(pin "3" (uuid da0bd287-797e-4130-9575-70d816d4d212))
(pin "4" (uuid c509c0e9-c96c-4561-8348-8a22f71654c0))
(pin "5" (uuid b4f91db8-0ba1-4eb0-9929-9e4bcfae7f97))
(pin "6" (uuid 64c152e5-8186-4cd5-b073-174a4f455df8))
(pin "7" (uuid 424bb8c9-cbbe-41bf-826b-1c486f5f7c76))
(pin "8" (uuid 428455f4-0cce-4a34-8e96-2a5a318b5740))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "U3") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "U12") (unit 1)
)
)
)
)
(symbol (lib_name "+3V3_1") (lib_id "power:+3V3") (at 154.94 74.93 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 1ba25e46-dcef-460b-a3a8-967fa454e12b)
(property "Reference" "#PWR047" (at 154.94 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 154.94 71.12 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 154.94 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 154.94 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a5090829-3b51-4e73-9388-a2b70becc8bb))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "#PWR047") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 96.52 105.41 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 2311cf8c-8e68-4f7b-a0b9-535cfbe95e85)
(property "Reference" "#PWR0143" (at 96.52 111.76 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 96.52 109.22 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 96.52 105.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 96.52 105.41 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid dc68b282-45dc-4d34-bf6c-c196ad538153))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "#PWR0143") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "#PWR035") (unit 1)
)
)
)
)
(symbol (lib_id "GW_RAM:SPIFlash-SO-8") (at 110.49 85.09 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 53c27492-4cdb-49bf-9aed-e3cae72dc90a)
(property "Reference" "U3" (at 110.49 76.2 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "W25Q128JVSIQ" (at 110.49 91.44 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOIC-8_5.3mm" (at 110.49 92.71 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 110.49 85.09 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "LCSC Part" "C164122" (at 110.49 85.09 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2e54b7b0-3aac-4278-b9cb-336d9f6acc90))
(pin "2" (uuid e57d6cf1-e3b7-4abb-9658-8463cdec0b36))
(pin "3" (uuid 2713fb47-d0e2-4d6d-97e4-12aace025761))
(pin "4" (uuid b5590074-17cd-404e-8bd9-e6307bc239ff))
(pin "5" (uuid 68f618ca-9b83-4e59-ab9a-c578ac6a15b3))
(pin "6" (uuid 33e2364f-686b-4f6b-9a9f-d8995c318f98))
(pin "7" (uuid 4cba4f0c-a2fb-48fc-b560-ed2e0bdec074))
(pin "8" (uuid 0de070da-343b-410b-b0cc-d601a5255d61))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "U3") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "U8") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 163.83 35.56 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 5f41afa3-f437-4906-8d53-bbe37e72d9ea)
(property "Reference" "R12" (at 165.1 34.29 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10k" (at 165.1 36.83 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 163.83 35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 163.83 35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b35296ed-b600-4859-863e-a0d73f43f12a))
(pin "2" (uuid af43964a-d7c1-4879-b1bd-410c68b894c5))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "R12") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "R15") (unit 1)
)
)
)
)
(symbol (lib_id "GW_RAM:SPIFlash-SO-8") (at 110.49 39.37 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 83a004c9-928c-483e-a0fa-02330fb057ce)
(property "Reference" "U3" (at 110.49 30.48 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "W25X40" (at 110.49 45.72 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:SOIC-8_3.9mm" (at 110.49 46.99 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "Datasheet" "" (at 110.49 39.37 0)
(effects (font (size 1.27 1.27)) (justify top) hide)
)
(property "LCSC Part" "" (at 110.49 39.37 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d918dc88-9976-48b4-a2e5-f12ff2cbcc4f))
(pin "2" (uuid 9f38afd4-eb03-496c-a712-035d9ca3c85e))
(pin "3" (uuid f042c2df-61bd-40ca-8fb0-b2e15c4b616d))
(pin "4" (uuid 6bf3fa7b-4d6f-4926-bf48-c876577fd92c))
(pin "5" (uuid 3275fd62-e5ed-4441-982a-579235cbc4ca))
(pin "6" (uuid a5616e7f-59bf-4dc7-a906-0e76dce6fa2e))
(pin "7" (uuid 192c2e8d-c554-4324-b577-52de48fc8151))
(pin "8" (uuid 1fb86714-be9e-4158-8143-126530d13ec9))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "U3") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "U13") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 154.94 77.47 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 8bfe4d55-0881-42dc-a3cb-7bf025c05bc9)
(property "Reference" "R12" (at 156.21 76.2 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "3k3" (at 156.21 78.74 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 154.94 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 154.94 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8be663c2-8e5c-4c6b-a53c-70c4f642354b))
(pin "2" (uuid cf22fb8b-0006-41b9-82ae-bad3a636a992))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "R12") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "R17") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 124.46 80.01 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid a2b0c1a3-caca-4467-960f-ff2e33621a12)
(property "Reference" "#PWR0142" (at 124.46 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 124.46 76.2 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 124.46 80.01 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 124.46 80.01 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 379d2c7b-7e5e-49d7-85a1-2d0ea5b53b3f))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "#PWR0142") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "#PWR030") (unit 1)
)
)
)
)
(symbol (lib_name "+3V3_1") (lib_id "power:+3V3") (at 156.21 33.02 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid a38ee0b5-6b20-42a1-88c6-a302c86b9a8a)
(property "Reference" "#PWR080" (at 156.21 36.83 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 156.21 29.21 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 156.21 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 156.21 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0ade3c5a-fbcf-4023-8581-0f3c33a625e8))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "#PWR080") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 124.46 52.07 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid b459b21a-648f-44ab-8a1a-35976922cdb6)
(property "Reference" "#PWR0142" (at 124.46 55.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 124.46 48.26 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 124.46 52.07 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 124.46 52.07 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e875adc9-8304-4fbc-b86f-1334b8f09404))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "#PWR0142") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "#PWR027") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 156.21 35.56 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid c18d3f58-8d12-4ac1-af60-06335fd1d537)
(property "Reference" "R12" (at 157.48 34.29 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10k" (at 157.48 36.83 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 156.21 35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 156.21 35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 79d5ce6d-7e26-486b-b7ed-47b66fca8b12))
(pin "2" (uuid 72a51bd8-6cdf-49b2-9a39-fa0ce70303da))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "R12") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "R40") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 96.52 59.69 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid c25b23ae-d589-4b70-95e6-860e73886eab)
(property "Reference" "#PWR0143" (at 96.52 66.04 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 96.52 63.5 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 96.52 59.69 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 96.52 59.69 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e312faf2-1d85-4b7d-ba1c-8edb1954be36))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "#PWR0143") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "#PWR026") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 171.45 35.56 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid d200f585-e21a-476b-a992-a6e2112150fe)
(property "Reference" "R12" (at 172.72 34.29 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "10k" (at 172.72 36.83 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 171.45 35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 171.45 35.56 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 413c58e9-c7b2-492c-8693-6aa1d958cdaa))
(pin "2" (uuid 177cfb71-11a7-49c4-b52a-959a66130642))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "R12") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "R16") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 162.56 77.47 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid d75882b6-da86-4f18-a402-082485f526a2)
(property "Reference" "R12" (at 163.83 76.2 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "3k3" (at 163.83 78.74 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 162.56 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 162.56 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f300bce0-0f7f-44fc-b044-f3f17a5db26f))
(pin "2" (uuid 3b3fdc00-eb4e-4796-a285-c969b745a896))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "R12") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "R18") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 124.46 34.29 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid ef5053a5-3874-473e-9819-718589d38f4a)
(property "Reference" "#PWR0142" (at 124.46 38.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 124.46 30.48 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 124.46 34.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 124.46 34.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5bcdd992-60aa-43f8-9a53-cbbcac6751f7))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "#PWR0142") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "#PWR043") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 124.46 97.79 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid f03d6d88-452a-4ff6-9b6c-8ec6378dd805)
(property "Reference" "#PWR0142" (at 124.46 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 124.46 93.98 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 124.46 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 124.46 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 264f1ac8-b8f7-4281-92d2-7ec51c14b2a0))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "#PWR0142") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/13d7a51b-3530-4ae9-b53c-5be82bc34799"
(reference "#PWR041") (unit 1)
)
)
)
)
)

21
GR8RAM
View File

@ -1,21 +0,0 @@
Reference, Quantity, Value, Footprint, Datasheet, LCSC Part
C10 C1 C7 C2 C3 C4 C11 ,7,"10u","stdpads:C_0805","~","C15850"
C31 C30 C44 C43 C42 C35 C34 C33 C32 C26 C28 C27 C25 C24 C18 C23 C22 C21 C20 C19 C16 C15 C14 C13 C12 C29 C5 ,27,"2u2","stdpads:C_0603","~","C23630"
FID5 FID4 FID3 FID2 FID1 ,5,"Fiducial","stdpads:Fiducial","~"
H1 ,1," ","stdpads:PasteHole_1.1mm_PTH","~"
H6 H2 H3 H4 H5 ,5," ","stdpads:PasteHole_1.152mm_NPTH","~"
J1 ,1,"AppleIIBus","stdpads:AppleIIBus_Edge","~"
J2 J5 ,2,"JTAG","Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","~"
J4 ,1,"JTAG","Connector_IDC:IDC-Header_2x05_P2.54mm_Vertical","~"
R22 R31 ,2,"33","stdpads:R_0603","~","C23140"
R28 R29 ,2,"22k","stdpads:R_0603","~","C31850"
RN2 RN3 RN1 ,3,"4x33","stdpads:R4_0402","~","C25501"
RN5 ,1,"4x10k","stdpads:R4_0402","~","C25725"
SW1 ,1,"FW","stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm","~","C319052"
U1 ,1,"EPM240T100C5N","stdpads:TQFP-100_14x14mm_P0.5mm","https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/max2/max2_mii5v1.pdf","C10041"
U13 ,1,"25M","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","","C669088"
U16 U14 ,2,"74LVC1G125GW","stdpads:SOT-353","","C12519"
U2 ,1,"W9825","stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm","","C62246"
U3 ,1,"W25Q128JVSIQ","stdpads:SOIC-8_5.3mm","","C164122"
U5 U6 U9 U4 ,4,"74AHC245PW","stdpads:TSSOP-20_4.4x6.5mm_P0.65mm","","C5516"
U8 ,1,"XC6206P332MR","stdpads:SOT-23","","C5446"

View File

@ -1,661 +0,0 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_02x05_Odd_Even
#
DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -250 1 1 10 f
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x25_Counter_Clockwise
#
DEF Connector_Generic_Conn_02x25_Counter_Clockwise J 0 40 Y N 1 F N
F0 "J" 50 1300 50 H V C CNN
F1 "Connector_Generic_Conn_02x25_Counter_Clockwise" 50 -1300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -1195 0 -1205 1 1 6 N
S -50 -1095 0 -1105 1 1 6 N
S -50 -995 0 -1005 1 1 6 N
S -50 -895 0 -905 1 1 6 N
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 905 0 895 1 1 6 N
S -50 1005 0 995 1 1 6 N
S -50 1105 0 1095 1 1 6 N
S -50 1205 0 1195 1 1 6 N
S -50 1250 150 -1250 1 1 10 f
S 150 -1195 100 -1205 1 1 6 N
S 150 -1095 100 -1105 1 1 6 N
S 150 -995 100 -1005 1 1 6 N
S 150 -895 100 -905 1 1 6 N
S 150 -795 100 -805 1 1 6 N
S 150 -695 100 -705 1 1 6 N
S 150 -595 100 -605 1 1 6 N
S 150 -495 100 -505 1 1 6 N
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
S 150 405 100 395 1 1 6 N
S 150 505 100 495 1 1 6 N
S 150 605 100 595 1 1 6 N
S 150 705 100 695 1 1 6 N
S 150 805 100 795 1 1 6 N
S 150 905 100 895 1 1 6 N
S 150 1005 100 995 1 1 6 N
S 150 1105 100 1095 1 1 6 N
S 150 1205 100 1195 1 1 6 N
X Pin_1 1 -200 1200 150 R 50 50 1 1 P
X Pin_10 10 -200 300 150 R 50 50 1 1 P
X Pin_11 11 -200 200 150 R 50 50 1 1 P
X Pin_12 12 -200 100 150 R 50 50 1 1 P
X Pin_13 13 -200 0 150 R 50 50 1 1 P
X Pin_14 14 -200 -100 150 R 50 50 1 1 P
X Pin_15 15 -200 -200 150 R 50 50 1 1 P
X Pin_16 16 -200 -300 150 R 50 50 1 1 P
X Pin_17 17 -200 -400 150 R 50 50 1 1 P
X Pin_18 18 -200 -500 150 R 50 50 1 1 P
X Pin_19 19 -200 -600 150 R 50 50 1 1 P
X Pin_2 2 -200 1100 150 R 50 50 1 1 P
X Pin_20 20 -200 -700 150 R 50 50 1 1 P
X Pin_21 21 -200 -800 150 R 50 50 1 1 P
X Pin_22 22 -200 -900 150 R 50 50 1 1 P
X Pin_23 23 -200 -1000 150 R 50 50 1 1 P
X Pin_24 24 -200 -1100 150 R 50 50 1 1 P
X Pin_25 25 -200 -1200 150 R 50 50 1 1 P
X Pin_26 26 300 -1200 150 L 50 50 1 1 P
X Pin_27 27 300 -1100 150 L 50 50 1 1 P
X Pin_28 28 300 -1000 150 L 50 50 1 1 P
X Pin_29 29 300 -900 150 L 50 50 1 1 P
X Pin_3 3 -200 1000 150 R 50 50 1 1 P
X Pin_30 30 300 -800 150 L 50 50 1 1 P
X Pin_31 31 300 -700 150 L 50 50 1 1 P
X Pin_32 32 300 -600 150 L 50 50 1 1 P
X Pin_33 33 300 -500 150 L 50 50 1 1 P
X Pin_34 34 300 -400 150 L 50 50 1 1 P
X Pin_35 35 300 -300 150 L 50 50 1 1 P
X Pin_36 36 300 -200 150 L 50 50 1 1 P
X Pin_37 37 300 -100 150 L 50 50 1 1 P
X Pin_38 38 300 0 150 L 50 50 1 1 P
X Pin_39 39 300 100 150 L 50 50 1 1 P
X Pin_4 4 -200 900 150 R 50 50 1 1 P
X Pin_40 40 300 200 150 L 50 50 1 1 P
X Pin_41 41 300 300 150 L 50 50 1 1 P
X Pin_42 42 300 400 150 L 50 50 1 1 P
X Pin_43 43 300 500 150 L 50 50 1 1 P
X Pin_44 44 300 600 150 L 50 50 1 1 P
X Pin_45 45 300 700 150 L 50 50 1 1 P
X Pin_46 46 300 800 150 L 50 50 1 1 P
X Pin_47 47 300 900 150 L 50 50 1 1 P
X Pin_48 48 300 1000 150 L 50 50 1 1 P
X Pin_49 49 300 1100 150 L 50 50 1 1 P
X Pin_5 5 -200 800 150 R 50 50 1 1 P
X Pin_50 50 300 1200 150 L 50 50 1 1 P
X Pin_6 6 -200 700 150 R 50 50 1 1 P
X Pin_7 7 -200 600 150 R 50 50 1 1 P
X Pin_8 8 -200 500 150 R 50 50 1 1 P
X Pin_9 9 -200 400 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Pack04
#
DEF Device_R_Pack04 RN 0 0 Y N 1 F N
F0 "RN" -300 0 50 V V C CNN
F1 "Device_R_Pack04" 200 0 50 V V C CNN
F2 "" 275 0 50 V I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
DIP*
SOIC*
$ENDFPLIST
DRAW
S -250 -95 150 95 0 1 10 f
S -225 75 -175 -75 0 1 10 N
S -125 75 -75 -75 0 1 10 N
S -25 75 25 -75 0 1 10 N
S 75 75 125 -75 0 1 10 N
P 2 0 1 0 -200 -100 -200 -75 N
P 2 0 1 0 -200 75 -200 100 N
P 2 0 1 0 -100 -100 -100 -75 N
P 2 0 1 0 -100 75 -100 100 N
P 2 0 1 0 0 -100 0 -75 N
P 2 0 1 0 0 75 0 100 N
P 2 0 1 0 100 -100 100 -75 N
P 2 0 1 0 100 75 100 100 N
X R1.1 1 -200 -200 100 U 50 50 1 1 P
X R2.1 2 -100 -200 100 U 50 50 1 1 P
X R3.1 3 0 -200 100 U 50 50 1 1 P
X R4.1 4 100 -200 100 U 50 50 1 1 P
X R4.2 5 100 200 100 D 50 50 1 1 P
X R3.2 6 0 200 100 D 50 50 1 1 P
X R2.2 7 -100 200 100 D 50 50 1 1 P
X R1.2 8 -200 200 100 D 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Small
#
DEF Device_R_Small R 0 10 N N 1 F N
F0 "R" 30 20 50 H V L CNN
F1 "Device_R_Small" 30 -40 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -30 70 30 -70 0 1 8 N
X ~ 1 0 100 30 D 50 50 1 1 P
X ~ 2 0 -100 30 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# GW_Logic_741G125GW
#
DEF GW_Logic_741G125GW U 0 40 Y Y 1 F N
F0 "U" 0 250 50 H V C CNN
F1 "GW_Logic_741G125GW" 0 -250 50 H V C CNN
F2 "stdpads:SOT-353" 0 -300 50 H I C TNN
F3 "" 0 -200 60 H I C CNN
DRAW
S 200 -200 -200 200 0 1 10 f
X ~OE~ 1 -400 100 200 R 50 50 1 1 I
X A 2 -400 0 200 R 50 50 1 1 I
X GND 3 -400 -100 200 R 50 50 1 1 W
X Y 4 400 -100 200 L 50 50 1 1 O
X Vcc 5 400 100 200 L 50 50 1 1 W
ENDDRAW
ENDDEF
#
# GW_Logic_74245
#
DEF GW_Logic_74245 U 0 40 Y Y 1 F N
F0 "U" 0 600 50 H V C CNN
F1 "GW_Logic_74245" 0 -600 50 H V C CNN
F2 "" 0 -650 50 H I C TNN
F3 "" 0 100 60 H I C CNN
DRAW
S -200 550 200 -550 0 1 10 f
X AtoB 1 -400 450 200 R 50 50 1 1 I
X GND 10 -400 -450 200 R 50 50 1 1 W
X B7 11 400 -450 200 L 50 50 1 1 B
X B6 12 400 -350 200 L 50 50 1 1 B
X B5 13 400 -250 200 L 50 50 1 1 B
X B4 14 400 -150 200 L 50 50 1 1 B
X B3 15 400 -50 200 L 50 50 1 1 B
X B2 16 400 50 200 L 50 50 1 1 B
X B1 17 400 150 200 L 50 50 1 1 B
X B0 18 400 250 200 L 50 50 1 1 B
X ~OE~ 19 400 350 200 L 50 50 1 1 I
X A0 2 -400 350 200 R 50 50 1 1 B
X Vcc 20 400 450 200 L 50 50 1 1 W
X A1 3 -400 250 200 R 50 50 1 1 B
X A2 4 -400 150 200 R 50 50 1 1 B
X A3 5 -400 50 200 R 50 50 1 1 B
X A4 6 -400 -50 200 R 50 50 1 1 B
X A5 7 -400 -150 200 R 50 50 1 1 B
X A6 8 -400 -250 200 R 50 50 1 1 B
X A7 9 -400 -350 200 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GW_Logic_Oscillator_4P
#
DEF GW_Logic_Oscillator_4P U 0 40 Y Y 1 F N
F0 "U" 0 250 50 H V C CNN
F1 "GW_Logic_Oscillator_4P" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
S -250 200 250 -100 0 1 10 f
X EN 1 -350 100 100 R 50 50 1 1 I
X GND 2 -350 0 100 R 50 50 1 1 W
X Output 3 350 0 100 L 50 50 1 1 O
X Vdd 4 350 100 100 L 50 50 1 1 W
ENDDRAW
ENDDEF
#
# GW_PLD_EPM240T100
#
DEF GW_PLD_EPM240T100 U 0 40 Y Y 1 F N
F0 "U" 0 50 50 H V C CNN
F1 "GW_PLD_EPM240T100" 0 -50 50 H V C CNN
F2 "stdpads:TQFP-100_14x14mm_P0.5mm" 0 -100 20 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
*QFP*P0.5mm*
$ENDFPLIST
DRAW
S -800 2200 800 -2200 1 1 10 f
X IO2_1 1 1000 2100 200 L 50 50 1 1 B
X GNDIO 10 -200 -2400 200 U 50 50 1 1 W
X IO2_100 100 1000 -2000 200 L 50 50 1 1 B
X GNDINT 11 -400 -2400 200 U 50 50 1 1 W
X IO1_12/GCLK0 12 -1000 1400 200 R 50 50 1 1 B C
X VCCINT 13 -400 2400 200 D 50 50 1 1 W
X IO1_14/GCLK1 14 -1000 1300 200 R 50 50 1 1 B C
X IO1_15 15 -1000 1200 200 R 50 50 1 1 B
X IO1_16 16 -1000 1100 200 R 50 50 1 1 B
X IO1_17 17 -1000 1000 200 R 50 50 1 1 B
X IO1_18 18 -1000 900 200 R 50 50 1 1 B
X IO1_19 19 -1000 800 200 R 50 50 1 1 B
X IO1_2 2 -1000 2100 200 R 50 50 1 1 B
X IO1_20 20 -1000 700 200 R 50 50 1 1 B
X IO1_21 21 -1000 600 200 R 50 50 1 1 B
X TMS 22 -1000 -1700 200 R 50 50 1 1 I
X TDI 23 -1000 -1800 200 R 50 50 1 1 I
X TCK 24 -1000 -1900 200 R 50 50 1 1 I C
X TDO 25 -1000 -2000 200 R 50 50 1 1 O
X IO1_26 26 -1000 500 200 R 50 50 1 1 B
X IO1_27 27 -1000 400 200 R 50 50 1 1 B
X IO1_28 28 -1000 300 200 R 50 50 1 1 B
X IO1_29 29 -1000 200 200 R 50 50 1 1 B
X IO1_3 3 -1000 2000 200 R 50 50 1 1 B
X IO1_30 30 -1000 100 200 R 50 50 1 1 B
X VCCIO1 31 -100 2400 200 D 50 50 1 1 W
X GNDIO 32 -100 -2400 200 U 50 50 1 1 W
X IO1_33 33 -1000 0 200 R 50 50 1 1 B
X IO1_34 34 -1000 -100 200 R 50 50 1 1 B
X IO1_35 35 -1000 -200 200 R 50 50 1 1 B
X IO1_36 36 -1000 -300 200 R 50 50 1 1 B
X IO1_37 37 -1000 -400 200 R 50 50 1 1 B
X IO1_38 38 -1000 -500 200 R 50 50 1 1 B
X IO1_39 39 -1000 -600 200 R 50 50 1 1 B
X IO1_4 4 -1000 1900 200 R 50 50 1 1 B
X IO1_40 40 -1000 -700 200 R 50 50 1 1 B
X IO1_41 41 -1000 -800 200 R 50 50 1 1 B
X IO1_42 42 -1000 -900 200 R 50 50 1 1 B
X IO1_43/DEV_OE 43 -1000 -1000 200 R 50 50 1 1 B
X IO1_44/DEV_CLRn 44 -1000 -1100 200 R 50 50 1 1 B
X VCCIO1 45 0 2400 200 D 50 50 1 1 W
X GNDIO 46 0 -2400 200 U 50 50 1 1 W
X IO1_47 47 -1000 -1200 200 R 50 50 1 1 B
X IO1_48 48 -1000 -1300 200 R 50 50 1 1 B
X IO1_49 49 -1000 -1400 200 R 50 50 1 1 B
X IO1_5 5 -1000 1800 200 R 50 50 1 1 B
X IO1_50 50 -1000 -1500 200 R 50 50 1 1 B
X IO1_51 51 -1000 -1600 200 R 50 50 1 1 B
X IO2_52 52 1000 2000 200 L 50 50 1 1 B
X IO2_53 53 1000 1900 200 L 50 50 1 1 B
X IO2_54 54 1000 1800 200 L 50 50 1 1 B
X IO2_55 55 1000 1700 200 L 50 50 1 1 B
X IO2_56 56 1000 1600 200 L 50 50 1 1 B
X IO2_57 57 1000 1500 200 L 50 50 1 1 B
X IO2_58 58 1000 1400 200 L 50 50 1 1 B
X VCCIO2 59 100 2400 200 D 50 50 1 1 W
X IO1_6 6 -1000 1700 200 R 50 50 1 1 B
X GNDIO 60 100 -2400 200 U 50 50 1 1 W
X IO2_61 61 1000 1300 200 L 50 50 1 1 B
X IO2_62/GCLK2 62 1000 1200 200 L 50 50 1 1 B C
X VCCINT 63 -300 2400 200 D 50 50 1 1 W
X IO2_64/GCLK3 64 1000 1100 200 L 50 50 1 1 B C
X GNDINT 65 -300 -2400 200 U 50 50 1 1 W
X IO2_66 66 1000 1000 200 L 50 50 1 1 B
X IO2_67 67 1000 900 200 L 50 50 1 1 B
X IO2_68 68 1000 800 200 L 50 50 1 1 B
X IO2_69 69 1000 700 200 L 50 50 1 1 B
X IO1_7 7 -1000 1600 200 R 50 50 1 1 B
X IO2_70 70 1000 600 200 L 50 50 1 1 B
X IO2_71 71 1000 500 200 L 50 50 1 1 B
X IO2_72 72 1000 400 200 L 50 50 1 1 B
X IO2_73 73 1000 300 200 L 50 50 1 1 B
X IO2_74 74 1000 200 200 L 50 50 1 1 B
X IO2_75 75 1000 100 200 L 50 50 1 1 B
X IO2_76 76 1000 0 200 L 50 50 1 1 B
X IO2_77 77 1000 -100 200 L 50 50 1 1 B
X IO2_78 78 1000 -200 200 L 50 50 1 1 B
X GNDIO 79 200 -2400 200 U 50 50 1 1 W
X IO1_8 8 -1000 1500 200 R 50 50 1 1 B
X VCCIO2 80 200 2400 200 D 50 50 1 1 W
X IO2_81 81 1000 -300 200 L 50 50 1 1 B
X IO2_82 82 1000 -400 200 L 50 50 1 1 B
X IO2_83 83 1000 -500 200 L 50 50 1 1 B
X IO2_84 84 1000 -600 200 L 50 50 1 1 B
X IO2_85 85 1000 -700 200 L 50 50 1 1 B
X IO2_86 86 1000 -800 200 L 50 50 1 1 B
X IO2_87 87 1000 -900 200 L 50 50 1 1 B
X IO2_88 88 1000 -1000 200 L 50 50 1 1 B
X IO2_89 89 1000 -1100 200 L 50 50 1 1 B
X VCCIO1 9 -200 2400 200 D 50 50 1 1 W
X IO2_90 90 1000 -1200 200 L 50 50 1 1 B
X IO2_91 91 1000 -1300 200 L 50 50 1 1 B
X IO2_92 92 1000 -1400 200 L 50 50 1 1 B
X GNDIO 93 300 -2400 200 U 50 50 1 1 W
X VCCIO2 94 300 2400 200 D 50 50 1 1 W
X IO2_95 95 1000 -1500 200 L 50 50 1 1 B
X IO2_96 96 1000 -1600 200 L 50 50 1 1 B
X IO2_97 97 1000 -1700 200 L 50 50 1 1 B
X IO2_98 98 1000 -1800 200 L 50 50 1 1 B
X IO2_99 99 1000 -1900 200 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GW_Power_AP2125
#
DEF GW_Power_AP2125 U 0 40 Y Y 1 F N
F0 "U" 0 250 50 H V C CNN
F1 "GW_Power_AP2125" 0 -250 50 H V C CNN
F2 "stdpads:SOT-23" 0 -300 50 H I C TNN
F3 "" 0 -100 60 H I C CNN
DRAW
S -250 200 250 -200 0 1 10 f
X GND 1 -450 -100 200 R 50 50 1 1 W
X Vout 2 450 100 200 L 50 50 1 1 w
X Vin 3 -450 100 200 R 50 50 1 1 W
ENDDRAW
ENDDEF
#
# GW_RAM_SDRAM-16Mx16-TSOP2-54
#
DEF GW_RAM_SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N
F0 "U" 0 1150 50 H V C CNN
F1 "GW_RAM_SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN
F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN
F3 "" 0 -250 50 H I C CNN
DRAW
S -300 1100 300 -1400 0 1 10 f
X VDD 1 -500 1000 200 R 50 50 1 1 W
X DQ5 10 500 500 200 L 50 50 1 1 B
X DQ6 11 500 400 200 L 50 50 1 1 B
X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N
X DQ7 13 500 300 200 L 50 50 1 1 B
X VDD 14 -500 1000 200 R 50 50 1 1 W N
X DQML 15 500 -600 200 L 50 50 1 1 I
X ~WE~ 16 500 -1100 200 L 50 50 1 1 I
X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I
X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I
X ~CS~ 19 500 -1000 200 L 50 50 1 1 I
X DQ0 2 500 1000 200 L 50 50 1 1 B
X BA0 20 -500 -600 200 R 50 50 1 1 I
X BA1 21 -500 -700 200 R 50 50 1 1 I
X A10 22 -500 -300 200 R 50 50 1 1 I
X A0 23 -500 700 200 R 50 50 1 1 I
X A1 24 -500 600 200 R 50 50 1 1 I
X A2 25 -500 500 200 R 50 50 1 1 I
X A3 26 -500 400 200 R 50 50 1 1 I
X VDD 27 -500 1000 200 R 50 50 1 1 W N
X VSS 28 -500 -1200 200 R 50 50 1 1 W
X A4 29 -500 300 200 R 50 50 1 1 I
X VDDQ 3 -500 900 200 R 50 50 1 1 W
X A5 30 -500 200 200 R 50 50 1 1 I
X A6 31 -500 100 200 R 50 50 1 1 I
X A7 32 -500 0 200 R 50 50 1 1 I
X A8 33 -500 -100 200 R 50 50 1 1 I
X A9 34 -500 -200 200 R 50 50 1 1 I
X A11 35 -500 -400 200 R 50 50 1 1 I
X A12 36 -500 -500 200 R 50 50 1 1 I
X CKE 37 -500 -900 200 R 50 50 1 1 I
X CLK 38 -500 -1000 200 R 50 50 1 1 I
X DQMH 39 500 -700 200 L 50 50 1 1 I
X DQ1 4 500 900 200 L 50 50 1 1 B
X VSS 41 -500 -1200 200 R 50 50 1 1 W N
X DQ8 42 500 200 200 L 50 50 1 1 B
X VDDQ 43 -500 900 200 R 50 50 1 1 W N
X DQ9 44 500 100 200 L 50 50 1 1 B
X DQ10 45 500 0 200 L 50 50 1 1 B
X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N
X DQ11 47 500 -100 200 L 50 50 1 1 B
X DQ12 48 500 -200 200 L 50 50 1 1 B
X VDDQ 49 -500 900 200 R 50 50 1 1 W N
X DQ2 5 500 800 200 L 50 50 1 1 B
X DQ13 50 500 -300 200 L 50 50 1 1 B
X DQ14 51 500 -400 200 L 50 50 1 1 B
X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N
X DQ15 53 500 -500 200 L 50 50 1 1 B
X VSS 54 -500 -1200 200 R 50 50 1 1 W N
X VSSQ 6 -500 -1300 200 R 50 50 1 1 W
X DQ3 7 500 700 200 L 50 50 1 1 B
X DQ4 8 500 600 200 L 50 50 1 1 B
X VDDQ 9 -500 900 200 R 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# GW_RAM_SPIFlash-SO-8
#
DEF GW_RAM_SPIFlash-SO-8 U 0 40 Y Y 1 F N
F0 "U" 0 350 50 H V C CNN
F1 "GW_RAM_SPIFlash-SO-8" 0 -250 50 H V C CNN
F2 "stdpads:Hybrid_SPIFlash_SOIC-8_SOIC-16" 0 -300 50 H I C TNN
F3 "" 0 0 50 H I C TNN
DRAW
S -350 300 350 -200 0 1 10 f
X ~CS~ 1 -550 200 200 R 50 50 1 1 I
X DO/IO1 2 -550 100 200 R 50 50 1 1 B
X ~WP~/IO2 3 -550 0 200 R 50 50 1 1 B
X GND 4 -550 -100 200 R 50 50 1 1 W
X DI/IO0 5 550 -100 200 L 50 50 1 1 B
X CLK 6 550 0 200 L 50 50 1 1 I
X ~HLD~/IO3 7 550 100 200 L 50 50 1 1 B
X Vcc 8 550 200 200 L 50 50 1 1 W
ENDDRAW
ENDDEF
#
# Mechanical_Fiducial
#
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
F0 "FID" 0 200 50 H V C CNN
F1 "Mechanical_Fiducial" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Fiducial*
$ENDFPLIST
DRAW
C 0 0 50 0 1 20 f
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole
#
DEF Mechanical_MountingHole H 0 40 Y Y 1 F N
F0 "H" 0 200 50 H V C CNN
F1 "Mechanical_MountingHole" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*
$ENDFPLIST
DRAW
C 0 0 50 0 1 50 N
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Switch_SW_DIP_x02
#
DEF Switch_SW_DIP_x02 SW 0 0 Y N 1 F N
F0 "SW" 0 250 50 H V C CNN
F1 "Switch_SW_DIP_x02" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SW?DIP?x2*
$ENDFPLIST
DRAW
C -80 0 20 0 0 0 N
C -80 100 20 0 0 0 N
C 80 0 20 0 0 0 N
C 80 100 20 0 0 0 N
S -150 200 150 -100 0 1 10 f
P 2 0 0 0 -60 5 93 46 N
P 2 0 0 0 -60 105 93 146 N
X ~ 1 -300 100 200 R 50 50 1 1 P
X ~ 2 -300 0 200 R 50 50 1 1 P
X ~ 3 300 0 200 L 50 50 1 1 P
X ~ 4 300 100 200 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# power_+12V
#
DEF power_+12V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+12V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +12V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_-12V
#
DEF power_-12V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 100 50 H I C CNN
F1 "power_-12V" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F
X -12V 1 0 0 0 U 50 50 0 0 W N
ENDDRAW
ENDDEF
#
# power_-5V
#
DEF power_-5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 100 50 H I C CNN
F1 "power_-5V" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F
X -5V 1 0 0 0 U 50 50 0 0 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -38,6 +38,7 @@
8,
9,
10,
11,
12,
13,
15,

View File

@ -49,13 +49,7 @@
"min_clearance": 0.15239999999999998
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"diff_pair_dimensions": [],
"drc_exclusions": [],
"meta": {
"filename": "board_design_settings.json",
@ -177,7 +171,6 @@
],
"track_widths": [
0.0,
0.15,
0.2,
0.25,
0.3,
@ -197,10 +190,6 @@
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.5,
"drill": 0.2
},
{
"diameter": 0.6,
"drill": 0.3
@ -535,20 +524,28 @@
""
],
[
"00000000-0000-0000-0000-00005d4d21a0",
"Docs"
"8c26c2fa-d454-440e-b120-dbe566be8d08",
"Power"
],
[
"b38206eb-cbcb-4774-86d5-3e407357811e",
"dfa3a153-b878-4ebe-ae65-cf33abe3a0ca",
"Bus"
],
[
"5e16a16a-d8a6-4780-b76e-bb404ed87b79",
"8608aaa1-ddde-4b77-96be-38e22e467f35",
"FPGA"
],
[
"8ff39fed-057b-492d-8c59-5abc49c84644",
"Power"
"13d7a51b-3530-4ae9-b53c-5be82bc34799",
"Flash"
],
[
"c082edeb-b82e-45c2-a468-498c8453c44f",
"SDRAM"
],
[
"2cd06f17-e3e5-48d6-b34c-94599d1be253",
"Prog"
]
],
"text_variables": {}

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

1930
Prog.kicad_sch Normal file

File diff suppressed because it is too large Load Diff

884
SDRAM.kicad_sch Normal file
View File

@ -0,0 +1,884 @@
(kicad_sch (version 20230121) (generator eeschema)
(uuid 36851516-6a0f-40ac-9470-9e6d6cf01bd8)
(paper "A4")
(lib_symbols
(symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "R" (at 0.762 0.508 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "R_Small" (at 0.762 -1.016 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R resistor" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor, small symbol" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_Small_0_1"
(rectangle (start -0.762 1.778) (end 0.762 -1.778)
(stroke (width 0.2032) (type default))
(fill (type none))
)
)
(symbol "R_Small_1_1"
(pin passive line (at 0 2.54 270) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -2.54 90) (length 0.762)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "GW_RAM:SDRAM-16Mx16-TSOP2-54" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 29.21 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "SDRAM-16Mx16-TSOP2-54" (at 0 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:Winbond_TSOPII-54" (at 0 -41.91 0)
(effects (font (size 1.27 1.27) italic) hide)
)
(property "Datasheet" "" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "SDRAM-16Mx16-TSOP2-54_0_1"
(rectangle (start -7.62 27.94) (end 7.62 -35.56)
(stroke (width 0.254) (type default))
(fill (type background))
)
)
(symbol "SDRAM-16Mx16-TSOP2-54_1_1"
(pin power_in line (at -12.7 25.4 0) (length 5.08)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 12.7 180) (length 5.08)
(name "DQ5" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 10.16 180) (length 5.08)
(name "DQ6" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 -33.02 0) (length 5.08) hide
(name "VSSQ" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 7.62 180) (length 5.08)
(name "DQ7" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 25.4 0) (length 5.08) hide
(name "VDD" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin input line (at 12.7 -15.24 180) (length 5.08)
(name "DQML" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin input line (at 12.7 -27.94 180) (length 5.08)
(name "~{WE}" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin input line (at 12.7 -30.48 180) (length 5.08)
(name "~{CAS}" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin input line (at 12.7 -33.02 180) (length 5.08)
(name "~{RAS}" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin input line (at 12.7 -25.4 180) (length 5.08)
(name "~{CS}" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 25.4 180) (length 5.08)
(name "DQ0" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -15.24 0) (length 5.08)
(name "BA0" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -17.78 0) (length 5.08)
(name "BA1" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -7.62 0) (length 5.08)
(name "A10" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 17.78 0) (length 5.08)
(name "A0" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 15.24 0) (length 5.08)
(name "A1" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 12.7 0) (length 5.08)
(name "A2" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 10.16 0) (length 5.08)
(name "A3" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 25.4 0) (length 5.08) hide
(name "VDD" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 -30.48 0) (length 5.08)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 7.62 0) (length 5.08)
(name "A4" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 22.86 0) (length 5.08)
(name "VDDQ" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 5.08 0) (length 5.08)
(name "A5" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 2.54 0) (length 5.08)
(name "A6" (effects (font (size 1.27 1.27))))
(number "31" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 0 0) (length 5.08)
(name "A7" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -2.54 0) (length 5.08)
(name "A8" (effects (font (size 1.27 1.27))))
(number "33" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -5.08 0) (length 5.08)
(name "A9" (effects (font (size 1.27 1.27))))
(number "34" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -10.16 0) (length 5.08)
(name "A11" (effects (font (size 1.27 1.27))))
(number "35" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -12.7 0) (length 5.08)
(name "A12" (effects (font (size 1.27 1.27))))
(number "36" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -22.86 0) (length 5.08)
(name "CKE" (effects (font (size 1.27 1.27))))
(number "37" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -25.4 0) (length 5.08)
(name "CLK" (effects (font (size 1.27 1.27))))
(number "38" (effects (font (size 1.27 1.27))))
)
(pin input line (at 12.7 -17.78 180) (length 5.08)
(name "DQMH" (effects (font (size 1.27 1.27))))
(number "39" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 22.86 180) (length 5.08)
(name "DQ1" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 -30.48 0) (length 5.08) hide
(name "VSS" (effects (font (size 1.27 1.27))))
(number "41" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 5.08 180) (length 5.08)
(name "DQ8" (effects (font (size 1.27 1.27))))
(number "42" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 22.86 0) (length 5.08) hide
(name "VDDQ" (effects (font (size 1.27 1.27))))
(number "43" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 2.54 180) (length 5.08)
(name "DQ9" (effects (font (size 1.27 1.27))))
(number "44" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 0 180) (length 5.08)
(name "DQ10" (effects (font (size 1.27 1.27))))
(number "45" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 -33.02 0) (length 5.08) hide
(name "VSSQ" (effects (font (size 1.27 1.27))))
(number "46" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 -2.54 180) (length 5.08)
(name "DQ11" (effects (font (size 1.27 1.27))))
(number "47" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 -5.08 180) (length 5.08)
(name "DQ12" (effects (font (size 1.27 1.27))))
(number "48" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 22.86 0) (length 5.08) hide
(name "VDDQ" (effects (font (size 1.27 1.27))))
(number "49" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 20.32 180) (length 5.08)
(name "DQ2" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 -7.62 180) (length 5.08)
(name "DQ13" (effects (font (size 1.27 1.27))))
(number "50" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 -10.16 180) (length 5.08)
(name "DQ14" (effects (font (size 1.27 1.27))))
(number "51" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 -33.02 0) (length 5.08) hide
(name "VSSQ" (effects (font (size 1.27 1.27))))
(number "52" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 -12.7 180) (length 5.08)
(name "DQ15" (effects (font (size 1.27 1.27))))
(number "53" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 -30.48 0) (length 5.08) hide
(name "VSS" (effects (font (size 1.27 1.27))))
(number "54" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 -33.02 0) (length 5.08)
(name "VSSQ" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 17.78 180) (length 5.08)
(name "DQ3" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 15.24 180) (length 5.08)
(name "DQ4" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -12.7 22.86 0) (length 5.08) hide
(name "VDDQ" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+3V3_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "+3V3_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+3V3" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
)
(junction (at 140.97 43.18) (diameter 0) (color 0 0 0 0)
(uuid 5b950dff-0a90-414f-81ae-671105186e4c)
)
(junction (at 140.97 101.6) (diameter 0) (color 0 0 0 0)
(uuid cf86b75e-d57d-4f39-a058-80d97cf306ce)
)
(wire (pts (xy 140.97 101.6) (xy 140.97 99.06))
(stroke (width 0) (type default))
(uuid 289f1a77-d876-40f2-9f9b-4b6b1e9758ff)
)
(wire (pts (xy 140.97 43.18) (xy 140.97 45.72))
(stroke (width 0) (type default))
(uuid d0fe58d1-135e-4f35-bb15-a04d9035521f)
)
(label "RA11" (at 193.04 71.12 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 0d316b65-ac5c-4663-af85-10f4ba2c2ab0)
)
(label "SD0" (at 166.37 81.28 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 107b9f74-bcd7-465f-b942-956c720d6e4a)
)
(label "SD5" (at 166.37 55.88 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 117504ec-7838-4110-9ba1-d9e35c8eb665)
)
(label "SA4" (at 140.97 60.96 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 15b5c46c-e8e1-41f3-b22f-40da8527ea4b)
)
(label "SD7" (at 166.37 63.5 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1b7bd336-31c5-4525-9ea9-74f2db292c25)
)
(label "RA8" (at 193.04 83.82 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 2014797e-0c4a-4564-b10f-0c3dd164b496)
)
(label "SA11" (at 140.97 78.74 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 23744bff-af4e-4430-9996-5fde387ac3f2)
)
(label "SA7" (at 140.97 68.58 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 25987d05-2600-4a96-9ea4-eefffcc0fb05)
)
(label "SD1" (at 166.37 78.74 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 283bee0b-7870-4a22-9611-0125896f773b)
)
(label "SD6" (at 166.37 58.42 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 2d386706-363f-4af9-bcd9-e216409532c8)
)
(label "SD4" (at 166.37 71.12 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 415789df-9cda-49dc-b7a5-36c36970e053)
)
(label "SA3" (at 140.97 58.42 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 441a03c6-5e00-48ce-8898-928e89d3f945)
)
(label "SD6" (at 166.37 66.04 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4a293354-9be0-4c3d-b02e-3a8623ec42f7)
)
(label "SA5" (at 140.97 63.5 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 5fb74ddb-b9cd-408d-b1d9-cafa22f6278b)
)
(label "SD3" (at 166.37 50.8 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 67bb9bcb-9f96-4be4-bee5-e37e44576e09)
)
(label "SA1" (at 140.97 53.34 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 76d98507-4eff-4783-b616-f909c69aa4b9)
)
(label "SA8" (at 140.97 71.12 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 80b044b0-25f5-40e4-9993-8083fdc9c738)
)
(label "SD1" (at 166.37 45.72 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8369352e-db35-4a32-b1df-42960d67a20e)
)
(label "SBA1" (at 140.97 86.36 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 89afff47-81e4-4c9a-bb63-7f01e6081bb3)
)
(label "SA6" (at 140.97 66.04 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 8a0624fd-19b7-411d-b748-8158b294e18b)
)
(label "SD5" (at 166.37 68.58 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8d06a9bf-a5e2-4ce3-827d-975218b5dec7)
)
(label "SD2" (at 166.37 48.26 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 9040b994-2faf-484d-a50e-23e0c6eec5e2)
)
(label "SA9" (at 140.97 73.66 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 953514e5-794f-4e17-9507-b2706c1284ca)
)
(label "SA2" (at 140.97 55.88 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 96b1e33f-a9ec-4771-a5cd-b8593623a082)
)
(label "SA10" (at 140.97 76.2 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 9d44b313-e364-4fd8-a33c-e1e88b5290bb)
)
(label "SD7" (at 166.37 60.96 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a8f92f71-b6d0-4703-9c9d-f366c5f24e24)
)
(label "SBA0" (at 140.97 83.82 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b1bd51f2-8ce1-434b-b64a-5edab9292903)
)
(label "SD4" (at 166.37 53.34 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b4aa52b9-7a72-459c-a04c-2650105102ce)
)
(label "SD0" (at 166.37 43.18 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid b97d96a4-2201-4e47-8623-df3f829b5b03)
)
(label "RA9" (at 193.04 77.47 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid b9f35deb-d942-4afa-a260-175643ca7397)
)
(label "RBA0" (at 193.04 58.42 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid d27fcb60-c174-4d9b-abce-d34ae999d347)
)
(label "SA0" (at 140.97 50.8 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid d69c30c0-4f16-4070-ba7e-107c3bdd2632)
)
(label "SD2" (at 166.37 76.2 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid d88f5f1b-2e9d-4719-9444-2cb2e09285ae)
)
(label "RBA1" (at 193.04 64.77 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid e0c374e2-038a-4290-bf88-1208402756a5)
)
(label "SD3" (at 166.37 73.66 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid ee958fd6-6cba-49f0-84d4-d1ab6fdd9753)
)
(label "SA12" (at 140.97 81.28 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right bottom))
(uuid f2bfb30a-35c2-4339-8ed0-d6faf39b76e2)
)
(hierarchical_label "RCLK" (shape input) (at 140.97 93.98 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1604497a-827c-4298-b735-8cb2fbdb1e50)
)
(hierarchical_label "R~{RAS}" (shape input) (at 166.37 101.6 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 19a0ed14-2919-4cc0-8291-e3f3c027809f)
)
(hierarchical_label "R~{CS}" (shape input) (at 166.37 93.98 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 19ba27b6-36ec-4b3e-a04f-f094b470b6c9)
)
(hierarchical_label "R~{WE}" (shape input) (at 166.37 96.52 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3828eba8-5cc3-4e6f-aa88-86226ac16eee)
)
(hierarchical_label "RA[11..0]" (shape input) (at 129.54 104.14 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5c71d7db-001d-4d19-93dc-94bf9d2f7844)
)
(hierarchical_label "RBA[1..0]" (shape input) (at 129.54 101.6 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5e457c28-4b42-4c36-932b-77d785b3c2c4)
)
(hierarchical_label "FW3" (shape input) (at 198.12 77.47 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 77e14524-aa07-46e4-89a6-d38b43a22bff)
)
(hierarchical_label "R~{CAS}" (shape input) (at 166.37 99.06 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 814bdcfd-16e7-487d-ad1f-d2d5d9ddbb01)
)
(hierarchical_label "RD[7..0]" (shape bidirectional) (at 128.27 106.68 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 8c2972d3-7315-40a7-ba70-f8ebd2a0d8a3)
)
(hierarchical_label "FW4" (shape input) (at 198.12 83.82 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 90b7d7b8-9ad8-470e-b740-ce53bdb00f84)
)
(hierarchical_label "RCKE" (shape input) (at 140.97 91.44 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 98956f39-9e58-46c7-b769-1e1e497c345f)
)
(hierarchical_label "FW0" (shape input) (at 198.12 58.42 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9be85f01-4dd2-456a-9663-fc80b118a824)
)
(hierarchical_label "FW2" (shape input) (at 198.12 71.12 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a6f7eac0-c172-4fc0-acd3-33e302c68b85)
)
(hierarchical_label "RDQMH" (shape input) (at 166.37 86.36 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid a90f2d4f-4f78-4c51-995e-1061118fc700)
)
(hierarchical_label "RDQML" (shape input) (at 166.37 83.82 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cb54609e-2263-4ecd-9aa2-bafcd0a81a0c)
)
(hierarchical_label "FW1" (shape input) (at 198.12 64.77 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f95c4b0f-f0e3-4940-a61f-c60800875efc)
)
(symbol (lib_id "power:GND") (at 140.97 101.6 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 0bc3a4b8-1146-43c9-bccc-a550a293d667)
(property "Reference" "#PWR0152" (at 140.97 107.95 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 140.97 105.41 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 140.97 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 140.97 101.6 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid b2542552-3b7b-4906-9eb5-2dd31cbb9307))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "#PWR0152") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/c082edeb-b82e-45c2-a468-498c8453c44f"
(reference "#PWR045") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 195.58 64.77 90) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 10bfb3dc-5f16-4103-8809-3c4df8507640)
(property "Reference" "R14" (at 195.58 60.96 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "1k" (at 195.58 63.5 90)
(effects (font (size 1.27 1.27)) (justify top))
)
(property "Footprint" "" (at 195.58 64.77 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 195.58 64.77 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid df0031db-4bc8-4f92-ac61-65f1eafa7380))
(pin "2" (uuid cd8f1d2b-c34d-467c-ba10-8451c3c9fb3c))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "R14") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/c082edeb-b82e-45c2-a468-498c8453c44f"
(reference "R20") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 195.58 83.82 90) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 26db27f1-4347-46fa-81da-e5214779ef4c)
(property "Reference" "R14" (at 195.58 80.01 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "1k" (at 195.58 82.55 90)
(effects (font (size 1.27 1.27)) (justify top))
)
(property "Footprint" "" (at 195.58 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 195.58 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4bb2408a-d253-4613-a5e8-b46f439d1ca7))
(pin "2" (uuid 16c8901e-c830-47ed-afb2-a97b4a841e16))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "R14") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/c082edeb-b82e-45c2-a468-498c8453c44f"
(reference "R41") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 195.58 58.42 90) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 2842274d-e870-4549-b66a-6da604a7f52b)
(property "Reference" "R13" (at 195.58 54.61 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "1k" (at 195.58 57.15 90)
(effects (font (size 1.27 1.27)) (justify top))
)
(property "Footprint" "" (at 195.58 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 195.58 58.42 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ec2d7b04-446c-4294-88f7-277e838e2380))
(pin "2" (uuid 8f8fba0f-3bfd-4de2-9b30-25e29efa71e2))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "R13") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/c082edeb-b82e-45c2-a468-498c8453c44f"
(reference "R19") (unit 1)
)
)
)
)
(symbol (lib_id "GW_RAM:SDRAM-16Mx16-TSOP2-54") (at 153.67 68.58 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 428d97c4-168b-427d-94ff-e0348f13b336)
(property "Reference" "U2" (at 153.67 39.37 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "W9825" (at 153.67 68.58 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (at 153.67 110.49 0)
(effects (font (size 1.27 1.27) italic) hide)
)
(property "Datasheet" "" (at 153.67 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC Part" "C62246" (at 153.67 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid bb52afa1-287c-4aa3-b104-24af5c8c669a))
(pin "10" (uuid ceb93012-62c0-401e-bcec-5644fbd320e8))
(pin "11" (uuid b24039fe-cce7-4af7-9eab-2182ccb4ef28))
(pin "12" (uuid 3a5fe157-1af4-4819-84f7-0f76c1cc2dc6))
(pin "13" (uuid 18ab0bd4-27cc-4bd7-bacf-f65b838d3814))
(pin "14" (uuid 80526b7f-ff3e-4474-b4db-a60405b68a62))
(pin "15" (uuid f742a30b-d649-43e8-9023-62ae85589a13))
(pin "16" (uuid 7988ae83-1b41-46c9-8e06-19e86aaf00b5))
(pin "17" (uuid 420acec6-3cc1-4d24-a5eb-1c71fdf5f422))
(pin "18" (uuid b4f8c6d4-b8ec-4237-a43a-71b9a084f2da))
(pin "19" (uuid e18031a5-e2ef-46aa-9a31-68044234dc4a))
(pin "2" (uuid eb123b8f-3c89-44ed-a17e-e8a0c8364580))
(pin "20" (uuid dabd1917-b760-4dd1-8f8e-9dc617cca2d6))
(pin "21" (uuid 6facfdb7-8b1d-416a-8da7-8777fd5df29d))
(pin "22" (uuid f214b8d3-dbbf-49ba-b82e-b68a01080fb8))
(pin "23" (uuid 43edaa97-4c94-4935-b2ca-8fb97e34b184))
(pin "24" (uuid a73590cf-e6fd-4c84-89bb-9258f2809b49))
(pin "25" (uuid 0e8c7ebc-7d90-4302-82cb-b59523872d59))
(pin "26" (uuid c7c40fe3-3116-4e85-adb1-7349c4fe85dd))
(pin "27" (uuid e2febfe7-722f-42ce-b102-1624ae7cf4df))
(pin "28" (uuid 818f356c-aed7-48aa-8c30-9a0fb6cd6e8b))
(pin "29" (uuid 5b25ab6b-b653-42ca-b845-dcd426a83efa))
(pin "3" (uuid 9755f33c-c661-448c-8783-5b28a66a3697))
(pin "30" (uuid 2116b83d-867b-4f39-99bf-a62f2f559460))
(pin "31" (uuid 87587cdf-e714-438d-b723-aec78a5566da))
(pin "32" (uuid e8d582fc-4152-4dde-bc96-2757d94b5bc3))
(pin "33" (uuid 7fbce7d1-f1f3-4c6c-a8c8-0caedc00483d))
(pin "34" (uuid 181bc7d3-68f8-446f-9367-4798ba7c9216))
(pin "35" (uuid fa4b3ec8-d9d2-43f0-9bf7-6a6e2338056f))
(pin "36" (uuid 621420a9-294c-4414-9100-37f0f103323e))
(pin "37" (uuid db65cdc9-03ec-4ece-bf01-4427aa5ef02d))
(pin "38" (uuid 496ed156-dc95-4a84-9270-41d0c35b206a))
(pin "39" (uuid 29df5b61-da23-4f9e-bc52-92e9ef149ae5))
(pin "4" (uuid 7d0cf38d-f614-4443-b586-4fd4e0df47b1))
(pin "41" (uuid 3d5357d5-fe75-48ee-83e1-4b21d5837c21))
(pin "42" (uuid 70ab2e2f-0ff0-46a3-a560-cffdbe53459c))
(pin "43" (uuid 88213260-a28b-4d90-a66b-9adf45652b53))
(pin "44" (uuid b5ded6aa-9d32-4ef9-93a9-760c15606194))
(pin "45" (uuid 706f67f8-53a3-43c3-948f-5c34f4afc3e7))
(pin "46" (uuid 2c8a9984-c98b-4a54-b9e3-89a8ff894a75))
(pin "47" (uuid ac410b0f-54dd-484f-9d68-ed9842d0db93))
(pin "48" (uuid 5eeda373-6f3e-4181-b1db-625429e281b5))
(pin "49" (uuid 57fb1466-6495-48fc-9753-40c54419b237))
(pin "5" (uuid 15b70d56-8139-4ea3-85bc-2a9995124f60))
(pin "50" (uuid f1bb3f8f-7e8f-4754-93c6-b97ce7bc4392))
(pin "51" (uuid 09d66e5c-f356-4ba8-8417-1211376b2776))
(pin "52" (uuid c52b9dd0-80d5-4384-804f-b9896e124b7a))
(pin "53" (uuid 9acf3a47-5c16-496b-a5d9-19c7bf10951a))
(pin "54" (uuid 4fa78a72-03ea-473a-a790-2d9afaccaf2d))
(pin "6" (uuid f63afcc4-4328-4bcd-9136-ca2dd2a873d6))
(pin "7" (uuid d929dff5-fdc4-4cb2-955e-a9ea0f534b4e))
(pin "8" (uuid 84ed9f98-df75-4dad-ba18-355b15074bb5))
(pin "9" (uuid e0a3ff89-f9e0-43ce-a75a-e2bc5e27a8c3))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "U2") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/c082edeb-b82e-45c2-a468-498c8453c44f"
(reference "U2") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 140.97 43.18 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 5609224d-98e0-4947-a976-70546db66e50)
(property "Reference" "#PWR0151" (at 140.97 46.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 140.97 39.37 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 140.97 43.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 140.97 43.18 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8a248076-fbed-4553-970c-227d1205c493))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "#PWR0151") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/c082edeb-b82e-45c2-a468-498c8453c44f"
(reference "#PWR044") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 195.58 71.12 90) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 5972fef0-cf04-48bc-9171-6263f8abf4d2)
(property "Reference" "R13" (at 195.58 67.31 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "1k" (at 195.58 69.85 90)
(effects (font (size 1.27 1.27)) (justify top))
)
(property "Footprint" "" (at 195.58 71.12 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 195.58 71.12 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid cb14285e-f412-4c22-babf-7d0241a380ff))
(pin "2" (uuid 86512dd0-087c-48ee-86ea-e07ba649936e))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "R13") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/c082edeb-b82e-45c2-a468-498c8453c44f"
(reference "R37") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 195.58 77.47 90) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid d1e0666f-b6d8-4c9e-94b3-4c724d6488d4)
(property "Reference" "R14" (at 195.58 73.66 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "1k" (at 195.58 76.2 90)
(effects (font (size 1.27 1.27)) (justify top))
)
(property "Footprint" "" (at 195.58 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 195.58 77.47 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5f6954c7-c237-4a9c-9d32-442d39f61b19))
(pin "2" (uuid 46695624-1079-45ca-be8a-83569f3fdd23))
(instances
(project "GR8RAM"
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3"
(reference "R14") (unit 1)
)
(path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3/c082edeb-b82e-45c2-a468-498c8453c44f"
(reference "R38") (unit 1)
)
)
)
)
)

View File

@ -1,30 +0,0 @@
# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2013 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, Altera MegaCore Function License
# Agreement, or other applicable license agreement, including,
# without limitation, that your use is for the sole purpose of
# programming logic devices manufactured by Altera and sold by
# Altera or its authorized distributors. Please refer to the
# applicable agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II 32-bit
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
# Date created = 13:41:40 March 15, 2021
#
# -------------------------------------------------------------------------- #
QUARTUS_VERSION = "13.0"
DATE = "13:41:40 March 15, 2021"
# Revisions
PROJECT_REVISION = "GR8RAM"

View File

@ -1,272 +0,0 @@
# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2013 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, Altera MegaCore Function License
# Agreement, or other applicable license agreement, including,
# without limitation, that your use is for the sole purpose of
# programming logic devices manufactured by Altera and sold by
# Altera or its authorized distributors. Please refer to the
# applicable agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II 32-bit
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
# Date created = 13:41:40 March 15, 2021
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
# GR8RAM_assignment_defaults.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #
set_global_assignment -name FAMILY "MAX II"
set_global_assignment -name DEVICE EPM240T100C5
set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:41:40 MARCH 15, 2021"
set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1"
set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE AREA
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
set_global_assignment -name SAFE_STATE_MACHINE OFF
set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS ON
set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ALWAYS
set_global_assignment -name AUTO_RESOURCE_SHARING ON
set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 2.0
set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 2.0
set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION ALWAYS
set_global_assignment -name ALM_REGISTER_PACKING_EFFORT HIGH
set_global_assignment -name MUX_RESTRUCTURE ON
set_global_assignment -name STATE_MACHINE_PROCESSING "MINIMAL BITS"
set_global_assignment -name SYNTHESIS_SEED 123
set_global_assignment -name SEED 235
set_global_assignment -name AUTO_PACKED_REGISTERS_MAX "MINIMIZE AREA"
set_global_assignment -name ROUTER_REGISTER_DUPLICATION OFF
set_global_assignment -name VERILOG_FILE GR8RAM.v
set_location_assignment PIN_1 -to RA[4]
set_location_assignment PIN_2 -to RA[5]
set_location_assignment PIN_3 -to RA[6]
set_location_assignment PIN_4 -to RA[3]
set_location_assignment PIN_5 -to nFCS
set_location_assignment PIN_6 -to RA[7]
set_location_assignment PIN_7 -to RA[8]
set_location_assignment PIN_8 -to RA[9]
set_location_assignment PIN_12 -to FCK
set_location_assignment PIN_14 -to RA[10]
set_location_assignment PIN_15 -to MOSI
set_location_assignment PIN_16 -to MISO
set_location_assignment PIN_30 -to nRESout
set_location_assignment PIN_34 -to RA[11]
set_location_assignment PIN_35 -to RA[12]
set_location_assignment PIN_36 -to RA[13]
set_location_assignment PIN_37 -to RA[14]
set_location_assignment PIN_38 -to RA[15]
set_location_assignment PIN_39 -to nIOSEL
set_location_assignment PIN_42 -to nIOSTRB
set_location_assignment PIN_40 -to nDEVSEL
set_location_assignment PIN_41 -to PHI0
set_location_assignment PIN_43 -to nWE
set_location_assignment PIN_44 -to nRES
set_location_assignment PIN_47 -to SD[1]
set_location_assignment PIN_50 -to SD[0]
set_location_assignment PIN_51 -to SD[4]
set_location_assignment PIN_100 -to RA[0]
set_location_assignment PIN_99 -to RD[7]
set_location_assignment PIN_52 -to SD[5]
set_location_assignment PIN_54 -to SD[7]
set_location_assignment PIN_55 -to SD[3]
set_location_assignment PIN_56 -to SD[2]
set_location_assignment PIN_53 -to SD[6]
set_location_assignment PIN_57 -to DQMH
set_location_assignment PIN_58 -to nSWE
set_location_assignment PIN_62 -to nRAS
set_location_assignment PIN_61 -to nCAS
set_location_assignment PIN_64 -to C25M
set_location_assignment PIN_66 -to RCKE
set_location_assignment PIN_67 -to nRCS
set_location_assignment PIN_68 -to SA[12]
set_location_assignment PIN_69 -to SBA[0]
set_location_assignment PIN_70 -to SA[11]
set_location_assignment PIN_71 -to SBA[1]
set_location_assignment PIN_72 -to SA[9]
set_location_assignment PIN_73 -to SA[10]
set_location_assignment PIN_74 -to SA[8]
set_location_assignment PIN_75 -to SA[0]
set_location_assignment PIN_76 -to SA[4]
set_location_assignment PIN_77 -to SA[6]
set_location_assignment PIN_78 -to SA[7]
set_location_assignment PIN_81 -to SA[1]
set_location_assignment PIN_82 -to SA[2]
set_location_assignment PIN_83 -to SA[5]
set_location_assignment PIN_84 -to SA[3]
set_location_assignment PIN_85 -to DQML
set_location_assignment PIN_86 -to RD[0]
set_location_assignment PIN_87 -to RD[1]
set_location_assignment PIN_88 -to RD[2]
set_location_assignment PIN_89 -to RD[3]
set_location_assignment PIN_90 -to RD[4]
set_location_assignment PIN_91 -to RD[5]
set_location_assignment PIN_92 -to RD[6]
set_location_assignment PIN_97 -to RA[2]
set_location_assignment PIN_98 -to RA[1]
set_location_assignment PIN_96 -to SetFW[0]
set_location_assignment PIN_95 -to SetFW[1]
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1
set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nFCS
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nFCS
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to FCK
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to FCK
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MOSI
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MOSI
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MISO
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MISO
set_location_assignment PIN_21 -to nDMAout
set_location_assignment PIN_19 -to RAdir
set_location_assignment PIN_20 -to INTout
set_location_assignment PIN_26 -to nNMIout
set_location_assignment PIN_27 -to nINHout
set_location_assignment PIN_28 -to nRDYout
set_location_assignment PIN_29 -to nIRQout
set_location_assignment PIN_33 -to RWout
set_location_assignment PIN_48 -to DMAin
set_location_assignment PIN_49 -to INTin
set_location_assignment PIN_17 -to RDdir
set_location_assignment PIN_18 -to DMAout
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD
set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAdir
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RAdir
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RAdir
set_instance_assignment -name SLOW_SLEW_RATE ON -to RAdir
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RAdir
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RDdir
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RDdir
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RDdir
set_instance_assignment -name SLOW_SLEW_RATE ON -to RDdir
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RDdir
set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to PHI0
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to PHI0
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to PHI0
set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nWE
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nWE
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nWE
set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nDEVSEL
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nDEVSEL
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nDEVSEL
set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nIOSEL
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSEL
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSEL
set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nIOSTRB
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSTRB
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSTRB
set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nRES
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRES
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRES
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRESout
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nRESout
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRESout
set_instance_assignment -name SLOW_SLEW_RATE ON -to nRESout
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRESout
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nFCS
set_instance_assignment -name SLOW_SLEW_RATE ON -to nFCS
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nFCS
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FCK
set_instance_assignment -name SLOW_SLEW_RATE ON -to FCK
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to FCK
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MOSI
set_instance_assignment -name SLOW_SLEW_RATE ON -to MOSI
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MOSI
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MISO
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to C25M
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to C25M
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to C25M
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRCS
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRCS
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRCS
set_instance_assignment -name SLOW_SLEW_RATE ON -to nRCS
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRCS
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRAS
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRAS
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRAS
set_instance_assignment -name SLOW_SLEW_RATE ON -to nRAS
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRAS
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nCAS
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCAS
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nCAS
set_instance_assignment -name SLOW_SLEW_RATE ON -to nCAS
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCAS
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nSWE
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nSWE
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nSWE
set_instance_assignment -name SLOW_SLEW_RATE ON -to nSWE
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nSWE
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RCKE
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RCKE
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RCKE
set_instance_assignment -name SLOW_SLEW_RATE ON -to RCKE
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RCKE
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SBA
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SBA
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SBA
set_instance_assignment -name SLOW_SLEW_RATE ON -to SBA
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SBA
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA
set_instance_assignment -name SLOW_SLEW_RATE ON -to SA
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQMH
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQMH
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQMH
set_instance_assignment -name SLOW_SLEW_RATE ON -to DQMH
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQMH
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQML
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQML
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQML
set_instance_assignment -name SLOW_SLEW_RATE ON -to DQML
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQML
set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SetFW
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SetFW
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SetFW
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SD
set_instance_assignment -name SLOW_SLEW_RATE ON -to SD
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SD
set_global_assignment -name SDC_FILE GR8RAM.sdc

View File

@ -1,3 +0,0 @@
create_clock -period 40 [get_ports C25M]
create_clock -period 978 [get_ports PHI0]
set_clock_groups -asynchronous -group C25M -group PHI0

View File

@ -1,558 +0,0 @@
module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW,
INTin, INTout, DMAin, DMAout,
nNMIout, nIRQout, nRDYout, nINHout, RWout, nDMAout,
RA, nWE, RD, RAdir, RDdir, nIOSEL, nDEVSEL, nIOSTRB,
SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD,
nFCS, FCK, MISO, MOSI);
/* Clock signals */
input C25M, PHI0;
reg PHI0r1, PHI0r2;
always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end
/* Reset synchronization */
input nRES; reg nRESr = 0;
always @(posedge C25M) begin
if (PS==15) nRESr <= nRES;
end
/* Firmware select */
input [1:0] SetFW;
wire [1:0] SetROM = ~SetFW[1:0];
wire SetENRestore = SetROM[1:0]==1'b11;
wire SetEN24bit = SetROM[1];
/* State counter from PHI0 rising edge */
reg [3:0] PS = 0;
wire PSStart = PS==0 && PHI0r1 && !PHI0r2;
always @(posedge C25M) begin
if (PSStart) PS <= 1;
else if (PS==0) PS <= 0;
else PS <= PS+1;
end
/* Long state counter: counts from 0 to $3FFF */
reg [13:0] LS = 0;
always @(posedge C25M) begin if (PS==15) LS <= LS+1; end
/* Init state */
output reg nRESout = 0;
reg [2:0] IS = 0;
always @(posedge C25M) begin
if (IS==7) nRESout <= 1;
else if (PS==15) begin
if (LS==14'h1FCE) IS <= 1; // PC all + load mode
else if (LS==14'h1FCF) IS <= 4; // AREF pause, SPI select
else if (LS==14'h1FFA) IS <= 5; // SPI flash command
else if (LS==14'h1FFF) IS <= 6; // Flash load driver
else if (LS==14'h3FFF) IS <= 7; // Operating mode
end
end
/* Apple IO area select signals */
input nIOSEL, nDEVSEL, nIOSTRB;
/* Apple address bus */
input [15:0] RA; reg CXXXr;
input nWE;
always @(posedge PHI0) CXXXr <= RA[15:12]==4'hC;
/* Apple select signals */
wire RAMExists = (!SetEN24bit || !Addr[23] || Addr[22] || Addr[21]);
wire BankSEL = REGEN && !nDEVSEL && RA[3:0]==4'hF;
wire SPITX1SEL = REGEN && !nDEVSEL && RA[3:0]==4'hD;
wire SPITX0SEL = REGEN && !nDEVSEL && RA[3:0]==4'hC;
wire RAMSEL = REGEN && !nDEVSEL && RA[3:0]==4'h3;
wire AddrHSEL = REGEN && !nDEVSEL && RA[3:0]==4'h2;
wire AddrMSEL = REGEN && !nDEVSEL && RA[3:0]==4'h1;
wire AddrLSEL = REGEN && !nDEVSEL && RA[3:0]==4'h0;
/* IOROMEN control */
reg IOROMEN = 0;
wire IOROMRES = !nIOSTRB && RA[10:0]==11'h7FF;
always @(posedge C25M, posedge IOROMRES) begin
if (IOROMRES) IOROMEN <= 0;
else if (!nRESr) IOROMEN <= 0;
else if (!nIOSEL) IOROMEN <= 1;
end
/* REGEN control */
reg REGEN = 0;
always @(posedge C25M, negedge nRESr) begin
if (!nRESr) REGEN <= 0;
else if (PS==8 && !nIOSEL) REGEN <= 1;
end
/* Apple data bus */
inout [7:0] RD = RDdir ? 8'bZ : RDD[7:0];
reg [7:0] RDD;
output RDdir = !(PHI0r2 && nWE && PHI0 &&
((!nDEVSEL && RAMExists) || !nIOSEL || (!nIOSTRB && IOROMEN)));
/* Slinky address registers */
reg [23:0] Addr = 0;
reg AddrIncL = 0;
reg AddrIncM = 0;
reg AddrIncH = 0;
always @(posedge C25M, negedge nRESr) begin
if (!nRESr) begin
Addr[23:0] <= 24'h000000;
AddrIncL <= 0;
AddrIncM <= 0;
AddrIncH <= 0;
end else begin
if (PS==8 && RAMSEL) AddrIncL <= 1;
else AddrIncL <= 0;
if (PS==8 && AddrLSEL && !nWE) begin
Addr[7:0] <= RD[7:0];
AddrIncM <= Addr[7] && !RD[7];
end else if (AddrIncL) begin
Addr[7:0] <= Addr[7:0]+1;
AddrIncM <= Addr[7:0]==8'hFF;
end else AddrIncM <= 0;
if (PS==8 && AddrMSEL && !nWE) begin
Addr[15:8] <= RD[7:0];
AddrIncH <= Addr[15] && !RD[7];
end else if (AddrIncM) begin
Addr[15:8] <= Addr[15:8]+1;
AddrIncH <= Addr[15:8]==8'hFF;
end else AddrIncH <= 0;
if (PS==8 && AddrHSEL && !nWE) begin
Addr[23:16] <= RD[7:0];
end else if (AddrIncH) begin
Addr[23:16] <= Addr[23:16]+1;
end
end
end
/* ROM bank register */
reg Bank;
always @(posedge C25M, negedge nRESr) begin
if (!nRESr) Bank <= 0;
else if (PS==8 && BankSEL && !nWE) begin
Bank <= RD[0];
end
end
/* Restore state */
reg RestoreDone = 0;
always @(posedge C25M) begin
if (!SetENRestore) RestoreDone <= 1;
else if (PS==8 && BankSEL && !nWE) begin
if (RD[1:0]==2'b11) RestoreDone <= 1;
end
end
/* SPI flash control signals */
output reg nFCS = 1;
output FCK = FCKout;
reg FCKout = 0;
inout MOSI = MOSIOE ? MOSIout : 1'bZ;
reg MOSIOE = 0;
input MISO;
always @(posedge C25M) begin
case (PS[3:0])
0: begin // NOP CKE
FCKout <= 1'b1;
end 1: begin // ACT
FCKout <= !(IS==5 || IS==6);
end 2: begin // RD
FCKout <= 1'b1;
end 3: begin // NOP CKE
FCKout <= !(IS==5 || IS==6);
end 4: begin // NOP CKE
FCKout <= 1'b1;
end 5: begin // NOP CKE
FCKout <= !(IS==5 || IS==6);
end 6: begin // NOP CKE
FCKout <= 1'b1;
end 7: begin // NOP CKE
FCKout <= !(IS==5 || IS==6 || (!RestoreDone && SetENRestore && (SPITX0SEL || SPITX1SEL)));
end 8: begin // WR AP
FCKout <= 1'b1;
end 9: begin // NOP CKE
FCKout <= !(IS==5);
end 10: begin // PC all
FCKout <= 1'b1;
end 11: begin // AREF
FCKout <= !(IS==5);
end 12: begin // NOP CKE
FCKout <= 1'b1;
end 13: begin // NOP CKE
FCKout <= !(IS==5);
end 14: begin // NOP CKE
FCKout <= 1'b1;
end 15: begin // NOP CKE
FCKout <= !(IS==5);
end
endcase
nFCS <= !(IS==4 || IS==5 || IS==6);
MOSIOE <= IS==5;
end
/* SPI flash MOSI control */
reg MOSIout = 0;
always @(posedge C25M) begin
case (PS[3:0])
1: begin
case (LS[2:0])
3'h3: MOSIout <= 1'b0; // Command bit 7
3'h4: MOSIout <= 1'b0; // Address bit 23
3'h5: MOSIout <= 1'b0; // Address bit 15
3'h6: MOSIout <= 1'b0; // Address bit 7
default MOSIout <= 1'b0;
endcase
end 3: begin
case (LS[2:0])
3'h3: MOSIout <= 1'b0; // Command bit 6
3'h4: MOSIout <= 1'b0; // Address bit 22
3'h5: MOSIout <= SetROM[1]; // Address bit 14
3'h6: MOSIout <= 1'b0; // Address bit 6
default MOSIout <= 1'b0;
endcase
end 5: begin
case (LS[2:0])
3'h3: MOSIout <= 1'b1; // Command bit 5
3'h4: MOSIout <= 1'b0; // Address bit 21
3'h5: MOSIout <= SetROM[0]; // Address bit 13
3'h6: MOSIout <= 1'b0; // Address bit 5
default MOSIout <= 1'b0;
endcase
end 7: begin
if (nRESout) case (LS[2:0])
3'h3: MOSIout <= 1'b1; // Command bit 4
3'h4: MOSIout <= 1'b0; // Address bit 20
3'h5: MOSIout <= 1'b0; // Address bit 12
3'h6: MOSIout <= 1'b0; // Address bit 4
default MOSIout <= 1'b0;
endcase else MOSIout <= RA[0];
end 9: begin
case (LS[2:0])
3'h3: MOSIout <= 1'b1; // Command bit 3
3'h4: MOSIout <= 1'b0; // Address bit 19
3'h5: MOSIout <= 1'b0; // Address bit 11
3'h6: MOSIout <= 1'b0; // Address bit 3
default MOSIout <= 1'b0;
endcase
end 11: begin
case (LS[2:0])
3'h3: MOSIout <= 1'b0; // Command bit 2
3'h4: MOSIout <= 1'b0; // Address bit 18
3'h5: MOSIout <= 1'b0; // Address bit 10
3'h6: MOSIout <= 1'b0; // Address bit 2
default MOSIout <= 1'b0;
endcase
end 13: begin
case (LS[2:0])
3'h3: MOSIout <= 1'b1; // Command bit 1
3'h4: MOSIout <= 1'b0; // Address bit 16
3'h5: MOSIout <= 1'b0; // Address bit 9
3'h6: MOSIout <= 1'b0; // Address bit 1
default MOSIout <= 1'b0;
endcase
end 15: begin
case (LS[2:0])
3'h3: MOSIout <= 1'b1; // Command bit 0
3'h4: MOSIout <= 1'b0; // Address bit 15
3'h5: MOSIout <= 1'b0; // Address bit 7
3'h6: MOSIout <= 1'b0; // Address bit 0
default MOSIout <= 1'b0;
endcase
end
endcase
end
/* SDRAM data bus */
inout [7:0] SD = SDOE ? WRD[7:0] : 8'bZ;
reg [7:0] WRD;
reg SDOE = 0;
always @(posedge C25M) begin
case (PS[3:0])
0: begin // NOP CKE
if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI };
else WRD[7:0] <= RD[7:0];
end 1: begin // ACT
end 2: begin // RD
if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI };
else WRD[7:0] <= RD[7:0];
end 3: begin // NOP CKE
end 4: begin // NOP CKE
if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI };
else WRD[7:0] <= RD[7:0];
end 5: begin // NOP CKE
end 6: begin // NOP CKE
if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI };
else WRD[7:0] <= RD[7:0];
end 7: begin // NOP CKE
end 8: begin // WR AP
if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI };
else WRD[7:0] <= RD[7:0];
end 9: begin // NOP CKE
end 10: begin // PC all
if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI };
else WRD[7:0] <= RD[7:0];
end 11: begin // AREF
end 12: begin // NOP CKE
if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI };
else WRD[7:0] <= RD[7:0];
end 13: begin // NOP CKE
end 14: begin // NOP CKE
if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI };
else WRD[7:0] <= RD[7:0];
end 15: begin // NOP CKE
end
endcase
end
/* Apple data bus from SDRAM */
always @(negedge C25M) begin
if (PS==5) begin
if (!nDEVSEL) case (RA[3:0])
4'h0: RDD[7:0] <= Addr[7:0];
4'h1: RDD[7:0] <= Addr[15:8];
4'h2: RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] };
4'h3: RDD[7:0] <= SD[7:0];
4'hF: RDD[7:0] <= { MISO, SD[6:0] };
default: RDD[7:0] <= SD[7:0];
endcase else RDD[7:0] <= SD[7:0];
end
end
/* SDRAM command */
output reg RCKE = 1;
output reg nRCS = 1;
output reg nRAS = 1;
output reg nCAS = 1;
output reg nSWE = 1;
wire RefReqd = LS[1:0] == 2'b11;
always @(posedge C25M) begin
case (PS[3:0])
0: begin // NOP CKE / NOP CKD
RCKE <= PSStart && (IS==6 || (IS==7 && CXXXr));
nRCS <= 1;
nRAS <= 1;
nCAS <= 1;
nSWE <= 1;
SDOE <= 0;
end 1: begin // ACT CKE / NOP CKD (ACT)
RCKE <= RCKE;
nRCS <= !RCKE;
nRAS <= 0;
nCAS <= 1;
nSWE <= 1;
SDOE <= 0;
end 2: begin // RD CKE / NOP CKD (RD)
RCKE <= RCKE;
nRCS <= !RCKE;
nRAS <= 1;
nCAS <= 0;
nSWE <= 1;
SDOE <= 0;
end 3: begin // NOP CKE / CKD
RCKE <= RCKE;
nRCS <= 1;
nRAS <= 1;
nCAS <= 1;
nSWE <= 1;
SDOE <= 0;
end 4: begin // NOP CKD
RCKE <= 0;
nRCS <= 1;
nRAS <= 1;
nCAS <= 1;
nSWE <= 1;
SDOE <= 0;
end 5: begin // NOP CKD
RCKE <= 0;
nRCS <= 1;
nRAS <= 1;
nCAS <= 1;
nSWE <= 1;
SDOE <= 0;
end 6: begin // NOP CKD
RCKE <= 0;
nRCS <= 1;
nRAS <= 1;
nCAS <= 1;
nSWE <= 1;
SDOE <= 0;
end 7: begin // NOP CKE / CKD
RCKE <= IS==6 || (RAMSEL && !nWE && IS==7);
nRCS <= 1;
nRAS <= 1;
nCAS <= 1;
nSWE <= 1;
SDOE <= 0;
end 8: begin // WR AP CKE / NOP CKD (WR AP)
RCKE <= RCKE;
nRCS <= !RCKE;
nRAS <= 1;
nCAS <= 0;
nSWE <= 0;
SDOE <= RCKE;
end 9: begin // NOP CKE / NOP CKD
RCKE <= 1;
nRCS <= 1;
nRAS <= 1;
nCAS <= 1;
nSWE <= 1;
SDOE <= 0;
end 10: begin // PC all CKE / PC all CKD
RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd);
nRCS <= 0;
nRAS <= 0;
nCAS <= 1;
nSWE <= 0;
SDOE <= 0;
end 11: begin // LDM CKE / AREF CKE / NOP CKD
RCKE <= RCKE;
nRCS <= !RCKE;
nRAS <= 0;
nCAS <= 0;
nSWE <= !(IS==1);
SDOE <= 0;
end default: begin // NOP CKD
RCKE <= 0;
nRCS <= 1;
nRAS <= 1;
nCAS <= 1;
nSWE <= 1;
SDOE <= 0;
end
endcase
end
/* SDRAM address */
output reg DQML = 1;
output reg DQMH = 1;
output reg [1:0] SBA;
output reg [12:0] SA;
always @(posedge C25M) begin
case (PS[3:0])
0: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 1: begin // ACT
DQML <= 1'b1;
DQMH <= 1'b1;
if (IS==6) begin
SBA[1:0] <= 2'b10;
SA[12:0] <= { 10'b0011000100, LS[12:10] };
end else if (nIOSEL && nIOSTRB) begin
SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 };
SA[12:10] <= SetEN24bit ? { Addr[23], Addr[21:20] } : 3'b000;
SA[9:0] <= Addr[19:10];
end else if (!nIOSTRB) begin
SBA[1:0] <= 2'b10;
SA[12:0] <= { 10'b0011000100, Bank, 1'b1, RA[10] };
end else begin // IOSEL
SBA[1:0] <= 2'b10;
SA[12:0] <= { 10'b0011000100, !RestoreDone, 1'b0, RA[10] };
end
end 2: begin // RD
if (nIOSEL && nIOSTRB) begin
SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 };
SA[12:0] <= { 4'b0011, Addr[9:1] };
DQML <= Addr[0];
DQMH <= !Addr[0];
end else begin
SBA[1:0] <= 2'b10;
SA[12:0] <= { 4'b0011, RA[9:1]};
DQML <= RA[0];
DQMH <= !RA[0];
end
end 3: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 4: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 5: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 6: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 7: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 8: begin // WR AP
if (IS==6) begin
SBA[1:0] <= 2'b10;
SA[12:0] <= { 4'b0011, LS[9:1] };
DQML <= LS[0];
DQMH <= !LS[0];
end else begin
SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 };
SA[12:0] <= { 4'b0011, Addr[9:1] };
DQML <= Addr[0];
DQMH <= !Addr[0];
end
end 9: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 10: begin // PC all
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 11: begin // AREF / load mode
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0001000100000;
end 12: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 13: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 14: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end 15: begin // NOP CKE
DQML <= 1'b1;
DQMH <= 1'b1;
SBA[1:0] <= 2'b00;
SA[12:0] <= 13'b0011000100000;
end
endcase
end
/* DMA/INT in/out */
input INTin, DMAin;
output INTout = INTin;
output DMAout = DMAin;
/* Unused Pins */
output RAdir = 1;
output nDMAout = 1;
output nNMIout = 1;
output nINHout = 1;
output nRDYout = 1;
output nIRQout = 1;
output RWout = 1;
endmodule

View File

@ -1,808 +0,0 @@
# -------------------------------------------------------------------------- #
#
# Copyright (C) 2019 Intel Corporation. All rights reserved.
# Your use of Intel Corporation's design tools, logic functions
# and other software and tools, and any partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Intel Program License
# Subscription Agreement, the Intel Quartus Prime License Agreement,
# the Intel FPGA IP License Agreement, or other applicable license
# agreement, including, without limitation, that your use is for
# the sole purpose of programming logic devices manufactured by
# Intel and sold by Intel or its authorized distributors. Please
# refer to the applicable agreement for further details, at
# https://fpgasoftware.intel.com/eula.
#
# -------------------------------------------------------------------------- #
#
# Quartus Prime
# Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
# Date created = 19:21:05 March 25, 2023
#
# -------------------------------------------------------------------------- #
#
# Note:
#
# 1) Do not modify this file. This file was generated
# automatically by the Quartus Prime software and is used
# to preserve global assignments across Quartus Prime versions.
#
# -------------------------------------------------------------------------- #
set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off
set_global_assignment -name IP_COMPONENT_INTERNAL Off
set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On
set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off
set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off
set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db
set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off
set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off
set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off
set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off
set_global_assignment -name HC_OUTPUT_DIR hc_output
set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off
set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off
set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On
set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off
set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings"
set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On
set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On
set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off
set_global_assignment -name REVISION_TYPE Base -family "Arria V"
set_global_assignment -name REVISION_TYPE Base -family "Stratix V"
set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ"
set_global_assignment -name REVISION_TYPE Base -family "Cyclone V"
set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle"
set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On
set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On
set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On
set_global_assignment -name DO_COMBINED_ANALYSIS Off
set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off
set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off
set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off
set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off
set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On
set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX"
set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V"
set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX"
set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V"
set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX"
set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V"
set_global_assignment -name OPTIMIZATION_MODE Balanced
set_global_assignment -name ALLOW_REGISTER_MERGING On
set_global_assignment -name ALLOW_REGISTER_DUPLICATION On
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX"
set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V"
set_global_assignment -name MUX_RESTRUCTURE Auto
set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off
set_global_assignment -name ENABLE_IP_DEBUG Off
set_global_assignment -name SAVE_DISK_SPACE On
set_global_assignment -name OCP_HW_EVAL -value OFF
set_global_assignment -name DEVICE_FILTER_PACKAGE Any
set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "<None>"
set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001
set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993
set_global_assignment -name FAMILY -value "Cyclone V"
set_global_assignment -name TRUE_WYSIWYG_FLOW Off
set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off
set_global_assignment -name STATE_MACHINE_PROCESSING Auto
set_global_assignment -name SAFE_STATE_MACHINE Off
set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On
set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On
set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off
set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000
set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250
set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On
set_global_assignment -name PARALLEL_SYNTHESIS On
set_global_assignment -name DSP_BLOCK_BALANCING Auto
set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)"
set_global_assignment -name NOT_GATE_PUSH_BACK On
set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On
set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off
set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On
set_global_assignment -name IGNORE_CARRY_BUFFERS Off
set_global_assignment -name IGNORE_CASCADE_BUFFERS Off
set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off
set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off
set_global_assignment -name IGNORE_LCELL_BUFFERS Off
set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO
set_global_assignment -name IGNORE_SOFT_BUFFERS On
set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off
set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off
set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On
set_global_assignment -name AUTO_GLOBAL_OE_MAX On
set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On
set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off
set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut
set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced
set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced
set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced
set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced
set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced
set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed
set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced
set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area
set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area
set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area
set_global_assignment -name ALLOW_XOR_GATE_USAGE On
set_global_assignment -name AUTO_LCELL_INSERTION On
set_global_assignment -name CARRY_CHAIN_LENGTH 48
set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32
set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32
set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48
set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70
set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70
set_global_assignment -name CASCADE_CHAIN_LENGTH 2
set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16
set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4
set_global_assignment -name AUTO_CARRY_CHAINS On
set_global_assignment -name AUTO_CASCADE_CHAINS On
set_global_assignment -name AUTO_PARALLEL_EXPANDERS On
set_global_assignment -name AUTO_OPEN_DRAIN_PINS On
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off
set_global_assignment -name AUTO_ROM_RECOGNITION On
set_global_assignment -name AUTO_RAM_RECOGNITION On
set_global_assignment -name AUTO_DSP_RECOGNITION On
set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto
set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto
set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On
set_global_assignment -name STRICT_RAM_RECOGNITION Off
set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On
set_global_assignment -name FORCE_SYNCH_CLEAR Off
set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On
set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off
set_global_assignment -name AUTO_RESOURCE_SHARING Off
set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off
set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off
set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off
set_global_assignment -name MAX7000_FANIN_PER_CELL 100
set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On
set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)"
set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)"
set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)"
set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off
set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V"
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX"
set_global_assignment -name REPORT_PARAMETER_SETTINGS On
set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On
set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On
set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX"
set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V"
set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation"
set_global_assignment -name HDL_MESSAGE_LEVEL Level2
set_global_assignment -name USE_HIGH_SPEED_ADDER Auto
set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100
set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000
set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000
set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off
set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000
set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100
set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On
set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off
set_global_assignment -name BLOCK_DESIGN_NAMING Auto
set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off
set_global_assignment -name SYNTHESIS_EFFORT Auto
set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On
set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off
set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium
set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V"
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX"
set_global_assignment -name MAX_LABS "-1 (Unlimited)"
set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On
set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)"
set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On
set_global_assignment -name PRPOF_ID Off
set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off
set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On
set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On
set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off
set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off
set_global_assignment -name AUTO_MERGE_PLLS On
set_global_assignment -name IGNORE_MODE_FOR_MERGE Off
set_global_assignment -name TXPMA_SLEW_RATE Low
set_global_assignment -name ADCE_ENABLED Auto
set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal
set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off
set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0
set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0
set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0
set_global_assignment -name PHYSICAL_SYNTHESIS Off
set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off
set_global_assignment -name DEVICE AUTO
set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off
set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off
set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On
set_global_assignment -name ENABLE_NCEO_OUTPUT Off
set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin"
set_global_assignment -name STRATIXIII_UPDATE_MODE Standard
set_global_assignment -name STRATIX_UPDATE_MODE Standard
set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image"
set_global_assignment -name CVP_MODE Off
set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V"
set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10"
set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V"
set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ"
set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V"
set_global_assignment -name VID_OPERATION_MODE "PMBus Slave"
set_global_assignment -name USE_CONF_DONE AUTO
set_global_assignment -name USE_PWRMGT_SCL AUTO
set_global_assignment -name USE_PWRMGT_SDA AUTO
set_global_assignment -name USE_PWRMGT_ALERT AUTO
set_global_assignment -name USE_INIT_DONE AUTO
set_global_assignment -name USE_CVP_CONFDONE AUTO
set_global_assignment -name USE_SEU_ERROR AUTO
set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial"
set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration"
set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial"
set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial"
set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial"
set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial"
set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial"
set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial"
set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial"
set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial"
set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial"
set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial"
set_global_assignment -name USER_START_UP_CLOCK Off
set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off
set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off
set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On
set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On
set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC
set_global_assignment -name ENABLE_VREFA_PIN Off
set_global_assignment -name ENABLE_VREFB_PIN Off
set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off
set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off
set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off
set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground"
set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off
set_global_assignment -name INIT_DONE_OPEN_DRAIN On
set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated"
set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated"
set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated"
set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO"
set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin"
set_global_assignment -name ENABLE_CONFIGURATION_PINS On
set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off
set_global_assignment -name ENABLE_NCE_PIN Off
set_global_assignment -name ENABLE_BOOT_SEL_PIN On
set_global_assignment -name CRC_ERROR_CHECKING Off
set_global_assignment -name INTERNAL_SCRUBBING Off
set_global_assignment -name PR_ERROR_OPEN_DRAIN On
set_global_assignment -name PR_READY_OPEN_DRAIN On
set_global_assignment -name ENABLE_CVP_CONFDONE Off
set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On
set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V"
set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V"
set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On
set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto
set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care
set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV"
set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10"
set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V"
set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ"
set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0
set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation"
set_global_assignment -name OPTIMIZE_SSN Off
set_global_assignment -name OPTIMIZE_TIMING "Normal compilation"
set_global_assignment -name ECO_OPTIMIZE_TIMING Off
set_global_assignment -name ECO_REGENERATE_REPORT Off
set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal
set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off
set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically
set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically
set_global_assignment -name SEED 1
set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF
set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off
set_global_assignment -name SLOW_SLEW_RATE Off
set_global_assignment -name PCI_IO Off
set_global_assignment -name TURBO_BIT On
set_global_assignment -name WEAK_PULL_UP_RESISTOR Off
set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off
set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off
set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On
set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto
set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto
set_global_assignment -name NORMAL_LCELL_INSERT On
set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX"
set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V"
set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF
set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off
set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off
set_global_assignment -name AUTO_TURBO_BIT ON
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off
set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off
set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On
set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off
set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off
set_global_assignment -name FITTER_EFFORT "Auto Fit"
set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal
set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto
set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto
set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off
set_global_assignment -name AUTO_GLOBAL_CLOCK On
set_global_assignment -name AUTO_GLOBAL_OE On
set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On
set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic
set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off
set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off
set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off
set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off
set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off
set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off
set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off
set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off
set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off
set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off
set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off
set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off
set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off
set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off
set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up"
set_global_assignment -name ENABLE_HOLD_BACK_OFF On
set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto
set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off
set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto
set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On
set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On
set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V"
set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP"
set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10"
set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E"
set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10"
set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V"
set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ"
set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V"
set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)"
set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)"
set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)"
set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)"
set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10"
set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V"
set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V"
set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX"
set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ"
set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V"
set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX"
set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off
set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On
set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off
set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off
set_global_assignment -name PR_DONE_OPEN_DRAIN On
set_global_assignment -name NCEO_OPEN_DRAIN On
set_global_assignment -name ENABLE_CRC_ERROR_PIN Off
set_global_assignment -name ENABLE_PR_PINS Off
set_global_assignment -name RESERVE_PR_PINS Off
set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off
set_global_assignment -name PR_PINS_OPEN_DRAIN Off
set_global_assignment -name CLAMPING_DIODE Off
set_global_assignment -name TRI_STATE_SPI_PINS Off
set_global_assignment -name UNUSED_TSD_PINS_GND Off
set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off
set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off
set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium
set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V"
set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV"
set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10"
set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V"
set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ"
set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V"
set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0
set_global_assignment -name SEU_FIT_REPORT Off
set_global_assignment -name HYPER_RETIMER Off -family "Arria 10"
set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1"
set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto
set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto
set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On
set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On
set_global_assignment -name EDA_SIMULATION_TOOL "<None>"
set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "<None>"
set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "<None>"
set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "<None>"
set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "<None>"
set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "<None>"
set_global_assignment -name EDA_BOARD_DESIGN_TOOL "<None>"
set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "<None>"
set_global_assignment -name EDA_RESYNTHESIS_TOOL "<None>"
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On
set_global_assignment -name COMPRESSION_MODE Off
set_global_assignment -name CLOCK_SOURCE Internal
set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz"
set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1
set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On
set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off
set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On
set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF
set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF
set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF
set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF
set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F
set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF
set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off
set_global_assignment -name USE_CHECKSUM_AS_USERCODE On
set_global_assignment -name SECURITY_BIT Off
set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP"
set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10"
set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E"
set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV"
set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V"
set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II"
set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX"
set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ"
set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX"
set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto
set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto
set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130"
set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000
set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000
set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000
set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000
set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000
set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000
set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000
set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000
set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery"
set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0
set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0
set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0
set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto
set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto
set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto
set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto
set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto
set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto
set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF
set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off
set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On
set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off
set_global_assignment -name GENERATE_TTF_FILE Off
set_global_assignment -name GENERATE_RBF_FILE Off
set_global_assignment -name GENERATE_HEX_FILE Off
set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0
set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal"
set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off
set_global_assignment -name AUTO_RESTART_CONFIGURATION On
set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off
set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off
set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On
set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V"
set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP"
set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10"
set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E"
set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10"
set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V"
set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ"
set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX"
set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX"
set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V"
set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF
set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off
set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off
set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off
set_global_assignment -name POR_SCHEME "Instant ON"
set_global_assignment -name EN_USER_IO_WEAK_PULLUP On
set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On
set_global_assignment -name POF_VERIFY_PROTECT Off
set_global_assignment -name ENABLE_SPI_MODE_CHECK Off
set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On
set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off
set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0
set_global_assignment -name GENERATE_PMSF_FILES On
set_global_assignment -name START_TIME 0ns
set_global_assignment -name SIMULATION_MODE TIMING
set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off
set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On
set_global_assignment -name SETUP_HOLD_DETECTION Off
set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off
set_global_assignment -name CHECK_OUTPUTS Off
set_global_assignment -name SIMULATION_COVERAGE On
set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On
set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On
set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On
set_global_assignment -name GLITCH_DETECTION Off
set_global_assignment -name GLITCH_INTERVAL 1ns
set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off
set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On
set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off
set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On
set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE
set_global_assignment -name SIMULATION_NETLIST_VIEWER Off
set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT
set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT
set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off
set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO
set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO
set_global_assignment -name DRC_TOP_FANOUT 50
set_global_assignment -name DRC_FANOUT_EXCEEDING 30
set_global_assignment -name DRC_GATED_CLOCK_FEED 30
set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY
set_global_assignment -name ENABLE_DRC_SETTINGS Off
set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25
set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10
set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30
set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2
set_global_assignment -name MERGE_HEX_FILE Off
set_global_assignment -name GENERATE_SVF_FILE Off
set_global_assignment -name GENERATE_ISC_FILE Off
set_global_assignment -name GENERATE_JAM_FILE Off
set_global_assignment -name GENERATE_JBC_FILE Off
set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On
set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off
set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off
set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off
set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off
set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On
set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off
set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state"
set_global_assignment -name HPS_EARLY_IO_RELEASE Off
set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off
set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off
set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5%
set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5%
set_global_assignment -name POWER_USE_PVA On
set_global_assignment -name POWER_USE_INPUT_FILE "No File"
set_global_assignment -name POWER_USE_INPUT_FILES Off
set_global_assignment -name POWER_VCD_FILTER_GLITCHES On
set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off
set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off
set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL
set_global_assignment -name POWER_AUTO_COMPUTE_TJ On
set_global_assignment -name POWER_TJ_VALUE 25
set_global_assignment -name POWER_USE_TA_VALUE 25
set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off
set_global_assignment -name POWER_BOARD_TEMPERATURE 25
set_global_assignment -name POWER_HPS_ENABLE Off
set_global_assignment -name POWER_HPS_PROC_FREQ 0.0
set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off
set_global_assignment -name IGNORE_PARTITIONS Off
set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off
set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On
set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End"
set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On
set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On
set_global_assignment -name RTLV_GROUP_RELATED_NODES On
set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off
set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off
set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On
set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On
set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On
set_global_assignment -name EQC_BBOX_MERGE On
set_global_assignment -name EQC_LVDS_MERGE On
set_global_assignment -name EQC_RAM_UNMERGING On
set_global_assignment -name EQC_DFF_SS_EMULATION On
set_global_assignment -name EQC_RAM_REGISTER_UNPACK On
set_global_assignment -name EQC_MAC_REGISTER_UNPACK On
set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On
set_global_assignment -name EQC_STRUCTURE_MATCHING On
set_global_assignment -name EQC_AUTO_BREAK_CONE On
set_global_assignment -name EQC_POWER_UP_COMPARE Off
set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On
set_global_assignment -name EQC_AUTO_INVERSION On
set_global_assignment -name EQC_AUTO_TERMINATE On
set_global_assignment -name EQC_SUB_CONE_REPORT Off
set_global_assignment -name EQC_RENAMING_RULES On
set_global_assignment -name EQC_PARAMETER_CHECK On
set_global_assignment -name EQC_AUTO_PORTSWAP On
set_global_assignment -name EQC_DETECT_DONT_CARES On
set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off
set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ?
set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ?
set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ?
set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ?
set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ?
set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ?
set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ?
set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ?
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ?
set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ?
set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "<None>" -section_id ?
set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ?
set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ?
set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ?
set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ?
set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ?
set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ?
set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ?
set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ?
set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ?
set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ?
set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ?
set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ?
set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ?
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ?
set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ?
set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ?
set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ?
set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ?
set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ?
set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ?
set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ?
set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ?
set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ?
set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ?
set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ?
set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ?
set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ?
set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ?
set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ?
set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ?
set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ?
set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ?
set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ?
set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ?
set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ?
set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ?
set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ?
set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ?
set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ?
set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ?
set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ?
set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ?
set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ?
set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ?
set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ?
set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ?
set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ?
set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ?
set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ?
set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ?
set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ?
set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ?
set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ?
set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ?

View File

@ -1,3 +0,0 @@
set_global_assignment -name IP_TOOL_NAME "ALTUFM_NONE"
set_global_assignment -name IP_TOOL_VERSION "13.0"
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "UFM.v"]

Binary file not shown.

Binary file not shown.

Binary file not shown.

View File

@ -1,7 +0,0 @@
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681451537114 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451537114 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:52:16 2023 " "Processing started: Fri Apr 14 01:52:16 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451537114 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1681451537114 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1681451537114 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1681451537364 ""}
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1681451537395 ""}
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1681451537411 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13056 " "Peak virtual memory: 13056 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451537634 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:52:17 2023 " "Processing ended: Fri Apr 14 01:52:17 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451537634 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451537634 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451537634 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1681451537634 ""}

Binary file not shown.

Binary file not shown.

View File

@ -1,5 +0,0 @@
<?xml version="1.0" ?>
<LOG_ROOT>
<PROJECT NAME="GR8RAM">
</PROJECT>
</LOG_ROOT>

Binary file not shown.

Binary file not shown.

Binary file not shown.

View File

@ -1 +0,0 @@
v1

Binary file not shown.

Binary file not shown.

View File

@ -1,38 +0,0 @@
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1681451533260 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1681451533260 ""}
{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1681451533276 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681451533323 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681451533323 ""}
{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1681451533416 ""}
{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1681451533432 ""}
{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451533666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451533666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451533666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451533666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451533666 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1681451533666 ""}
{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1681451533776 ""}
{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1681451533791 ""}
{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451533791 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451533791 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451533791 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451533791 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1681451533791 ""}
{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681451533808 ""}
{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681451533808 ""}
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681451533808 ""}
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681451533823 ""}
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 71 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681451533823 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681451533823 ""}
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681451533823 ""}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1681451533838 ""}
{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1681451533870 ""}
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1681451533917 ""}
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1681451533917 ""}
{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1681451533917 ""}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1681451533917 ""}
{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451533964 ""}
{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1681451533979 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1681451534104 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451534324 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1681451534324 ""}
{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1681451535057 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451535057 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1681451535088 ""}
{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "32 " "Router estimated average interconnect usage is 32% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "32 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1681451535324 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1681451535324 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451535588 ""}
{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.29 " "Total time spent on timing analysis during the Fitter is 0.29 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1681451535605 ""}
{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451535605 ""}
{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1681451535651 ""}
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1681451535698 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13732 " "Peak virtual memory: 13732 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451535760 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:52:15 2023 " "Processing ended: Fri Apr 14 01:52:15 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451535760 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451535760 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451535760 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1681451535760 ""}

View File

@ -1,288 +0,0 @@
|GR8RAM
C25M => SA[0]~reg0.CLK
C25M => SA[1]~reg0.CLK
C25M => SA[2]~reg0.CLK
C25M => SA[3]~reg0.CLK
C25M => SA[4]~reg0.CLK
C25M => SA[5]~reg0.CLK
C25M => SA[6]~reg0.CLK
C25M => SA[7]~reg0.CLK
C25M => SA[8]~reg0.CLK
C25M => SA[9]~reg0.CLK
C25M => SA[10]~reg0.CLK
C25M => SA[11]~reg0.CLK
C25M => SA[12]~reg0.CLK
C25M => SBA[0]~reg0.CLK
C25M => SBA[1]~reg0.CLK
C25M => DQMH~reg0.CLK
C25M => DQML~reg0.CLK
C25M => SDOE.CLK
C25M => nSWE~reg0.CLK
C25M => nCAS~reg0.CLK
C25M => nRAS~reg0.CLK
C25M => nRCS~reg0.CLK
C25M => RCKE~reg0.CLK
C25M => WRD[0].CLK
C25M => WRD[1].CLK
C25M => WRD[2].CLK
C25M => WRD[3].CLK
C25M => WRD[4].CLK
C25M => WRD[5].CLK
C25M => WRD[6].CLK
C25M => WRD[7].CLK
C25M => MOSIout.CLK
C25M => MOSIOE.CLK
C25M => nFCS~reg0.CLK
C25M => FCKout.CLK
C25M => RestoreDone.CLK
C25M => Bank.CLK
C25M => AddrIncH.CLK
C25M => AddrIncM.CLK
C25M => AddrIncL.CLK
C25M => Addr[0].CLK
C25M => Addr[1].CLK
C25M => Addr[2].CLK
C25M => Addr[3].CLK
C25M => Addr[4].CLK
C25M => Addr[5].CLK
C25M => Addr[6].CLK
C25M => Addr[7].CLK
C25M => Addr[8].CLK
C25M => Addr[9].CLK
C25M => Addr[10].CLK
C25M => Addr[11].CLK
C25M => Addr[12].CLK
C25M => Addr[13].CLK
C25M => Addr[14].CLK
C25M => Addr[15].CLK
C25M => Addr[16].CLK
C25M => Addr[17].CLK
C25M => Addr[18].CLK
C25M => Addr[19].CLK
C25M => Addr[20].CLK
C25M => Addr[21].CLK
C25M => Addr[22].CLK
C25M => Addr[23].CLK
C25M => REGEN.CLK
C25M => IOROMEN.CLK
C25M => nRESout~reg0.CLK
C25M => LS[0].CLK
C25M => LS[1].CLK
C25M => LS[2].CLK
C25M => LS[3].CLK
C25M => LS[4].CLK
C25M => LS[5].CLK
C25M => LS[6].CLK
C25M => LS[7].CLK
C25M => LS[8].CLK
C25M => LS[9].CLK
C25M => LS[10].CLK
C25M => LS[11].CLK
C25M => LS[12].CLK
C25M => LS[13].CLK
C25M => PS[0].CLK
C25M => PS[1].CLK
C25M => PS[2].CLK
C25M => PS[3].CLK
C25M => nRESr.CLK
C25M => PHI0r2.CLK
C25M => PHI0r1.CLK
C25M => IS~7.DATAIN
C25M => RDD[0].CLK
C25M => RDD[1].CLK
C25M => RDD[2].CLK
C25M => RDD[3].CLK
C25M => RDD[4].CLK
C25M => RDD[5].CLK
C25M => RDD[6].CLK
C25M => RDD[7].CLK
PHI0 => comb.IN1
PHI0 => CXXXr.CLK
PHI0 => PHI0r1.DATAIN
nRES => nRESr.DATAIN
nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE
SetFW[0] => Mux1.IN7
SetFW[0] => Equal1.IN1
SetFW[1] => comb.IN1
SetFW[1] => RDD.OUTPUTSELECT
SetFW[1] => RDD.OUTPUTSELECT
SetFW[1] => RDD.OUTPUTSELECT
SetFW[1] => RDD.OUTPUTSELECT
SetFW[1] => SA.OUTPUTSELECT
SetFW[1] => SA.OUTPUTSELECT
SetFW[1] => SA.OUTPUTSELECT
SetFW[1] => SBA.OUTPUTSELECT
SetFW[1] => MOSIout.DATAB
SetFW[1] => Equal1.IN0
INTin => INTout.DATAIN
INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE
DMAin => DMAout.DATAIN
DMAout <= DMAin.DB_MAX_OUTPUT_PORT_TYPE
nNMIout <= <VCC>
nIRQout <= <VCC>
nRDYout <= <VCC>
nINHout <= <VCC>
RWout <= <VCC>
nDMAout <= <VCC>
RA[0] => MOSIout.DATAA
RA[0] => Mux11.IN16
RA[0] => Mux12.IN17
RA[0] => Mux13.IN17
RA[0] => Mux14.IN17
RA[0] => Mux15.IN16
RA[0] => Mux16.IN16
RA[0] => Mux17.IN16
RA[0] => Mux18.IN16
RA[0] => DQML.DATAA
RA[0] => Equal9.IN3
RA[0] => Equal10.IN3
RA[0] => Equal11.IN1
RA[0] => Equal12.IN3
RA[0] => Equal13.IN2
RA[0] => Equal14.IN3
RA[0] => Equal15.IN3
RA[0] => Equal16.IN10
RA[0] => DQMH.DATAA
RA[1] => Mux11.IN15
RA[1] => Mux12.IN16
RA[1] => Mux13.IN16
RA[1] => Mux14.IN16
RA[1] => Mux15.IN15
RA[1] => Mux16.IN15
RA[1] => Mux17.IN15
RA[1] => Mux18.IN15
RA[1] => SA.DATAA
RA[1] => Equal9.IN2
RA[1] => Equal10.IN0
RA[1] => Equal11.IN0
RA[1] => Equal12.IN2
RA[1] => Equal13.IN3
RA[1] => Equal14.IN2
RA[1] => Equal15.IN2
RA[1] => Equal16.IN9
RA[2] => Mux11.IN14
RA[2] => Mux12.IN15
RA[2] => Mux13.IN15
RA[2] => Mux14.IN15
RA[2] => Mux15.IN14
RA[2] => Mux16.IN14
RA[2] => Mux17.IN14
RA[2] => Mux18.IN14
RA[2] => SA.DATAA
RA[2] => Equal9.IN1
RA[2] => Equal10.IN2
RA[2] => Equal11.IN3
RA[2] => Equal12.IN1
RA[2] => Equal13.IN1
RA[2] => Equal14.IN1
RA[2] => Equal15.IN1
RA[2] => Equal16.IN8
RA[3] => Mux11.IN13
RA[3] => Mux12.IN14
RA[3] => Mux13.IN14
RA[3] => Mux14.IN14
RA[3] => Mux15.IN13
RA[3] => Mux16.IN13
RA[3] => Mux17.IN13
RA[3] => Mux18.IN13
RA[3] => SA.DATAA
RA[3] => Equal9.IN0
RA[3] => Equal10.IN1
RA[3] => Equal11.IN2
RA[3] => Equal12.IN0
RA[3] => Equal13.IN0
RA[3] => Equal14.IN0
RA[3] => Equal15.IN0
RA[3] => Equal16.IN7
RA[4] => SA.DATAA
RA[4] => Equal16.IN6
RA[5] => SA.DATAA
RA[5] => Equal16.IN5
RA[6] => SA.DATAA
RA[6] => Equal16.IN4
RA[7] => SA.DATAA
RA[7] => Equal16.IN3
RA[8] => SA.DATAA
RA[8] => Equal16.IN2
RA[9] => SA.DATAA
RA[9] => Equal16.IN1
RA[10] => SA.DATAA
RA[10] => Equal16.IN0
RA[11] => ~NO_FANOUT~
RA[12] => Equal8.IN1
RA[13] => Equal8.IN0
RA[14] => Equal8.IN3
RA[15] => Equal8.IN2
nWE => comb.IN1
nWE => RCKE.IN1
nWE => always10.IN1
nWE => always8.IN1
nWE => always8.IN1
nWE => always8.IN1
RD[0] <> RD[0]
RD[1] <> RD[1]
RD[2] <> RD[2]
RD[3] <> RD[3]
RD[4] <> RD[4]
RD[5] <> RD[5]
RD[6] <> RD[6]
RD[7] <> RD[7]
RAdir <= <VCC>
RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE
nIOSEL => always16.IN0
nIOSEL => IOROMEN.OUTPUTSELECT
nIOSEL => comb.IN1
nIOSEL => always7.IN1
nDEVSEL => RDD.OUTPUTSELECT
nDEVSEL => RDD.OUTPUTSELECT
nDEVSEL => RDD.OUTPUTSELECT
nDEVSEL => RDD.OUTPUTSELECT
nDEVSEL => RDD.OUTPUTSELECT
nDEVSEL => RDD.OUTPUTSELECT
nDEVSEL => RDD.OUTPUTSELECT
nDEVSEL => RDD.OUTPUTSELECT
nDEVSEL => comb.IN1
nDEVSEL => comb.IN1
nDEVSEL => comb.IN1
nIOSTRB => always16.IN1
nIOSTRB => comb.IN1
nIOSTRB => SA.OUTPUTSELECT
nIOSTRB => SA.DATAA
nIOSTRB => IOROMRES.IN1
SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[1] <= SA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[2] <= SA[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[3] <= SA[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[4] <= SA[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[5] <= SA[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[6] <= SA[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[7] <= SA[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[8] <= SA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[9] <= SA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[10] <= SA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[11] <= SA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE
SA[12] <= SA[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE
nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE
nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE
nCAS <= nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE
nSWE <= nSWE~reg0.DB_MAX_OUTPUT_PORT_TYPE
DQML <= DQML~reg0.DB_MAX_OUTPUT_PORT_TYPE
DQMH <= DQMH~reg0.DB_MAX_OUTPUT_PORT_TYPE
RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE
SD[0] <> SD[0]
SD[1] <> SD[1]
SD[2] <> SD[2]
SD[3] <> SD[3]
SD[4] <> SD[4]
SD[5] <> SD[5]
SD[6] <> SD[6]
SD[7] <> SD[7]
nFCS <= nFCS~reg0.DB_MAX_OUTPUT_PORT_TYPE
FCK <= FCKout.DB_MAX_OUTPUT_PORT_TYPE
MISO => WRD.DATAB
MISO => Mux11.IN19
MOSI <> MOSI

Binary file not shown.

View File

@ -1,18 +0,0 @@
<TABLE>
<TR bgcolor="#C0C0C0">
<TH>Hierarchy</TH>
<TH>Input</TH>
<TH>Constant Input</TH>
<TH>Unused Input</TH>
<TH>Floating Input</TH>
<TH>Output</TH>
<TH>Constant Output</TH>
<TH>Unused Output</TH>
<TH>Floating Output</TH>
<TH>Bidir</TH>
<TH>Constant Bidir</TH>
<TH>Unused Bidir</TH>
<TH>Input only Bidir</TH>
<TH>Output only Bidir</TH>
</TR>
</TABLE>

Binary file not shown.

View File

@ -1,5 +0,0 @@
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Legal Partition Candidates ;
+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+

Binary file not shown.

Binary file not shown.

View File

@ -1 +0,0 @@
v1

View File

@ -1,22 +0,0 @@
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681451514506 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451514506 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:51:54 2023 " "Processing started: Fri Apr 14 01:51:54 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451514506 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451514506 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451514506 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1681451514850 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1681451514850 ""}
{ "Warning" "WVRFX_VERI_LITERAL_TRUNCATED_TO_FIT" "1 GR8RAM.v(22) " "Verilog HDL Expression warning at GR8RAM.v(22): truncated literal to match 1 bits" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 22 0 0 } } } 0 10229 "Verilog HDL Expression warning at %2!s!: truncated literal to match %1!d! bits" 0 0 "Analysis & Synthesis" 0 -1 1681451530317 ""}
{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(87) " "Verilog HDL warning at GR8RAM.v(87): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 87 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681451530317 ""}
{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(269) " "Verilog HDL warning at GR8RAM.v(269): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 269 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681451530317 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1681451530317 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451530317 ""}
{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1681451530364 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(31) " "Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 31 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451530364 "|GR8RAM"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(36) " "Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 36 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451530364 "|GR8RAM"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(111) " "Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 111 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451530364 "|GR8RAM"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(119) " "Verilog HDL assignment warning at GR8RAM.v(119): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 119 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451530364 "|GR8RAM"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(126) " "Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 126 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451530364 "|GR8RAM"}
{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 -1 1681451530786 ""}
{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 553 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451530973 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 556 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451530973 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 555 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451530973 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 554 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451530973 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 557 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451530973 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 552 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451530973 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 551 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451530973 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1681451530973 ""}
{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1681451531459 ""}
{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "1 " "Design contains 1 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "RA\[11\] " "No output dependent on input pin \"RA\[11\]\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 56 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1681451531473 "|GR8RAM|RA[11]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1681451531473 ""}
{ "Info" "ICUT_CUT_TM_SUMMARY" "316 " "Implemented 316 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1681451531473 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1681451531473 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1681451531473 ""} { "Info" "ICUT_CUT_TM_LCELLS" "236 " "Implemented 236 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1681451531473 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1681451531473 ""}
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451531520 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 17 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 17 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13092 " "Peak virtual memory: 13092 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451531551 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:52:11 2023 " "Processing ended: Fri Apr 14 01:52:11 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451531551 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:17 " "Elapsed time: 00:00:17" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451531551 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:39 " "Total CPU time (on all processors): 00:00:39" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451531551 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451531551 ""}

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

View File

@ -1 +0,0 @@
DONE

View File

@ -1,9 +0,0 @@
State Machine - |GR8RAM|IS
Name IS.state_bit_2 IS.state_bit_1 IS.state_bit_0
IS.000 0 0 0
IS.001 0 0 1
IS.100 1 0 0
IS.101 1 0 1
IS.110 0 1 0
IS.111 0 1 1

View File

@ -1,22 +0,0 @@
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681451539137 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451539137 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:52:18 2023 " "Processing started: Fri Apr 14 01:52:18 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451539137 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1681451539137 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1681451539137 ""}
{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1681451539246 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1681451539387 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1681451539387 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451539434 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451539434 ""}
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1681451539497 ""}
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1681451539904 ""}
{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1681451539965 ""}
{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1681451539981 ""}
{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1681451539996 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup 13.632 " "Worst-case setup slack is 13.632" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540012 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540012 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 13.632 0.000 C25M " " 13.632 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540012 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451540012 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.404 " "Worst-case hold slack is 1.404" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540012 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540012 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.404 0.000 C25M " " 1.404 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540012 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451540012 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.394 " "Worst-case recovery slack is 33.394" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540027 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540027 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.394 0.000 C25M " " 33.394 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540027 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451540027 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.052 " "Worst-case removal slack is 6.052" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540027 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540027 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.052 0.000 C25M " " 6.052 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540027 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451540027 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540043 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540043 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540043 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451540043 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451540043 ""}
{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1681451540111 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681451540142 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681451540142 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13053 " "Peak virtual memory: 13053 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451540209 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:52:20 2023 " "Processing ended: Fri Apr 14 01:52:20 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451540209 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451540209 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451540209 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681451540209 ""}

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

View File

@ -1,97 +0,0 @@
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681451453154 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451453170 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:50:52 2023 " "Processing started: Fri Apr 14 01:50:52 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451453170 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451453170 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451453170 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1681451453502 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1681451453502 ""}
{ "Warning" "WVRFX_VERI_LITERAL_TRUNCATED_TO_FIT" "1 GR8RAM.v(22) " "Verilog HDL Expression warning at GR8RAM.v(22): truncated literal to match 1 bits" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 22 0 0 } } } 0 10229 "Verilog HDL Expression warning at %2!s!: truncated literal to match %1!d! bits" 0 0 "Analysis & Synthesis" 0 -1 1681451469792 ""}
{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(87) " "Verilog HDL warning at GR8RAM.v(87): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 87 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681451469792 ""}
{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(269) " "Verilog HDL warning at GR8RAM.v(269): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 269 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681451469792 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1681451469792 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451469792 ""}
{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(31) " "Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 31 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 "|GR8RAM"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(36) " "Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 36 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 "|GR8RAM"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(111) " "Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 111 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 "|GR8RAM"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(119) " "Verilog HDL assignment warning at GR8RAM.v(119): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 119 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 "|GR8RAM"}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(126) " "Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 126 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 "|GR8RAM"}
{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 -1 1681451470277 ""}
{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 553 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 556 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 555 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 554 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 557 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 552 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 551 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "SA\[12\] GND " "Pin \"SA\[12\]\" is stuck at GND" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 433 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|SA[12]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1681451470449 ""}
{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1681451470954 ""}
{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "1 " "Design contains 1 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "RA\[11\] " "No output dependent on input pin \"RA\[11\]\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 56 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1681451470954 "|GR8RAM|RA[11]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1681451470954 ""}
{ "Info" "ICUT_CUT_TM_SUMMARY" "314 " "Implemented 314 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1681451470970 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1681451470970 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1681451470970 ""} { "Info" "ICUT_CUT_TM_LCELLS" "234 " "Implemented 234 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1681451470970 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1681451470970 ""}
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451471017 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 18 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 18 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13092 " "Peak virtual memory: 13092 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451471048 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:51:11 2023 " "Processing ended: Fri Apr 14 01:51:11 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451471048 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Elapsed time: 00:00:19" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451471048 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:40 " "Total CPU time (on all processors): 00:00:40" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451471048 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451471048 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1681451472626 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451472634 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:51:12 2023 " "Processing started: Fri Apr 14 01:51:12 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451472634 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1681451472634 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1681451472634 ""}
{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1681451472738 ""}
{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1681451472738 ""}
{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1681451472738 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1681451472817 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1681451472817 ""}
{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1681451472817 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681451472864 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681451472864 ""}
{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1681451472957 ""}
{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1681451472973 ""}
{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451473207 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451473207 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451473207 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451473207 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451473207 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1681451473207 ""}
{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1681451473318 ""}
{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1681451473332 ""}
{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451473332 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451473332 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451473332 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451473332 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1681451473332 ""}
{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681451473348 ""}
{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681451473348 ""}
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681451473348 ""}
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681451473363 ""}
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 71 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681451473363 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681451473363 ""}
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681451473363 ""}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1681451473379 ""}
{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1681451473410 ""}
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1681451473457 ""}
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1681451473457 ""}
{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1681451473457 ""}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1681451473457 ""}
{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451473505 ""}
{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1681451473520 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1681451473657 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451473845 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1681451473845 ""}
{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1681451474547 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451474547 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1681451474601 ""}
{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "28 " "Router estimated average interconnect usage is 28% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "28 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 28% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 28% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1681451474820 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1681451474820 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451475054 ""}
{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.30 " "Total time spent on timing analysis during the Fitter is 0.30 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1681451475054 ""}
{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451475070 ""}
{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1681451475117 ""}
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1681451475180 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13732 " "Peak virtual memory: 13732 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451475226 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:51:15 2023 " "Processing ended: Fri Apr 14 01:51:15 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451475226 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451475226 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451475226 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1681451475226 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1681451476607 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451476621 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:51:16 2023 " "Processing started: Fri Apr 14 01:51:16 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451476621 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1681451476621 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1681451476621 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1681451476903 ""}
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1681451476934 ""}
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1681451476949 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13056 " "Peak virtual memory: 13056 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451477168 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:51:17 2023 " "Processing ended: Fri Apr 14 01:51:17 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451477168 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451477168 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451477168 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1681451477168 ""}
{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1681451477955 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1681451478695 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451478695 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:51:18 2023 " "Processing started: Fri Apr 14 01:51:18 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451478695 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1681451478695 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1681451478695 ""}
{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1681451478788 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1681451478929 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1681451478929 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451478980 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451478980 ""}
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1681451479038 ""}
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1681451479430 ""}
{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1681451479507 ""}
{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1681451479523 ""}
{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1681451479554 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup 13.711 " "Worst-case setup slack is 13.711" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 13.711 0.000 C25M " " 13.711 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451479554 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.401 " "Worst-case hold slack is 1.401" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.401 0.000 C25M " " 1.401 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451479554 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.388 " "Worst-case recovery slack is 33.388" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.388 0.000 C25M " " 33.388 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451479570 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.058 " "Worst-case removal slack is 6.058" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.058 0.000 C25M " " 6.058 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451479570 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451479570 ""}
{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1681451479616 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681451479632 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681451479632 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13053 " "Peak virtual memory: 13053 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451479695 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:51:19 2023 " "Processing ended: Fri Apr 14 01:51:19 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451479695 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451479695 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451479695 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681451479695 ""}
{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 23 s " "Quartus Prime Full Compilation was successful. 0 errors, 23 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681451480510 ""}

View File

@ -1,25 +0,0 @@
ERASE_TIME=500000000
INTENDED_DEVICE_FAMILY="MAX II"
LPM_FILE=UNUSED
LPM_HINT=UNUSED
LPM_TYPE=altufm_none
OSC_FREQUENCY=180000
PORT_ARCLKENA=PORT_UNUSED
PORT_DRCLKENA=PORT_UNUSED
PROGRAM_TIME=1600000
WIDTH_UFM_ADDRESS=9
DEVICE_FAMILY="MAX II"
CBX_AUTO_BLACKBOX=ALL
arclk
ardin
arshft
busy
drclk
drdin
drdout
drshft
erase
osc
oscena
program
rtpbusy

View File

@ -1,11 +0,0 @@
This folder contains data for incremental compilation.
The compiled_partitions sub-folder contains previous compilation results for each partition.
As long as this folder is preserved, incremental compilation results from earlier compiles
can be re-used. To perform a clean compilation from source files for all partitions, both
the db and incremental_db folder should be removed.
The imported_partitions sub-folder contains the last imported QXP for each imported partition.
As long as this folder is preserved, imported partitions will be automatically re-imported
when the db or incremental_db/compiled_partitions folders are removed.

View File

@ -1,3 +0,0 @@
Quartus_Version = Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Version_Index = 503488000
Creation_Time = Sat Mar 25 19:21:05 2023

View File

@ -1,92 +0,0 @@
Assembler report for GR8RAM
Fri Apr 14 01:52:17 2023
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Assembler Summary
3. Assembler Settings
4. Assembler Generated Files
5. Assembler Device Options: Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof
6. Assembler Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 2019 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and any partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details, at
https://fpgasoftware.intel.com/eula.
+---------------------------------------------------------------+
; Assembler Summary ;
+-----------------------+---------------------------------------+
; Assembler Status ; Successful - Fri Apr 14 01:52:17 2023 ;
; Revision Name ; GR8RAM ;
; Top-level Entity Name ; GR8RAM ;
; Family ; MAX II ;
; Device ; EPM240T100C5 ;
+-----------------------+---------------------------------------+
+----------------------------------+
; Assembler Settings ;
+--------+---------+---------------+
; Option ; Setting ; Default Value ;
+--------+---------+---------------+
+----------------------------------------------+
; Assembler Generated Files ;
+----------------------------------------------+
; File Name ;
+----------------------------------------------+
; Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ;
+----------------------------------------------+
+------------------------------------------------------------------------+
; Assembler Device Options: Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ;
+----------------+-------------------------------------------------------+
; Option ; Setting ;
+----------------+-------------------------------------------------------+
; JTAG usercode ; 0x00162AE9 ;
; Checksum ; 0x00162DE9 ;
+----------------+-------------------------------------------------------+
+--------------------+
; Assembler Messages ;
+--------------------+
Info: *******************************************************************
Info: Running Quartus Prime Assembler
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Info: Processing started: Fri Apr 14 01:52:16 2023
Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (115031): Writing out detailed assembly data for power analysis
Info (115030): Assembler is generating device programming files
Info: Quartus Prime Assembler was successful. 0 errors, 1 warning
Info: Peak virtual memory: 13056 megabytes
Info: Processing ended: Fri Apr 14 01:52:17 2023
Info: Elapsed time: 00:00:01
Info: Total CPU time (on all processors): 00:00:01

View File

@ -1,13 +0,0 @@
/* Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */
JedecChain;
FileRevision(JESD32A);
DefaultMfr(6E);
P ActionCode(Vfy)
Device PartName(EPM240T100) Path("C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(2) SEC_Device(EPM240T100) Child_OpMask(2 2 2));
ChainEnd;
AlteraBegin;
ChainType(JTAG);
AlteraEnd;

View File

@ -1 +0,0 @@
Fri Apr 14 01:52:20 2023

View File

@ -1,758 +0,0 @@
Fitter report for GR8RAM
Fri Apr 14 01:52:15 2023
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Fitter Summary
3. Fitter Settings
4. Parallel Compilation
5. Pin-Out File
6. Fitter Resource Usage Summary
7. Input Pins
8. Output Pins
9. Bidir Pins
10. I/O Bank Usage
11. All Package Pins
12. Output Pin Default Load For Reported TCO
13. Fitter Resource Utilization by Entity
14. Delay Chain Summary
15. Control Signals
16. Global & Other Fast Signals
17. Routing Usage Summary
18. LAB Logic Elements
19. LAB-wide Signals
20. LAB Signals Sourced
21. LAB Signals Sourced Out
22. LAB Distinct Inputs
23. Fitter Device Options
24. Fitter Messages
25. Fitter Suppressed Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 2019 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and any partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details, at
https://fpgasoftware.intel.com/eula.
+---------------------------------------------------------------------+
; Fitter Summary ;
+-----------------------+---------------------------------------------+
; Fitter Status ; Successful - Fri Apr 14 01:52:15 2023 ;
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
; Revision Name ; GR8RAM ;
; Top-level Entity Name ; GR8RAM ;
; Family ; MAX II ;
; Device ; EPM240T100C5 ;
; Timing Models ; Final ;
; Total logic elements ; 233 / 240 ( 97 % ) ;
; Total pins ; 80 / 80 ( 100 % ) ;
; Total virtual pins ; 0 ;
; UFM blocks ; 0 / 1 ( 0 % ) ;
+-----------------------+---------------------------------------------+
+--------------------------------------------------------------------------------------------------------------------------------------+
; Fitter Settings ;
+--------------------------------------------------------------------+--------------------------------+--------------------------------+
; Option ; Setting ; Default Value ;
+--------------------------------------------------------------------+--------------------------------+--------------------------------+
; Device ; EPM240T100C5 ; ;
; Minimum Core Junction Temperature ; 0 ; ;
; Maximum Core Junction Temperature ; 85 ; ;
; Placement Effort Multiplier ; 2.0 ; 1.0 ;
; Router Effort Multiplier ; 2.0 ; 1.0 ;
; Fit Attempts to Skip ; 0 ; 0.0 ;
; Device I/O Standard ; 3.3-V LVTTL ; ;
; Final Placement Optimizations ; Always ; Automatically ;
; Fitter Initial Placement Seed ; 235 ; 1 ;
; Fitter Effort ; Standard Fit ; Auto Fit ;
; Auto Register Duplication ; Off ; Auto ;
; Use smart compilation ; Off ; Off ;
; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ;
; Enable compact report table ; Off ; Off ;
; Router Timing Optimization Level ; Normal ; Normal ;
; Always Enable Input Buffers ; Off ; Off ;
; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ;
; Optimize Multi-Corner Timing ; Off ; Off ;
; Guarantee I/O Paths Have Zero Hold Time at Fast Corner ; On ; On ;
; Power Optimization During Fitting ; Normal compilation ; Normal compilation ;
; Optimize Timing ; Normal compilation ; Normal compilation ;
; Optimize Timing for ECOs ; Off ; Off ;
; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ;
; Optimize IOC Register Placement for Timing ; Normal ; Normal ;
; Limit to One Fitting Attempt ; Off ; Off ;
; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ;
; Periphery to Core Placement and Routing Optimization ; Off ; Off ;
; Slow Slew Rate ; Off ; Off ;
; PCI I/O ; Off ; Off ;
; Weak Pull-Up Resistor ; Off ; Off ;
; Enable Bus-Hold Circuitry ; Off ; Off ;
; Auto Delay Chains ; On ; On ;
; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ;
; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ;
; Perform Register Duplication for Performance ; Off ; Off ;
; Perform Register Retiming for Performance ; Off ; Off ;
; Perform Asynchronous Signal Pipelining ; Off ; Off ;
; Physical Synthesis Effort Level ; Normal ; Normal ;
; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ;
; Auto Global Clock ; On ; On ;
; Auto Global Register Control Signals ; On ; On ;
; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ;
+--------------------------------------------------------------------+--------------------------------+--------------------------------+
+------------------------------------------+
; Parallel Compilation ;
+----------------------------+-------------+
; Processors ; Number ;
+----------------------------+-------------+
; Number detected on machine ; 4 ;
; Maximum allowed ; 4 ;
; ; ;
; Average used ; 1.03 ;
; Maximum used ; 4 ;
; ; ;
; Usage by Processor ; % Time Used ;
; Processor 1 ; 100.0% ;
; Processor 2 ; 1.2% ;
; Processors 3-4 ; 1.0% ;
+----------------------------+-------------+
+--------------+
; Pin-Out File ;
+--------------+
The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin.
+---------------------------------------------------------------------+
; Fitter Resource Usage Summary ;
+---------------------------------------------+-----------------------+
; Resource ; Usage ;
+---------------------------------------------+-----------------------+
; Total logic elements ; 233 / 240 ( 97 % ) ;
; -- Combinational with no register ; 133 ;
; -- Register only ; 1 ;
; -- Combinational with a register ; 99 ;
; ; ;
; Logic element usage by number of LUT inputs ; ;
; -- 4 input functions ; 128 ;
; -- 3 input functions ; 37 ;
; -- 2 input functions ; 66 ;
; -- 1 input functions ; 1 ;
; -- 0 input functions ; 0 ;
; ; ;
; Logic elements by mode ; ;
; -- normal mode ; 200 ;
; -- arithmetic mode ; 33 ;
; -- qfbk mode ; 2 ;
; -- register cascade mode ; 0 ;
; -- synchronous clear/load mode ; 48 ;
; -- asynchronous clear/load mode ; 30 ;
; ; ;
; Total registers ; 100 / 240 ( 42 % ) ;
; Total LABs ; 24 / 24 ( 100 % ) ;
; Logic elements in carry chains ; 37 ;
; Virtual pins ; 0 ;
; I/O pins ; 80 / 80 ( 100 % ) ;
; -- Clock pins ; 4 / 4 ( 100 % ) ;
; ; ;
; UFM blocks ; 0 / 1 ( 0 % ) ;
; ; ;
; -- Total Fixed Point DSP Blocks ; 0 ;
; -- Total Floating Point DSP Blocks ; 0 ;
; ; ;
; Global signals ; 2 ;
; -- Global clocks ; 2 / 4 ( 50 % ) ;
; JTAGs ; 0 / 1 ( 0 % ) ;
; Average interconnect usage (total/H/V) ; 41.0% / 42.7% / 39.2% ;
; Peak interconnect usage (total/H/V) ; 41.0% / 42.7% / 39.2% ;
; Maximum fan-out ; 99 ;
; Highest non-global fan-out ; 50 ;
; Total fan-out ; 1065 ;
; Average fan-out ; 3.40 ;
+---------------------------------------------+-----------------------+
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Input Pins ;
+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+
; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; Slow Slew Rate ;
+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+
; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 99 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 2 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; no ;
; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ;
; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ;
; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ;
; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 10 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ;
; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ;
; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ;
; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ;
; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ;
; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ;
+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Output Pins ;
+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ;
+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ;
; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; yes ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ;
; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ;
; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; RDdir ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; RWout ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ;
; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SA[10] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SA[12] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SA[1] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ;
; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ;
; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ;
; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ;
; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ;
; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ;
; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ;
; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ;
+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Bidir Pins ;
+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ;
+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; MOSIOE ; - ;
; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ;
; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ;
; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ;
; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ;
; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ;
; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ;
; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ;
; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ;
; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ;
; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ;
; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ;
; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ;
; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ;
; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ;
; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ;
; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ;
+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
+-------------------------------------------------------------+
; I/O Bank Usage ;
+----------+-------------------+---------------+--------------+
; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ;
+----------+-------------------+---------------+--------------+
; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ;
; 2 ; 42 / 42 ( 100 % ) ; 3.3V ; -- ;
+----------+-------------------+---------------+--------------+
+--------------------------------------------------------------------------------------------------------------------------------------------------------------+
; All Package Pins ;
+----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+
; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ;
+----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+
; 1 ; 83 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 2 ; 0 ; 1 ; RA[5] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 3 ; 1 ; 1 ; RA[6] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 4 ; 2 ; 1 ; RA[3] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 5 ; 3 ; 1 ; nFCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ;
; 6 ; 4 ; 1 ; RA[7] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 7 ; 5 ; 1 ; RA[8] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 8 ; 6 ; 1 ; RA[9] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ;
; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ;
; 12 ; 7 ; 1 ; FCK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ;
; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ;
; 14 ; 8 ; 1 ; RA[10] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 15 ; 9 ; 1 ; MOSI ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ;
; 16 ; 10 ; 1 ; MISO ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ;
; 17 ; 11 ; 1 ; RDdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ;
; 18 ; 12 ; 1 ; DMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 19 ; 13 ; 1 ; RAdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ;
; 20 ; 14 ; 1 ; INTout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 21 ; 15 ; 1 ; nDMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ;
; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ;
; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ;
; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ;
; 26 ; 20 ; 1 ; nNMIout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 27 ; 21 ; 1 ; nINHout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 28 ; 22 ; 1 ; nRDYout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 29 ; 23 ; 1 ; nIRQout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 30 ; 24 ; 1 ; nRESout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ;
; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ;
; 33 ; 25 ; 1 ; RWout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 34 ; 26 ; 1 ; RA[11] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 35 ; 27 ; 1 ; RA[12] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 36 ; 28 ; 1 ; RA[13] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 37 ; 29 ; 1 ; RA[14] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 38 ; 30 ; 1 ; RA[15] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 39 ; 31 ; 1 ; nIOSEL ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ;
; 40 ; 32 ; 1 ; nDEVSEL ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ;
; 41 ; 33 ; 1 ; PHI0 ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ;
; 42 ; 34 ; 1 ; nIOSTRB ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ;
; 43 ; 35 ; 1 ; nWE ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ;
; 44 ; 36 ; 1 ; nRES ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ;
; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ;
; 47 ; 37 ; 1 ; SD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 48 ; 38 ; 1 ; DMAin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 49 ; 39 ; 1 ; INTin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 50 ; 40 ; 1 ; SD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 51 ; 41 ; 1 ; SD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 52 ; 42 ; 2 ; SD[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 53 ; 43 ; 2 ; SD[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 54 ; 44 ; 2 ; SD[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 55 ; 45 ; 2 ; SD[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 56 ; 46 ; 2 ; SD[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 57 ; 47 ; 2 ; DQMH ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 58 ; 48 ; 2 ; nSWE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 60 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ;
; 61 ; 49 ; 2 ; nCAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 62 ; 50 ; 2 ; nRAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ;
; 64 ; 51 ; 2 ; C25M ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ;
; 66 ; 52 ; 2 ; RCKE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 67 ; 53 ; 2 ; nRCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 68 ; 54 ; 2 ; SA[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 69 ; 55 ; 2 ; SBA[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 70 ; 56 ; 2 ; SA[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 71 ; 57 ; 2 ; SBA[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 72 ; 58 ; 2 ; SA[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 73 ; 59 ; 2 ; SA[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 74 ; 60 ; 2 ; SA[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ;
; 75 ; 61 ; 2 ; SA[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 76 ; 62 ; 2 ; SA[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 77 ; 63 ; 2 ; SA[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 78 ; 64 ; 2 ; SA[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ;
; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 81 ; 65 ; 2 ; SA[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 82 ; 66 ; 2 ; SA[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 83 ; 67 ; 2 ; SA[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 84 ; 68 ; 2 ; SA[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 85 ; 69 ; 2 ; DQML ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 86 ; 70 ; 2 ; RD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ;
; 87 ; 71 ; 2 ; RD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ;
; 88 ; 72 ; 2 ; RD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ;
; 89 ; 73 ; 2 ; RD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ;
; 90 ; 74 ; 2 ; RD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ;
; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ;
; 92 ; 76 ; 2 ; RD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ;
; 93 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ;
; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ;
; 95 ; 77 ; 2 ; SetFW[1] ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; On ;
; 96 ; 78 ; 2 ; SetFW[0] ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; On ;
; 97 ; 79 ; 2 ; RA[2] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 98 ; 80 ; 2 ; RA[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
; 99 ; 81 ; 2 ; RD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ;
; 100 ; 82 ; 2 ; RA[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ;
+----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+
Note: Pin directions (input, output or bidir) are based on device operating in user mode.
+-------------------------------------------------------------+
; Output Pin Default Load For Reported TCO ;
+----------------------------+-------+------------------------+
; I/O Standard ; Load ; Termination Resistance ;
+----------------------------+-------+------------------------+
; 3.3-V LVTTL ; 10 pF ; Not Available ;
; 3.3-V LVCMOS ; 10 pF ; Not Available ;
; 2.5 V ; 10 pF ; Not Available ;
; 1.8 V ; 10 pF ; Not Available ;
; 1.5 V ; 10 pF ; Not Available ;
; 3.3V Schmitt Trigger Input ; 10 pF ; Not Available ;
; 2.5V Schmitt Trigger Input ; 10 pF ; Not Available ;
+----------------------------+-------+------------------------+
Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables.
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter Resource Utilization by Entity ;
+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+
; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ;
+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+
; |GR8RAM ; 233 (233) ; 100 ; 0 ; 80 ; 0 ; 133 (133) ; 1 (1) ; 99 (99) ; 37 (37) ; 3 (3) ; |GR8RAM ; GR8RAM ; work ;
+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+-------------------------------------+
; Delay Chain Summary ;
+----------+----------+---------------+
; Name ; Pin Type ; Pad to Core 0 ;
+----------+----------+---------------+
; nRESout ; Output ; -- ;
; INTout ; Output ; -- ;
; DMAout ; Output ; -- ;
; nNMIout ; Output ; -- ;
; nIRQout ; Output ; -- ;
; nRDYout ; Output ; -- ;
; nINHout ; Output ; -- ;
; RWout ; Output ; -- ;
; nDMAout ; Output ; -- ;
; RA[11] ; Input ; (0) ;
; RAdir ; Output ; -- ;
; RDdir ; Output ; -- ;
; SBA[0] ; Output ; -- ;
; SBA[1] ; Output ; -- ;
; SA[0] ; Output ; -- ;
; SA[1] ; Output ; -- ;
; SA[2] ; Output ; -- ;
; SA[3] ; Output ; -- ;
; SA[4] ; Output ; -- ;
; SA[5] ; Output ; -- ;
; SA[6] ; Output ; -- ;
; SA[7] ; Output ; -- ;
; SA[8] ; Output ; -- ;
; SA[9] ; Output ; -- ;
; SA[10] ; Output ; -- ;
; SA[11] ; Output ; -- ;
; SA[12] ; Output ; -- ;
; nRCS ; Output ; -- ;
; nRAS ; Output ; -- ;
; nCAS ; Output ; -- ;
; nSWE ; Output ; -- ;
; DQML ; Output ; -- ;
; DQMH ; Output ; -- ;
; RCKE ; Output ; -- ;
; nFCS ; Output ; -- ;
; FCK ; Output ; -- ;
; RD[0] ; Bidir ; (1) ;
; RD[1] ; Bidir ; (1) ;
; RD[2] ; Bidir ; (1) ;
; RD[3] ; Bidir ; (1) ;
; RD[4] ; Bidir ; (1) ;
; RD[5] ; Bidir ; (1) ;
; RD[6] ; Bidir ; (1) ;
; RD[7] ; Bidir ; (1) ;
; SD[0] ; Bidir ; (1) ;
; SD[1] ; Bidir ; (1) ;
; SD[2] ; Bidir ; (1) ;
; SD[3] ; Bidir ; (1) ;
; SD[4] ; Bidir ; (1) ;
; SD[5] ; Bidir ; (1) ;
; SD[6] ; Bidir ; (1) ;
; SD[7] ; Bidir ; (1) ;
; MOSI ; Bidir ; (1) ;
; INTin ; Input ; (1) ;
; DMAin ; Input ; (1) ;
; PHI0 ; Input ; (0) ;
; nWE ; Input ; (1) ;
; nIOSTRB ; Input ; (1) ;
; nIOSEL ; Input ; (1) ;
; SetFW[1] ; Input ; (1) ;
; nDEVSEL ; Input ; (1) ;
; C25M ; Input ; (0) ;
; RA[1] ; Input ; (1) ;
; RA[0] ; Input ; (1) ;
; RA[2] ; Input ; (1) ;
; RA[3] ; Input ; (1) ;
; RA[4] ; Input ; (1) ;
; RA[5] ; Input ; (1) ;
; RA[6] ; Input ; (1) ;
; RA[7] ; Input ; (1) ;
; RA[8] ; Input ; (1) ;
; RA[9] ; Input ; (1) ;
; RA[10] ; Input ; (1) ;
; SetFW[0] ; Input ; (1) ;
; nRES ; Input ; (1) ;
; RA[14] ; Input ; (1) ;
; RA[15] ; Input ; (1) ;
; RA[12] ; Input ; (1) ;
; RA[13] ; Input ; (1) ;
; MISO ; Input ; (1) ;
+----------+----------+---------------+
+-------------------------------------------------------------------------------------------------------------------------------+
; Control Signals ;
+------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+
; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ;
+------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+
; C25M ; PIN_64 ; 99 ; Clock ; yes ; Global Clock ; GCLK3 ;
; Equal0~0 ; LC_X3_Y2_N3 ; 20 ; Clock enable ; no ; -- ; -- ;
; Equal21~0 ; LC_X5_Y2_N2 ; 8 ; Clock enable ; no ; -- ; -- ;
; IOROMRES~4 ; LC_X3_Y3_N5 ; 1 ; Async. clear ; no ; -- ; -- ;
; MOSIOE ; LC_X3_Y2_N9 ; 1 ; Output enable ; no ; -- ; -- ;
; PHI0 ; PIN_41 ; 3 ; Clock ; no ; -- ; -- ;
; PS[0] ; LC_X4_Y1_N5 ; 49 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ;
; PS[2] ; LC_X4_Y2_N7 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ;
; SDOE ; LC_X5_Y2_N4 ; 8 ; Output enable ; no ; -- ; -- ;
; always8~2 ; LC_X5_Y2_N6 ; 8 ; Sync. load ; no ; -- ; -- ;
; always8~3 ; LC_X6_Y1_N5 ; 9 ; Sync. load ; no ; -- ; -- ;
; always8~4 ; LC_X6_Y1_N7 ; 9 ; Sync. load ; no ; -- ; -- ;
; comb~3 ; LC_X4_Y1_N9 ; 9 ; Output enable ; no ; -- ; -- ;
; nRESr ; LC_X3_Y2_N8 ; 30 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK2 ;
+------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+
+-------------------------------------------------------------------------+
; Global & Other Fast Signals ;
+-------+-------------+---------+----------------------+------------------+
; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ;
+-------+-------------+---------+----------------------+------------------+
; C25M ; PIN_64 ; 99 ; Global Clock ; GCLK3 ;
; nRESr ; LC_X3_Y2_N8 ; 30 ; Global Clock ; GCLK2 ;
+-------+-------------+---------+----------------------+------------------+
+--------------------------------------------+
; Routing Usage Summary ;
+-----------------------+--------------------+
; Routing Resource Type ; Usage ;
+-----------------------+--------------------+
; C4s ; 237 / 784 ( 30 % ) ;
; Direct links ; 39 / 888 ( 4 % ) ;
; Global clocks ; 2 / 4 ( 50 % ) ;
; LAB clocks ; 10 / 32 ( 31 % ) ;
; LUT chains ; 26 / 216 ( 12 % ) ;
; Local interconnects ; 416 / 888 ( 47 % ) ;
; R4s ; 228 / 704 ( 32 % ) ;
+-----------------------+--------------------+
+---------------------------------------------------------------------------+
; LAB Logic Elements ;
+--------------------------------------------+------------------------------+
; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ;
+--------------------------------------------+------------------------------+
; 1 ; 0 ;
; 2 ; 0 ;
; 3 ; 0 ;
; 4 ; 0 ;
; 5 ; 0 ;
; 6 ; 0 ;
; 7 ; 0 ;
; 8 ; 1 ;
; 9 ; 5 ;
; 10 ; 18 ;
+--------------------------------------------+------------------------------+
+-------------------------------------------------------------------+
; LAB-wide Signals ;
+------------------------------------+------------------------------+
; LAB-wide Signals (Average = 1.96) ; Number of LABs (Total = 24) ;
+------------------------------------+------------------------------+
; 1 Async. clear ; 7 ;
; 1 Clock ; 22 ;
; 1 Clock enable ; 6 ;
; 1 Sync. clear ; 7 ;
; 1 Sync. load ; 5 ;
+------------------------------------+------------------------------+
+----------------------------------------------------------------------------+
; LAB Signals Sourced ;
+---------------------------------------------+------------------------------+
; Number of Signals Sourced (Average = 9.83) ; Number of LABs (Total = 24) ;
+---------------------------------------------+------------------------------+
; 0 ; 0 ;
; 1 ; 0 ;
; 2 ; 0 ;
; 3 ; 0 ;
; 4 ; 0 ;
; 5 ; 0 ;
; 6 ; 0 ;
; 7 ; 0 ;
; 8 ; 1 ;
; 9 ; 5 ;
; 10 ; 16 ;
; 11 ; 1 ;
; 12 ; 1 ;
+---------------------------------------------+------------------------------+
+--------------------------------------------------------------------------------+
; LAB Signals Sourced Out ;
+-------------------------------------------------+------------------------------+
; Number of Signals Sourced Out (Average = 6.67) ; Number of LABs (Total = 24) ;
+-------------------------------------------------+------------------------------+
; 0 ; 0 ;
; 1 ; 0 ;
; 2 ; 0 ;
; 3 ; 3 ;
; 4 ; 0 ;
; 5 ; 5 ;
; 6 ; 4 ;
; 7 ; 3 ;
; 8 ; 3 ;
; 9 ; 3 ;
; 10 ; 3 ;
+-------------------------------------------------+------------------------------+
+-----------------------------------------------------------------------------+
; LAB Distinct Inputs ;
+----------------------------------------------+------------------------------+
; Number of Distinct Inputs (Average = 15.46) ; Number of LABs (Total = 24) ;
+----------------------------------------------+------------------------------+
; 0 ; 0 ;
; 1 ; 0 ;
; 2 ; 0 ;
; 3 ; 0 ;
; 4 ; 0 ;
; 5 ; 1 ;
; 6 ; 1 ;
; 7 ; 0 ;
; 8 ; 0 ;
; 9 ; 0 ;
; 10 ; 0 ;
; 11 ; 0 ;
; 12 ; 1 ;
; 13 ; 4 ;
; 14 ; 2 ;
; 15 ; 3 ;
; 16 ; 6 ;
; 17 ; 0 ;
; 18 ; 1 ;
; 19 ; 0 ;
; 20 ; 1 ;
; 21 ; 2 ;
; 22 ; 0 ;
; 23 ; 1 ;
; 24 ; 1 ;
+----------------------------------------------+------------------------------+
+-------------------------------------------------------------------------+
; Fitter Device Options ;
+----------------------------------------------+--------------------------+
; Option ; Setting ;
+----------------------------------------------+--------------------------+
; Enable user-supplied start-up clock (CLKUSR) ; Off ;
; Enable device-wide reset (DEV_CLRn) ; Off ;
; Enable device-wide output enable (DEV_OE) ; Off ;
; Enable INIT_DONE output ; Off ;
; Configuration scheme ; Passive Serial ;
; Reserve all unused pins ; As output driving ground ;
+----------------------------------------------+--------------------------+
+-----------------+
; Fitter Messages ;
+-----------------+
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
Info (119006): Selected device EPM240T100C5 for design "GR8RAM"
Info (21077): Low junction temperature is 0 degrees C
Info (21077): High junction temperature is 85 degrees C
Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance
Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
Info (176445): Device EPM240T100I5 is compatible
Info (176445): Device EPM240T100A5 is compatible
Info (176445): Device EPM570T100C5 is compatible
Info (176445): Device EPM570T100I5 is compatible
Info (176445): Device EPM570T100A5 is compatible
Info (332104): Reading SDC File: 'GR8RAM.sdc'
Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements
Info (332111): Found 2 clocks
Info (332111): Period Clock Name
Info (332111): ======== ============
Info (332111): 40.000 C25M
Info (332111): 978.000 PHI0
Info (186079): Completed User Assigned Global Signals Promotion Operation
Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 64 File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9
Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 14
Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 71
Info (186079): Completed Auto Global Promotion Operation
Info (176234): Starting register packing
Info (186468): Started processing fast register assignments
Info (186469): Finished processing fast register assignments
Info (176235): Finished register packing
Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00
Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family.
Info (170189): Fitter placement preparation operations beginning
Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00
Info (170191): Fitter placement operations beginning
Info (170137): Fitter placement was successful
Info (170192): Fitter placement operations ending: elapsed time is 00:00:01
Info (170193): Fitter routing operations beginning
Info (170195): Router estimated average interconnect usage is 32% of the available device resources
Info (170196): Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5
Info (170194): Fitter routing operations ending: elapsed time is 00:00:00
Info (11888): Total time spent on timing analysis during the Fitter is 0.29 seconds.
Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00
Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'.
Info (144001): Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg
Info: Quartus Prime Fitter was successful. 0 errors, 3 warnings
Info: Peak virtual memory: 13732 megabytes
Info: Processing ended: Fri Apr 14 01:52:15 2023
Info: Elapsed time: 00:00:03
Info: Total CPU time (on all processors): 00:00:04
+----------------------------+
; Fitter Suppressed Messages ;
+----------------------------+
The suppressed messages can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg.

View File

@ -1,4 +0,0 @@
Extra Info (176273): Performing register packing on registers with non-logic cell location assignments
Extra Info (176274): Completed register packing on registers with non-logic cell location assignments
Extra Info (176244): Moving registers into LUTs to improve timing and density
Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:00

View File

@ -1,11 +0,0 @@
Fitter Status : Successful - Fri Apr 14 01:52:15 2023
Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Revision Name : GR8RAM
Top-level Entity Name : GR8RAM
Family : MAX II
Device : EPM240T100C5
Timing Models : Final
Total logic elements : 233 / 240 ( 97 % )
Total pins : 80 / 80 ( 100 % )
Total virtual pins : 0
UFM blocks : 0 / 1 ( 0 % )

View File

@ -1,135 +0,0 @@
Flow report for GR8RAM
Fri Apr 14 01:52:20 2023
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Flow Summary
3. Flow Settings
4. Flow Non-Default Global Settings
5. Flow Elapsed Time
6. Flow OS Summary
7. Flow Log
8. Flow Messages
9. Flow Suppressed Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 2019 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and any partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details, at
https://fpgasoftware.intel.com/eula.
+---------------------------------------------------------------------+
; Flow Summary ;
+-----------------------+---------------------------------------------+
; Flow Status ; Successful - Fri Apr 14 01:52:17 2023 ;
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
; Revision Name ; GR8RAM ;
; Top-level Entity Name ; GR8RAM ;
; Family ; MAX II ;
; Device ; EPM240T100C5 ;
; Timing Models ; Final ;
; Total logic elements ; 233 / 240 ( 97 % ) ;
; Total pins ; 80 / 80 ( 100 % ) ;
; Total virtual pins ; 0 ;
; UFM blocks ; 0 / 1 ( 0 % ) ;
+-----------------------+---------------------------------------------+
+-----------------------------------------+
; Flow Settings ;
+-------------------+---------------------+
; Option ; Setting ;
+-------------------+---------------------+
; Start date & time ; 04/14/2023 01:51:54 ;
; Main task ; Compilation ;
; Revision Name ; GR8RAM ;
+-------------------+---------------------+
+-------------------------------------------------------------------------------------------------------------------------------------+
; Flow Non-Default Global Settings ;
+-------------------------------------------------+----------------------------------------+---------------+-------------+------------+
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
+-------------------------------------------------+----------------------------------------+---------------+-------------+------------+
; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ;
; ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ; Always ; Auto ; -- ; -- ;
; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ;
; AUTO_PACKED_REGISTERS_MAX ; Minimize Area ; Auto ; -- ; -- ;
; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ;
; COMPILER_SIGNATURE_ID ; 121381084694.168145151401644 ; -- ; -- ; -- ;
; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ;
; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ;
; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 1 ;
; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 2 ;
; MAXII_OPTIMIZATION_TECHNIQUE ; Area ; Balanced ; -- ; -- ;
; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ;
; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ;
; MUX_RESTRUCTURE ; On ; Auto ; -- ; -- ;
; PLACEMENT_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ;
; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ;
; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ;
; REMOVE_REDUNDANT_LOGIC_CELLS ; On ; Off ; -- ; -- ;
; ROUTER_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ;
; ROUTER_REGISTER_DUPLICATION ; Off ; Auto ; -- ; -- ;
; SEED ; 235 ; 1 ; -- ; -- ;
; STATE_MACHINE_PROCESSING ; Minimal Bits ; Auto ; -- ; -- ;
; SYNTHESIS_SEED ; 123 ; 1 ; -- ; -- ;
; SYNTH_TIMING_DRIVEN_SYNTHESIS ; -- (Not supported for targeted family) ; -- ; -- ; -- ;
+-------------------------------------------------+----------------------------------------+---------------+-------------+------------+
+--------------------------------------------------------------------------------------------------------------------------+
; Flow Elapsed Time ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
; Analysis & Synthesis ; 00:00:17 ; 1.0 ; 13092 MB ; 00:00:39 ;
; Fitter ; 00:00:03 ; 1.0 ; 13732 MB ; 00:00:04 ;
; Assembler ; 00:00:01 ; 1.0 ; 13052 MB ; 00:00:01 ;
; Timing Analyzer ; 00:00:02 ; 1.0 ; 13053 MB ; 00:00:01 ;
; Total ; 00:00:23 ; -- ; -- ; 00:00:45 ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
+------------------------------------------------------------------------------------+
; Flow OS Summary ;
+----------------------+------------------+------------+------------+----------------+
; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
+----------------------+------------------+------------+------------+----------------+
; Analysis & Synthesis ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ;
; Fitter ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ;
; Assembler ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ;
; Timing Analyzer ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ;
+----------------------+------------------+------------+------------+----------------+
------------
; Flow Log ;
------------
quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM
quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM
quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM
quartus_sta GR8RAM -c GR8RAM

View File

@ -1,8 +0,0 @@
<sld_project_info>
<project>
<hash md5_digest_80b="d50e59ac0621234423dc"/>
</project>
<file_info>
<file device="EPM240T100C5" path="GR8RAM.sof" usercode="0xFFFFFFFF"/>
</file_info>
</sld_project_info>

View File

@ -1,325 +0,0 @@
Analysis & Synthesis report for GR8RAM
Fri Apr 14 01:52:11 2023
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Analysis & Synthesis Summary
3. Analysis & Synthesis Settings
4. Parallel Compilation
5. Analysis & Synthesis Source Files Read
6. Analysis & Synthesis Resource Usage Summary
7. Analysis & Synthesis Resource Utilization by Entity
8. State Machine - |GR8RAM|IS
9. Registers Removed During Synthesis
10. General Register Statistics
11. Inverted Register Statistics
12. Multiplexer Restructuring Statistics (Restructuring Performed)
13. Analysis & Synthesis Messages
14. Analysis & Synthesis Suppressed Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 2019 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and any partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details, at
https://fpgasoftware.intel.com/eula.
+---------------------------------------------------------------------------+
; Analysis & Synthesis Summary ;
+-----------------------------+---------------------------------------------+
; Analysis & Synthesis Status ; Successful - Fri Apr 14 01:52:11 2023 ;
; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
; Revision Name ; GR8RAM ;
; Top-level Entity Name ; GR8RAM ;
; Family ; MAX II ;
; Total logic elements ; 236 ;
; Total pins ; 80 ;
; Total virtual pins ; 0 ;
; UFM blocks ; 0 / 1 ( 0 % ) ;
+-----------------------------+---------------------------------------------+
+------------------------------------------------------------------------------------------------------------+
; Analysis & Synthesis Settings ;
+------------------------------------------------------------------+--------------------+--------------------+
; Option ; Setting ; Default Value ;
+------------------------------------------------------------------+--------------------+--------------------+
; Device ; EPM240T100C5 ; ;
; Top-level entity name ; GR8RAM ; GR8RAM ;
; Family name ; MAX II ; Cyclone V ;
; Restructure Multiplexers ; On ; Auto ;
; State Machine Processing ; Minimal Bits ; Auto ;
; Remove Redundant Logic Cells ; On ; Off ;
; Optimization Technique ; Area ; Balanced ;
; Perform WYSIWYG Primitive Resynthesis ; On ; Off ;
; Allow Shift Register Merging across Hierarchies ; Always ; Auto ;
; Auto Resource Sharing ; On ; Off ;
; Use smart compilation ; Off ; Off ;
; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ;
; Enable compact report table ; Off ; Off ;
; Create Debugging Nodes for IP Cores ; Off ; Off ;
; Preserve fewer node names ; On ; On ;
; Intel FPGA IP Evaluation Mode ; Enable ; Enable ;
; Verilog Version ; Verilog_2001 ; Verilog_2001 ;
; VHDL Version ; VHDL_1993 ; VHDL_1993 ;
; Safe State Machine ; Off ; Off ;
; Extract Verilog State Machines ; On ; On ;
; Extract VHDL State Machines ; On ; On ;
; Ignore Verilog initial constructs ; Off ; Off ;
; Iteration limit for constant Verilog loops ; 5000 ; 5000 ;
; Iteration limit for non-constant Verilog loops ; 250 ; 250 ;
; Add Pass-Through Logic to Inferred RAMs ; On ; On ;
; Infer RAMs from Raw Logic ; On ; On ;
; Parallel Synthesis ; On ; On ;
; NOT Gate Push-Back ; On ; On ;
; Power-Up Don't Care ; On ; On ;
; Remove Duplicate Registers ; On ; On ;
; Ignore CARRY Buffers ; Off ; Off ;
; Ignore CASCADE Buffers ; Off ; Off ;
; Ignore GLOBAL Buffers ; Off ; Off ;
; Ignore ROW GLOBAL Buffers ; Off ; Off ;
; Ignore LCELL Buffers ; Off ; Off ;
; Ignore SOFT Buffers ; On ; On ;
; Limit AHDL Integers to 32 Bits ; Off ; Off ;
; Carry Chain Length ; 70 ; 70 ;
; Auto Carry Chains ; On ; On ;
; Auto Open-Drain Pins ; On ; On ;
; Auto Shift Register Replacement ; Auto ; Auto ;
; Auto Clock Enable Replacement ; On ; On ;
; Allow Synchronous Control Signals ; On ; On ;
; Force Use of Synchronous Clear Signals ; Off ; Off ;
; Use LogicLock Constraints during Resource Balancing ; On ; On ;
; Ignore translate_off and synthesis_off directives ; Off ; Off ;
; Report Parameter Settings ; On ; On ;
; Report Source Assignments ; On ; On ;
; Report Connectivity Checks ; On ; On ;
; Ignore Maximum Fan-Out Assignments ; Off ; Off ;
; Synchronization Register Chain Length ; 2 ; 2 ;
; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ;
; HDL message level ; Level2 ; Level2 ;
; Suppress Register Optimization Related Messages ; Off ; Off ;
; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ;
; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ;
; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ;
; Clock MUX Protection ; On ; On ;
; Block Design Naming ; Auto ; Auto ;
; Synthesis Effort ; Auto ; Auto ;
; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ;
; Analysis & Synthesis Message Level ; Medium ; Medium ;
; Disable Register Merging Across Hierarchies ; Auto ; Auto ;
+------------------------------------------------------------------+--------------------+--------------------+
+------------------------------------------+
; Parallel Compilation ;
+----------------------------+-------------+
; Processors ; Number ;
+----------------------------+-------------+
; Number detected on machine ; 4 ;
; Maximum allowed ; 4 ;
; ; ;
; Average used ; 1.00 ;
; Maximum used ; 1 ;
; ; ;
; Usage by Processor ; % Time Used ;
; Processor 1 ; 100.0% ;
+----------------------------+-------------+
+-----------------------------------------------------------------------------------------------------------------------+
; Analysis & Synthesis Source Files Read ;
+----------------------------------+-----------------+------------------------+-------------------------------+---------+
; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ;
+----------------------------------+-----------------+------------------------+-------------------------------+---------+
; GR8RAM.v ; yes ; User Verilog HDL File ; Y:/Repos/GR8RAM/cpld/GR8RAM.v ; ;
+----------------------------------+-----------------+------------------------+-------------------------------+---------+
+-----------------------------------------------------+
; Analysis & Synthesis Resource Usage Summary ;
+---------------------------------------------+-------+
; Resource ; Usage ;
+---------------------------------------------+-------+
; Total logic elements ; 236 ;
; -- Combinational with no register ; 136 ;
; -- Register only ; 4 ;
; -- Combinational with a register ; 96 ;
; ; ;
; Logic element usage by number of LUT inputs ; ;
; -- 4 input functions ; 128 ;
; -- 3 input functions ; 37 ;
; -- 2 input functions ; 66 ;
; -- 1 input functions ; 1 ;
; -- 0 input functions ; 0 ;
; ; ;
; Logic elements by mode ; ;
; -- normal mode ; 203 ;
; -- arithmetic mode ; 33 ;
; -- qfbk mode ; 0 ;
; -- register cascade mode ; 0 ;
; -- synchronous clear/load mode ; 45 ;
; -- asynchronous clear/load mode ; 30 ;
; ; ;
; Total registers ; 100 ;
; Total logic cells in carry chains ; 37 ;
; I/O pins ; 80 ;
; Maximum fan-out node ; C25M ;
; Maximum fan-out ; 99 ;
; Total fan-out ; 1052 ;
; Average fan-out ; 3.33 ;
+---------------------------------------------+-------+
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Analysis & Synthesis Resource Utilization by Entity ;
+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+
; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ;
+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+
; |GR8RAM ; 236 (236) ; 100 ; 0 ; 80 ; 0 ; 136 (136) ; 4 (4) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ;
+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
Encoding Type: Minimal Bits
+-----------------------------------------------------------+
; State Machine - |GR8RAM|IS ;
+--------+----------------+----------------+----------------+
; Name ; IS.state_bit_2 ; IS.state_bit_1 ; IS.state_bit_0 ;
+--------+----------------+----------------+----------------+
; IS.000 ; 0 ; 0 ; 0 ;
; IS.001 ; 0 ; 0 ; 1 ;
; IS.100 ; 1 ; 0 ; 0 ;
; IS.101 ; 1 ; 0 ; 1 ;
; IS.110 ; 0 ; 1 ; 0 ;
; IS.111 ; 0 ; 1 ; 1 ;
+--------+----------------+----------------+----------------+
+------------------------------------------------------------+
; Registers Removed During Synthesis ;
+---------------------------------------+--------------------+
; Register name ; Reason for Removal ;
+---------------------------------------+--------------------+
; IS~10 ; Lost fanout ;
; Total Number of Removed Registers = 1 ; ;
+---------------------------------------+--------------------+
+------------------------------------------------------+
; General Register Statistics ;
+----------------------------------------------+-------+
; Statistic ; Value ;
+----------------------------------------------+-------+
; Total registers ; 100 ;
; Number of registers using Synchronous Clear ; 12 ;
; Number of registers using Synchronous Load ; 33 ;
; Number of registers using Asynchronous Clear ; 30 ;
; Number of registers using Asynchronous Load ; 0 ;
; Number of registers using Clock Enable ; 24 ;
; Number of registers using Preset ; 0 ;
+----------------------------------------------+-------+
+--------------------------------------------------+
; Inverted Register Statistics ;
+----------------------------------------+---------+
; Inverted Register ; Fan out ;
+----------------------------------------+---------+
; nRCS~reg0 ; 1 ;
; nRAS~reg0 ; 1 ;
; nCAS~reg0 ; 1 ;
; nSWE~reg0 ; 1 ;
; DQML~reg0 ; 1 ;
; DQMH~reg0 ; 1 ;
; RCKE~reg0 ; 4 ;
; nFCS~reg0 ; 1 ;
; Total number of inverted registers = 8 ; ;
+----------------------------------------+---------+
+------------------------------------------------------------------------------------------------------------------------------------------+
; Multiplexer Restructuring Statistics (Restructuring Performed) ;
+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ;
+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ;
; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ;
; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[6]~reg0 ;
; 20:1 ; 2 bits ; 26 LEs ; 12 LEs ; 14 LEs ; Yes ; |GR8RAM|SA[1]~reg0 ;
; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[4] ;
; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |GR8RAM|RDD[2] ;
; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQMH~reg0 ;
; 18:1 ; 3 bits ; 36 LEs ; 6 LEs ; 30 LEs ; Yes ; |GR8RAM|RDD[4] ;
; 7:1 ; 5 bits ; 20 LEs ; 20 LEs ; 0 LEs ; No ; |GR8RAM|IS ;
+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
+-------------------------------+
; Analysis & Synthesis Messages ;
+-------------------------------+
Info: *******************************************************************
Info: Running Quartus Prime Analysis & Synthesis
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Info: Processing started: Fri Apr 14 01:51:54 2023
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
Warning (10229): Verilog HDL Expression warning at GR8RAM.v(22): truncated literal to match 1 bits File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 22
Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v
Info (12023): Found entity 1: GR8RAM File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 1
Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy
Warning (10230): Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 31
Warning (10230): Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 36
Warning (10230): Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 111
Warning (10230): Verilog HDL assignment warning at GR8RAM.v(119): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 119
Warning (10230): Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 126
Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched
Warning (13024): Output pins are stuck at VCC or GND
Warning (13410): Pin "nNMIout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 553
Warning (13410): Pin "nIRQout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 556
Warning (13410): Pin "nRDYout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 555
Warning (13410): Pin "nINHout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 554
Warning (13410): Pin "RWout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 557
Warning (13410): Pin "nDMAout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 552
Warning (13410): Pin "RAdir" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 551
Info (17049): 1 registers lost all their fanouts during netlist optimizations.
Warning (21074): Design contains 1 input pin(s) that do not drive logic
Warning (15610): No output dependent on input pin "RA[11]" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 56
Info (21057): Implemented 316 device resources after synthesis - the final resource count might be different
Info (21058): Implemented 28 input pins
Info (21059): Implemented 35 output pins
Info (21060): Implemented 17 bidirectional pins
Info (21061): Implemented 236 logic cells
Info (144001): Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg
Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 17 warnings
Info: Peak virtual memory: 13092 megabytes
Info: Processing ended: Fri Apr 14 01:52:11 2023
Info: Elapsed time: 00:00:17
Info: Total CPU time (on all processors): 00:00:39
+------------------------------------------+
; Analysis & Synthesis Suppressed Messages ;
+------------------------------------------+
The suppressed messages can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg.

View File

@ -1,2 +0,0 @@
Warning (10273): Verilog HDL warning at GR8RAM.v(87): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 87
Warning (10273): Verilog HDL warning at GR8RAM.v(269): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 269

View File

@ -1,9 +0,0 @@
Analysis & Synthesis Status : Successful - Fri Apr 14 01:52:11 2023
Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Revision Name : GR8RAM
Top-level Entity Name : GR8RAM
Family : MAX II
Total logic elements : 236
Total pins : 80
Total virtual pins : 0
UFM blocks : 0 / 1 ( 0 % )

View File

@ -1,165 +0,0 @@
-- Copyright (C) 2019 Intel Corporation. All rights reserved.
-- Your use of Intel Corporation's design tools, logic functions
-- and other software and tools, and any partner logic
-- functions, and any output files from any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Intel Program License
-- Subscription Agreement, the Intel Quartus Prime License Agreement,
-- the Intel FPGA IP License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for
-- the sole purpose of programming logic devices manufactured by
-- Intel and sold by Intel or its authorized distributors. Please
-- refer to the applicable agreement for further details, at
-- https://fpgasoftware.intel.com/eula.
--
-- This is a Quartus Prime output file. It is for reporting purposes only, and is
-- not intended for use as a Quartus Prime input file. This file cannot be used
-- to make Quartus Prime pin assignments - for instructions on how to make pin
-- assignments, please see Quartus Prime help.
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-- NC : No Connect. This pin has no internal connection to the device.
-- DNU : Do Not Use. This pin MUST NOT be connected.
-- VCCINT : Dedicated power pin, which MUST be connected to VCC (2.5V/3.3V).
-- VCCIO : Dedicated power pin, which MUST be connected to VCC
-- of its bank.
-- Bank 1: 3.3V
-- Bank 2: 3.3V
-- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
-- It can also be used to report unused dedicated pins. The connection
-- on the board for unused dedicated pins depends on whether this will
-- be used in a future design. One example is device migration. When
-- using device migration, refer to the device pin-tables. If it is a
-- GND pin in the pin table or if it will not be used in a future design
-- for another purpose the it MUST be connected to GND. If it is an unused
-- dedicated pin, then it can be connected to a valid signal on the board
-- (low, high, or toggling) if that signal is required for a different
-- revision of the design.
-- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins.
-- This pin should be connected to GND. It may also be connected to a
-- valid signal on the board (low, high, or toggling) if that signal
-- is required for a different revision of the design.
-- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND
-- or leave it unconnected.
-- RESERVED : Unused I/O pin, which MUST be left unconnected.
-- RESERVED_INPUT : Pin is tri-stated and should be connected to the board.
-- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor.
-- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry.
-- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high.
---------------------------------------------------------------------------------
---------------------------------------------------------------------------------
-- Pin directions (input, output or bidir) are based on device operating in user mode.
---------------------------------------------------------------------------------
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
CHIP "GR8RAM" ASSIGNED TO AN: EPM240T100C5
Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment
-------------------------------------------------------------------------------------------------------------
RA[4] : 1 : input : 3.3-V LVTTL : : 2 : Y
RA[5] : 2 : input : 3.3-V LVTTL : : 1 : Y
RA[6] : 3 : input : 3.3-V LVTTL : : 1 : Y
RA[3] : 4 : input : 3.3-V LVTTL : : 1 : Y
nFCS : 5 : output : 3.3-V LVTTL : : 1 : Y
RA[7] : 6 : input : 3.3-V LVTTL : : 1 : Y
RA[8] : 7 : input : 3.3-V LVTTL : : 1 : Y
RA[9] : 8 : input : 3.3-V LVTTL : : 1 : Y
VCCIO1 : 9 : power : : 3.3V : 1 :
GNDIO : 10 : gnd : : : :
GNDINT : 11 : gnd : : : :
FCK : 12 : output : 3.3-V LVTTL : : 1 : Y
VCCINT : 13 : power : : 2.5V/3.3V : :
RA[10] : 14 : input : 3.3-V LVTTL : : 1 : Y
MOSI : 15 : bidir : 3.3-V LVTTL : : 1 : Y
MISO : 16 : input : 3.3-V LVTTL : : 1 : Y
RDdir : 17 : output : 3.3-V LVTTL : : 1 : Y
DMAout : 18 : output : 3.3-V LVTTL : : 1 : Y
RAdir : 19 : output : 3.3-V LVTTL : : 1 : Y
INTout : 20 : output : 3.3-V LVTTL : : 1 : Y
nDMAout : 21 : output : 3.3-V LVTTL : : 1 : Y
TMS : 22 : input : : : 1 :
TDI : 23 : input : : : 1 :
TCK : 24 : input : : : 1 :
TDO : 25 : output : : : 1 :
nNMIout : 26 : output : 3.3-V LVTTL : : 1 : Y
nINHout : 27 : output : 3.3-V LVTTL : : 1 : Y
nRDYout : 28 : output : 3.3-V LVTTL : : 1 : Y
nIRQout : 29 : output : 3.3-V LVTTL : : 1 : Y
nRESout : 30 : output : 3.3-V LVTTL : : 1 : Y
VCCIO1 : 31 : power : : 3.3V : 1 :
GNDIO : 32 : gnd : : : :
RWout : 33 : output : 3.3-V LVTTL : : 1 : Y
RA[11] : 34 : input : 3.3-V LVTTL : : 1 : Y
RA[12] : 35 : input : 3.3-V LVTTL : : 1 : Y
RA[13] : 36 : input : 3.3-V LVTTL : : 1 : Y
RA[14] : 37 : input : 3.3-V LVTTL : : 1 : Y
RA[15] : 38 : input : 3.3-V LVTTL : : 1 : Y
nIOSEL : 39 : input : 3.3V Schmitt Trigger Input : : 1 : Y
nDEVSEL : 40 : input : 3.3V Schmitt Trigger Input : : 1 : Y
PHI0 : 41 : input : 3.3V Schmitt Trigger Input : : 1 : Y
nIOSTRB : 42 : input : 3.3V Schmitt Trigger Input : : 1 : Y
nWE : 43 : input : 3.3V Schmitt Trigger Input : : 1 : Y
nRES : 44 : input : 3.3V Schmitt Trigger Input : : 1 : Y
VCCIO1 : 45 : power : : 3.3V : 1 :
GNDIO : 46 : gnd : : : :
SD[1] : 47 : bidir : 3.3-V LVTTL : : 1 : Y
DMAin : 48 : input : 3.3-V LVTTL : : 1 : Y
INTin : 49 : input : 3.3-V LVTTL : : 1 : Y
SD[0] : 50 : bidir : 3.3-V LVTTL : : 1 : Y
SD[4] : 51 : bidir : 3.3-V LVTTL : : 1 : Y
SD[5] : 52 : bidir : 3.3-V LVTTL : : 2 : Y
SD[6] : 53 : bidir : 3.3-V LVTTL : : 2 : Y
SD[7] : 54 : bidir : 3.3-V LVTTL : : 2 : Y
SD[3] : 55 : bidir : 3.3-V LVTTL : : 2 : Y
SD[2] : 56 : bidir : 3.3-V LVTTL : : 2 : Y
DQMH : 57 : output : 3.3-V LVTTL : : 2 : Y
nSWE : 58 : output : 3.3-V LVTTL : : 2 : Y
VCCIO2 : 59 : power : : 3.3V : 2 :
GNDIO : 60 : gnd : : : :
nCAS : 61 : output : 3.3-V LVTTL : : 2 : Y
nRAS : 62 : output : 3.3-V LVTTL : : 2 : Y
VCCINT : 63 : power : : 2.5V/3.3V : :
C25M : 64 : input : 3.3-V LVTTL : : 2 : Y
GNDINT : 65 : gnd : : : :
RCKE : 66 : output : 3.3-V LVTTL : : 2 : Y
nRCS : 67 : output : 3.3-V LVTTL : : 2 : Y
SA[12] : 68 : output : 3.3-V LVTTL : : 2 : Y
SBA[0] : 69 : output : 3.3-V LVTTL : : 2 : Y
SA[11] : 70 : output : 3.3-V LVTTL : : 2 : Y
SBA[1] : 71 : output : 3.3-V LVTTL : : 2 : Y
SA[9] : 72 : output : 3.3-V LVTTL : : 2 : Y
SA[10] : 73 : output : 3.3-V LVTTL : : 2 : Y
SA[8] : 74 : output : 3.3-V LVTTL : : 2 : Y
SA[0] : 75 : output : 3.3-V LVTTL : : 2 : Y
SA[4] : 76 : output : 3.3-V LVTTL : : 2 : Y
SA[6] : 77 : output : 3.3-V LVTTL : : 2 : Y
SA[7] : 78 : output : 3.3-V LVTTL : : 2 : Y
GNDIO : 79 : gnd : : : :
VCCIO2 : 80 : power : : 3.3V : 2 :
SA[1] : 81 : output : 3.3-V LVTTL : : 2 : Y
SA[2] : 82 : output : 3.3-V LVTTL : : 2 : Y
SA[5] : 83 : output : 3.3-V LVTTL : : 2 : Y
SA[3] : 84 : output : 3.3-V LVTTL : : 2 : Y
DQML : 85 : output : 3.3-V LVTTL : : 2 : Y
RD[0] : 86 : bidir : 3.3-V LVTTL : : 2 : Y
RD[1] : 87 : bidir : 3.3-V LVTTL : : 2 : Y
RD[2] : 88 : bidir : 3.3-V LVTTL : : 2 : Y
RD[3] : 89 : bidir : 3.3-V LVTTL : : 2 : Y
RD[4] : 90 : bidir : 3.3-V LVTTL : : 2 : Y
RD[5] : 91 : bidir : 3.3-V LVTTL : : 2 : Y
RD[6] : 92 : bidir : 3.3-V LVTTL : : 2 : Y
GNDIO : 93 : gnd : : : :
VCCIO2 : 94 : power : : 3.3V : 2 :
SetFW[1] : 95 : input : 3.3V Schmitt Trigger Input : : 2 : Y
SetFW[0] : 96 : input : 3.3V Schmitt Trigger Input : : 2 : Y
RA[2] : 97 : input : 3.3-V LVTTL : : 2 : Y
RA[1] : 98 : input : 3.3-V LVTTL : : 2 : Y
RD[7] : 99 : bidir : 3.3-V LVTTL : : 2 : Y
RA[0] : 100 : input : 3.3-V LVTTL : : 2 : Y

Binary file not shown.

View File

@ -1 +0,0 @@
<sld_project_info/>

View File

@ -1,790 +0,0 @@
Timing Analyzer report for GR8RAM
Fri Apr 14 01:52:20 2023
Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Timing Analyzer Summary
3. Parallel Compilation
4. SDC File List
5. Clocks
6. Fmax Summary
7. Setup Summary
8. Hold Summary
9. Recovery Summary
10. Removal Summary
11. Minimum Pulse Width Summary
12. Setup: 'C25M'
13. Hold: 'C25M'
14. Recovery: 'C25M'
15. Removal: 'C25M'
16. Setup Transfers
17. Hold Transfers
18. Recovery Transfers
19. Removal Transfers
20. Report TCCS
21. Report RSKM
22. Unconstrained Paths Summary
23. Clock Status Summary
24. Unconstrained Input Ports
25. Unconstrained Output Ports
26. Unconstrained Input Ports
27. Unconstrained Output Ports
28. Timing Analyzer Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 2019 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions
and other software and tools, and any partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Intel Program License
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details, at
https://fpgasoftware.intel.com/eula.
+-----------------------------------------------------------------------------+
; Timing Analyzer Summary ;
+-----------------------+-----------------------------------------------------+
; Quartus Prime Version ; Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
; Timing Analyzer ; Legacy Timing Analyzer ;
; Revision Name ; GR8RAM ;
; Device Family ; MAX II ;
; Device Name ; EPM240T100C5 ;
; Timing Models ; Final ;
; Delay Model ; Slow Model ;
; Rise/Fall Delays ; Unavailable ;
+-----------------------+-----------------------------------------------------+
+------------------------------------------+
; Parallel Compilation ;
+----------------------------+-------------+
; Processors ; Number ;
+----------------------------+-------------+
; Number detected on machine ; 4 ;
; Maximum allowed ; 4 ;
; ; ;
; Average used ; 1.00 ;
; Maximum used ; 2 ;
; ; ;
; Usage by Processor ; % Time Used ;
; Processor 1 ; 100.0% ;
; Processor 2 ; 0.0% ;
+----------------------------+-------------+
+---------------------------------------------------+
; SDC File List ;
+---------------+--------+--------------------------+
; SDC File Path ; Status ; Read at ;
+---------------+--------+--------------------------+
; GR8RAM.sdc ; OK ; Fri Apr 14 01:52:19 2023 ;
+---------------+--------+--------------------------+
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clocks ;
+------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+
; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ;
+------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+
; C25M ; Base ; 40.000 ; 25.0 MHz ; 0.000 ; 20.000 ; ; ; ; ; ; ; ; ; ; ; { C25M } ;
; PHI0 ; Base ; 978.000 ; 1.02 MHz ; 0.000 ; 489.000 ; ; ; ; ; ; ; ; ; ; ; { PHI0 } ;
+------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+
+-------------------------------------------------+
; Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 73.38 MHz ; 73.38 MHz ; C25M ; ;
+-----------+-----------------+------------+------+
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
+--------------------------------+
; Setup Summary ;
+-------+--------+---------------+
; Clock ; Slack ; End Point TNS ;
+-------+--------+---------------+
; C25M ; 13.632 ; 0.000 ;
+-------+--------+---------------+
+-------------------------------+
; Hold Summary ;
+-------+-------+---------------+
; Clock ; Slack ; End Point TNS ;
+-------+-------+---------------+
; C25M ; 1.404 ; 0.000 ;
+-------+-------+---------------+
+--------------------------------+
; Recovery Summary ;
+-------+--------+---------------+
; Clock ; Slack ; End Point TNS ;
+-------+--------+---------------+
; C25M ; 33.394 ; 0.000 ;
+-------+--------+---------------+
+-------------------------------+
; Removal Summary ;
+-------+-------+---------------+
; Clock ; Slack ; End Point TNS ;
+-------+-------+---------------+
; C25M ; 6.052 ; 0.000 ;
+-------+-------+---------------+
+---------------------------------+
; Minimum Pulse Width Summary ;
+-------+---------+---------------+
; Clock ; Slack ; End Point TNS ;
+-------+---------+---------------+
; C25M ; 19.734 ; 0.000 ;
; PHI0 ; 488.734 ; 0.000 ;
+-------+---------+---------------+
+----------------------------------------------------------------------------------------------------------------+
; Setup: 'C25M' ;
+--------+----------------+----------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+----------------+----------------+--------------+-------------+--------------+------------+------------+
; 13.632 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.035 ;
; 13.741 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.926 ;
; 13.741 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.926 ;
; 13.741 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.926 ;
; 13.857 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.810 ;
; 13.907 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.760 ;
; 13.966 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.701 ;
; 13.966 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.701 ;
; 13.966 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.701 ;
; 14.016 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.651 ;
; 14.016 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.651 ;
; 14.016 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.651 ;
; 14.028 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.639 ;
; 14.032 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.635 ;
; 14.137 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.530 ;
; 14.137 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.530 ;
; 14.137 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.530 ;
; 14.234 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.433 ;
; 14.241 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.426 ;
; 14.265 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.402 ;
; 14.388 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.279 ;
; 14.388 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.279 ;
; 14.388 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.279 ;
; 14.388 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.279 ;
; 14.430 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.237 ;
; 14.497 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.170 ;
; 14.594 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.073 ;
; 14.613 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.054 ;
; 14.613 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.054 ;
; 14.613 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.054 ;
; 14.613 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.054 ;
; 14.663 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.004 ;
; 14.663 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.004 ;
; 14.663 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.004 ;
; 14.663 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.004 ;
; 14.784 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.883 ;
; 14.784 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.883 ;
; 14.784 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.883 ;
; 14.784 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.883 ;
; 15.031 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.636 ;
; 15.061 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.606 ;
; 15.151 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.516 ;
; 15.285 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.382 ;
; 15.309 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.358 ;
; 15.415 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.252 ;
; 15.445 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.222 ;
; 15.454 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.213 ;
; 15.533 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.134 ;
; 15.625 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.042 ;
; 15.761 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.906 ;
; 15.775 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.892 ;
; 15.779 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.888 ;
; 15.879 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.788 ;
; 15.981 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.686 ;
; 16.027 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.640 ;
; 16.325 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.342 ;
; 26.372 ; PS[3] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.295 ;
; 26.645 ; PS[3] ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.022 ;
; 26.748 ; PS[3] ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.919 ;
; 27.318 ; PS[3] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.349 ;
; 27.398 ; PS[3] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.269 ;
; 27.575 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.092 ;
; 27.762 ; PS[3] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.905 ;
; 27.848 ; IS.state_bit_1 ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.819 ;
; 27.951 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.716 ;
; 27.965 ; IS.state_bit_0 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.702 ;
; 28.136 ; PS[0] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ;
; 28.136 ; PS[0] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ;
; 28.136 ; PS[0] ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ;
; 28.136 ; PS[0] ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ;
; 28.136 ; PS[0] ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ;
; 28.136 ; PS[0] ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ;
; 28.136 ; PS[0] ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ;
; 28.136 ; PS[0] ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ;
; 28.177 ; PS[0] ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ;
; 28.177 ; PS[0] ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ;
; 28.177 ; PS[0] ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ;
; 28.177 ; PS[0] ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ;
; 28.177 ; PS[0] ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ;
; 28.177 ; PS[0] ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ;
; 28.177 ; PS[0] ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ;
; 28.177 ; PS[0] ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ;
; 28.238 ; IS.state_bit_0 ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.429 ;
; 28.341 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.326 ;
; 28.521 ; IS.state_bit_1 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.146 ;
; 28.553 ; LS[6] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.114 ;
; 28.601 ; IS.state_bit_1 ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.066 ;
; 28.633 ; LS[3] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.034 ;
; 28.770 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.897 ;
; 28.895 ; LS[6] ; IS.state_bit_2 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.772 ;
; 28.911 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.756 ;
; 28.975 ; LS[3] ; IS.state_bit_2 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.692 ;
; 28.991 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.676 ;
; 29.031 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.636 ;
; 29.044 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.623 ;
; 29.102 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.565 ;
; 29.114 ; PS[3] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.553 ;
; 29.152 ; PS[1] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.515 ;
; 29.158 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.509 ;
; 29.160 ; IS.state_bit_0 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.507 ;
+--------+----------------+----------------+--------------+-------------+--------------+------------+------------+
+---------------------------------------------------------------------------------------------------------------+
; Hold: 'C25M' ;
+-------+----------------+----------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+----------------+----------------+--------------+-------------+--------------+------------+------------+
; 1.404 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.625 ;
; 1.412 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ;
; 1.419 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.640 ;
; 1.420 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ;
; 1.646 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.867 ;
; 1.650 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 1.871 ;
; 1.674 ; PHI0r1 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.895 ;
; 1.678 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.899 ;
; 1.698 ; RCKE~reg0 ; SDOE ; C25M ; C25M ; 0.000 ; 0.000 ; 1.919 ;
; 1.799 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.020 ;
; 1.806 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.027 ;
; 1.831 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.052 ;
; 1.836 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.057 ;
; 1.916 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.137 ;
; 1.929 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.150 ;
; 1.951 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.172 ;
; 1.967 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.188 ;
; 1.968 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.189 ;
; 1.972 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.193 ;
; 1.986 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.207 ;
; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ;
; 2.107 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ;
; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ;
; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ;
; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ;
; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ;
; 2.118 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.339 ;
; 2.124 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ;
; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ;
; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ;
; 2.126 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ;
; 2.127 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ;
; 2.134 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ;
; 2.137 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ;
; 2.137 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ;
; 2.139 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.360 ;
; 2.144 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ;
; 2.150 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.371 ;
; 2.153 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.374 ;
; 2.212 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ;
; 2.225 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.446 ;
; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ;
; 2.230 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ;
; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ;
; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ;
; 2.231 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ;
; 2.231 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ;
; 2.231 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ;
; 2.231 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ;
; 2.232 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ;
; 2.241 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ;
; 2.248 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ;
; 2.249 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ;
; 2.249 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ;
; 2.252 ; PHI0r2 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ;
; 2.252 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ;
; 2.255 ; IS.state_bit_2 ; FCKout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.476 ;
; 2.255 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.476 ;
; 2.259 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.480 ;
; 2.260 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ;
; 2.261 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ;
; 2.261 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ;
; 2.264 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ;
; 2.267 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.488 ;
; 2.273 ; RCKE~reg0 ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.494 ;
; 2.273 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.494 ;
; 2.293 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.514 ;
; 2.425 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.646 ;
; 2.495 ; IS.state_bit_1 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.716 ;
; 2.559 ; PS[3] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.780 ;
; 2.627 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.848 ;
; 2.636 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.857 ;
; 2.676 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.897 ;
; 2.838 ; PS[2] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.059 ;
; 2.842 ; PS[2] ; SA[0]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.063 ;
; 2.842 ; PS[2] ; SA[1]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.063 ;
; 2.847 ; IS.state_bit_0 ; FCKout ; C25M ; C25M ; 0.000 ; 0.000 ; 3.068 ;
; 2.886 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.107 ;
; 2.933 ; IS.state_bit_2 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.154 ;
; 2.937 ; IS.state_bit_2 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.158 ;
; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ;
; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ;
; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ;
; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ;
; 2.955 ; IS.state_bit_0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.176 ;
; 2.956 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ;
; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ;
; 2.958 ; IS.state_bit_0 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ;
; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ;
; 2.958 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ;
; 2.966 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ;
; 2.971 ; IS.state_bit_2 ; IS.state_bit_2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.192 ;
; 2.976 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ;
; 2.982 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.203 ;
; 2.985 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.206 ;
; 3.060 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ;
; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ;
; 3.060 ; Addr[9] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ;
; 3.060 ; Addr[1] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ;
; 3.067 ; Addr[8] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.288 ;
+-------+----------------+----------------+--------------+-------------+--------------+------------+------------+
+-----------------------------------------------------------------------------------------------------+
; Recovery: 'C25M' ;
+--------+-----------+----------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+-----------+----------+--------------+-------------+--------------+------------+------------+
; 33.394 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
; 33.394 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ;
+--------+-----------+----------+--------------+-------------+--------------+------------+------------+
+----------------------------------------------------------------------------------------------------+
; Removal: 'C25M' ;
+-------+-----------+----------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+-------+-----------+----------+--------------+-------------+--------------+------------+------------+
; 6.052 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
; 6.052 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ;
+-------+-----------+----------+--------------+-------------+--------------+------------+------------+
+-----------------------------------------------------------------------+
; Setup Transfers ;
+------------+----------+------------+------------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+------------+------------+----------+----------+
; C25M ; C25M ; 1291 ; 0 ; 56 ; 0 ;
; PHI0 ; C25M ; false path ; false path ; 0 ; 0 ;
+------------+----------+------------+------------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
+-----------------------------------------------------------------------+
; Hold Transfers ;
+------------+----------+------------+------------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+------------+------------+----------+----------+
; C25M ; C25M ; 1291 ; 0 ; 56 ; 0 ;
; PHI0 ; C25M ; false path ; false path ; 0 ; 0 ;
+------------+----------+------------+------------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
+-------------------------------------------------------------------+
; Recovery Transfers ;
+------------+----------+----------+----------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+----------+----------+----------+----------+
; C25M ; C25M ; 29 ; 0 ; 0 ; 0 ;
+------------+----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
+-------------------------------------------------------------------+
; Removal Transfers ;
+------------+----------+----------+----------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+----------+----------+----------+----------+
; C25M ; C25M ; 29 ; 0 ; 0 ; 0 ;
+------------+----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
---------------
; Report TCCS ;
---------------
No dedicated SERDES Transmitter circuitry present in device or used in design
---------------
; Report RSKM ;
---------------
No non-DPA dedicated SERDES Receiver circuitry present in device or used in design
+------------------------------------------------+
; Unconstrained Paths Summary ;
+---------------------------------+-------+------+
; Property ; Setup ; Hold ;
+---------------------------------+-------+------+
; Illegal Clocks ; 0 ; 0 ;
; Unconstrained Clocks ; 0 ; 0 ;
; Unconstrained Input Ports ; 43 ; 43 ;
; Unconstrained Input Port Paths ; 407 ; 407 ;
; Unconstrained Output Ports ; 45 ; 45 ;
; Unconstrained Output Port Paths ; 152 ; 152 ;
+---------------------------------+-------+------+
+-------------------------------------+
; Clock Status Summary ;
+--------+-------+------+-------------+
; Target ; Clock ; Type ; Status ;
+--------+-------+------+-------------+
; C25M ; C25M ; Base ; Constrained ;
; PHI0 ; PHI0 ; Base ; Constrained ;
+--------+-------+------+-------------+
+---------------------------------------------------------------------------------------------------+
; Unconstrained Input Ports ;
+------------+--------------------------------------------------------------------------------------+
; Input Port ; Comment ;
+------------+--------------------------------------------------------------------------------------+
; DMAin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; INTin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; MISO ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; MOSI ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; PHI0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[10] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[12] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[13] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[14] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[15] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SetFW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SetFW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nDEVSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nIOSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nIOSTRB ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nRES ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nWE ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
+------------+--------------------------------------------------------------------------------------+
+-----------------------------------------------------------------------------------------------------+
; Unconstrained Output Ports ;
+-------------+---------------------------------------------------------------------------------------+
; Output Port ; Comment ;
+-------------+---------------------------------------------------------------------------------------+
; DMAout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; DQMH ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; DQML ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; FCK ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; INTout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; MOSI ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RCKE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RDdir ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nCAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nFCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nRAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nRCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nRESout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nSWE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+-------------+---------------------------------------------------------------------------------------+
+---------------------------------------------------------------------------------------------------+
; Unconstrained Input Ports ;
+------------+--------------------------------------------------------------------------------------+
; Input Port ; Comment ;
+------------+--------------------------------------------------------------------------------------+
; DMAin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; INTin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; MISO ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; MOSI ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; PHI0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[10] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[12] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[13] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[14] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RA[15] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SetFW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SetFW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nDEVSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nIOSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nIOSTRB ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nRES ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nWE ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
+------------+--------------------------------------------------------------------------------------+
+-----------------------------------------------------------------------------------------------------+
; Unconstrained Output Ports ;
+-------------+---------------------------------------------------------------------------------------+
; Output Port ; Comment ;
+-------------+---------------------------------------------------------------------------------------+
; DMAout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; DQMH ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; DQML ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; FCK ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; INTout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; MOSI ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RCKE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; RDdir ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; SD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nCAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nFCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nRAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nRCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nRESout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
; nSWE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+-------------+---------------------------------------------------------------------------------------+
+--------------------------+
; Timing Analyzer Messages ;
+--------------------------+
Info: *******************************************************************
Info: Running Quartus Prime Timing Analyzer
Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
Info: Processing started: Fri Apr 14 01:52:18 2023
Info: Command: quartus_sta GR8RAM -c GR8RAM
Info: qsta_default_script.tcl version: #1
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
Info (21077): Low junction temperature is 0 degrees C
Info (21077): High junction temperature is 85 degrees C
Info (334003): Started post-fitting delay annotation
Info (334004): Delay annotation completed successfully
Info (332104): Reading SDC File: 'GR8RAM.sdc'
Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
Info: Can't run Report Timing Closure Recommendations. The current device family is not supported.
Info (332146): Worst-case setup slack is 13.632
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 13.632 0.000 C25M
Info (332146): Worst-case hold slack is 1.404
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 1.404 0.000 C25M
Info (332146): Worst-case recovery slack is 33.394
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 33.394 0.000 C25M
Info (332146): Worst-case removal slack is 6.052
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 6.052 0.000 C25M
Info (332146): Worst-case minimum pulse width slack is 19.734
Info (332119): Slack End Point TNS Clock
Info (332119): ========= =================== =====================
Info (332119): 19.734 0.000 C25M
Info (332119): 488.734 0.000 PHI0
Info (332001): The selected device family is not supported by the report_metastability command.
Info (332102): Design is not fully constrained for setup requirements
Info (332102): Design is not fully constrained for hold requirements
Info: Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning
Info: Peak virtual memory: 13053 megabytes
Info: Processing ended: Fri Apr 14 01:52:20 2023
Info: Elapsed time: 00:00:02
Info: Total CPU time (on all processors): 00:00:01

View File

@ -1,29 +0,0 @@
------------------------------------------------------------
Timing Analyzer Summary
------------------------------------------------------------
Type : Setup 'C25M'
Slack : 13.632
TNS : 0.000
Type : Hold 'C25M'
Slack : 1.404
TNS : 0.000
Type : Recovery 'C25M'
Slack : 33.394
TNS : 0.000
Type : Removal 'C25M'
Slack : 6.052
TNS : 0.000
Type : Minimum Pulse Width 'C25M'
Slack : 19.734
TNS : 0.000
Type : Minimum Pulse Width 'PHI0'
Slack : 488.734
TNS : 0.000
------------------------------------------------------------

View File

@ -1,8 +0,0 @@
<internal_error>
<executable>quartus.exe</executable>
<sub_system>MEM</sub_system>
<error>*** Fatal Error: Out of memory in module quartus.exe (1999 megabytes used)</error>
<date>Mon Mar 22 01:13:02 2021</date>
<version>Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition</version>
</internal_error>

Binary file not shown.

View File

@ -1,21 +0,0 @@
Reference, Quantity, Value, Footprint, Datasheet, LCSC Part
C10 C1 C7 C2 C3 C4 C11 ,7,"10u","stdpads:C_0805","~","C15850"
C31 C30 C44 C43 C42 C35 C34 C33 C32 C26 C28 C27 C25 C24 C18 C23 C22 C21 C20 C19 C16 C15 C14 C13 C12 C29 C5 ,27,"2u2","stdpads:C_0603","~","C23630"
FID5 FID4 FID3 FID2 FID1 ,5,"Fiducial","stdpads:Fiducial","~"
H1 ,1," ","stdpads:PasteHole_1.1mm_PTH","~"
H6 H2 H3 H4 H5 ,5," ","stdpads:PasteHole_1.152mm_NPTH","~"
J1 ,1,"AppleIIBus","stdpads:AppleIIBus_Edge","~"
J2 J5 ,2,"JTAG","Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","~"
J4 ,1,"JTAG","Connector_IDC:IDC-Header_2x05_P2.54mm_Vertical","~"
R22 R31 ,2,"33","stdpads:R_0603","~","C23140"
R28 R29 ,2,"22k","stdpads:R_0603","~","C31850"
RN2 RN3 RN1 ,3,"4x33","stdpads:R4_0402","~","C25501"
RN5 ,1,"4x10k","stdpads:R4_0402","~","C25725"
SW1 ,1,"FW","stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm","~","C319052"
U1 ,1,"EPM240T100C5N","stdpads:TQFP-100_14x14mm_P0.5mm","https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/max2/max2_mii5v1.pdf","C10041"
U13 ,1,"25M","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","","C669088"
U16 U14 ,2,"74LVC1G125GW","stdpads:SOT-353","","C12519"
U2 ,1,"W9825","stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm","","C62246"
U3 ,1,"W25Q128JVSIQ","stdpads:SOIC-8_5.3mm","","C164122"
U5 U6 U9 U4 ,4,"74AHC245PW","stdpads:TSSOP-20_4.4x6.5mm_P0.65mm","","C5516"
U8 ,1,"XC6206P332MR","stdpads:SOT-23","","C5446"
Can't render this file because it has a wrong number of fields in line 4.

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,61 +0,0 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)*
G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00*
G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15*
%MOMM*%
%LPD*%
G04 APERTURE LIST*
%ADD10C,0.150000*%
G04 APERTURE END LIST*
D10*
X57785000Y-80391000D02*
X46101001Y-92074999D01*
X57785000Y-80391000D02*
G75*
G02X59309000Y-79883000I1524000J-2032000D01*
G01*
X46101001Y-92074999D02*
G75*
G03X45593000Y-93599000I2031999J-1524001D01*
G01*
X48133000Y-132080000D02*
X73914000Y-132080000D01*
X59309000Y-79883000D02*
X143002000Y-79883000D01*
X143002000Y-79883000D02*
G75*
G02X145542000Y-82423000I0J-2540000D01*
G01*
X138938000Y-139700000D02*
X74422000Y-139700000D01*
X145542000Y-129540000D02*
X145542000Y-82423000D01*
X74422000Y-139700000D02*
G75*
G02X73914000Y-139192000I0J508000D01*
G01*
X139446000Y-139192000D02*
G75*
G02X138938000Y-139700000I-508000J0D01*
G01*
X73914000Y-132080000D02*
X73914000Y-139192000D01*
X45593000Y-129540000D02*
X45593000Y-93599000D01*
X48133000Y-132080000D02*
G75*
G02X45593000Y-129540000I0J2540000D01*
G01*
X143002000Y-132080000D02*
X139446000Y-132080000D01*
X139446000Y-132080000D02*
X139446000Y-139192000D01*
X145542000Y-129540000D02*
G75*
G02X143002000Y-132080000I-2540000J0D01*
G01*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1 +0,0 @@
Ref,Val,Package,MidX,MidY,Rot,Side
1 Ref Val Package MidX MidY Rot Side

View File

@ -1,6 +0,0 @@
### Module positions - created on Monday, April 19, 2021 at 04:27:25 AM ###
### Printed by Pcbnew version kicad (5.1.5-0-10_14)
## Unit = mm, Angle = deg.
## Side : bottom
# Ref Val Package PosX PosY Rot Side
## End

Some files were not shown because too many files have changed in this diff Show More