From 9a1aa44d0339897357a79d41a19b5017c99e968e Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 14 Apr 2023 00:22:29 -0400 Subject: [PATCH] Slim down a lot --- cpld/GR8RAM.qsf | 2 +- cpld/GR8RAM.v | 142 ++--- cpld/db/GR8RAM.(0).cnf.cdb | Bin 26163 -> 24646 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4998 -> 4524 bytes cpld/db/GR8RAM.asm.qmsg | 14 +- cpld/db/GR8RAM.asm.rdb | Bin 787 -> 787 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3205 -> 3091 bytes cpld/db/GR8RAM.cmp.cdb | Bin 62395 -> 58068 bytes cpld/db/GR8RAM.cmp.hdb | Bin 22349 -> 21753 bytes cpld/db/GR8RAM.cmp.idb | Bin 2998 -> 2916 bytes cpld/db/GR8RAM.cmp.rdb | Bin 15206 -> 15149 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 107153 -> 100824 bytes cpld/db/GR8RAM.fit.qmsg | 78 ++- cpld/db/GR8RAM.hier_info | 173 ++++-- cpld/db/GR8RAM.hif | Bin 425 -> 426 bytes cpld/db/GR8RAM.map.cdb | Bin 23423 -> 22058 bytes cpld/db/GR8RAM.map.hdb | Bin 21028 -> 20463 bytes cpld/db/GR8RAM.map.qmsg | 41 +- cpld/db/GR8RAM.map.rdb | Bin 1256 -> 1253 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 18525 -> 18080 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 410 -> 338 bytes cpld/db/GR8RAM.routing.rdb | Bin 1541 -> 1554 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 18470 -> 18027 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 29859 -> 28357 bytes cpld/db/GR8RAM.sta.qmsg | 44 +- cpld/db/GR8RAM.sta.rdb | Bin 9908 -> 9800 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 70288 -> 64781 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 1021 -> 901 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 106 +++- .../GR8RAM.root_partition.map.kpt | Bin 3422 -> 2980 bytes cpld/output_files/GR8RAM.asm.rpt | 14 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 303 +++++---- cpld/output_files/GR8RAM.fit.summary | 4 +- cpld/output_files/GR8RAM.flow.rpt | 20 +- cpld/output_files/GR8RAM.map.rpt | 123 ++-- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pof | Bin 7861 -> 7861 bytes cpld/output_files/GR8RAM.sta.rpt | 580 +++++++++--------- cpld/output_files/GR8RAM.sta.summary | 8 +- 41 files changed, 885 insertions(+), 777 deletions(-) diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index fa2370d..0aa8488 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -69,7 +69,7 @@ set_global_assignment -name MUX_RESTRUCTURE ON set_global_assignment -name STATE_MACHINE_PROCESSING "MINIMAL BITS" set_global_assignment -name SYNTHESIS_SEED 123 set_global_assignment -name SEED 235 -set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII "MINIMIZE AREA" +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX "MINIMIZE AREA" set_global_assignment -name ROUTER_REGISTER_DUPLICATION OFF set_global_assignment -name VERILOG_FILE GR8RAM.v set_location_assignment PIN_1 -to RA[4] diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 41dd3b5..6fea4fb 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -10,32 +10,21 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, reg PHI0r1, PHI0r2; always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end - /* Reset filter */ - input nRES; - reg [3:0] nRESf = 0; - reg nRESr = 0; + /* Reset synchronization */ + input nRES; reg nRESr = 0; always @(posedge C25M) begin - nRESf[3:0] <= { nRESf[2:0], nRES }; - nRESr <= nRESf[3] || nRESf[2] || nRESf[1] || nRESf[0]; + if (PS==15) nRESr <= nRES; end /* Firmware select */ input [1:0] SetFW; - reg [1:0] SetFWr; - reg SetFWLoaded = 0; - always @(posedge C25M) begin - if (~SetFWLoaded) begin - SetFWLoaded <= 1; - SetFWr[1:0] <= SetFW[1:0]; - end - end - wire [1:0] SetROM = ~SetFWr[1:0]; - wire SetEN16MB = SetROM[1:0]==2'b11; + wire [1:0] SetROM = ~SetFW[1:0]; + wire SetEN16MB = 0;//SetROM[1:0]==2'b11; wire SetEN24bit = SetROM[1]; /* State counter from PHI0 rising edge */ reg [3:0] PS = 0; - wire PSStart = PS==0 && PHI0r1 && ~PHI0r2; + wire PSStart = PS==0 && PHI0r1 && !PHI0r2; always @(posedge C25M) begin if (PSStart) PS <= 1; else if (PS==0) PS <= 0; @@ -64,53 +53,39 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, input nIOSEL, nDEVSEL, nIOSTRB; /* Apple address bus */ - input [15:0] RA; input nWE; - reg [11:0] RAr; reg nWEr; - reg CXXXr; - always @(posedge PHI0) begin - CXXXr <= RA[15:12]==4'hC; - RAr[11:0] <= RA[11:0]; - nWEr <= nWE; - end + input [15:0] RA; reg CXXXr; + input nWE; + always @(posedge PHI0) CXXXr <= RA[15:12]==4'hC; /* Apple select signals */ - wire ROMSpecRD = CXXXr && RAr[11:8]!=4'h0 && nWEr && ((RAr[11] && IOROMEN) || (~RAr[11])); - wire REGSpecSEL = CXXXr && RAr[11:8]==4'h0 && RAr[7] && REGEN; - wire BankSpecSEL = REGSpecSEL && RAr[3:0]==4'hF; - wire RAMRegSpecSEL = REGSpecSEL && RAr[3:0]==4'h3; - wire RAMSpecSEL = RAMRegSpecSEL && (~SetEN24bit || SetEN16MB || ~Addr[23]); - wire AddrHSpecSEL = REGSpecSEL && RAr[3:0]==4'h2; - wire AddrMSpecSEL = REGSpecSEL && RAr[3:0]==4'h1; - wire AddrLSpecSEL = REGSpecSEL && RAr[3:0]==4'h0; - wire BankSEL = REGEN && ~nDEVSEL && BankSpecSEL; - wire RAMRegSEL = ~nDEVSEL && RAMRegSpecSEL; - wire RAMSEL = ~nDEVSEL && RAMSpecSEL; - wire RAMWR = RAMSEL && ~nWEr; - wire AddrHSEL = REGEN && ~nDEVSEL && AddrHSpecSEL; - wire AddrMSEL = REGEN && ~nDEVSEL && AddrMSpecSEL; - wire AddrLSEL = REGEN && ~nDEVSEL && AddrLSpecSEL; + wire RAMExists = (~SetEN24bit || SetEN16MB || ~Addr[23]); + wire BankSEL = REGEN && !nDEVSEL && RA[3:0]==4'hF; + wire RAMSEL = REGEN && !nDEVSEL && RA[3:0]==4'h3; + wire AddrHSEL = REGEN && !nDEVSEL && RA[3:0]==4'h2; + wire AddrMSEL = REGEN && !nDEVSEL && RA[3:0]==4'h1; + wire AddrLSEL = REGEN && !nDEVSEL && RA[3:0]==4'h0; - /* IOROMEN and REGEN control */ + /* IOROMEN control */ reg IOROMEN = 0; - reg REGEN = 0; - reg nIOSTRBr; - wire IOROMRES = RAr[10:0]==11'h7FF && ~nIOSTRB && ~nIOSTRBr; - always @(posedge C25M, negedge nRESr) begin - if (~nRESr) REGEN <= 0; - else if (PS==8 && ~nIOSEL) REGEN <= 1; + wire IOROMRES = !nIOSTRB && RA[10:0]==11'h7FF; + always @(posedge C25M, posedge IOROMRES) begin + if (IOROMRES) IOROMEN <= 0; + else if (!nRESr) IOROMEN <= 0; + else if (!nIOSEL) IOROMEN <= 1; end - always @(posedge C25M) begin - nIOSTRBr <= nIOSTRB; - if (~nRESr) IOROMEN <= 0; - else if (PS==8 && IOROMRES) IOROMEN <= 0; - else if (PS==8 && ~nIOSEL) IOROMEN <= 1; + + /* REGEN control */ + reg REGEN = 0; + always @(posedge C25M, negedge nRESr) begin + if (!nRESr) REGEN <= 0; + else if (!nIOSEL) REGEN <= 1; end /* Apple data bus */ inout [7:0] RD = RDdir ? 8'bZ : RDD[7:0]; reg [7:0] RDD; - output RDdir = ~(PHI0r2 && nWE && PHI0 && - (~nDEVSEL || ~nIOSEL || (~nIOSTRB && IOROMEN && RA[10:0]!=11'h7FF))); + output RDdir = !(PHI0r2 && nWE && PHI0 && + ((!nDEVSEL && RAMExists) || !nIOSEL || (!nIOSTRB && IOROMEN))); /* Slinky address registers */ reg [23:0] Addr = 0; @@ -118,16 +93,16 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, reg AddrIncM = 0; reg AddrIncH = 0; always @(posedge C25M, negedge nRESr) begin - if (~nRESr) begin + if (!nRESr) begin Addr[23:0] <= 24'h000000; AddrIncL <= 0; AddrIncM <= 0; AddrIncH <= 0; end else begin - if (PS==8 && RAMRegSEL) AddrIncL <= 1; + if (PS==8 && RAMSEL) AddrIncL <= 1; else AddrIncL <= 0; - if (PS==8 && AddrLSEL && ~nWEr) begin + if (PS==8 && AddrLSEL && !nWE) begin Addr[7:0] <= RD[7:0]; AddrIncM <= Addr[7] && ~RD[7]; end else if (AddrIncL) begin @@ -135,7 +110,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, AddrIncM <= Addr[7:0]==8'hFF; end else AddrIncM <= 0; - if (PS==8 && AddrMSEL && ~nWEr) begin + if (PS==8 && AddrMSEL && !nWE) begin Addr[15:8] <= RD[7:0]; AddrIncH <= Addr[15] && ~RD[7]; end else if (AddrIncM) begin @@ -143,7 +118,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, AddrIncH <= Addr[15:8]==8'hFF; end else AddrIncH <= 0; - if (PS==8 && AddrHSEL && ~nWEr) begin + if (PS==8 && AddrHSEL && !nWE) begin Addr[23:16] <= RD[7:0]; end else if (AddrIncH) begin Addr[23:16] <= Addr[23:16]+1; @@ -155,7 +130,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, reg Bank = 0; always @(posedge C25M, negedge nRESr) begin if (~nRESr) Bank <= 0; - else if (PS==8 && BankSEL && ~nWEr) begin + else if (PS==8 && BankSEL && !nWE) begin Bank <= RD[0]; end end @@ -327,10 +302,13 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, /* Apple data bus from SDRAM */ always @(negedge C25M) begin if (PS==5) begin - if (AddrLSpecSEL) RDD[7:0] <= Addr[7:0]; - else if (AddrMSpecSEL) RDD[7:0] <= Addr[15:8]; - else if (AddrHSpecSEL) RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; - else RDD[7:0] <= SD[7:0]; + if (!nDEVSEL) case (RA[3:0]) + 4'h0: RDD[7:0] <= Addr[7:0]; + 4'h1: RDD[7:0] <= Addr[15:8]; + 4'h2: RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; + 4'h3: RDD[7:0] <= SD[7:0]; + default: RDD[7:0] <= SD[7:0]; + endcase else RDD[7:0] <= SD[7:0]; end end @@ -344,28 +322,28 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, always @(posedge C25M) begin case (PS[3:0]) 0: begin // NOP CKE / NOP CKD - RCKE <= PSStart && (IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + RCKE <= PSStart && (IS==6 || (IS==7 && CXXXr)); nRCS <= 1; nRAS <= 1; nCAS <= 1; nSWE <= 1; SDOE <= 0; end 1: begin // ACT CKE / NOP CKD (ACT) - RCKE <= IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL)); - nRCS <= ~(IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + RCKE <= RCKE; + nRCS <= !RCKE; nRAS <= 0; nCAS <= 1; nSWE <= 1; SDOE <= 0; end 2: begin // RD CKE / NOP CKD (RD) - RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); - nRCS <= ~(IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL)); + RCKE <= RCKE; + nRCS <= !RCKE; nRAS <= 1; nCAS <= 0; nSWE <= 1; SDOE <= 0; end 3: begin // NOP CKE / CKD - RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + RCKE <= RCKE; nRCS <= 1; nRAS <= 1; nCAS <= 1; @@ -393,19 +371,19 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, nSWE <= 1; SDOE <= 0; end 7: begin // NOP CKE / CKD - RCKE <= IS==6 || (RAMWR && IS==7); + RCKE <= IS==6 || (RAMSEL && !nWE && IS==7); nRCS <= 1; nRAS <= 1; nCAS <= 1; nSWE <= 1; SDOE <= 0; end 8: begin // WR AP CKE / NOP CKD (WR AP) - RCKE <= IS==6 || (RAMWR && IS==7); - nRCS <= ~(IS==6 || (RAMWR && IS==7)); + RCKE <= RCKE; + nRCS <= !RCKE; nRAS <= 1; nCAS <= 0; nSWE <= 0; - SDOE <= IS==6 || (RAMWR && IS==7); + SDOE <= RCKE; end 9: begin // NOP CKE / NOP CKD RCKE <= 1; nRCS <= 1; @@ -421,8 +399,8 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, nSWE <= 0; SDOE <= 0; end 11: begin // LDM CKE / AREF CKE / NOP CKD - RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); - nRCS <= ~(IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd)); + RCKE <= RCKE; + nRCS <= !RCKE; nRAS <= 0; nCAS <= 0; nSWE <= ~(IS==1); @@ -456,25 +434,25 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, if (IS==6) begin SBA[1:0] <= { 2'b10 }; SA[12:0] <= { 10'b0011000100, LS[12:10] }; - end else if (RAMSpecSEL) begin + end else if (nIOSEL && nIOSTRB) begin SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; SA[12:10] <= SetEN24bit ? Addr[22:20] : 3'b000; SA[9:0] <= Addr[19:10]; end else begin SBA[1:0] <= 2'b10; - SA[12:0] <= { 10'b0011000100, Bank, RAr[11:10] }; + SA[12:0] <= { 10'b0011000100, Bank, RA[11:10] }; end end 2: begin // RD - if (RAMSpecSEL) begin + if (nIOSEL && nIOSTRB) begin SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; SA[12:0] <= { 4'b0011, Addr[9:1] }; DQML <= Addr[0]; DQMH <= ~Addr[0]; end else begin SBA[1:0] <= 2'b10; - SA[12:0] <= { 4'b0011, RAr[9:1]}; - DQML <= RAr[0]; - DQMH <= ~RAr[0]; + SA[12:0] <= { 4'b0011, RA[9:1]}; + DQML <= RA[0]; + DQMH <= ~RA[0]; end end 3: begin // NOP CKE DQML <= 1'b1; diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 6451a876105c819288a3d159fdd2fa0261baa1af..a52b2a4f3a7c9c77c7bc0aa7b3e24879192ab60a 100644 GIT binary patch literal 24646 zcmZs?1yCGK)HaF}ECjdU65LrF0t9!5;I4}k9D)RQ2yVgMSzLm<`vQwQEbj8>{l0tu zs#|qys=J?>neIMwru&?(^K|3Fz`#_Y!T!r(VC?=~-2X}~3l~=#M+XWHepU`vb_#KK z8+&sK053ZQJ3kvICmSa_2S0_n9EF07n+1iWxsBVuch_p*{-+5n!~ZE@n&JPq{4bX> z{$K0~d)kRvt10Ubxkmy{qt?WS(BLK!#^s)t;H7-(Sh29I3kl=>8I}%fN!~CXIa9wi zfqu1(rT+W_ze)xVZwFUaMu7$!hk#a{wfvigS*BdPWVuX`I*sIDi@0p*H~Ud}#$_oK zT{9M@2D^?RU(xjgk9K9q_4~#>QX07CDMw`e^#t;6bbsW!!B0*?Lh>APRWT~cISN1W zb^D}9)YI1fl;PFS*FLaf?r6EYV*C=~!DD6yNg{nGB{fQWxk)+2t@SO3_rD#OsPO&- z47^u#Tr-4u;n3Fp^|*5kd(m#>SF^-R@qRABSh3lydzGXId-4*$fX@6|Xd57Lk8>}EdhO(S+?RsrwQWCgvxJ)ViKFvM{Ijq=U zd~Hm~3Wf;1t^{tD@V>l!z4%vm8INpBxqAa|)tOvYl&#Zy%wu`UgXbCD8zwW?@O{wS zBM$3^3tlY1mf}zu6QR?R;?N2?$lrnDaLO&1PEOXWqJc1zS97vFxG~V95daUhc0k&g z*+B0o_)Fv|9Yq}u_3utY6&TACEN2crFRepwE9m7s zjC~r-Lyq`FvO!2M-f>%`Yen%m@UuC+d}U4DVfqGhQB9P@Wd9l92=2PX3oB!B5hZe1 zGr91(agdQ#S~*@~qXhv2fZn4Xi;fiC8=4dW&l-*ZMDCx@So~}fj+^j&V|QdO(@kQr z+X%vh=dhnOTacYJ#4tBYgUEVR5ruyipnAhd`2S|}X{E@8sF^iuSI(X1{l%^e?3@yWGgq%ju>9|jEH0fC5tirp73 zGus7Z4N-EP1IX6kyvaPl@486qGsB#RVy3I1f&VvsKNtwRu2xL917*LZ=ka!4)Hj!B zmCe@(eeJNgz5{;bi)-=U@aO@99DnrqCjsrF<-l@2r6FRUG=>Y;M+45;j3?*q)1J0d zZgbzaK~tS~#|MVb+ZEtqqvvfq(f4DiJF$gitqOpgape8FnnFoPC&XFk*JVoP-?L%g zQ!y0%5O4G}zP~nD)m@|jSRxUUzJiH(>0qSg%@HKK*+OM3!>7Hwh0+%0Nd-j+D`nai zGg06V!$F$YUXcu?Qk_Ek;ZZ(~R72ayO5{9o)E)2>Eq~Bu{VeOZ<&dwQb!KmQNow5} zheLOgj@Q(Pm7Hj>p%FBQH?|A|g!}O+KTTw_;QTB4kB`2n_1W?vR#NgXhv>nD@;J%& z)V=^w2(`KtVRe6)!t52R(~$WmrES;lKs1tQyl-gKZjcn@js?W zH!mP%Y#S08!PUmyFmS&!V~=XM4$w2*kz{w@jWISwxlvy4YJz+)EA5CL8XQ&VLM=we z93%sNJ{qt5i~qp{k04I8xII5;EgsAzCq~pU{D2CIQk#ZyH;RQ(K0oe!WG8FPevxLU zyG>Y&90wQnaoid}0EyroR^I#_mzr^Vt0Kdap4~GOgt`8YZNn_;F@or>U2cN-p!I|! zuHq>>H>b{X{}g!$x%wo458ya)=J-@{eG9A1`x;+w zy?hGN0#pV7UkP{}S^l0c5B8oN(c=w)_>=}eOM0D@G=28b%q$rfBs0tS!fA@vg&WHf z8h@%=O~oKA0MvZZ9I>C@=MiQm$wKogzKbBoGVmP*ZzfG!W+A@$?luydcKBr8s{(bN zm=fLdN{ki@05t50Xlm@wg{SJ>`n3j*-2*^Pr#PR?l3a-YMpcz98Pktla@yZB z7poTpLmMu>??N?X5alDG?sFI)j;`)!*b~LO1)q*Kyk!lJ1~S;Vgdy}!d=7-G-=j2y zPlDuMEk%QFfuDR?#o7OCMZ2=i)b_%ADd-3Vs~I6#@dhbKaIE>+G%;jZX@w_$O8mRN zFLjeaQdlgM+7wNuf^8a@5hV848G7bp{`-hN``g;@#fN1=VPT z&1@EfHszM*?a5jPd$jX@@@?buHhli62sv$AFygYj-@LWo8YD1eie-XRjr2nkVtV;q zU{{eS3OjL1Q#7?XDBOA+fgijfeuIPo6cMiWzUB%&fc47QD80l9bd-OLvH~5<4_KDE zu4=?t0ag_j-v})`rCWi4?MABRsU*$Sx!x9LzYX5(2f0AM^t)cCA)4wlE2m1NHsFF& zi_@5ieZeRa!@-R^G7DV{d5EQtJPkp&t-4sm!VITk^)jZ*KR1--5+HPWCz+X=UR7TD zrG}V#(UZ@fRA}?Y>?u=Oz+Hfo6y{(jl6(&T+q_T9C-_NQAXeYN6{0CBd+51&>F@C~ql@ILL&q<12YgZlDH+z|mY$BUDL zF_65@HHEFBk;&i2@di_lE?SOYy)#FjjOpxJ5UXVt=RFeKf1=fkRMw4}Pxzun|1Emv z?wO#^#hbs;;lrKH9wcLiVd8WZ_iaX z_-g>)@8nGK=HOfCv}pN|(JKb_-9C{!_%I2csl5?NJdnwG@So%3fZWwP;-;>3a9rKT z@iXlW*-!6-slY(N_mwndfi>(AXwIU%B&S5q?z_sFzVp+;_|V`3FW;_<;`>Wa9kZ*2 z;0c@K`gidXvjB13_vFrFG-NBtncvsL%DfQ3n4*Bgy80CiMNwGzqmuX-9?gXlbDcnLu&! zdrg+XS*TKgfALp(dH3!uF=UPS;coLu%femf^|`Y507*6+ZmofFDpjoJp>bzPSr_FX z|698XE}ODYLCPs<-AK=e)Zf6k?~1LrRzi#x*rOATVhhfDPQe4Il;fv#WI>rEG^`2D zhq<=5mdr*V&p`_G`?2yPHWmf7$9!dVF~M|0X}CLypXx8ijMiT#kFD0!aRqLL zglY;b@P_5mwQn+bw4VGRg5^y_kagLzNFB)r<0~qv%ITzE`ytE3qx*WS zJz$S%YJ^p`+l0Ek;YCtVafws&Wu%fcP~qA%60RMoonN#zSr+B=7}od;@=rfYm}O-Q znCBx{<0W*MXXaW#Y;8``x?#rpPsLh}log`c>BI0uer<(4QaiK*z2<{O!enHPGzzBP zJ4UN53)w}Y@7av!qJ@i7*9dag_49=+qitBc_MfT(R4d==f-OU|TzS^Fgp~H>F$^xL zPNhZ=fQe}%tEN`VB0s57Kn?%@X_0>x!%GZY^cnQ{#0`41GAAtq&e|^iT@&X#p_pv= zfO|-p?ryJ_tZoI9-0!jbqHyowHnkc(j~#Mzs*c&DZR>3{u^HsWC|Yh_+3x znl$iGEUiMSW{JO|0fXry6;w6+a|Ur@xBLoCIkHwy%C>Y2u7^M;zE;*_2)GJMgxxpn;29>G6}0WV z^MBLeTSm)$e>qr@K34AjQ+|Fj=8*);a)H&PHU#RC?%aqjZ@K3ku;^Hrp*`32J*`jR z(j~n&luiCwHekK{o8#t3G85MfR`{?~WxA;s@wOn>|y%+cf#6r>>`EiZjU>;A51f%v7@Z%e4Hi#DHZdXqH zjo_QV^e%A$udo{3!U$V__IXG5)LJ{LknXn@O}^Iv#d$j|Kbdsya9)b5f&7EW!S?t) zR{^RYXp)vW!*RfgDGeX^j8_p}^)Lgs>AZkg3!>yaigeF<;|ikIn>+Di-@Xr^ElrJ_ ztkZitfghNGC$45**F3s~IaZXl%}Ehf0cltl>9*O9_+A`7HVA8lQacN=l63e~o5#l= zJf}^}KID!g*3g0MgvCERN5ACW2%?Mt#LFY!u3(S& zm;cEIuN)K(M#_5+puRy*XN_n{17P5hVo67&+BWGO7lCnXF#F{DD)x;FQoD-PJlI~N zI-Pz0jEEN<6p`~~BWV-Ta1Nn;aM(-ZRQalF{-)8C>L8c=$>)d|Lq48^`vx*7-cdF=Ng7!5auffGomEsm^QgSHh_3v@v@`+^_sp``8X3vqTWAP}Ir7YM(MK1? znF;pKG#vvoBUAqV-+!HdymAF7{IJjeofE8&pW2r;IGP2&6B)y3(B|8=Dz^AVE}RsB zJZt{Kvio13Ve2iwUaZPrcLKD#Ip14oeJ__^->||0Fn0H;3K+8op8g0vg@L<~zyY*R zkuPRHEpq5e)ZRD6ET_K{hX#b)7p#_^51TPx;@}t*cxqD{r~?Z{Q)Q@lW`9#b#(QGx zDASd1qHkJlsb8guYsO_(bRvexGSXZ1n61q|jw=wT6Xq;Vc*UFrA^06`Ocl?&6`iSm|{1VMR&_YrjwSM+BF!psQzk|LKOJyQ6 zGS=xEc_QNe>9J;eX3^bUiSRe52jLyQA57!zbhcLhQsoZtA%YA=Y=~u#6s&6{b@?nx&m?nSGSNs@y8wv>lycFe!K(w2m*&Jw1 z)zN&)IqCsvEzB^B$@?@%T@jX2i6pCk9wDaYjLmWM+c{) z7yPdB@&1!q7(FYC(Q~s+!6IBb&ny!NH%1$+_ka7eLr38Y_0s@F{w%gI)oGmPP){!uO~h(6=9z z3LC%eG@Sal|C`P4uq3?313CP&WT18&$&<3sE%mb|+pi5M#U;CXicfw)fXL$nC7Zv= zsN(ypLT8RKIh^|Wqzz|f2!KGL^~l^X&4oAPDP4dEIm1Qo?p48$@~;SjBEP~&?d*Kb z6s)?E|G!%4|J9;pdT2iQ$zXN#s*p`6qD~ui-)cNMQ0QN*b+?_vhAyV=ki?kq8%Y^D ziWwB){$*y$kFGkm%t*PmS_eP};F%(=>* zQQ#9kxH$6?>6V;w4>bi->=66f-gk9l$1O3vD|aTcp$E}BX}Wmyj1N0~gkRbBbL_I- z*^7NQ4W~MF1_}6PafO{qyIS>ecsqk=i57GH&6N1iAWRdKdjp-$#EFxJ5ksfHq2nl4 zTR7Fi-*6u;)UuGaqL0QTA=qOFMOb~kc+qvcUVdHcpGy9)Yhjn3PokL7P7T(>Mb4=Z zGNtRjSt}7Td1b|Cwm1``n$e#8^l|OgLE$8f`0p`Rey8o=1x65>&cRfL{CMzycove_ zA{rU3Jq=+uJfBz(jZ)W%4CK1>Ws|iN7eqZsk9=cm5;k2 zcB1$F&5WdTAFy}k@rl|xSG>67_tui<;LnZK*9`~Kwa{~Q_Ma$vB?AIhtII{&V%f9d zNWx;&T!geIEO?jF?RI)7uFxC&ZOejzQh@m=hfN!ZU}lW6;qp z!Q(ro%QXAs?kahjjhAW3ah$A!e5!BF4CAIx^HXjhc!Qbmu{~L%Qk1a ztpGGo-iMVUU!MAlg_IDGnK|(>#`@Hi21QIH&lu3&|G6}@hJ&G80ULUS< zg%8A|F$-)zIoI`{c`*>3ye1LYRq21KQ$@e8v!4;#J@z;QjbX>R@p`_q{8gAVh#mae z?ciD527%KGudQf;TTWKdj4c|6bFd+`6R&m)oI@!GiPL|eA)zieX^vRX<)#N1uX!#qU2S>~mA6ERb(TlEcsYU--n(CEoGh5a(n&e?qltBpXGyHZJR zVPA<6fV2}Q*89g?xRi)BAO~6WjY24o`rK|!iiWk{Ia>a0Z3#U!j8J}5Voi%#S$XND z$xE-i&qIo;{`ngN=D5KGILHrs^M~yo%FyK!v0D18*FC*o-rNgj%L9{i&*dz|u^+0w zDyNa|>C-Nl&usAG`l1x$k@6Rv%N8noQv{=rZrR2%8cxRhsA(;V)?;IW7LB(N1tLpw zqK)$HIgGcc*XwP$!BznnKVSyih$xl*?)cp*Tc{yGTkM<6VexUCb-+$7zVK3h4L4k~ zIO*c=9s})H`O$~(sJsj<^17cd+YmE{JT%z_3n5f3O+oGPE0U-lx=!ufQdn!rn`Gf{ zRBx=Pv1l7qE$%^JT{sU}r)UqYT802S1P`UC5h`D8gl6fJUlreLikz4bo5ejSBR8up z9`I!df>%23IsVA0m7>s|h{s@-9Qsv|_g)e_3j&=Ll)yCQy=my5ua+p~1L0O3v>W%J zEMjf9R5>fOQ7c zsdLyYK3#?zVrt3LI9~CfWjZbE%4O}@<$`@fV&=OC6F6P~Gf!WP{L$@m#_)dF0K_Z7 zSMvIZ%;TBC2~X~N2tqUg4F&4Fta!c=wxH6Uh)p=%rcN@2MwkiI=o8rbnzXu|LN&d< z&wB1}iR%a1F5>EA7G7gPLy=OI1I^?P5F}pJw6h&|6!HpAy3K0}BlKIAG6uzb(bw?@ z1b(cSk$bekNz`r3aV&7idA&+vFfKNE;ryMnXT7_Com{nFbMtTZjx96bQifbITNu^d zcM`dpSO(7*n&qgF@ETgn4}G&tQOgv~`0ELtx^|*02yXHb^7uOToKR!$d=me-7<@pl zV*fcbL&bJ=-(3%xU@Eh$(+$|pf?aolQWEzSmUdT>WC+)24x|ylk*(ajmN5D1!`Uun z+P4i2SMXKaS$8VVS;F!Z&!2dfIJBtxr`Kcf>Uhip_nnJk>%`jdD|7pX(oTQ&Fhre1 zC~;(UD5M9E_R8AfU&PLN^<0)QflnV!H~jh6W`*d{DFg9A8tKTyci#vPgITaa`7>DIThQ zr=bN2zQT#cIHLWd7VuGogTr)AX_X_Y{=&( z%`uDoua;kEcj`R>MQ@Gk44#&Uv2I_%AIaEVy)OVV%)=$d2LD;If5LScp{7=^FOE_u zSpbq&51vC&70%y+Ykp4JT(a+toHv}1VCfT|u%fYY-@lP>QrA`Q@PCl+i*)ym^vJ#8 zJ#)iqB$W$IAg-z120&{3GPS<|>ew3!f|Z6$XynX!;!KspTJ&&zHc}Z+Os6!&PFpES zcg^CKe4dwgwt`Y#y##7>ScbUoDwD+QC$`0ieOM)b+Mxf96~C5d3SI8NeD8~-%ruuL zpqXom`-*PDg5Zdp9UJT(V>)bnIR{1D-}9;#LvPjkBvCEHi!U*2HQw_xU^Yjj-QCE} z<&G(isbuOK3)ZG2EdJkhyfyy6wdaakDJbW@C~LsKmzdTRkEjKff zls~3W7Q^r)?qqVdsA;?euQ@?Rs~r~-H7ygV;O1ZM!|+Ghs%SBLs{;0|UTLW-i{!JF-iVa8XCqxD(ef>erZ?)HjG#K=cg{ zW#V^CTdB(i9o7L(k_pU#JI=`5H-YLHmPe*YQ?KGBn*F*m&EkjsJ`v>)N9a%$7Y3D2 za?aqjt0A%|6OzT8pD_n36G}0!#e`+eh*LAppf@GdAxpXc_TTR8uKm`WkWut%oSIpewODJ4Sx;&@b4@2>O?gL;>$sg;cOSq`cMwR9T6Ao7Sy7lOwoc3 za(M0k#(KKmD-m66Ut1TgDV?HF&@!!uh=?t+S{4Ko%mD#?GW>fJ$M#+7z zof)!t^*V$1!{BQu(qtq}4O)ipxTv{;usky?oF#9#IYCY0?)7XWYRtQN6-K^n*la;& zX5T;hM&xu5)QnEGI%D8ss2(IsCb5-6ipQVnztoy_PNQFeRFZtAU z70ws2*mCXS?xQ7eqMggj3h%|wm~Nw6+3ahBsG=^ryNxu8h6*ZN;(K@kKgcHmj|lu# zA>rj}<;Hm{odz1|}tNM+ta&a(cHVNdjSt}tlw5FV8@Aan?+((hH zf%Z-x$lOr?5vkYJ8N~(Vb@4+rDiYa9Pq8zcC~C*S<)sqq>K#ML!#GmOyjrndo|^9s zTb~s)k7fH+N@{F`a=U>e>Vk-6C@g$xLsdHj^Hn9zKGGa`D+xcQ*|AmZc8$;&(K&Roe&x!^-r0W@XsO`3!w7W6vWH8Ev&By{FCH)LQu zdGu=7W1yjQfV^m5{=?aZIbsB4*+OZ#egCv|Qb`mIKq*I zTTLHX#31;s((2PG8{w?}9GikZ0`~6(j~m7>WiwNW8ZW9jln+(32jpnA@9D4958f_hu^{PDDmTPbaHQ? zqkb*jl;`+mWkA9iaWx2d_*s;?sB!J{&+Y;L;VzCXaMuv8g71ZDKm5a~a4Lg{d1!XR zEWk7ON>P{G`}v#EwvZLW=Ld)6#)TMNq@gs6hvPx>H>P}?wh2q?xU=!yZ7;hGkb*(Q zq5hDHoZ-Hgmm%|?B)&R{Ke+980KCoZR>s4>Lk1gIb}z6e5SF8zYP}^3S3D=1@ojHJ z!oz4Y{H_sS1oX4IalldZnqi=vc`2bJ7<{WXRXBSd_i|G<15i z;+KJ?uN(oJQ5Gq)aG0C&N0pHy9`$cMg`8aaKyZ(T@(Y)tw_>jjfNUrYxlg-aLX*U$ zHFsq*$6R66z7zMti$sVYfy8`VM_Lmg^O>!I>mdqks<#bx)cc(fbzk@5ehCXZhvcgu zkK=7WR>u?3L3bBvlp_AW&&2!N0ft>4GFpYYgp>OfC#z)w|DiT^#rBN zk-GC-mdA7W4f=X+uZ9+ECEQB(ICc7)Yz|Rp7Dm?hAe>TK0xyN;XC;L_nV|L+x{v$}VzFthh4aJlbw%3zcq z7l>dxalpkqW3^PgO3-BR(XW^NQ~Ak*)H;On1m`YYr~XB6~8^z}~;=Le!>S>3(<8 zd`Ws(FsA~4So@#nb=4&BVoeVw?9H-S`f$j22TmIlTQv<(KDejy5=VS6^@0l%l5ND0 z$YDD8ngb{y&aM;s10I`+C6XxT)g|~_1ib9b$!r6~n7J^fqO4GIv@Yn=hDe=IaBvpS z-FyttkHVipnFP4?F0mgfoVm81!UZ@;L`^sjN>qBx86|Dpq=Aw9cCa5!~z)xT)fLb6}}{$r5BiN|9EcD`AJ zv5rXf^)G<~Bezk`O@&{DQ1y+Af8Wu@`4I)rLM6;Jv~zXiXLt=J0Z*?a|%vG-tNygt9I|Zo&Ajy*GdKwclYycF*&I zPfnobQ8VFwDB*Ef!-tFnUX9M=%CYNNo{RJQER<0qiFR~TO8+x#pN~wapv%K;SJA!Q zCIBJ+PB{c=a=J#@@e}Mc@s~U{26$o3UeBK*<%V@+G3J7tkrsz(i{Sz{R8$FW&tPcB z>%I?kQmIWwxgu%sTHw3ZjBH>*5xMH$PzRq5+GXbixb&1bEBpXa%7i->qu144TY@fk zHHD2iIC%_ffGgcF)2w)5zoWU%`U4RvJBby~=6la(eZdNhL>8VsmM7aC9-`ky}k&#&&zk`2ptq)d4H8KFHP8eNg{TW{P0uyu58Z82I>%aq=O{{Jp{v} z!la3k3E1pL1rw%k-s$68`l;V*tXJ0!YR!LL(_$Nr^H^Z#%_QDxR(Qm`5icTWBZ<8B zj*&E=7!A83Q9}+#I+3#WyAOK$J}EAusSsFc_$qs)UNv&YMXOp72{k61+QKc^G3Yet zKiK878lVvGOS)J~b!DUUEbb`SWi~51g6o|tzTGHeUmB+@(NIgJk4wpD-%Rg=>_tj~ zo^E!ZB?NeHG^JTvx5sLV1gyZnIuz37%jy+BR%oYY)i%;zqPvTTZC@j%FN%iK?{_dP z=;!xq^bLF}%?UxuEiWB(*y*R;|EL<`rzcV%z%-{-1i#9Tsa{QF*b@D!acjZ6VPx)u zQ{Mb{MVdPOO!D%A2QvHI4@B^+GCn`$6u1el9LFrs~eoL@F z;c^fEVEWq_jRL7RQW)k+)9&%Ho$N!TdMXTdl9{=9y@d=nFR$?IVAHPoE#lBsG#7PU z85jFY3#Zc8ecun0KMfl+_Rs~Z)PLAh%_nWoBMfrj*?PySG+XXus{D}^e^qEw2v`+^ zh2m6?9r`4f@uW78B{-M6pLQ#2m~MVDKu~%uCRi3Pxg|#v0P$ZlDd1Bbx~im``{T9l z{XyX0^!N>HP!39iftO}<5P1kY{xpTmP8@{?A2Ag*dK$s4s*J_#&JkD)cyQHoOsApJ4Pt7+sL*jJ+g{eTV)DK2;$2avD@sBe<@#YdOP`ca2{M;>sVzU@9*(C`7c2a0 zbj8wX#03)qucPoQP#p@-baGhU&)9i z+N93@v;S0~j#DRXx0@dhKO>6fM#?OQks0mAIpuUCA>h zaK$-zlUxg3mwWv!8S$z4HPi%GcMM6<9pkRKRmJDU1(V;v0q%lF$Y-r&y{RX_hVVzp zzXV=npY>HO%^P4Fa&k`Wwal^>xnc>GnzH%C))3?9+49=W?Uylofx0PcWm=Gu$ z2EsP-H!GbsGWE_I9wf)$pbgD0x`H#tasyb`EF8uJzL0^o z$^!p#;wqRka-LiFS&3)@e?MbS04S%XS7(M91;uk%zQuHmTP#sxdfU2!I*|5U%LVsSVvQbzur@l6|($e91W8TM+%W%u3S|)Lty1YZ(rxXub}jy* zH;4Qk^=wRAq#q!&5iw{ybR&C&$D$a}>bc&VY?oVWwZz;c*q1%9;v}LIs9CYv=h)`^*6wH`W3nD=_B&3N>hP`Y+JJ}yxxU(+}_m2FacH84>sLj5G7P~9)2xz*Q{ zb})tk#cSW<;%PQFQbg!8Oh=&=>9xvAhlJ}nFWNX{m!6y-1`-9GT_fshnsrm5q!LL1 zle5@RAgl>a6FYHXXwhADN1YdZX&VFBf9Lyky3t4oqp1z5^ho11cE-4vnLtZl>OMak z*^3P_<@f8!0M>6=o3u6A*xr*+F)K9(18zRh7bx-36+Q~&qw6i-GMKqHalRc>j2go~ z_T8bkUoq6rwrauPm_!!H5N(ZC>?gix7md`9L|CD0|J|8DZ_X0D{a_8xl9!;t=;~Kp zI_-n37>yPrb#vbL9(t|GH808)w@#ktk%wvT{?(4o-J|2+50}%{aBd$HDTCoRrbb zj8qi3)C}vP*rA{E6ZR2p_#3uWqYz@X4g&Wywekmmt1=N~(xq>5e>;n(Hlq92Gp)NF zxH>MottzCO<(ljEpxwokbt*Vpsg*!c#CZ-?I|V$_D%Uu1#?P}n0=>uD8~#J(p99nd zz-*6MU+LsN|KrPYsPSK_ajv))55Gx>MqcOBOa6Vt3LNU^6elMpQ)#LEKF$1lWu0;J zCRS=|;-4>bP7jQd4GyH5RpO>S1IdsqUGQ?Nq?+;DtVOX>oi$ocCAW%~O$;m4H49Qz zowmqh3)3>oyY0UA91Psk#r$}LJUnPKHyIGw*z_#NB_{uL38l)8=6}vwXwlExgg3V? zZ$5@6X0zmBA*s$837is!dgt{L6CwuU2?$^-uZY(a`h$vbK*nV`RXf=$y>x1n{Rc(% zw@LmQ#Fp16zY{#d-I!cyV0p|CONNqcBe9AtPS)l=;IU3dpQL4QIVa0n##wubN~~Iq zx+SKO^rw?tMdKnKAanaFl$J;CjJwak5SdqUBjuxG@}eGBV&Z#UBRG;$oS6~0znQyE6Q=s}q4!u$u|j5BU6Hm+hT!K8)v*-K zI^e+XMP=(0_~!$Ge1e8<%jd`s?X^QJw59x)NK%<)Kjow&rhtt(_@z!7 zHJ?UQNEeC2J?y^m>`Z4G3VW7FT8^eB*KJfBH;E4sGVT3xNqB}EsOK|ExnWS-zbcGV zidwfaolN#pHScqB!s_p4#~zDz615!_qEC!M@IDfJoK|C;W->(uV!x>=79Rk{hHlZb z8gLkWxmi(uhh--IaGiAPWTO_SIZ37J9c~Bl`X3r~Wy|M@8X}-Idb;nQvEkW5v@uG)LCqX6x^?E(K-gIA+iGHQ;Tf)tftx)j z&@#&1uTW(v)%?UVayAev=v0N(G04nz>jGC$C$G9CjlV3?6K zo4uPzXH}9VmZaP=RWHlVFuy;SfaJN6pLix8BlK3<&|xAZF#lv0~-~vjlo9mAex)Mg_>nuEM0tB?!i4Ah22?I z`BO=kd<~Js(kp}a8TNo=r#HtoL)+YSEeLHpr;1gt2Je$Pq0GmLbObATI9apV$tfZm zf}*t!xZIQ;Wg1Ss%ZLs*Q;Ts)T^gDs6peM&95j2yA>60Lp8W9NEq~|ATkoqnNSGJ9 zv3Uk~qW!71>Jqm}TfMqjSY-=6J!l3-WviF*wQVh5#*$=dKm^^=Qn_GE|xW(7@$9h=CUM%J8%5ljJ zC0377VbN&kpngjQEfakN&7@D3q9PHn@B*xKZx4)*U`cE8|sM)6P8{AR6P~?r)(Ra}M$V(9#Mb5Ep0zbZ@h=QV1+Rhc?J zINqe0tFDHD4wg$lGUUQsfWY@WlMA+7IEm!D(XUms3ugk=0p1LlL%t!o58w3avWv`! zzx|Y#U!;zkvYp%blY0As=g&=H6JmGFD_3mp{53*U5x0MR_ct)*ipJ-YpY1p(tiSYF z+dCKtyViHl+H|1Kz9!?p@SIbhQI-K_gU}k}bJ~@>M>%`>M&aS@TcU%oKx;X3jC8;2 zgBeGhRyi*L-iYqk?X7QO;GIEo0fn<9Xt$@S*2}l*gRL1GCZnfL)=?aXx-fH9-k#w%dDP}=xO07^unq8qN;AF`t0btgG6?^i z0DpzT?$;b~+)_T7`^94u2IN<5@@l_sOzStN#^$XWhsi*?Z8gS|J-o&>EEauC7=kIy zc}2}}O{@O)Hgg6-%(qWQ;2~ES;3Mv)_=tB%hKjca_$32YV9Ls6WlIJlS)B@#GmOi; z&9Y=ttwRcSDSPcZpJQ%atO)Tln@Nn#)s-}jX2*UMFxBu8*Y zjV2yGvAqFE1=Wd-hY6P4$rA`kpG?>y&-%(^K%Lt`!nKpJ1{_DL>)3mqhV zfzZ&yc}n^Dhi^y5xCiPjNkrLi#Hky~Z4=0?4~eh)7M4vm(CM-NgtcA8e}R4lh~jST zfZOJ4+>o$qRkmA~;`zL0ZwbutABu4i0@GNJu(WNh8e=2ChmvIGUANDLun_$?6mka4 z7mqo^mGPq>&`^vhxkRwg4CHlupVTM9LAT)ZVm)FDcS=oV_@2Bu+Lv1)aOsIwWe07I2VSJASd;dE&Br&Mj+M(6c*W#yLamLH{clUlByU%cTM zwblFK-@%qY9`qCLdUyevZj*@6+s3>loGa?>Z*ru-;TXSwJAqE}M~B8UchKgaS)K$x zj<|O``K50U5-S#gOMzFEmF(ob_H#H0_R*k60&e{tX^3#L_}s(7s1AX#Tv zU;Biav`w20PsaPE_WDQ9aN<;FPWkiL42#cpiW&)x;TayYSuwzqE0Y9(BG$%Q6M2p4 zW-$I;txJw`!QWH;mY{kZ#O?b-sfS!La|rsppGnqp_(4Ys%)G_xyq0kdNN zsLDEmS-dI}p{!IQr^lPZ<&Xp0mLGRpF<#6ig*|vrB&pfc9hJsFIpy?KGvN}lMfV<* z%UW`}0y{KMpS1^hKb%*Vg$|oKiWr;=j3p67$D&42TloX9VumAR%2YuB!dVgoP1|1w zT(kI?FY!QFf9xOlf7VSd-`B8a$#gtuuZmP!eOU7DmY$n3(ggecMQqiWkiO)=^wsqKjyEXihmd7KvJ7jZir6hOI?H*Xv)jC?2_4;5X z_ln}(%pdFX1l(`wi`*IF_7HLUffKK8>aRl+h~E@=t!h(|@9GI0a9+|gxUh+!mGknF z!eESDjhBuOlKt`@jV15*t5d?)I@MI%_IfRVLUD{-&4|A+aD}UQy$|mM(1~9ZAQRZ8 zQopEzBUb>@0S~yK`0tu2)s3_M$Rdd|2h^=iv*&YO9=`&-wXX6GNw4#1@W8mr}pSy2Es zrss*V0ozyS`OwcnxC#RQbhO%J;!{E$AagJ{2FQx7t0{bta?K*pU`*Aq>jR=W+fdD_ zMnd(6_|G)}L!|CQU-@aTc0`8O#}dwDzK^bd?m-0OHhz-B|RX}j6=W8(N)jxyU4@eXX#m=r?rzQ z86pFSWstR_JegCA(_axbt!1mXfEPH#)o$5y{2}`HH(-zGS?2)OZTO z^OAWt4sGwnk6MV_5DYcoLaOxjQRj;QX6^x@|tdY3g! zTFV%FC-Y$P9E>Ng@u|!;w5`mt6sha9)c#?S2`WA$n~=n&unZOArWo^mpz;5w7vWf- z=91aKJ$&0JZT3*L_9;{K!s`X?)4TPCp6IIf&5v78@Je`C!W*-Q%m2G(fHd#f|NOG@G9~L7diEIgOq+G}#o^2n{H|Rnq#zS(B}{3i zmxHm02<5#&kDKv23l?G#DnRIx7-eATm@1D>X} z?GBBJ+J)%9a77z7Zy2t9GHW!L^jt1+sr1OX&0+p?T{3U!*86XNmB5Ub&TXMaPKV&H z5C?THCHZCxq0{@p%}q73hXA?5pJ7naG4K~?B>VTSYj%=vubZ#lQfPd09BdlVBk%iU zc<2m^@XwqbJ6PWduTL}I*c%d$T;rS*ngXF$}Mt$6vVS#9()6?Qr2g@fk?+d>KB4`!uj1~>ost~5hcvX3n z1^yTgSb!p{_`c;V>zJ*4-Jab+ufn^FTAT!g+dN=a+^9c1h(HC*)F3s(Z(2!PymQqR zos|7XV%^GA(05+DW~Te$iQ~7djQOjc;{=(n_i2Dus^}g5X~u_pI=HWFcId<%@Se_4 z|ASPI_fhQ}9PT4WJA^!D)lf<)*io*7?wHECx;Q3B$i-keoB73i`Xtb8H3oJwuxP>% zV1s_K&QG}90i7V=LGLQbFtp|G$H%xV`Y2%>SmQu&@jl&miWd5wrxa&xtYgedNraa0 z(SN!5#vkMJIZD~roT)=5nD>+a6M-Lo;A)Ols87z09anb**e1t<&WLr&di_gpy}fOA z(vk5yGKVT<+goI_3D=ap+LZmLHSmK-SB$ksnP>&h1sR~>M@rK#yu49Drs{QdLpqjB zQm0hGL`NV0QvX6hv!02Qfg@<*I^fqd41Ed&jittd1|0R?RyJ!tnt>YwSi2YCDmVOQ zoyUogVP?`sS%#0-hLHO4J>%;B^BRTm=~&!A)703ROlXNBuonzXDj@o_M(21mG7$7g|V$AGK}SwtcfqhV-8* zr-ln^R>=sBBgxdmaQP*~7bJi>+bvRF<>U(*8I7A0c-Wm(puDoR!#Z^*LI8OuQ&0i; zpJdo5ncE@%mCAtoWnm$94V+WGfnQhsjttz?Q-Hk;{G1wgb_YKr@R@pW-dG&Zhu95@ z2RnQ(&{2m9#lN5)ofZGL7&}TPJM7IAzqDNOLR~0&>}FgUNVEJFqQ{ z7-~tWj#kJ72$~mMpP&aufDSTnp1M$?JzxoN#>1$sSbxZ6k8-R(>Xv8wNh&I)g%jj? zCFg&%6~~a&ZJ&^STYO2O2`k>vm;0RhMv*(wW-sV#UE5qK9?O%hA0Lc%O-E zH@)W-|8MNDWUv$5zJmdK&mFEsyMGLac9Iz{AgHYfTrEo@FIHMHL4{6(7 z@ePDedAO>!^ATJc&#HJ|9_gqJ@6#2$qgUgg4S!vESkjOOzt8PSW6zyyN#Z=mc#oJi zhNSJfa#0(#Xy-S*D{$%(ZVMb48>V@sY+Z-mr4G?*`}%`VyACv1ih&s%;B>v)1J>Z4 zl?=KF*zCs^%RS(DDQBIGiZrC~3I$8v#f#nF!+DwzUu@=W;Oyeca3XSWzTZl9EJc?Y?@ zQg z(C2LPO<+sgfqo_eZE8)<64$@6x_8$tL)k<-1{>Q`TfDJBfwKu-JaXUk3)}_B(n~rv zhg=^)66PC2zR($R`|I4P%2FGg8$P?`l>o`wt0}dDUc07-CHd~+Rlduo#VBl+qqLIP)q59)rjAsPn?Y@cJoY!oMz2;{n%GjEu zq(UvxXVVKW2V+VBo2Ux2*(z;b$V|d3wqL!FIlW=S8_#~hkLq7W!-u(TVk{%m`g4S2 z7Qbiz9A}n_vAz1@=2f$+tq+hpwP-x!KL2Y*+Yd=U)`PP#;9hZg>|gm6vA^Ew2ekei z8RX8N3atIJ5)B}{>GfMSe(g(NdG9L#k^nyP&)Y3Nf!Y7>1%!-aJo<9~FEDGgQ)Tk^ zJ?a^o_|f)wZb|ZO@od!$+ZJZ81U(-xYznr;!(G9)Fc0KaZDB5GCD|5+sjDr_!LPT6 zxu_LwTRacs>ra|ee`(`ZI+3Wj{fD{(oQ1MK za7gG=55p4wkRAKJowIh!eIO^K%Jvc2S1^iqAkod?Vw!F9FXVJn$X4@&XzeoJY`K_aNcR_?vC~REEbNoFbf3J z3lt6U5WKmkA5uMSyghU#0H{fEO)+^r# zR_6!o#|DNMKL7d8=N3_Wv`^U9j8^FGdRv%13MYrZ>D|wh)=yw233q_lLlIMwMk7}u zgr5+ukm~U)P{?Y_&k=?06^^E6^B?>yl}-&$*=ALs+lLeQnNnB{sh*!R*8?68R}T|U zeZF@yn3-(N-&8->{z-e-wWD%#99L6KLt& zj|W?55{Q;`@YWe2IwETYQEW|G$K%@i$U5=$_IRc>Y@NhoDzNUCv_h?eF)dBzv~*lI(CZ*mY*JD! zwiE{Go&Pg&ZN2oab&%f87Lr(*LB-}per1e{@!YQqcu4F4cZ{z)zj?ccj z{f!VX0lcGtc_`(s^b38><78$Q3$gX;P9} z3Rf30CH+s^wvDcneqj2P){eKb3U4$0JQ~Iz9Uzxzx5_XIVIf9?%!}u^7WW3}QJ3S) z%clt>v`Idn$+=Mrz~{OGhMNQ^qzOjE^ZGRZW$4A=RkB2@!<`tel1x{rUpyI;;Ubrc zmAZa3xhe#dY6O%)BMws0eTS~tNHr+K1q6UgTcFIF&wlN-jPw66N;N3MDixFqTA&O* z0wgbSnngECH7N6dceKyfRIqtsH)$f<&z5LC%Di~?{D0cI@kEwxNt*fORdQV(eb{d~ zXNFU1K6xXU5z0duN>6b=prOng-a-j=f+nT1P=EsDmU&YL<=G5nRrJAPgL^U5E}=X@ zL5X!!k&_?<{sTx)Nx6RdLHi4|^j?^O`ucu80d>3B`Hgn&9%!Ff*v7`nuHhJ2Hz@OA zmT8Y1Qa?@v2)TL432oMR(Ui*;1LGf!-ss{#^$@!5 zCwz^(v{1GIW!`Sj>7LnT_h$6!qD^~+btXEov@V-Jn60p1$vje|+sm7y8=HX)97aY%?#%Xek@QS9D6MEvzXwxm5LQ%xD&8YJgRTzwKtELS$ zrdz@^eHhf^_rFb_J&j>-Rp@KOPZt;_n6`N6KOZp93D2Zv{hK*H>bsegi_8j``=emxYlnqbWbcc`V!LRKbdvp6IRkKEWGDowum*=ONs|$jO zB`&6FJpw#2g*sKL#1p5~vz}-R z13z1}gx>FHvj1YP<_G^dq>bhU8PDuK)UA8j8*EG4gAV+x?11M&2RmThJ0)<#I?&c-4#qFr^24pD zwlH1fhX1t1v%CXsUF>Z|2RwT_@WXXxTN&K#uuk0B0naJ<_Ep^oUm)0!s^c5Bb6)_9 zGk~)DPhq@t{IRjJU7ji!-&Rdqo(~)C@rB`f`@H6D1D3$8t!A?D-+7=J5lxPccw}afycCb+{)7sLuse>Kx z9AH~KUH%PiNe5Z)Z7@Z8`|!W`Ta#%uh1v9j?Pah~dsS`Z#$3YocN-Y^x!lsO8UM0! z8$9%#=>XF<#)D^Kp73;`ZM-FI=!b1w_zI~yR?&C9^uxm+ZE1Vb^s`cq*G2}cm3bEf z_Cr#5RI1h~cEEc4Q1(cElXWlgU`@+QLHL+aZo?0KyZAyE+d+2oL0cDo##_<`FT829 z4L{hy67ptOet0oWK0kcB6Ek9fJz+D^vK?XY5?s^bAL$8;S$1%Q&sY=nnv8H4eV z^>{`wdPM#SliN8df7;nh^ZlLWcf&fQsc-KS4(1_J6aT?ac>ovtCMj&y+BLq`C04DG z(^w4g_^N#YJPWj6wp{yM(5CI7TH3fh18uX}ye*Jg7$<}10by`iRPg2g->x#?)g5hQ zfG*+ZB>y&`)HIGRYI%*(m7l9E`2o+mXrHeMKPH14X4p;=^|piDz!y?I47Z#vi#}kQ z%)!{sWg#*DDf3gdeW%~vGyV3L-(qMI+??pJl`<1;$GU-Pma-G9b96W zwwFy5ZD_lmxoZ;`RC+)mp$#5j?zX&pf@+=Xd7`r4db!=w(xNSG;28&DFV*AWwQp_k zF#O7Bx#&?<<6-<;3ZZTA(Dz0Mn2DA!==5GoJ20KzYANew9q{n)H*MqwNheyuAcOby zvrJ0`6&u|;0_J+OMcCvnG%$OY40b8EksEm0{@)ESlP#x6 zo~%CGGQO=7%o44`ZfaUlvC*Z5RQZq>IGYS~TDydSHgFjXxD1;w_y0bpsym9i_&2Rh zbPApWQQT>wQ(&$ux25g2jA2G_q^7i8j$&^U+K|C5+32xs%J(!@$3*x!64`-PK(+co z#_PmS8yR4uE0o*9Fqcf`Sje5IHJ?}?Toe;+@Jt8>JezVu=T7oSbjJ1*Fz^*pHH@uY z+Q6{9Zi{EU158tXSh@3`(XS-`wRNw-7LmcG|M7KKW7g@*U|&q|qPsd+maF`yegcYU zt3Y3iz8AJceR%*!hb#riU8*6IP*prCS9$cj>FV=s)X(AOQaD^UqyTqLaO0strO*Z~m{mxj4gS`IhTJy? zd7|N9)`oiRg`bq*i&2cf2kvX0-tDNz#X@4wz^e?tO=h(Jc(!j&89DFDNJD=sBE1_v zuUC2p9w^OE{|yP5G2#tdzQTN)+G1JzE&(p(FCLTeLQf#W8Ti6kz76|q+7`=mO2hD!$<+)#*6k(LtK81_zvj`5GD8g3-w_h;$d=Z?* z>moW2i3i?$fb3FQ##IOJ)UQyE<${jjog=;DQK5h<;>4#NCz6hd%mxuHJn2mu3z=twyavGq&A{eh>jB#qfX}R3`b=um|54 zKH)<5IB|}~n5h8w8VKN=hwr%jq`LdrIxM!0?rUmGM;~K-(Mvx8jc(%juKT4onnU8? zMuMag&i}D*?fn8it_+EQzy>J>k3LKEzX-eE?e^U$&nQFxiH!Rx-<12_q5CepjhVH( zZSy@DFo8G5AAhp26qpM*Ft69dHWt-+sGSe^2tozce5d4k!|KnTWWV?tFKEjFoZ*jO?Z94f27tjt}&MGbeq5# zh17?-if*hQx=VrQj_wf?2&dBsK=4>54Wgt%5JlPHsz;i7nmR9$`c zgK|$R&n9?VfO8=}t|0(1WtD*YTNCP+C9o5R2>K1cmm6FJ&RmIU1Mc60TF5)if8VHz zz;Q1Ns3|x%E1Y`)_i;%sjfdu+E7Tt2@3V7%+e5vw6#J(s&an3Z-`CKof5t%7{`qfv zm&E;XB_0LJ`cMx<_s2w7ce=k>K=&Hah|F0pww5<03NYqc3HYEta4dKF^tqPq1on{)zvNorfiT-r7qUn(*~@fZ$uo^{ zih*m%PQ!mI;DIp5BvZa7=`(BOT_Q!1hca`%o~y2hIVfCh@m%QugXLUkNgKhXEp1HL zZDFA8Kug+=%_D95TGD1$sR69s4v+!<6;d-8>@K9afg#$q)hWERh2i?OEzGG7FkSG> zcHpOrFP!Ya53Ag^ayvW+{KUJxYeiGuHUovkR-1HH9Q(T;u$1(+OItpLWoCXndeyc0 zNi>Cv@0!pS(-K>4+7|E3(iYSDl%vJ-5IBWKc6sO>Xqj@g{-+Ag(;47mI#XI~zlTw) z#!P96S65YVZD?U_WGwnI{aGrPDm|q95rgt$7Rx%OlNeMbv#$C>o0h6%7R#DgK(^Er z4qQ#~#r_cE@;K{!#rGJJ{DZ$mDz+}hx~(UDHpEgpL{ow46OCxGh_+;4plECyk1v*4iZ5~#3m+3>4ZJ2rz<;(>hmi;NegM#Hc2 z)URIUB*XAUlrw57x_Edqhm`tN+3>5v@J3)ltP_T{ag2vI4JX5|%4Dx&k9xr1ARfww zi|ZN<@2Owqsej6Z6AzP?>9e`_2?|=FoylU5q6%?wv_ z@69C!U#gtfS8o98<_sAFmW5>ra@ZGb3MuhNkTBU8vPUhJ(*h?d&l31rLH2M03Enzt zGeqt{Kx_+hTk?#@(5cSzn)s`|4*j>ExKEj85kF$lM!60CstQlhEe!X0 zogs*y&a$0jP-M=@+T(lpO{3Y4a6(dh7?Y7^wZ+A#WGp-`k4NWLKkOqavN3l>Wvh#i z)>qwJf@}T{0~d>Fk6|dkq@30joik2R{e0EIgC%VLfR>6Ub;A-Kq2Yuqj#qZgNRc&jP@FDoxnUM!jz6PzcNSq(rtA4LErmXnpI)y_LH zZs-B&1AUeZ7LsQB=#WXQOulo!fgc(>B~(lg($zoz!{$}>7gpZM%?!Jbrko!TF$9{l67 zW!m{))U_%vQQ2$;n5D|t2AM#~>u*o%7|Y_8U`{EYRV6;YMtzoQyrsgoNJl@El9WM~Jk`3AO% zO+x!E(REAhCv?1|BmQsMY)w^T^kd94FB2V%!#NA`6U#t34%IBp=>Sm-5 z+NX5fq4pF9`XfHyRXc5qMDr2BtyEuZ=X#be>xeC{P}>c)@jsgnbOhentByC7=})Yk zR-UDgjt4a6VbMz5!Ph2~XO(FqR^C@RZo@C|8IJhXSsm9X14pLb?E03<$21OpgS-#v zcwTvpXge*2_;@%l_$BrZP94UO%V8Z4==`eM_>eGT9#KB2d|qwqm{Xx!V=%{E7R(+U zcd72U`ru<{b-bZWY`d?1$OBr=s4qTFhTe6mv)Dr1xvIFRE)V%fnBy9UKJ+E#ScYD5 zk?ky0{Vnmw>o#pH#)~t0w{yl{sgt`p9u{BMq(^Iuk7v|w=fJGhnCH~@s$dSN0UH4K zMcR}PNEUlk0nD_1&Ispz9f7Ul>6-YzsD9_N@qqvT0RRC1|9AmxQcY_UQ53yx(wf-s zHfpG%S!jiz5>c^>5S*F3BvX=^bmm1G1YasjTM7Zu76l8s@dGzqx^dyk54urTQtCpR zKj0rwP!JbFbtSm*+{d)Tr~{WXbMJZQ-goDusf$`fbokPV#V%ksVie(*9pwmFwSB3C zBfVxfC6Ipl;%XkCX?;MeY$(^95|)h748F6+*Ed;)BgOTisr<4bg{s)XGd!!(jMEJi zejBal0GdF|`!i*h`Z!qEG$#qX`^IBK|(g-2Wckr|rcZ`QZWC%1iBQ3v=x&mop3P>uE}J hCU)ikKr;>j!!h8Yx*=_|DwHS67*Tls9{>OV|Np3cLP7ul literal 26163 zcmX_m1ymegtT!z!6ff>poKhBdDNc(!6!%ix-QA(c;)^?rySuxyxGnCs=iE=zyDtqZ^r*i;2PookN!8aoBiLp+q;u? zj4HJsJ{$K43_G}blt`oHLEq{;BJ>sjU*C_R^2^|Gw7uh~f@gmssQxM`n#v6yF?AGu z8v5&m{>aldVr$(nLR` z1H6RSURu|R&Uv9(j%VIuUF#v&Eefu0uWyfw-jC1C`hu*imrA67QZTBccNgjU9%YN%Se^HNBYY2)N>5;KTkDtAGE1K>O1Ljy?9|XO%Wpryg?~c$ z`ugK`qv1MoZbzO z$+`I12XbN_8UR{*_7>~5g}_8L+!BSKn!!`@p5b?qE0$)D%W}GF>z5se^U5G{t7f=% zuvH&Nh|eGTgQCy?A2a%cTQL#ee^>vMygG6J&Q0{PwhpV7&>*$4gFip8Mp&O-Lv73J zBy^JsWxt3alllyLTg9t1eu=(xwsUjMbE=j2WLER(6mvo19&T;g>|IOV@Ovkz$d3mx z2q;ser?}an;h)p>Nf|}kE_+cXn)>^{t9TM~yyP{aW<}dw!>j?PD_6OBbIDC0)W#F8 zhcE7-Gu0~fkIolg-bPNM&dWRFU%JIU-now7n5lfHY8o7J$90D%H>x^0!VJ|R-fqgs z4$Twn`;L1`iBOsyN>Cg?W|WQW5$4Z$@V|_dk&l9Xs>}z5g$`Z(9_hsN@6Qixbap2u zh4fuYhKnzE3jf4;Y|4qj2@9iMfM>MY2qEdht-XV43Dbd`2tGNBopJ^uhP9Kvg;tlW zDK(Kl+xrnsAaUqZr0q=KU7c#+1Z8C*0;>Oi9Jf8#>j`80Z{weKbkcTCMzpvV6`z7zk1e#>rbg7nq7E+0aF++3-vgy=zra8S;4} z{4kuKb|O73NSdJ6=0lnHew#-RkFiYk7Xo`&JF9G|fAMjHhfFm`y#n&i=!=hEEgi80 z->Bfw#`H-j(=yeFNEvO=-_snDy3s3W>{oUC60!)7#$=zv@?bAi_J!^xjOQ?d*kA%m z4fmv=%*1ZiAou3tPFC6FJtxJo(J2l5_5vPBUVXdpn_fY0;(Xn4^`mMOc=IP3F>}4ZcOu))fn<1UMz2*?H z@w7bqY?ToCjw3Mwn?HjZJ0_274$*NRk&MTh8a)?E6Jck?+GjFplVow&JKI3;SU!8( zH10W6?A0g_YW8J|VXEmfM``yJLbtX*Q8J2x5l$P04WD_hOVuka=g2O`gSOoicC=DS z2kd?ul(W|vbjU0h`Lw6#0}oH^f3pvd?$$Q6xR+a5rRn)Rz0=myFUo6Vbbsv!X1&Sw zr}f1@HQwGALP)FJkkQF4e;cEjJlr?kM(BU{7QMx@{f*&O!oeEf>)qO_gsX1lQ;&1T zb;ywRZL(8&aDy&*@<29nQs4cv_rSpeDJDHWgiEoY?+@F-T;}x+j{~93MCXj<)z8Rae3$42+j)RLd-ElPL{#1@@x zP2K8|vPyWwmXi9M336QC@_9J^ZB@=9#JQ0Zp-;!` zb5lQcQR1iDbgIc7r+*NTrzYQTput|vr%%^xJXz(q-cIHt_0@jJ zKl2nXkIN%2ncXa-)?DA##2*?r`W z*$Q_5lr{PsoE2!bYwSM=E3Z-QU_akl6w*Sa)Y~&g?UdhA7D7W8a^SJd%eosxi>c;Y z7}dyHBX{j28(p-@ylv{Q4cu|t-!G}GbGH&$e{s8Cw9+3J?WdVVv1EyX=M&0J-q4Uo z>}d9{Tz$wx#26|=2lwGU@1Hi&bEXGo{(*qY;O3)D$@ zTWtrff_BL&)^yxT`nexEJE_BcCNZziQ}fG+2)La@K82p$a{D@+T?~>w`<8k%)%r;j zGFO&$M93Ve%rO+TA=gx?lRnN$^_RR6ML-iXaj2?)A z(q?bn61778SPWr!eD&U7=gkWKg3pNcQ1j2RYaNHMSj5(kFPsy1KEL6h-jWjpsCt0~`$-z?jBxYU@k#>4;vz75q}mvhemE=V$DoQZ3h@fZ&9TOB z)A;d8`AeV>9S&tBy$wj6BL3xLQXUMUz|}t^kUk2N9>)-2>_BIW!|^nz{ig&Fhf3|N zm%s2I*MTi=jx+w(vOkVJe53S6pL{ryDJ5&wS;T_ip0#e3RM{836Wn!c^exsuh+Uw=>6T{KSKE4<>hk~=>1(njZz!k-nnT?uK{Sz&`K@1_hf~7b7Q*rVW+QezmJzf zTAJtHQvV@*@%0&h5hZOGe;s`A>bI2`<6fQgmkV{6CHqK2MgaA!j6k|;-f~-}M~N#U zISfrjR4dB?VUYUbLOr&lQ(5Tmt0lhSL0Uzf8t6;D?15~9(>SA@7*wT#1M z{5Y2TrzsAW$G^Yv@+u0&s7MnR>}xmC0@dEXT(T%e^MF6mH&zDPEJO1!Cw%9nf zl~bIS`JP|$j+XZzjAd9?D(J@q{})+@Jxs&D#<8CgR=vbic5h5m>?-E4KUJDyuHF6V z@#saPY=izl%X6A%9g5_5u2W~&q4uHs+?G|wH;Gq>3Cm-N9wHC65PzkYrMi+bB#IYC zRtXk<^|q~9-$2k)+=_k65ADT2V)0hh)zM^fEb{%<*rzp%+sf;*Ow@Zs;U>^jSHanX zm%CcwfO6@|iB3{~5xVz7#R0D|n#5QcTjEhI6X({o+vsl;PMKgrE)yaXT|b=X>ow@j z3x;(B_*wjH^kEDQkoG(}azw7IX2t4WgS*s7q{D5;)hU~2P@J13!PQum{k9Q@-KB(& zC|W_CM@}NGR5;_G1qf2=>>yq6XT0<6(9TFoO)`}_qBg@Pk=^)tmEOXrptES0Yrn2o zZ__UI_GWWasyefsylxl|?L7I-eomlnH6 zNQ=JPcT_ZNzCh0?#z3je=<6+ha801uYCY1}%h&-Ym2dgnSc$|%YQ)RQ=o-fk_yO++ zJ90o$TDJtHr3H?VO7)Kil-%iV^Hpb#lb4$VZ^_Z*pX0J=KShO*$Ei0u<*R)wsgJV> z8|6M_ffiV|hfkM@#=T_U?c8HmQu^dudZq&m$7xg-W!}n?DSa5AWh)2sEZT|4?3YEB zDM^2@)pul9O}vv5jKhyQy?>o@`I`61NVzJw>MD3z7)Ht+<~R_@rTum;+t&XPUbY&d z*P;4xVdI?(yDV)uQD;ey%~BsNxMnp&Y0aaI2%8zagv>0m7_RT=y91j31f>n1Mt0?; z9~kh%p78cq$+$8^f^K_t+L062?@{YGjrwefgB2~3E!lcp5dzcM{HF&U^e;+J-Y_%5 z!+p7WE~5eLpYVEQ;HIDG^aU(}c$L+oK}D&xn-lkaLuoC*WWOu-shqHD6(l6G&B}Qj)Z>IJYgGkC0 zNzK&$5KJpm0)Pd}ZtE_FMpXnQ7k5zq)$cIJgBbPs1RG)go+d+|1Mu&fX=*lM_MRLo z<>o!fPTRJ4C2}sJKTgd>u55K!N1d76S}lplK!Vx|36ae-8C8b77>45fpmw+j1EE9662=T*N0nUnW#TS?|43(VONBq=od zYt|Zsq6~JUjFtOa{*!UmT0tsz}m{6(dIHs?9(_$765-bI3oAK8s6!Hx+(<3F4Krjeuid(w|%Uio|+`9-V0ug6l{f=H6>8Q%N`--SV4fB!J0 z&V}IDvwLrHwxr?xj0iWj z-y#ffZO<-9B-13nX!h4qbhiJKZ+q$ckX0D}N&WJ9>YVvMi8xl=A`9vOwW%urwZxy^ zsrL&KoAtQAsQ(sN5E!)=Yi^PHR?*{?U3hA9r`u6smKzDYZRLJa$1FL?sfb5b$MnB8 zrwdgwa_Wz0?q}wgq|~HVXSEnH%G3AA??ks$j-y((#L*NN?(QXby+wLw^fN(hjU4PAj%`(ufn*tI3q zR=C(U1We=Ca!gh}+`-XiI%@?(MBIX0?;vkYf?QDel2O|MVjbm{i{I)&@DsW=mRCQv z+rH6gt*cKg8Snr^V%T3k(1#poXz~hHhB;(3dxcylACvKh(ZmjpD#}-ud67+E^vq3X zKM1!C>TDlQCl_*E71#yBNGiO1p9B$HyD8U-esU*yI;#eq;@L;Tf`|k4P@@96Pgbrm zR*T*1l6KKf_dHe6GWlzO1Fr))I5Im8gNA;>=pFpY-Ggg)#*TFf{Y&V^{B`#t!m+z{ z#`;80%4gVt_?@wp0JKJ-VboyFz(l=#gy>jbX zd1fE5+9p0!rMh2Z$?Pkreun*8M3uXC`fI#bvfWPWx3!`>iFXedUL4>)e6Zr%J& zr+1&^4g6HW=(JgH;8Jw@=ECm>9@gEny^*+YM4xzDmkpA+O??#~PHcGqIk5D#S9FG3 zs1?}xAc9vb$&=84q1A>B%Drx_F8xKBj5{eYG_#zXdyle%V!RoH@r`>|6$v21`oV4= zJwX3MxR?7gH7x{+)BdcaQfKcv-;3j08_|&TBSWE~XYGMF7O`@iYbVpTDJxEXl7H%V zsOA+zPCQP|jcc$vT0ritN8yq4pOJ zScrqhEjo+V12+gcO%xEar_W1wM@tP8$azGK$3o%_?LrNw($@Shlr^X0)z(sfAj1aQ zp&ZM`Fp7N$L_epY-kaDK-t&({h|l>+q5(RQ!ajG`9T8R*SC{>ZyFzcp zE9Y7JuY;35FP<@))&jzbPd2ZgOU_Mw^NI$QSGcm?MsAhC$CY)r%R3#fB%{!mXQj-y zfjdu}Q>eR{_kykPM)KfpweKUR5*XP1gc`}(8G8zZ!Un6?N`iRR`@7_MKoXy|H zb~^Z4P=V~vuj$p8v@rgR9HQMFle7x^5**ilHS(0)jcM{+QYzKFYWw%yHhFvyA=D?s zqDbSXAMn4or-^-ypN6fNqfbMLrbJo$(=@LH$t1ljMn>J9-R1VUett`9j6T&MtAvzK zP8~{+?%blJ(Jz{3Vl31PqP~$pCI_SKpKm<6$RijQ`u?6@vOL!^0F0mhd-O4TXLQM@ z@Ez0j!8pc1`(&PL|KD3Tj=jL+NWOt%VD-y|Nu8o>HEpsNhVUEg>fu#v?ib`3F^f0V zep9Kp+QhAdaB$M0-Hmk58umEG@k6K-s=svIXdW^%op60s44yQ*kxle{L#vP|F$(v< z;*2~7#mGJ+KroIH_#Qem(=QuY-OcU-lPk|&r)h>mNcVq@+!sI4-5HaRPEQZ`9A!~g zJ>bY#jBh`hXTC(CTn#D--FPc?z?xPtV6@I-btJy;e_&~PMHJNS2VN^Yq|Vxl5AGa+ z5{B*xAd|z~4IqvSLywN4I z{Mmzdyiz;b%a|^(tUr*;^zhpxI7xT3Mx6lPul`I@@p$;)MTTc(gV=$T_2_kGev*K) z`VyjjWWK+siIBm&nzae4Q!w{R!)R$RdG=RfbbN)IPz4NyK1%|Cq{4ZmO{y+-HgYBx zJnhxvzzCkqCYva04C7#ZlnMK^mp0q3dWD0>8Xqlm9|zr86x{>|o(I{zud_wnYKPbl z8IvN99|o=oUr^igA8%Uj_#l-Hw+~ScAiv{9EkZz`6P|ncqc~vH=?~Y4w^9Ad7U-@& zL3b!=YSfTa?It81WBG^L73?lIS{bsxm>%GrLn29k#Gd0Fv{(TY$*K(NY z;&iB*yN{L561Iu+<2WZ@ZTo6d3^;5v+X+!d$I3k6Rx0a_ETF30tXyt0VACa2J5Cr) zjaq+bXM8GP%kLbtlVB+kdU{ax#BR^$i6_mVHfY@*+iRUw>|kr6WsBO%7+xibdmIf_ z1Dp?$w_FU{GI9i8+b<5{8|flLp?*zg-NNIOGfhrxTi9q%mx}1X<1_*bW4=uIv$FMV zIv!tg3Sh@hi<3VF&&|8pGrkY&#Qu)hBoQSB3-H}~ zzSmMvYJx*Z#d_v#U@hJkE$%L8qfn>Jrs|7FE`Ey$=}1bNi%OZlF?g}#0=x1B2Jqoy zxd1s2T$K22X~?jol!^SyX^AmX5jygjw{n$e^tmu)!@bZzi5`Q6-0Pa35jVg`bNmlV zR(a@6IV^H1&ceA3--KTa7CWkJDxSOzGCfu%85+g?xXQN3(j zYL|K`EJT%!zj7-J-$be24`O`rl7Y5-8Dg79H}ZC2FUMAP*fxzT(S@3Of?>pl(C#Mk z-LzEgs^W2X?pFDd3fWV)`v#zy{z|oJ(Zd@<9*INMTB2xtH_OE}AxHWVutcEsJkqwk zxytrB{rV|ruW}|Mf6mX~>rUWMwPk`IG(WI`Q8u8ry(=@G+{DMrL$9-OqR9_XD~qge z-raEF=r5d?(0h)zwHm^Hgo**oC)&Kq2|R1ST+dRo)L#2|j~x+iy8W#PO&7f!_0-il zZtPLw4OY|>CyAHOj=H-aZK2B;FF`~Ckw{ZmL`>@}@iFyB0J|K)HNJRd*`uEQmk*0S zZbt{Od2ekqXea)#XLM7aqSnnyEFJss@yhR*Pz?c|5~~w4jY&odnO>PT%J;}S+e^NH z?aoo!n7rpl9jpL(eOytk&%0Qx-QwThylvc@=rDzXni*M^Ll){42z!fBYCM>`{x-BE z`mZo>vxPCv*LnY4NCEd;7M3NcGsgHv;(MoWkh~4&Y&a;w$O`8z)n2WlTyDDDwm84=`Wk=F()zxF$o-pfGJifB>{>YaBRhMaR%_KBC)Gpy| zC(tqBtzI%x@K=JyXrKsTRT8r1)Kj?ot`{9{%z1O>Umn#C@CHJ z6inVB2A8MVF$mi}^neH1ucq~)WdT-^xoq~H>nXB(u|~LJ=ReB1=D^ELz`}{9I3DlQ=PsWm!D7$Xf4aT@1CoGq1xBZGz+&Jv(IRNTSd!yVj8RTIX9`)IYHoa zBn=}wuypqRf(@ho>H$NTrQt1~Bdw6*hUskTp^PS{s5&#&iyoJ2s`p}+qhN*X)0p}% z^G(*heHlS~RJ&J>dbasF;db$S7j8D~B zXm*%ix7V=-sNQh}$1WZA_paBiO{| zi>oq6$BmdUBxxzR>#IhoIFFo6%Ny^h7D|{VwHc|ZR%NG5aJcBpWMoq1*OVqA$I3EC z#r^DLVk+IhOLE0#ENrgPSz}N4H&mC>ISUm0NkqUSBcN~_ zf7=Q~91LdonCtcP_DSZbf;^1XS=draHC;%UpCN9qXGqrX;&lz>vg89|{|9-H5krN2 z^`KIN9q1kb4355!M$H3K8fj8CSPhIoK7IX%h)frtdB@5BgzyUPeuqqXS4Fvwkq$G zxr1uJJZfL~ICctbD5!?h`|Xs=ax;94 zX2_btYi1tcJ5pI5bz{v~N1t}B$XXqRN(4BNPN@BTiMna{*#R0sB)Np=P1dNpL|+#y zYNgj_>PGr6r?x#@Nq*WS%juQ$?6Z9&vjk6)Fwe`ZrO1Ph5$P2AQxtYPoV2W|R~(-z zWS-ffrrz6uyz-$e&zC-HV`nnGULSr>jE1wse#2GZ1u(Q{09C?KOX#326Nd#Y@~7yl zQ6?J<jRT_k%~zmU8J!@*z)PnFOW4pUiYNc8oZ4As)UQ%DkCnmBk_pl9+<$!Y^V21|G8y9 z>&d6Sv%=v>=B7vAZSs&>PV1ywziC)$VZY`6RotW6BzkTR7J06L4)wN(>tvDgY<_Zf z;j9wz1lOv9nla82SKrl5qN^)pK>*gHr`)N1K$3|Qp^cfdvI3q2!FqB&D#^1%%vk=y z+zPn!4kYlT@ z{)bj}SB+(Fg)b%@cO7Nk;V3n|NOV#s(n!RlR;%jFPj*~aBhfk^P3i7>C82e1&bnS? z8iT8nwlbdrORsfpsQ_YRm4Z4qz`$WLaUpd)EHg)s(BLdfUOms@!I6ONqGiA$q07Mv z*Pg^*!dGv6~c8Cizvdu2cR4ai6+ zPZ#F6d>?jmww?kQiJQ6Jhz_&w*@vhEOy1Lh@B0h3_8^*68_Ptn2bZZ?+E!Snj(TO=o7fF2&TjJ&N@O=#kE^DoDgL-tT@ ztP>yQCdZ~o|Me{_kJbFT#9q0@sU2Jlx8@vy&>e_HU4=&0b?Vvmp4|zj#;~iD(3ZR(4Cgfi1qRBv6qRxnJ70d4f&0YA?x+uZPk$4UEu|{4T)h* z;L5*~zHHs+O3Wsh?vaB`Bsa&VUd|il53sYL0p3-9|MeVX5A&}Fq?I}5_P1t8 z?d<;R1;`$SjTw`(oHutPotleDu+&QhZSZ6!ryV|)htC@0K#~!MebFO!pej=|BxE)+ zcJE-eqv(K>h*S2^39Ht@|PGhQozx1#Vd z@L#Nc*-7=E@YC!JZO%y`g||((y+`A*)5F&B81F~?hW^2$Z(kKq$LswSk;_^PSg3IR zHjSR4(amsj)6rb3X?JLw?gMm7oo6ixyg`g<0p#IB26b{ATF!D#8@4eWsLYpLePp*S zktR%!-1&8SxAB|7fD-g(NAIhdN!CLIH}j$s;;O~otewOa6_3ppyaQ`hUZ7#w-G?s3 zbw)yxc5wugP7=ESVc=HHk!;9K7Ps9sUzbMVWReKNgH`V+j7SgsNd;SP<`NO~wWpr4 z>QL{q3(psik$|=88gk#d0-saUSYxZem3Z%;QsCOM(&HXzDUlE`l$Ydf?9{-%Dhg;B z*)?hnJ8SJ}m2xMd8*wg-`MI=ZRP}9V_PAVUk*sKu(>~n$K;)4+YtKdDHWf^_xhEes zsI7Llq}zRIQEk&+>Hs-QBDE>Ws<&W#vY*F1xB04{RKF!v*It=nKH%`QoaPd{;^?s- z_(yqrtcZA1WsFVFG2?5`>d=y`J$S(#SN;UJE!&wl+=8w1Aiy!(6eI-v;NebUVx2rQ z3gdXK)*mVA77u5T@J)>u1(qQA2u$#Bovz@6N_tbT1ERaQ!+<36Hm92o%a?0te^iqD z@FyZR-o>&#aB-`va_APZ$(Hz7ew5$kY=3t=sblya@~8msW;$T8mZj!@51?w|NR**M5Gp#pR8pqpP0USE&7iBX^GJyW~NQ2^V| z(F|?qbOhqwsLxNeGW-hVpMh+|uV2R8xVYxKwotauxlR*S4?m?KPt*>*NX<;R^fjwo znDShPqx6LPKHmMl*Dh6f;oJMjvkPyN7t>aOY?JBn%WC}4Uhku;e%)`tjw9=)rcB0X z!O1-2aMG|MjewI5!K0J3qcW4OhB3#kT~?HgKJs0$g+x74`4c$7&8}2EVywy{F=CEc zPFg!e64C_L5d$9oceW#=Q4<2^FLpPGGK3k+3gg~BT#QXRcfGl$9zQ@-+6>L7Eyyc} zXXh)TeSoxWfh zI5RnXaP$u%I-x`6c&NvUN+#t$+4`-IO{JD}3)UJ=99#WQHag~)Z4kEZFM%N2wYj~8 zVPa81Hq`Z` zH2qw3=7j#iYt$2iVl8HqrgVjBhfzF6)(nEXkZi75ZTa)u z6}b+avxXxreU0u+6uY`2JGa3zq9*ot%qt?eKf6xxGhpTu&>!`{OQh9qqNY4fJ1NUe z^o6d>a({?EylWwXjVl_7&u@>*G-Y!d6R9^->!md-sjS|2k!VvED+sF=)9kuXYc;#s z0QP^)WLrFy0lh`hv7zqfde-An4 z=^lzJ|G;ZNxE@SiMBfYPDs1`&E&vdK6KXn+y2uX>!ZM41uELwJ3bkV(!{bO;SC@H< zk0Gg%XQzCKi7$R@t>ioOwR|s!jmMfJzhRg_j)k4xEp;e6Bu{>k{;(y6b(rr%Q^qmj zBnfrQyIic)#DG+pw%1;*W`X|N^6c_{7CsV)Lx9DhvTRMFl-^iy$!?pj>f8Gx>+y_X zxKZ`WP-A809t|T*VF9)DEKg%lW@Z0Sc?X*&zPvAdW0oEG4#rg+{kV$Q?XHB#5W17$ zEJC>IJP%Uge{g8Bm_G&k^XIuJ6OtSUFnZ7lYBKykr<9|V9*~y%^J~F3b|S<$i{Q4e zaoY9mvGJUQf)eZ3286%bf%}BNZZ4pl)g2@)FYGt5c?`%9uFeyA9E(q0#24+jux`37 zL_5D@DAFjxzd3wb?7ftiWDGo%-NbP7VX)>0JAxOhRF;>@Ybur}Zj!ghATufb>)876 zet1o@Db7mP=FYK0Za=nCil85)as}4_-}S)0qjpy$^Wn%D0{D(~0l<&lq;i{WUh>s8 z`XKk!hZ}3=8(yL0Qtj$-)|0bkt;((}9{>r4Wj7AL9+@Kr zxn7U#lDqA_ZH&Y3<|K*#Ep^XD6Se7{hM2WX)@1xfX4d5NB?4! zaiz((LC3m8Uu@85=;@EbOPc4WzR$CA$b&1Viv4bMwAgebo9mbv{Yd{p*mVGvJV6F< z4QVzKyABq_6=9$I3F=v)7?KrfO;*$&&X$2k7hy+6$9h%jBzAI%q-TVb@?~PlOo-gl zX!s$Dv-+mEk(E0ud7_YJ25qlIpPO)2C7?C6+kUd~k1V!WRd;@jHtD81QVfi9uQq1g z{nk`gEaBmAOw!lwU`rIN*OW&AQ1jhL!XMUTHgDK~u z&!(@bgF{#LyIWIgxFih{+{Z~!9*powMxNTQr@T74kc4p352fO^pyaaCj2N~IIg`pj z_ST}Cw1aZg2`BZ10U>y=#QIddm4j*M zadQ|d9W`9?a@8=Wk5K>O49h#;d1E8G>=b*HWN7Z`?IZC`JkdbSKJ`W18x2^9bQ46? z?Wi5m?jsi8@-`GK*f|muo@F88q43c|4F76}MgDHiRef>J*X$Oev++=b%B$)<=!WRhM5^j^s@hYHJ|hntHNZYPh~?Pt_o zD$|y1*fD{;(%&qnn8piy+nMuauKm`tVaQew!>tFQ5_V<)j!)F=a3vqSVoM<{<5-83 zoMXDW7pqvLdf&ARcIxcN7PH-Z5aL7KdSkqkN>76 zbjET0L7(kYLG=7Izq$Yh_B;GpY&Otni;c7qeea@M)O*tMS(BwT#O~to(eC25NAYfc z51y?It>p;wTFk#NuF0fyOQL{|hNE@LQ`LlY z+)#UA{i`};Q{f_Koq&A5#2?FwZ(RD2r_qbvq-=~KKk3VmmM(C?Te!ftts->qO9#gr5CBZZT<5I0-?_SX!{L2tB!mM>*5YhrZl%B5LrVKF^8 za)_-q!^R|8zm#?KXtTOdS4GaY>ZL&%YPO}F1*G}JSAYINnU=S#q7?J$CJEr7i>6*Wbu-&%D`a>9pUP zHxcwuRxXUG)+f=!M7xVWM<$Q4SCrz@+rZV18z~$#KOzlmQrjnh67deq6Af-cuu38x zNp?2a3%cvE0x?`pC*X*f zrOz(Y#K1@5c*}`lTS#y2wkyX#d}++r`ACUxNZr@hc<}wgFlH{;ez^u7A6jNUJEFt} z#qUStg<7LK1H`319@Wpm`hsqChDE-@Gs^{eQ|o_A>bfnDx%Dq&V^A+6jTvtJ!{l7q zalyW+;n|4PWYuPaJ9&|?eMVlXCLb&QeP!{UK7pWeILRKnNEnBV;F!)vn$+y2`V!gR z*xU2B6?$|$Dv@ly%@hws2|3YTq1ZsK%Mr!Yz9#9F$V5ERNCt)E>AQp};m`4GfX$l0 zM5S-?NtDWJ%y>5dlhQsUTI~9qfTswoqFu?T@fxxD_UXy<44d`)$8_5hZB6#+ryLQ= z0|__}Ut!ACKxenXriV;CvW8V{|sm6`Dpz^<@}=R*AvN z#Ab38sbmBdaYQwJDBe z>P@*1O=QvpZeQT=!4F1hvWTC!Xs+z4xSd#VkPyk`4Al@apczCXu|@k6@TOfkUW_aX zseNH?rzpagE13E9<=3J;kM9$~16Z%sYH4ttC5CfxZyTjm57e&j%mmaP=&;|blkb=nS47+Pqf?C_mfGTI?jvK!r79`i6A$0u);P#Ry!B< zHspwXjG-xYV;5?ashZnCyGbjK^zf#g+mYdc=0FG)32Shi`oL`WY5xhi66)?xkOw=@Mfi1K@^H- ztIjCypF7N2;B)?yzp%ZbdDbRnUaqy>tyah;K1q(#cbEVJ#!YW4`j+vS>U`~`T)G>8 zsXIsd=gb|xqZEZhoBuc}4f+#ZO^!M}FhibWFYO&qMc^?Sy5#3(trDt51r!NsXPwR+ z9aKwLA5#c;vN&snxcg%TBA2Yo@vAW>;@7h7%AGOQPjCcBv|2&_q^^6n_qxu{@L&8i z4&zYxAMtxWac-LIJz5_vG~S@nXSY(14Gr)yZ!Nw|lD#&K=rysOZDsJ6erHAtED3s7 zy~JJJ{f0bGj$01D$XoJ}C-!=S6!lffP%h6=#dimj{DU~@zHze3;`Bxq)^BO&*E{dj z2_`OUd?xtQ;+3u3Ge7Oew7mN9>PTKweJhU^A+8rOzXXnmDPQ&kLaa71B*Gnx)YMdj z)$ErnI0X9PIsPIxdc`urRz{FA=*6g{;#Y-lg$Jla;~Z^z9GDgYtcu%ipq!7S6Rt#e z|4z{o0M}K*vcQm_D9K9kOt^?6bxU8)PfVXGB^FQ#_<_Bf-nbuldx{nQ9`JU}! zmhC5iIWnjSlOYQB(+(aCq6+PUA-_Wuz`&8fMlVpRYW(GjBXp+ciHav$wRi#xp~+R@ExBAGEo;>H50Y@S(zBWv`p*%ma*B{_^FE zLfMhcMWSZ_W5Wa4tF73BGXmg(`9c8=>Fp-&Vv^ulIL>$IgCL0fB48;llmKM~VX0LgmTD5%$3oKK(&J?l6W^Hhfm|L~CC%jhx!0r2G#Tb7(0 zp2v(#os@oe)I}nbUd&;Sh5*i<0$%y_y#ucE{v+KZ1>T2TzmK@N)vaXg_6Q3PA+KFw}j@zdWey= zvZifVFtaITuj9aI%3$x(%wYkW{KJno<%@?c2mbDM*Y$!Y212JXHo zmQ%B=%J|A2@&a?%hGcpBx4($}BdpbIWf?_s%e>9t=W@+7wWptZjfntkOM%WY?uWwu zm8VYqL82J#!ut6DtG*SN_*F*riO8fMdu?g<3grSNk5nTVU!l;p(D_==^bvW($>i^6~TEE3^^wr0cRs{5~T5QvCiENy>fQ z*pG(%i{YF%HdgT(H76yBOGL3zh~=s!2?KDw$Qo+|X@E5|!l?PYKY za$5<8XGoApE|a{jkX+FBTExD}*!oL@u~a&dvs7_D?xvwT#4uit+s!jBQ7Fsx%RhBf zw%w|J558VZC;&T)fYk;$rzGGF>nk1;l*dOA<|jXbKX#B8qWtm}wURrLYs$`{@Mr&` zYTfNRyq58ctCXG-FNq<>!3DZ1Mp0ME7GFdZo?8 z!f{i~dJ+$)wg)?7JWO!2yrwVgU(cF=P*oU>KaFxe^kw5KkW0C6@o20=u z2{13V$5Y^VhHX0Lmu<_?qWdgcx)ri}`WK~cNEmklm46SL*OFkj4ZZEXXTsxBF7_D= zjMI`Xk`vVO6zwG0{yRK`;1K~+S0)1+_qr^5R=Ris_v2$yJFiiB`jxqh%HKRQ$TADlErrX z3(EeoRnz9=%>fn|2}cwm5kVO{9tD~x4s?NxqtWV}nzHGppVb$CmJ=S(-$vF`qoRsg zHp4na#E_t3(p#Gml27~omZ18B4gju+pkd8fL^o};yx1OfVK4B za;kp^*Qpjx1s5Xg*8fIsr}0j#cIjZST+Ej`5%AD9E@4}kh~TWHkS#f5rSniDS)3m~$D_Wxof^3^ep zcUB!)5}a0;#BY@qpqF%RYRNOMW?0<9hehz72C0|6{K^=sbJJZUaek0mGkYFrzT7E& zy!aFURQ~S{Y%Ld%wMYfaTTmtKkdljB)jeI@UOmUDcRR{6{x)#j{i??J6?oGKZSt-O zB_LCNBu@IB9xAW!yKOeZMJn>dhcWA4sY};~o9weaG z5#+VF6sq15-_O2M*vpf<_I74PGCQYrmB$?;d_%6Hw0Idsb~$$WcWU(1jzwUlYK_w8 z<}_}CbjXK#>D{G6XGboV&HJji_@~=xar0&&g@rmf5&C?cMFDyd?8zE|qsx!H4!XAg z8m)8|S9RK%o1yb(zD3hd0$e{6-q!jKu3xU>&(T(EHe2U*+sfrXLtQo@nq2~57tK&R z$~~79ASu|nsu$AjDw2MjW-Iz@#JL^Hx_DcM1QuAlo!GoaoLiWKhOa({6y%)F)^pM; zIHQqZm`p!6J>KH<1ScMQ=uX{6tsFaLPL?!ccTU)YapZM>HEmE#LPO~S!G?69t(BKu z&ZyR}Y54*Rdya4~#u0&x$>p5?q085=+iRhpn*=WlG8BxyVq9JNZS44*CdN_?L>A}( z1Wtx@BuL~=(Z?o;ytA-LT+A~wdkjMbIqd`jkTX2_`C)9%enVhDpExkB67tqh{P-xQXwnN-#q??P8Y?YOe#6BD~V{lEahSx4%>GZtt zx^D3Ba)-C^AN0q)(b&EHPT$Gb5ytgX$R{ik%6HA1p%HHskw=o@+}3tJ;2+8{2}Ny! zbgaC{nf^}zlO=50pv~T#p)u!kgZR8H8K-H>u8-Eoq0_f7wK0h=Ila9Q3Mr?zUi5gx zbCue2z04x7f*v6Uq_4^-ep>+F|W%5km^IJs{0dmg?g`n;at%;Ba5 zr~mFcHHW)V12+}mppwJg8UP0jvgdF&Yv3jWy(z&Bo&yHQYh2~F?jy8fa~C-_ZXd4fx$n*sEJDhCcd2Z< zQt_OzlKWsfKjd%=f&(QnJ%>A4<4f~3K3GSOA57H$(s*{dh90lnR>8ep12N=fQavSk( zHt8Kb1)8^U9Y@-z*S`ANR4-R~u+*)^qNXTujRze2{#9_7++!m}IJ=L|>v2_Ztc87B zo&6m7Byz(CsYuV)+23(nQA4BE%hKdtR%!>v-+o>H9q+B9$97muJD62{K1kPfV=TvD zmQ?K_6f<&g>{o-2r{*j8uT2t%m|H*PZxj7BdP z`o@vR-`;-X@fR&JS{oU*Xqh31q@j=+{a!32c=Pix%@%Vdq_VXiWOwa2f*Tz^c zW4(-hB94MsGQWs5vGjPhjrUZ|uH@0$v1IMBCYHu+W1V>)82f~c1@fqkShDt56HDW^ z51-EB9)wxP=h14hWIbX{EH!N#UmvyCS#FW0x*o%gb#1;v9<>om)*fqOY1}r}nfHN* z=M#1Fk)JyjgO~C3k&SiosNq<$_E-~3KRybp|h!o~u5)J7~>d#s72aoeM%v#xVh z9h~=pPbk|R?n#}|uQYu5z4&nLcd3nU`tUw4X-D$DJ#g>Hp|0tEGVhk9?~?MH=hHft zs-5@1(sx2>JD}$=?SAC1JSfuRITqd(CFS%c^AkAC-qGJvVimdbo%pa2CCrW zhU&mct$i2SdhiP0*5^N?U&=iEao6E%)Y+IU<)%?coaBg3l(L!;s79ZBPyLc&IoJ^n8ewwmIQW zKR~fYb>@TkPKwhe4{U#`qz!)Ndp;9or$4?lquf7~6Wf5HokTgMM=xJzd4wCcX{L~V zm|%0;q8n`MAA~7R@Md@PT4r|_yM!~f1NNamZQ#x6;a}9_Z~lkTTw#I*MHM}M5cG-| z^*&aP6D;2T{W4hFPu5Hg?}!G`bBU8D&ZuB}dDr2OPyC`zk>m04`QJ+Dx?WM5Futf^o@7g40KPl`g_Y#Oq zg)j+G{DqVP53~oshRE!J6M?AA9&79E0lKep+<+i18T{mp795h}(na^o7r5k%WB1d^3&D9&7$8pRcvQ5ecRAmGl%7ZSNNRvk138 zoMO^8E12sw^0C^mR3~4q7lR@9a6a&WZdLLcV-B~x&tJU22^&4s_}l#KmRJ<}buw@)HwTT<=qF|`MMwmZY0PC%P_ zlk>z)udYqK7|S%Vj=`q>)Ru1QP)%Xof_V0MmhB;JZOE~Q+>}7Fj%gyRgM7X-q+h-V zZrjk@{Mj8ZMmf2}Ys#%4+3o5;Guh-6G?#KsXc{H*3kpblubiB7AI17(8EuxJk;3@; zF^p-?^pxjK_2xWyYdUIzsBjKo%iTWO$TbqDnErVBixqujsuItBpsq!%WkfFQF zfLZa;!5k7WSwrsEqQT&SWl4Bk*G$w^(Q|s)w2(m_RxDZe^&S`44c^!E|24hLt@LeN zsFlGOwY3F4kKEQLm4f|PhSWi>D?qN7SY~-oxnHA3^Qi*lv^ri3GzURGU4UdUb~8Zg zckXKCe5L@oT#$Df(p+DFWI1)OA!PJ$tg=McB6jU3Buf6TD~3O&p=S#;@2GXTlh68A zLw>pdc~bzZ20&uxS^1q-2!3R?6cegJAKIFza+^{xIdU60E1Z2bFex_%jR znCs6GX1Y2lfJ&6pbJskUfT7_>`Xx^ z5WZpCNDjCk)@YF%v*a<=!%V5;ZY^=_>k7Dg6GfhuB24*J1Kpttf1HA}4YAvOiPg5L z>v=_AtHPzcaT}9hCt%7k=oR)uaT4l5zZ9okVc>eRB|9oHAB6N|wVf)SNNN(DZ1nx~k71$uiTsD4eVi1f`iwi=i9q&MGp#im&L+CJGE;PNWTW8Sh z-%ZIU@9Qd!!)yh1Db$;Cvvs%Q{li&j4>DOU`*x{I(y8{SpJY=HF~_VbKS za#h(2d?8aIpE8?UM)&`TpHrhJ(66nH14r#<}o(cNq^wrs4f&P1-O4* z0Y^U(jOw9cO7~Vz1;9V8b2E-BhCczYsG9|ecQOF_ew88?UG<;e`}m zj>rwB!%d@KuMpB8qm;PqHBAlEfu?7(pIL(}ipjUBCmV44x!KLx<^Wp?+aa!0H60nv zs3CN{N;FplnpKk$kkE|W0=ZOA!(PFgm8xjcPr5B$i%yHAeP(G8$*5XT?G?#G%}5q6 zoY#xw{b0>OB%>cd@@Pf5Nwl})rCr-}*H|T&V1H60^Gq0D185d~{Ye3BEU2V#;s_unG>h?> z+sB^N#Dwfx8rOqniz9lYhQ>mm;w{rpG62RPLex1WJDiaxz?28+2So3)`@X1$ruJ=q zbABIPNz7n}b(ML6 z?FZ_r_(cKX3G5eA*qfSTZTW>l9Z4-70Y--J%O$6y4hc=zB*IXI=6XRoS5L zxl~b6HwF4m038(KUR_%>&;dzn4^s_Pa?vfG6j@$h!ajq^7Tu90_f&w@u&p1r#X)dK zpmUAM76;!+!A=h#+Z*%Qy^))OT_0c(*@X6G|2M)GV-1hMts4NF;^CTNoi0TL=2BH? zOJUB+e3Pm$7~j?(Tv8Z*b)H%INLaP7$S9M`Rfj*^D!i|iz=eO~P2Yq!ZV|sLLN@~r zzrO0{4PL@cjvw3ida`%-2{la1Pi6*8sR6&smP_HOLaO{Mg}Ef{5#ZY>k^W!lFzY+$ zpy^{TsePW+$t^t_|8}VSb1v_9BvzoT1b6kj(Q^6k(g5+#D?(4e?y`$=qeJ4=T%u~3 zox<=WD2ru-@ooKVrZDFkj$d9E)ELPm+bS++)N-E}2bBJd`&&@qb9`A0; z8;_v3g}Kmy&R&h1wsg*Fd#x?ZOb2-`b&%(92m5qchb(lU(`ClOM3}3|mcu{=LKx zRBYr2yd>I29{gaZjtlL0mB%N zX)v8xeX*=9ZyUe4y*$S{=z6AuuF=7}4?%D2vrS1RbY1n-OSFTx%Yqijrt7A2*3k}e z6dk>$rQJ;~L@K8IS$bFBk!mpbrvSkE!HmFHv!I@o7#OC8K=(rL@v{tmp|=%9l` z9j+H{YWTO6=ba98*gtMd=WGW$U9Pvg(BV0qw(@N6po5tXF_AsMw!CfaK!@k3+R|A) zrsKX`+*WThidAi3nCsiZFjw8x4sIJd!0@rrRxt9LcU!JU-xVEb4Wh#n-0pQAy?U#n zOP|o+tvUw5`riKUImv_$+raP~#C0)nM%Uh8soEa{uUE zQZd;?DULw+vscPLsSC*?;o+brJNBOx>~bB(Th-=`(CWRh{j>Xi{Ea}(eI%+ai;!!g zdu+}7NG~K0ny-*brc`gNdOjp`O*)|Fwmf3%-D2Q$*ilFHL3=sKH6!0J-n5)5-Oqv- zJt6$LSx}PZG}$y^&=it>HRH12Cv_N6@C{=0xOz09=TL<|yMYk{_99CYg*+>m)spR! zcf!D9y|GZ@@nqv#*N&x1G+n%yILGA<&cOrI+H+{7Kjb>argu&97r~Ay;y_o#0^>VI~7aq)DuPp`b;Y~7~fV;XQP^L%UL&upxfl; z6d!CpEeA0=O>RnXoiO#$2-Ac&V4h5F?=^*K68tD1KVyIHSssbC|@Krn>O(`)4|0SdP4`xlFeJMyL!E0&y5LhO<;_-3oUp9 zW@iWc92K1DtqpJ3mE@(b*8#kxaa2QnU_1ckij>!uw~a0B1D%TZDG!|ypaz6 zn@PNG!kddB>ez-iU`}dYYy-m@WJ99sCcF_puC&w}bhzGZ!yEFnr31{QuFcxe!FSGd zun%x;>2Mv~7KVAG4GcPH3xh1W=h})6Fs(N`f$5;@E^DOJK22lDvE*j8SXHWzA<$qx zY-69UFwFIB=`{IPrV}&#aXM=m-&kAn(Uvy6(HB1*!?f4Ie1~hZy&ZHt*#QP$XlqmE zleRGFwX?vL>c=VgyTCjQABDyW{b{2E^!$Fy>w55ak%x!U+VIwuP8Z%D7R=U`Iv|d= zowJZ7h0&=}yPr<#7bvn!^s&3pE%@;~& za!oK5L~BA5K6fYc-yoX#2W5bNM-0EO*r}rX&fy^40^{aG0Fx${;$|1#?@y+R#Ckdmr)Z z$w;AI9&|mK_=Jjmd#LHJjBZf>Ju)KreS$+z16=SB6K%dLZChi5N)Zki#%)XyjuN_y zi5j0aD%)da$3koaA7|*^cZqGxVH`2VHu_h!Ap>L9Qu>?N7X^1UqAC2&_8qm^L&<)R zGIm4;&V2pEMEVP|_&_TA_kOAf$NUvjYm86`#-6v|+zg_LNWHs_1deRtLvADW)hpIIHBNErm;M^hvd)^imt;ICHq73`pz~ zIPcks@)YOQOuigv!~%kN2F{{<)om%gnFQ_#D3ft(*HXnX=7$^m6z20lrDJ8a&P|`+ zL}PgUj}ktQReU`)em9>IGMuBJl*7?h2Y1v#wSzk*7_)6o&#v>0&*@}r-jEDCb$xzX zxo?LvpV-qjKEa*p<+j=msc~NQIX&7^xH7$fFNaI@;$Y@$J1Qz|;T8f+860$w*65{r znOB?X1=#;y#~+7TOGW3`E7b?vGR+;;Mhx{fv-42c>u>((+~JQ?JMmA{)fK`Y$Szag z8Px-FHfX&9{2SHmo8msRQJxlffDXu&@mNeR{VH!KIP!nw{?eQMEyg=ok&jeC{99^> z-xpXt@h8x3M*Ny;sD|K70Iwka%K;evNc29agZT>(i=P$Xh^ZZ%UZRZRI0&{W3VRio z!MKfIsr`%!X#)795CY7c2CzkJ1Liz@XIvQmZpM8|{%!RHgGF7#&?EodlJ&mRT))wo zrh?*B3aMc9f7ILT@vmFc~<5Ouxsg-;~h!CjE2?0=yg~0R36ltI~9aH}rDs!?Mw@ z0SEM#GkDks6t>H#_o54pcjdCmdI{iDI*vADo$hJk{EUvdX5b%Ff6sl4Z#E?%b&kF` zsiESOIG6~&CiKIG#%A4VH;4YaC1J+=Wg+1~#fiSSzFk_$rxe0WGsgdy8qza^Nayxq zKH{Meob~u1VD&2)8{oqM_(&IEJRHiqy8v@753o(6Hbnc>{ZVyFQ#uD*c&Y?uq^2na zPThq*eU!C>-ls5F<`@V(6ynPekCsBk#ytA@eTNu3fS^-r*9hRxPUf%Y~=htSt z^boaS5OLHXSknDkt+W(3z3=3WOnT$8q? z(*=gvwJn`4Fb6v5jg?wk-Y#~K=SByZ!yS0*A`c6%wmM+jp)JgbMUf|Rv06MKe@wBB zdRyW6_Ug8D+nngVH6qlAXH@$j9Whu3+I)&7f9^T+%L3ZCzOYn>GrheATq;A#=VIYZ zSIeW~lND!Cc7K-Z)64GL2Fc!!v)o3hK3Nf^`b;AM{=yvLsq;5-m-F&-?@{B^q!oHO zZJw8+Z_**c#gYNWnphgHE%(8lhE92%ZF9JkpEht!ZIsjN3b*%M&&jw?m_+MsMz^Xys=`I2E&{Vl8;0Fu1s(5 zkjkwBw?^v-0_w~?{pSVzX9l+ITD^AdTK9!2LUmS^67U1E+@GyrU2Ont*#jRO7x!lHJ?{+;2lbDWTabB#J@qA@x<+j0>5R_Y{Wmb{%K|4eGFW1~ELA`= z+6$6%zYMrU6_a{Kg$;oFvhq#!<7Vz2+H@RL9UC!R95ZVk)5%tCVxJWJcEMc`&hvsn z*JpLBl;?T)*X+)kr%$T= zp5PbIx$x0;Oy`FrGe2^&Q#@Y`_?MQ@q3*hlyTS!-4)gsbD(_MKS>Zufv*LM)bYXTB z*u=Z4E8~4!*Kbq&#Ozp>{Vm$g~lr2J|My{q5$kndD}R_C+IP46mBoEH#ddXEm4 zUc?Lh7MnZ&RZf3m&}|(y_Q`MQSSffuLeKY^?gThsn;!6NKGifoC4apspPG^{Z&!Xs z^bd$8X3Jt;?@%31ePDN z$$3Io)T#Nwa`ACneK}{m9#DQlIeLK?j%zxw10M}vCtltb;5zZm4@w?VJ$+N1GH$Q| z>A2c*JrXx&1{h=EI;^fNKPnh}H9gSZMIC2#oYGMSS5|ik9zwiK+n0zJvk7uEJyICn13V&q z80;~fm(6R)0d3Oz@{@DYtGYfor1E9O()F_MPPMJpF&Pf@#&J`}v<}AD%8*lUdM396 z8dgskzf8~b_9w*yKS6&&IXv!`Uv8B=%vEP}zESW;luwJl^>kg%8v`? zoMZrgh2)u4enP%|UFB6$jLQXwy!Z9zNwwWj+X1z$P#r%;3!O6AEw12Kd3@O`SoFYw zUaU{v&vauser#pa&HoPo0RR7Z0c=vwYZE~fer?j)wCV4tp^E0<4+ts|6pMu7?q-tR zve`{$C(GtvX6DOM2Tc>vfs4oHJAj>t5kye&q{oEo1VS=T@Mb6VApP{kl_EgX`hZr& zkiI#|ERmoo{O6CZy;m|SGOAuOWl%8$leWXS!7aO)q-!YrF{Ymb=mHuJ#)zI@VqLU? z+&$yfWDTgq`ePi&@1`@zth#GA>S-d57>Y9luOMq*A%ut0_wpg=Iga#1CWnRkKeYc;^26gAd!v zR2x%idWO=*xl_s0A!QU8b@FStJmK^HEveyq!&*tySb32=n>6q zl*^0{>e)YKuK#R{#aWIIt@04r*lV19`SA_#En+ODrACuApNBRXrcIP7xA~+Spk6M1 z<$-`1MktF)>U;icYh_J6pj2Y&$o0RR7T%h@{s diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index f7d56bd4bd265148546406336a2cb150167bfd2b..d7b359bd8ad1cecc7594b1ad129623017ed08bee 100644 GIT binary patch delta 4495 zcmZ{oXEfXmx5f1qy^G#UbP{c%1vAk_Z=?6#yZ<19j7|udVAKeM5GBzPMz5nwgb)nT zThwr$d!KdRZ|_-q{q{cRa@HmwB~stja0R6!omt_&KzT zsnyp~x^UJ~h{N%ZzD%&HCo@4yXuIRzi9E#-W_q1&6|qXh*r zGH&AtPQSYMCL;A^=X(9ti{{lf2B)225=2o7hrdU0XA)V%E@`7S+D(*})mHw!H{|0- zDg1-_7PfFX6kr;8#8z_tXXFqyDFCdPIxjdX&-+a>QK5dkB1`tt>HJ0>U=vJCdIWf` z5gd&!R!`aCQ^=c>znJFT5wkySfEq?U0E3-2Tz>B)dRA|pekYPK8X5H-(C&7dit4i! z=-i4PEI&8P8xFRB*5+3))i_Y78-Aplx6>&V(RZi0T>=ib)1fH zY+YBYihy`^R*{A1^7gL*jT;%ruK^-NSsf zy>^rQQK;)Mcx=yG<0q0EC3Iq#_KJEX9#4#Llfhj?vP$&{uG9;3AMVbxirJgcUl}%rGfvn*^Cc4J1jiMZ9QvnUFy=lruw)J=fvPL0jr&_7 z@iID&iq)%YwO1Rnk1dz{kT-*Bp zzx31wZ6cLavn64C4meMHaI&A{@{!_0Xo(Dc=qf1{9i{Ug|0!g&yKLFe(GmUi@qJH) zW4M7-2LQ10)mHDf6C}7tg#E@%`Gn8&6bma4Qq4vYJT>J~eUFFxjDf`8Vd}jqJ(B>6 zOe4TSeSpmcMb>eLpLiaM6K>ZBT99n)>=o7vtnKo`ziA)6WAyo=N&LhvSka$4g>(&; zLa+2OD?``oiRt-mlXX=u-mC6{Pr^hQlfi672t@#7yfosh4^ZSG(+$|T@#D;RSoqh= z^M`PsUVXYDP-~o!nJv3HK@)MMcIL9RMCyBN*sRS7jFvD|wQSoA&Jrfg>x7p*pLliX zN?L5b#Hv6=;+0pcEouI&kis*fi}0b%rgE$6!!|K{M%8p?`o0q`+4)tzdft*xQ&ji! zqvQat+63BoMHMV zB#t2CxjG_C$uwp)Xod?1{RI0wF?hQ=B=f!Z=@_O|5&Lm-kgi(@vKpipa_-Y6k@1*i zD)^$~x@9R0_r1MPlv3rA@8^Irv~p9Ni-h<`f+$St;)Wj@ej*Z?SKVO4g;aNk{7DlE*{d5*qN@e! zFH-O~6s*YQ(Zc&o#3p8SrXEqxJgOg8e|#?ZD(|p-inhqas;)lvj&q#g7GZcIt7u@q z{(~Ezm$O*)rT|_1?B-Knu`{0@WVkr==F%-Ltiw>~nozY-2Zzsz3i5F}QfLQ+J0(>- zYe=+ipIkuC$r9IlIEcFr3sHUU)Zqod9^ox@rJYl84H1$*$Y$jt+L!{*oIK7jy!0f# zA2I(`HVgYw)A;;Y5$wRKHm~K~uy3r8z}Ck;5?rjUw{KB-ZBp9iRFFs61*Hx6hWB-k zNeK!S{|+81xOWhb;qZ%zbFz$U`luK7eLlZy1bf?y#22c|ywK5n-u{&_ueBP$xX$`P zTx!T-y=~5xw_JP9a0iZ6KQJvlrttq*YuixaUPG>3sO${^OLao=(mP6LE#9n2ux`(O zwTR);=n}fZW{2R{Q)W#LfHg@YqX^j+!Nx_8uD{&}Fq~o$+S1J&rDiQ{_=A>PDL#p0 zzMJ8a6sfZHljKwb!7uPcH{yZ%rno>lhU!W5D?VAVz?_C={5QGW68sfHCDFw9WOchH z2MNi9yehm;^qy7W4a=z&<>)8C6iTC);2*wK4uqf3I$2H$AVBW&c<~r+6mvmFmTT&0 zAB0!yw^a5(^6Q^hq>TP4X7?^`SxMI7E%#XcZR;p3yy^RU_8khVU^D=Sr8*1=hFZe7 z_}$w{sBKF!H4bU*AxTCsS%)&ILaz8T3dx17M!0w_jJs;dC%@DSzpIRZ3~I?8r^YXV zprPgIgNs^m@p<{Bl9sh3IuN>%h&VV{kdpRlLzvCfdm3nIU%bkctbP96fuE0A2O=3) z4~nq2=Wr@0Os6mq1ANQilWk%r_%GR6t*H5TvB%5~EItl2N$dA$te0PAT{3(0589;4 zI+k^cGM_Epb|Bwh~SbB-P;y|iJJl_0oMSD)wHsktP+JszK7n>GleSET;8Zt=4vHqzAfYvM}oeH7raq}%iWJ7qhoqaq6pS`8#QD5?mgH$ z3}B-_z>|GZft}34IA0?N+E@p#}7*#C_+5XDcR0L8ayp^R{iX8JB+WE*(f<^1Ex2S7$YNackZfcY#`w^Wd6znKrw53}|{~GI?5$?X0?n=TY zHE*yUyuJ35x|$q1qDp8(MEoNa{)g*BLa&Ajj#`|gC=rpsWY>6RCLg6OgeL+-WeYfR z{$gm*`nD4p-;Oz)Uv231LY{PeOQbNj9JGVOhJ=sJxoO7ibiuNKCN1XXAw=WEoL@UA zpWVg!hT-1DPZ*R6FKM3s1-}G6D0i?o{8nw?$BybCW_0kUoc5vWhdWba(!ipChbB=| zVI?o_)!c<%-Fyp$m2L;^{i_2UX4NDn0{yZM9DWgdK+suH<1By6@0&v!;Ed z_L&}kag%MoNY_7t%`vMB=Y9ZbP!HE#CsMd4EwgS{@E%574JO>bBi1~Hs{qd~OL?vc z1B(B_QO#ZYDH0cLH}F;nL*M;bH}S$aZ@fzMtsi034GxgeXStuWccUpv#=J)2ehdrG zae3B5NPsZNkHR}r*12h7#43r6dx?$HM5b~E%bx7g=y0p4a)@BUe{P}%@A}tdr#A}# z7P?g_ooH8xYWU23N9}b$(h^CAz=|sQwV+328CT+pF5>i`DSXGAH!{kG_I8*fgsc0NebV>5z*=7T=pM8)wB1c{yYXMy!+XV86Y;NF(8*|?LKevu9X ze~>3IA-!$yNX4B$Tpp;>zMJe_EM{h4fo2t5liAo0!)~JT#sL*ev>+;78h*k8ztGWH z65VdKKy)|ek>1q5SdTmKGZ1Xd_P);Ndy^w+8Tw zT7dtyy!m0IIV}b4lLMKF{bVde+2~$?%%JNOH2R=&{9?k*f+4bsYmAb3pEJiT%(ovn z>-FTieWk(8&9&@v=Icup>mE?3gll~Bhi`XA#^%NzQ#x{>#L<@j)2Vl8>$JdlwqiUm z#LLYs*fV;?AA~CUUYH90Wju@WF#Y6L1Go{d*uB*sPdD(@OZq-b+@fF1ZZ?rtd2SY_ zMMYI})}i>=xYN%oa*Aer$++TfGQ?9JwLB*u9uUL+?qFr{ZbeZcb8lrCSdFDzpNXbE z7jo9)mFm<+58rDw6Oms=aXv_AQ~7`>mY)-g6#P6ezvY*>1r*jIyIZ=9Pt0pgx)MbGoa3myqq*&*P%Wid>-Pys{nJrN1P8r)Cj40U|M?IRAXO5-PKMR%GWVs@7A1{&$c)Bpm0Ha0hPJX z(D}a3j^^w5X8n?V&Yrnh!%6=uR=~UQ?;Y!|mpgQOEiBm{bS+Lub8mRULn5zsma7oF z%CVcw>EBbkj!UCsbbLdMgL8Kmj%$;xr(>-~|H5FV+{)=;WgpdNYBW!CyYEY`$WC@Y zt!$+Gzc<;7wwF!37!8OxYG~()TS1xm~^~T-WqvRSoZu!U|z~!R_e;&>HnA$(l3|3d%oT z`4m2Cq@o}D7X-Q`YC4z%elZr1_!x@ZAU;_28%w)rutP4d>{#RrGM7yaU_?(F5Q3l6~b%;G~of(;xMjrEk!xt{0zMxtFArcwFg7ppFwY03hNQEi$d`LEyDd8t5aJM3L15#Lq$=gD$+_dgvZcs~FD delta 4972 zcmV-y6O-($BZeoCM}HJm00000006TQ00000007hi0000000000004#)00000004La z>|9%nq*W1~Rc?YJQ3wzX=?z(6BO(2F_ssMdW9XgkWm@LO-{a2WX0n;=^ez*Y9=E5L zTMU};pfNGL8hKEB^8tNRiHSZMeSw6SV1gkAjS10Uj3QBBt$(lT)VXzy>@Muen)#F7 zzp75%PMtb+F8{z~6B84c)9<6k@8&%d6W0-a_qQ8^-5l7>feV!b*HVqS{ycvqHt{E_ zQ#a9j_qQ8^-5l7>f!!R~&4Jw<_~7Kgr)h1j&=1c(`rY{f{7p>knb>m~IW}9HTxif6 z5A7=^CO}-C>wi|qh=a1zONdtzCx~08M@s(?G~j&I>yHkuHi6N@dO__ju51pJm#J3> z)l$)3Xc^2z&6(Mc#f7d(@0RzO^s;@wN$)Ps<#c#nuI5y>YIuVXl&z%eA12aZwUcz5 z+1knm4vt&d+SnW_qmO3U&Z&sopu5!T%qug8Q=IA?GJomBzEU1Y_+htqzc80G?REn`h3P$!W}#{qwJs?;6(} ztI8xY^nc%}f~@u3QOpJu4ZKk&kaBnr3lG)MhRW~}_db54z82tG1Q!upUE{1Kx#86$ zNF}7RSWGP(0F~z-faMq0;KZ0a6tRkg7m{R0RU0Di9!5fdHur1W1;wmYOAiBufBEmH?700VG)hNU{Ww zWC$zj1tik zr(lNG+v=Kgwpeq{I=q(Q^$c%h_+*ApDeg^91Hy_eYchAO>04(2AuDC3OH8V8UBDuM zwE%KJASFdXSR0{zQngB@kbm|mB{uvj2MX3%S2$7ep~S5M${$asU}M^i91jzGkxC=B zny!%@EvYoe1wQED-lKVvU7|#5Xert)+@Xf=T)2HGYrOSCC}l<9VW<@v&A70;OrO?F z#ZWDre$*?7*I3uayGbZ7c%06rWtLDaqlP;)#o z8mpTd{iPw7Ka!J$T4+4K1+2*d<|I|lEzcXaIo>CkXChONWr`SK2jEFPiZ{DQ9*8cq zHZ(Gm3UG-;)3j2W3V&!AYupp!scC;}YOcmZgT)n<8p9XWS6+NmJgL9bp44B$lln_| zQhy0g>M!BG{vyi>_gRi8;5iHf|sC;#Y(DH2o(?nj9xY!XR3un~F(46_buCCOuV5x~iD;RWa$TVj<$}4R;qwi0ua)gVWfh)MKO; zBivJE^Bu+RXC`7RnlUmRBU3Rl86%AtVGj^Cw{1fk_k#A+61C9C4hEE63~1tH+?T>L z%HE$%!UoP}VPt@X=*wwMyTIKYaea6p)xe3t`)4)ak3J) zDMw_;VLUKlhd_doq(hQ2P6v-jVC2ZVCOH-D$w2moP?sB zB}^h3RQO&Y+)+aF5i0E#d*~eDI3XAuUmtBKk$C_<`YOng(fkRsK(1k+p4<3f4-5gN zYk%v`A`lgmsRWnZaUYE+=-nP5*XKBv><{R;9t4l73LS)}Qg(bSYH6pqyDBK13am+w zL%*OkxXZCA{Fr0qjOGxdnF}(RVTM~pvFjk4^i83@NcN+bzA+RuZsc@8Xlca=bC@lJ zV#l05zqm2v3ZtRG$wd}SI^X=XVMfU~?|)4QI@{o+MWefWRW+!83X>X!5q>#YqXihN z@P&;x-Du+Vy$#5%=bqS7sN`lamP`X9Whk1_iveAq0)}Vq90Qb_Xo>@tCf<^Vrdgka zgR&LaWIykvWKSPZKHdREpJW#s8hNJ=l#SE2%{R%0KcM`r%yZI3Fkq;Zjc<&F!hece zg^;qq!uktLIFT=eg)IOfVGBTrWQSvuA$N!jxI-js93olk5b?nw;(|kh2Yl8+H_*a= zC;$X;!S)z1H{f6Z1P!Py3L|$sOdDRn2oD{~=qv^d;(SB{f|Q`#1_({qkw@?xU`U5i zfHYy712jPd2SvcR;DCou4Erb88-Eb+M+TmN-EQ>N;%-85bmR%-hQQqnRQ3J~JP<># z+~ovFteUddt9Ek!#sW-^=5H+`n!mY-X#Vy>X>~$NJm8oUxB*cavOng#o2I_sEXQYj$+LhZ?c@8)%Gu@Mdkjz|fTR-ip?OtE* z@3?e>EBW3FE({;+>sMaT((k~aD3^ZwRT>{#vt)oa{U!`PbrzStz&W<^*}216r3`cQ z)i)NvWlxObt8k3ti?QN*gMSNL14dIyC#IS>Qj;VIxFijWaK%hS^!u_};en#hwka-N zs)~H%xYl~DZg^*cYmU+!qtNV8_Z<)2E-D2<)WPM_<5*}KDvR$U{ZU2Ya3OWvNzjB- z2}hw4pcITdjd4<(V$-~S2SXAWCuCKZq)I@}jT`4D6yuv&Zrxw1qJJ08M{8`|3K!gw z#mh;MJ6W%i(ix;={lh9j8$Fmr$;Ze82ATHT9&9lc`;B>CmH{n?1)47iz>GD7PyIl! z#VF+iE>N`5yj(4TVCf;mr8YR--&CLx=yH$$G6-m!eh3=fW}KmyJkWN7BKqdPH&aC4 zI}%|gd3NMXxPbhBa(`mfljlNp^Am{`LSRTQ;(XhMvhEEia8V8YPOa)2*1xBSzAvgv zYSh0ks`YQg(;6k&QU8YZYb?%+XK?^H-?oUqwZ!*Z#Ide| z@Ec3#otC&cxn8uyueHSgXc0eWiN9bGP3u)lrzw85#rcM1D1WDME)|ye^EoTx`Jl!5 zGmA5)v7ygf;!o$SFm$^`yvY*(ro}m9alT`5RxHjd^YMU7zoeOvXaKR1PzV9>Qx@?x zi+F=&{Y8toVTs>t5&vR||Ip$zC)Zak@o!ti>#QgpvN)f#tmiZi-I67KZO)1yk3Aq> zms3IftEKY?7JqTo692Kq`2~yfCl=@H7V%4#_)jg)Ig2x=ap>;Ksp$LHES;srnbTP3 zbC&pbb5Bun_{z?^v8KS;P-nS!cSGGqRx{S+m%jak-l;eq*i_&c9^o z{DbBEt$CP$-(qp*cG&tWxla(sQ6qUkyuxxR;Q|8AH-B?WAfB?szh!Y=ZE@m%Kao7p zxtUN10defvC1xQ(Kpx9z9J;0z`ByDYGi_de+gQ%bwRmhuKzPy`VoKyQi38w#-175! zOFXA>H@6dogg_^$95?iPt4H^e(nRlAZ=fH<1Mr?6;QWC2YD@qXL>#S?2gGL*3Lzk3 zdoX7GGk|V zp7D4AaE?9aMx4s!A)Xik!;Zv{laph9<|%ED;5W54v z)Ftnu5}zXLV?=N#&yGck@o*8Q&ELphqk5pn(pe z{(l#M7=9_kN9l}FZxgU!K-%KE6H+;`L)`#)P54Nnh_t&jQ} zm50|)p6*v3*&IDk+1`A-U#ZtBqpiwA>wlwTj<9vSLjQ5@iTXhB1ox{uYrIh}2 zxW%I@uy}|nx`M)y61ZOr!`nV`Pla6cICE8?|-qu z=z%`Ld+=rAD-yG4n6SG)mr&1~cc_bt8|zO}$0*iC9PF9>6{7NUPQg|oyw5qgg_OHuOt2LJ&7|9AmhlFLe5K@f&(yhY=9 z8AtI#NQ7Vrnw^^K~LPT7dR|t6m zbwJ1_A>bzDub!J^;HS=1fBaq5Re8!#nTRecm7@$|7c>T$D0W2^239J3NPlCy=osnu zSEo!2&VL?89DybvE+f+FP2y~tG|4{d$H|ZHC#N?st3!{PWFoXdsqk~gY8gij>jyLi z;B5169<1+h29e7yyMh!t(0^eeb&1l}$#`A$`%3obcDPoLa{~?BL;M^A9zx##7|cS| z+J~j3YHfRS>*GUuiNw}kJ!RqZ87?Dnsg%Ve7>sz`>e!w%DPoUMe5pLjZaV`Ya8v<}jH?1;a8 z_Ueu|f}Q{#N92jN*TRGaQ3^)AX?n9;dklz8r<&IZc$)lvrLxP6uVa{u)tM<*Z*;t#xDR>7zz&V!E-~{@qLhUgA)Wp|wld2^w;j`nj`VQ## zv%nEvr3u34!le0Jcj2_#9Ac5{=3sa@jN)ces7W)orm*I0>Uj8#Mv+g~QF42KdH1VS zJK{C=<%{%YOjBJuni>XymhklttRFn|5 zL5QvhyKMn2(fYvYFUbt)+BDr5e3BV*c#{k_Xa6;sabDCFUZH~Hxk=6Snsis*mszU( za63PazQf50!#STHi~Sd5j=#jMwit&(^g4H0{Fdx*ky07)oN~aCKf7ICN>vroF^)1?#YDgK-RtTPuNv~am z(`2zdvA-V9IkUCZRsknnzT9Wu-52|mjYgyKl>8yNvmj_ZCWy4g{JX-cCU1EJ!2@Eq z$zLt_8+yM-PE~dYuB*Vv=DDn{h`ZJ5Vu8y9@Bb6jeSQ63WPjIMUJ|*W1&zt(Grd9V zr)ZS;j3uBCplbK&0SivAOXfdym&lv)1Dsppz#w})63x;+?%!zgK|rJA%0Yf5XrO`Q(E&?<}RI!SKrFVB8e zs@J)E*a>PaXMecgiZ(Zbi=BA5nWsrDV-#7@Wx*ncgJe<{ZB!7#C$z;Uy|KnVZa9t=aC62Zhw&$Dc~Bm+|S-ojbk%^ zKnIwe-{1Mn_xCCQ6j*!?zeZ_KuvmysI;&bMHo z=321sWF$=i-G6;S^7p{n1K>`!6H)UDmVMa8Wz1BS-s^|myoX=+Y4*6j-QDhY;PNW> zdI9OC^e+lHtspr%Bk{a6y}bn_HF6qU<$v0S%_jQ#((gU#cQ|ZkQwyx5 zxLsnlid=dII&xv!0)EVyO^7MqzIH>a*Trr}PA-wzRgKf+DVGOWvxoN^@;nV=T#4X< z02{nUS_)gZL5ro9>>hI)3(EzUNA#5Q91&?Puv7(dQeG(7G%vlFqdagyAsvEVG@%>g=nPU${_z!HLZIF- zTLTz5_gm!CgeQAf5cxdOoQwELv+TD@(GFzJlNo z*R+(%a}UO_`f;TvvJ5eOm^5sAJdeUx;S1~!rQ{9wZVaO-6#OWNIjWU!Hhr7c=p1I_ zbbn0ZW&btD(Z(!Jcg(6kZE&2hbYCz#A@_zVY98ZpF2Su;Uaheb;{Ko6)^n|86dQ;e zBK~Wr*BfE`By7C|ql<^nK+&=>Su`IFYyEdR%=A1%zTK2nrY&_j9hPbJd5zsg%vP0o zBNwQrX0!=P_NkTVkSDsiD=<<7@&pb^+hA?KXC(esh%N$8K=|M8p~vInpdxvdK9 z1-j(qlFmlSLdowAwlmAl)+k5I%`DkyVHsWC$F)r5o6|_HI*zDEoY_gwt3Ld3a(|M` zyyabPOSMS^ye5&7#CWj17MWeZltMB990Il~2xo1S_|WJ22A)A~5p{M`5Bblo&V)^w zB>xO73UqzU{fBYMi;&r<_E*%M>;@V0$^c@Iw(t!N1L>FboU1H-n!WCJzeDR!Txt*> zmEPxE$x+B}A}ve%hCR-@+k<>#fVf0XnI7fr z0j%Wt!}2sHbM4j{xT!KuF#cSz3OdyG+ORcRR&%iLY!Ec&BPq|w!2M`O-hs5n$-k*f z+fjIgxJPs+n4$Tk4!CH_K{yR6Cyguzv<|Y2ALsLSH1$B~5QeJZ%_l=m55A-PiQVX)U?8X9WS+1uYJLx&v_WG6+@z_?INr20j@yMz8 z>FKQqh4%M=1B|c5w^8mr$pYT1)H+(|a>;jO=EEToUR=ic74ogm^ndKzM;_NLK?T{}HqiW-n8*u6czr@`;>-pup zuP(|kJ;wM_ZsRTc;-^le&j|lF*+u;Tc~pYAm&;$zGOldr_sh5^n2ib3$-_102x|vz zc`!HAdcgUbYMn2`4u93LH)tm{bYj|7RUUt!JX9ga4c_$_5aOO^JO65tcslwO@LmA9=YKFgZO=2!Im3iX`tU$^V)7ASDH!o6M4FR$-+ z`y$+X8smdHY<~*R(O)f1bZCdFdE8|A#iL4MsCOB#LA?8)wwGS+=+C{j&eGS@`g^Xz z8)zy^M=EKJzV0$r@*3V!c=c=@Cq$*4_cN>$wE=veI~kiNVcs7Upf@GHOog*5vzP@m zfcrONx3~0!UER&$=rOitUV&aJPIiy>qQblX2Dv1d3x5EQ>r9~O`A1;OZFyx1Glpa` zn`L=I%-Spv639^BnuKE!P=G!>Z4)UyjEH346822KPqed zJ2kYUFeT08m{1>>UJRWk*$jDKzVzq+So?Ms)4Sy4C$o$_=2SB@Z%>D^E_DLaDVJ$G z8|$gY-G8ArezF3Qb1U^Dh545tE|k8*3|rLKTn2VWQ-my-zWQw(s;}C~ge_=Ufz%y5EOP@Yw^%bN&bREGET)2%m2tE--!l9pvQsKNRiS?J2QxRYM4*)c@Nv zIrm+G1i5Ze#kfIzPkB59_J5D!XJaT|pgtPPO81HGsOKkyisbIa&9?c4 zi@e&1JTGRq!ZTqRaQouq0F#Gf_1%x5aH1e1IeU6cyI(5L|4W_w1W5lB>Ty5gq8y(5 z&9Rs9d^pA%hhZk5?TCp_)}#LdR?EvFrf(WGj-pVm8mh%->hvw~A6}Y8hsX6O#{ZU&%W}mMy&^M1zs+3HOoaRwM>w@BX0B+qoTmdC{gB|xvQB$3}AFz(T*Vds zW;}a6_a=B}H;#7$Q8c@8b~gy22-*rEK(m|RI+lp9Eg%7@)wP@027wh-E!tXUHM?@6 zbySg!g&^=yU96BlA=H;vl!ta{qpB*Zx_v72={8D?%LAg7Kz|krrsuAm7ixI#$k#J> zXYM)Q`R2?yX9R#OJ#mxtu>aGZZ(`p~{k@yxWh}!oh%A(T#m9<ajA`(S&~ zI-Wx`4a}Ee{|r<)qVEGQGT6U%--4pRizx&oG1joz(eNP9Ia)!D`R$zy)d~#FIq?EZ zG^X1b(W*IUXMcDrK+sNcHkB~uf*=i!@wx9X7-pd=8&YG|Z?}!{GM)wmhg!`+?>UD~ zFE9rkBtAWB@r7oDUY>x8rnOtE6LNvQ)(oPe9D30sR=D7%)?115+{k}GYW5M(VyhmlXZ1N+g*7=un)NG z<8lwOvrs2VevDl5Zl`37oXC7*l8m~D@J@Oo?P+5C5IAk#4Wi*rT2bKCU72B~lt#{q z1^gN8Mt=|RAQ9Rl*nPx%+_plF=F2S0Ow`BC^C_IZ9%8L4SAD6-TU4S7@ytcwCV7(H z56Q&GNsi+wx0RAulgOuq%>%cQlakl~+Uq|M=gD$d%YisYAOkHb7-7u50!)E zdZhm@Ng;2!|69C8J7C$I)nwNmn2?TiB!5922)TBALhjR?+(Y4K2lxSDuJ*uv1PBLF z9yT_la4`#g+gmDxnqbox2Q4(8;V%(_KK|6t>M%zvRw!N!aL6+LbXMeR99RFYFvDcz z+VMLj8L#;yQP%_cAPO<^Z}W3?6DaY#?gs`eenpTY$*;P?tHC|sfM?xGK?&>uzJIgB zrVohn)onaRet>-WJRQ;^S5wFZswQE{y`XUIlH#?TXcrID4lv>z z#5oET+yx%QoL7P~Lb&h8$R##7Z{5#a5F~8n=gOsczN{`3p+9eO6dy+^g^!CN|LI}t z=`xJNq5{L0IuEUl*eQeICblzD6@RBU6}=+N^W4A9IVmC3aSS2OqXBd7K~b^O-Cx?H zrd0!L7vV!Woe|(|OZlS?=}bh)^2BVT65F^&5h<;guG2{h;_pt-zpee;dLr?5$}%#0X}!HOXC~#s}X^HtYiq3vv?N1*a{kjB-Jf#Y*|+#ndnXVRmoBxnIByrt8#QIZQGbk=JiIrWuGF}= zoE%d#4z3jytEu73$*eAU@>)i-+K34=;HxdCL=O8pOtY^wxaxm%JU`{V>D|My`jXZ2 zW#(DMz!wG!a{n#bNJ=^nIat}j#Y1UjDY4Gc`Dp-eLEB02yFI&dPEgED#eUvH54D*3 zyol}SWXcmY7@>&`ihozV@aGzym{hnTC3!t%Db`H3_CK(U&UBv5a>7bwNPnVzwlPuT zl_+ER%+6TcH*oH#M~_3?$ULRyS!X=Uys7fyNE_Z zGoSbED4ZYD8~u389j;Q8nJ^wT-fBY(iSL<3jn!8iDu?@SlMnJp}%WoI)A8Z+wr(xVShwpKwhzPA0d?G!ns6F=V&skP9}VIY8ukw3hkWUB2I|W}{CgC(mWS!X(u)dzy986q zdB!1`NPqZ8&WC##EagIZm}`{qd-w~Z++B@DQQL}h^h=EAaWmQQ=2PtS*%JE?7NTN3 zq?mo?=o_rY&B`79sABNc-jrAq*^8qynCDn5P|#gAQIVNjni-PLV-*`64apAPYsycS zD>~^VPBN+vTXKCt+oX$ksJQIK*b5coQu*?kO@BlVo!*>xHQ8d%OxpJ{u)jUzwa>_0pCI`kp_qvu!{7%vyuAXC|#Gy&6Y+fzRoLdMKhe2GsnUiUMii%cWYpeMX z>IjqkQ3^PQL=fD##T`Aw`Gv$G%n_4!;Fv$4uca8M{t}u>!#ZUr;K@2{(IsDXKBVMX z8-Js>I5ne_>p3w*Sa&AIEKbKVw?u9;%vm$;&FK<;z#pfCXQ-xM=_M^3^-;fGg$*(} zd}aiOTgFdObcY;}Rqm(#A8e%^u0n`Y2&`(J4~rVJr-Rj`NPkyT_@@+&N2uaXc>&eYUDR9oxk|b4nHz2! z$2vvuH^plul6yx2v;)n31+@loAqTbI(smrC>Lr$4V{25&Jb_BpGa*Hx+R)cyOP-Kh zbP~hkKjc_Q0LLmgwgc)D-?5Z}PA)EVwA-a~ADCP;{-E3XHG$L$dQn74nV2KFj(?>c zh_`TS&XsP`-G+r}wB8={TiygNTZB0st}Fi1w-y z*q_tk=Z_nj@2kXohxGk>)56wx*gk78Q~4Io?|>-nQ~AMeO!`e1In^dSzF}E>*Re9| zrta?6=ZOOwH;Xs-0*`3@&LGmm#)JhQw0cyNg~ u_A>j9i0?!bykY1D9o~gRz*})ge3dUj7f#cGGxm-){re*c0-mS%h<<0 z_Q7C`F@`bAxA*(=H++A4Kknn6^EmgM^Sbw*`&!QP`MNhwojO&{a{6C+>Xh5Ri2J~ z5%S!BMYLl6Tb&yHzvh1%3fs+xU)$}RKB3T$-wNvtK2+{zHGn? ziD$BA&)N{EP<52=p~}fNWM~OKIES{bL@S5lUCK#RXg0|OUxO<0SIG(`1t)1_4LOpI zB_RAoDE5QkNDXo7(G5P_T6X9z+eWq#UIH|=sb)|FGCz6Hk!LWzraQEvGSe-y=v~&? z$UhF8(QT$q`PmnZji0w<2U}u75G}OZ-1`B_^Y80Pu@sxaTeJ`Bx538fuKu0&^CMT& zJCELV%3R?0SKd|f{3g7W2V(c^+?v_S*pTnoQoH;owLX@(s2q2?a^>QT!Op&hx4kEN z9IGYBtiK? zAUn|DS36tn@{?I5*h&uFU}rP12IBFXcAKXou(kw%Q)AwFkCfSaFoFF=Z7DZu&xmtB zcw>X)bN3CJ4Q_?D+L_V@_qmjozY`TNThtED%6IHjRK2su7S%KsM&c&D%sYGPu=l z_%lT*ZboVb`V(eAofl(OuAuUQNw`d%@ot&QlTR=HAH4Tzc@N6pUEb>L{s zb|3Sv81dO7_&)`XH_diWk0UNBhyg#&$S+;7^yS^utU`F&g!HK9SlE4Ts)NSm@P_QXSn+)5=^5eDz#kP_ll*FMi6@% z)C!wV87toLc)_*kc{1ngAO7N}0d|C<_KjUS+JBW_I|;e*$!)&!NyMS|)%PK}xD*bR z&?iDKYZ(U~<@0=f1WaXmYYr2GBk#rc0t- zo}SOAh1MMI0UG>gxOL!k(xHC{Jh3j9HtQLl-Bfsn(R=Gb2RZjLD6!?0>9t`xL~90p z3_-^qvR-f*LUotXiYcEIFYOch2#|w&dm~)%&-C8x{!l~(P_rZ!ioP`={VFe2f#SqN+3`F2)T z;}7tgfCe(%)wo1(zg2jp(hx=urrYJZ$wNiZtPk>QNvK)o%7c=6fHtt69Xi~c(l@Ik z{hI#=+?av^{B6_@24)hPHI+Un4u4R^o(}W82aSY>4BM9}9rL((9KIOMofiRO#2z zA$y>qgGcH+`PptWk1rnYhxp@%U|Xbvh$s3vi<&cCw1zQRpajFleA4q3ltJhP_@$pX$`=?G19c*Z~3rf}doaWJEh z88Lv*o6}<;P}^0SpM6d`@L1>j2WjXi4XvI%E_waJ3cw7;ke*u+uU>$aN^{iljHvJh_kzgvVD;%AAbx7|ITfIQpckcFrsjfu1p83PfYU9CFLZOQER1SP_D*E3Z0Bg z#IxqYZQ=gZjVPlVdvMe?O5R9C*D5zrrFD*f1MA?7P(kb^k}HW?{~n*C?yy%erK3F+ z1>ZV~k1hJ0{~k`grPhuYKEKzjNg6RPdWtX_dYMmQf{6Ol7lp|%j;4ySesbp&)Kiht?>v=zK@(ryJ%sU^s-_lG7R5K<)*O#jJJ-Wj+tnHmMh0OQNYI~NWmi_ zscUc_IU}CR3jVl1A+o=46*!FAF-~=fKR9wJXAGS!bAF#hh zI144w$g>@+z(#uHX6X=W@UPa18sl=l?g^N7zl^rCugiEu%r)M?425u0R*OaI^Dt`g z^9VNS)n?&yK70h>L*0CSO8tt_0Se7JTnVdeq@ioW@HGL>(ZL#glpN_o(FGzc zYY}6uSdYR2-1pC-_JCih!6#s7&K1UOy3RE44UV)r7G`i?G8T=!AK0>`^wL`GTYGI}jKCm~^{ zKy4ssGyQ5C@|+A`hoT;Ra4p~H#t@P8HiNNBb7ha9*UN#l`FG)uPXlmE4g3*sRCJHZ zC=i2j#{ulpx6c_Fx2NJ6^AZ{qELm}jmJ4XSmM(sfaAbFkNBd_pZNO2|`OqomkdIXP znNlk;@dLY}R6P2<=WvM64e)W;>7(=NAo)veGE2Q{gJdR8ZA46}avQi6Dt`3KCC+o0 zsFvSrgMszpb>}WY{|#@;J^>syCetqa>z}h(!H2VB2J!Ga(oKD&kC*=$@M@b;#t-^O zDkO;>4E}&(Az2w0fc{Ua^ zI{_-=d%0)69VkwT;v`m!*kbhh+>7X-3RGaF{A19~qnr+99|g!~*u9{u`OvOwGJ*9fQ=z0YBlMa+T^WMF7(6k3DDtqk@}7+=rBe)IziFehqgm$u?7rn zvbp~?zGN&KA`&7Jb|1QY+sCeIlUn84HzK5)8ng?;e#24xO?QT3 zd}`=js}1I-IV3)&0?P^t-d~hIm#81-_6(cqAD3dke;*R;I(mK7bf)%!!b5$_wOM># z$|BCb?VG{am$m>W>pz!-ySiabtAU;7!?eq7DtIrkOwVHP)546nOUd?9#mdtB$~t{0 zCQhV1?4k%#+K8*4*UY%%?8_LK;?1BpMf;d~C$Vdy%wuSCk}P(3(b88~*GNi{RLCAfE&L(Jgtd(v=e==LN1gD@8`JmH}E z;q-AyOn>5N8M{Gkz}INNv+`X-{!=835XHz_>}b~>d*;^PPkrV_Hy4{jyVpp~#p0Hs z&dZ+0l;0O-C8RpV3Fo(c=#D$S`GU*)JLBp2mwb3( zDzWKP@Iut&CiQOZ(d|3eatn_u_v2)Qz9uGfx>@9AZZjzCV<7|0cgZ3X`)` z=q&0k*L^M}^j+#+I@_a%Lrx}tx^=t>f@Z~YCEV^=EVagie?G6QaC2?2e1UJ*ME-bJ zV-OqGC>)0ef7;eO#~1AkKD)D*Yj$$6%yd_OA6))|6w7uZbZZXMh0Exeu~b77@mpfTw2aN%7H{;r+n!fb|B^2Q>O7Y=WCdVFCNd6T$%+GIQR2ATZC zcv?%uY*__}dR3Cp7D99=`(3P_e1v4q-}Vt*8L@9Zh)1f(usqkO3&PP zdd=?X)z&FYa1pF;0OW(Y>JD{*;eQ%eBMT%rumR@Uwg&?{WA9k+49n&?A=U6Bw?@My zi!ZAvnC2pHnJQu)JZ*j~>;&A)&mZpAuSt+VYfm{`{aErK7O7g8pnDkey7p5jK4~c2 zSW{8J&ejwy)oqhVqtBH;nVtW3#?9mm+N1fPap4t#L*Dz~JQM4Vt(q$77I~pnPA@Q} z0{r08^oNjtvkbeP`5HMC8oJM5Ii4Q6RIsd^F~FMn=p8HH+#en4t}k*1c!_=N=|3^a z@bs-Ey^~p*UM4Ud?kt93-;<8YR_$h1UVU7RNKi=2SQFx5$Pxg8-M51gN_{7z<84qb zr!V~{-vstGJl?mUh44m)z0qp^X<6ZZ4KISpu$Q1jvaZc)>yNYNU8H@2F(vOZPCQ=6 zD_))ww4|JMdLtK$^st+3`o|0oci%su5BKixx+jo7^q;_^rpWnB=IAG6?@CV|$h`ts zRrYlId^MvgfmBtc4H&C{DOY-?vkKzpPC-G^!HgGZ%%e{&gj{m*+R|o)cdI-BB6J!A z{LlhVo0)pox2(Eb^4{U^8qGy`^d3GcY6?ir@1tiFz>xksthu&anK0|f%@r=4$*5Rn z8bxWH@_eeur<4*zd_O&9zPW-qK6Uc_@tVixio75$e-L=JSwqA9iAE-^c<1|si&=s+ zbYdmf9=57l0HehcG|T3mGL9w^NZz1oeFT)(3qp-U*T=Hz+5#T9@c=!77)=_QAk0)= zd_kWuT^q1&e*2`+V83b%&7o)hAyH=}`Ns)`m7@EYH)t2u)bozPaML9?H&nibnu z57?DoG~Rm}FR1tU4{MX*jBF?QN?#ItHh24ML89{Ml2Vt^;myj%p>)4$1C?M((MSe| zjQJM_Y}Krrit<=&aR9|tVn{BP8A@4AAxC?a=F5HVl(d`CMx){HGF4>f3-i_IOM*EVQ! z_;hHNG)WzA_4!<3ztQw^GIjP$c7VP(-0KYNsmVF)u}qcBYN>L@Xy2Q+rgyY|%dJfP z3=*_~xV$Bb+mn%R;i$>OkQT^*?_<-ecRVEmFr9(hadGn>uY3vchcIcLUgLF-v7T{p zT)EiY-_+LOw9EdSx9)*yF4^eb4Go#6*k)PG%ZYF+=w##3v(4-CkJJ3^=rIbh@9ScX zVLmQy(POE{ZG1{Tn6Gmr-XahRyRrB_2;90->HNVfYxe9wr(Sc4!aWK;Q0itM>#?#@ zE>jVip6J@K{5`8^@pjyqE0Q~Gdk?q7>z_68-#Cpm&9G1^4|Q5lJM;VR+c*1HVAbaz zKipmNuH5pp4mg#t-cU=g^62^~j8>A=ksP!ZR&4|31{L&2GsB5$wAxGqJV>_4oyD9=;!z%9JO=*d2Q;McpM+EZLbUELPJ z5RTL1XN9e>;YI(v%XbRoH+em*XC@|Ereiu-{af&5N2Njke&JxQ7HTB!XCLP{w9M)1 zM+tCS<1OrIwqJ5W$3(Z6AN4{%sjCxO{;JyWYF*z>Qq|IaT)@2=E1R|{`=-pBmZpuB z<^Gtlp}sNYF@k?O|0A^c?2gjaKbhz{)2b}DF{Qu<0L31-pb=oc&Q1$bm3_1PI z=a-(@$hc~2c0zuIsEGQ#4Ar&FmjwOg^}-=dd`#*Lj^Y&~+p9Z(OJAQb(wisGJ7MVM zkIw~*wx$JM!%Vi3o7F!&qseSJo+MxS4ccsb0Vp(Y^lS||I|~%rbc4zD*kv@v^xfjI zO-s!7fwmtipneqzI8S5{f)Zx=4RI?nH3j&=yoX~tb=As3Jk0_g@Toz<_$lqqKppsi zn8w+eAq!Qfh88J>tT*ZNns~QN{ViYCIPT3*waIkng6KLL0g}BxAntQ9{`L6iE(hk@ z5j0i*ben$KjbTe6skT4vPTrhmz8+^3Hl{S}+tf#37am)<$V%P&bORP-vYxTTwsA!& z^=rSPe@*x)JALNVb{)~3CQ9PC-R!$Qbi)O^j``pQ-HhC4_y+ayYRhup+U#*xEW>vPdGLvReFc>$^Bgwr z1mmUE1d|K%Tlq5q-P=iCVQn=J*VFoCS(UyQwcPDjlQ9b4eFfkEy2fQ@hrfCe6XruH zdQit+%(9Z{({Ta{d{N-CHV&8@7{4j=kd~67I#+h9gmE1Zuz`3)+td$d{>h&<;*#=| z@4;k$r&Z}LnciL`cTAv{za=s)6ai-&7X5gw(`jF-4qQv{T*@2)Q5BSiC*8F`@*Yzd z0Gs!cROJ`@($m}d*M7{3gJJY1Gf16HVYIenz%F%bus@D zX3qY058Gt<172vXYqdgh5Ok$Kg1}AG^#Ew$qtG&&l30Yr@4b5ce%Cip-=21jM$x0C zr2^ZAA&iQ87&}#xOvyyJPUJTyQ?3~wFm93m+@lx6T;c%3!5)B?H+3yoT^s60mdu3Pl}lk)ff%hqQ1VMe=M4E+pNz1ym|Nraw06N^7* z`~y~XxziPmJfX#TXE0jceP(QXXSyHX-o05r;psy)pxBB-!f3s8(s^vbzVxX;Yd8p# zhcc}|;VMwdBOYIofWx4-W5~_Zl$QlzxL%49U33IVv_A0uLn3?;pd5|-J87pWQ+FZM zj(@!)MX))=U9*;HmHe?1EI{Y}KI$a0YZlhr;!Y>T2#{o;!8z;DUZjLa$ZJUJv1wi< zYLF_7LNnWem?JlE?pghB}8JsQ36Z?(>)aye)0 zAKTb|Re;c`@$>;X5qBEG>wVjF#?5&k@ZG2C98zm{!^c?KMX6Sb+!{_06S~(3JQKW@wAm zVl3+jIyCACC4T;hRe6hB5Vx|lu)n*NpV)1>3%oTC{e5KwxqS^n?K%Z{_7q^mX9XaG z^$ysKGSD=~QBsx5lQzhoc<@PDMdgnmd(I)ov2GqNW^&2hKqlr3oZ;x0sC`yBVw0^J@1;V-{QPtE1(r z))aY~#r?3C<6bW2LfZD~OEBW|{BvV#Lw`RhuMcml8!$+ zN|gLUe}v8ZCST+e>U+AFYW9bLHaw>u$7KG9NQnFfyH!kbJ*bmx6c2(VoEZ9}531d~ z%`U#ov9l0ohn8mcf7KgC$eG0nQ%zp%GvzPeP2A};V)_@+q@s7qqjXmwb4B*|++r>+ ziK1u6s9!#WNlmieu_<-K{^|K#?-b^(gFQMc@_lTH0LRKejIramVWQu@aeXM{>dk=Q zgwis!Lv)IbM7Vp>s8odd=Dw}&sJ>9p&4@GZGbK}xwe`#HLY>$|ZZBpLG@4vzr#-C|bpLGJJNuxEDjjo|DvBEOI_^0)RU z47D|T9c1boY!+VO&+I>pmq=O!#%Vp?Ft0yZ{P>hp{c`;A%a<@muEF@k05SFw7lfUU zbkvMUcX3x@TrjKtrG(SL*Ef^Y)F-#aCz6_AC)qlXw(FzxEW!J+0OEzuGnorH!~i=g zWVCM7>jz=DGb{hj!5>ddmDcqs;>vCAybAT}ZNkO^u;({@Cudw;>K>r@ZtEm-x$&I6 z*)5gGUS?wVI^d;I0J0dbCl$Y2UrK*L-qMdKO8>oi!K0$1>Is~0+SI@RRo!Ki|M4C~ z)TJ9^!iSjR6&`$_>Q=Dd{|P{E`_=8(@bUf+3jXkRtR=^jLb9kDdqNRj1UBh1hiY87 z`z7b#QB=6-J`3ro9^XpvqnVz|FyRR)QLDX-Gugj$V}5@?vZm|Rv%Arp{W#t>kP^q^Z8B680HTEzx4@sk=gjf-w)#)fR?-0$3g#K$-0c4J2 z&HP5LP|lru4otlc!Mxd80dqe9H-G)ynGh-e5W*XNMSRD$<*qjyV*V_OXD5vP(Lno0 zo$l`H6R|hrJ~7|m%o1@s`JcK!^Anyazpj09v5e%{T&5@Zr?Sf||C?hm5#IkDO)`14 z8a;w~eH^9wOz0PLB}`8^p+YQHTOFB+X{hLYU*9kLW>?2Zk53Toe{*N1ZiNcdpIw(3jom?nF%(`!cser$rQ zTv7C%Flg6Q*t3ggZC{N0a0m^pSVkfJd1o7kJ8Qod1d@Y8;*^2RjCrT8ZMrP> zu-+EDksE8zr&6K@nWrVI=P5K413v2T&Z!C5|LS1P$zLCv($g5emxZ#2+TcU$C%p|=q2OIcf_Z2YzOkWQ4r0GLD z>)k#FTTQMgSvvt~b4VWUQgjcMtF^sT>M0$;BdJ&3{9!0EHNdV#&Hw6O+wH-I%+X4L zxQm?lp>nF2{}?)JB$tfk;~JoNJ4^KbJOR9h5F z*X?eO^&7fg6JzFgI#nGWkDJwdOzb{AeadiQ$@_z+7xKeGJ2#_)anq$r^cHUter|R} z^`PCFFtYiia5}K}JbMX4(dpZc7bd*_7F|ERhC8`bR>nU`9wt{ZuKrZv3FbG*lQHP% zd2!BZEb5bMeenmOR$oHnUKm4qtPYUf}Jy)N=O#`jqzm4kV zNgdKOO}JOY-TOyAWS*A>_N2y&h^89?PII1>Xw+YO@d)2E{ioo^0g;nspLZq*eEfht zpUg|r{dHdF55bXp5M8htM(p+ah0qOED9m~Uts8>b- zeiY$P5l|&k$31P%7pOzfHx0xj@PK?j!_Y5GpN2c=AgN(lZDmZ0@C_TDNz7ZrlA(1E zu2SUmDoW*TyFPov_TXOjo4@Q#rKAw;`1rxi7DIpvd)zgejy-c&K*T0dvF4#o=*WgC zuqb3tmvhq9<;zw{epj>Z?>P5)R#yuKkveJ9?$KP}yzdM4bKWRAPI-v$Fwri@5RQV*qb>tjUajF1$f6%pz!q|Ehz5 zlPe8)bq*gzA%(<^_0CQEC2PpoUoZK+P+fHQvz|@{*QjyCv%D+29+>Y?FhW8{I9HHC)S2M1UX5hwcAaSw&>v@U;OTOQh^Smkvzd&aWt z_nCW#$B70hzy1Dq{kXA$v+};Z6lvpYpzz~Hxu*1I8~g))bqoFx!nS*g8Q`d~azBoc zCN0~$l&Z>CW`<4#f0yDGwLYFs+YqL#*L;By4%|t!H;pnmO=Q`Hs&BN4fo6AzLl_6o z7tF3%lS+E*nV0;9wJw+39lj!5RKEQ;fH%n^gq%eJYUMfvGT2EG5()2*jXI5T$wC-X-p?wV(Q2mPf=S=W^%X@jg_&kKMLNY zu&70b7CuL2REIR*I85paxc2jQx1}>-uOE4JPruK;T@_Zz z9qZ@UN;8Kf+Jb(kCq86uUP!doj)_Y4jAXi2>-*|t>ruorKLbUI^cy~X#2_UHQFL5! zIZb4}dxMs=5M1*}KdQWKY^<_$(K0qFB|s`|P|Q^6yu;B?zliXqt9%ZP*wD*Z-&c#T z`5qh^NjXCls&+I6Tji4&p@C1mG`&kZh5ZW{T1(X`z>>q=ms!QErHjU<_V5kd^k?E* zDqt>uZ=))DV(K%pV%%jworivhJ!h?7uY(s+ByDA>t%PyJM%TlX-o zx|u@6yojB?`Uuf8d}ZcqdPh_BOhBwvfqqg2t5mA^BJIY8?eiynl5$qzJuahD1%%;$ zw0OS>C_|hlJ#qSi|C@-lk6b|-0&cteQwzBzd~NztNAHCALr|N(f{CAlT+IC|OJl&- zO1bV9O46WBPM=n?Wk}6($#70%sV_?gKYP(;RlAn~%%t0RUI0FB;Z2sB=AfBLngxUBMBQo^wNi`i^c=3(tpD~Ty>>huQ z5?{J=w{LF91kCN}wbXtysFm^7a*<1mAp8T=*8WS_!dAlLf=)UAq`mRA%;Ir%e{0ik zHCxw+x@x5N@;h_!cLdqX4&|wtTj_fUq+ngP=ptNomY@?TJFOAC^I|D-fP_pJrZucE z|DH;&e_XvoP_)VRNsCVoomYeF&&K29#W(U^8c-q9e60^AfPDn?F)J0@0yVvBLNXIL zr(T9V;=ZCVS2=$UZG>0*l3fq9_mZZL{2AX=n=QO~!&5JI`JlX{b-up`m7kd|52bjg z){8C_ha~ZS)bNK045o2dvnsJ@Xk5}W0Q;$!xbg!M(7PrYzGs8i-Yp*_jR_*UEU&)# zmo||}C1<_On3djUklOUhX35k`$N78UT;_Kf>$y%+TXE_1s+^}pX~3Vp3>zgYNoiFn zE5;vncO_v$lC;XBNRhFML_GI06JlGrO7fnD&DXOob}s$ho5tQ0<{?q#SGw2G#;c}= zp{*#7^G_WlA`OGDJKIHWX6pNNz(2Xx?7G<%`Fmc)A!g-`D?x%5)|EPdL&~E}9$1H{ z3NX!E1(!*;K#uopCPsRN)J2SWdp8+p_Nas^70KvzY?}3KI$;llw3HyEQ-&jM1B1gQ z=PN6U;ssOYK%3*T7rO3*dhyoPx?H=WCKdopn*Qqi>YGH59hfs6F)X_)ouW|!W3Z@J z&_^s5hc143LW3T9Gqmd{v0Q^l1UB+%n6bP6PjmC(Ycz6G?v~6AlC*ao$r}=d64y9& zJsE>;XzMXlos~ySHUHUzw*7E@@A~^0;kSXm?MFC@wW;$D$U`Wo5Cu@Bt3ciSbYVYx zqgOw3V_tDJs7i-Kpk5L_>?v(zw-`-7^Zj7?Xc+rhfk_iN`+}7Y$kmMS-#S-6an2xq zF`0td?X&Z2lTV<~a+`9)XeqN$$H6;!E)5735 zMty%n{nXD&E4{-*fgJ@^j}>X)0hE*2cM;#vo-d9JF7Ew)D8euO+DMS^Fqnj!xOXw9 zvb^n#77({9cLMOqx`rS$v&?7##y$I&70J>IQn3aNC;H(GUlXri>{QQw^M}fe)f`9h z+5j(_Wy+aLlf7mtd?gQDM4Q5Nw-`hzA$xwtP5thF6f!u=B~7`*fp2i`%$I}>c_}CL zzX1&?D~z1+THp4%fn%$3_C&1DlG7iuL8I+f4H0ypl6PuQ`xPUc&Z2%PTzda}XxGU( znWqpnV)yaI*oo#BsWYSY@Kk5x(WHpBfkAVaLm1qspeymGWw5dH+TODCVD)p4wa%{} zcFus~Y|z|C%yn2J%0;zlzoZn$x+jL@FET{W$YRHDAM|;t11cgLo>qnibNJM zJ2_W~-ESFQ{>!tB{-^DrF@0JQ3joel<&7PWYDiyUEhHBg&g;X|pSKLL<^GE}gTzIC z=}$vnIVC&$D>!CMw^zQ|ca#|FitX6y5tauI7u~xsf>I-b5rPN&X-+Y=sio!(l}!}{ zl0Sc-?pk{;j;p!Sf?MEjgIv=>V!_ecfjh5V;>)P+@b5+|V)lG1f3>sFa%aH2L*M%f zyH<)Rzci944Q=3v3AmT!OPrPK2-C09ZXh6DG4K+yjo(H~rnbv_eAL5@MYFKT?AL^K z;fF59u=3A&s{P%s?-YMZ7ZGmNpYG_s;-vf%HCSzvC`oS@P@hDP4l|5mYA#P@A-(_qE$pAlm{_ao2W5u8A5lP3XdB!7J-}Ge6+3wL95J!OV&UfrJShf4bMLN zJmbid&tdb8&OcOg8hWRt0>j-GXiIbCN}(UKukGFcftr-S5B+R?=z7DZVij!ZPcRQW z0G5Ob?L7nLot=blCYTnnLVFPkHJ-gj^H!34jr!j6Yh1;0>xYH($O9Ib=MT+ubnIQA z|HfC#99*=uPoHc(2BiX#-x>G`goxTifT{M~@B1@f#=(g-Ke6@p(6vJ+Klq{V7D0al z2^E|`do`mpnzCh;HXEXKupc2F7SSliFgc`qBg3G0iX9}J{g7%sGV_2)V9 z+R9bAxMum~&sV$;xum#lrBZc=zI47=elL+wCK`x#3fXOQjhj3i`l+3L?sr4V-Ul}; z&i3?0V5;_`IB2QuHG>w`7bOR8mvWpf$K$MLbd#`mZvcEGuZgobL0wdi-Kvw3dPkna z5m6E14{i}{&AgvVzr|lpMPwf}8y$(nTtIiREp(C2fRgFP3U?%YTaAtGt;m|!4Y;71 z?Sd-_ob%y7H0XUQbrYo1pfv|!4aBk_4}Zq&CGN^EjYx8kgrz1^pbiU2G=_&fTECWj zPTrSxJzHQY+8km(+jA&rA> zm-K8`g95Tl_|Q*2I}1k+NJ-TVNL3tf->DY&W*rjI_XDy~UFL!7ehW!7e!PGQDr{B= z6GA$cK`r#w_v(f$B*X~wj#}>_nkvoN*xRa~GSU^^y29LSILf>qKY1W|#p4F^`#UF8 z?H}E97V+OT0Inm;)(8a2IrUqMO!-|C)Gfryw|^A98kEEuhZ$*4*TZLx^AY^V4y2rSl-EpYH7R zi6PKD=ns6w(4jS35j08)m4m8O$T&9R8{BU|OS@3SIrw;O&8&x@_l%XO^9I1}{L4lJ zQUCr{=b6kX4%g5LQnLUt>E1DCZCB@2SpDay8=jh{b{*5bm;HyqpKz6~3J+gXS6dH? zVIRjclg|IkC=>o<)q5I>(EF5YiqGCKPQ$&vS?4TvpgFo_A-VAZ3%dH0bwGKFsY8LG zoDr<3y%suW=?}8QH__ExI6=TPAV6Sl5xV}K%|UM_2CGG>dr4*KzEEh#(qm6OFF1&D zTe<0{F;(s8{ltcE0J^Zv_B4{u^zov>uewJ>XxZUevPk0cd+R7k<$o$zc)!03pJN%Z z>)-m}BnG9t%oRJJY~E-m?fTAU+c(ZGJ23wHi_Uin*q0nW64A|;5yMxvHVDvW&fZ%- zg$1YIfrl;F5KCeCq&K~>EDsr0H*qG z&7)VVb$E_KQ~ICTVyRVQ>LrJaG3>LT4kxXpj65D{=mpsJ<2@uaP^oenQ0MmM|D<8#>i`KbAjI1%gLkIz>g` z52Ux$@PMPDR%jyE2*dwB1Y2NZ>f;+|d{l+m!6>P7cde{Y@yltiSbg1B-AI+PSOh45 zzGYvHSz{=+ z=01xy)(>r#>q80IdY*(4dP%k7MK*qd?1 zwGZ0%AT)!skD0C*ETzdfK5?0({M}QPrD2a0n`vP~x(_HuO_GPAMlvCtE5sH?=8&)S zIj#eA1DIs%XLW>pffyrw1Y1*Pm|GoB&7BTGwSO|4V zXdpV^3De>_u!H>_BazLj_Q)#du>|A1$I8b?W}SI0R<<&Zbr2Un{TwbDfQJ|(u1d;xO$J^%FGceon`^cE0q>cpLXTvV_@TMlDH0d*NiQnk)AY~>XS@*^P zXwCXwUiu#DHC28BftGVn7xZ)dBUoMSFm9Xp&t2@8gDt)>aJkoG@A`q2>t76AH>{_zRpZ<7>Ho3l#$TjSMnE*VKg6Z@jb8rseB^wd!;xdnIipPP z#vF~3C#MbzwPdG%rhuV+$ovM@$nLnK^rM`f@j)I>m}`sr8t2DJsVlMsJmN7IyxwVK z{=ouoVZPq{3Hb=T%#sPr3-wfheBPZ09 zX8@yV-u^vLFZ)U{R!6=KMzF%dI#v7dn8-=RsyDZe6Y?2P1+s{|s7t%?Z+QC-pi>s@ z8Og6c(o-A4q`#)#qadd9pw)^mnzMi#pce|5+jBm#S3Lt}1VZ5W{Si*3TK|oT^7BrC zlrBUcdIvGGLw<`Eb%XDaKTQ8UGm^{5$kK3&w(J<%iljK~j67$ccLAAhZa+HNHC6nM zSs0n@Wfg!RilsBW!3ee!rvfz!JXwTiK;n3-9}?Ll>A}wkT9EDd`yfm~!x=>(>Pfd` z=#*(2GhF}+8*!}>${vU2%8DPcULhb51FQXO0N)e9U+PI&=B3rr2dnukd(ey(L@}`i zdPIJO2LbHzH6|lBDoYqF-~djQ2#vM(M6wx~mFoNP$VO_R81y3xm&oH6*0;iRld=}1 zg%odNNCqZFPj&+6jxKO|XwAvS zD@J9)Wz8`~)r&OucVm?&Sc}((vuWL|sMqZn7uG%~-kku`mx8Kc-_z%B{K{|+UW5tP zzc2T;ZZ1e{^|`P`HqHH`EQ|MpM1Zl(F`(Mu;p0x|>er_hjdX@%G{g4rC^S_rmY(|e zP(W*gu_<}dUZhT62IYQ65bv)#!H^i2D_;=xbsLJ4AK~z6&mQKJ(va*=mXBOmQ2|QC zBju%Lg7EzMluOj|N;SiS9C?Dg^N*ii_4E?d++c{;5LA%>lG{e{C?^JlUWT*GGe8@p zy?W~v)rO(|tNQaAplpIJINQ|D1A}%Uq!H^UPo#Y_UILc6=7vu(zkuR2g8!j4Iy<8G zLi*d3zw%@TW{_IBDLRF&TV)XGHXkhQ?zc&zmJ>1O^JdmOKt1&}L%8n_t7S-3_d9Un zQ`LSq0`c(lp%&c}wT-?D-EK$ksIJjTI1gY_wLtT14RU2AjX!aNku&?@H;X^w?5OHo z8;Nf{S%X16hpIeaWx$7(ZV@x^`*hzw$+G~HMa1NFP}E#l;AYOtan2jHC^}U`AJ;Yp}KC?rGPOUK^ zH2_d0Xs!nJWJR~(dDV;0SsBi6WZt@hjNJ`H!j!bSpg(jtBYKp*2`*B>c<}W zuG~o>NP-$Udx>uTrM~r#!O;AjLjf9k2!Q>??9SKJc*MulqeN`W*#=R6`&96;#j6auNjAj4NMGsPT>a)5$+YiomgvM0E&8YDR}6O8xX^>KQUMpm!j2m6oSn1|cusw|lE`1V zn5tp|Sv*66`q7yD2}$hx@|$=r>w|i$ePhzW0D^pR^Sc+jYLPkvqBV%fE#Inu zf^Iq>Jl`b%4~VcKkR8xXjlR6VN~rIe)r8A)rY2SZUZ!T%f0TXTDVI+VHY@guvt?G( z`9N>>7-6J7fw~p!VFHi$5L7#jTeYlAgO&cYf7zctjSM@6|M4_3pTX)(_nv`3^l;%^ zKX*Sk+z;4wzfr_2|KIc(M2hh8YuX^c@*+l3T6tIedfxddWTswX(uE_O6=#R9Yuvft zxCK_Z%E~~|a9_lq*n5yTd4 z385kQxTp7niaT$2($TkP{^GKNNKVAsep+(vz!vA*f8?~eY)obUwer8~G{pi)?WZ52 ztJSx@snuT2qy&aaX)VuLNb5(le}EJQ-sW_%%Ud%+pDWMk?Sv<<{gjj?%1)HnR6kHc zR@;s|;0j7yDRYx?sM}GWG7VWjE8h0^L~gQjGTXFQcg~byPAJe4d*!qk!_v!;DBZGA z)O|2G@}w|uA#Be5oqs1{wp>L1=R04Jte60kd|;2ofW->`xgf=+%A9Dqw)0_99QHj0 z5?dmPJb}uLTz)AnE$?Rry8nLE>$bTbR+#o$W=y*miY>lrZ)7+vE%uT&WIS>hS@4P0A-7$)6@zilfHsi3&5wV421KM+~Mf#?Qe8m-~+`b zed&?6lG(H1s~lprYk|_y5FxI^6VW1I5#`>=@A>2yyOAJ47P;I^1bYRda~I=(9E4L+ z>(2N!0$O0ye$S;d%N_eLrX)ax3yIJ_9H_4s=B9TKFzpd~8Q{vmtO=Uj0rBbQVznHj z3c!9BXY6TxwL%RE?^8(*S@vZ$MqA7hzli~Y6B~B#RJi-|=<&W3UJR@I@(on5dyngw z5)Xq};0Hx%{_e{bmep6H$VN-#t|G4isup^%L zU+AOQhrB;;dpo2K+tqhm{j>;8{hv8N)5!P<*EBN-~8$?1JjIdVl0Ur4Hy$;PftF zM)4=R{1^ZyZt|?~|zWw@h|{|6k%u1^Ku`jDFy2TuNH4X4}%Z^{5&T$!?K9qgFd!R0<4`a>-8Nh0_v!xTdKEZvK zF=xWt(q@xzQGdew)N|Ti9~0i_V-7hHz9p#bQYg`wQUQ{Uv>H5FER~qc!jlRXZ+>- z^IO$v86|!Ztfn1)X%Bz&-=ZHM(KU9$b=o=PG&x`I{Oxg_=lY}mw2zrrTgH@wk{>#+ z$n?KFx1ai>+YPbNT){%e1+CE1kc%(@Vb#E+6N-w-x;V!ExeW(SM_) z5w3IQZ2Y=ESDS*e3kn8klkTUc!?nJvRZRK^!sOSAMkJV`2$TB z%9;45qScO{{^6h0lk4dEr`{j`58tBxvX4{`h-a=Z>J##D4KBL=&XD|Y(ec;w%bf4d zU+{YDzrbw?{&CHHsrnhW|D4X7=&x|5>-fnp^#3O35Bvu*|JW=AQ2$FU{y*OD*wOkCIGCf}z^>JmO?ZwE40I;xpAn*5V&Z(xDfAJUM6)u_Mf zny6>e1F|~xE4&Yu;yHl>>ML-HR_ZUhrZ4Ke$rB<{Bf9BJ0WJN1%{^tK&h!40vcO*P z_(U41@P4@&c_(Fm#{U;9Jp!xGL=I_IxI_Kll+8r;YQ?s<#{V@RiR<#8aQPpX$-mcm zf!-z8?`fC6-aq{oN9`7Sf9$g^Tl}w*T|xJYnSGXKnP#gpBwhc^?Sp=sGG&{7r{q`Q z|4*)8_MSE<^Y~@gen0E?P3ry?_KDSDy?(n#LjKIlF42o}!u{*A>z7Q24@BAOtB13$ zQ!?F7pH|tg*w9xpZJ-PO;3w9@-U-<{x=NCq^rGuUH?bizCY>m`2o}_y_v`!h0~NhQPvc# zGpV(0lvO^af3|+S0 zzvP_Ob++3%dGQE3GZJ!+E+?BZP9~j`4-Vnvoj%WK)7iT-kI%DTMBkqvwn|;6TU?UY zj;vEKQm{VnMvSt_CHX%?Bzesc>;Lx_>u+*S-|lfr92|A7;bED6w}f~6$&hj628~qe zHVsX^zNLPH=Yb7wbLbz*w7$~mKf-6E^*kQg@fHpEJtn-= zzsK|ZoX(pp{rRqwHu;|F{6A3Ze_F`F{|vrV*xQI-Az?Q{dl&#js6$4&?mn_ z`dJx$#_lNFoc@j>^q(?B{)ra)HyY^2^BC|j>GZj8&vl#r-{kb$A~k-i)~27gy8LbR zk7(ilfaU~rjsGOTey23gigL!s!O-7DRW$hzy9|^z`aeCSKF09}gd@j4CR@_=*S&x8 zuk6|CF^`|$b^W&S_u3)!zi~+Y&$sCBcmsd@jyB3t=kJe)@E6bi(Jz-j;{UUKBxuub zJiFaiAMd%X>z9ACM)W7TeCU_oc1F4E_TM>#|L-57ziXb~+xYv@7X6-e{tkNm74gSE z!NA`-<@}}c-=k94JwDHa4MwZAJ|2Yre&6ZWcN`CiA^Lp1`}`LP|2CLZnb4F))Af`; z-_SBo2fr45ot3UL^0QQy_ye@m=kfof^C)x534}ZKG0%7QQj_(nr;g7)@1NK2P(3nI zAJ41h^*jdzPn21gPby11F+MC1Y>Drnj;Rgu|LuVM1g-d|6;u;DLeS8#oMid$ZXe_a zrbFTnU4nmm#^51MYdqbh_t#OcE~yVY&gWb|;D848OJ!rO`^G=VAM*#~JLPNEa}qk{ z*&i4nANU{V3?W}r{C#eVf9`Sm@sEV~=V{TOb|2j%|2!px@9}jav`*?1WtG}t$N%a2 zxv2A|b=G$|{rXI7f$|UU^qX}4->d#>g){Vf#Q8f8VHNy))_FT3K9QBG*R=Te79Sse z*LRVazhIL?>dP7eTho8i*AZ>=mnS2n$^-i3`aS9VeVxmX{!WNLc%%Jt&#!OG^ry{~ zRL8qs!oD-!f3AifvherchS>KrTI_qy`8%EQw@kTZiSu_!`r(a8xqYumK#}Y$bp9ay zhu$Ck1^;645#_pY2LH6D{=2=h&i@tXe|^S(=x;)JW*q-tW&GoR z2pWl+@_Zd2Q;mtEie~y1n@Z3KG|2N1FDTvurA8#11%eTzw|0~&< zf`3nD>mTwO^dFv$U#C+``=$oUtNS;375pD@{*gc4^a+h|;iW#F*KU%ZuCMX_$e+jmK|PA1$Cn>^ zh0D)A+5YT*0>b}}`aV&eziG+8OtJ9ZjK9Sm`>VtowBYYKE`Jk$)E~?E%k^_W3?C4V z`0X+B^TfwW$A>G>|H6>M{PPauYsy#SPM>|sWgzsj+vlG#e+JB5L<&y~!Yj?a zd*43nUR6xWH%@yW?uc@Cl_vz!}AD+nJw|w_G&+HSAV`MKk zeEvV?`GtMRjrRCaYB48U)Af(MKfoPXewgw8pO;$OJFFuY02W$`yHoeGr7b@?6mzuN6xAK!OM7V5vs-+)Rne|r+Nc_1=Qr$V}7n^mro41*q5{0G-Ys;f;VgJ7M_)f zz=M()47<8KBgFyQbRkGVuQn+d;a5wF?W7^M#rAiJIw`1IOp1+KZ7uHc6Z73`@{q@ft28~zb+*2{2 z$?u>B{IaCFDyxsD_2cyfUm)oY8);+8J#D*!d%N1Ll71J9P}pdTrW{L{r*|VkE~mni zLyo`?bB146W9IA~=2xALNxf72gU37&sjn(b=fvT;<$|URZWz{!^K+!dZZZ9@(yrgh zu8yfRF*eA%*!AW4B`anZBwa~(s+>$Q^wGp2Z?puzaY8Ma_xD)V$nTbAPj%&{rBPfj zpQpE7p@*;xZMc%Y(lOUjl>yF3>TTj^DluxYlb*ooi4o(EJ?ur2VwH4BZnt~LS`$l( z<%;w%jYkGIJuefS|4FaP`gF>?W_=Ibv~)`FWuj};$P9C$c%|!mV}dLd&Z}Jr{h-n- z^KU2Jf+e0QviY4!w@2-di_@wYz0I=w4aLVI`N00<0~R~G)PAqqdPy>W2`?L;Vy)Jyb--kx+&Rizih|eS!4{}4|}4)cAKQh ztCDV$Y&VzMn{*e{o;U1Y>P}NlI&87AM+%c}O7KlRaFgzY;0Hw)DfFvtNw-lHPjqDg z&QqULT?qZQ^hx*2UE3X#biDD#-kWEIeckOT|bxr;M?=Cran6Y1m_I3FK5$7m<0((e-%#n5u8PxLl8$mZDcGbt zr}i6sx6x{i-bu}Hc>~O}Y;C*MKE|p2M8s)oYSPU>w?_(-ZlR=DAaAUSNyodQp=;Ez z^^_>C>yd6+I48Rh`kjGF&)ln$juCx%&2|%bW<#7_Qq&xmbaRp}w;eh8wA|smGDvPa zBI0O+bXz6Gu^zZdw?*)!qFZ_LtSHWPqmno!pI`1m=m+&)>3%7BJ387T>3D;@ab+Vr z`q}5vVRy7`+?)~hIl*sqBg70gruMTML6%FpO^MMDCH(OZWFq6m#!X`Ckm8h4J=eww z@Cd)f9JU)*JdQWS=>@^pcDVq#^_dwVPL&8+<8)HmSjvnlNnxIRTwHCEhGx3zi zY@hp*vx-w|Z`wPm_J?Kbvx+f;nuNw@BtxRrDVC7rc5>GrGrb){j(QiGdx z`vhOsAl+q2vAhRv(#;7DT`5WhTklmCieCQ`?M=F!YCk9G)=4^pn{+z_U)>{x+3u{QSknVH=~z8Mx03F-W($YA zvJj`+h4V-kLO-bYO7|M+#XMk4(yf(eP9_4MNbs#-@aR~XW&q|Z>lCLad!ok1%?*m0 zql(ir;>_?^obvP;bgELt>1M_0GHJ=$n>IG6{gm(%NoG4%xC?qH+IYG^GwMYRaeDnW z<8+a zF*nDe@?QTO>A4l5uw}N z&rfF&H!E8Fi!gihL`P;X?m+XdavQHr8@$6jv|-ee z=$*sjE#?mfH*M?@d{WxjqWRf$sxWOFRlc%z@m*2WJkQ{!nFWHM zmY2>+FdLIhGl!H_cDcrk#*5P7fZ+UcqAE$99cEv1!f(bx32<_(t2g|CUA}lbmHESV zL3(vjjeUD0^)hMMGO|g{dxDR+KP<@nVVnHnw4~-e;MU%xzM&kxTAJ9Qx_s4tUGQs? zdP-aw+@!uH_=z6rOloF9Q$28#dZXa*Q~A$U`OgxK?`CVHzABtcyAb+8rA=z?#`7EH z4T*qB%}6oP%`QUVvzPOVIChGrCAGCTsVCJQsn@B_;AZP}f-@Q-wZTp5wSqIdu8K(= zbLqbV=>k2)|j7oN!i{ z_xHrRjiTe{nv+94qX~_t&;@BzQpiCqym~U(o{AMEp zevAv011D>Bc}`|JnQZy<$sX(UPG{zmRj~}VRuQzZ$G+xuQ?decuBw>W%XMF4k0d?Z ztuy;x5$8)pvr^6iwG5pb7IS)dU8AqV(v|&+z-I#N1op(8Np)P_&d$$HVPBl?ubFO_ ztNj&maaDB&H{C81e6MtC<0q6&x2!Vwy=qk~?wGM(>w%jFn6bl8rL<$>BqTbJh*?bS zaWC56^&)d$;43A>4q4vbb#9U}KDJ4UL{%|PmP(Vod!zd~#~e7)eOd*H^;q~P#lT!3e%I0>C|-RV?$jAy{lnW_c1JjQx$t_!2R z;aaZjaia$=(Wn)iJ)$krp*_cMc`V*alNzP3Dvxbc9y`&slWAa~=z_oE9L$qF6fKXP z7JO$9-0XBvaC+NSa~^|euQsZ60jsoWjeKj;Q!J(pM&1pI?vwK4wdv^!<1eiod+cud zUnp(&t|JW2I-=49XO%W~diFSxP#gDiqIEN#$6{I{6lYmSuu zz2(X`W~7as+S6x*j~V*`akWg`ZtC6@Gv7F*x$bqXl&fM?7wPvKNLLlp#;F0?SnKUK zChZ!YU82is-mZw0ebUCR9;q!-4#)?_df?XQ(k=OhjiD%Dp}1lcS)WAtWb$m@#K)|U z`$@AYQcSv?g0lx%6@y>alP8mEZyfQ>{IFLF^Dd;gCV8u3eHKe32n%*)MK+j*Y%xI4^F;UFUXZ%(&eq_)LtyQcyh$9_&(Psg?R;1TxgJD z{5GYCeI)D=Qjk5Ch%0tlt+>twzofWY>JkRui*+ZOnS3S1oTTW@u_gsM_H=_3lea0w zY1x9+5IPPit`bLrb8jH`s0Esyzd=A5NZg>fQ1j!Eflk`8Z7ZxPDUbE1Fer&}!JGFJ_A=!Og!@V|^LC z*N3UAMhe%C9`RC=)m1n3}aNP6e6<-GB~;IX!v zmOrx=mo6%`lOSY}5DqB=A z&TK}9Mpo-nY38gjZ0JI;Fxlp+cw2R!KA!-;J?}K7e+?&}9_q?szPeJp9q{#_s#VNS zcJYL3PhNGZ17;f5KfWuT7YdK{PMJ`dd2ts4wT0O##(Epic){}Std<(%d5$>hfxB-D zel5)#3`@weSo^E18rq*z`)Fy$O8=KMA{x)stQ3cpPbMjPY=?(KAbfIv(z2;_uQZGo z?dxjZMl|4z`;=;zR(KS-yBu!!|4q6p8VmS;kjnZ?g!ZI*FIP^;%PQsDrD=W>P{*M8 z_D*5opPH>0e7Pv@mfk9Pk4a-8i?y`z3mvSqPMy_>s%h}Jcw6f5MG0=W<^-=+U|_OF zSB0Ei`-&pJ01b-VALFf%e6xFVO#aL)Xf};EwvLz(&lg}vWkI577vqBS{0L=jibJEw z&f$22Z?N}nt>e4$0ruiHB``R6s~9wl=ixqJ_>3#Q&&wUIbS-6Et$T-NHCpRYuHVPE z!O#4)_N#r4H^FYV6Th?PnG;qo_8t?{-X>u<<(MavH@ZKFlU;(Z^SZ#re-d?x zrIU&x@1HP=RBRE&(8=W_zhNeyD|J6)unHGr6Hgy)miMeqo@E@KeY<2A{M3EX*5Ky^ z-{0kqI)m@ldi<#1#tGbR6NLPBPFxrd7P)9|%#of?92$njf-_63ioyARh2GVK!C6hr zseL6oElFWBHM@@qGrw0l)SZ7ChF!`+?DSq1d{RmaS>TX+h!tl3!vPs8Na)zO#lP}A zZ@JFv6j=-81Nb=q?WcGD>!a1C;1>k1ih13*M(2g@|4Y*IT*fW`)xwiCRk2yePK}!t zjI-)l=w5g=@?Pj!HT$ln#fgP#^0-@yKsU93Gaj!P_~h+MOZaeir674stgk?_20&!`#(F!QY01&s?k(m-qQ zX~FS-EOS1y^(w_U|Cvy^^qE^)Q&ib?d&R2G%r=KbnLF53F$s_TqtGeR##!vK+W z;%%#X8{4LBM#&8z>=u*dq-0;Nt_SXm3Zt=E?GJagiZtx!=mC$1Hh0Hg(<-rP&uT~` zDVx~rVx4BoD}{8og_6#sVwG+3A~?S+xIKSj6sLuU9q6i9?DMwK{9Q+Si$-d)S{eX< zr4u1Yk-}I_P2BgHQpK4D&sihSS*2FvU5QL5$JKs`+HX*rvbWS!c0bXhlg-izkuoU^ zx}_#9nNH?};c$-+8;0%j;R#WML%0o(LiWYZ;Tn>od)jHiPjx8*-yn+PI`WjjOhRg& zhKw`P9`?7gPI?Ou*^?x+mIu`mo(&eQ<^6(R?cv$l^P9PKYPLZ*uzzTr|DL^KhMj0^lw_aEd7(&whFWHZCW}e3~PnBZkHp1V-KSSWoy4n@M^aWIJ<3Y^lVa9 zjN%yyu*QLg-Mr_hFkBPnosxq+#=wkDPWI>@i^Hq+qh;urbDKG`zU+mjswCsMd-$Fe zz>KRcinX;4-<9Ad*G9oDJJ6Qm`jKV1k7GRWeJQhbYUui`ya6bgfK~q@Wh5eXwV3R5 z?EXcHK4qTW3a+Dxj795m#(n3_FdNmaqIkLoZoEwjZtdc`ntdHJl%12xdJ)CMTBT3s zjOf2td)1CN{j8ul`tdgUr4s6NB5$(rPv}#gMeWxZM)-zkO*+ra;X_xn9OY@K5 z!3gsYbNo_cn`~w6O&0RNhMx8&%Sp8l40fz!*)5b)lEd(rUC4yDW0xahhv%oQm@Jos zlV^mgVzTg049uUaXCO=#eqnUFr@hC~09m#QrP%~3Cd=8|l;wcf*?yny>7@NGlVzE3 zE>KpiipjD-yz$0gp5z`+mB#aB#U1ZvuZqEsYL94N4<3W>$$lAaeJXpgPfNLw#4{Ne z^vQU0x#F;?yt6pXNKD2p!kNEOI^t-Tc-z(>%Mr;EI$@C%fxxE%+`xWQ%Q zu2tA^@-}7MBpKJqj=aM?a>XUh#45fxvprSRv9xDgwmsD=8kc+9S9Te5o~_=dc9%4W z_r_7bT}<1ws!HVWtzu+Gadar*wwCKP<6YaMe95fbRmuk1jCC1a(Yj)f>tGw64o7r)z zc-t-enSAKP?6Ouew(Uz_>cL~}#|FrFNPJlS0KduDKYrcZSj;?wd#mfyOxR?+uIFUV zI&WLk7;7?~*RIQgo*8u-z&i^D@Z1|mth9T5%+}t`am0FHjpE4uDb;x6_d(pXqp&87 z{I>OiX8R5LTq54~i_Pj+tfuFcnhWs{?Nu@O6|D{`7-v-+^~%z(=QdgTz0N4~N)2rE zmh{~xmUk%i9Z%>?Kg{tae6D!;ekx2^SCmiM^pm=GziwY%csnBAHm}e;HXDD5M^@I? z#q-KU&-`j!@N3E^mt|{(m-KJ8STFb*$+A!F&n0CUoTm}^Z6C++^WS4Kaco*AovW%L(xi|5JxNp=aja3*E=C zO~7{xKGP6`Ye&uxHzx~sk&bFtbS%ZO$+Aj3MDAJwI$uYu6nsfTykAwkFLOB0!G|mp zYQMOlef;wTEv?)cyIdEtBeDx`Z;bJDo$@gG_GtGWmDy#hcw5-O+YQNbQu?3kwzqg; zT)xp@mxUwS<>un$nq=X(E1Qy!m|c!tw4EY!b~f$am@JFLo7s;Vit&6&vcxW&-ODlf zVc}WVAp7)?ygi<9GhS)3-`z(_K;QpOj&A^cGnZrf+dEoS&m)m@&&&60zF|-9F_#OT zFQ?raTcB8T^KJsJyu!dgEm*L1`-`b?st%#Wr#+lpK{V|u$L47M+3bzwp7-?ieX!sexnYGN--YJ+s<0H&v15L$t5MVcR>5OendeEP z{T{*BaH+CB?MIo6d-ZOUbMn3EuJ0M`ooe5&y&3gBC=H?SxVN}jEm!Mmr8r50w(Itw z;AoqB@mta;Q&D8Ec!#*N_6Fat_6cqGtBPD!F2)yaS4ykXI-Zod`Q2yO<%}?_(iQR< z+^jq!IG(m&@O;h#hgU5OJDaj~;^_gLVE4*8%rw^c=wLfHMsd3^Oo)@kl6?{eJ@)rO zQJicOoce(875s`gF)k|jwBToi-zcJ=wHkM5xmx^gPZ-RHcdO;NuGb1P$H2^eXENQM z8XWshdp6siY;RV$AUHayWL&Bp7=F`)HyO`HahqDM6rGL2Y{f7f5L^!>K6FsdS+KH4wZH$bV4hkKq?u=d*r zJZ-p3?H35w&P2z!UoL*;x;}=wd8;tKG^L2(H~x{6`B$SgPSLnG&bN#6vkjcD7U#T? zpen}UdBJa%^Ym_;uC&JaI&prj%Q;k*i(4}1rnKq;Viuj!(1R_;WsCednj4y#TpR1|qWoTv3D_Ee&A`2Vx_KG0sZSAFMwQSlWciKyrh7kP74s_39ni!P=mc}ao> z<4wFqYOq6GEPGpVq{DbunPGgztOh)Pma z>>~9hqM}|S%=h!`XP>jrx#u~*bN>K0>R$K!&N=(+XFq#?pZ)CTInO!2-<=WPxc*t^ zjT6#uqY&5j;!Co??f~5qzP)%y{OvEe2HW3wzgjb|Bp4^RvSzvK8+@~b-{d^e;M>r1 zRQw59!o1%8$APWsWDJ93u9HviDqi zDq-(j@vXpZX6D#-+2MKbj8^_{X1?90*~z3(Wp!npGX44Fw((C(eloX$J<~V-N%4~r z)kp0M-_G4$@%dH)S>lA8vbAf|uwT9JVMcH5D-gG8R?TZ)yPpM(^EtxpH%8%TRwO-p zUzrZa_Np%7kSv=Nf8qt>+vhi>-6gg1WP@+^TofOBOe>;m)sB~BnQ_L&nUK!Q6^C+g z)b$>p5O;z?V?UH?=lz_mYB-)28JTyC%g(WV?cF(f$5TJkW3_IRo;~tzt2{fH3Yh#l z@y}GcxnSNM6#s04Z{8gcpKr5TKm85aa8vp1rX)`#tvq>{76Qx$XT;r=xaP|ym9-U5 z<@|I9WWKELX({dwXeHBQzHE>l(1XsWPxFO(nUSweJWb)!o6P%Oh{&^Iv%lit6C7re z_^?yw9zSbT%bmtJDAl4(^Kz`MpI{qzj$37|>4Br!g!E4cd17iRam?B|@o!1LSqqZ9 z?YZ`aXzfGEtgAR!3x_*0e#>ij(quo^*)N51r&;qjs&n8;$)A-MMyCC)YM++o zYO;{M*d_hf#IMP`*dhM)2H(8cF8)pFH?2eiUS647+Fq=yIbd;-*$@6OqP3gBeQmTUfb2y9+b79WqPdEZPIi21&c=GoBUSs z!L25X#zW$tY4EM34vNp{Q1_`#^6r)-Ywxy5=61!Q92~})X>liY?ipjF_y_Vmg56zP z!_3WVi#i?-$h+!jz9bkh#TH}B{X;9Qe=HzEGz2H(8fE&g8Fvn~~&ubtK1 z%A6!?@774>QN^JgoHRCxd*U%sWc~4)__NY>N^N&0@kdA6l)T$7?_xfDphzZ)_o*)9 zn|HI~gL6&h-DcH$r@=SxHi>^%_S9{+UfykZW%Ta8bZ)FTl!G&&5wKo){$Y8jr-t() zux=x=$4Pl%WY}#`?FU{ELe9?laJ~58S(ACOTKrYAq$aG9t>SN&J(gE#qf39JIo>O@ zPuJ=DtCce!J+}VLI9aube9;h)R>hNW{$N#n|4SpsTI#&+;J72J$!y>kSNK0RH5vbe z_$STXe98Dn(pQ^-7k4v5> zyfr>N!@ZD&u1X6?@Oe&>yweDw-8J(KizAu;FpW><`x(h!5IPP1&SdrB@CEaTpzRbxNYMlOb-A8`{;^xbt>$fw710PBGRwN|z+RS^RA+KEHcEEj@LOe20#+ z8W21%YxwMrPeY7y>y?ps-|KWLVpi>yRlG-ClktxzwDu~V>+h@?|DNQTxtR@o9+%`O ztH{MoTWhNxDqmU8zy_;@2w7h{Uh!Fl<9mxWnfx{N5q`_4wu*1GQRCJWcgS0fx3pGv zQiXVK&v!1p##4$_eigGO(>$kE-XOVgb^L=V6pX)57V=(h-4?v5>pt2Fgw=RSl8h<7 zD&b{j#NQ*08!8K}E%DvIXKmJ4jXUJg<&KuxE&h$FMJTad9Q{4s`AUx-v*emIPj;Yp zQRq!tm}hIO#?6<4$I=@i8e_!gccK^-jrgB)pvODLMy6q>Rv@p5VNa{LVm0!cayw<_{_3fLS-D?) z(6e{wkT+kpi@#cpwHa2TyXv9TxaNh-$ml(Kzt*lYN;lKUYbPf(^I2cbktj2l=Sb&Y z+0-yai%avpm+MY2MC$?Z&q(x;_(oqf( z>5`qC{xi*@8+_AzTzoW}b!fU1`jKfil#n=N;b!cN_G#6)jeDicRm^wj zl8W>J-{w!=il+IXWH+g0Yt47%3o*cNLqxhH8<-W>lIMdBQ4-v)t4$wNk#Dx`*4-%I z(ycY@&UxxP*^_wJ$?ufj%uZQuvRr!j{q`)D-F!)!WDr)jrB~x@VTI+Y}VXrG7Zzh(|!xvuNeQV zl+37i*mt|RW_*6_mbJvXMIK56@mq7;W7A0e`*qml8s?OnFtPk;{7drSY=dvw4~TzG zd^_V4&Zyc!$7{d#f==?>X6O6WD>)Tiet}Q(alQECoQiDa&-koBqN}|oFfRUf@oTGY zJRW9MZ8>G#MjfUzYu8aSMEfWiy4!NeG_AC_MK_~iO&LjWu)}=GP990g=??xO@z01~ zBRMBdvqp<&YP_sCJfZc0Y30Uh#8I5{>*&y?)GbUG|CeD;=G#@Pen!!IQ+$)+%29Ee zHJUs%9?@)YnuA2D9L7x zbvyDOylb+P%@V%e!QU?a>RPRenb4y@)BINa`qHXgqrC@#e)DBcv$adgY|x1`4w;7Q z8l!zJ*M1Y-`1}`uJ(A~5DkI}RkUVcv)iqwpcWo}`dr&=Yg~qO?J2bQ8sxzoC#y7 z*=Fd0YV-Xu)4=;N~%_GO0@HcRR3Bi#bB4nqHDJ#Swpj58sma#xS|@b zBX7d`oSYX$CP^Jzq`{upBX040RhqH6CX=6%p1YN8T-}m2Z>elE4Y!pyHmJ~E^>|zN zFb%gA`A0f5pOl{S5}B*+Q%s)!(Qvfk%k6o-)P3+##^3BV4LtjJ*um#rEY=UJW=X#t z>Mg!EJWZO>QzV~t^A?il(h0@qQLDr%%=q(P<4l$~;gU#wkHn>=gClq@;d)=&jVr06`i(dswZ(i}gz#wZItMQ)rdyJhwt(qC(v8%y1>#vA^K>XU5b08l&|#bG{bSk+ln}NtYXZ)3957 z4N{M-@ zNdw~r#Q#+ATya*mO^g3PRcs97HS;SnGju(@A82*(ege;o>KeC%Xg7I737hxVVmdQ* ztU ziCg}g&Dx~Xg!rw_-5v6mB|j;CZ5wwecn5BqlLo614O_E@qtfnW_5@3=CcX)675_m) z^LlCCB94_tL){|u2>#c}8OgZj%Ze_ZGF(hrkvGlw-n4nEN~>V#5f;QmS2K;8pGwj} zmewQKn;NLkW={2}4#(PB)5D+v{+u@Ivl{qp>Z9E00Ww%JN)Hx|l3{!sB{L~AN68Qa zqh$6=ri%=Vcm+*e^cO+$3lzR@m-By~wtvv!kMn$wza0CyA3w>xW9)TC8`|}@uX$PD zM;&e1wyvd|KS|w7vFk5V*@d)$$A|A%B2I08+UKKeR=2H)9Q_<)u*SYH_$3BH%uimX zZEWn=d)R(NN96ls+CC=CFkaC42VQ0Ku6O9z$;W_qW=2w_VtW?nZ0m$EAIsgjtnvC%N(w~8*lf1C{D607v#RRP6WFgxXUkJ{ z%w{91CX+p>FdI=dH)$!THs(H) zs_hp2nN-dCh9(E^VPlrEl&M03Qy&8Xw-vr;QuQ+ov$SUT^Z}=HJ;MlB?awOAY~}Hp zgqhZ>pM}@or9SwKBAgI=Mk$4=pP|>!uxeYwed-ZT$FlY4r(U%l5kF>>c?Kibe^J%f z7SXT$_TG~4*x2w}`{XC#MNvJ#T5w7!Rh?&BJ>#{ivQG65Rr>-bBStP{-utS0K_ga; z$L_TbQ-|@Xf}r{?A3tUMl1-C=YNA5?Y981<4}Prv5U&IF+aY|djJ3Ar`eq8#q=k`LGJ-`9tT3EBEj(}&17)hFUu_eu^rCQg6w*xvf!s(#q} z10Q~szkvhC2;>?Qr(6jpB(+(twc`>+hfW~|Rh{b?Q8m>9%Fq#QVAaX*Dd`^J^-Cl| zyLADc*x_Sf)v3k-Rey)P{)Q9=mgP#3PZIuPwe^t5_nr}~t`3Q49(gBfsQrpMt z00!;G%Cg;`!!e#6)t|^gG^8PEpl{YW*Nz?2hloZ#_MQ3=8K?RLF}<|@*rxmQbAF8c z=OsI&A-vtY8p5wh6^HLu)xVak>Td|W$NSQ;SC4(Tt0APON=tqmQ&Vi1-V|g&{(#K+ zQ`v@jfaq^hrB@3xk7^bn{uRbwAijYaIcs!r_iF{El2A5itLNcQg~Kt{$uH4LG?)W%+QY44q}bX|OM!}Fmt z5(9KFIx3x#Hf!yqHjx9&2NY}Gg4Z5S|RxhLNtaX4G}}F)DWeR{IMJ?G{V>YeVU*%B)?kK z#`}RdQf55sT_GgBqaxT2(Yml54G76Al8hngFO8yLROj@NM2?W8V}soE`}83KjXi%A zEDR0frccC)YDC6zf?fz3j)Lz|x(sMwQtqG0lX0nCOkAJ<4?*z019U*+eJBv&>X+!t zfsk>kPsEv`=nFZELIXvPL>mP^M<&vM*ubQS#%7)SEXuu$Dmlhuu0^>98q-K+G`=>0 z(S-&L!M2##K>ZUyqboL|mwh=S$}Iv7o?^y#trpT#o)8-KTMO3a^pxo36GVfCh)b3W zK_kY6_l)))#3BvoDW%w;C(!*%XiyH+TrS4Onva+fmP^Z|hhD8jLBJ2@-vI10ehb+3MKa1*XY>UdG(Q}W5_P%A|kSQ?jizg7C6C1>Zw|fZk^6E~4?t`NwaFjSsOlD{_5#k2vGW52s6u>nTqF4NQt?u!zit zw`_fAM7f9tKSB%Ej3D*w>oZ35}obMdN#uH;5oe2U_Ahnqt5RM#7%=;Cv(SL&WXjTeD z#Kx*mPGEGQL0mjfxzUe!hrMNzA0p#MQj6;OL<2BGzOJpS>UN2Nk9bp!BdSJbo3?j_ zs$&e4o~Om=k8_K*sa@v-V&J&Uv9Polpf@PPH;jF_sF!!54>0Xc@^W`tFDHK z?^`VN!RCO5z*_w-;c~`6a%4c&eCc~JF%a9{k5ircXrDWuJu%Sp&J7~(7ZUtXD97I3 z%&2?}a30s4oJYQJIZ$PM;hM)3d4Tm0NbE?6f15r`)$rLAI-byJ zKDXq&Z;YT9u(=$2%DZ_W(Q|ixSY>}E6(5d-qtM<{^(Y#U8~#K({0F=61n=XVz#6Vu z5j>9vmjz8nZu)@9gT|hJrVmk5L(1RZEB5Cm{)#~ZlVV(u?eLH}CGB29!%=fNbWjfY z@5$W+G~ULCNbE?6AJS%_wJubVVLe98Z# z4^b?yV{U`rsWS0h%{Yp-#H;6K@E3yw-oqBFR_zTMc#D(DfF7HhbKcZA+khqxP6>#NQ++xTFDA~&L3rK_nPNUAsg#a5 zSOOBRxr7Aq0unCA9@%$f8;OaxhxfLFoFh^CVoeaW!&Xed^thNOTVN~_3D?%wJ0c3m zK_D@mjw~kj5D=ow@|`2GH8BsqYSXX`?L0s6~(Ta`b110p844jHHV{3z)iG?d@8_w^2t zigNe~67LMFZr=_Z8429a#No?@(yP_V#SZ9`D)& zk9lU4bD2Nt-5!sj9nesOXkG|I@&0}>!ZHWG%xskk{aie zLtNmIPAkLlu1)Y5XQG_TeBS5T5pmHo*LYoIQ`jL2kZ0rN^+w`%`{n#q(N5_%y zeBovC9#8&A$FwPghalmxK`$sFfxKr=^q_+rgZv+VSRW$Lc>bmO5E-ZXMEpne!Gph1 zXy~^Ep2l}a8T~#%=0r5c#q;r@FJFK_l*3Pu_=R_IWJrARTO}kiPW4H3zKud66$ z!)u?roaLqFNb1*e$GETa-<55cmpswFJ>SIRQ9R1iW6&`*rH@SG1X-pK9EkrreN3iH z!_h!IB2w}^scn7q$3-%3y*%Y#{ZJB5c$y#E9A2B?flicjnVq~|A|h983vY_yekKk- zLsbrZProsuqQcSeWA>2q`))5?l#ABpJd7F#&;u&n?Ii-p=V__&|>9zIiOc|I=9$ zq=$T5xIgtebd|$3Dtk0Zjzpr-Lp(pU_o#|J!;e!H`iPkmUdO%2zY?390?fk`#tvvP zJ;di01AEBVtC}xNl^GiG`Bv*8!=N9CNviaL8v*#l4(A*)@!zFwtYebbdeYPQr5F!L^+rF z<{y_r(TM5pC#cHpsm#b+(TEF*L=-r7a(>+VwE=PQQWGjgAb8Nm$7iW5e4S9`U1Hm0i1dlxNPtwSgJ*ips2#_(EbMiRTZG zjmYae9*{vlQJ#L58W}ZsLi^I@oI~*11g|vP8o1O7c$S(*#>HQN+15gTn2rYVSnxf( zA=DQWp*#%)(bn{gmC^5Q7=N#)Hcv@s;6m-JbAj7`-qP zhaXa0{LOU!Wa7f>^8J9gn3nAS7vjS6#ZqSB1LNXT6&HVdk#T{C?Oxzsm-YkVVvo%E z{16whjr-z)oZ{8SGGED8^F_=#(G9s=-V+yWmXt@v#k{!%YL*iT^ku><$j1@)k{Bsv zPGq8-m!BojL?E#)EWdjly2RlYmF4#X#um7Kfof22ipTIAA>X^)fFAO@lKo}bhIx(z z#TPT8(yP!>>LKXOM0NakitkS6gW{sPPj&CxdsI0Bai6NtM?|A=pNdJC=4dQs9B~8( zuKa$o^2G{!NcB}h;|mqC07$#y5xs*Pz*y1OOQM{_6TOR{Dix)CK{pclwY+!mSNO^= zae;?8(T4;`MLE0#wReS|xcG+f6BoFjiNoL0i28q~^N~H|Y0b3$rOf$7*@k&|;v=c= z;Vsv?RtOHNdxd()R0^4qXmrlBq@s~UIq#zZBkJFjIa^yj#8ob4M12?Iq^Lcj;(jI$ zH>t}1Cb6*vjw7BiU02unsLV;(j#Zplv426fVIDC+)I**H;n^ghpGSG0ftT*g(3=VI z|0pD$Wb$d z4d^8kGUu;_UIH3qO|mC(LTt1No{uvw=Q1yTs~yoxda~!QEi!we+|lr3tFY$#^@vnE zF1$?G|Co+hNbtDu-Vw8B5Ko67(axxp_g><&Ezs!sA26S*xHuq@0Xd@)7jBMw?B}EQ zeQ}Y?ex1x=k%?2h1P$8UsT3E)LzF{4p+piAfkgaj0%&F8@D(cmTf>lOjH;-J?H!N( znxho2#kgqCJM;I;Kcw>4WgF(<$x^bX_b87GOpEz~^GsC7>w`}+dpaUsXG8;DNA|=d zOmj4rl06;CfwS<>UU{?dHwumI9lZq4=n17>;;T6>2iVZNFWH`6^3|`>hsZe9r-RRe z;(&ZH@2=h3JobW0dEsC{zMv$gLEcN;JN6|cn0G`uFaMG`jx1mN!N(;a5)xjAE^+va z8b?kdH+r^JArTR96nu{+zs_-+`g=$+?q8_PH63x{NW_c?ubm~kc$8=C;o88LY`3b1 z{M{5XBWK|i8q!`-pXZfOIOvay)Lw6qIe#Pc5Fbs^0xEddCP%~h5xw#Jt5WokJr)*S zaq;P@QecQiBC)7(#7*;kUtHvIgl`i3$5vcW+~*m1{e))W?-fwrOkJbVATFxa97@EP z=;|eYJzi_0fgY#44vtAgcL91#B6Je0^=X5`T=M(W?RwI=&gHJ z+V}U8RO(GK=hdN?FshOjJv)}rAdf^jlp#BC4dZ8(Jy|pufJA36aTI)yrZ!6PT01V{ z{37>~3sS+$Qyv%IwlOZ+F@VQy9_2xXYo*y%N*uZ_Li~SHT&%FC0(;fIyjX{cc;aYq zzL@M;dMbeXnK*ozsNP1P!{r@;d|rufA+;io@0Ey%=z*V7ar*-UQG6U7YRl*V(!TsuLGZvbjF4I-A2QE zj_+NrFJI(Q^?_tBcf^GwLT^}T_AJGPo25N*@iPK!VD@|>bI&-($fjWurm^8Ukq#}U zmpFnx_e4)z(P{OPSF4x&AF6(#y~Nwx_f#{42K16inX^0e5>Ox`vMnZi4qO4gr0n^> zCKnc#JwX6!@15re`92BjV?ZzYQHlNgj$X2uxbW;*nq#!$;;R%F|7wwO;hwvvL-qsW z;%~{EH-xxwwZueU_H;SoC(cQJ@?Bzx$ezEKj%>tsdF{PZA~K+dJcap1WgMnKq%C|5t$~Mfy6CVd05qRx;(k>q57x=d8o7Dm{!+J<#rWHMnNXUX->Whm!)B2xf z4*xYAJ$Om>Bl;J!exYJx1Am<6#}ya$uX~O{mivOavB-ni)# z@i*$jlJ;;kDC`LMJ}DgV(U&iB@d-81TS8nc<&HfeXZ|H5Qt;%s$beHThs-&rlL^C- zaPNIjagLoL-+8`o$3@H+X3-cwAN*so4fF7X_9ptZO9YQzGm1xfdJOUdpBDY9syUjf zS{NFtA(5lt`!?UtecwjI`L<6UV-lV~ctTu6g4ZT^x%sP0=m1IR|9zj7is&UjKAjFL zbWI|G|2FCPr|En+8i;%Q`#!52xf{?+zE#G4edr~4L@fALLW5D)W!OW={(i_8pH$8l zfTtg-5=bis2|S2#0TN_I-F|9s$jCV=P5JO5#UNO*2&?_F=-zCUZGl3Qih`5Y9E1m3aHL*TUu zp3Ar#DnOBI&ufD~gJb|1r}{+vSM{-&9p#5X>HJ-D+KHZp3)B@@@x5KQjG*&LLgE?g6s4kA4kyPar9~(L!iMk ze8@P}C*u6aoPV4y^`oKCdRjk-_z$WEs?oqpv3v7{-^02beu7;7o@GMfIWmHXYk@$5 z?ei0_ABiLqeh@L8>%)7BpO4xP=pm@UEC^2=g~Z4_KlVLxaqNiv1^hfcVKcUJ=M`i> zk9xc<^$@N_InY3M`aVb2JNEE{E6H8sibvFb|`6?e`yC?8}&3qxZNRDmH0DN9nuD$YyeJyY*QyXy8ExBYCpe9)b=> z@6jXknMuQ{=ZdkxqR}TM$%UcOjth?$tF-(%AU4|TSY%GT@~l|uCB#LPi)cLlL^BCA zp8JC$8fz68pP3|wqk(uE4XbSQ=YVnKchq^gJwh3t`#6H9edCB{PM7oYW5+nM?Ah}_ z2>~zu-|L>IhR-T{^5kzR*>eF%d@+cv+?h8$gU#Ez)I*jbCjPVf&O(s@yVyfGA3_6q z2Wn#G^xlD|TpNXi%em~aV;ouUIQE@&p71(!iNp8lS;+s0+~}BSJX23a@`oeR#cN+1 zkToAl&v(f-%)@JtfP7y;-fI2jq-JE+eB#g4 z&8o3AGUuooms3Y0jtwO=+GB{9w+VwGLCiq8D&K(wn_U`-#JsGDo_4##>!U^X5Ii7X zKqVxQiE=J`>=;M$dx&wV@L@JWTG40FjRZ zB;3;y5{{J1;S{p(;XuUf>P2mkajH*`iN&m8q2wvXghxKrmXPqdD6+^w$R0b!(V*S| zh$>-eN{Hk=A^2Brb@t|?4Ph#Rt8guR^j>QBo(=rt~rmgia zO+K)Y{~$i4@|J&eFrT=@|DpPZ)Mw|1W9wgW=ONS$U4B~hWD!x{`X{3GxA++De}nyM7|TKZq|nUz}NkLS}M+`^2?hCO6Uy8 z1DJFGS44Qi3<^()mxbJ4pu*=2U-rj35s?Re_T%*-GEVi0I6rC$b;U*{=m+_3%VVOw zcQN)$Km(I97zqv97ZgAPR7$boXt~@X(2(|_Kao)_9=B2Ob5L+v0vebU(HNJ`rxY7h z{kh4771LANXl#;safVci4SGeCTSRQ!Q{4mqY0J}!4NQu$!QHq=Kl>6Iu{R+X(J1}E zrNo>Nry>4IVyxKM@cU42_YxXhkMXfcG#FJ^P!^?uVr)ERG=5RluV6Ho62-f!zSxLz zLt?{GR}~z7fws@mww!2mjWMYTeGHgyV3J#j=Zq=j1nsK%1ILk&BUePZh{p8$Oq%(| zp1-OO5uEg4mk?*hZI>37H_+gtXrK4UMH+wxCbiLU6@Bv!a)uLf4K(tFga-bKV0k_H zkbmQ`&_}fHeS4p4Oei^0&hz~MG%(4nq|I5eiEUo$DT|2>H%oh}1SBnCi535F$Jqf%{RQawC5WO zK*Ln5*w}cAXt0K{!g>lO#h&sM(V&;m;r_Cxc;2vv_{*L$Huil=O%ux)8$P!9J}2yI zH(*S`q}Ws9(_|kbDt-BYal^+HGAMHBaQS!eEAArZ8*hK9K17_S4-V`_27Y4v$I{vG z*hu2}qi-~ga()aNJ*$9-{icS=f2@sTy!172&Y{u>%jjwYg2}n;z*RuNV=!Mx&Oq7` z@IAaCGynI}RE{X&@3d&|910m3u}tB_x)icL4TcknnhT0!Xxb2VTgZ3uk zN8PbUIm`sL5BxSqHj2KmK}tl%sXmDZk~GCvSnuc>J3J$nVgjUa#pQ4c+2=VB(L1=? zgN##sdhb~1J6R}rioL_!kN^Q&K_50P=IPaiv0;Cv#+guk~53Vlcr6E4FZ zKS6QQsDAeVirVOGO5EepU%K*6Fja*Ib`7P%&`p;S9noW#I-<S*}+sQn_5h+$SjVu|4h`2Q%G zKR+hsdDZHej=~e}n4}(%V79!NwTO2dGe<~KZ~snxh>TNxf&$ymrAsRo3A_ghU!y9; z1Ts-hrzu6{r{8vu(ce2hfif%i9>WLj#SU9z$C7^uiJtF!5EBc1dlkj8XFTEF;cpsw zoA2$^R4#p185$yYrh;n$9WRG!Vle!Tx!B1PR z;NIbRVpL4Tc!)8PCn%ODo|mu5T|*~-dQ1%b(Xr~?Zi+v}-T@M^t)E~_@CA$&91~A5 zV_LYZ*gO&69H9r4Vxn}*Gj@z1o}VYY2iaAdP=NYtcfR$FZ+he8o8S7TuXxMXy#6&W zzTvHJdhxZNnlF6qo8R=cy29l*zvWG@<8}Ds^sNSUX-2?ao{yp>$viC%q9w_Bm8Y3R zC|KQP{P~l75-5G%yxdtro|$~a+2(MOU#|1}rL);1xDrVMDVDxXI++vK z*C@vW125q^zfVOdRg+6N$91kzX~_9z%eYRxgzNmH!8$*&Qzx^xw$6uxb*}5w*=6U4 z2J3uTr_R$dvTf(@4c57~Qzv&bZJobASm#SRb&|8%I{$F6&hB>a(mA}{pYOEuhKAm@ zoqsae&L8a5d4zr>9T4NoCu^(n_vGuoyeEAl{>|FlBpr(ANOx3~bJ^1^Nb=3@;S#!# z2rICWlcpp(*}MdM-?HuEKrUft_29wze4SfVc&?S`84j8QZJ*BOWC$DAY4Eu9606^B z0af= zNc{c?;h#`sG3~H(Tz0w@u5&)}NZwUhzbSF#|5GRO3_K57$WKW_9?5kCd98NVjAS)k z%gzhG>;DM2_WhQMHg#g>K9zOdZYTfge12;p9VM~E+n!@1q`D*>3zsvgF3I6Ko4pOq zrP_z<{PQRI(SlO*293;8YE6&A(XsSwCFxI1a*uqf>Jr?b`LUHi@~FN<@-XC2u6&C& zCOt-UdnDH=FSR8>u-W!V-ceR$oP$6|6+dR}{>_QwA8p)Xp8w~Pb~&s4xK8i2#<;gj zD&IF`;Xa-7v(1?Yj~^e(V=>6EZPV7gk;9{NN`G^qc2)fN=e1=gB?0x-K7Z_3s|JZW zDUH77$h9z?Ktdb##B;rlw>Mji;aeE9xt z66Xh9nv#=3>0k~V*LhevKcg1Y5jsyR>h1+e1in}L{68skZjx6Nb-Oa#y*Q+6_T8r> zmL(6#_toK+4?Eu}JMYi4b54V9oG+o}?XuJLo7O($?VWLxGdu6f&bfdRrTGW0&G^Lk z{ePm-u!a0*s`h4tP@Wl!TR}{MV>MBJ%^O~P>uX+|oO}z@w~ zC0X~YiMX=vFD~eo+OZ#S^K@*4-g5$Sy;?P6;II5f=`s zHYuZ>;Y1X5Ds_h*g5+$g-6?_2`E4{V?!IvU>5|P+2c0Knr`PIxbT;!8lIU~^-y_*1 zBu@*aRe2nxiz4-M8JW9lE`W~pp>{B&j^B}ZbGCsbdULHQlcDpSnW4i>NF5SxC(W?; zB0yexn-n&Mk=!Jk4!7=U_lcL4M_s9yTXv9(d6;Jl?9lra+y$b zQ?tKlDx(vos(-g6xmDgDCLBn8hD@CwqE|XX^uEN0h3H8)NBWJhlb?TBV^`kGju8F( zogsQ!fww)EbXC~eBy{djTpkS5T%=e*Y)YeJp8#}S9?X9{*rTIfv@(I(Ps)RQq4Mar z6^xZoI%pTgHkp{Dv=XdacMZ^Su_Nl%Gt}PhA14=8AfUvR?XrHCL6PE!j`EF!-aN@$;@r%!>u?m~QS87z56mLCqj|}eG z@pM(R{d|w^%A;Ma2-%_tneWlwjtKcBDV;A#ExFdJcf9Ck=$a8yN7ZUq?Oyx6o*Dj4 zXJ)vo%Kd~THTVJN-T&8Vu!&b=M&eqIVIp>%-cE`40ej=G&pis##Tv!gYCeQ;}T z@3ixbaNE*q&6&>D+#lw3o^3V^M(G9|lj)N0LNo7bA)xlU2%m9Zz< zK6jp-NY3cWY=+s9e}$0u(h?DIc0rTZS#6wCl1TndA>Wkb%6YM_gxeVs&-)1^kq$Fa z?sf;BpQQ^3yPVa4-dt1FH}_>mEs4|xmCYC4%bq#yk96X>zDC}47e=l*DW1)1YmU+{ zN@vpm&dvnnJwm=%7X;}5kG`y_Q2LTbHAkG6Jt zG}(dtFLWY5Av@Q$kmsF|rdrO91nV972ZNFSoTftQ1EsUVZFQarV8n0e{9nvNo}wp0 z%zN$IbU{W1|`_~rfNc9x1Qe2=J?b}rQzE#qCk~?IlKXCDW^iwKrsmkRODj|~5Es!3+QFsWvpchGyKiiu56Lol3 z6FOFPtX+JSE@%=HbRya1`)6~ZK}cOw*+;f=QuWQhtG?NM1qaDXiaJ-pUIibs04qmB z?MO0jAJ-o866N@0JqU`D#_>;dvbjXuV};9^)IQJB-SW@-pI2`uboG7O|{g%S*S;y)XpPM_GmLg@~rYaUO`@>8_%{! zlt6O6&i(b+(a=eWnyCGvH5* zceXpV`@JQS&?aq73-FyS>eT7jui}n~VZ4keISs{r|&8)yS zC8e(NC`#5+9HDcEwpAT%3yBK?v84|Yl4+W%$LM&*||=f!$Fe6 zCYErplU0(uK40OAmL79e>wj2$ldCMs%p{IddfvSxyNiKAIL{5r2%aWUQsl8f=M@_xdzDZ+w)QNq} zG$HgGxrCpir`+!C_@p!?nzb)o=X~TBrn5cDEQg)9<)>T0p6%88nD6`cFaz|cyD67c zAP{YIRBhopJ?ehC(LNMFlCIvCY(7}-lWey6@<@_>(Nt5(&L>fOS?B8T6a(A7K$|0H zwqoZh&1wB9up|E?;^*nO2`1#}Gvh6tUnHKoSMVR5_qCe6y(QUg=S@k*r!7?1EqPgz z2L|apFUjLA$!12R7VJDJ$@nZ1KD9#}8zDI($-^z3O(|rNJR?a*+41~7@te{}UX?zV zWRC*VFgD8Uu%6uag7@Y;t<@(NJ9c0b1wBYfYb&9C^LZ!l(&yz?v0#gp<_ zd{0-s1ba4q%aBqvrP{@cl3Z;{!9nt}sv9Ob*`br)ibGRPuFE;pTK0%pzn5Uoc1Ryh zTd{L`u$`yFSnRr+`lK%TenI=w`BIehW8_Toj#7hjeIGrmB{#{~Jy|45&L3qi6z+EC zk20&&U0s*&yFJ>j?&=c0@0J{w$u8mhZb{~!F5&z6l6z$KPHiADp|)aAmdW2(e0}-> zk=MTX<`>`k`icCa+UqA8zbwLwYN6$6bhbz_Z9Qi}f(ThIQWVSlwkFt7?6Lhzol#-~ zwSAO`O=LTH*^|Qxkh*yRqzy_XR(Jhgv0O{mRMhsDVunBk?_V512FBY*A0XTE1g6lY zo162Sx&zjpl5y!W*YY|uS6DLnbZvUs*Yc{q)wt57Wl$(2)vldTp4tox`+U&Dy-;LYwZ&+OD(m zBP!VD!_B1b{t+u^bFsVq+6ci7(ljF8d_pqUuZ`$nRbqs;tYmCmzAZ0!%ClM4BSvWR z%l@6$f;PVN#F~YR7jR>t8`{}#8&9xV*BGIV+bd{qzc#+6$lAvUZQRyDhx)a#s>S+< z2^S+|+^^?-0k_N279|U~?NU0ws(i@9U&L7sz|1P8zvc~Ze9c#Or*cJ1d|*sg_0)Kf z6A=N(_oga!Y39ANTOYCi5p9{GKG(}b{Jd5!9a zwGM{}1bCPN<@*f84rDxTXRTnOZ?UFgK^vta;i|h3v_%n)NgDa9KQ>4Bnzu~6<}2R% zhBv-gK)LyC=H%OX>9{D1E`}hbx3pk6l8wHV_YoFM5#jIAeECpDFTxW1-KOxlAoNaA zMufj!Y4CSEU6g3v<1a3>0y$r;b_5A6UUiJr&w6K0zmx2)S`)LT^x-)k)r2z1sMeak zeO0>Cos-5@^%@5nFSHg?xNUAs@#^m|zkcG2x&!;0ROXSkOsMr?&3PDERQtugmR1K}m*NC7FF_PA}@Vt{}*K{lw z3-|da#pbJi*R+S|^sJt@qWg5V?MmL`6pJ~nKx6d1sqF%Wim)vos`6AlryJZ5WJE-F zTCx{EME9v&*{&QG^yE*|Rt1>#=GVNTnB|&3Bv6?m9Tm|R(l`F=R0Z${bKTP!J{&eo z@T2m?d%LTPZ5BM)tm`L2=I?1U*Tg=yS>_YVhqYaoeSY^)XtS*nw7VY}yRZB6Zm2Egi*TqRxE8PRM+0o65G)vdMb|6~-AUahLr-ss5 zYI*=Ur&yZG+yWrL3;Bx^u}$qt(&qh;jt0BXrpt@laaDF$fpjXnuyovbh39y7tAN{F zzU)6}P{56}3h~`?_Ju(5G=~VZv_RUG(f8I^Iw0h)?PglahB|Er(yomD&736*fLv3_ zRRY7c6#((exy~g5GN<+=E4kLE0ti1D0r`(P6ab;u@6ot#!o>(V;@9(jX%WJ+9in4L z*0yv&NHwml&}GhNK-`l8AU-qk=q;og9^OVOq#7S5U36)<9T9GLx-+X=I&P$C*H*yo z<}lnYwE!vL#{7p$_hlCfxb1ep@_uQ!@!aQ9h>)e@HXzT@(O)dHPHARFQ>jk0%MoN+?D8QxZB+&$k%Bt*T1+FJq>reG}2x*R<1LJ zWy`D9X_D+|tZ@mP&FTs+Oz1LS2=HIZi!S7nRJuvF9h7bUPCl*R#sp8d=HR*|{3sn; zA$ZEANIfnv!uZ6t;KFu^@XO~%vq9L#GGS{F_W;tBMA5d)a*y(9+7)cuDG%2R6kmWX z*tRXJ@<1xUE9(Z7@N?e=r1BrsC4ur>B!BfACx42U9lm+hP^K_FurOO=5Dhttj zPoAy~wH7>e7hJO7={;458#_rJqJpR3cI`p!?b6zmyEa~qxT8Il7CZ(0Da5c{RPc0t zYno8-bgL@cs_0mw{s0v`y}PW@dtWPgJCr{*5B`P%5e!E(I78*vN+m-cx$iIeEHQ z-^KRfx6oK8WZMpbaWZ?1Z9a&H35Jh++p;UswlKlipwirHO=WF9h==(bw%wMNBeGA2 ziQG0n*B%T!zs+QAu@!=Cj+?_=XoZQa#Vf&6GzLnQ$}X@wkBcI93tV#ufFu>+1Mgc8 zZK)Iwr-E3$m{2k4E{`&14h-f8Xpu!56Iz~%ugS<10GX5+Kd9|L6^*A9hcdiWdS2Tj zY1;!|L}U3Qx8NyHD`wOLzV;9si((>_qmeZ2)0Kj4h~1I49sT)jT4E<8c07y4u+$~U zJ+d?V^so#(DrClWO&hL29+m-Ov>lWPmuK=b@q(w(;rl<*tYg5*8DOVb8 z3q8wwJHHJ?iD+BUChODX0~wHLTh@k`G!zN{UamZL=gdNZM~2@~7J{7LkfW4;*A?4X zh=GD_bmI$pv^1Fmi4I5>pl1b7$94KZVw-sZOXS(I6>oO2{;acQvV{w{zzZL@RiXbD z3NJe_H)*}aK_!uRi{qQLd4|#<+Q2RnOFY>dXCpEpXm_Y*dEx@Mj+ZC6cV-^4v7_m; zIx4**bEnkoXoOioBQm0c{kCBXbl7%%x3NeZ3eR?1jg{I|HeHg)(F|{gZM5nAT$%4M zLT#744$(_vA>#i(umH$TSCjXJN@rEWoQB=a7%Ga56?zufU6bg}>>ico5|n~);ea+4 zOwR`~u0g>CyyDj1#R|6VP^q;Ny^zXV)9bYAePUz7jJ7GU&u6iMw$rNck!)Mj?^5Gjm5dQX>h?8zPI#e@*7!8Hmz$g0yo}kzQ`b+<$$-~=}qysWU(GknftCqwW!dh zcs8d|<}7MN1y8rj`?Y!p)?d*s#2YuBSL6o2O%D}pqfPHhbaxVJ+Ll?g&mM_f(zZAA zqHRI!U_SP#RKYfIATb=u;sx9GN#voTV(_ z`vmU|h2?=9WQ8%yZz~)(hLeHsG`1cW=I~E;_(wfvIVbh3bpoVf=%606yhkLl9A=dy z$)XZ4E+Tt@R+|F?7(Qm1RMNIVm6B?%WJ3#0k49E$ePUZ^dPcw_65F3^C^Y>IRXU^X zOcpCN{f&J2N(KfOI$rq8Qq_(&W$>&RmbmuGLxRY)GQnuI4yU&OL%nKszAY;>*3qDy z!@9Am3uqhISS;YOkn8`xq0m?dByvKD3z#8Vq2&S<0`E;V54CE>-GHPlPP+PCRRDIi9%QPqPR+ z0KwBp*!4jf5_o9ib#Z9F?HVaMvk*HiShon33mt<|NHw=|k?o2_4r5=)LmRe{cPFGR zOP7X^ExLX{+aYij#a0LdB>+IP`ThAqKiz0j)n~LVGqG)>Y@fx#C}Nft)Hi^|{| z)b#%U>S%f&0RteE=HJ>qpc9A+O@B_8R_g~1b|<0col)q3*mgv2+|FW!o^?o<>0Rdt zJ`uL5{i63=AzRLhPvua-HcvHM`or>$v@tsO+)-jaD`xA3+ksSNF)V%k$b-Gm>-{Hu zR|VTT78Ca9@-nG%mJ_*Wx%lG4lJ?sn zcz0@x@cxj_Lsp{c$FqmGb%}}#@Ny|qVe-Rm*fz;s&9WWYl1fSTG`(vq0D_o{u&)8B zxM&;LPbVaLSDXKH91_?0?6nRdw5=Cwo07eMaih?)xZOFf2HTRmbL?56*G~!V8|uzo z>mi{#lS7gP`J<5V89G>ybZrIOc0^S=F4)F6%9u5sSIlCs54MfBv=wYSCvEqn@?;LE zf^FL+#xyU6rACr=SZ>Q&9_SMFFD)z|O51gL>NAK!Sb7fedzp@~B-VCm+a|mG7nQLM z?0l%xA%S#MA~(Vy9^utx2(jZ5n~`mk+2aDdp4U=2eH7rmDNUQCad(z0jP<)z8UK;+ z5s6KNZMfFTZzmPt2Nb>h#y5m*cngIf=WchMBKV?R=^N|0`8=CFeJV-y#Ihw-@L47E zS)h;bb|h7AOHvM0fcI3k?XpRg;GGa&SBa1;)-z^d+li+M@401#cUE3*lnb7~h~5r( zeGPhR2BeT2rm}6T>1HBYA!8Gx_i7js5sMu#q(Romw`xMW2ctwC9guovW&Q>Y9~Y94 z&3pOwZm5JnVz@o5ZBG_MsoR!WXrpIA%QHIPkTyo=Q%Ps%y$qGIo{GfAd;?WTgG|WQ zD~I^M{Q@^YX{Ev2YE%A?gJ<*(+yLahz~Clo8`nj&sZNt2G1Umiv-zeIvDl`eu^tQ? zPiX-(I2CN8P1m`M_R+S?2Dj7N4(D@r(YDM+Oprakqiua2c4NE+v5ooIcLm$d2wq!8x(+L&KX_OB9Llh{7>djJ1!g~pPi1Z%FKD>)G4rzOWf?cJB< z3XMeu-zl+Md2}u`7B4&>QeQX}M(4sU&mr}P>0D`H_~1^Qi5XJ^=&6j(H*>17D&q`4 zTVZn~u!}@ztOV>r${~W6$AT&p-s8^NQrAz7Atf>u5J>% z`?ZG(ZF(l#yEU)o^|UEkj;mt!*w)deG}0q^dlVT_p-s7srJqja%9qxrcXML_D^z#q zsx1Ixjo{rE@-Hrc9pggC4RX8P+_h+pD(lIe(T!18syle1oua zG2$&F?zW(SISBtpayG!*Ax2vQuNN)!1;07DndJ%{kU7$JZQJ_CQ~!FeKQk)i-fi-7 zAAr!EL)C%j=l@6IyDfeE>-e1kydcty_#?zw#w~pM)+c~Rc+o@b>AuP$gTO_tR zSH85cB==IOYpcVuYFV0oSGLJ_OY8M4nec-tu8nx}@ib)eo3d*ivxjU7jg6N%dCNvN?+t8Y^F|cC><~OqH!V#RifoJyZwRRP+rRA+Xu zGGY4L<_ZyW$^paF30w7C^-ktIk#0Vg$ zhBy0W-JOjqG?vdPH)SybsiU#-)oMqV>6pK575aNssoU0*YSv23)zy8$IVo}7ug>gX zY4C0WUMbq2wHD@Yt7ur!y=-40)$osX>8JO?n+b)1@{E=y2F%}x-Un9q5^p?7H5-Dq z8s6MFY+TrqEA!pb;9Vy*#M`Vw69Tu6`5W=IRoHDN$V8l1RwYhq`YY1JikSyeVUEN* zQmjY2SnLa?ECn-_M8Y=p@GAavN~>=akExXZ5@*4-$*4-l1=}{X#0s`ORHbto*A8Uy zLO(s8VRfa2uw*F=k@1jwJK*JOT)f>hmxH~Kdk?DU8sRkJ7JFP3uGP4(C0Dxm+)Gdp zmX9=z(Jm3TS+I}8ng1%YF9{u z%uty*xNU_r$l4pKa#sjbf~o>8t(MqPY1^H-LHJ?j5X)U;LO-;{u8ozNs zFhK!chTTcE=>faacjHN~Ka?w9eAAQ_FDy|=-p1uqKP~h z6`KB(?BYTE@o;n3(ezVtiwHSxN=r>YuFKqw-^pT2Yx=CD+SOxL#lp#(Ey(MpuPz|w zJ<7{6I8_41L}W_wcBYkTI%Zs#ZLo?SO)OyDHhtiKu62+a--Yg)iI#a$9j$VwZAFiw|;~V|9Q@qfhhj)WE15 z!D?_jB(YN&kbwE{>-s`1w`={i(X0A!by!X@sQLKh{G11HPc&$KjjdbOXo4Zx2be}Hy zKjZ-3syZu+3!p!vS&jcTOd)X*;5*c=vw2q2leqRP)f}-3N^>Ny?7rSaL9#H)(Db|w zvnwR7j{EvOa%);m@0Io>u3d8DYPRjEH2ruhOqSO4ht;MmmTt_^TWER`!+l}LA~-~w zw(KBN5)r&vdN`*|w5ftBuyQFMW$tIOLLjYH(HmMq^xvT^1kwX(g&t&Wg+RI>Z4-=H zCY@Z6X>Hq3a$4-!ELPBVT_X3T(IcdgqNg)R4niR;w+Y&Z+V1x+H%%xkuM7*zQ?k!( zdn#c$(F%v9h2?F5F{?n@3H+iMmaW^^+sZfo^DHrt^3)x(K!04D-^&yNX^mvJOU&PT zEj0aJbr)V<*q{z$WlvOY4mxA%3mWNC7~~6RWA=PS4Lg%P?U>iHZr$ z%}L0DT;|U6RIHmpEZUY!qUn$}7IHJIwA2qMRC*z|O~JM^64@%b6IqN(DdyU>ck^$H zza?mcABYNxudOP5IrC`+_q5dt`y}S@Mo(L1U<4XR$(K-Ph#{61|wk3XQcvZr4bVP-)oE2WYZ^=jq6+GQ!SF6v0ZS8(q zu#H>)ORDr_)>p9Yh%WPleMI8oCn+KoY~!Vr4U*&6W1(nUh+fd2%h(}?wpKucC<&FN zu>Hu0qP7s^h@O+EixoU293QGp{o6N%R=ArzJw=V8f~Q+#K5r3SF{#w_GPNt-c8fii z#R`?)83ymTDx?D*%-SL#nS~+%0K2KoD*)22v|!r}Rk~N&X0o;dAmb8Y^0PJvc_CYZ z5gl-6u#E<6WlO}aXxp8|O18<}BNFRrtQN9KUq>3m2D%JWsm-}kT)>-4tN*n0;%SJ)tP1v9WKxpn1}dX8-c_uzSRm$Js(Kho#1Ic z)Rjcoc3PU4aqZ2#f~WqVDob_stOa;_R5OQ%s@UJz#syj-kT(`Ccv#X{9WKBodMEO= zf(z4GYniwq2L`r=-?b^9r^R z;J3Bt=h_N61iRMf8#_b`w#}$g{-L&OE7-PK+GawAFW9zTwyjqubZu0s3aWFRg4?Z( zzKazqZI$ebHyVo<*pJB5$*i@IxVC5WH)nfrfl;p2W!xs;ccdCz;O35{;n`ei$E_k_ zj|9d{2&8&}$n_^c{N}`&_1d6wl31&Iq<=r!f)WvK?2cqcCo3dEJwtc#cn72ZqvD`Mt zu3#Ht_d`D|;3mi(^B9;&fhYzqo!AGha8|4{+Qu`#Q0YDu1zy)ysFX!!G~UhH3V@uG z$QEsTLZuiJh*NMw^nT_SD&?)PgR*Z#r8|{Fu1K3#0w6i2oJFM@*raVoHmCrIBq~i5 zFW5FC+erG}^aVh+%C;$qoko?YVB5G|t=h3*+uAJVyMk@FfNd^Tux*cGZHL5s$-iLR z1>rR=m9DK|8x>OV#w=H`4e$}Wki`nNF?RQ%DrPPp5*zP@z&%4I1{8b8o6ZHLO>P_GPC6AgiT`$I~Mk3o+XD zQXW?ewoOZHQV>s+Z9@~I@-|g^Hscm;3pbvdB+BAiTtf5?815*6_mISVe2BIMZ6MCi z5?;dsQEaTR#^r(JH!0CJD_D)rhosS;6a%^27Al>U$Xx-FOE%gxxNt{e*ysPyBifd= zVf9{#>>NECq^sPQ=snbkqHV@WF5J=a!=NqV7HqpLO*^#hLX9ZeW}GyWXO6_fmbiw` zs(X$EB!TvUK$@9rPFFhaW!jJR3ohJ~rfu5%F+_ABv;yyd@{(3Ix8XwY^pZsQLKutm zkk1B#3$(&*dBv24(FXDxPdiJWD0nC^E=p`7Ya@`{(_kB`jQbRpXY=$udKzrw9)=$@ z_DZ6snMXYr{CeJZG!|mK-oo97yHN1-qC|Gdg*6!gTmXUAEP6^Ta)@?`u#H**ajJG2 z^5Yw)CAL%I=W_8v2fP-va~N^Um#Fl-wC&Y)Df0@r?a<{@VOh8XHyq!OHr0fEP{B6V zRLSI?1`D>)<9XJ0!<03WLuTOuWBm<@-pckBY~v+?RjTP=E?T%V;swfkvM);|7d$LO zrK}D5um-!}!WLa(YCMy3UjbgS(P=#**-oH|I$Xe0AjS zxLU#|v;d;h$1Onkb_+CayE-gun5dMOKC(pGML+^>{^cAOjcpomn^Y_CqwVW3RJ1fe z=mQVb67K2J09h-H%qsgVL9%SJ$gr@ZjYjB87OgxqXJ&C&p&1M3YA3o%}M+@*xJn+__9fEdT-Z^#B(T7=9B5IW)V05Yx!xhaj? zvVDaJxhhZjx+VYZ6)FHiuX>~wyVKugm?K468Xydm+{-Kd)$4T};N7E;#M8Y=s2St|UfuC28BMY+dFUz@LpCcaF0r) zKoK|Nv;!t_J0b+zxOa}8J{8<Vpuf5w`#c>@CV6lO4F_8t|00 zn(5G{ABDKx5pMB*rqEB<3AY==a9c0j4)o)8@uMHNd&2GE=y>Csg_non_DK2dct37D zm-#4ZQnz<#;LdH`;mVQ@ila)-r%=#G|*D7&= z4bhWa5|K*o<5uk$9g2q}41%5%>xI{Nai_~6>OVUQsqVV$&OwhX3>hu$D8yqVg+NHL=FZQ3bq}Q?VH_x z?F+UYkX?YXKWE3-rnxj$6Z_BHa> zD=pv#UME9VinfJRvlVC+bmwSXQNgyey0m>XZX4B44@$JFO+!B=ETLRysW0%h+6B!V zOt@&?3a3Qz~MHzgq|6SvKO?m1V;e=+w`Q~rZOp}DxuQp{r&s*%rcps zUC`R5u`&%~VIx!MvfY4=)Z98~?7?tzrV@l3nIqjA&6!G&=cK@siW_1lQ`YW3Nx2$m z0bd`Mk7Vj?8hCclI5}jBG`bj+ljkPdOZcB>()d-Ksgr3Y(7#7=bEc3;gBv4@AD)9D zZ|0^^Po}Knw-bJ+3iAZr&ubD_nz^kkL(sRlOp|SyMk7mZ6zZ(`shxwWr{zbWUbo&VsYc-d3NzUA)+jWpYE3n?o;WgjSb%Uh2)uANF zN%gj*#oXb>mdy6>McmAd&uQoeH?rMUQwcIlDr7s+ej7c-I;B-1XJoWN|eO}nAna|jUT@L7FeZ^MH#haTZtx8Y&Ka2ukSq*lmD|D zBt2%t^c<24I;SQjNwAHX>^)|>)HY?^i^vAk6W&Qi`*z^n#L|n`Ti>PqoA2%<+2C`d z{^CrQdeh=n@^fx_nG>&^nU+TCU_nGo6DG}|5r)Uq63qJP zc;|}1D&V14(oqL`MJ<`&rUD!ToqtL-536eYWQ^oq7&+U1n-9A`z>U z)WWfNah&HfIyN9lqhljO$-y#gTRKn(AI6iTLxah41GQjTPA)MtK_wP;rlqqx17KPzt-@x#A6dO3fV578 znHynLSN9;qfmcx9^c+(iZLTIq;CyOkxcR)_Ezt^K{6@eJa4)u^{%>R3Ea-5lG|XD> z7hwCa57Yg>-f>rsl|%Sn3};-iOcTa-Ke-17*A{>Dz(QJp6hpE;w(u1XkhgHuwy<_9 z25Y(&BCZoR;YaQD-srW1oGn3|4N6U_!G!U7@;On;LLFy_-DwVALTJxc-tW zp=XOPrBTxhGY$R^ z<*lEGug`ty#}d*KQuoyZJiMImD=13cmwGHIBO@szCH?rmsqTG!4}X{Yn$8~n=Y6B@ zT>T%2lD+?_E{xIqZ~b4{Lipcy@WrjRTdn3c*1Pbh-FJi^J``c!s!j1pu*oW2nHFYw z_>hIguB^0oug73>IX&@aLZP$S-1om657~r8SQexAONy;C z%RXfSXV|7iCU_F9#gCd%ZDs*5*cN$g%Mg2ADa>R@bQ6=R%8A8FOKj%MIC;&x*|Q?l zQV7AT0hDAy!#B&~&qqs-fWGW^+Y0@TG@zA!@bN zZOImiI8#@Xrc#I^iAUXt{zgLy1 z>~c^l0t~PLawPn#SDxhz;LT%O&)LiZU^1#WNcI9I=yENvijP-yN= z$Bt*KBN)w;V~ts%YKp3b?K`Q>!K?nUkLg2371vjwyc(uZcO=3rg_hVi8)ZbilVfbD zLLUJRJt*@`hzQdPJ%CMVhKDKC(+;(QCc53~t3vXR5)@PkmJ6|%b;~MWrIgd=8t^Rq z=#4o{ZGVEuFt^!5KWm}Q8e%{UXl>^V2-u&P3~zGL{!q?2N$~7&8~L}0f`RI~vGsdM z(i(<<`JoD?R4G-b7FJ7OLEf-@OVXhylC)6ibi4}VD42Z^YD!7kbKDyG5n8(u6A`s; zFgAd*jv*qa+~v59;U& z+tp47(!_>ERm&8?0o+KbmZXy5A8aP6XZ$!SRnWSF5gZGi$8qe=Z-|+?-8jnCdbGEH zA^feRaR221DLu(~OWl|=C_IE%4h<})V9R;u6ZYv3QfkcPiAOtmF-lp5sPMM;cqqqv zXwdF`NiqT7q#AXMI3_((JEjr>_Go2qV5l2PJp)h6q|VUQPGRd|ZJ509UkKF3gY|c4 zwA+4kTOnkT75gvMQuU02dKB?SfjfL5Gl^#^pFQKoua(m$yM?GHuyO_rtQ*KiO%I{r zUJOvcKw5^un#u?8ta^qq*3&K<)iWp5qcg^IJhZt7&rqF6&Gfv-bCx_R)=RwY?SAu z+C_ziY71XxQ<5)zl0tknqMa}4vVZdzEvDl^KXGx=mm+hM`jN}Ibf3rA&YY?}I!d>1 zY&sxHmI&<>69i zT2sJ8BsP^X4}1L1mv}0g!m~0!LVKP36vT7TfURMx$K4Cp!gI*NJ*d7+4Dfq$lj=Iq z2(}p8o+$$79@;#uOp*@Wt3LUIy$*M90Tr0sHGb!CGZw91j?d{V1F<_%5eC zEC9-*?a%G4q>n!ssiY^_=|z-4l7mvo9kOJNP7{w!p6!4`C;c==E;9-*BC)-Ry5c=j zI#!~BhL<)(DV~Vwu0wH_-7O-Z05~Qp8*VSkec+}VFY`U>Q%v(Lkq@rPwQoOg_S_sY z4E)lk{M|1vka8=m-2x`miy%V@uwhp7u=j#;pN@7C(e=xn$BVbxe&9z1w{$?d_0*4> z-zB#jam1|3WPDS^Bz`>uOM8mN-I}pBy27xx!og+yE$CrqDxo#}DOTDdR;PXQ6q0r9 zDkk8?D7TcktN6cKJvK-AQMN3*qmC0iUDnM{P)i*V?!6cCPeN+rsUK$wVf3l3PS#u) zm1<0q?L?mJN<8TGu-VUzsLjDFlf-T<)caDE6x>7Nmazd8k>uCyG zv>e)<#7)0~_{S?9^brsr8)??+u=t>=)AuEd+cUNCg4-(zLX&~V==G4md!ehRe5Prh zlbL5H5tc9W#*q}li;XBmQnerfm#21?9A-;>S^!yBx65nW$Hn4CceU^vSV?~76JHcK zKy?U%4OxMYQu;a3KaD0Fs18%`a~sPGe@jx_$RrFLbbyCLG@cm!HLip>lw}a*(;yp+-~`GHJ(cVf}axdUYv5#V_<`h3{5} z8XSFkI-^o617xx*4CY}&S67F4) zJ>YVMC$y(Awv`{2??6`xlf+)jc`B|E4MdKGpSQKj&PAl+mx?A$E&On2l8 z60)6&RA2abagWWvjk^07%?L5gRxN;3`{qlQA9BmVBT;ZH`6@N6?cam*k8o`EhtVS~ zm?dc*Nq5%NdJqiNq_#tqzET29;X%6@{ z^bk|>6UXxTcl8%gOZ=ZyVo1l^!h>6Cw;yueaSM=ihE*VO_jp3^WE#X4$7-my(so$l z1!Hh>xc?jccu&fwnwfVY)vG*>Te&-}gkeFz*|6Z%vC&ilc7v!K@@DYk`gp{R+vzN^ zEU{Azu7;dXt3ADy{Jdt?))llH@pBwV?`m9k(GatDwYy*UU_ycdY6y_q(9}FM>0P~( zgi}Bf9mm$<6)fwT9M`%vb8)Wu2D7&FYnuv|M?C?#xDaU%Kh0dx8Cz+>^7o+tS>zAz zY9GIV+=@_4^H4xrR$bHg|FE;bZ${Uev)#rOEF1sBZhJGo)@-joV>|jE_F(I8cfa|; zT94d{|G{4P?c--B`S3rH&aqwXZR?tR)~4(J6X_h=*S4{#nl<%5k^yZ>j*|F?GkzuT(lyp(2b zTl{v1Q#L-bx>#TZ4~9K6UT_PS)N~r5G{HV z3DYql7y4Zs;LpChcq;=+HBrfU)`tUev7|CJ6$+?Mdb|{NN)G~NtdQ(sB2E__6=M^? z@2mtsEu}{qY%It0u*@bj?;y>tG6<3BfAc2VFiLk4wx>4(nW`yoDD1T0J#rI(7y7xg zLd$hy2tURWSCJ*W=1w(GWX7CjgB^_gkI@z^BN zj`DeeklTjsBsO)WoLEUtf8I1|P4@b%En7NHWvKOfGgGCN(eXa`*a={>{!_tbZf82a z{c(65P^h&>sw93Xz^_WH;djuo@0*ujr_3t@)lI_cpNbYOUOTyJout~tJkXwMzyotM-PCF9|$9_p;XE)r*{pDhZ88BHr0^fO~WNGr!VEOq6LvFkQ z)zxak+RMab7;omu5@jXfkgQESkE--+dS5RF233A~n^D9W_4!8jl@?oncxCFojMajp zaNmo(Vb2HGi$R=cFE_IhOC}s?3zA`j-)iUbXRh#pI<8yCmVYZYyyZaGQ-7QpxM zlQHqG!mM;7XN%knSE+RAW|M^@P;R(qb`iPXMyR-JJ|E+Y_-#*XqFqoO~r=OK1C_oSHcyVN^zuv-2}{ zM3~82;R^P&;z7R|Bf-0<#~Bp9MOIU23R^9`?5GKF%_&yX=>ir{1scg)d5bZTBi>-2QsvOnW7bK736Mx#4m>m2!)?JGUL^umFZSSRfB+k zYYd&23?y{XzkfAb%ZiS&(=aS#82*iFa?Rf6*4MCFMgGFUH{-p}_?7c$)0Y!J_olcA z8CVpk`ifS5(YsD#GrMMKkxG4Ti+(5A8rVXsXxDxAs}Z9fU|Ew|O|xw-jwo7n(tGh? zMbT(L8o%v-78KIhSeu=(XCojimh2dyFV3{bCdu{VFW6N0XZ4{~s>t%ItyBKRpU&Ea z9&S&rd?jAHZ_+)f8$BPz8Goc4Uxyg~-tR0dz+^q(Mbo(YEY zy{sq->lII9I`N|LF#aLKHB8>$5m=)l5Bl<31XfK$e+d?nzK=@~b_@^cQ7+tx zlS@P>{G~;8g6T{U`0IB&d3uIt2@IJQ($9iL`4*|aCFzqRSHDD>5H#@jvrG z2D^s}f(iFWvS8jlu)BZi=;0Q0oU}Ha%~V;3lg^DcYWmiX39MX{*~dtijoyXf-g)b# zHrh{SITmVuvgoqv_bhs79^n)Ew2{uZjMhg79F>x0LW37W$419(L1CD*2S;+_b~NFh z(8n+9i^x2U&vmbm`yaRrUVH|YO8sKxta%ceon|hE(&?`k5UyC@I2N!7U+ACa+dZD& z=xRK^>ofx$EP8Nt34FgcIA44u^3bR$&E48Nwx^J`a#a;GtoC~iGwPfA{P?iIcs=%+ z>nK$cY5gq^BR>i2EwQXmw-O%gJ+Fmn{VhCtZdAOBt{!3ix zNxh-qy;Y^R+{N~wU)|jkF6i5*s!~Mj-3Jx8D3|J8Cl#xlgK#UJaO5*|NtpEkrLTZU z*}fXi`nw&X^aKrI`>9G+zs$YF1KUki-i!7U$_6zWj*0#!nZONenJbJf{ ziiPv-W&XhPF@AJ%oBK#v+c70-c8SMvp8)k@ip@I|go7PM5&CY@?Ky@IsURU^Irg_> z!z`O}eEAm^HiA0~>0w!r&9%25e0DLA!oP$}-C&EF%2OL6XPHpc{z;w&2K@Kh;Uc&n z9~O@pjmFMj-XnAHfVw$`dSVdoCH2~QRJqZkkqBc1#^dr{)|Ma2vFac^0Cgr+-jgcJ zb8HM@NDCwXS)tl|_(|1lYCM$u%8Gx0Z(jX|BXM0`_JHFC{xk>UIW+AC4p2UwPQBX5 zAn}jF8YD-vcHbZ)E&tI=s+G8?FI5nAz%RdK&TNXxhlX=n0&&LQPDY$;wDf9t~17=&y9kM`IEfXU6rUTcmlM{#=1FLB?@DA6w_ zXjaL!*#k#KK8-GU+44U(vg}7z1RB3+zeKgE%e^8Py`W7$JxMZ#L)YO20|F&qd1z@j zb98H~kBl@%lHOKqxw7EuZK|~;AQ4_)n0JSoZ;!4vIok&M1?|2l{W&|PN!bnzH~Cmh zUQTNK=kuYsyR)h`7hLk=;?V&oV=^W^@`&)LIznM~XzkEusC&miml-9nc75fhO8KdI zz(<0s9rxGfXuF)X*A_{@j0e_>Y8{**fL@&6q_%hFqP0D$t~z`6=RjBTtV9w;o^`2X zEF=?0-`CwPTC0<)f~6K&sn&YTkO->YIh>6R~nnsZ@kFZU9hYlY*Qa(*YlHTPs7IVH%5Crm)RD)%g7u__Wed2J)X=JCt}4kyRb0yk%%n-^rx>R4Fb3H};8 zv$wpVOI#Te@WQM8R}(5PSCln=u!tY6LLZN!4Y zGk>=loIWYDj6}geiE;TP; zpg=cHdK5k-Oe=F1%wEypyXP*$Ge|*RuRt!2ZACRChwNQwjb{@L`^5Y-KlU=LsU2kJ zX8j<-!gU%z+Ggc$F~gf6_+@`;Of>TZ^fIb)g4vD+=h#u*ysZ&m_k0yIXt)Y>$%Jq3=&m zqRXTh4`^zPl$#B3Wh4eGq?cEga@@dm9a64@$Kw}GcZ^aT^!(~(+CEa3 z4=*6O#s;$kgTA5{O%#?WX{s;0GKY+s+O}?p7hPTI1sbD_M92Alc_%KK?(p@2K7%LU z0)GVLO=a($4qkwTG*#FUH@kP_mnIGDH4K$gj~CEwmtfPMy3rCxyGG9TrbOScLx#H_ zPoG(egTvl3Nb#=m-3`8)jPF}l>rOQ3POV55EG_^p-pkoFmPfDdxD|__LePbooEobQ z2MZf!;VJ8XUr7d{%U`%O*sRtkYXU1cb#q#09-9+Lfz~l%U0kL&YAUZ}iQQ(qqC@X$ z(O1<|t5ipQpAh-5_E#nCtr_6VH=Tc5in-SDwEZ=+E%TGca-Mm5C%cif5_QhDHbBO%OO zqYHDZH3BLAH&t$lal&_Wh_?pH9@-6At*sOromy^T=hKl)a z9dsm@IUE{zg8!zon~NL|0^7G~Y`^m@ak<`{22JX-p#B6L2f4++XVE<9P(}fme>Php>6zRqb zJa4*>v>;REeGt`0e}MK<-zd}Jdya0)u-G1)h6JUmdtfKpU7#v>03V;%j9~bKW4O8~ zEyT@m(1F{NUn0KLKAc)6Y6@`OH0>5WyaV^VU~hVP?{p>d5Ov-oYwvWpfPxd%4Q{Fp zKox^I*ASzUs<~Lj0c?!|4J=0b8B`PiqX`cnOiiIx1kYTCd(2e^>(Th-?;#x8s> zOXWK!P>x$tlPmq~Wad;3&hQtrdMnu0AJ9Pm2cu1UjIboeWrF!%Kp2t`cGW9Y-Aox>DM{|Zp$;}2W|)KoRX`M zjpJV)LK&Re=hhEB_m6r@0?yh^g1aay9cO1>CF!_BIa4;nLb~w(Ru8@ZVqjMn@v__u z0l}4w+!zfKiSAqzt=})EL?t6&H$PH??Jqgt$k;>?yU#O+$2*p(5S0%c6k{1A#XN6lCKL(ShkRj9(c zVjhVEt*4U&abpJjAHBMXe@RWC@H3ubidk0;?mYeeXiP;!WV9>kkt^fcJL zHA1m~Irz;Nkn$UV{`sG!pa1a9pSCTx87(?+@Y6HHH?01+XS{tbZj`FCXHl*&R(|RmFe{W|H#}S_fQSCB7`H4{k5|{TuTdjVl^QD)Hu9 zT1i}a!oKang~BpI0^@uH$F7B{r;sXo4^Z}&B{Xl(Dm|?a2Qd6Ul9X$;d?h5GeZ&8^q`q)bZJcC;*-g(jp4l^yy!rtC-0`qM2)&q@ZK+w zTYt^>!>y0?o3Bg95+^xgKAh#Mhrr%8fg|3C>1@2qNyh40j{NvGIycLFd81N9^Z0`z zJ=uMVIIW|%HXu~;Fv0iZuaf8oxh+<&Sz3HJ5qhy@uNTbp<2MP82UCTT>g2ZJn4HUB z-XDLv!a%`A-qlzOuk&J3Pm8dv(#9_&c7K@AVR-*2bCF{L)B0^P%nV2vrz(%#2d%r} zx$~Llay(eYhnn{i*^yn78%!ZL2g~K#MZGs( zdWsf(fY>`{a#fgPLt{Yk6%q8`+#7@|wc?0G6&Xhi!EF~&67S|4SQego8iQW{DT|mb zfIB?>wVt|F!Z0+vEIGYAeLr~bbYZKht=TPVVNQwz##u9W4{h=?ACS?fU0FC&z17ri z3*S~fQ_O2`4s3A42)0tHD;`3o3{(;XC&0P`WbgbIu9PlclxRPjM^b?O%J%c-WwVXT zH*m!LRlojY+Sc%b%_H0`UlG@uImEtG0K3&DrRq35VOiO)i5AT%an0?1bai0&)9_(l ztiFwD87gLBQN=6zYU8oKM(sHn-+k7W$XeTb_{c20+DNMs|1&$GN`fzt_m9|n-;~Yz znKu5ZCk$JaX;G_ln5@sn)pnMIe+(`WEiX(Kp-*S7KE`U*$K2h^hM@;}#sy`EW;9>4 z^&gBzh*qa9okZ!hV}d|5GVl;ryP=eIktod#pK1 zk0snI^fOf3KK;#U&{?cI7ISB+I)V7O+Hz00=yz}^Ox1i?T12%#SD5t3Gu;XXki}{?3;<@|UDY+(|L!vfMMn{+1Pd6w8{W2N52{z;a<$sk~zrI3J} z@cv^Xn`!hQp{tx$CU&r=7xCFN#$SuT8) zbH5$cQ>^>Am>mGMY&|ap5D91Ino+Fl4z|KH}yO7@48wsTd`Y70sbqEARW;0#lz?;d?pc= z(Z7;UmGhOoxv(V8mcLtXAL%9_CR~Jylo6Gamn6vWIZ7!3)F&r=$sej z(cZ?-z<7GkrpG4jdVm|EthVo|Lracm>p0xQ-EFrd zosI?3gJu{+?;|eGssqDOfENsD4LU50w+P@Ym(x2LzFTvs91MPVG3t|p5XKX;fVX6h4M9)lj*&5uoHlKri&2p{R`%BXVp8>)~nxy2t;#}pl$j=077Kyw&B zo`qa&f_RD)7~?U=*W**o!qVBz&G>7L(}&*T!g&z*%Ekc?xPz+asqK ztslG{1@r38a(8fsNw~8yojj;Zrrz~5*%@{e(z->M7Asz*Yq4Sd%-)LeyGMU;zJ72O zSu(!qNB@!okG-??R$@3%-9Pl9kCeCEjY7@xvc`PfQ-pGy|6xhV4LTQ5Pg0Q%da~aK z?p!CO0M>L^7_P5At_|hFub_ zG;(0y+VC0s9_1opIO9@mgtyr9X-dxF9JPS{4(T;BwLK<`f^M<|fS8R-7kdto$YPyT zxo`T})xUKBfw|NBJH)tzKuBVlX1-C5{Wew^Qw2O5X?o&TQ1nE-$Vx<*Rn#>i<0`YO zXhbH>kkElfLWyFfJu>OvohvDO_npbVgj+bC*}fL|>vd$Qnmfu}%LQ;8w%<6YsGX-V z$h{vBgnY66{*_O@_H-&=1Bx$D#K}jr2vG5aCgzx%cbgDU7_V@fy+xyft8AZ6E;xIH zcrV9vxIA1Rsz$ZYgj(G|vkK`SCn8e3(>F0qQeI#=9cRm2hpOKiR^MKa$#SZE5Fg?O=0EEi|4x&6tt+>) zyY(L6do&`koX@{)h$#7Xf^CDnO8V`HTWz(1M^~81I=fO2_C9@`q96D*yN~E!tL*2@ zA#vcAlZ;b?k$cwl}c6FgY7(^#_tu3E43p7j{qLJwK8qWO58e za?ooh)rrTBLwH46I=vvEfRC|6zPLr@-o5a;vsjzHmbb%ApsO)Ikgkhz-1g$J~@+TB)M>*fG1$ga_0_FHn)ZMqNY#PYXQKj?+W;;14f?gjlm(XRpe z9#hM2Jc8#8+^SmCtM=q7oHFe!R!3&%VOt(F_#z(0siv*$?iT-kDX*?QAZd z*@r!fTVQV#5E5tJ{;0Fn=M^MGh{?iw?Lhr}pWxN$ix*{H&hC$Bg}XYzn^sz+>@F9dHqRTA7G#uGIcVEIcD|nC=B;9BEYPf%7k6mVh)!-`d z0eNckl468_*t!uwH_9xqH_CzHk4>Q@yX{N9rnJv)$7Vu%XFBc;=BL$b_vDs@#HFtT zn;qmiPN@yWN8DjY%2d|hluy3lJy7aIgr*Y@UkOvofu0q%n-0AcmTv#@fRa!1Bf!g3 zy#jI83-7Y;ze2xIGS&%k4pLmm{;fuvZNZ5jQo8$& zP|T5STeHtZ7~vDQL5G};3~BH!WfT|RZlwk|D)fy! z-Jrem0fs~jUUC7yeq`{C3}JVjYf|@!30Cde;HQ=iI!T6)RkxmrCA`l{aQ?Q#?i(uQ z^@*Co1uZ9U@fM!i{1OX2*in!0sPRwu$tBJabMmv8&9f=~fTASmZ2R`>l7iRyp>V%1ovGn?+vmte zDwz|!L#G+aW#JnLs&ovPp`3Y+w5M`@jNlCjH1YXM1Lo$PbSk z(nf1BSbjk2bHS*x%=9dVzfS8M*@@h^G|tk5i>6A|gsgC%(Q?E$Oo4Ja^&;`>D_@Bl zhi!5H?l@1q;6>cYHM3}9*$ue+!`!AslPvKl!VVQ7oO>NNgWe#Q3waU3s39pFD>f3B z7TOZ_m9O?5g|;`859e^iNLiffH2&o^&d;?DD-bgzg@IaBK-+}--+vjPaO>)%1lu2* zGaFy-ey3!?@;*V}e_ti^sPnh3Z-iUOC!%|Ebq000DQ%HlN~1A`m1>>4Gv=C+TS3CjqcLDYtegl>3VDS3UN8zccKk~D z-YS(rW}nZAwe(FD{T5K5T-~K{_rgd!k|Kzd$4wgAyh0tjC|N891+b5E(i!#JddvJp zo)JFhUowL+-!yv+OSmU(MKwU=-D@rAz5*L%0x=MSj97qd1Mj98Av zCoWVEL9~c>w_5Z!9yfPJVWN^H4~I68e$=zaSlHU|Ny=SJV+uPJ>PNvFML3wSupTABTcg*+4MSL9t}9k?^WnLS7rFzK02MV8w(RntdwzGzY(5QL zyEDV)l(&bGEB)hH4?BGXF-*3MtYH3(@FCX9ZXx_3r~?KZ)t(L(lJ2KSTH{sH`eRB9Qdc@iDJW<>#H3LC=rx zpAG;rDVFuA%zv(aR@j_2U6xvr{4U3Lu=aE#BrBj@wGDfozu;0ou*-ECA%_hYept0N ze~>?gy7>Md{l?7*_-f6gV$9~&O$(d(^Gue{#Aq_nSiZkE{#nuTt1~0mX zZ*oiL4~;&hvp>0UbPZrJoL5&A5i+qhLr%7M%DIi0XxH@2@mAF`&ofp3pwRD?()*kG zM2nMDHwrAQ?+LpenePdpdpoE)>`}kL-={UNlDuD+jN@D8$(dT*|0nSN@H01~b2MEx z^U?20V5+A7IuA<})#&)R)YM!A;fq@M`BM5cd5oXOqWW>Fo1 z^Ar9J`S6@B3sg)B9_rkXybb~t{Kw2CrS;)c*Ovr11ZbEXZ{1!xPU@%eFKDALhWhr3 z0y^R{@TAux|MIqFpgc999$v*w3qAK#*D7fk}kZ*L?2f#iA&LA$UqM%_~N?u1hagBi+?T zMKuWm^}8s#!}#uUj8X6~@@-i1YP+!~#N4&Q{OImuFT%%ZlKgiy-sb9m4k1vO*#!z{ z4`-Hq1Xlgg$thm0hM~UxE>mUs?*@=BHS(VZ*tCOn-yB3{NDp3!l=Gf)4KV%u$c^DI>USu*E3dz`>cTMGpTE}ra3F*B ze*7Kwbbc_5I2)Cjg6X&qe+JHUI^Am?ZYzpMx`0x=g2MLxPN#OaUSLT~nXXWZfcFp} z;uxh&tFI-AoTq!)J)vS22-S!a*&rl3J*HFY@9|4J~Za|u(Rge9CT+MS<(^-qr?f&Cn7ps1HeFwrR zd%0@w_yUhT9t7N!*{M`M7_vEPvPmCUx%!2?JEm-z(of#Eox~DD5AR~V*;Rfy-?3nALtSLVd4U9T(d16lGHiW=h3US zT4yp2uxE0DrZiWThstQDS-C?(8wCCS)$X!&H~kfv-vHnOy2=N|BpNyg&#qvo3L0g@ ztWazPe~C2eS)*uJ7$9+!S&!hRT_56?h--X;yY78xH;7-Q9X6NH?E$^6W z;p%n^?viqCyNOX3e2g8y03|0qL;>8l)H(i#Nj(FMrhpTE+erx144=L(DQUp67Qa92POwm0dD7EBr3;Mduay068yFqpSCSqllccL8KN`<~_~h?_ z>L(W%Ij9_}S1HM&sobMhrzxJdYEAkjkzw8w+lC~awr@NwiN_`{i#vyDhGOgBQHqk; z6@eC~oEv)&K)JnZy-upyJwZ|>PcP@aw^$^I8p7ilwp$&OACPi2#W}91GQ7_FBwhkX zTQ;L}h3nNUkKTm*!9(&=XyGc!tB(c#fp7V3IV&KTLZuPkLBu}WwG~TOHsL9&W9zQv zHqJjvly?syKO|6+do5pw^1;ef&n&#}aJAXd#xQst7;3hyYdP~nS3&34b$e@5`V7Th z&CYKK86?&UsXi!AbFZ)M*d1zG_W!brvhC-yoU@f^*!j6-m&fIk|DRLKnXJzG=q0P_ z`PTc9jWt_*I=%JnKksYXcYa9+Qleqr6=0X-uc?REQ)qg24>{{>2=`R=NhAPFf8)_n z%iciaXrG=PiKDe@c(-FYIKz@)zI3qt5Uo-BI|pceOawz-h#gjb#I=2aR3JM%wF4-H z;KksKiohIUC8rx#^Mkeg4H(K^>vN`*C*3&UM*)U0fiDm_GA109PwCQ<>_53%pihh& zpNb;f^mStJ*xKJJ1iU&hY-O)>>TGyi(^9<9y)E1R;QnagA`K}fCvW2{#;iwoRz|YF zs_u^)infKd<`9T=`o<{Kt`YT!cJ#^ThT^f?;R`thug8wI))SILC){FHg*C;bH`faG z4xWZvhBTUQT-A9OefPmTbOnb+F=nR%wAvmo>2nymntK=6^7JDfIXHFycti^r%dibh zJ$bPsT1N7(-}ao&>^ATag8lKh$VWYOC{B+w)iR6}Nkv zdx*-V%^zbjiJzg;g7f{8wm<*iNyRteli!g?8HwOdsK8w!zfaxqxJB zh(W0xWiQSnrRWdvY}Qcz27Y<`g-`no_6A{j@{82|Bj`DG4*2{z#ahJLT1q2mKJCy- zYmg3^3TpoT1k9%HoOxF0Uq#b&syoVB` zt={Cn75BcY%1goC^6N7kMHkoL!>yjHZnS22#?V{D*1x9;rYt*Z6}hyB>_7JM7#7H3 z?>fn}rF*c3QqMS%SN|3anf`iCWtcU4$Oci8y!_TDrpdoNYE0{S!^6s7z4VxJbYb^A z(iG>jbn_(ZnV3Wd*f0F-fv+e(IU1Ncu9d$o^?|8@9QkRfHHXnd@G?MPz^X=rY5Mww zh@ZUQ8LsorWIm2}uB=b(;c32aNQ(Um88I^#;Rp+>%rBd(z#kO8yx0R5%A0Ow3#y|J z?&9n8u5bSkb5F&~qhTy=#iixzZMhU-$0HZUga%1?WHDC7y& zpYz~qkelrfqjDqZTqJlqhnATZ%-7yuQPgIgRr_2~ye=?aJ$ZeM&^2J#_>T~-s*zLm z3c6jP8xC3;C=FyKq{`Hh;R8m#^AFG zr-Pj})|A7Nt6c@FU;JiiS(NfiIgT7h{Tv3kXy+{K;COfHw+=8auQXU@(DyYrK#iO8 z(k6C9$EH>Ft+kW>0f&VXj{Lu>c2q2 z*2tBPu#)V{Y?W2J@!8+2-ZT7Kp%%uLnw$R>|Gp<7(rSk2BxJT$#iO<04N1FM@2K|D zul*iy{}b9d9=FFrsKpNUGdMgkRqc75f`@C$xL@-t^>$_n9=swi4Y{rpB2-MVOO`~; z{=&i~`>jhu-!Wv3x#gYh9>`mnQz`sf)GCSFWWUpv82N)BSMqdvq1Z%qz#FU`X{vP1oJ1P@np5V;hzuITGYeP!#)Dx63M$4&m<4kCaw4C&;k^5R7%BKcruaEj&%_? zf`ntNiWxRgqdGMDd)Jdk@rgi>qY~=~w(%Q${#Hx)BiPO79cOgTyO$#jepwKhih!R^ z(DrstTGk>j>LA0SIR_~L4(3$ZYAVX@)OT6?5S*A(WA)*g-?$`l)Su?Ht0CHK_kk zNGnh%VmLnbN9F{NeHTN}rHx$2Cr~hXVig|LJZMgtO3Dh8hA;tXOKy-bl6!MocvCK( zL753$oE5ePJY|1aJcv{cO(wMy;dR z%ER`O)lhz>XusaAk*G~h(}$C+xqwMb>Tw@|L}uh5Yz_+kmDk3U^bS(5LO*&DENEhi{Isv42LZTwld#|DQ9(q+;fB>O)2)(xeAp}D5@%#Qg z&pG?d&g|LQnfIO9y%+nyij1e?FF7-T!9*Dfq<^zj&%D&qkMPe?LX%qLQG1}SmuNhi8C<>_7|xH=HQTrcw~Q4nKbo`9dE0lDpO+b z8r!Za>UJs@xFjBG_iLW>dKZw!-7C-;s1klci>)Fi?!ra*>Q;X^pM)LfsO~n60GL*q z^Y@tHFH*ssyH}J!+_l@WyU}~zgY4&Hu<3C2+N^_re-NGBOm;ixZmoGuIWrhviEy0C z^d?@3LJ=#87rkwO7EP>qk$Ay617O~~efco3ZPu9iL2~yI3f(4xDPxTfU!eWp>vYbT>Hkq{w#XCdA0LzKqzwQ)Ou&z;lqi+ zaljpD>-7o=Ta_2cV>Xkli8T6hb~Rkvk-%#%CqQ4{LK<-eZ;=I)10=EV~vbvo)th)CI&80nN%_p!~+Vf2R%-Y)hmQiJu=n*v0* zovn0|cou8$TF157wT}nwRK6_zW^N@tI(f$Cbl>ZfJ@SyK6~%8^dO1;-NSauBqgc=X z@jBfYFkNcv88E^L%2Bv>r@Kfi6!|v6q(LbFuB^nwM&1F;-|C={4uCeQTD&e=F+1Cw z^eSXn!LD}nu3H?|Orvanbw9@rAGRQ9Z zg82@mmt*Hwssy=Z@2IVmkZ92s$ZJSu0Mq)NA7&=knNemt5j+V3kf_&{I_OTNDYK-g zysHfDYl~gTz)h7tS>MC(Tcq`jOGR|B7YAPy>|@<_ac{B<)G=PG-O$~&*gE^JGM$;E zXrbovnrjD5tFB#mUTXM=yB#ilC%Pul%@@lrs2<GH@;vv@}^)Q`RR_uQSo#P8|4sI6&2W7WiO zxG|de(AjqBouqM+YgZV$^WaQyh8LagUV4;)*=A;1H@yQcXSI{VT7#FKcG}A?Z_JgS znBLO*c%&?%Zv>(!YGs86f#}>L-^z(waPTIOs9t0?j3^62 zN^m~+&-Q)p!f#bMpF@0%M9BYT!fY?lNE~Fu%hoY(s|9h)=3lct2bW!kqcxY)(rrA{hJA#?R)1Cvp|TcX3SfHToeVN`HhiY zHn*!V686uz$YGhDxJrBdU*a8NvT)roWw8Qs&o1=RIdrN2b4R6e|t*v`+ABJ@XrXh`C)RZ5*On zI8*jtFZ#T3B@)FU-;rdnsa2asn#1eKDC%Y&c32xyhwU(R-DAx=p9Zjd?v5OQt^&5} z?y1UB^OIsY_Ca`o7vFhmrQ@CB&7`H5;CiqVSu<&XG_ord@BW-OhLC$(u?f%vOnkbA z2S^$4B|Bfeb09|YAgtFsw;V>)*Ow55w=wS>!#bk(vUV5jK-F8?n82!@z#&18pzuud zx^g~t$8;Mrq8bCi<;#ID%AqT;emchO)8X;2f`2|l=gx!>ivh&{OztU;ABScs1Qw=! zd2q8l`5uzxl@?vRePdiC8b{uq8ynk?=A=;* zkzs$tYi~56gN6iROd4-6{g3x1c3u4+NYV+O_0Fj!ULS{Mc9V5`nj1!g7JwkJF zq*P$%JLSdUceQ(o-}kYBmCX1T$~lR2h-!nbXtZ%jk$Zd4v8#-c!l7)_k6(Q)K`F*$j&=Eray^BbbZJ%A26rLA7-!TtqVq8h}lEi`ybq zvT0`75AI|6`9!r)NHwX6g`eU3!2bQqv2FT`?seQMe~gz-$c}Y~SjO~4H)p4lSf(|P z&7r$}9z-7RoDwgx%fV@$|U5JcQ;2yWKmU2gJ z4*m*OI0@$?N>(L_h4nV<&dxpN(GBd>oUHq4r#&+w<(~>SKSvNlD2LFDlnrj_&u;)nw9YNB%~l#waJ@tL-n}bl z4^R%o)Ys*QU0Dk^Q2@()g1}H*@w?(n&+~3bNao|8<(kym?>lKf^tNpW0= zUuUN9ZE?48sR7xVr?tv6%=DD|pa( zUs6VgIL~^0Flx_}Rq-Fl>&nB$)Ym-qB$U_|LS()zGfAKvA&zx8f6mmz{TAb{tU9H- zhg<*jXnOk#whG>I158WaZTy0NdgCix?9wLPx;Fb}u4F+uPdOg)E+9P6_L(lC56!J} zxoz<#aar#&Y&G?WsB^=I>-b!rGD-mrshz@#9F3eyW-T2vzJP4ZC~`4CCujhN8Phk9 zRf(VIv{y7#-5x>7Mu;Dx_^(ObSz)azGXTh>-m1=Z9w2{x&gdMKxZ2r+4)}$b{B`^$ z!(0p0cM~Jo!ySF>^X_z@5@ow#hHD>g9w81KnL&!g5OAm`F52#6ft2q0jMb?I!YRgd zAJ?ozn6F;k^8O8e6%PR8($Nk9_?!FvfX#OfaeysiDc&}N z$jB!|aQ|cdgD7Ki^9uD53*}_oCQ#y9cjpT1h*eP<@gNFsf)1|1{vWw@Z?)LyjM7qV zp9{;Zs5LO}X>Z4yiI^3YQ^3rwC>k>R{o*pQrxaw&_zLw4X@>n&`=B=?*xj}Y6pKM> z>=ZZw&4_VT#LEASyqsx>kd=k)9E6?RL@m~|90h}*#P+Ckhzhk-Bo6`$!| zjY^x{x`^onSoLs2eBO4Z4yq?9sM#P6NqsdycE)xV4vh0de^Uz<-m} zOk_+NkA-v$ug7<+zkY(0`tjxc1q3qkDf!Q$GurkvGwvUl zAT9=71;Qsp?Vq3~zXQCPvxxz;1gT@qUSjmpmfF>gdLTI6xN|BM?9SS$U)ahy%Sd1z zK7UhmfWcU-K-~Tz@Y8;W3_)~=D-t9G)ZRJz_=b;}czvG-7=0Y||FJT1O-W3zvz_gwrxgX6F3+Nkh`Y=J#b5+1dc$K*)~}Z7Gdjj}UJl!4XGePb}u1s%qy!}U>% zmp0;*6?f8`(&yQsC8_?oWg*saKHfjamQcuzw)M%^5!z%z|0KXc1%s+`7a?7bRIj6L zCC#t%G@%PO$~e6xty1m+AjEAqQ(XuZ`eR78v++VH=!lEmQ?-OcS6G%?4aO)(G8 zMIF3f4I03Y6-f_z+UQ?%>0BWKidYDgug;?lXU;oDF_0fN-dt&~aRpJ%Qxot^FrH|B zg3XWL;m3TEN?e7EcrR+~G0|%V@4TQp+2%Bu2W;z&kDBG7DZxbNj=S@D7p=94Jxmq9 zaw7kU<%AUcAUMup>a3a)=DY>Z%n0jZ_~Y$M?VI%s1QwV~0YXauGm_Sh@sPI~Y!VeH zxN1>#Z~6;tuR9E3Buw%bm9G# zs{_!J5`G)Ku-A$6-<9Gmy0-c0@ozRDK?uD>Vl`ln7MY5MGU#z%2T}Ybv18hYK|Jxk zo{M=G@lhx&5dYhe9UNslj%id?{~G^2_C-nnkCMBJ46)f)@@E2Jpa@VP!RWOhN4!)}=+j=Z$ zO>7AM(d>X&OG8G8yz7T+y=$LyROEZpk)8MpW-NYZsjWUE6qWV%Np{KkKJYJ>NPHHS zPula5sK4M3jl5?ew-1F2w%(^rPb{yVSiU{|vIVY?UJCu4&533BGE(gY5LeqPQVE`e z6j4Q4G;jdtKvidh?usB0i*N62BF#D%Xq5yn4XU`~1a(#KskuMdiWMLiuk?4MpGfRy zk5h-&OE4q0z7npbtiN0*6eT)yfHcHyGNq3@{5)HAZPV-Typ`~&(cQ@H*3#X-S2nfa zzNx5yOe8CtSIL4e;NJ2lSiVq@Mx$zGUOJ$%G`rmHW7I#~xYeVnpK6bak5&@D1a9b0R& zGM%mXf$UWcucs+IvGjX21Q;u!rH2U&PP$_BmHT_i~vqw^x+Z<(i zB=&()lWoq4@)_nu93Mi#w^P8pDGY-uwt^|wGzcY?FuMDJ>z(sdYQ_IO*_CHM>ZT`O zCM&-@x@T?LwJi0keqRs@slY_!Hu3nbcEee4rmJqR4rx;;pb0GUpEY@&HTDmd!7yH5 z{k8Xxrs6Vo1SPh*@eY?p3~)6PYE_B`9s&p6BWhP*~+uk*usBt0LfI$BLb>6F!Ppxq+DwPB){j&7A21`BqwUl8h80uZUjmU*w zZ&&Ys7}jSFzro3n0Fzn~4vz5YK*zBLh4Undc*az@;`I9 zh_-fn<CX6N#9{CBucoRsS#Ug5wmiqvI{gZrh+e4SP?6=iRo(82pI0<|C+e z>2^B34c%T!;u@ftPR9RN-}Ez?Yrhj5$^_J)+&Xc(a2A?qK#aI@=IT=8EnJf6U19(> zDjyVSL0|+<3{?AKv5>(UQ^=TV9xyOi$Y|gpSF&6unSJHC=1`tmvC`C5Mix7ATvo9& z{WglO@@?3uzfx;t?XI0#K9v6T_$$4OVDV5XvFb0gubytRS_GnCsq|NqF;$Pf0jM$Z(KN<8N0N zeh0lv5+q=ly1Aw<=@#V$EVMB3-Z`RZtqc9@+{>7JmrM&X5ruc>94?$^9IXAhrdOc~-@byV>=9<{p)V)L9_b{Vwv8LpD|8Fx3dbyeT((yFL>X->XiJgRN zQI)(U z4=B&@@wM^ij3af1VLr0_T}SKH&3|IUUWvFW`zUwa#Eo91o19b{jC;hdP41~Zld>{; z0D+P1R~{Bz^|ZmXFfZg=?OJlD)K@J-gzj@E9LSQRe)EO(?#lG;9;Au23(99abF(%g zvr7z_klU*klZ&|J-f<6vTSQPeB#CqNOg~WDckXaizR?(r*DFb%qPJkzL3)#gS%jby z_&W*u&*dDyV7ULM)PcvL>uwcaYzr!1_BFEWc{tGA$JTl&WbnlRs?(eKC!C;H+macs zA~nk5tJQVukV+%Sgj4j@ag5h~LFQEYPOA+Uu04+`Yi-G1(i}6Ms4!<(M9fnegh(=%gGJd% z*?2D*;;4;!2KLli4@;rz26m=*W^11ibuO$p(|E{gY<2AZXvPL@af!{6iCmHX)g6Pf zUTp>2i5flEP2yM>#5L`m9XIr*^tMpHf1CFYwsyHZ!+KD;LSEB+(ITft->zKME~P?L zg{#Bd==oWNro!;!91mR%0|>&AehO0MIP7S7D=F!eb7c+2bS^?=#^aSb z4mx(-sBq z-a##>uJg~zFE_DqLtBkQBZ?}RLWKPk|C4VM_u7!*>*zQ>(0-xP3$JQ#rR2y|K5o`- z(}+3Vt%7u_WBLLz?}z1`z8E(Qb21+YFutcY>N*Ac$Q!m>Yb5B*J(bSas!d@g_t@lJ z=(?_n+!+s3YwCEVV)E;?OZ5YTUBRsWRL5{uxr28p421{@94`NwSlKiB+NpELIVozr z%R?d8@@eB|kB8D?T(6JnDy?x|VQ7)wtunwlnMq%dtEpO#iS|v$ktZNwI4Rxf?Hb>I zkrPyiQxwU7n}UFF6vqtOjMDql{$j1|6!iGSxkNt+l_a%KZJhl1>iX9Ru*lhv(jZvD zHmlbHvO^cw%cik{489tw|2$zBw8!~98V?>!( zuzU?o)Vj{OXGSkxn1nN?Qu}9g06#P=sy_F&W};eO+JjXXb$=}u0oIrqmd6H~sJ>38 zwmgkl647kXk?GVn%!r|$M%Dcxv5gmCNxEI#WZD2lvRPkhkOF@l!L7 z&mRNJt&Dy9a6c2~<4517kHl*)JUW@=pSEfJg=YW`XPyPn`oSh4&**Zkd!@B>#frM6 zHJ1d^Ym+Q{brQCVy7j%OFriAeMOW^T&aacQ&0)=>(cv4WP$X7FHxH9v zXJlL|T7fLh5+7TnKq|)ZF4^^-g`!{Q(gtf=SD2+sJ)0)4?A?))a+;&&+v)4Z>SA8r67S)P&18c!tZf6OfYyA2hwZfY1Yh# z^C@){S@65aXj@i!%I;>c7;DzN(C00#c_Hp%7TNAq0tOE7Z50@korMc32>54ykv>iN zSXY_IvY>J?&zUCKA`+4J`&vPvhI=?M42w?l0T_f~uiDMl@*}Lomy<)8k$UjQtEPwO zO0HKx35Ixlk#K^}zaYzCm*gvGyvU{Wr!vv>Bkdbf#(Cqgk6XBMQjMf1LM#RbnOsx! zaR}{bDYkoPnO91KbW}A%Fg0V^)3WxQF)t-I&F5ZM1n1GNY07cCl9v)Oz&eE+ew94# z+*?OFW-|rrgZb8v+C{N!U}?fE=uD_@nhX3UcH;J8i%uf%Nz=8n^-@Srt30fY96oIT zAf1F}WE2DpVJZBJUS5rGG4&A3#Y9+^F2D>s8TIhT#C+L&VkwV0{|7S;L&7gI?D1d< zJ>&9#@Ac%h@-sNg;>#!mk3qrw=tTm{qG72X*XOy4t2c30Nd=x$`fLVo9JPXvjAE6x zxZ@e>R_#UTS54>@I#mi`q6ilG^sIME&qM8w9z2WJb$o=udmTLl)_sPqD%_XJjX0b& zgdig0YnMXfKK|xK>Th&LGTAv%$6e>|YR*lD0X?+igq*2U+~M(Nn#SyV3vm#-&4N?8 zO4e|ThS{7}63}{3m%6w7PRf<@GwX+vOFyVOX&7fx{EILC%dBwh%y}NVg~V@l^?hj{ zfSFgv`4mjv7B7>zmN+P$QW0G%GNyQ+#!j6%uly$L zJ3Wa+{IqIs+jnvMEElLVH$W%c7xb|lsKTq_aR8-j&H9m;!|0HEb-%@?l zqAwem;a<8y^1DwE*j}OS9Ctu>hrF_4kV$J1CB-c~GN`__nv}`LGL_+kf5mH>@BhWm z^yvE)UkvH(jw4e@*j8z1@XPZ0MAGUUw`Vjv6J;?`z-Sb#C*=NJFIvr|Q)nU=cN~Ke*!aOiWDmvr)=;4Nm-B5=3)$do( zk`IaB8y}_ z8-Y{|LP?|cGf89v>uVGqNUQyx3M*e1u`53aB-LosPk8R3`@ZNGDbi9jN5C+!uld#J z&I`^1TC}_Z6Q`m-NG?^V0yYG8_PCZmIUQNG9HIkWm zf!{j*5iimaCnobu6(=-PQ=H#CvWCfrY=P*VSSTZ-&URuzN;S!RBQIvc}_26dg@|`IIUHG|G9%q zl*@Cvz`v82vF5jHFns*F(@h@Pn(t`SMtvKPKW;1q7xevRhZ3uC8n;bK+2m5+kSmP9 zQ9g6=sXV=d?T3BeF`t&sFIbgctUt6GTpx9)3r^GI(8_b7v2XWX`B;qE(nl10>dK64 z->ViE|FOhL1Dy<;r16@56$Y4UkOg-%ZUDE$)BV?acW6x2IRa*N{=uYUxK0+i!=3l1 z-($Yp4{~U*13uV*{crAmFR}pFZUh)kl!9j%HJxis2$B`#+MYI=lMAc^gPeyifjVu+ z7qk1-+-WL%%+iOj6R2B24w*Mm?L{Ax9ti#I^BG(IY+2fWzVW+)D#B6z`7rmTb_p#ox1Ecye)5AcT9rVW*3WAu+L6Hf4q3F@ zEsr|imZ-j%Rww%fu=cI}F}GQr#CFi}C5kmY^$wYKC#v*j$zzUVKE9yb+AEY;qW_4t z93eZPHEV6?z?B7Oi8iEOHMqRDS8W;F>ns&LNVG7?ktu4O$x7nlSB48WUE8$KM>`cf zeu!GB{P2ndOLpPq@ZO@#zDrcyrlUr=jqhPNSxIGf`+JO<_h3|-{qmfPX~oh^pa^DP z2U1%IHpbi&je3~j?IHc+D)Z<;Fw3ek7bvpk^RwFfDh5)6t-f922Kd|=E&3ZA>q@uo z>habChk_dr@|{=Fyp*}2hw_rp0|X|BYW5y)`CB6f+X40p(v0F*3B8){OvtAJumjRv zw_Fz3ZmsdnddUpcfcT?tS>X+tX)!$>b8M9N*`_U8PU!Q;CS=I!ZinH^GZ~=uSD!hx zT%ya*{%xxsW}#tFr7PVMp}Q4upwkifRS@QkoVeZkQ{f6l#p`y}Lq#pm1iex@GLEKS z3JJe?sLS`Wa24{=$6nJF^SLx{dC+evPsG}K9DY!%fw9Fqt#_OQz2?gNjuMX!#swHt z@6Dq5!gl*1_Pl#ybmi+u<2=dqx$?J!;8}owbH2dZg90_3b9>hPocSC45K9hrT; zSU#QLA=^Op>j~?4tJdtZ&`QUPl>@_phM}smEkN48zt03J-jAOgEqu+;bGT=`0@Ajq zX5#~GRoi=TdV4ln&6XiU$fozu``mpax8J`sJ_!{YdmZ-kUjnwH_s#Z**W)|LLHj1XX@TDS|=hAR#ybTAyw2fb(P^Lg!aSvM$HV zB>SYf*p7JHYZECzbGGn{g~7cx!4t<cX*UdR9 zmPsAGw9m2(E%UHWV`oL|M;?N41biet$ZaZuk* zHxnRWVl*{xDhd;hmF+67L0WXQ7IUl756}9hJ?8Ut_!F468TT#Ti{xGYjXOd?k8Mfx zqb!%_mu4Q~;;vU6?vFZO89?vC{kbyt7mc#ce7~$X-ms~&@DK$?#UMU^l^b3f(K5iT zOFG|vR41Ng+H)P`A;ngg%2^(DRrl{SZSO-q@%o6ZoBWV+-J5CoK)U@p*|ek8I-_!M zxSjK)`H#JSHT4Z^lYI|>a}NHT6!iB9-2zzeDBa42zC;qF$4q0j3D-mG3OP&4hva=8 z`jsMl5k?M6_@#Ua9vrRK^L;?cm1~tTboxlQ)4;UF6jR8596aexQxYZXvf|+*8e?QCUGI*_1nUYL54}b15wS zuV3P_Wj+ZPQ*hkFtShaRqgIL{8FLc2+rWX1a}KwVI3rVEq~d&% z%_e5(+#2-HnD1cR{)XB`rlEiC7CyLco=2gjpt^phW=(>ZjqO23av`qEs(MKKV-{X7 zN%(a*gB@+!*gMLaE~!!vpMEx;sRh&N53fiQ)nsRMKa!&OFx%cVk4$!G;;!H8hp1@_ z&ORMBUeq%!u8*QZ?6Hd=Mp5Ulna{#uiRpfE7<4J#E{s+KlyqnsgFKc z(j8iTXL^R&rqb2LE8SxscYB>H(DZjHfzvSQMiDJP8&fyxUYmBExOZSz8~?Nl^57+A zj>>$;2qkgOR`;S>j^Eu32JU&rC4YWM^6Nh=+5Sg0jI`nd>uMBV*d#ri(NOd#=GU2X zQIrKH9l)W+TCSuwc^v!8-6PWWbKo}XVbXTz4V`Zw%1A1u^6J?f-{vgnXJqX+NBsx?`Ih08+P9S!4j+E&Xr9`gg+a*JtoN-bOg33FBDBE1} zbrX(T0$H~l9F1+xAGn#eWQ>L-e|m_LbdLs=vt1-}T+Ad3CJqb{wv`&Il)hosucUt^ zirhq(LF{ayK~Xs8(0$0=!mV)W4)1RlX@|AL?IdnCRpHFKVD15%s9NRPL;lf@A#OC!vSb7pqf5aikwbX4 z-Q~2a;nGfB#^~m#i*FAm&~=x~AyKXQ>pZ=gCerDQW4$gB-V1*}&y4iO@ihbrR7IPF zvH#7n){C-~_WJu^uz884#>bY0^>kV0fg5l#OZ-8rqh95T(X4_%tMMcse2b2Gftz_9 z5c9kj0G$e5HaHvyTrGqEzaqtu@#V#E8UNtjuV@ zH@$$OtoW4R2W+n4IeU|6$_iRz`=1XCwW`)OI78!8R#@1chH`?lf9liN+xQkgFkERe zta$Lya{6?L#^sNid{#PFAZ<_Az4%RRUv~;EiH(av?)o!!OT!6)mp_#rQ5$wKvMV)OF(JgKHcYNM8*kU7@x{~Ga@_p0NxR}V#()ZMF>Nk}GZ|{RU zL(gIL0;s9ogWA!xrpQ3j$)~RJvhGUYIbNSWHsBgMFGtUu(>}j`W(CFTp zuMiqCo}`=8!!L3?c&JyFr8?IIEZo}v@Y#qc-A1s{yaQ{E)Dvy2ea)gSkB}?jI|Lkz zPr7{b6w+aPe2IEO^b17#Z=9v}H#XFGrN&FyCZF5Q2T5k6dw%m|!RyA=Bg!k)MmUnX z!@9H53|ddFr6^CoeGzx}t?40VUv7L++ByrdSjDzi8SPG+uRF(rI1##h)ZfdeFB%wH z?f1HuhH}~dYy2BR3}t~w?X~#miyOpcg5)|?TqN2$*AyclQp?@mm2kl~-~mhS7l`pI z1Z}D`Iw7lMcd*y8xfFHOM0t()w1b%D^PV(IAvu18UJb;7j6fX-&&Iw^Zi2zCI5#6) zxY5HG@$ZQDVDGr(RzrPwXEUJOM=FV=w)LgxEovg-8!})q=c#fT9I%N(#5C%YvI3ss zt|bt2A4;@dFCp$7szVIa#)FYmj0Lp8o2qZvVxv@q$$cu1l#0T8_ zCGG)`|A{z`VY7zyp1t ztY<_!If!`KZNsqB#=FvN08X5sOoQ9uYk1Nq)?4Z3r+SUdw?nrN%{6*5Cy0MOQj^u6 zXJ2|h?7(?x;m3aK`xwG0+i_`l%t#FnFFeFryC#A~Bo)Qm%ue9j43Dg)CObyp+MM`| z!wq@76a6oZH4;&s9>wlB<^IyyW%X~sq-Eda2F|#bKv+Wh-^k9hJs1^fxkMw6LxnjpfXiyf4 zgkKvt)}922{Ar7Htyq0~TDR?{FECbU^sRZ(??%fTr)?Rfy~2q#QWLQ=X`o{IV6$SX z*NJt@-WXN!nPLyS`{Oc;e0=*Fl-oicsc9fpi8(qf#pML>ek7D(s)aRftCX7}l)L$W zVg*+LlIV>li;bCO)rZ4y&tq@%vH70?(LwmsD7_mBN__O^%<{au!064mY{{qM4T5zV zN?4IyPTte$c%!yjMgy4o@9)ITKY`A7A+MI@V3rs1Ti-1PrjlxW_&f){QK&={HE1P= zAPeH$!~y(&el(mTZwmU zC_{r=%-}?I0chxPgILysh;zc-lfv5M7QK$-UV+t0eJ{KAH~n1#CdsUwIsK-17HG8F z#~*z~fmmbc<4}k$sIm+M1}o6@OA9X$haTL&f1yH1x*|i7%@?dYxypk^_)6ph8&?Kt zusfyXj~YG+3HWL>y$P4>D3(GYn=9-cz413GzFiCQc#6jp5m}6b0)}2|)?jiA0XIg) z3UK!IvyZx_$M_Ef)6K^N$yU_P4iPl=E7!ziu1&=3?&kEwSPl14q747tyLeSM==9g$ zqWK&VLsurRBFycC9p3pzyJvBZKb7-eejaakks+GzxQuCtOXPw5B))Ff{$6!e zfH!_p7WBNJA7d58Sjj4VnU;n=9iF$+D@q{+BmAQGUI}08@bJdp7pcs2-tk_)li{Dm znws^rpyw}Xxs6fvynbwB^$QjxKY7wi<7oHhH}>@=e^YWG2YL2tz9Yv3n$&IMZ;=Ya z-R9qzm=f_kmLL8NLkF`$3Bd-6Ho8lLA`2~!)?i!M!BbHW6IEuZR+Dxy4v}GFy`#yk zZ|KOO?x1Kpr}x`b-ySW`%44%PiVi1#?B_Vm3vn*L69t+jWr@M3H;du!4BavAzCX{Z zSH4=n#Ln0DHE>2D7w^_T;{MR5uo{q>>V2n>(zvs>$~O4Nd;pp(dzK6v+CD>^q&9M^ zK&u8`JJZqJhgOBiK|0x?6u-D1pWzxhB1b%>J;0JGWM82m$x4Dw+^$50sh9lF!Yh=x zJ-Zo>LIsZdE?9n!i>pmG{d_faT)@+BTRtoO=PKM%1 z(t_w?hnE$*;)uDJsL{sZ3+r9fiMJZRGu`#IN^(!9sP_B>MDBs)A~K`uggm}tZCxYv z5KlPypp?d_o|-sh_jgrF?3vLbSEUJUo9N3wjMc+QpGn~2A`O#PDjsraTD&_^ga7vA zO}UT5UjDkQVpk+Vjf(LRjrR1g#nCLjXXqqP#h4Rmgs)?{j|SKi5sce-y5Zx zucI~UPDvu_1Mqr=DINQhg@8H)(YFd2470vo9wV)sofcAkcVIYqZKxCo-*}e zH&xFAmIGN&QxN5o5lgljiwpx8-RkRpFNzH!d=M6LlvK(cFmvEC*k(ma_IUg6Dv*KW z^ng9|Kud)R8>sz(CJzpr_8B7f|SYp4q&Nup?Si57>!%W%967FP( zM?Xa6N=7s7idM+O>mi;_mYuMk#ozu#Ubc^K3Rj!9WG&Z{t?V51&4Yp8dnCes17BGgTbEEj(U$yuD0#GfYzv?$Xll#rIaQ^qz{K^aZKm z00wpE4%yq_OLAw$ZJSAF+&)RNaj$*)04RG1-Y`Us}knfh|p7s!;DpDD^RqABV?RCwYQ2wTI)*kC|seED?_k+h)Q<{Jtd27)+ zS)KX|YLL?9Xy2TA;fU^%5tVof{PHBzR>>qh&sVpj>X? z&ZCbVs{h&T>q5gg651+s{yF7yhbViq1wP#R6d~4F;ku4hER?&)kc8aOQ7ViV zols^nvJTpqxfk5^;rU}AOYZz4mlW(binXdZW-&ngMH=eJ{D_)Z7h#+vMSQW$y{C(2 z@9E@}LCLxivM;x-ZFSu@$sRz$Fa0;Zn}=W|$b6E1+Qpxt5xLej@H?MB-O-XhjBX z?BSL!|3J~RP5!^9@V#|cu$ZpmrP$}o68f1ac=UMA&nkeN!fdhir2y5u(1Jyxw-hafe` z&^o5!OUzn2ksoJUI?O+;QE*LJ7zbBZxiGB}=jy+$^8pp@<&Bq)Tn(N}cnmts%^r492kudTaq&!n;| zh2;V&c2rm#GL)sVM5~}O%D+8iPycO@Gbn0&ao?e!S0xS_(`$55Tzq1si$4|Rj7Pf(2}3F8lc8>4AUcgfCQtXRAVFb3PXws~+vd_3Yh%a}(gA{YH5X|Kqlg z8zo`nDYc>Js(GWN@vBePx$eT^qdePpc=q&>kWemp z9P{MiQTl_@i0UC$AS%6UVp9Xikl&CXpg!=rlTs%xr%_>RL_wpld)VU)*Wz~{<-!TO z3K914PefXaI4Pa}nmlzReo2Wm;%W1T|z@8TUvlR>wRlw#c9m(&!jZl{2xq;47#t#A*Jx%FolVs z|zy^BUyjb7g#K9)@qxQ&xoGzK@3IwUIl9^MLe`dzw$o} z8UI=_?$1F8JKTCwD~^H7n-xe{)C~A1GH_3_`$NEFx%YyX_7k@F*gDbrry|b$gVP}w zK3OuQ{&$=(VOHLHrE8iZHzQxWU;M7_GRcUksHn$kMmxx_gi+NxD=s|NL;JYt)=T9Z z4TWh=t+po)5?|6{RHF^<;%bWLE`O8iEmC+Coo9{u&^)HGY9+M0>55VxQDONGHa;|u z7%_Vxe^4HksI1X1{7x{)pi-vjWhU1Nⅈ$)#tTJRP|{+80CEdZr}XGz{@Zt?Ke1- z$nWtjq~P$azxbDCYDxaOBF~es{HR?XXMS+=l}!#6xed7SV@C7u)}GeqV@t}Cf@fG7 zc)U-)goR^Tce3l(v7LD(Ul@P_rY1-D^`8BFp4qq(=Q>n>zN%BbA`uP_vUg zP5z}sI|jEf84ft*U&g ztQk8zB+?!zz-KLb5bI}-W;?cN`y)I%zER~+x5N#mB^+Ae>-~LfV*UM+J@@+V=uA{= z$RHJZ>E%#D1a)bp&ZjsEN`k2l@791EIz>?an@;4I;4hl7w|%Yl<_#7%9;Djz7IR!k zq%v5yI87#euXN)Dm%w8-fi!yS;nb(Own58kzMHg|_abLtc|XPX)56H`&JWUQ&XKc^ zMl80ySyDC=ik+eoX&pp2pxet#IevM9xdsQ_bZSmImxT^Rmvvop*(B07vD^mBmVCTf zi7f5ee%QIAv%ry*O2w3Y-%9m?_{F8foVS*8)PmvO+O4H1o_8|GbEo*a^Sh7r$o$Em zmd7y)iXt3QX^sDJXKf`|-8wo7jWp%qHY$T*hIkg9zMHb%rMIwt2)yCxq-T01eV1kU zhRSdo$yS2EJY8voLNzh7pT6G>I`HE0i+kPHt zrj;L^tN|LPj$>yrm|p`1t$T@_KaXE5I>ruv3XFs{S$`D376vI7)F2w zroU$zk1f5yziJ3W%?%= z{8=Hk`aGk=><0zBiTor|SM>{DiKbA=s!FJ>P-x8kE_Z-)0Lskf6`~}U;@SYOUUb&4 z`jxQvu``bD_^pV?G?evRNcIY+(|DVz?$VFzMC9WfUVD$bY|4Paj>aO(YT}PooAvUy zalG*^P?N&j_kkHpQ)Mc0K(4fKXBAUrT}eUCa$B&Gb4#ALA$RI$KkBaB-NTXC#`-jp(b_CI?ICe~ zIT8B{$}grXpOoU-4AZilGOnw-G1Ut;K9R&UP#ynO)aQBubv$dqzHAXRauiu&p+dYe ztUUB7Ll@2>{3#QL=O0Na3MJT zQ|Rr;avn~kHFw1p^yQ1T@-1&%<92FC)9Z&*_CDQl zPH|R@RrgJHGIof@qJ{LGE8EW?$JX+l_HvEN_59%pHOw5h7qVY5Bpyr3*Yb{?5DYsN z7^zo!DIPoBxM1A{4)nrtRAqmhwSMd}F_oS$6eDcb98xPkk53PkjBB7zw&6AZ80lKA zaPASDKl4YYKAH_o<(rPqlchdPv+$wD7~8n+e?-}^_`g+z>(ofR@StCPRqh5}Fm|-lblPQz!b`3CK16}BHg(5+MDG=@jT}j*>t<>w z1&`0d&(f9JUP!VO&i*&R#eemS4CXVAp#X4Eu9?E;2c*bmjgOW`XJ21=|0;02Vs>Lu zIf%If)H`FGII%i63U=a3YfdH{XCznOdD+1H$%=NZGW#Z^OB z78T)st{Ps}IRSIXyy2*gmbb3i+%|zvM#oH(RE~Kg_9P1=gRTZX+C3$HHgFH{(OGp!$$xCzoW){`Dl6amS!(PD zQkyG&*1hOHI=pP!5!<=bOl+`y{DRY@+LAP}tA#o( zaCd;tmtE$!S225tHB!53;i~K7+_vM!;R=W&TP*g@_Ps9Y>($9p@OcL{&~a>9=ka`+jpeB zp9vp(svJ_Ec&bww+M>+5!AdAmcfd|1RZ@vEC(}$o=YVUOnph-3!Z1FSaKmtw`%Nw0 zC)%a^ZS9a>YApBzEl^ZN>mBd!ldFN7f2amL%BJJ8Oi@TDGHG#MyUjC6FicN=p`QMY z8ec)DEj>+pj1#r0&vH?lN^lGoxJ=YUA%voOXyQEbe4V ztk=sY6np8Bxay_SW)J|B?8%EY7NPm)O2GOld5gd z_$wikMWo&wIuf>ilmA~_ES}GgJ>NSR4zCKftM^4FjM+e)(pFWQc+{m1Z3S3ejgwW< zC}ue)-ByJ|aYrFuBN&ciD3~5ql19u<_AyO4%Q$+yCxlgr%=+D?m+fsfeeuY&UL<;9RLdAj9Pt#z$j zl)Cc)wz~~iu2MUK2lM~Em~hEU*Xq(m_ZL%40$bv+@$K8do-|uT^3k_wRl<0D7ONP) zc`Mx%NQL?%^4|(lF1|SDI|;n{UNHaP!*o+Ca`kwf_u}gq|LFAOH>ufA(l2CuIu8tj z{$qu+T?XVJ_n42ULw1%G9vN|cN$)jt+w)zz(4)XO8 z*cPM+aFK`P{+B`;m}Lzz?KDrpe;EBc?^ROCuZzAJ+dvj`I1`-nE6l48oFI;lfy(uR zk3Z*(=Z~p`|FkQqA!W9&JQIMQC=eTF>_A&iy_C$xBx5F!h%NfO-F6iC;(jjtU$!mPEE=fB<^eT&b`BSdlkdR%bV!a4@L7x$q%%b$$T*hks>;~DgG0*iK-OkGTLq)pceDnu_|bx3bl z?Cb~46DP^L4;z3qF7uBxW@dy_G6=q#YB>x>KmeCSc1S{*6aWhOZB;HssieUOmr_U) zdEO3W9Q(x~F#Z08XYWJ7_iR zz{^i`!Dt-Cx~LIrN)xxuucXwJHp-ubaYf3>xokpZXn@pH1C{-YZecgk1v~u;?BrWMBp7k1hz-Ircv7WP+3Uo3cU_*(?4BA>|^&*J9dOR z4rNxzBk+O|U*i%}zn_?_@GJ1y%kvp(V`^ra)e9$U51NmH{QA=vU=b~yp+5kDf`0A^ zL|>YR;Ye?7b(q*?oy8)C!pTABc{V+!tk25*n~GWj8zk-+D;6Qw@!ky4_1|75+w|~6 z7=C4u%(nG1-nVf)Jx@rrnI*ed4Nd=PKdze?*^5qeheeL@meGE(>yjBj3rwcld1D5? z7dE3U0i!D$)f&671Gvw8eHZ;%BMU<=2YEk2vQ*f$D*E_Knhtbz3I!kq2aJ$ng)8wP zw@`g8{}O=M;-h_)FaU=YJAw#xt)kd^`N#*2%~gt!K7A&4g#q1+1o_ORU-hm1`9aQWegV=1??QjhzQ0!%>0b?TrGFL2$c zLrT!cKiH?F>@aQktfzaQ< z@OXi92y|)fZueC}H0RF{k*zLbJ$;%>X({E>+QK?SiqZ<*S4DO z&}qIZJ@NctI*~BiOyTQ5X>`vbf;6nIjAN;`<)Ojyil(bbEQBIw)dZh7F1iyl5=SU1(5)07i(85#Q3;~W^5mbH{1R{)%kQi^j zNGST?m&NJ8C3~RwQ5$Tij$F?+R3@u7>5oz`n2QhR%_Yc=QL^XCH;C{YllJ_V&>FSN zk!c=x&yvs>7My;cocH092!N3^l@UA6y=WdZPjm>Bb`he>j2e0k&^gEDH^@~J0>=0n zU&d>Q_{-P~EyW6)l21$gO(aJw41bOZpkz*s6yf4sysCz`mer?;-gUi<0jvtEZleJ4 zK@ZTD$nJ##pJMW?@U$R`Ft;~tpagNxXu+W`Yp!T7rrRgzT}~jB!+|MRv_jZ~PbQr- zP)*d#ebu-K9$0#yDjFk7MJe>`^Ik$fS{U!aioxof{k=X`hZ4Jrx#vP>DVorD+v}*g z$@QP`yL##iZjU1Iu}*q(w2Y|=CoRi1RN|)Abu+dBPc-PAJ|_<4W=OW}h8CvOLS8A2 zBKo}z-wZFWP{vM>baI8iAnW>e5yFj54aDki^5(0^9>XTq8*c~}GfxLGVM_bRG32bA zm88Y^^@vC2f^BM#i8j&7g79JvCm6~j!CWB%3DZ`5FAPUK_9C0XKH|Mq0UvLW#qJAx zvYkNPW_4i3R_Qd-qGeErD(G<>XCRA$VCGFF_F#68MgOA!#Yi~oP%e2xuJ}(c5#~z+ zJydlAE}?b+x4+!}EY~AZQ`2R2-VYx%SluhG{j2OyX%~IY3{MhlskMuhFy4UW3&|6u z-L%R#s-BZBp%WOw-o7p=gqGah6pTswoN|gyb*5`#!i-8UhN_%JSwiOZ5ezUEe}f14t9f`ry0>9yL-oNcSwf!Lr{7qN&7=h)U$4;s}FiY&H2 zEB$orgG?|_mdTyY@MUyMhCpOnh}JD`>XW-{3@Mc>p!_1wYQa!;6ic^xDVnq>D5OI1 zlrO6F)G!k5!Qg_spPqO1u17mWm#qTdyOIRWW^I`mZ!w5XNwIS zruCfql!Nf$7i zLz>?x97C&%<+x8jye@L$nj+IoA%zGkr;vZ#e|WEv9`O*eKLEo&KE0D;wTT*h(of<+ z?xG70Su746xD%?5jB3eqTTyOG2Vrb>iI%(wG$3Gmis%1L_5p!5bqm>`v%q`TuojPJ9dtg(?~AUxQ*CMYel;z)*@eVzMP z*~^#1a#{V`-90)M73XwY*Rfr`YA?BH4|J<5>D+f(F^Y7?Eg$M4=xvQW{Q(S53-rL8 zvAvf|oQWbrflSM$v=NF$LmgP(!EJ2aU{oKsYnMqe`>@TuIgRhCOd~3OHl1kR>iEXD zYMB@tFiy`)xGNz_XwpulEXfQLJ8Ja(T$mj0nLttHCvE8=W4@gWp~@6&K^Q6hHE%4H z7`gb!9&H*jpoz+FRR+=BFLcj3_1g7We|c7xPC{?mE9?!2a##@Ecj+*CHrHW-P=o9k zLOcO#(IuDN)2)YV+X9sl;>EJ@yG5E=US8%aKQ)Ti2B}ro#=&k?SvjXkLwrbp8BEQ$ z07pM*1=(%gonFkPoTS>(?$_4E2InHSi#t(oFzxwRY_Wi1ZkR_037>)k<}s6|nKmBR z+^KbVP9E<~P=f#ATYEaO$3lESbbzn#y$(?Wv=HC5R~&?uYslR+3e8S|TC+PGzz zcYUPAwn7Wa>_w;^c1@xYnI5=howXpchfNBM;r;yPHXaAee_G)5QTYJn3~{aelThLC#f(XZrb*i`%H6tOY6@ z6o5cNAChgsh>nKuI+%H{(KPRKR7O8dF-{+Wat?olN$D}_$E_2Xiom4YNE_jZ`#hmsq*F&?wj)wH`n}WbQeK{)#LGft ziqiIemSBgfN1h5q{Wvz0CYrcCX8{Q3+TW(^iM+(ri6GZ8z4_^!kK=k4T-TcAH?{LT zl-*S}Vk{sX{nYmR9nIO*klwe6u-+Yp_^^&u?9k!C{mJ?HqKaG?2Sq7dQd@G1lWQN< z)z@7@Y)Nc{OiPd*GTzw!`G*0?ud5?^$t#Ivy30ZTFr4uE){xnY)LA~~@I#c3n0aKU;>{n|$lf%TDnw|&~%qXqeZUvyNo7A}r{^ISIpFCL#+qFpqeGvK^( z&I6Ub+}C){L-wGR8xPqi$6Z?7d_%)2@m7=DuVM~f+;jcN3gePOyf>fG2z#wf&lI$s zi_!!I^OzR1N{lD7gMX?&*!udASX(pGjx8mU?kyvM$AdGx4Za3`GU77;zkGdvM`Dc* z0$g-2EziHR6fY9j_mE-M{|yUlXoI8gvRtL)8E!AL)x7-1yN@bLXvHWr-H3Vc>TnEh z#ZX9s1;YJH(IOySbk0*AHp!7nzxU|#zMzBV%&kOG8c|(wZMkgf5YiZjdUKdoYmuA; zipFez7(GkI3cimlh>*$pa7207prWG)g6GTJn##p1<6xfqMvXKb4#oW>BVbsgfBjGD zJMD7t=co;3egdRx!@X%^&CNDCNxBs$umL|ovIRcyuF*&h^r${J6G1^xoObUq(G_O~ zo5cK-)4$ejF1D3h?aqu*pBp2jCnX@6&3c~&(c%MOepms$X;qk{VJ|{P__pC}QK3A$ zMQj<}wEsq;`F>N2w|{B2e`)L^A{rTfhr}yMvvO_7q+NjuqJf47E!>zmxQHtIps76k z-7v&#t+2K33suiXV6bZ%vSfXs%y@V~07)uzQt z2w6B0vvkF`=qp-Y$U~E&jEN?_e7mSy+G&_hV3$G21|Nu`ZeVqj8TpKLnsi~bFy`{` zPm9P2hMF?kBz6%ejz%fhXNO{JgzWG<&fg+oDhK^_CbzYh!2ojn8Kv@*8*~pl(zu`( zoXZYAJ>NiX3N2(J5aQ@QfHdsRi!bYyf4Z>_?6un=q597=!p-IRKjC~Ht{e)sjg;Io z#vPI-lOMFAqij3vU#>Qlnz#G4$5`7XkAHp{-UPXd^cPaQIG%g_iwAozbM?DOtXqSQ z5p)KmpocHx!+hOLW=rl~c^<+UR0s#~QBUuY{OCDBd+4J3KoSzE4*l4>Z-@ntQ_F&J z+Dw?$eK+9*6grz$I-AzpXF9&g5fTX@LD`%GoRSt{a+GY{3vC{^xI;?_ZKf z-(D7HC{?TwKX|$-rHV;xYH)r8LK{3I(iUsQcGqE%kEFLWUDtM-9dE}-63K~_(&pU1dL6JYFn;4UeFky-THYm}@MI^BRI1OpxqRU> zahobTM#Erg2+VcDb4_2*pD!A08m&qC8LoexsA*1nZfJY7UUTdtaSf?k70>RWr+h(9GrDcJF$mGu2H*2xD6@=#_vUoTVVB-MNaU z>ZM^$oNpGNcE5tINeSskW!*K zhjeYdqfQb>^BQRJ=qSm%MIG1r!G7j(%AGRhacX(19ISs{N$ksF>p$`$)gS{RTzxZ$Ft3-2EGa_UV3c<7lbLfMCG|hhjMyFBo#Miu zr9FJ#Y1l0(Y&ox-oV~18f+Xy4B2e(N;iwN1DdK*szHb940)7tI!onS&M|xyEW4_Mu zsY;iK(m5SzM;Fy-8)XdvYKDVNu~qr{N~nXCQTZ zTw}?Nw|+3m9U&{=r~i>e!%mdHW%{KW6QXpJ$BeA$*6_Zyq-`4m0^=30yNmd zqL(-5$<*;MlW~Bn9}s>v10Ffj^m(9AGE-Imu@FZ_pvlSkPN*1f5VMG?uAzpKHOUcN zXFVaxX3KJ;AGs8|ISO_@;G8h2uLC&W{YlZUV?bOUdr5%j(@H>~hcLba`HCczzWP`1 zyr4B(U#pqtK}>*2?*CT~QNqUKQFLXdbfG8g-2OVw_*Z-`)>YXMas8YI( z`Rh^XHW>F`2O_^)NHp>Ej9`YwIZ%E!jk%7#e>&w`y7%ebfsr5MhiZ0gt1D7#@JG`5 z7`?iZ%;kujQ91Q=H4ycGidRK67yLzc9GhDeYujs~U_~LT+mn`h%sYTlNbh_vaDNW~ zyId*p8H5{WZQ!#q@CsBy1o(6Hb!Xt-q@bq>7ghVl%eGUG{0wXTM zLg?0%m1SqiJog1=eQ>h4~3nig(5AN$WGa z7}7iq@a8}xdNWSkU%-ZJ&g*$pwtllk=Va>tafp`$u>%){xim2ClKFuZTD!7vt(4tp zoVzLyka%%F^I{i^ky2p)ulKX7m)kHhDoj}vck63oR3*3T^khT{ueBRM&V6BTW`gHfef_JU{ zIGWUh_Tv~<254#}w3d~fFL5~E)z*474Jo3WeOByW!SeQ??j26raU06nHcgi+<+)#) z%moscsr3u&y!&Ec`(yB-iFYXL0WCXhC;49*1G=TaNpti^SzRZQ0O^C1eH*q>>s}M- zjzeD}_*miyD=odnpW#R{^5RIGRk$P;E>A_`idjHOzk0OSg}RD9V$8t;)sz;f@11QF zGh4u-ph()EmETW9JSj03$t3RelJo6$F=f(>M%)JY*XSkxm3v3eHZC0_CA5(j?SKh% ziblfk?2}f{uBp+2SqQE(FB0eYr!qE{ejiJZ>{5<0lvUkFQ5REG_(%-A42vm#g=+%{ ze#^RJJm{H{$ta)NOj?MrF^JG6^iUcpWgz}WI@8&XMK3W(BCMMkjxvu=MUT*3eQA*% zd*lVU*B31OwynL#%HKdYMW7#o%Mvi&vfIfM60CJ1p3XJKCNO)sF)VgJeaEi~j_iT9 zJsoOj0e?Q%RtO6p8wtIDVdP6hP@zw8D_I3sKU1#x#XtzbT>cnnHKz^~Lg1SfRn7zz|zbZJLK>1loS*^29-=&8QSb9_6ulLKIFK|SY zrnBK7QH|jXnBh>~d#*tLlc;Y!;`aw`8`F3cu0c9;{MyeiJf0;agQxmlyP{pva@ZVt}9I=G)t#hx|>+FB%XGU9+CAZmRZ)7QvCK_(*KEV#x^n; zC`#_WV+c$l)K`gd6uXAWE@2rCv=EhIL@tG-QqLv04pilyTLm&TJLPWrzB3ue*@c0_(cBW?{-JE#)lkzLN!T0%uXY*!O?%YLtIv>6~ zmDjFU3VbQ?juJW~Xj+5jwTZqDMicC{@4=UtGdY2wazy`S@Nt!fvdE)f;R{g^%)YU z`IXlh`ItlZ4|h1+(2rfABGzmSyI@3Sn;|gtr4UlZiBYk%D(c`N5>WCN7c+^D*=X%a z9FfhPctjEXW1j^XE){3pDhMwl6KJab8wTR!GIksgbh9dd>xub8jo@My(msW3Z= zkl~X*1uc;O;@@g=&vYIT^|wq0nX=1>*kD=3pFg3YO|RCc?2%;J%t=nK7rsjMNf<)B zV0K7N_lIv5`ZVo&?KVT<#1ay(#_Z_0x%q(un_ezd#n_IQ%wGrLx+rplT1VE~p?hMcwVKN{6=V zIU(ly9u_9MV(k7_9chk#gSJcI#go@TWIp<*koZqV-B$0c{^fg=<9Bc6@g9@^5C_u@ zi6ByDfKQZQl&)qzdIOELA0tz5*;2I3_M^waOb|*j4uTYs-mL7-&Zpfl|H8ze3KHoo z#>Rvq8^sv5^`^=2|5)T#*2u1N*JF_EwtmK=tk|(b0=@gKhch3eC#J{(4}bnmOHE_2 zr2kNDn2xC01!jrV{&OoJ0paMQTMnf6js>b_W^Fz0ft4!}UIz$0Ldn>Dj1%uZ2pQQJ zCa~Y^z&Wne0sZcLK>5XJFB8pKOre7Mk0$T?>6+DRbU-&U7@DOMt}%?c>=)8&5B&f9 zhC&c2FKqzeHK5u3A~#*+O)!3xK}hB4NjY<=bt}_ z) zbl3L8hDJRd;4%32#W2*g@k1oi0RmgujWfRX`b@1(kZ*8WvzAC688lM0QX3# zH$Z^ICuBhAvf_@p$@IpG`5z(cg94apJzd%nGBF*&I`7<`@INFSSD^7`>Y~$SCb%H& z>NW36Y%sFlY!QKYcT{je&Z1c1kSXXsqwcBqHcMSvtu?&PRH;ewGW2ba>SLc{cf5wrIg2Bg` z#xS%3_@$Qg|H#Z=^cFK}A1`J(8Y2I9TfbiNv$*FRKAb8tP!aJx-aBHhxj%*9 z+-~lrdQ9~7>C4ajpnXUgno3FXrMoAO(D%a_4`J5yrl~RRIQxvLt*3Km(u(0psrz)L zC#hX_^~Vo;%{?B-Nz3v%4qVF9JP&q6x@?k$JI?Ei9)|In-{=4(c#0t}K|*^q(G?fi z^BXd-9nybPJAzva3o^)T4AZqz_8h`3B#KxT#BRv7|NHo+_z(GblvVo%Mw8B7GcuqN zrjPKD(;{QJ;}N~wpNH+Tq_re9L(rb{5DdJjvjBRsuGQ`6^7e#i1x@Aw)1Z_cS7Uzl z_#_Pjjk!1uHc)9h(N_vQtHmpr?=ZCJ7@VWqv;1}cgYPQgz6Ai+uKh?OiE=+E<;C`z z=tJ+pdtNF|fSy+fORbGZ^{%CXfjbG`+RgM8haDZ|f10kJ!3>%mh@MlXcF3TA=G1hE z;7{`n-IsYxJgmFN%sWzsg~D zG>FoDg9hKy^u+vWvobnJ*fu2B4`u7`fnC$a``xMq?V3eOnI21+{)bMBhel5nOE~0`}-z=fF6(fyhopQVUH68!R9JG;|wyraNKem;>d_ z{a7^v*|_SOpVVHP<><$ek`gi!MEEYh)tKQ8tm=+S0iB>;9epO+eh`| zJYqr=3e-6;s4*sb7@xRWshak?>O7oydfQ`Z(Y|Z`N7;)V8F*vTm3@ddW)4ICf*u~= zi#UaWgfi$>oq2>P;PdN7{t$J-Y%`?Xk!W+C=!c<&)Y8Tb%Q_Uxl=T=Gn~HtMb{jas zJoTk-y?ymppV|Yv63elS&=E9<`U11-rXFdeIA*DG{=M(0!_fM@!tTVS$!!ktwhI^N zi-)*RwiZgWE$87p2}N^pUogksUnlWS#Qt;7F02^mpguRsogQmnH(lR?xK%xO2aK@dV^*+M7`dUB zFkjxXxqk8M9r}8gyqbY%ot*He%;fPm4(rXa5MrA2A1AqnbhyO{B`Ys(rn*=jxT_P} z7fmpWl|dEk@aw=|04|m#I{v>CG%xbLKM=<=aPMlx6emv`qVKae)O3^R6r*qJ{z7QZ z?y2AZl>!Y?J+##z@(BFAX|l{iH9@m!UH#kJO}kxDr%!vdN-%efb?3W=-$j&PTeK(R z38~C*FqQ4d=J2YQgh_eCPj3IBaR5^ZPcUK;(O&=py{8~(TFri$e;2a)Da)Eb91-_l zK~2)vq^@o*1g0nmBI8H!8LC&OMf^&z{zX5aPhC5k`_$^j$zPX%(tuufb+PACA4T^Q z7rSrkhF9JOQVNQ~ICf>T?K)aFWU^*8NtkHX(mB^@B1#=gbc0#Q;0cN;1{!A-@|=lX z^r5hRL=ZUCrmM}(=C`)EIvIJ+42t0zd)C!gR#OG%NMAcsRU6B+Zc5S<=xZGnb-g;T zBy-(Su=bknY7n~EneW)rSv%b?T)Q1j&GZ^u`-Q%GJ;&RjAkFtU=iGW%*V=!3kb~CU2**`@d zq$Q5;n`TVkrB9hn&#S{7{4-BZ$}U;}j#M*!^J9RFlY=t*G8K4%5-S_doWpbJIOIh( zcYI{ZRl;6<@C=3?(Xw&35&=Op&1TToogF$X&|y16?rv-1VyS2-dAPHmD((o-?bf20 z4GqscXj&aWv?CPmacoy6UvaRKs2a4?^3e61ar7#v$z(U30ncRFXV;7~033PxBDYq) z&qG@se|d_Vq>ow75V_y*+<(r^42H!$BUSCxsDxWpy*TEM^KZ^M3N2{C-N~1ByB{|< zl^w!#{<6;&{(hkLI6BZScn*1q7CMgQkpw;ICEKxCEXMeaYM08eZ9KU6MjdL>PYw-0 zza3>-`RoUvrMEbor)y^yIy^+t+I}&cy)MICQF^wHyD)^$tlM@J#6h;(Qym;DOQs!D zLAIH(io!ixKh_T;JQag0rEkorK~96x!a6NL2dn#wzCQ6PA0XzF96f+fW>vj7J**BO zNTB`r5euI~N(1W&2q{px5uwNh$KkersZ+nX-)k)k^ZFQcUgR*&NGTQfmpU->hNwk) z$IC0zud@FAAQW?>RJS4g@mHpbn>zhd$k3IzS%+0rnpZEQ0!Dst-D|J>@+Q%^pslFC zP^|OmNjum8c?`V%I=vV2S5-vQ?@>`JvqV8dGi=IM;lqvark8`>Q&HrUGjEPWB+k4t zfW*$L-q^^oG9~ku{rf%w&j#|v-G;tOU=*x&d`10$a*amcL54s%)iJq5OSlX@DR=oL z%*2i-u|-eO=F7=;ui_K5*$gLiVgT-Z6_=ZKeylhKP%tcD(T$Ua@ z<&l~zTMg;9u~Iat^IQbA93Twg(L;1k3-E`g`osKjhECuqyv^vsGO}QG@_!en3^}Oe zjqlrkn8T}-JRya?%qQ_k${bHnl(ET{s$XCZp{EpN$}^Y0>E7&^Hc=mtD`@~UFAroM z71LfYdpmFS$f{r5>B~N)t7g~#5xOM>G!e+6Oz4z{$tcQk%!a~*lZ>nnO^6@ZDtII! zr(`W(;8eml%-5x|3W=SY?nH}iR6}Dak<=S|v4d5+eQ|UxS4ECMx$l(4-eu7x4WN+S zNUDWqwz;p~UpB9U!r%GocHij1vG7R2Yo|s|8zzn8sG;kUvJ}a4@UH<2j&{=}%%Pb& z7P&lMn#}r|OD=-^91bet{>n4ePk<}HzvZi?NUJn4Wed3d>kcBFw%^{(4S128NEx z=MO+YJgf?EZXNe-Ku!$)3sfnUehe?Wyc+jY#N1@%77$+AVjvl3N*FMo6PCXt&?q-h z_jbjy_Tqa=bI`VEFk&4~vHG9DvZ$zboMdtXm@Z1l2@ z_Dv#&YMP2%j-kE~WwG(KkX96>;G5iwM7QvVy*Y330(a?UY`~Qt4PQ0!%4RNfatOQIW{qfnR)m3Aui8v>TCO!7rpz z4;r%#3n4DQ8+jvJ+N&9n8o*letpGvL>F)yWtfWpG-g(Pw@<^V{C_#9UDA79wlK)R=)yh{ILmMOH{o_qDuxIYnz@_T&>T=% znn+KCmpS)e(&;0G`JwdZ^e4?>u=sltVdnf##LA4__j%(TUq_-ViE%6al)q*fs;MFX zA3U#y{9JnZOpIx+*s1tge_{ zrfx@yOjyF6G;b_~S_vc8uI`7YT(QlkA0Z8s)Pst%5Xes4TU!C=JrQ03@8y-wJrRjo z{Cmu?;`naxgl|aXk9Oj`xj8l@P7)%{&Lp z#W3hsLRGM{Qjo*-TGF_cOMBtu{er5AFZ@hO03JBCq1|GTWJF94T-4cr+otuJ?YW0a zI0m+5b=TYw!Bl9WcS0pSVnn&UF*9v3ixt=RUyoJ7OO>m~+qeMQf)t zmSTZkaz2)fCh*bOS1)f&Ce4{YbjALe+%Zds{3*P|Y_I1YtQCr$VKT;eVHjcQ@V@G} z#O+K1jw#vG`r1w)Gfq)>B=S!~HZA;az`pH@u?w_r}v@K6czp`dyy|NPP}JE~ek$m(ICTpT{WLWu&}!n=2j`vUBkE@(k?wAcR4 z5!=jP4|@9w>r}?@)K`=xdg^%YXZv{o)~31EG2>SFo~bQ{isqqL3)OC~ zea*>fLsw192Jft+-cp7I%w(epuybuT$!j>_)BvUT#4)LyQ0N)}i|KQRbH`)JtqomJ z1FOp#ot7;)59>Z}B$bQ_x4g(+GYOne66P7aua_X<)4-AY?Gr$VR;uBz^rQM))Hidp zOI9_Xl&?3bO-G~k+=>S^T!LCP-lA%d<13TWhrboAmXlFPa4g=n?f4t=Sjz!ZW))v$ zA1cwl=81=3#s3aQ%#>-OK2i?)_4^?(vt!OMJ;aJf9j&!QTiWgmKK4A&%{oePe5EMl z_y8!Lntd~r+;_4q5;)P6)psnxkb4ciT;GG}1vc#5gsWU}%E(E_vuMXn{*n9I@u6c= zAg_qWTK2v)y{lN0{228q3&){mHErlY1HL)hVVVmkcDEMJL8Bb_FGe%fI(DxFgw$|p z1=Q?-LxYr~mzJ~RoQL_9kpjba$ zfH3kku?g3K#QxW}T(eA0Vi=z>p+kcfNy{_fYvd_-vj=61#@Kz4U!{TUQ|)3Ka|Djn zLt%4J@5Ad)GfjlB7@87Bt;T1+xq418s*_rF-g>xs!zPfbK&bm6mNFOhKzTaTkWy(gwK+JNR370E$Dzl`#nK z2(*haR_>l>^{Yf{_ogo5Q1Kh{s4*ZCRqZo|JGh0CWF5a; zefMz4jylC)FR5Z5Q(Byd zejAg{p5J-PmhJDmXlQ^f+#t8N^0#S4>4${|)b!>lrRuQS0x?$W*{%$9U9ksS3RR2* zF7UVTv8UVDX$Ko|^@IW)IFzWiq6a+1E|=w@^A?W{Hjf>fGoc4B(`Y5U&jHI)nMVIQ?In)Y1nn{M zXI%R0r_0RK8{l&%e8~I3jcF@8x9euiM9`qyjXNN&b*d9xYYYaLGHlIRXWvu741Gw_+MC`lfuOuJZSj@&^h zfM{o)%Eb*9QE6E*D?}wh-1|wj4Ng^J;rN|EDyFo0{PW}q?e{j(rFN^u3Ww?Xj@0^* zm0HT(f+JO4OY|1A)T4qiZ+BXr&lSXMPSZP49uKCep^LgK(*?nXdDCaWw8x)=cqc@J z&EKUArBcK7tmcD!@y+(*tc3y_7b+q~w~s}rEl2gT+>aI;Eo$>SczAbs0UV8HQ+2n> znu}~1T@jeVT<_RdI2ZFw&lJ5ah3MB-Dk2`P=N~M#VzC$3Dk9vamJ2^_{0w?~ch)#A zu^$SXB3nLY9^y4G7^UaVSvJ`|P?TKoxFTP>+_$!3OKNtKrIuAa5jcBl(LzM3Rc%;Ct=U2b7&}5r>Mev2vPz+k9P~qG7WnObOeNL-^VHP6Lwgv&j00G7+^c-GbAxhCU zPMA^okhUpmP0>!oT-)9VaRb`;=8dv9wjJ7434~wCGrlp#qitU-3K`$3FAV7`oI1s`G7IK4Kj@P4|by)QgkKt|;*?k&XbymCNpO5-6^iZXy~j__QLk!Aq7 zE=>JQBr{n}^2lo%Mlu<)Sw{I8y2J6*l>>528vU*33?LIS#usz;MnEz> z>#m0w*O{JmQ7OU~BF{ybGq~+5lGN0#tY=-9(G&XYj9OQY8&3$m6*9O@Mq~6QD1)1i zGA5KAC}l5ORO6{6(k@pM*DJ$~H{mYH@L1Hga@^=PBT-umZr2i{8QkhUE0b^AYJg<& z?M@BemEkrXar4n;<+$CDf37WqTP@Hq?EWn=Yn7beCQgY+b?YHbt|e5YR1@1}q=iDX z4GNIkNeEoI*a%#B(`1yP?N5Slg*G4BIsmezrZSUAl)xE%#$^o3B+_X+S}Ze()*r97GE7a~F^gWEQV@dCHsi_YZRltLKQeYOQtmc#8-Omd7t%g2q1bVNqEHL^SC zD!&+CyNg1ET+ev2XCggQ>wuX^=ae01^l_t^4B0K*&I>pHlGDl}9aE%Rbv58dX;a#- z7Ab9dTY&7;At)0mmpb5fz@&0DvkuvpMh&LZmm}yg{EBt2)zr@Z&N-HcV(p}NFl|?!)_qR$DGkqu%DI!NCdN>GW z+m!nuOtyXgn~8M3mgJd8?@3#<7gj5abVqE{n^9{^q~|0u-5#k=-Dz?t6REHWApi_w znMhrWuU8f+eE~y#BE=YD{Fs_nxqgbsMP=}jDAp3`1&QrIl_(P_fXwN0J_53`NP(5{ zs!ya_qCcl`Ohn`jH;8D{5q(@N6Dg4$lb8>`nMm)}l6+;6Zc_FhmC-(tF39M(!n>)v zjV+PVogv0AC=;nCxd~<4)U`aC?0ulSjAM$lPeme93~%FlLX>G!BE6?CaRwPJGLd=+ zE|zIi0P!dhU0ItF=^1I9iB>Ze34=2dyBx(bk@`>W45LPriS&>iEtZ)`>8FK^HdYqt zT}3*o&e~_@fYGf=bl!@DGLa(2xJ9>yGLa6e8g0|uWYDB?6=_Cdyr$t_v|U-GyX5`} zMY>aK>}J}Oe>no(i|b6JJZCZ@{>w@@J)Rt*e|E~j4*$UfqP6ltPDf~%F zCQ`Z#w{#8&W{Ae3x7BVv6YRjbM6fy~AA|=TasTDL9?w(hqNA#xip_n0=#zJ&LhHpg6nMiT@fgF4g#WIl+ z!lXW4a#|uiFWDop=~vdK>U&}8zBBrkiIm#Gvu+o|H*V+G(vlEv>*M#|R_@!4#Awbp ziQxUk_MvSox$Z(d(+VW{ZjFGukP&5C;f%B)YC0tiFfoIZYoh)Dlb z`-v|ku4l%v*jSlJ$8_eb?j!lEkVqY)G~W{KY!a!9#eBmc!`c;n?ja*eE0S@-(~1*u zduvpYHdZ3GF%E54l>)FcAzY8IXxxgYrXeKSeEtzxl@Jm!KkVyE2l}w~mNv5D~lo0GoP|h*j6zM~W?T(cx&9^8Rj})h|(GWtDSf;3M zPzd}#f!*;vhfD{g)XXL0LKBt}g~xm+0_ zn*<2Ib~zmtrhr5i@J>nWjv9=giH3-jP3D$K&pM;sYl`#^fQi!VO+xUg=cRZ{C+&cC zV#pAtDttd+BIcn-nxrK@Tv2=S!;KShNtFto_DDSg33 zSf`Ojev`ILq^TyhJyN!lisVT&7F&T3;wF+1Mz@8n^7sETA>cAZ4Q8DQLFg{tO@@z# z5b$A!UL{d~-!iS1Nrvo*EyI0PC{3g!L$*lMT=IMY-x>z-T~Q0)jfK{v%Ul2ufp*1g zR%SJkMJpVM+gBydW*TWcgxGH3#;!jH%tXp9oxMu(%kfkK_Ss;9+gR)aS7Wk!8LV9c zMkU3n;mrhm+bGx5*AD0#e9G5>!~Ye@ee;z*vZ3gTAvL)=N{KeDd`YYP&pK~7@N$k~ ztNMl7?-O^SR1zF5cf%ILO;+vKH>-`QGjNXYkohd+9B*p{Sk?31mfT96X1vpPjbTslZ!vI56he^zJX_}Mh zfv7DlK#_&fI;HhJ9%~Zw-kY3GT56WIcwC?Jkx!&v3nB|`lW}`It}_LQmvWF8inwL+ z?WPXTOVsOorT`s@ePDky>LDzz07WImisEBcrC<^1Ej4?=&;}jcszi**#znA8i7HVD z*a1X%YvhJBdI2Xx-Y2+CA}le=?1ahuy{ICSZ{rf zBSk}Fo#t3VWn&dgv~_H(DK!>%TK(L#L`NRl0OX)P{{PN0eStPTCXC~mp;+KwLTEcH zv7KtfeUZN=y&l6fzc)Q?+E9Ck#il=OiXAbNmOIg=;cd7*EvIF0n?5%r4rS8vz6{bA z_C=#K1_@Z4gqteDojG9onTv>@et{6_J zFYsM7bfzUb0fZZiXBEaIPKh#rP%|L@%^2%Uo8FbCJN*IK6I%gI(3${pNJ(^CfJ~ds z3Lue1g8THAAr5v5NFufsSI@19$x<#KOQ7r9%k%hKv z`ka-~O@t`IH=?PH&io`2hfl?6D86|aOtSZu{GF4w!RU8pO5k6M^y$i)ObGyl5S+?U zrT`g0VpFTDVImf+w(MNpq8;eO=UYAc8y&c6eX~|4=RAN4VTa&cBo!kb*y_Kcqgy0?0 zhpGf+CO>!WXt<%xW(M9URjj~Gy}O=B2b4`CI_ z7wnmywM|XW{LPJIdX~fLVws-BCB>j*Mk6w5!B6_aZACb&Mm&sDqO|Fg$(TrEb+L`nReBHm6&u+aD|S!wU&~D48Wy|<^zjieQ^|d%bt$S{ zS@sU9#V)E%4@HkN*?T!g8viXoFbyQ8&-~6!Xr|y6>chosi=+>{Dd%?HWY`_5TYnzoH?!Mv%8~;ObB~q z@TO|Wkyt|-LO=l*0<-!Yi54=g;5U~&-v|N3Ys1H0MauKWU9mOrEps6-r+#oT8qE|3 zhK~o*b|!|fCdFYaW=3=^nfFF7mNb0qlp5;Wp{Ner8m>=wON2XmKAwVG%=dU=*nKDw z9;jcVl|-2~y(qD*D){H4#~4*qMTgWb%7yIWMlJmNq?vs4rq>yPGWq6r7+oym+i|(i zl;CKT%jDa^=(^9#Gx_Gmd`%(&lJ9Fxq+AShY2jKk<0-R29`c=w#v5jXXxo=)XS1AO z6bBHC-Lv3jCKwF2`{dhBLKI~(gz?~^dcFTE@JwUT`M5c>kaWNpP0+4|`?g6yZH!K4 z8jGVmRXh{V%{10nv_OqRGSgW8HHC*!8;R6V94<>6Gl%&omMIR*9Joe(G&6_E*z02> zBvYvZJ-|4n2)SIGNWLr$cC`xu#;XHL-9zpDluW&&ah5l{AdENk(~Z#uzSx3Trs;XM zz`rcNAz+|PKi#H7XVs?uzuGfRzd=UND3Pwj5Hh~a%IHQJJrzu5eIrBoR_f&>-^jy+ zLar(LD~fVdS~-;FTQb&<=+Hzm4Q}p0W#nN@CX9kx z!^5BvHT^?@GKP#O)23tyw-B$#6v(vcxHN2$=(Q-8Y16Y3nUuE4V6qBtWNpy;RMfIR z^6-t;sg3pVXt5%R)x`DMdr{g3#rEe}Ew%Tid~>l(?LAkRvyGM2UaBP*cSoaE^6kCa zPaYlsh|fQi=S2r>0OY<>lHucgJe|gBxOToOKzM(}$NEftWAbxd+T8e>On%NJnF}T* zyfG)r;e*3YnV}8$&+2nO%F!1ZCO<&QHH`JM(nhbp5QJLq z65NrP&)-(o7Z~fg3GUj;Qd3Ftz0oIMm3_fE#Z;ztZMXq`7C)F?& z@m?~2Yv?k-OYfSDMq7rD;RLspb(y=dw&6O|&}FD^JMfFLC(s6CLUCH$jLM1*cw4v)#bcT5<&hWHS;ynKne3g5T5d}eNqi%;+T@4E z;s*G5a-G#M)^l+(FT-b0CCW4w*D^yg_%Iq@Sz}S(w#cZNY-}uA!4t^ER@N7GO3hJy zu0=p-_J*fM+?bVMkVy>_r%l9mlq z_XEE5VN_QTPKKW{8@D551xBJtt z|21@H;JmMXx;su+GTnJrn)s>vZPDXQcUF*#O!Ia`u}pWqC$R}>^GI<*7!~{41rWbZ zaIuVU6!(-ezWHp%MKiu_lKVGx<$nh2_ZBL zMobn-q^W2%lf7t})=cbNEYZu)UZ5S5!AZG)IvE$NXjQUzi^MiyH2Ri{R3|Z0olY`@ zwoVA6S0%Dl+MJ!qkUR2=mry689Bo=_7_?D)$Mo43#nRy;vhcy5`tOW9Lg4h;%po2v zR+-vMR~eDfEiuwe?d3WKRTzTG;$Zt)a%fOu$E0n4T?P~0bCBr_p!@9m*9 zUXN{>$u}lHyh!PNA(L-ZOG?dw2>tT&4ezOz+(N{Cs01Ve`TtROhA@$|Nf^G63t|NV zK`w|D2n1pT0)apv5C{YUfj}S-2n1pTV()@LAP@)ye}X_D5C{Zf1Y!jOu>yfWAP_4^ zj$9B3#B%S`UDZ>6r)%K;A)DNn^z=-3b=6yM)mQ!X*F7_((~KNq>#th2qzW%z!??Gh zT54yGK8R9+4MU(6LG3{^5 zg{e^A8bbf5wEU*fZ=1R#^s9ofC2c-dBBmA?#{n)*l0P-a<=cpYj;cQEBS(GLrbEep zGr1%~=dKM>fekFg~97}o@ zSCl0BfMNXWJqy&w(zGrD{QvIpwBaO9eH+kqM5}jwBi9a8NBq==r&ZT0-SS{@?d;>; zBzy64P8v7MBeQwSWh25b1d{CK_euCV{WYCHEs4U7@G`*IEv2&Sdg|M_u#K2|e?1C@ z-prM&q%Z7=kSN#f3l+&uZxl=F+kxmT+pn-U6M%k3#e`)RX9NZ!J>MpMB=H^MLQTm8j9*SBgBhp z(odh%M+fEUVaPY|(L#nW4ng#w+>`ZFs%0?*HEqA8pPqbbKW)jwNfoax3y1Q(Y5t88 zy`i3UXf!7$qX~({=V+7ejI9{{q&t&qYZ7g1p~dc8ZYhQ<{;iuCfn+l-G@MU~C%3%j zP@@L6hPfJUnKR;YqsfVq5Uc7*g?^t#a=^j-yQ{n5)A1}8~wTDLn_yulfBZxG@!g%Apt5ukU) z+Y9?V(3_BZ<=dvn*y&9ObI}(f#D~W{2w@Zr2wMMyK*qCOd^Qk*lg<|gY2AD)KkF5- z59Zr~su8`*TgP(}HLPjq!-)vimn@d$A2R4M~$*cYL z#_0{)@$yAWNAdLEsRUcYQ(@O;*&jboswD+~o4#NrI;ocX@|0gD^554=DmnOeCAz9L zEvc42gzZ2hhc$~DM#<3HiE>Swek$KQ*&B>z^lD1Kii)&g=SZ7Yq|MLH7`0msdRH{G zJ&BM9rR1e`nFgb1V~EGq(Rq1f>Z#FcQduJH8QgVC%_Lar?1fi1woZcOb2M(W!G-^U zw<&d*5qauEc=FBS<_6FviQ>-@R&8VA!o6hM^5c=zP)l4u8@pfWb!rVRfWeEyx-u9s zJ}Z7P^kCylI?P@AEJ1?pQnF*hc1-?#WUJ|xyqt2CTue@dTe4z6zHe4uf4IM?VGTTmC_lu zXBoWJa%J@`i2}*JDx#)LTY~LLn$EQFfEz904HqV)ZOB|ncx%apTP-`LEjef1SS5e5 zH7+y^30lGhCjak_#09>2Gs1%(R^M=;Va|aW+7pTXG8dA88MnP=CHCC+oCDEbR>9}C zTss7-RBRi%Bd%+CJA=DwnWx&tjjD;@X{Dz)u;^$j@^D1jp36;HBJriL9}=4|u_Tdj z<35Nb*j6R>O=6}jOQbuAbVP_hz>p*oxMs94c%=2fHm9`YmL{#C)Bs=Sq2fOXqo+Em z!YUDx;)9;9NfdmC!DteN4Ow~TJ|IyTl{UZg*~8OQiH*rPEr|l7!HM8m(w#@8VX@Ft zz9dng_7YdGAqh5RWbq8wxM@tV4Owq@y9u_v8kY&SP4&@78J-SC8)C{PMhxkeNx!w zjjV{RJh14_ANcbv!pJwLxs^F-+7fJtGI{0qj1p{k%CwH(7)`LDjmxoj#=UdGG#7>| z3AS|^<;&?Ss8vg_tw`Hx7|;+p4e}ZjC zUecUDj0S4ig_iG%0=>({5~H|)*gVInB}VroLPdHirLqy1&tMR8T0)2v6=Z;kmSAJ^ zm}CnXy(HMSrH!fKuO^oSYg4=LB>GOU;EkAWnYIKQcmFxdj0B^#DDV+N2z}ec5<+$) za-wC~#PHNfvQ20M(}Bc%zBlod&PK3&+9WYbmfQt;6HggI-$-oR@-B(OO6^>qV8bZi zjrGEnU?WhQT6QE(OR%-dz13@ijT5D7VVz(*m8bU-oiu$3wrP2am>W;9jmXPkiQQUS zB-j|K#xDye*f8R5058GDL+rZ>R@;mw*d*~bqUG5Vh!5pRV_2-*b+}) zBue8cPS%O1{4~p{j4lOi_Lie)V7nBylOUF0+mk3|&`(A^JmqB6LcLm|?OY;1wM>*! zS#j7gLOcqIr{6`yuol+_wgyj`M7WjFX)~H&<4!TfYa}F+_^HQqMp_;v>iLGYT9Omf zj*es=X}))tAqwXb^S`M`Jl!)wCY0!Sk$C!3ySPPy2rcn+PX-tCYb3We>z?G>iQJl1 zhbVQW`F2E^5$!AHX@U(;_n$Qm!J1lvjaX8+e9(34BNl2g%Xcw$Z$pY;OzL-9r@oH19TrvclE(dvgrPXjg{ zwH?&8jNda#LccE{j73~q^whQiXI~-=F5HF0Qyw%Ll?&s>0N5Dp*MhZ$MkTsdMN6cH`|8TFz~gwwglUuL?85Xj;<}i25+;n zG-5>}!KTQ+JxkQZ5^RhdI&y6RplS&=f^;UaLPjqMwi_9|mtl`-?0|MCc2>2V>Z)zb zrov)c2CxR%NF^?8N$iWTZCe&c7ebQXD=kOtud*-_T?k2jD}$qUHHlb~mUKXd zpWaWa4%qF5c*-N)n@Tz~(JD`?Ekz<7i?>pse2tz47mm%tcvHje1s7t1qc3`DR=`$o z?rGSLm}`SpTEk=lA{}+Uc!>=m;^^(~4$vyORK|yj6GFB>MhIVf8_+ThFtr%^K!`&x zh599go)rfe{Sk65b&iX5BqW6XRK1<&LtHdwNbvMgZ1b3H6CrjeF&%a_w(c)OD5{@F z2nGL(Mh*@!5@OqMq4lv0*;RJ@P-Zs~5_lVNYqsu>5KbSTM+lET4!uvv*vAN=N?yND z$mpjMBJ`g~$o0p%%!9hjVBjt3G7K7T5<-jw^6l%V67uw^gj@*VK=3r_GJH=ldJzc; zrDjtZ;@1j@Cq;EEs?z)Zx(v5(Fy#HTzoNPdY-#kL2uKK7P=@fpqI(hvv2BE&+Yoau zqje-Ch%F%-T7QI4KYU&gr9BAY^gCmQtJAK1)(TiW^byag6(QOeLciTTs(6Y}J+mbPWYK!|^r#|+gdE4C)%5C#{&q;1HKX?S|GNgKS?9{z_f zDiY&MpF-^NR*hHCMj3n%cbtA{c-nCFaW2`mu8eL`t@hVLkAi7=$#Xvu8>qrHAlTN0 zYgvol7A=YCF9nPC&0I)gdMGkh{;iuo5T=&IbY3ytm*`?CRe0K8Oo@VP>p!N~(l%?@ zl9;}Th$D%aRCmPX8_F)j_fdAV_}0i<=ra4F_f>!TFw~p3Iq`NTqkg^6e_xdF!6O6`uGm=QlDW&8Gc;h!FHpJU_Z{8Guw_PnBh5mROQ50HI^;>xxP`@Sg?n1&F z4~c(CM))D$7|>3Mx0&9&&B)VZ8C@`|{qaWajXmqP{sG>|wW0TU+YxW0;%&J% zZ`=(ymA9TD|A)MN`514zAImqc7Y4g}JA(pN0)4b5etVlFiUttj0f*rEa8obT*NR)gAnkB zR{L1&X&?j~Z5iDXDJ`1`#b}rmE-hFnV9h30$xxxt1E0o$0wHZ<$L&VIE78~0>lORy~} zT2s0ZxJ0#Dg6*uESA|%DjT6z0%5))P+O(LG~O~# zrR_l4RxDVFr@J!rDs8RaQJ9d}hVG6X+f;voZN8S93AQ`xhcaZbcZPHzL@^z)m?lwZ z*J7Gr8<$ZGAB29|kiFaySd-X0{dCLf+qT3BR)Wp)r<#3Fu$>FYfoVcp!rO&*wb*Yh zi78WIi1~$Q4{WzG>S75t>c@)2xLZt1uqnUaE+jf;#3b0j(oqH%s`QdzLz|?k#~~7I z9ckc}oNG(4bp-t`h$Yy#jX5t>esPyzBc@zHjhod38(jexe8w@s##0HK?_=AOww4)W zm0;Uda?fe^JJ@*gYBv~7upLR{1H65g(H$9ed9k=cNwjdeB&9Z9qo2P5^T2~@i*q6{i`8tOR(LrFSLlwHlyuDz5YPBR`{TnU}G;X z6f$~Au(hRWQ>p1<88(S=dULS^+YjGw>jWE9h>uB3?MUE{=*92+vpK;wDNRelwq&P| z1ly2ArnGo!CfJlmZ)=(b^{2uZ+-Q7TAG%}YPDAHAfT=;RE(_}qrMMPEXdEUB|Kxqh zUMl&uj2yCE4Qw*}FW!f=n4_9lf>Im5KFLe3z#Sr7WI-~ z(=}c71R|mdHjKvlmSCgAJcQzvU>kE#wob4u%j&U_QO6eYjfzCkccl?q$hSj@Tqz2k zmI<~?dAbs`CD;~Zjk{3qJRymZ^ALrI&G3@shzxN~?cM9SE5^uS+zw5!5ksC+HK{D7 z^V)xu-ToyI7P_Gh|B9M2gKLYoN8-J2|zL%}D7mEvD`!`H<)AR3ISO z#%%Hq(`{<%A=Qb5G^Qq;GmQ4{!Pvyg!mFKQ>A?2by(ABGZgoDrEn@vyb+g4{;rW=L zLpxr(bAoJzV}b&#{>k%^APD1d3V8)e$T7k_RI>MxCdgb9ojb<``10_3m|Vyp6$K#=PsN?X#Q;&5jG84R z3Ws!gZVkqUP+A@iF{zXk0WuR9^}&XSa1$2IU=W(aEem%O1n(!O z;m6Y53m;*_V6N}>k++~48#~+5)sqF#4VijzSno#HuL&S+5@BXX7**v12yuW^X4aUR zXmbrY0oT*BBh8tNf%o4E)pgTZzlLkGmX>(@mk f!Xj$i)f~%`Z%53`&A`mSL@exTX=6gn!O29- z#LdXU!pOqJ%uTE!O)P8aVoEG#V(IcVwt))bzbU{K{tI9PNdJTXvClvMhkJmZ>B6NE zs3!ZF#0mZU)*lcZCSjtKGOe9}O!FY)PHA~W-hT0ePC64QeBhf%1RX943hH;1I3=Z@ zYf9D1kfHXVW5SfuFf2LOUt`(H$Ft9*fE-_8e9diX*&<8^ znA1X@DZ>I{Zn^@;PvXH)cs492ki8Es zb0o%w!8DZhp#Ys@0+BvZF?Z9L%F6s#M0T7_S_TWgf^FACt~vm-qMc%}BKBruS|U9U~t5EVdYYjkh08Wb~+&$wdfb8!;b$ zxs8FM#m>Qkno;Q|^R(2<851IulCde2(&JfZmz5#TO(Ku6yw`DQAS;P^nQm$n`ACYU z2JkKObw4l&t@yp@9H|Mac%NR)hP+e;Nq&S|bzp&mR;_bRD1e4h8^FE_5IDY?%DD)Kt@tsC># zM$)TflW*&BBa=$}-ttOzmo|y7oJ=URG@Rk>;;(MKGH^vq zDS4KcfL{$E7CE;Dl2%yMwfsfcCu?!(#OMOlzY5aY?n6!m>zgZlSKeyobD}(^Y#jtm zzFYLzZR6Y{Z5g2Eo-v=7z+Cn#4JpklOu?iKb)GnkNZ+FA4+&-UYlU4|XT&HR%B&0w zy{Q3a$lWjpdQZ`#bOqXbMb;B0qeeuQ$IUCx$>k=Y6bQHF=4M?+9%HC^eZLzpYVNGY8{L2bsJBgO=VtTcr~Z-*ijQd!I77n)tZJTzJHeC&@TgNM%a2M?k`_qLgp4?-$Da?Vs z0jxtsoL9EbRKI3d+WQZw>svUO?Nly)KSx`^tm*jZpe3gx5V(Toa&ssLzuGJXu3#o1 z-LK&E^t$iQXPj{g{ExK!THjkagib0d+TB+fOh(YEn zoS&$wi~Zs1ny5LcR%qqJSaiEI9t&;5zk{%B;{CL}r_bRjOl+oIi-rPiO$(EZrTwP; zj{%z;44uY&`&|#Oj6P1&e_P7^ZGBO0P1%}Ms=t*SGE`=JqZ>U;Op%yrOO6q5+UEW; z-C~0$71?8Xh>s^ku#nKiiARY1K;mUlN_~jcY?tgegwrzgPy$n#wKmPmxDt$4acL3=x!XI(K9@ z%_qV5%%)f#s>Fx8u}TsgyN=|l_$r);q;d_irluJL!o7nUAd*^vbu%rvw^S7~9T)cuhUSlA?PRF$&hZjnMfOdg%JN z`RY8nsmFryI_f`0c)$K@elep{;{pM=Q-U#misju)5(GZO=D_4eF%vBoE(?)1d zG8^++a#e6%d~u^UH>K~^1%+_dQXvQbCOfJphHt7n9(kOTPXS@{V-?@~iB}bRG@czq z67tzkm_(}*+e^vE=l-nd5qVN@xsi8i!wCPAuC+*i?^$A0*(7$DGS)7)K;A{F18e#0 zWGsoCBg$t`z&B9ixKv?ri)q3~FE>;AHgn74*s^`3LJU8jEk9%0qB@-IkWcjhs0=Y!HV&NZ3yVl+)Or`^demJj-7 z3Bs0S!CDq+D4LHuNz72}AtIZ>n<|peQ((b~E4(=PDcxms#(SWb))b4-xXUEWF@rMM zR=(mY;$2Hm3#72jt~a|X4H{l#cD)wlO7)wxu29}^>3EHHToqwh;0iqzdT6oJ3hdT6 z{blph`+FGbCzW>1_N+B$i{7m3cCTX7!qhDd_DggVbI~bV9`vWJ&$RhT-#|F`b5=uD z)o`Hzk*9)~fI7j7&+%O6vAwqMvyp9QrBMZfikLzhQ&L~IAJb(XJ!Ka*^WpGgzVQc) z5!|+h$pPC-GD&7vA=&4nnF14Fig{;EH|SdO;uo&ic9)C(L|gU0n_CY%&%us#=iJ@qC=HU74}a6)oUBUzI(Dlb@A;R z;5y|c>itplp#IH%stjl;LG))upVRl3sQ@nwqiy1Q<8NOB>)D-L`a?xv?4ri)>7lZ~ zB0(zm9@%Qi=GK6Cly9|c}QS40eDk516O;6;CrucW(N-Hn@nsP4H}&QO9X+Bm;zPv@lcrbHR=-0hF{|b~(?_m^UBmk$?j=lXPy5s7)v2eWFNXHVJ{DRNgpu zvK=}B?9VvWw#7)m4RzlJF!%+^<+P&PINslG%bd^NHo0pbfApXyZUE+-3<&JLd5Q6* ziUQmmlfAys4!e)|lin^ra{F)CG3KUk9`S;$02 zSD>%8^)2g(Jnw*zL*3;s$C+6VhSl_z!LGgpFR}anvAX__?-zBZr*i?2KBtS0Cg(MH z?*?VIO$W^zz-44^0gY9d8x78u``!4dm%yhgte}%iU2e-oUs3xEkVE){b zP?772==lJy7cn)pS@%Fnb$I`b!+leHVOc2W-*!W7u086$d^y`SvQ1>7eJb%bXC*K+ zP5SwF?-sWhqg|$x_OoX8gWlUd{^ipS^tsG{bF-4WS0B4_qHq)S;7o*7lTGY$ih;_<*Ch~923urfP?thLE+_#a=3;d_rW6BMv_@!CFI0BzYUcN1&auzJw5j~)a{$h%pz8Wm&zCwBbu`vBhJyM6WE1%X%Bp_FX>{wu#E+$J7R>hNSU9e%MWy? zT4aM6TFmL})L|Y>eQribY3s6nkfcT;6WKK`28+T%a`k|eRk^pvu_@oJSY*^VsOsi& zGjKS5%KOCb-gcOn*1(BSf*clg?rgR!!6}&R05{RuRoZ+Ejtzvztx&5&R}l+*q}Noyu@h5mXv<}Sw^DnA0D=bb1QOGhnH zONiE^YL4M$^BNq`T9+Lx(%27VOHl{9DLNA?!v$MsUR@fNPjWX5lhG9$^jRYRFx7)e z*V>She&8w6R6yglqr1O0EVBeLbQDRYI*<@n#NWLelHvxSLGwcgQwe<{8)pmhR@|#Y1L?DBiE>{GuM@?u@SY z#qsvRY4gQttBj^A`x}lCBhAOqwPZQ@=2Xgk4t2PYJ8j&`&}%^OFE(X_?Mws z#8|Ic##paS#kJN*udPFEW72b>$^P)1zUTCUI=&8ceID<2g?34=3GIGCvtWZq z=AU+H+Xfd}rrSYvQ^dTkHEKoMEUB7%wpir_O_atuJ7#SwEuE3;qI&;Hb#=tNo_5y7 zd$Q#ZJI1Mkup{5A(|5|PD-L;1TM}Uf(L@{q(Yb&t#i}g>Q)H^i_(aL zc=gh0(^jQ=?Y{LHv|+IXA(>ZiMGqJ}x`b}ikuS|*py+JD+tzBDv4iT}ozLMIFos6b ztQm>EFA^T`4BB0S${BGtaYY?!sqGQS!D(qAb3g$9_l_5bnRFl+gX z`&T6JqLwa{jn=bSY$A1^jXbYQGD%@1yjAwZq8-BIX4XALAbD<){;-L)`9-zCd)d7t zAn=ddRfW!6s3R11=+Q?%xS1L@G+Tc;`kL71?-{AXtt^i8iI+LwjXS-Sqn|INm=D@0 z2h#p;!0mB5mRkJvkF{Zg0!l`FA`O9^{UL|E`+9_|`#x-Ghuafk76Z2%@!BXie!xr> zuSrA2K_U3r9wP4!6Yn@waJ-@H)e8MSELl5SUV5{9!6A7_wA(Mt!MD27A1h;a@oAgX z%4oN|Vv)>UDn;1;4+&+e(=0H9=Ml@8)8!k4tWoT@r(|>gvozAV*zBKs+CE7B%hjaAmtkNH5 z<*m1UYk5#w-0-e;FkS#d=P>`I@U1eSIYt-4lK2v{?ddo6jsxfP01njy4ID-+QtLNy z(+fApo0C)){>tc(8}2fyrE-d$EP`4R!`&aY!xdX1yY)Q0&f0|ybp+J*mE0UbDF?F? z1$Y}hN?5db_MbBnMCUf`W?Mu$X^cv2O3ybcdd+X+b6%nSO9x~rzr007tkpnCTe?4k z+?NJqoP)0oz5vENeP>p5w8eTcyaEuq1!CLP4{`>3zjj-i%}JI`B<^l)^C$Ry;}m@J|Jj z1E?%x`IzB4P^Fy7OXe&kh=swa%h?NUUY--q_#%x`8`HG=A*!mY&+#ZG@^GZ=(<4mZ% zLQx_Ct&<&N45S$OtiOW;7H`}<+qD*j!-4T(xsps?5p1e#JUjI!Y?UGtg+9d`FraHw z0$km_p3xo7R8J;cnfKADd7hjtr}=oL33Jg7?B}DBsQ5-gzS)BvL}_Efv|A%2LNDjq zx|Un1UOs{RM0*P#;|uK6oiT!)kl37%_Q^HB6tnU3t=?gnR3@kFCco#G`9xZI9pLfz zY@wvZc;1{y)k=LmX?qtfbVr`52(GG?`$5#z=$1qib$i2s&UV$VP>e?uAEa(w#M7Vl z@sY1o3nLr7k-Xds$6w$rwE(x-8`-A0K%ILDpP*H&Kjf+1KUHIJe^0C7`wp+B-xV~! z_`mJ~U)K!*-i~^c&4td=`lyf=g!*7RE0npWcghCOfe~jrLo;iX;-Cp~P2_u;SY6}l z)iW7f`z;2a|F^=b^=0E zDkB0#rvQl%;`wz_bW%b`4zjypAX)1 zyv)kl6`cI4TY<>`g47G)G^&)#)P&d241%4Z$mbFCti`T6vn4Tsdu$Sh#`yJKi<+gr z1aWq3zgfA)PoT65j~mo$QgFEuoz7o}_u{(cvHmeFS0M>&;6(ZN*i<2Ou8KSjSQ0#3 zkl92VtJ#0LExnJCw}ebs|46JE`(b4o^Gg|LigaBTSx{7s; za#tN-%I(v8)t+t&Oxh50Ob`g@)>X$dBrdpW;ui}joqlHHZ8TwZgMITFvu$Ji7Kk<71&ShnJ5)SF)A^uK z*XngWGa1-(G5S@!*ehnx8I*f!W7%@Kadihz!`71CsZM*vPK-53Tw@imIY$B;&o^`d zm!cl`G6@tyTYWF8+UpV-huAl=dN^($X zKCo?-=i{nJN~m94JuIR@do@b6#1*Q9yHMbArk_kNc168?QcJa6 z@7@a6Ce`<*E~RQ{9hwx+>r8z_>w;KDIe+W74u_ z4Q6z`A3e5tO|(y@GgSH5Bzc6*Ja^2@xIC@VfhT$Sk}($TE?Vq`c@I}bl-*w3^7c%Y z=}T|0Ow?MjoCEKHv%8@PX3*%apTZ+=P+1>()#AQfYTMQ&5 zUfX>n7@yD|`o^X2!J58y3*`4GRPhnH*|d6GGbx7F^_tX ztZ%Iug}BG>dRq0);bg9$yQJ`OYQXVC=%1})weM#+ON>3PV+JH1B&k&<)Fu6>=7Xud zB#!;*mj8ugd|cDO4bv{iEES(qFG(!@{>gd;&sOf*u25d)g@HsnInt&@2DQj34UNN< zM?Kq0=eOd?2lMmVdaEfX80t0eb0Te)8SZ6MUHp@%?~|kfY3KbY#vOc(2IF>G0kyy` zlb$r&QWRs`QZIY@h6x*w5NzwH#v^8LWRk%ZH+pPm5+b9@D1U@7cPAc^XxBhfYJi_& zo1Fh&r{j4GoZ@SunMzW`%4jop8EuCW>hl8|~=Y5rWc! z&Gu z+GnsLMqnwDKzbNw>9g?fpegy68)suUg>{VD)0eGbqPK4-^d;SLFNCqwVpkb0q_96E z0%Jp=V)vd&_sZr;{d7?l2>h4TG~m}yM8H;jglg%**d8GQ^prz!rvnkdyx`%%u_@uf zFcYU!N5Q9KM!}hylOYYx7-72qT*4V>!~6AVU@EO~xmcqS3?x@o2%~%?Q%3Bp%ppmm z4A`n|ikdU-6#nkai42GIt_bBqx9c|Y?PBVHxCTSk>y`ZE||RBIjg@Gx>lUK#=j5e&SiPCqwFSNJx*)braR`l<`o_Aukew2!dNP)4}r$f8q73dq-E7CWcZb(H&- zDlvTeN7KxY8p)EYBMlQFx$hvsRX;nALARyqCVp~rtImv!nv$2fL{t1NzBY)(MIGLy zK{Gshd`vkP0zHpjUl-_63-=cJ0NaN6MQJ011f{>2FOTq3!-)$DXHxlypy&Imxa-_Q zugK4Xfp(CUGy1RIR(Utj20`M%QwTXH9xKO6UD3g&{{pW7 zi2xEzXX|d_da`Q~au13TSMvG>{^WXJAvQRci;(mF8~g))8a~>+dIaeXf~@pA*`eFX+7Br{8aZ-iLu5^5Jm2@OoVOlcnDvP?1*g3-Y@rITk0t3 zg_(5ED^ZCQqk@=$7}*E~%}MoIF4%Ykje7gLV&DM-kgEDngtr`mP9R88%G0jUJeFS!>zF*As^B)VVO2e#9UeuL2|! z&mhSN`H+x59Uavotw=N#VM3EhucMMhsd76puSVqDW&}AH28mh^tQ+j^jk4rNJNP-% zE772765z;p-V*nZz2+&G^UjqceoHM{F{6nakfK5r;ZXv}A3Z znE5)CAC0?+-sXV=kF1^}E7aN&S6G}-zi$M(zj?<48Fqa4nii1U3p1Z>L+I9o0}T&t;Q>C2I*-3dgHaAl#h!BZT7`pelsMv(TjK@>}N%>&LIl)_nw}DjKBFy zycxju?u-f=BHm@+em3Q*A@NuB@MD)R-F{oT!dE));G&A<6-&A{#OK}e0iX12en#iY z0p0dF$omog^QN^3FS7WAT0syr#tFUjo4#tkr{_ZM_1S;{UR^M(GI)AqMYZ3e0!Tk8 z_Bc^CjeZ>le?U!F42s=g6;yqYo&80E{-vvo%$@qA_&ws4m+$anX zyA*u+?b99Bo7y5*gzh}x#x>*cw%ZxzTNVVtrQjQGzdDIt@RM}EHL8B#)6f1h$Qm&p zZlk93F_bQ_m5uOV-&3qSX6R+QF`U9Q?XHM#I+l7?Lb$I8>Pb3+PO<~J)S2`jK(Hlw zfzVwHOuf-kS-cb%kasF^J-~9poz`KEaSi>NY-r^a^7=X|CWOhj-I^XF{0RnBP^7GTGS7xm)&v%qJTW{Q4fG{ZO^gDyF43zRX zpeHYUI$4waR?3qZhw9F!v;j~t;UPR|73!|z+09-9Yt^a*&Svg+Zd2Mbp1tj!6|HJ+ z^gu(gsrHaJ`f$H}TsqS66Hw+zg&IC?xkk%Qw5ECc!S~ zG7w4F`Fg~oDhzm2T7La4IWm~zAF#S*c^SfsOsMpm$dLIso4@E#>adMV=Ll1H=dk#p z49uL1v2X9Pk8N2k^k(|MwKc&4gsW>FIZQHJBB^|Azv=)!w6ud-OskNTUuA-{QY=go z;>9M&Q)y#SzimK!H`$yIf;I=py8WX%9E#q<2eZciKYbfL+=JhY3Kp%ZDSyp@kXTDu z!Hv*a^I`wZ%_oD2HRD7Ht-~qqSwN0b&z^C^MUUI`WRKv>UY>oUXsxljQ|xV>uR%t@ zVQaVb+_29;stE21Gc(9IcWEO;W>VQ^oQ143u|soY&7OaQz%S~69s1ys+&y@LVS=m@ zgnm6KjVBcILf^S0zLewQ5-JAB-wAV4nU?kJ8tcKzL27O+Nh-#uep`4C6^u~}9 zjwd$s6N@#_Jb%IvtPLAui)0Ao*$mc^kRKkW`MuZ;(|Y1tay_vw)cwc>XghuX;Msvh zwa)QY3dk1nz-G2b;|uZN><5r;5HNFcmy#U}ZstU^Y`0_W7>Bs+xRi_blZ%x3=jk-K0;M14JY5|^TUcrZgS8+?>RKtc!Pok9l};&+?=tkbkdegiJ^ z4X79Ph?Ybl9zbXLLrg?$f6rsHQ~UP)8cBrb+-XNdOB3pcXP$1rEjWIMq;pjt_e0xs zPgNDp1Z;f&p{6xS763p=12r&L` zIV&&T&S*5cqw((4-;Vf<0m@WN@fU8#zI%>X+`$&Xjlb@bGkn?Q(#I@Ge}u<=B{4`p zVC3+Gd~fF3Bs@Otap#+SCWf@PBDDnF3IZjv5wfpYlWnrpkkJKaq2u4<6)zm~9LFiM zO%KCKxb*8mQi*&ejLJ=Pea}OE&H@_UK7{rX%X)zx;vOFqq2Ogr&_ft`mMuEGPT7bh0%l=t|ow8 zS&oaPnw5CA;k<;%K7T^%zXN|IF(&Neietz4e;c~uVg6DqM?435^JQuO1v)?*>3!ya z(tvtg7|e@OH9|h@JBcV=2-g*;`cWQGMiaa{hy$}fNS~)7*v1cYtsH) zAwKkL{)k7BH^_dSdSAe`P()YoU&w1tRClruh5j|Nof=Fxp*NJBL*fr|qsiB``WN1Q zpwM{Bt3ZE*?3T_*i|g^F(5?{`;vwk)pFop=kmQIcM0U!kC)wnfpps9#pnYl}Jo5GP ze7=1d^1f5*J`vsk(0cfGws@;r<7c)1d-4Jx_PiB-u};YrX`VDH;!IE`t+>O;I>(O>=zyI7Bcjf%xrW2&~%Jt)z)9Ux}&T|^BgBw<)+0&i9gYEM%i_iKcq^XnEtsDYo)>* zx2;DaeXq1U>a+Vz&5~EByeE(q5*Q0$1^O?UT^s;+1Y+}`BA?v%XGZnA9>qDu-1RHp zl=80CcXMREyV#d(bM{`r;yZ5d;0~`l2=FZ6TOuyL4vAv|kT@yABG&~iv7)G@S8nr1 z3ukU9Ia1+uo(=7RPnx*Z4U6jzFTWgGMZF zk^VFcSR;s4EN6O{6g@;OD_MmSG>hcM0u#^qZ*?poZWBB3tGG7})iiKWZ6WiLDwVnN z^S|WbUDeWR7m`BC?XKhm&AZp~YhQK-`wOnUj9u~sSlD>j>P(6RYCVYaq_<}sb3QK| z@V+6>(Q!y0l9C|0zw|JQe@1MLCT^C4Va-Q$A#ufw5UHePDppT#3YAn;eBZu=zkZJ~ z+jfe2p_T~03^W-0>aN?w3hn|>4Panpyn6)y)Ih9WN3wWcj_8_>E-HI@71BVIP$15t zSbQ;(@zYp|Yo~Z41CkY#kvHYLDYzbW1gH_w`Rgdx;?ntJ(%2y~TH~`;!zW5oWI=_5 zz{DLiK^=ypRtmr1_4TzwnIXZEjE3ZfFSwHf{i;nB$=97L8-&=&KDk4%8gP5<1uI(g zwtR_0@_&JL(=_0KdVNq)9&1SEEpVmS1QctE!WtK`5!>)x{eVu04BM;Xs-DzFXy6J` z>8gd#ifv**LJH7`95>@;Ny<;!R`+!;L2xyWK)g6%hL78bUr^-J-oAn=PvSOns!|gr@Y`izVolU4iwjI6eWyZc$$#7t4?PE zq<^*0D95Y~4V>!3N4I57(gUm}1XX4;*9<<5UCJy?2k=2yJXDqEQ?c$36EQp$#PkNk zMN~kec}xDzZ;LhsoQ`ljc?DZcg))SFe((W(+nH(Mjivgq{(#Dq5(1JYiyc{mrWvcz^DvT2}8(BTn(3(JmibnSr{8)R2b(icKZ7a>NhRJe%HqynYe| zgt(Ddu_5vOnpyx-{77uzD={w(nLfP9K%}M$!Asc5^liBaP>0}!K=3;J{X?_cmdMK zrX3e;_U%Mx< zsN2MXmkUq6is@TB%MF&GnhhR6U9I)ooV{4FjEF_};X;$stcg_B*E!=d?Mozl)V@8j5tDNMm(*1EgN;G*970g0L4H4&Gg*Wt8_SFAnUzg|mvW2I zgKQ46n?5;SzcRYLk>zHSmh7{T(gBvEA;)(;B zfAVqU`xGCAvOuF z9d0<%iUV}&VOo0!*>hm*Y0g%8#lYt(>`^MyYHxiED!QyJa_6ymOd*^QKbcE+b4P1iRL;d_w3@tfxn6xwVpdmM98Pl#aeDvO%>D1P6f zK-WGmBo;Cib?}k?wO)y2Ne?!Y4kpZvlRs#1v4fSq#@kgO+W4#C!`FdcUC1%rP69To z$XAKpY=S%aDfxc{NX;-2SEe4%=8k~u%jMTYafAY_(B7eS+JQEH`dmEC4PEjQhgiKm)vDbNso`n&S>7AkPoX zi@G&!j|O5RO_4EO_o%R97mrwYalt&CdA?uZ>T(3kmo|<^X^o8qZeeD7 zF1Os02AFw_#Nx{83wKt0wSrOm%l|XO6}&pJK-y^3ZGX7gaE-xax+O`?EVPCmuNa6L z*xcgocM&Ujl-QBLolM@9U=qai)Z+jr9RdipIwI=5>e@UjPwl&?v-u%K?)TvBM-9XV zwG2BoQR`j1N!$)XD00=oFnTkl2+XN?DX^i?ee3;ab*1)XbnQc(6ML;2e;q}7JyDp| zc*1+?D)#Ow*$<-+L)FwdHuu6E?+gm?n6t{LzS#4=G?o8n1&TIl)7tCXy1VgefY>z_ zC{YfcBKqDo@F-kHi5nzAItN3LJ>q`K?#CDRPR8Wxu(oW#;k|HlHq81~+f=6HT zpV@P~d!J*mqK)|N(qp?2Fi&pI&5b z1R5nsOK^Ju43m{8vdVjlj~t~>>T@Y!OW^bJTM+)R(EJLAj*E3Q(GjcsRyanMS>FU5j1p$>EnJ}{xl*>#( zcTq9_R~A3lVkhi&CO>Z^zgFrw8;8aEP>_liZmN->u0f#P#fbEAhN}+mu%PKdh{xl4 zY%gJkeAhwv_GrX!ZB@X@X<6fTSRCE%;HS!K-l|0%PD@0KY3pBZE`cQ-9;$@c3;mU> zGyQ+q1O#kNzQgn^+b|J(X2%Bg+~VB9e;kFJuYQvU7rqb4A|uid5DZh?SnCJstZaXp zyvjPnBIdt5yCJbvDZQ+))g5g8kY;WwS_X2-FEa`cC^a3D1^71Nnlj=LXtf`uja+wY zxcmw%94s^IneH8VYUIr%X_IXeysssPaY_jpWIVr=7{eyi!F_rPM;S&|KX8Zp-fDnI zjw~k|A+C2WL5>6!f`P@cm7kwKg6aY%Nz{V?7j^(Z0?6Tuv~-27p?!VqEupFHlcB)9 zr5w6`i3+SW#rLoVq;e*|u0&m(PJGl340Zn-FdkDhw7?9OC{)UOMBUU>XDk~^fnv~PAw*7UPEMVi$kgy6b}@jG`|j}Ypd7{j0(&X%MEcSZ5Cpqlut2fSJFv1-m(nlnJhljdlFFp>U0HhTsBI% z?!z6i3^@P%r+IEUf%MyW8lzF3{#@H)0y1zf~;;%IwoadI&;miON{i}{Q#RI=i zp1T7b?|4+X8lQy=vL(C|m98aE@Mt-&MRw+>0nH-ojR}caX=vN39JOE_Iv3W3R;P|X0KmR`2gsHZ4X^!Ph{6Rq_T7n8haFZI~ zQ>eNst9pi?=oq*C>({kf?l0o?MdI0ss3A)q&aVwpw{x8RtUitG*+dfPU$OAra2nV; zhScRVtgomjC)o3n5(m*(juPyIuPdHo9(}PJ8WR8YHfPy38J-D9x-ShfCv-Jd#y&FQ z;$)=#R$vRqWWcvs2p7j>uPP0YKCkYqP3A|bn`jGm#t5i?`aP2J;ZAb4%#V%dH)i=&2HxdQ zv`n>>>=x#4>p_};){@e%Rou)>7X|C0$B38UpJk}R=XE*{eki8Tp75G$eDN@-FM^Wc z!nDd4Z1>tkO%`>R&0HtB3D>8r_+=pOvzh1=H*x+$m|^7>qAx=Xm0JB3OMgi>PGDpH zzKk{ue3|^eL>}iWl4KY-+3c~zVfw%6|369Me`dDS$YZe#q3`1ha-)>zS~-4|%f_xu zgHzAb?lO3uO2@9G@}q>!~^hz zj~hRghTPK$s8lAv{k{3`M97?#e%eKbtC{C6CO}!ohkvi)uKh%M3dTkKsE0N3e$b(@@17zFq?D{Ol9_AYa>`q6q;`Gh|cFrqa51AmssfPzE8O4vimTS4V!BX%0!ZZ#X z&nE=!{w|y+b7kNe5n&}DCS)o$xptd$`1evI19TEX`2g8%YV_hzIGzlQZHCm?Z8tee zkE?Y$<#(|mZSC&PDyXw!dILslaBok9rRL^<4v3{DmrmMM@}qJ$I4_B|Z@NfAyoH7g z2a_MOJv@ZIgf~9?Wo+jCpJ3GZ*;jQXJqN#^&xmr-kcoO!qkoy`ZF*4_@gro#eEd40 z=%}EF@^b}LOwt3AsFMcvGFd|=MyClT<2qjLak4d`eq)fNGrkxnN(J7jC8k8cpTb{xJxB z&N2w)+NI|C+otB>ksNUgMKMpsTu~>8{5i;>`V_mGYEbxRzN*?jagKJ^@_=zs;tGM1Yu!JV2>^zB&H zaJwqxb!0qf45W=t9+%V;ML+A>=pNtSF-$tp7LCx3o>IjHsMo9D`%Ni2Vda&gEHxPV z;C!64yu2r5Q6M?Ne^P`m(s}8NWgh4w-xTS;)j<5osM!EFNrzWH(9zXA27>+ST7mdR z&J-{Ey^(R!i!z{+#0Y06%Y-ISz1mA1qaAI+ej|0E=4ugasyyS=yhXUPRBYlBs#8|= zaiFyZDTblz_PsMf+Bm!MQ9HRa0s}9so#z8A?x~`o(MuO$2>{WCaL1sxvc5c{N@TqN zBf-Nvwu$wOvbQxP*a#vHDV`AhwNtSMXofy4P12tJm|55&vPSy2Uf6o`aYHcu6t%um zfS9cMxqHw@e6UV~{rDa4PcbEZ@hhw9mGq~1#nx`YB8Pep?JE$*C%sIetP^h9 zN~U5;UERys^$4q6#GV>X72{1zkT!<98A9?grOV(AEe-}OeO=ZzdLcD>XF~j41R)F> z=H%@u`VfhF-lS7!7N>l~hnz0)&`PT1x~rV_-OTkUJK#nslcfEll$l5Au|YfeNqb+q zGH&xrUCZA?Y^oBxtdBnU)wIrDLY{24y%;@K4?uEY40Wh{)pN87TC0Ejyw9Ekqi0s6 zWvpT>V|%bazdM;mn$NGHg;Ukzft2P-)EhgnLtQRm83{%7(H2hJw3RH zLiSMrSTYjmwwX10wFMo(d1192;Sxa4+?E$&HH7Fbp?N9E9Ob7>OEiQC)bX`4R@ZOe zSjnzTMqh`(BCr>eCq@e^bXl z4+r1hb@{u2k4vb{wLCwgh(l>rw!=PZk}hPR0>T{@|Yaq?Bq_0?aO;Du7~ zSnBpf@G$YWS%4x^7#Xx>J#x%gyzG9m8&>z|zZ7L2&YxO-`3nd?GCXX3gu32-lUdSF z-XP|v&l-?FG5P8|x1SEs)fB(c!OT%^&j5CSB znY{UW5>f3_HiZb31szL2j|9}$P7QLDlTs##E+4HRpaHY2dR`IOM>g^)*;25!5-$$y#@t3#0a@MYUkDvWD~Z zvxe#-4%xjQD5pUm8D}bV+Wf|4F8P*ZY9IyN_`|l8l-o&NO|1FjuyON-Mal znEh!W;5Nhfzoquv&!2|zpA444(arxS?h_I0wn4_*+92c7sxs8d?J~$PV_9>sk!gq_ zA>&WAaJ(FNTng6A%lW+pD8{!}ErgPBMU_G*{FN=@ud0IYiw*b(;OXQN6M~wG-&GzR ztFFllo#M~dt4x#3RKH@lCe+=Xdofyseoss2_lD>amiNV`UmK$1ZzaZgK`LXp^7;G9 zqm}sg1C77@-;!ojEAR(G)$!-uRi;T6p|1;7_oP8`o&ons4!$oDs;<^fKU5watFC>x zJX%@)k@9Gz`=j3I2jtpXq5stjJp)(fHuQ}xq5rKV^k;zfS?DHgSJyY61)h5(jp1dD zV+uC}5)$Ue&f8qxe$`8F0@`DppHSWxEB}0XZwcWAUpnFln`m;%_+J3d{NfQd5B-0w+5Vdfa8>KS z^@l$q!z9ZZhClehcf&aOn7~z&eHui0^!1%ITvQ|80dSw8E)TzBsNPZU33y+u|LLK6 z*Y;lmuS&mD6}-~_jL`go_sxT&mQWvCUwdX1^$P#2P<dgptgZ^WP%q1C8$L3wmv++g42 zjb192$Kcw%K>KvsO|bn~!7JN;<)!@wjaJ$p0vdm=_MU+E#rWS=fGgXlq2Oh;$I5HF z`ooVG&nfsf+;Cj=)vkx@%(FBK`glD{Gvhbmvo!1(VfZTI-Ei>3zt!4Kf=GL)tS8MfQ--`(x<(6_gQ{#r}uueXH$PoO=TUS7fPX$^jFYw#@<;C;Y^ z;m3%}mRXM45=->WtF-?avx z0XThAym^!;dSC3=z3s}=i{S)Zb@aL&9?K7GOTbm;K33Zcl`HhjP`P8*El>Fi0momm zs}<_*iV$;h6W6b<53V|K{fTSW zD6T}3rqB$wa~=39UB2Y4v-_}~&ck{->h+OEvwu;&bN0dYu8uB0)0EJYAj>iB<(YDF zNoXJV!*B-T;vSq(s#?OCKvuN(tm@E>fTCU0R-bX|+I8zESFJ$W8WLtJj&qQ%X!Zqw zQup7loLqbQ`cqF|uf!&j3EgUfy#!o*kS#z@o^awRt4~V+)k#8znknB79=2e<-g)8h z7@p_Cn^-3%@N&W2o5TrGO2wh5_NNxX1+aCETQprlEh4=tGF?>k1u2F$N( z6)cg=lYK~d^@3iO;8!Pk&{-igH9{$*89Hr!@+|Cdm1xaM(vngs<@=@pi7?e%Ur zi@QOFG^P2?NINv=dFmy2dW`Rp7#YGVz9)vpMkmKchjZT}0mkiU|48HL`p^&(W+Y`C zgjA-G|1vV^!h7(A^5-IEn!khQcL7sCaK$C5!0W=kcGZ9>S{!F6_V`^eZ~Mm1fx=EsMckb!Iy0!QG4>F&{i6F>s8O$>7jZAUe@ zwLEn=+{49-(>0gr>Y37JM%+w;;XI#c4(|4m5t zQ)p(?&J->)I%GI1IN80Ja0_)0uo+9Y3BfpM!Jp$hubJ^9Qx@~{mv)b*+oW(Ap_#H| zIG#F$zofD}b-bSGw||AzFjH-SX8d8V%6qWJFrLDgo>^|#AuWzD?Wh;`43wE)GP78P z!ev&$?s?8=x$n2}Fz%^#1{PydF9z~}Q^sBj;=U+qM>V+1l9{yJ5YLL*oc714D!$R8 zIqao9A`Q_^JJW*PWifs3Mr9Z`pR-)442g3=?Y^cA@7%sHvcK3f;IZEZrtTXTgu+Q(SlZRKvS*=_MY!66qZ< z*HgqaUblx~8fd&%xs#$j3MTkv>&}YyNJ!gF(H;(I(-rN(khYhiJrL4nDB4d!yBa#0 zina-~6|m)2MVlXDZ&$R#K)V~*I~A=fig)4!A)Zy07*a`l|7jqCFDQrYqWmA#H}D{WPTQuW0v#v@S)v6SRj>-oq5_cF^tt zZN8%23K~qTEl{+ZL)sCFwmGDYD%y=9t*L06LfTSAyCI|LeAg-3 z3edV>^G6izc+faVU$1D#hS(bvZD~l`q-f2McB7*4@QN#CZ9$%=a!b~*{1A-oR`Q@W)VebiricTnBqT5jl zt%zUKqM|kJR&;$z5kJYc8HzR(Dmu1&i5^KQx)6%4hM0|oicTu8==xOi-Uvl(-WL`s zs?fZrrAo9RRCH;(70p7cTLDKfuz61pUH4$2q6)6N7OvYl&|?(s1VyvZ7at83oxpzS z?)Uq{M&0jsfS4J8K2}c5bQD{Q;SZ~hMMX}7l{C`Rn$2L>V)$)Fp6?Wjp{PeedjRA9 z&WiR($hVuKJq#M3ou95~4}u0ux9+8A4}iv}8fGZkPeJ=B_+~2FeV|Tpx%{+WMp^}IPUL6 zVFqaq*e#`;U+5zfG&%CXf4M%93ePnVV@F z(}q1h4Q5J9vK9U%`6JT`|B?#Vj( za%Bj|r_)y_nZivy$d|0B64hXQi7IFZBvUW#5IQBpQMZ{X>94#R%x9$D=YKN2E zdrFy0p3InBp>UZCiD=u!e=x|{krA3PtQ{W92+a`8q*1Q+GiAvL%@8tW$;immoZGNW zN6yT*nWbdLj#uT*rc$?qX^unEgiLAOre`1-LdMbz$6pd*#Oc#aX?g!Lu|Uhzeg=|h zWA-PvbZ_{)Xfbt)lTYE^KtgS#ML34j%ddz-np8UoQ$M^(*1o&HWQL1&R6_+BnO4ZJ zshcJxE$E;5Sd(srZY}nQG_{OOTXzf14AL1$rYxDM(UeKsaV>>t!eePdM$54Om8Ve$ z1MICO-c0NYG#+i4s&$z;n*d zneL+{agv+6z302x2=Vqio10-0S4)JL1al%9@l4CrOvBR*SK2W}mBr!+ zNNU!;f29a!9AjZ1(ol5iSO6&zufx(CAKckJ&WDWlA&yj0+Yr$N3&$^9ISdo&nBW6^ zYp!C)r8`vA!Sd|oThAiw8aOp72UaQ?;O}j(y?1_AaYjw0FON!FTPdTy^Ud??8(M}1 z;9UShzXt&?0Pyet+;@L)BY;N);I{jN7Xf%=0Os@!;>MEtrj}6w7~;F1&x=9xXi)~B zjZN3r!9?KkFk@l-tUI1+CdZJ}sx!eLw?S^d_|u8#GcPpzx&=flk7-T?)gCMy62l+V}SCMi>r0 z$!^+`0GLW4{Jf#(JCG4)jDQ)_f`DmaKm2v$tt(HUecT?D%C?m>VWtagvecnVYlr1w zl4e{}2{S`fgN#3RqpN#eINa5}rK5BGObX|~E5~-A5C>;6zuws1)s)FSy*=fOo&h_k zne(~>(O$%ire}#ZfbfUg=D;8iHm&K{(wyZ>eb(=m+2SPNHeY+UhfQ&Q)P!cuA+3F# zH_xGFL@*gZXKHTROKK5YvD2QVX!cz4ddJIkml2F5D}#YvMXmohZBhN$=RPmdpD zdrX-bjkTmCx$pG%s01* zEg#O84fKve51!0RWC^`?h8{V)8g=5}^AwdUHB~l=?XdREuUF5&L~>RXWH^(srIfJh ztGBQh#K$lYs5@~lu$TdcDfIL&&v$ganynadsZz31=Z_P$aBL{fxj*UY5T%Nqzid2$TDs#7>*HHh!@~wgFJ{IIk`?ydFJ#<)HX1Zn3A$GHK7BdLCb`Y~H|z}mI23+7=A`xI#4jga+I1V#jLGCj5xoQ9!JopHo3o<8^MT)<*hjpnzmIa?p2>ow l;yZ+@l=(*_q*EQQx1R0-0o8{4&QfehCj8U$9{>OV|NkBY_ALMa literal 22349 zcmZsB1yCG8*DVqt1b6q~y0~j_3&Gvp-B~2KEDpgPf_rdxcMa|kY|%v@-}hJj^tMx137??1;D~aF79q^XGYG= z!$!`=$I8ym%FYJhBUhCpSFm<7Czmv{cKe7e;Dh<^5Nay_B`6Y<|Ka~wMa}=wUeN#i z5wl1no8I84C>iP<8T9&SJ{vdNm9cqRTzuu3z`RhvOr`r3gN#=im5;!&5zCWmIy60Y0UzA_?!eW#L%&RVzPI*rKR<+f9 zINz-Q@mnw46mR%?_gethUX~ka*wz3G#Mf3Y5#%OYXy+QQ1QGm7c0(OzJ?9ml=DbAV zU93>ZNUxcrdMJ-oZ!SE)FFO~NLqrgxxHcj)7h{;@r|mXooWmERM?jRDl$va7wQsC5 zW}MLo>#ny5um<;7n>1?SiY;5 z)*GcWMQd!Lfq9g6x;TKoe}>wTU6C|00YWo9V|SEjAy1USn97e_Q?xo)Bx~T$PoU^y zGzXhRntt0;gpk9IHqsg)mn(Z@aj}tJS6Mdc+-FJ%mpmx1tk+f9 z=5;VOXxVjJw5Q2bRW3Ls?lmz$0+#yO4h~j0jR#mEK#1 zdc=Lt#&`a~pH=Y@7p940ogSmTZds;I@?dp_v$r;5SV@lfXtyC-u_KbnKf6Z6`K8k}8%q7Qt$dMY8*Y9n@ki z$eg|nV@Heca^}(l?RGz5Df>l{n&&MScmhKt|1OxWDWYwL6h;+R<{BU~fjS3w5>xi6 zyP`sPvE&KD4Cn0p*td%G{U#jm*xF*Uz3X=FB4hQ1JHo=-6!{rx(9Ah<>l)KIT^+9W z0UfeBi4I_Y(=jDd?-fCV!_``CH!%Bjs-so4A5{n-gm5O<>@HKR@(rk5H0t`+oaxyA z7|zSxe@#V96CZ#bx7huDMD}%Kp99y&WJNZo2R7*q@r7+QzzpQ&vj)Fza(<9$-`{rJ z-|I?{Xn%)C#BCQ3Q8>DGx*p_Pi1AN+*VRJ(af!vpZWvcg<=sNc76kIa|3#w|=j>^_ zifMT@v>DQ57w1g#IMO9C9-y#t9#V*!dY}yF%%-}dKtNABT>}jBJN4E&rU(l%KQexi z(_zPdk5}ST{RHcOhvo0^c=0xVp*rE`(!9;pwteKP@U~Yw%CJ{MG{r4A9fVATCP_qE z!ox+nHemEZIsVE6a%sp>%tL+>8)HjT+XwKcrwZ@z^$(3$62P2zKxCh_MUcQUbuMjb5)=*NG;nA>H7VjYH(oUEx!-V)7)?tS>k{a~o&@kVf#V%+ zzmrZA#N^QT{?5Sizq68|>tC7nQca{dSK|&40`o2N$mW8H?=Yvn7RYs%im*)YvtF8hdlNB#izMU?St0sE?g~(@FbzIyzsKiOBEEXsW$4`NZ~{OMH`oJAY;{?6yKjsvXRlXKh)EF z)2P)v?}=35c5_Nu;X&+f+OFOs!|}A?zHZ3-qu^W+@o3T!Sz)v@`*-*w`bY0@!Axt* zONpS|$-7_4l6?EEO@xiB8tYvr4<#|&`XyV+3BUNW8>O3_`;|ylQ#o0df+agW!{)KYsR>ksYk7$3@pXSwRR zM>Ss)fz#Q4q)k7L3N8LQ&oaQnoE9ZoJwjEp?5(gYdRO3MFsV^$DCeru)1_HX?s&*y zTE-5%VM}JdyXim<3n(CkPwjnVre7aI@Glp})_QNaHg?dowZO}*5gfa&yEIHd6CSDZ z%w+Xn<2f5VG&HQ7Of$E0Hy%5#Gxi%dzlj`|(j}RJhriEXWz_}lLPzj*8^nn{W=1F) z3B#U+AV}~7<)z=Y7B*FJbN0sh)<2nQFX?5+n%3>!p<%mzx&$rNYxKK# z=#HO)Lk)$G+S)6h^dIlW(wMeZQD2NvpSAy-w8h*yjzRR6fjue#Z^#riez(R3!u33> zeyQ*Lwvh62Wh(hjK^Fb4yjQmOw=6Iqmo-6tukcQ3LZ|pv*gGs*r6MYR?qEpp4#mA zx;nrP6tNAU9x$|+MEiHtG)r!_vOn*U>R*L(Sq)s1CK#^-hdfDp9E9-NJ;eg=$9k!3 z`wcWY#=Cle3(hs_0pEF;-eD?UdIE%Nt{iLww%`9fc{Zaha<_DeOxYObxd|J7xKo%U&Q4LrO;NxCDM;eocpf!@`9ssKr--OiP zjb5`sA=70UJ?xqcX!Or5xEWzo8^W;9q;U5xwgKNgPJx-~!1(FwXH>?`Md9+XKYFwz zCMjeKBJ0ZG9}m{88vkIM@B7yd z$!CwimlTa>nD-mfIm;?Y(EIPLi}yVL%ahvoAcg1GH8fym`d*(M`x(s|fht9Z_ZX3VdGQTz3}4#(%K&RafIx4AQXfI5^vt z4Jm(jdd;W{(15U2fm>t1v(5CpK2AliM+=wcB1hfG&skn;L)ReZwXQqM1|V5X;jm7Z zkzXf~w@B@72$-S*9DzfYlIu@iC1M2(EI-??^cy=O>`$yYr7iU@^Be2lj6=H=Fw+D2 zj!w@Su97y-zyC2m=Fz&RkcVX5$#*0e_csLGfPW3&8_J1rn@1q{^-H6d-kR=mw&4kk zy|*wMj_1OxYCu+^3j7MGiVcsPUlmE^yYPfng~z1VkGlbxuOq(A&Bn^@0p{a|8zojx_zfu?pM3HT_f=Z>ai5xe#qM@ zzekZmdL!O#4cH76FS_o1;*Q68foEd@79Lg9|0&pd4EN9-!+V;}=@y#=b_Y4W!-qA# z>z%dRC-(-~Jxc)!T}aaaHnd9}vbKHY z!=vZru6N>?aXS(3Gl|38lQN*@^SG{vNm?HlQ*6Fh-R{-98DIMJ|Beb!p1ZR2n@kh97+mEw?j}7 zd33x*tcJ}vZc=q46T?R0F)fS`N(>V6P(6_#w$?DgH1{8y6)mxDKxCqQh{GRigf^&g zHRA}nTxfx!jWC(ka;@YDGTmf%r8F@~$3yyh)u)PCx^1={vD4a7MlEyr8-q)#ClVeR zBmN70$~O6)(fiKkiyE6C|I!t_Q+J8BuE&d<<0o~_XS5n$Sgq>AK%Vw`tGozFH8zbK z4bh=GVPF9D=2pOyr6kaPVx1HY{~zmTE8N8yro13eCr!>XQ`+3-axK6RF60kVYJ0cs zlM@9>e{9{Xcp$`;h-4FLQSDV1KJ#=1tR52GfkQWpx_}qA_&N-Q8qP>qC`m4dLcTXC z>^QH+@h#gIcBG}Ru!{_y3>If0m08z1YV&kb5=QsXeRlNIsj2)^V+>28T1-qN3`qIADg)fkMY0JzTHhEN z5O&#*#7Yu8#l`QxWHm6SJAou=ZiXo@Z-`nR#J;AH9vVFZPR#7UMJIoB7iQchl=B73 z?v<*fP1@NrZnQcUGHuJ~TVrA)XY%?&a1(aO@i>mfMCl{Xm{6(}Cg~;$EdCb2eiQl* zU&W@eQ8^tuQN_Wu`_E0!3gdb1p-EB-bHlz5y4FsJi3@5@y%j$0#4E+vgef!dBI&R(VJl(v&HW`y>K z>2}gId<{as_9r~d6X!4F4Pa!HkYfp$LpHQr>c6czD7&n^PDv&2-`-fd_AKXqFfHHt z+dc{AD&u!IUy(gizYijULA7kBnz5hB~rjBrBtwBAv7z2znnxX3fR(1D) zAxaMmin{yj5lRoK53U=h^ziv$j9$v-(s-@sD`SjxeVNL3)zgxd6lM*U_Q?@5$0$8d z+Khts8yi^u29#P($@YNgb{8K9FaxyEghN&6?1d&$VW$Ce%)N)XapND`kA|T({`qUh z@=6K2dedG8)w+o6lpO-~$ATq)ESO3n$GY@~gO-}_+@L@pDeHb`dB{4K{wwV=Q^(r4 zma&fZdDBnk*jiz}yuH)aDLQi-DNp+k{D<{Fp#A~H4@iDM=mT8!u(Lb&&hkEgo!ZWZ zoZ1+Noz`p-)@$m*g7j(sxTF%tIBaChR%c9Cq;ED*e=UD)igAx~`!R6V%(?4MM09Pj zj>Mr(Uekt>WLjfU_3h&w*a>@_l3eP`RALLtu{T+??QHzRW`QR{F>BxvJ@8K{D?WWA z5-Vmko45D>7AREh7$i9xkx5w>Z2uuYx`PlPExy!ckS-aom_=%W@${fC5ti-2~v3_BdrTJ4>%B)sha!tzTkV&;( z0Uon&eZ6OP_Qs=a?8Dy*Sr`ZmbqL`z;VLzncj6HvnYwo7r$*G65k7g`%(j8P3Bw)k z?sz|GBvl%J+kM-E`hY0cwzJk>(Q6Uy??3mfGUB+&4xfuXo1*NhJm!-Ib zV_gpYsf<-jhrK>jjx%OHSB zhU2a+DlB)qQ&`L*ykuc&_VS3sB6TpG;0!tdNTMPyzkCaxl_)ANNHESFY&Egx1YM=9 z5%Z3vH38U{LsAAES-?hWsZS}n%4^$VYMLbUi+&3m(Fw|V?fBYfy-BSsQ+b@Ht%j^i zdEM<=+D>}HZ7VB`kAK~8bMupG0L}caUW!-dan)fU)bNg}iHmfz31S3fs@#))^}XK} zxHUS$b^DL%SW2_>-<(PGr36g*f(ZCCp?M@V1gduaR@FF00R*a26JJq0qEMnOQs{Xo zSNj&Toqc5j}V;7t^l}-8pGbxGtJtkr~hqc9B4xFEt~BOPS-YUI;MI5>FAR< zSO{aYc%=M=l3NyF8BDM855LbKr`Gia8JjWvs(O`Xca<&Yz7!-DH{jZzbio55jab1> zPfqvhU(~l^$Vs$BA01j=?!lRPVrbY-I3S)ULnD{HJ2P zd#df9eFD-CEGwu}WYw4^y^b9w?N#jde~XO8Veas)OK@ek)z_N1HM7&{uN+p$+s%&n zuepQ!O6^odW~x}(_jAsR_TnqVZ0jC6>oqTkE?-wFWas*`@589=Fh?G z4%t*#_5h5{GwREV*@15TDr-MS#*-cG2mE~NjFpj>>3v6N8M8NJ-5K zUkT&?r}!2s?w~fwxneVzJLf*jx9(dBQ_;lIQqCbJfG@A$E5YFxBY_aI-Ze@KJT4*n z6jM8;_oLSMPV4nsja5Xs9x^wm?hB966z^D~uq z7;x2MWt?W1M4$WC)c1^QjUXHa=I_Q*dBg3~6u~`wU1vP8cW`2rVr&fy&*#0!lee67 zhlfw{X2JKLNROvCb$B}RX?r&8?Nd?-3SHYaumtdbM^Gt$uXlfWuDbeU%kI@Y2y9wf zHT7JN~NFs*XvLfl;G&)42)biYUp&C-aD6&#`{ zZA#POs~SwqD$({)gIm|RLXYlbDO#CPJJMm^Z){s&m~Y$p%2z1P=TBJ2pFIYTwTax+ zF3O!=+g`_|(@vBjzhY2yrPS`EE$$P0x$EpY1SjxHu`0Co(>XVM6r*DPb1JGPEorp6 ziwO*C-$}9ls9W>r`v{|ub$v97ns80Hnt7exGe^gvV%jLIu;?^JOO3xEHwwc3CeXH3>@L{Tp^}5l@Ygd)Mo=POVKBVt6+0Dk%Hj5q(CE=MG#A?Xx*$nEfUcmZdg- zy+X>tL7c;Rn;v2EcPb2P&jZyi*mvc89N!Z|KJ47qnD4A1=MmOH>8W18m9{xbVhVDK z``XiUdXk7A9I+ktLq=4bC0jL2lbUu;>SaRV8c{SN{XBO2C3@H7rjoa)o%idy>S)2w zFi4v4Pi)ozP3fI_H*;Sm?K7W*z1e7qDYd;?Ncg($JudSlQLQAx9~gdB=8PZqf2jD+ zc|waZW}W!Prx0j8jzl8Eb z`x|OcU|FnHY@LCuE}M-iSC5;g3|Xd4qtSwJ{*V??bnlOxX2o-A>lk;L=3^CKPD0bW zTDU?F4$h%d8>FxYTmi13HqwS6npbf#%5C^A(VY#pkPJR26Plm*YOs2gw+j(!$Wdb1jg1kqqIV% zxmtYwx^t0Pj(f|@-@?Ky^jCI4890AZR(PW1b*}upnSP42qc0)MtoJil8RZ%5D*bsn z^RRAwsG!>Jkz+R)igP5(rq)W-lnGu`;sd}B6f(n$`ZB}!eEoqO2x!KK>aU;;tP*(C zb|DoP{#mHwc~$F3`pKogXKB)M`R36&0&%G9Wh_-9PHbN@$1kv-9ITtCC>;=8e=>53 z3&z0riJBH#7c}qSK#PX>-;QDq!18)cvJogh8P}71nr?UX9Qkzr`{`w;Iw$UWDlYDA zMf@B1iZERjn^njYV|X)?bJ}~P7XBgEJ7`eUul;FP{MLPK+VMyxGrjtd_z;P=nHTLS ziT0elTad?tAOj>gYU(6hr|3x<_cBD5I6aeCw{N_*FV7d28sqPkh@V62p5c0^LW3s3 zQ5S0g2AdI*5)f&B#|q1wY4%*OvKW7OB|47Zr5i^)X34%B#~Qxo!+m+#jo#XD-5BDV&^ZjKs$QzRSeLPaq=$mh z%7>Ya3AR&7fE!(*7zI;H13Rw<6H|GOuGpO0*!_G#YqXn*)*ULed3J8={v@2EYk)H8 z4=G$0+39}GZ@h%|v9UQp=hjfe`(L?F!bd&WR?)Z*d7V_JzLs6QGTo+satw?AjbB^N zyHBqD)n0?t!pZVqAFVfi2=~a$&)1Ig9#JA%0ksZ^s#%o42U|swk;RP45Ru^UqZn_s zJ7;o}(cwm9sxnw^6Zq3rwX1cs>6mfAy16cTHEhno3r8Fd?|#Eq zhCTT_nIN0VSo?TR=LdWur7}kjqJ$|>sKOLPR*=t>`v+>|%U6MUWAbZRJF~D)G%|jv ziid`FSyzgJ=u=1GCP4$;19ZF;0Gud{(lxnTqaRj)U(ZeON6M0>m{HasF>iK6cmZN}y2jK-R`yH^QTX4!Qki@9K=a^%@& z32~Z}K2e6^*dvlxH&PI_YOh*2AJ78*;1ZHUKpnM+rW~c7^)l&%7(Oz*EZ2kc?XB>- z&SFFX^Ppx~R&{_xP40#22-~?j@hi9&qD4O2787}gEIwjQ&0<-Nyw9ndPm%o%LR>dS zKC44nEnzw~;$3(8E3ykhK`rxp|PAGE*PV#6J=uJUBe+B5tTAQ}^lHI{tY^BwXP3`37AldSw+rhq9zYTAz&x#V*s zEkhs<#iw1M1uat~iwsa&Qi)2U0Sxf23ae)Mh)1~o(2jCNjG+I;Y_p}V{j2uoE`O0< z%=MAZmMK2{%ddg;Vvf&LM_dXc@rY>(46hUWFY)JVS3tY!hWlNuv%Jh>YSQ1$w|P~Y zRZdZnaAOVk4;zW@iE|2kUv+S(XQSrJNBF07&2=I*T3#>#lWJ>*Mip_=rc(=9;cL8? z!9LXEFY-7E1iuEbkS7NA6(&FXU0Ex+YBX>Qp5F@F_0I6KgY$m-?yP*FMM0L`f;*?n z0%BcnId{Px?;lFgi2+943WzLYXs_5h1#c1&aut5`{IY7%0^s6aDS_a zrw%{^i)auC6xHXd;ARn8-V=YT=sqGE-Mm% ztdCtFeBkMEKV+&W{YH9cUHR1So_7w2vi2O$*2RmMPQr!e-${6KgHf}<3GPHWs=+x* z+j-G)4#1fj9^gw%0R=CiH)cA&ees`C=Q#7t5VjPc2=jl`&b$15QUtiP%(IWi!dARa zxW~78Tz5i^1H=&P_VC!%SlW`BXi{E!3^ckE?gl``!Ct0p+k5(9?sp-$fTUjnDBhcR z-=U_`sD#na?|f^G(N@^wLb#uZ89BG&=+GdL*rtl@m^7=Ww=z|%<0QjhSOX6sptpic zyYSg=Bs0OENtzNpAB!*Lhq6l@A@b9UezyXHRl*Nv_aI^w7$@OJz5AMf=0lt{yPEq) zqJt1&cs`rLSA1PgwL8SGMM7VQLR%YT`kBB9#6adP^AO4BkPfmJi=e+m9GDiH@Q{6O4Q6ITd-+u`D^>Ww(r=TlFcL3(aAJ$(BtDz9ajJaio z01*s^+=>6>VRXQtS^z1|MVzk^gKh(6-pP);rF?)IFn#vCBM1pQ_krZs+XAf_X4+Yb7=j}Bv!t&T0d2t?ZT@*rAB%PWdn{XOI6?J_1|P) zlkQm8McP76b5&=%sb5cQXp>%D>-`~Z2nV^mqNM$vs_qui-X1+Ym5c2go ziYXpXji3HxW4evpjf2Ykx?nC3*fRY+u=oWHt6YZ3a0%dg52og5Pj#P2-vm_n-X~7& zSxg%&H8t8^fBe$2c)&LpdiNcG)ijyS)A0D)q}#l2RAq9K$WfAg-IkOWrU@O_W5~)e zlK`Dl?Te3~N#)4veGvJ~7W>1_24UhykOxgSd=slx(R$b0x3+UzFC*VI%3jt`jo;7*WgPZnW%Ih2EJ=XLz}v5xbm{f6|Qyv+`><1hew~$8i70a8fsD zQQk?Gn1!+TIgsfuRPGq!vk5pDA;|cJM@p(qz`i*4QLQB?2E@viA7DLFMB#6L;Tlm9yChW<(m<-+n9B2?dYh78e*AGe*DcW0) z<>nXZP8Z9yExc*ZkmYxe8O)mWjTs~K6Vh_I2}9ElM3a%N2h82~(nam?sE(Mz%nQZ^ z5lYAps*$G*5Tu(*6?>shr$?WaAn!~RYu|5ArF7$g#>rE;?9fj1l-L$kaao0&A@!%b zDU5izkh!Ed!@7>O)!BY}8Mxp_dPn#AsCPaWEm8dqfPzYVk`8%Vg9RAN&1;oLbkkwj#Gruye4p;&%)GX!*?Z(5 z$VnhIg6l>c25uX1T?U4Ln(M)kZzsaMyy>-?22lj(cO%=ICz>;sjy;g+_`^#z-c@Rl zkeDx&_c9f%3PTp6wh>~#P0hbuCn;eC+yjuTnvdHC>3*^_u~a+6~He_SMz^}OCGUN>O=yk@F=e3 z2Ux{kL?RwUCpw#iFhqW)KXj6ccA%MD#9oF!mPSBcm=Xp1BiB zls1!$CWJf?Y=Z@camNM(F@1D2&VWfR8hw#Kw!4&U85I* zV-vfzy=&*tR9PFz!(Vx7_v{*E7c1?Ie-Pv=kuV$8mQt z!j!bg?n|HW;NIZl@S~7bcNRi@+(nk4i2 z#|u52881CyLu{Myz&+pybxs`-i^h97wGU0Wtg;W}oANev!r2u<2VxIX$WWF4jS3MA z-+9T7Fa&uq?AkiTD;c^P2rK74?7VEqpJpTXuHZFtHNY%Q`V0h*0B&{`t@c65Meh%Ty9kG)odebQ$XuFdtaDt2Mx%d}css=gmVxcEoKV2D zBfJ=ZWjWxtjA_jm=xdJ%Ajr#ipaa+eYa)!-XZbDWGC_@<44!0kEcPku*7^8X&sX4U38LTr1z~$ zjmkWzulb<1{GT(%R3#&wyt6^wE2DpYwc6Iv6BnRhUmHdjhIYRl#yaRQ0b#6OehZb) zd|l17ek8;v4VGZBbAcTV?HjRjZQ)isCnL$(!Y_~I0k5MJ>zgTH%o!l7$8Cz6YQP3W z1|f<3+d&YK?+d*zCsGo@P+~M&A^J2|AFWt)YWFiTt{J4UM(`ei-KvmhBwoWNIW&Jw zbNRj0q(HN0AKgAL0?oC+ntL{x5*8@9U-nxo63#k5%HF*{Z8s_DXv{IXeHD7VeG@9WTabA!pSLv_v15XSNGC{zJrR$ z!N?UB`pQp!AzeSmPjB>j*lC7V_j~h-p_1EQfDRgd+-=yBpNk`(o`11~?FFYeW$2y6 zGC?l;!pyjK5ME+Fm!^({jfEWKqe1Hg)V_F&4Vu)xG->D&>8J_VC}7j1#_%D1#v&Da zg5%wJVT)0h0oq#~(Fz2$KvW%npdM(wh_i~!$g7ZXv`(Wq2qoOJIGZ+jyN)YcG;|gPBd4KuJ+%;&iqua z8ea068GKE>k2AlB4-z-Gk*l>p#6@$XZ!9{w7x4Ry7{Df@l^Fr@-W!h0X#Tq1$C3y6 zcxmBXor1R{2l;8q;av@axB3V9mn>+Vje@uAJPXL`JNGh<3j!<%SrAAP>>r5*aw`4{ z%Z;dpr;SOt{JzaxzH_ePY|B)rzQa2uPDKMtImX+!zeohA72-#Ga7ak7eSwrv=NSm% zRh<;IU>KeSUWUIHSW=h&!sb3K($czK` zkH3idx6@#`Xv;y81JkCn6+= zgnTd80u&RqC7N;@!d2hy)Z~#Y)de$>h|^FYcq=k4G0lkfD&u_&f*vHhNK?-20#$PS znj&1du!Vaugi5oG5P=5s)jFDC<3$f%cD-R=B{J_FDP>M_`jT<7G553njY2%>i~UCQ z0{rWwfxRO;`Hn;<#2v8U=O8XyXvVKGZITGYcS0sgxv<_qqV850t~E(~=c?r_ee}AC zkn%9U@EvsfVj7@I2+<{%Z;q9gXVm{Ffhd{_C0yHSZDP*iRQYb}_){O5j;wy?1_>)R z;myLoMVQ@yDC4SSD?{B<{!0c`Nn+U!Mb>hF}9dE3Ak;bS?H{#@6BNL9fG0&2aGY>E|Gm%2WWN`9< zlIffZ?_T*G8_RVV+G`xvD!3}-7pMj2qFU(lpw#755BYqF96C>=X99OQ zJeu}qAei%y%AX&IR|=zP=!UfUnS;mho+_u_GPHtWY_UEZY<_d}UD(6ZgTC+@6i{^T zRjSZ+j3qdEu`^Aop4UKc(CJ5S*y3pK5xQJz_gxLWqlez`NpbrIJci6x-m{K>%WL>M ziM1W+A+p0PylIpxBr$dwyg$DAw}IQYZ<1xK(5_3B(eU0Lwf*FyYG^8M=AZ*{B+6## zqbNna%k9mqXPj}Twy*ta0dXgr<)o{sSRlEpDSq>$c0<=ktB@6Yu6>PK$S!*Q^U20} zB59oNOMdyauX1})xG76+6=1GZplIuauV(_a_y(b(O{}@%hh=!&Dh1}IO?EkHWEVE~ zFbUOa)lyjk2`aRJWW8>cGL=9UlSiCcyUqM!bj&}82sLB8m*o_`{@EXX=HdEQ%QE*9 z+@q-Lh(PJwkgZ|aoI9|K)UPhl(4u4?%oB7Xj{RrrOoWXXlOd75sbC(Kwm zEkw0bg~}lH_|NGgmk`m>T@5ibTw=)};YuSs<+~TJ#W~4`Q}4qmyhV$7L8!F^@tCQl zzaaYJ>G8cQb*nVnGra#iKVH79i%nR$z>ueBu5 z=|~PE)fZxJu`<)@!ZoK48(4Eoumg3)PO-rSqX1i@oIUmBc{WJ2Hg=99?xb(|R@+!) zw+7hcly?i zNUqYwx@nU6&&=N+dx#Llxy1OI4@f41Onv%>M#2h1+nFBj#&2subFVsH?NVtcJ8lE9 zGRz(Ng&$5SLsCS?;@7iYh8I0ypIxfWd)SFl)&p2*WO3mM@T z))#LExAyr4+(Jtp!gs@Mr&6f4C^L?{8xYa?1EA(oOs@`a{Kg^Ior1HKZU%`Sp?jJe zTBIFnB8D%Y)BOiL!*7Pj(TP_>9yX5Tb5H3zX^B4{Lgm|Vc>w~t9_9UPxi|wH=(@ar zHfZ=uKUCLtbH_Yx!y-bKZn*4(t8+2(M+qOauh(o6o2%#SMsbqOL3&of5?kCm*1D3Fu{yijeX} z1Y0(8Pa6403s<1zWcbihwsQ`8#B4dxP0Wcb>@31d>jkD=O|IJ(mb<9-XqHx zK(sGo%0`bc_vQe~5mi%O609wEpOG3tngo2!7knU4MxwVTxdOB4Cmprkc|lw8?L^| zb_AfDz;5DKgh6`)Zhdqkk{X$=3%O+wcYrZaL}%!`zw!3odzh$43uU)_-b}AbvQ{QO zR&2)@EF22lPn=RBr^4BEe5tg+TjP%SyxC=Zn;0_5R$%a7m?^m6k}q`5?tp0JhwGIKU@qNZ6HZo zoqzKWSYC;Vds_JvAS={H=QJ7&5cL*?t99NY^X4BTt zLgR5Elhn!5t*gg$xpPYfMmcd-Tz#+PM(29E6=Vm&N`~JZ5_ftRs7H3@tbz$-C~^DWs|Jif%EZ? zL07ef#QVlW!Ue!cRGS9VxvteDY|wtXmBC_D{tvn^F`bQ)>x(N<7lqs6yry0ou1VU; z)HD_5$BbnSb|;5c?Dyk5-9dS-Ze$qw-Bvnm%H>q4fMQN?g=jtNqd~xD*KQ5cHkNq< zv!C;fA_|M)6$b_mQKwg!_(TuYwkA_jZHF6nU#raGm&UBQMh3-s%&TmdCZyVE2JMPI z4*EvE>27BYks~o2)sQc1%=o*fej7WXC;H8@j>&(ZkQA$iXI>nig^6F(oS<*E#aliU_5k_X3M*D2+HhW~;rWa`b|gZo)Rl4%|uqhwuC zNC%5r;ge0~w8Y`H=gyrBrW`vi`^k$*C~><6?HW)_l||e7xi9pt|D-O~y|wnoUSFSj zH2^G?I<@U%CJc?9j2=VPtWSkZ%ylOg;ATcus!p`@48E+B9IDn8%eF}!n%uWZotez( z!FmC#pZlPZ>n-;43=}eK^H^ynxgPP7EC>skPL}9e3qv2du0q#BPlcJzqu%jlPW2+L zr}C3vn5yQu0q2LQhnm5{$7b;*25LT>b(23|7?KOO14>ZgQ->6?zv$@xym_Mxxea-V zl&O<&R1Q~97&WgW z82D^7CCgMYTc95Qz13}YmAlL~I}z5MS%-1Vmqb z4iD4?E}65L(yZAsbaY5Q)M4R3$>I?6ISN`9qbawTDR5)3 zQX>yB*K<)cfp-XMv#2C4v-KGZYNH`Tj1^ennw2r@>#f$NMmQC){D+i+Sk>hk2KS-0 z^158%^PLeICOJl$9W&YIWVQ&XVsEqAnBeX{%=azCewe##z=t3Rnem!@RKmwCeuj4^ ztWaBzF%Ei~!pAMU{9lp$;ZY*MwaOjrZ>IlG9>+%>-~V55essz9!*C^qIS`y;G)g=5 z%_?m=o(>q1T%N!in@mvH<+!(EqYl4$v1W9f)9D5um5hbkwRyv4)$l#12Wc5U z#az9h6X2WEhR!~sWZ~X9v(eTp%zYwm?WG;`bM*%~K#%JuG`n?;uTdX^JrI4A+do~C zLYA!3Z(19=YYheqr%|StlQIrt9{uC{W)WF!%Xy?1EZ-lKYXd%MvJE9_`=7nW-@`a9 zJq?d%-Uc;e|BLcScQN-?^`Q#)ukFCTh8eF|Q^~6s&%{hA1?M-*w3aac5dMoxzbq~{ zN`FH2u{#_%-4B}^0l|z~qmwNX4a=JiOAkLu-VG#b>))B8tJDvWv3@>}MSe09v-(-- zS1x!M~mCGTUB;`7c1PH_9dSb9{_h+t)91R@33QBil0IYY$op5&+z1dRvYTD` zr-(LbTfU$hhaG-0rRj!91xk(1YSvE>Po;Qz22@-{i(qzVeLzWbuy` z$dsRh>dY$3I#rgQq0LY*#?cQ3Q~@1MiwI-ep;iGmU9|y0-I!h)iwYv+aNrPTo31a9 zlori|&rECvl}y&w1asJEI6c3l)ov9eYyFvHPZf@>1y6QC>I(XSj>Mq$!sLD z40C_OY}EZ7d!1;>!(36NGX1vev)zuY{PEilf{vN@1NO(V4yC_}STtn%(J zR;|U0%VUiCnxsDR-p5sN`31q(Nay+8{PXK!s zgy|CBTdc$a`J>XvAD1uiedVG5RodR4lvnywK>d4HZ{*&S@qkUqaqpD!(ASrTt}F-@ zedkm_3k=l4OREYhjr?0VtvvMf^3XRFgbFX60cbfdomo(+@X{Lr*nr@41JJE+ABs*Ua?%fT|x2$y^CCQjSO}4yz=P5me6xrLeFgp-Dn9tuO;;1 z#nHV{oedR7i-tB_2%T(e7o(Z->haW*mQB3&v?Y;I@l>ppi>$W;&Q<#2(^j4AgIDT% zBLOoYxa4R*1SlV;!}VrkXmrj*S4T(TO~?_*U@u=dcVK9ubN1}+$g+4UR{q{7?}87LaJ-m9fEo z^P`TqwK&T%cy>X9h2TT{p{h}fvc6GU%y%gWiLqqNV*E7QWWLD*3l>%V4?Y&WJFn7f z(AYtNVCHB0nYjm`(G$&dMSL~83us3Lr{H3OIu3AlN%fLGJP_B{7&ku?p`utw$q~7) zDPe{xN+9F4#eE=@AKwz1Ka4NxL81Iaz}eWRV;fbFW63KfZ__JGspVN}<=eC4CuO;;P ztrh$N4ae(?MP8Pz6s)M1^Lq;rjPEX62qA;-`z%}tfxoOp{N-ivy^#U$1D;MUFd>Mk_yfh!k?P77 z8XbMMUSz6ds`>@Pl^Wfhc`=%Y{$NY!tBPCR8<~E!Mn~UDjPin1%5vfJ4;4oX@z(&2 zzwFdHroqlM)+6h{l) zAN58*Vy>+P`U_s@-En1ZL$7HG{l%8hUjo`^p&PSZT;F^dc5Z)4wKes-l~FHB|03Y==i$4}|EljB2;*M@C};TL`aokr?uNz|=y+Qh2~p`QdwSac zB(D5z_-B>_2FKapbG?e5g`QVJd2dwq z8%w|=bmbHN@M*?#qSpWD2frVl>oRa*JU<`dQSJ9hxG;YA5^!Ps-hjh?M~96m7q(ko z7AZ_qNeYj_1%u^{u8J_v#ewgOR%X)U1-wxZ>aUGkbx2hjM-`ypcdEn`v4`z4N`$YcS}AXfbMCP#oPGHP|BXH#*pnW>+ z&9MDwgBP~{!Atv15-qg<5or9m+ItM%8{z*_0xoRd90f0`JyKrT)*pVX@tlBv-wnrA zU*$%)PCZK_pikAaG`s&$Yz_WUYw-0Y;Jv`;@N>jP%PxBDFH69U zYQ&G@DBt3qXifQMe)~9C)xLQNxL9C42XOpl|DZ6hr)~)(^Jh)RKWj*##gc3*jm~~6 zX$mcLJg+76^MPi2!?UnLW-OVfd}j@JY=LCe*)FZY`T3iookbMwMXkYi2b{ji-#m&H zy*JXnhh2GcF&u-7j$XCHBl&@CF}TRw(`tLJa)F+zl{u#CLX2>!^;+_j`6-28u${}m zSLyO4Z=KzT^mHE5(^0Js*P8uvtDVyitaf#D`I#n!o&Z^no}6XMi3Op(;Md^{#Q8lq zrc|_qGl48=?^)%cs{uv3s4PF@l#^Gknpn03X={j?EjZ3bx}@0`07~7jT{>~{X{$~- zZIuukOU87I3HD-e@j`GZLBgLuv38#b)?L-5G^xj2taLu4#SV43nE=y`FD6oLMV z$zY*kgp^@wn51rnMNEI@LsBx_1UfQb=*~%wls{61a~FSJQmEU~X-NoI5i!$KYTZIp zrl%l#Cbe+TiK$IN+;;5hl#$Y+KKCP=LrU#NAz-MCmo`c@wYkb-O!0!QGO>F&{i6F>~IQ4DhnZAUe@wfyUFxQC1VlQoy> z>Z#JEM%+|`;XEH}4(|4m5}F!eQg)Oeq}oRb8E!~w)J{PzNjiwDp_s?!CkbxTQ!><} z@pAk~tsPR8y;oALx&VrSq4C1b+l#D%-GEy8N zLG>iC&-RBXgTNlj__rXGs0QUAc}wumxQ2+;?M89owr!}&RQK4yDKs@|rwW%E9a0=6 zoa|mqxP`h0*p#Ikg`gZX_|NFhYij&Rl|}vhrQPG{Mk!oMXsRqJj(;7(GpQ{9I$lrp z+keAqm?}0vQ~t15<%3vbC{JNbPc1j>kQPUncGQb|21?B@sadQ<;Zmz$_dKVx{NgXs zFz%^#cPz$Cy(q{dP8quii2I_b9o672OKQ?`Lp&>LZPFhjqWDUSiQ*R`m3!%?-y$KvvNo+?rKmRwBNKsd%bKeT*xi_%5Gu| zQrGIg7b8rS9JzM5o$NL}ds0 zuo)RDmNM)@&)XP6S3{^$*G@|}iqL8Bqp=j%-9FWD1ung|M!^^^L;4EL_3RKUQKO28 zf0u#=A8a^X&@R!mvjpuTOb^?SC;>NYnu?}Mx$d!45widK5*gPU= zYd~Y0Yzo@V8oN-?Zql@)1?@&nJ66zc(6l9jcCDrzCumn|+6jVog{G|#wD)S-NwxM$EsjQGgZRjIYvP7D;0J}m4h_xJp^KQ2jtFTVs=8Y zrI;BIb2JouznGY{D3lb_1u;CoPm7_&e5UUa42$;*+5wvHK|$LWG(OV)3qhL-8Z6!L zD?!^GG(K$bh@kBT+ONR(dqLX?w2MG{RM55qZ6&ae3EI}6%>eBQLE8#6y2H>sD~GLC zx?>B7;aK^k7Tvb7a%Dmhf3CI@6m5?BKvCK^DE}&qtF&tdB(_-w^RALm{E@AKQp@^Mzr(*VUj3ShQ9XEZM z$kLc`0|f~>p&_sZ#vtnIlw*Prqfscd63{w{8s@*9GNtupIvOp;1F#MQJOA0-VR7pt5c$x^R z@okERJx%`DWYwyXr`;8`QG`Aa_x-2F^uHw4Zj{G;Qe{assnpH1)k(vi zng%neCEg03N&ZN+!e>(9+EJENuXGDd-JncCHWjaPr(ly@T%|mgB4oReQ$2&)nUM4T zvsjmskP`Y76O5&YQm@r8!*L_8z$Hg&X`S-NMf8D$q<-*{dKeH_;c-Z6&A(rgqkzXu zS!$Uw49Dk_S0|~$O+LsMuc!jmpnQocXa^)!FYORIDZ^2>sVV8-yc*QDv>fR+N(*X- zlihntsY{;Jm|UW8sSAm4+r@t{NZFARnlh{%9!m*L5!9qntoBo7NeN96Qe{cWNY$L% zuvAA*&9|wgWXg_LXU?XkZtF>ogOY?)Y2Bu$ASpu1(iF!ti7@Q+X{xlmQ5svIrD{I~ zNwqQilUuSke9dT4b&8WuVN*Y*Hq>G`hLX##ki#^ob`U0icoVOEcYjF@7wxEq5;9V) zkY7_*P0F;O&+_pd*$UlS>!yY zbI#70?xQ7flAAj_=ey^9zk62~MPif?;+=Q5Ho_#94i{o7%!z2kGc8v$4No&%X~z^* z7K@`Gsc!q=auLipCcr?Xq3F`F05VLx0iWLd@b=z`K4hE^aiogchKmOH&~yIs5tvBF zWFO#La}_%--4UV&KF?dT{Vc++4ijQ*4y;5hg5Nt{f4_fjaYjvrFON!FYmrgk`NqX{ zi<(9T;5`6BzlQ)X0r02*+;?wqJ%C3C;O2XSmjQT80Os@!;l_%(hNiIr7~;E^&&xsc zI8h3qryH(qgo(hDV8+7uS$90uOpYg&e{Z;1iM7EMII>U(njoM7#53zpY=MbXt?)r{ zX?W5|>0T_U#2d4gg~RxTWOds+I@>pOJh8E=y`!sXA{n@`<~59ybd~wK6en(gHN#aj zL)xX(fyEQqVHccGF;> zRl~G(*dC6n7@!zeO(pDKiQhMKjSF=muAFfCrQeEo`#LLqox0Q`($3X7O*{_Lb8~7Y zjt8}AHg*Z5q`Sr&3uA)rt>+LRM z^lVr`&79XAi1s38G(A@|1B4%3HXjCguw_I0w#F=9>ayOO=82Pl+j{lAZZ^gFQ4^Xq zpS1RM+&G7t5y4~tovFELFR4Xr#ZG&cqS*_`>s>F`TtYCGtP}=%9hKPkUdqtiYNFmx zKkhli_Lwp=8W)n1lgeU75SV9})?W|FCcQUB>hF&EbJX z6xNRGcS{(?q?H3BGG8qA0;sxt;m)5RTbMB3LdcZ^<{dR5b!7=<|4``6VbqMVFrp2T-cM24qN%b$?1XP${d(mLOeAMTL54F4 zTS*D4x^feHLE!E~pzg%Iz+wg%rqI*BJlFLt0JshabY-iXk6u;Kxb?7V@W#z}JY>5z z2A2&iCmLol%DYW1h0DgnL=Ht;yM`kWV?t&}FEyYKSBq)VZX(~{Gd+mI?o-s5*P_^( z5&^!UQv~l5t4ZHyM;f1Ja^!t=WnZmntPI)!#$Z!m*(^=lrCrLzF7ofBq`=8mVH6^jRLG zlLc(cWRcG|p%^8iq-57^*2kx+hMNtxUd)UaBrEK@U&y!vY&2x95_GKWfAUh4O>&zL zEGD}e*~L0Ca0I+O;-vL8#4jga+H(ujjLGCn5#0mf!k@yMo3pmS^TF?)*hjo*ppSCz op2>ow;ys9}l=&kP(y5NuR-f(!0o8{4&QfehCj9C74*&rF|2-N;tpET3 diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index f8b13a1b42385ab02020b71744043348c3bea0dc..98887deab915dc6f0d8c81d8a23f817cb4950c40 100644 GIT binary patch delta 2473 zcmV;a30C&D7vvU@M}MIx00000007nr00000006530000000000003kQ00000004La z?3fEwl~oqU&y|Ny6irjnoU2lxVW!|nV0<^gHwx)!B{M1s8G`o`50{RW4uT+}BEImQ zsFj6vZ<#{hZJLN=Iketba8w&sv9le*3@oIs2UN z<6JJ5jImatD~-k$=58yj#w?KEQgmz4X-t+_@sm}?HmY%J)wr2z+*~zop&GX|kGl%1 z72RHRN70=`*NRTuM=45-l@<-Y1;7h|6M+{2F9u!$oCLfScp30=;4GzZv)u<=0{k8D z3E*#mj{+9~e}4mf7&ssJAn*a;Y+xg>H|&21@G#&Jz&^mfz@ESzfI9+jg!)bcmjRap zp98)Cd=dBu;Ar3&;6&hszzM($fa8JVfIGo?%ma=GP5_<{oD6IOTnFoa1iTXBR{)1L zxi_I7=&OLIL;U`G(^)2PDR43HN#Nta$AFIj7XlXmAAbVQ1I`7`0X6{-hVvK-JREo= z@F-wEU@zcw;2prRzztBZGw6+=bKrImKM$VwZHS)_dpaC@ld2I#wBzOR6H17Css z4A8#!-{eKJKs}R2h^lH$51-=fv8S-mDPX)aJ z^quhgp`kB1sef$g9k z9dKu02gr8>?giW%_$HipAJAU{?hE`fa6jPwz|O!fzypB)3_K7x4$i|JbPr$&SO@G1 z^M4Hh_JnvZU~k|-klzBhC2%v~=D=NmPr>v18h9^o2Ji;p^}y?Z*8=|wcpB8V2K3dy zDZs0MmjEvYUIhFctUnT-hY#>5U|(QA;Malw0z3|QJn);qZvp!Q{|$H&@MPe(fdhfx z0ZxJQ3I=@|a0qZMoPQ|j(}DHC2H-H@_kV!Hfg^w;fun$D0Mq}pH1wI(S@dxcLE%~- z!<2x?V1r)kWza`Nh6e=eBO_)$NOaP^78PmG4h=QD9T2J=8$4Anj9<86x`C!~(gx@Q zwcbI2!D8B=Kyz5%F+o#>C;|=P+93fEk=nZsuTaC35bbb%SX89eFIXR;dO&`n41cP> zfphtL8QDhjSh#<;QMwZI-&o3jl=Y|xrDU>AMXxj0sMvZllclDv>yGEn{%B0|J;>tz zFducAPrV-L>Uv0jR95zNKU-_w$HQ3Vtf>xa^t>@oz0PehxAIOaR{0x@tR+qI2#wB< z&V<^-Sc+G#N37IA)=G4$k>(>yO@F-~%2n%1oMI_nty4{ER^skwDOSA?Ysjbh)%6go z&zEx5Iz1oterc?Zr#c?QbS7$@=2o8kQLgfi zlBKoP=R@^A==X=}Q|Hs%>Uh#{J*-!LF-t@u1!qMbi z&*}OQabnxz%UxreKZ)7O{~aqU_y3_aOFfjD?jQP8d5kAO$1R@Qod@?A=Y2ZW(-ZiA zKLNUn?p%}q`J$8eO216)gO1DJW#!L`D{Gt%t8X+4cv5W@q#JFANT$uP%ub$U z@BH=aU6n;}*{hB0B%O80{N?wZ^ylA`*jlaJ?r}YMOtyM&{#m}dt$&{BMzycl{hH*M z+sZ^fox8Jch)r@sW^TS_{m<3>lEZAaVB=Z7T<^^63ajNjm!fkXJ#_=c`&7xAbavtT z%A;)WvF^OEdx^<+|3WD}y0$THo&`%jQ^1S69?<;QYASzm^k7zB=_ap1>riW0RwY@4 zb~4d;Rs8F^s=lMSpMT#A{M(#JO}p7Q8)`O(Xx`{B#pF=HbR{m_=oYb2%1fLq$5Re9 zdxv?_>EicIGKe418L`rlr0L8eY%@N3prxe5FAZ>G$X{&tysOU-6WEmw%S*l%5S6C6DbLj)+=b zHqCOJA?>S9Wx9(!c_Pm-4beZZ`+8}qaekeP$KNdrvOlxDDs`UKk-r*UE9bRsn;;$9 zcaFW_yv%6*e4JEUI#c$?=Gp0Hzfx(8)XtR>&(4v3t|P8V6~;tfbz_dH|Lyrwk@YOu zzv4il6f&s4$$x1}f^@<^O7_`Vbkkj|uQ0Zch>@-&&X#@g;`z?Z{l*wlmn6l#dxKxw zIF)s&Z)<9{Ay>K;;BNA9n;@k*o@Y(Ie~(+|_?evEu+@F2pTP%KY1mK)H#VW*c*BR2 zgL4cg=ScI5CbCmAZ}XDsQl8Tygyq=SvD4!|;;ueLJb$~UjGs7d$5PhyVynlGV11%y z@sLhgjptWYXsT_eFx&ENJo?Q%%5f%xbW>Wk#hrIcUClhkc4HqF+cEpmb@IF2E^Dr| zBG8^!EpMaAf7g~>zIL5Ee__i`u65;YhnBPD&DQY_fi{}34>Zimzp=4*O&x1Yw_@|` z8yjubPhwkr#<1pI$9a$Et{MwMQl(`t9^-RN(|_2MsDA7vH!r>^b|iD?BC%7GQu+0o zIi``i8p(grcRZ-)4t}HcTvHR9xl(!WSl02%$9&Jtmb|wAE#{PQj+ZW&#JY~@%E~@? zmZbz`a>ueS<*&Hn_T!9cW9o08S$|+y*?@mom3anTxK!d=Fd?LewQZLMP5A|l)>g|_ n{vB0sqI)jcuDyIE%6Fc=^0Is-Do**Z6sK~dlJhsSBMOrNWhvrv delta 2555 zcmV-T!T z=@~$MLWl|9g~rD1blr@%5}m;2_4zL4I~z00SeR#47@La59Ytd!(YU>6Y%Cgg(2Z?* zE8}|~zW3$31K(wQXY3>-#gB#dCCr%sn*#3y-VMA5crS1|@IK%S;QhcV-~+%Kp)j4z z1HKGg4O{_S4u5;M2f`z$bz8fqkKVKj6i{0l-S&CBWXm4#3&KJ0QO+ zz}J9p0Dli$3w#s!HgF{HXTa-#V}WCU{{j3da5Qj#IFIeXX~4UHQ-Hq$P6nO~+!44F zuo-Y?;N^PH7Gw^37hnruOW+j{&kFRez}CRwu>K(ED}O=H1U(CQ75J;4Eth-?TmxJQ zd@Oj`e;8NgX;C}(10xkeP0lX0EUj*zAtN;!K4g&T89sv9fa4~QR@D5-@U<2T_ zP_Gp9&*AmH2mT4*-w1j=a00}$gZ1r!e}eT>Ku-kz0^r;Tg0NxJkjUm1Y{8PbS z0{$I zfq4Bu|0n2&A>K*Q3xFSj|7p-OKraHl7`O!ZKCC|rdMoIMfG>i7Iq)UmeXza?^lIQo z;GYeA1^m-NzYcl>=#PO90@uO%o4|X){|@MPfq&b;KMS}4{P%#~1o{JD3jUeEt>C{K z^fu6&K!5s!k8b_|==$u3BvIQX8ndAx=G0nZ2a0QLm-0$u>@ z13VM*nGWm+{)>PY1J8x^Rq(tY2R;UT6gU?+8F)MJm%wL$qaeR+pl=0E0^S0g2%G@C z8F(Pe+nE6 zYy|P*Kwl3W54-_*Bk(5Rp0Hmc=y-a#@qrI$yDpHd+krBnom1bO%= zLV`bendl&M4h>Pt#`!BfJ^W=;{CpL>nG~c9P_i%%G7rT9*=X+tetcN(1vH1c%6;PK@$bdM%c@Dgr}8WRv_9!J+~t@oBL9A5*IR9wJ!bJH_*Co6eVC z_T0w83qJpgW$T4Jm=$6?cQf6jP!h9-d~em|DA-3jW|lP}CbL3gq1gDBEY^qXQD^bQ z`!QXd57U1w3w6E7KGfCmDpojamVXCp?0LX-;(c29*upa}Sn+eBuZ{BR|FH98XTsX6 zSmrO@kFk&kvj%);IkI@nvL>#FtrhEnpJ184SZ6tjS@3(2WxnD%*dG|g>sfqpK8(fZ z%hrl@_H2mjWBZDk`HRnkJ!`0one8WLjK^3U?^Re_FMIvP^|19XW0s3pXMbbinPis5 ze%bqj)$=lcR*U#NS$uK6y!_fe%BLl<#!W5*KC~q8azuA`8*E(A9=X* z>wncvn8k0#iYIpcM>6~5+xYeJ;|yFk7;7h)PL2dLJ%5sY-TX7RtbZe$Id_*u8gY$f z*A~fVq?pml@W^N8gZ0z7xYp^<%&8mOIA;^d(>agky4N1DL1>$!NxK<)h85;0{Y$%&Sc z_reR?Of$1d{W1wzn1A$d8rwCC9y04BiC>kj4jsFan_1MJ6#T6(xlyDbF?H9}rU_Y` zXTdyLk$IJresqFv%xgyi&!>^}^0(;JSdLsgHkcHi$)#3vRAjZC4e8|Bi5wiXkQV9< zAVbV*)z>SHBZy6TY?9{MB_kx4F;z#A!o+t(LiZg#6T+TQq8WF4dpgLo+UKHJ9tW>ghUiW0?HR z-C9~%okI0Sl+!s@KAIulo#)mR*V6IsV>J7W_i)pjW@y%ZZ7o;1{6quz|8mxlqOYnJl8K&sc{?M!rf_z zq^I*&YuC-$>de`sE+Mh`ZW^0WM)I<)VYF+n2(1=%O(I`m*QVY$(21K}Sw+m7>(qpA z(@Gn;jCV~m)m@ypNP{|(`a`vL{=4Kx&UU_u=2BrYr++G;G<@-VZ5+17aa$c1W$sOv zsZJ3qg9FsUXgSI0=}YT(RnvyV9Gd-^zGTzXHhS{r6`ELhnL5txOp-&l(rxEvY4nO+ zJeMnx18d}C%6MtJ{LaQoF3A>TRIc-sbgrgr7cl+@YS^I948N4DIxPR;ta}m`#-E-DYA zboPbFJHyWvD5FYugl(?hVp8Nut`pLHoWvV$A^BD()a;%t$?T@RQnh#HVE6B}SE?|n RJyl^+xRKf9f3wjElL3>6|D^x` diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 10d7877c8afb2916a502a0017dc46382f405ac50..85979ee08b69fe83d9937ec4beb5fa3493b022ec 100644 GIT binary patch delta 15064 zcmb`uV{j%+6D}OvwrxAv*tTuk$-S{{ZfrZbV{WjqZQIUy-gAC^|G%l4tNW^{>Y1tP zsp{(KKK88jOq2o;xPXHEZ`knuUjc#({{Q6vkdgoY>E5810eWua<7q@Ta?e$a8^yQ= z%Roc^R`z0>+HiZYU5`Osc*NkXL~neM%lvfH5U2q%pr`O}I*=`~Fws4jvFn(hsc(|L zSL_<{&wXgWv7L;JsuYbdc&F1d02W=axaRWsR0{PBuh0GAl^#4i{LaXZ-|InhN5`#D zAYD()WWxepXir@gbViT$E#q*Y;a8Dt!DDmBYdz{y`Rm8at6F7W!tHm!#|ui|rvj1266&Nje*Fe<(DV1orj<)$Y-rl{kp@P7A3;S#+MlX@SrfTRT`v@O^-}D@{cNbo zs?9SR#APj&S>z(EfwTJ%>>iVn@Gs$~=_VVYv+9Kc;(V{Af|ZNMUmAJaKC7BmLykG- zSJlw9*OljphEPf8FVj%<06mog9E$;5dyo1>3wk_vP_yJ;kqdyTAlNy4B&G;(4=m5% zUcMM#Y(7F~(e3jZY{(Nq)#rhshK*|kC0rpy!yvYEw(~rxT6l$5qFET`@U}S*%U=o; z-m~W~epd~gFp5hy^@80nUEo7R(%7CV6Jb6UjKTFxA|-(*vQH7z004dq^!Y$Rc_S{w zoP-hi_O*wSm>5hS4) z9CI*_3E2B@ca{SF2&IWC&rI+VW#k2%+Q~`9RmVJcurMRCyMiSbXA-d>nF08x*0#?B3C-z2H_#BB^kkB#mERe;CbIns>o)c^}vtx_Ek&eS;4 z-w@U|CW=pxVFIuinomM}s!QaeTXUXMi7$&%Bz_V2zfuFZt7BZhEA;hg_hEWtIq37D zLNuj49T17S2@se*{s{WId-`m-K`8T{h6ReH5s!+D9Yn4)+C@nvF$#`F{4 z;gA25Ydo>@28ck=Ii~0YPyW5tJ;rwbf>KFYv2J7VJO>;QCUBUX!}+vq3)kZAUOk^X zTw3C{mcL}CO>$~+B|pEVu0sO$Ja9z%8R`2IFTXp*MS1$qb*anAsm$^TUh7%7*C_n^ zV^+}E^2i4VEpj}$mc{1Tt82S43!bs3!-JDXn!H6Z2GIQ3k9QlMrO*SN9diX6lk{C& zi0FJpU+Op?L=jt!{cU*3FFUa_BC&2j;K2G+UioYEZYHkHUL-Xs&lvOH=j*RSq zfvHI+t93Gct;1ARXLM_m=<;is=in5TZSM1$1XBubYg^ca0wkpw9u^r`RVuV&CopH0 zWzp0l0mw6xTgXFVep&^aWg_>V2*7eurl@v|`9umn3jEd4FWiq(v$;A+PvgV^M@2Sr z8x=Zbw-qHibMIt|y~(H074N3TqmcCWp^otiTR;MuN^9j4zhdKlp?n52;=LNJn-~8) zI!r_>d?*yNgOk*VNdkIrL5u{}VdU8|Ru;eQ3}7&DcU)b`SP{725^DfMoSi9iJn@U5oosHi)`OU>3-XL^ znOE06(N#E!xTL2fRmU3(ScXqimX=!2ar;myi^X9x&+CAV<4 z0HTYBFvHG=TMZECvn31RUsaxttX%-ymLYa;xg=5+jatQee1>W&p-wPFw8wzwA+@U@ zLY?md?*84EQHup+_#0-HG9V(+Kgu{vnGjv{tqc>C)}rUhYKt||>_+T0zq;+)8_g(6 zIt0BpY9T~#dhpg2+rH4XrSg~VMlb11z+-cT1AbvL04`EL&J*P4GR%y%0?nI{3a4Q> zf(z}3!4bep=Vf&+lMY$)!r&@yF$z10W6ALITm)cZkjAU)%;avuI`W7yK`w_b7&WlA zw=WkFq!^jxUVx`V5qEZce|yaAQ;-3rpIsj>l*(D5Q={kvJ!>WDNiZkUn@2 z?Wx%*COA_Fm=+vAJ!GN?HG6FbdxSzfQ+?Rc!LrowjPCZ|5 zSVHA_B2zt#VM^-<5K2zvg#(`e*oQ}g=?tPzzRL?0SSZWr{#7;?XEVy;_RHo1&SoCI zilZ$Vul-#m_~^^)E+yDmr>nVum~2`7Oi`%<&Q?j?7&Tt}z@XS;gSg!xpFY${3Jdm0 zd^fOvu&ICHwTJxzBe_r6>13U}Ytt8R6e6BKbVC?I3%R~5mmGoR8K#_oz0X(q%AN*% z!+wQ397QPq=X{B+Fy+ZE!=W#x-Z^PxnQrZoPtf3}-CV;W=_DMOuq)VWkYvf{zis&B zIxoBOFwFb|T85sli60a~F+aa=tkIs)+is$-AZEtKi=;ea03!m|Eq(bX&(|s#^8146 zJ~o?+UHUn&{N6Qj(nL!DBnIkL?zcQE7HYCL%V}sgys5W-962|g%t}`(M z^0Kp{Utp*EFLw!RTSq$r3&2w94NJuZUWF4=z7CmhWI}c93mHTGw!Nw2ttI$guV>vuAH5wZIIsGl)a6_Vs-Lqh%vecl z?$dg?9y!)~RLxMZmMag%tpI8WU{($RVr&N}0!75g*|U#2F-ND* zGJ9fhx^1({FIF;kKht}pDAbbtKd9{Q{@Gp^u{e&q>qvaH^cp@j%eKP{eb=^yY7Zr02`mKNaAavq|eVPtXg_xDG*gR+>Lx zC^pygwD&?F%YF>O0)Pgh2&ID(;q3&KF&ozIa+7Q|;|{I7kALlM-;dEfZxU<|G3Jkm z9RH!ETM|M%$q^kz?&@vEs|&RBqlREdKh3wg12Q?|=C!{&$dOQ&8KK(*m=bQ;w#+Zp z6UYu1*a#OMiZa0w(S*;egatdZ*$&24m`9&B>00=DXeZk)0Mj?Sps}S#h<&LXrH`OZbSm^!o^NfLHCElm+f#CkA0;WhT(nmA< zBC%Y^@d%$p`0~?hG9itXzKSqlYgIeM_c6&|Nd}JkwAo*zb6ZSjA+o^4`lmQpuKo-w z&$OH6{j-Z7P?;1#J%>>-k2=!Upj{O%B>3*0OD^k08h?nf!7cieg8`@CBZamv^_naO z13B}^W9pARZmQlJG<m=WHuK?&Am#Un258 z_wOZOnCmI(;#ha6-&Ps%v+wo?z%pE%gn*&=aLH~7*z4O}?*aXOge*DUs7Ar&A4G~V z`3Bv2D_+j zjhHh7aE1jz66E~D8_s0Uh@GyrcwiFwLA%1Oxj3<0Y(%-eU9zR( z@69pO`^V4BTt#$^sil=2mX(S@g-Uioa3asKqH_$?3iErO7b3MJ^#WqNB;N9!|NOlzqtoKGf| zJV2mlm;4PIc$rj56}*{X_E8kXcO(eXb?6Jw2A%)*5dGw}PqV6NVR24mTs!fDKPK%T009 z@m%^*@$mp_bB*Je1V=onITfv*Cb4vP2 z3pcv}HE_mQvhdgyX!+&mN#Ixn@JQC9zN`}m7BM8Bc;XE?|%D`iwUPu zR?d=P2x5#h?T}zCbDq=KFvu6>Y&@~glkY>#%0`cC`My4IaQ|H&|3`za6+Gn| z{gZ*QGD2G?2wsWF=ZCu+wwnsac28iIS$9r1kIF68WPJ}Z<>(80*oB&?4GO18vzTPe z!FjVrGyi%;=vS3(0p!1A){tbw1id`Xc0%n^5z-EBU=cRGGPN1q_ zxNA_Lz&ay0vOVZ?nhj6`f2!ZBPmm3LdmP=req_+fj-V3IkmF6@LMH&df2c zS4tQPE1)sk>cD(PG#`muG4wnixL&~-AHV=Vou3CLM?_%H078f2p1<+5-hAHM2$#v- zd(cVGNE;Mc`^A#vQ;6714mUV)OwLXDfiu|#IbjQ@+OdZ&tsq0%AQgCxfq(;OXl=NTmE*I|I8NFY=EQffL*j3fFWON!_kmkk)#{%}RI-I)W=5B(b__=ijsh5rQu(rCtjSkVDOv(apki072@y1je z>JX8|A#O7#;Zpz|tXaS-A!s}pKo~*Q;?o0luz*87!nz!iaSUVaQ)k68YjX{n&O;PmEJ}@i0Io_gX zzZ?>RNbVYUOVGAx#AJ6vQaX77r1ftN9*PIo@Ff_t%OJHtS#tUvq&F=wAon%hK7+X+ zrnqp0(E!4olvo!g6T}b~(Y{f!Dkt=B1ku(z1@4TXN$J1E7+4iY#;C4LX=rW=<5dvS2|MEGLiF$RHOG+TV z5&cN3;O8!BHmx>cJ^SW5n~86hyn($ZRW`2(s2<7jp>He#4%CGvz^?fRt=H&jaVxp{ z*$iV18K!Xxp;AC|9$w@sjOqFEDrN)j9_i8Z^q?vEpD%l$e1~n7eW-IxK*= zvlC49&)+KP-;<}8m|QMon%zY?lb11(zfP|SsIdx*qYiqJck@WY)rz(oNB;R* zm)W7V@$eJ)g`kLf16Dl-+*Oe8Zp!&aPZ`E+M1}s!#A}Ijxcw&5LcW_h?iVsQ5Y%t4 zXK=UE5Zu(oL@h;pYG@%vGj1}K@PBDA|HF9V`d75xZ!Y6?ptvXN*>u)UK_QK6N5(8| zF%XyX^S1VCVVOfnw&`y4K+oy??O z-j4Mg`lx$*c}g}e3{>Z@Z8KCYsj_meKu$F{fI6y3A~;YjMeN7aV4iI&=1G-iP?b^42dWGeQxBe*t!Mm zK;SP{^<7I%>R5NkGj8}jdBs{W#Nd6oFi*d&)=T4I3(%CZoFMtd_tJ-uLhb-7zc9## z?}lE!wi;dUEs)!tL3~hsBpnlFZ_+yH63_G9qD_Gn+;^qLvdOoTi$-LTnD zaX;~F_;7+`>H&BH%aWkYOcJ z@HV^y5I`-~LNi(&RAlbrzow<6R}c1dL2^E#0izC|anjasG>Bn*o61Ntq^=x+^C~rA z2L*J_M$%-+s z?8(#tVZOChg3{_a8VGstH>y|<#(;%&?2nASEdV+Sm97P`T*TsEm<|S z_HVwI0(b!hF&+bZRUga;Lm^0zlmeZ}lM~dCp`dr81xrj$izj(t1PNMIFRBAtzzGAw-q4r!xb23$Hi^^Nft$e`GfC}3 zy_{I|t*%7YQWQHaS;O}7$xg{YKw5?oA%H0azV4)t%Jom=)PyU9lO|k9Y=#IlcNL$< z$=r;e$`q}fxSg>6*OO*XtyDOd9zXXX(4)&JMGqi5G+3}yTsndxm}s4QlBjJ=~Gmrpn7G>o2YQRi9J@CoVP}UY+uR|VIVjQ>*W`=P=fK=sk#>?xt zu<~rD4d&Wl)EXgn08fOhl%0^6+8lX_clCr>5-FRZ@ee7bnM!OylSe--Ak!HH#;v$Huu9R!^{&$frpk|`g-jZqZ*{yKgq78A7h6l3M#72*i;WHKuK7sIbHQf^F6Z|S; z>$cr-q>{;gYM)iHx}$EP4nQiwX$@vO$tWB#au7L4VC(H&L-L2M{9gUQ!dnu!>`UW*~jK>F_!AJ|eB;@fItE(8~;T)0kFA)Bkpb~oAVjqKY=JTG zYS-MmE=rEs!w8?MRm=fp|X2vB|#geE%rgTLQVjEx>OH^`h|=Xz#Ia*xZsG8k)LTq%_{Y;)lJ?* zBxsFSw*vdh)YeQ>8Q{d%dgLeV$4tPp%p%T?xI8x`xZc7CZA+ZtQN99f=~SUx(ahc0 z1{}zBiF!rV@t?zbUK zQzkh(!ZoZ=r(rAF4RgA+Yx>sJUEiQzJx>L6?jj|q?=&mQ01KDI982i3CwmP)u4k;4 zx})WVJF5JmJPe!H9>0QqN{;-2Y;L&6{%Kbnxs~xIZ5o~E)}r8p_sXUE^?m(@nU$ZK zmp1$Eak4%@J_lJkmz?`?CM@S0#T;esKPE5l18yEY!$tW({X0H~LVJ`LhXdpCGwPH$ zlA%SoQ5V%RfV`Su{!r*{B!t`#QG}!C4!ZbF*k^cngwl}WD&&@1J4Yy`gSJT0p7$Xo zn&RAhmzZD&LYLfz3VgLfGOV-?C9bBhaJj6<^{pXq1TV?$Yft;nXrP!?5p?84c6Szo zc_*9vmK7rcD*#DNn}|xBKyvbt`qdID_R-~K`ZOvMV6{)58|Ag(<-uj<3yP$k8KEf) zB+vyxJvB;1N5@)jCEQ@J>Bvlz%}e1+oO1#)?6f@ zd2dJ~wmdHF5gvwodVaNQ16ChdV#&=e_+BX3Jg+QW#5^P^;=&FEyKuC`U4Qyr-HotG z^fyTt@HiBT;Ajlrw=fS1rIvNyQ{~IdVQ=sd-p3x0w~)w7HVWEISQ))56-jtis`vz# zx|{P_NjsvBhwYr<>XW!F8p$KjlGS1~R+JuCu4rvs11}aIk)WNjfsefC!b6sEaFw;` zkm6TzZ`hVtYA^u}>H?kJ(XA7`WjZv3wYA$17$u`6+Tpw1k$ufS-1a8aM@nTibjrA~ zGVIL~lPD9(QSTo_{Tw^!IVEj>CN}PagZ9zi!{H~V*qCJbK8Ezo0P1+_jVZ ziHqSwo0#AgCY}a-@T$FuF&FCYt+97CZxR>YClVyo{6+voIvsUC0n=;eAFTXLQ;4zv zn!RqxApA#9`h^Gd4du90=~4*jUlW!)$&h>QL5p~k0eDYi)O)+D6N*&ZbzkGddRQdu zf2p~AE3q!iKaF$yEpw4awBe1>hvlh-j>_ZEBhkc_jWg&5817qC+$@l%V^PI{qA z(mRSt=wuX~jaao~dZC`Qs^A1PTT0S+MuDy^|B(|atC~9&xk=D={kw4?cw|BkuA#qA zEm!;ocQ&{e#U%yli_7F0(c9J|)Rf5C)Y~t8*}xlO=*~yb$P>TUAueAc^nNt}*m8=) zkMCtMGMD>_mGKfY)=<12eN_+d89MtRDd-~ox2bBjj2RM9gM!&8mVR&*NWzKWXDyh6 z>A&~U2>e7!%76g!6*$0DG};r&w?Rmc$l`eVS%chnn65*3gX^~EIQ zYC7O3!ctJuv-7ovn(_7t8mP|=XZYMZXUfuTW~?Iyq#H!KQ~C#86vv6++mjeYx_(y$ zNOJs=_V7fICK zWeNr}LB#+;cYawt<4UEzk5IBJ>d*)ZgQ?3pFNI+S38jhLykFIKO^o1gr9qZZj(e7q zr$bU_hnv~M3yZ;MK7b0kLsMq{u{?4@AaQ$^lnb6EqdBKEg?!j*VOvQxs**G|az0Iw zhOAao^=FJ^Qf>oyqjBNW%Lvh3a*iN2GHdTQ$EpfF>j>c*^x!V^(llbnlEUOC^c3{` z&SQP1j0)(5LSrOT#=5*eZZJw)g=b`DnHVz!iQJ8~>7#0#x$%5x#Qw+PcWJ|}d;)XW z4sjpMEUx@FOxTbj2lb5cUe&T4Vh1KRYzpr1!OSYA8(jxrD?C6Pj4rMW5;+lt_Ycd9 zx`FnJQ5jt%sI&kGlF4|jsIQh+O40@c*QLjxHf|a7(Uqo2Mruuqf+Z3Jj0`Yy-$Cg( zc~J(FQ|WX9+v0}27M+0!l~CSgGTp)1YKOQMRfAs?|Bs?z4dCAK2Y_VyZTk@AJhssN z?-a|X zly++hk5{>~J7QF6q|5oHbmgr5O5LJ2Qm;iXz3X_L5=xF^6Ues#Eg#t>CszZn17g}a zfH(tkD@~)bgm-Pbp9}PwgdPs!%BNk{Yu=gcWy2yfRD>+zZm0_bJ*(KqUzp3x6HtIG z>Zs(`5nc|4nuvG#E4%}f)Q1sNj>=A zT0v5-o3{I+2hWI)WbLBtq7amU+;l(;fKEx>i>_}FAYc!^W_8lsrQE^o;GH0xm2tNq z1d))lE$0V5TE1!4G?MaB99w=z<`h@NeM-Xs(a9hOmxPrb3_;Is4s9N!%J zj>0BpoE!_`o#@`suH3yX8SCkPHqqact#wpT=l%whLiBHJ z?Wr6TKW}gDhg(~!1dzV0sid24>z#G4P4ravx_5UFvK24oSM(zG9xY|CQ(ogG&MjeI zns^N9=?2gVvGsWbHDTq5ZoqB>u!pX_Bp)5FEH<2?>0*onuXdu^ycX=cuEO+{YI-n( z+jAba#0l*hNp*D=h58o!sMog@p3d5^Wfc|;XT|^aKzai=wiU3>+pudHY?)1WTGxSR zk_LVzYw|T;{G>0AgQ_b+Mi;QzFu&V3R$B%0bM6;gu@RYq-&)&2%4Y_1#*_59vye>~-c^2oMiJA;S?%=ez6(y$5cJiT5m75O*| zRWP3Z;7r;0S3=d{=L<~qsEw65e&$6x_{U$01M-c$3Lo9hh0@mX;W^lE(z7160q1Y+ z(St3hPuK`Q)35FFUl)G>A5Yl60MjqG$8V1}gTa~17mu%(7u&$EFq2=6-@Kp97j9d( zu^06geb=;s1IJ`EzJjPZ3$JEJ>$sA|6>Oc)p&j&ny_MZ+{=+r~(!CXB72OVwdq2q@ zJ~4FlynVf2gD3tf3sJdFpyppr==qz^Uh5ZG?^=!LCcneS#5T78R90N|6@Aw3g>P;Y zKbluc5SGh#Hrq~rIVJ3ht{!}hhSv-1Z}gS|To2IqnIHk_)ro;Hn>WrU7Xv+v*1ls} zOi5=iNO+w8rMe!lsIt3)&%z}C^K7Bpb<@4^>40&tv5uNAk+fEBy~nG2D#3B!Rc*#L zhGb$vP(cO^oNFXt6*e_V9S<`f*JPcC-!+Gq|R+Cim%I7%og)F{6U4sUe}B^`efi|AN)N@xp~82hxQwD&C%A=bC~7w;40(s#Cq)elXHECa70g z6igU`8fCOuMG}{dEwCx*B*DE0?A7Kl+9S~3K7r*{7{_L;1`aK9!V;HEf*16o43<2~ zm%dAy-BLkWI5L67u?a&u=~Q9i=o&{do7GMw+ zg^#CRpoG4e<0YT&Iw~8oN`t$;@n60`a8BpmzPrr@T0Nb|6VvmRy@3ASDDt^Bc~6yn z&J*|r|I53AB4fChTh6v@>@APyu!$$YcX`x7MjU{G{Gvj%Pv+|;+#Wx{YoEBm?t@3# z(~=;56m{l_!8yqH%#$_2eo>Z`qOH6Yr>*@f<+Il$8 z)OzovFEy~j!W+lnHKgdO&KRYB<`Is)b+|8u^#%s;Hs?OZO$lv0V$Zy?XV6qsFhZtB zYXihjW(d26-pa=$7sR7z;#a0D9*$vSH6#VR);jfodF za573B5^e!t5SV>r*!J%zY$RXcU}G=o=K&^OME+oTq0$l59~+$2(iOwgTZF%1)zOlD zPR3+m!nZ&%VBOKkV71=^(Ln`XRJxUif~^?;=@@sXyds5Vy^ZpZzoFeEEKxN67Z{79 zx$5sp4?;~G!EL)}>Kpn!)9e^ayUKG%veR-_&ShJWibgrmW>#{qFi$T|O9^h>O^oHAu_}2p!cv}+6G8Z8e`NrdrNlZ_Q!=yVs zhhq*z4;k=`JKDj9N>|{3(n)h*aketsL`GzgvPQUndWiVvV5eN7!$sQk+(SvlGQ79Z&&#b!pBJ zr>jsCpHFJ*NL%DMTNA&G7`^as|0w1q0*^JZM{2xDi%cfiT-=PRI3`(R0v1aq^8F-G z3i}!cVT*6APS)#Uyb$+gg)2*Fkubjwb@EwbIJB%a2S(oJ+)rJZvamO=N%jsA)s5YS zU0j~-$$sXT#*^J@)R-;FfgWtDsDMKIZzmO2)xpM(fv=(goH!LY<3i(iIS#Js0NLo;v?5(%tB6DXrs?@7@=z*vLzQ$L{4A z)3IZzYqv#r0}(?ZUhCd>{F}c?j63#p+k9x)%73Z~V6yCRrYjNMt3ZZ%^Xm_;s^%~1 z+LTa&<|#|E#Vw4gaq=#0k^Dnjm8RLp^!Yfyrl(k&E47n+tLd$Q!EB0jB+*RT;&GW_ z_5+;4C$z%yN`i)6DeBdX3dyS3dFd9;7t3CF~`s`L1AA3t^ z)|EX-At6KKW>ZU+3FW1|6~1|67iq2I0kLyLRC0T6K%&G{9DTh^ogOJw%jbI}tNt6e zBLcVFe-7zIC6;dLNbsM|=ILchzu7ke%xWZShg6YIsS-MdSTfP)970AhD z;29cqf#$LsZb}(qq6Rb*{&|A93?8|gLSx?Ja)C-u6lu~HTuYc-IoqN_=ZTGvnzJ+5 zq9rtM%ncD>G@Y)>rZg2zbJ7lgcV=SED zZptI}D#+TfD|JP4{NtxrCj6Fs(Y+bhse9d&XA)e2FWLaP15-3;zw_5KUL0O7rbHG~ zd;1`{oU!mcuab^udY`i>>js^<@GS2xd(`fO+mSn1db`v^DDQkFztm0==0C!(ct_%7TNQT@uJ`+bGT*P|0S2rnJ;Lh^17%c z+qM`PhK2;$O76Y!KEEs{Gqx|Bgcjp5&p~ui{s|XZj0UVT*DnU%sJ9$D-U!%N%g&xX zBD$<80@Gg8b@1izpRKKw1g{_0jn;XZke<0>+-C-@S?oPhiGhBL`h2IJb&HN8gS`o< z*LWL?BuYe0>O z(AU300t|viqDr0nMo^O^#~vLH-TYbPzBm#r)7PHe7>%YsH)-s+S3^IN5h&G%>qCsO zK2|nHdbM(!RfvZ9m=JAmTO6uoL{(W=MwY`T8>sT_pzHP1o`*@)Zmu+ZDPq10iExq` z=?md@R8jIwQw#2F4VYC+GsK|LgDx7uRNGd)0)RLAWvov-h?78_^>7~;FMOCt4%kx6 z`=O75m9O+9Kq}Vn5X0wgN3g(U{?mKWQb_&yO6vqXQjF7yt9)e2_L`lJ;8Oiv;W#O)aoiPK*dFgbnboA`svXcEi|z5GSryA$N(CWx2OlY>YVThO04B4 zw4z5Tv}y5Dj7zQMgFTi9IXv8s2eq|bkvAOZigi?etR%D zh$(JQrqry;f*toO zO^NQ^k+@6JhBla8;dNIaVP|SRa<{v4jl5gjFzL=+0Zr_C1ReM}*Xx+?H;>B03G^_j zdV<^7cA%H?sPyXB|9$FDR&BxRCdu$1?tS~qhuM>(q3caE(mlQyio{=NI*c+5VP%+h z+0fm+l=VLMkuPW42Us3FyMIEMj9dPxk`(28nLlf-p->1J_`wYcGIyW+4S>7V%Xn)i zk}#;{2E-d-2w*izY!{thF%!IM01qoqGzReRG}>{Ho(C!x*@0>(5EQ|09*A zdVlUZsmkO^3l%O-Yg)FJ?iy3(^D6^dnB(?$8nx!?RoORtXlcIl6jSObXKHsIVy|bP z=MUkEdfo!34Y!bz96XoBCEd;F^d+*pdvyYBE}+>k^!mN%Wy0fN(W`Zoc(c+o`_Atx zh|kW$ZyK#&)b<(5`6%Z=LE~o19E=!9UsoSkSHo2*2W0IR7XzTQp^grz=C|?<3HLG1 z`Z%%02D|-+RN3)2ei&P@__I$*%Mz|W6u2t5BKu&m2%a9Gap#v8OgT1FHcgWCF#l=m z1Msr3_x(3c&f-k)s~64?2^KEZ@WTAZoB^r~yRs}ctqAjuI6=V=2lA_5*SDzuC8=UL z08<=%t}SxN3{f1j8FC{Fsq;2DAyYUT4!H3bmk}(BMu^9CH+Bu%|FWJLblWobDvT3i)dmKC%w)3h zNmIJR5M>Owl6u{;$qVK)JGUv1!PWQz@ z;z5p}y=9#LFN+C^8xa?&B91;ieIyj`o zNji)_a-$t2#p>pv$<+m{Kx;VRbo)p)7+bJV4&kgFJuHu1lU`u#oMqGZZ>}&Q==_ro z>=o61DTSqDKF}Ec4PXbTe6(>?M3u5^f2Hav?wRnz5R1)Amw~$2VrPp)syt@@o=cug zZWo23NercZO-a;24y7evT%r|SA7V?ys4~Lhv6ttE=y`GZK09as2i`n?RkVViR6*;> zO@l$FshPYTkC60(WgbtQX(HFfP}Wc(GO>+XUWj!b>G!Afh4U@B!&qi4eCB36C@u%G znCIi&|JvGGqbRER*i&;&^O5gD&Y60Ul)#r@W`MQvN+pB$;d+2q7Q&>z>W~CUe-OG9 zWPbQZL(>&OB`q#}$(*vrD7eBi9?`zcax-i6XO}Ba5M^jY*PKDdaWKyq2~St(v*#IC zzWQEsoRs)njS-=`oyuvq*H&BfSqXJksg|SVoJ|h7GYZkN;lja2pOX+IigKjJ*7+zE z>db0WDVC@O(JBFQDfr8&QulF3nC+n_L^9V}>k|#cJ`T8ww(_F7xR$d52s*f)Dv}}E zxKwvjpJ6DQRbP|4Z6C_m|YNC&h5aEc*nHtX1<|l?)%q&U5-CL-k|EqeavDi7-Ps9 z`Kr3nvIyd#(w9)-E4>%AH$BT&4h`NMfs|-@6ybO{ujmMa=82IKWRhxHQ~;0=aRgHm zGN_a8{2aidvulc<(2HO1j-M0QISCX9G%>oFN5`^RtFa9IkzCTGY#9G4&6Pj$0hj#p zV{;{Lk|wFN>CaWKfcQyeSL10n_3>9_jT!8j)*O^}|M$@L1a%xK$3R8Oon=6l8bUbu zzmtrY5SS1`gzp8rP@HIi!T$8+7&}3e9Hbb|0XBf@#;6k8uxXF{5qzE9goIHRtrUv` z1d-D|cnG4r7psDc?f9{=Z?nE$5rw*Y5{7;hWF7?=`Kr#ZUM3FK5^{KMN(MP?fp*GF zKfBNTB!1Ne9b2n5Q8a#hFQbGGVN~ezm`c?_)Vf=BjQ7T^a@jN*GKw;Ct;X(T+?nSr zM{odm(%-k!q+Sw6+Z;%}!!;BB*ka|1SKqm4JB*laWy4VhwJcqBES`#70YX&-*MhyO zkXWlO(V@HDFs@0UmPxpuHm{(A?9VBX1O%Xx%xl h?2pXQmFTD}NRR80yQvZRp)ph6>Gyw)tUsVX{|7IJbMycJ delta 15104 zcmb_@^K&Ll@Mku*H@3O4ZQHhO=h-;fc(bu>+jwHz*^TkU*(cWRd*7?N`vY#Orn^5q z{XGN<2^n64+?`Iq#`VN-WuA!kMCRH*-a!kwE4G49LxSN6fW3k){%QtBMh-^C^Ltt(B&0vUKaaO#Hg0Zv zP;Z)Dxan#Fr!qSURZ;0X9iOz(?@cdSsiGS;Znq|6pt;*em)ml70O`Sd)WbD-@blO{ z$?i32|NDah_H#YDqcpDCiD9aM*el4#tY*rAbLxp5Oa_xDGV&+o#k#lWQ3V48xDNw_ zk_MW4c?16z)IM~-SA5;)dek#yqBJWF@cSxr!tx3-JL1qXq<5s(ItK&Wsyr<;4VO&y zQn#E+2mKjP1I*qS1dW1kiUgup3UI5gHe9n*0zjM>QYXYK*WO#bb6VX2B(2LE1xplq z0U)t?KCPXPDOT;?E4@!I^r#;IHJqHm0*}&fVI6YHG!U|Kq z=wSaHZEP@5!)wzC<=c?*p9Z)^DdJ!5X2yE4H`xm4m1}+Ky@L|~M1vr}eZilVbujR$ zs2sz^OQ1ZNJbYwX^?kme#5=?Ut|Vw4F9)pe=2_|27)TODKJ{T}WAihR4DEI^dQLx|vDiN5t-BOLsj$%fi(SV_2tCJ!*Ue zF#DNdyYkWdj9bh19fkC?#O1RTRV#+yuoM2-%-I6NsB;tC-rPc*2NULPB4p>IGEd4)vv*e?Gv#xW zkEQr5@X0Ef#wQ23`c7rabKMyC1|?~aP}LSTMdHtc7hUYcM-b*>Nji|{iasiklP_raV0&W)W-$N~DSL?Dh10NjxfhG`NTx^f zD@V29BJqZ-p~2>V)YLz|eOtWRw~eh7nR{bP064c;Ar-}4NCvI%@}KAGV_`_l?Nel@ z>UBG2#xv5SSS`+64*o_P#g|D;iaGXytK?Nb^?XI7{F0GFEbF_h7V_d=e=C`2l{WE! zShheC?T)*lf7I&{ORni+zUzih3+TOpg!&@U;BLWEU(mBaml2H(q{U>f z_o77tex{s{Bi(yd2N=(cVi!( zM5?P(^V3Vm3l^8wY&)#K8jh#ncA%5jbH`Pa0znbCBxZNpVNkE4*Aq5US=|?gEAjqG zynY+t2WAKl1B2L0NVNpo@pihA4HocwO2^SNstR^4!>6iZRO&>-po8*zPc;KfWzzm! zKd?RZx@~}gL$642XU<_V9^iv_Eak5<^DV%z?HtxN{891j!$b1Q@&I}DAI5oU~^ z!{uLGtSTNtR~0ghg#70S1ERtwgkv|`Fc<*O9HNKzEl^K3EJU$lsRQS%gWsuzTauq_ z++L^DIt4UTnwU&`ZIUS&IFxlqSuLL1aeFs=MtayB)r{Nm9$ft)?6)IEN4*J_ zCDeO*a*b6($U;4q=E}$3oa7O8Lspehh4vK#6ZPAqQH1PrnAAz-;?D@-B}`5*gj)f} zU|+_w=>%YsOyxJV z^QNGe@o6VQ(!%$KKzy$c{9PwDL;0jQBZ3Ua(ZiRHBAgi9sq6<8JUS6^_N{K0Y<$m6 zp^Rx#9Wu9jFFZCMf~!@Nr1VGKCV0Tgxt>a~zNoIsO{H~hdA}$|j2_2f2x0Y-2YXrX zFx)EjPDaCOf<^DRyj~+`>^(R`?tuCP`RElc9!^T z{U`?xGq}W7jEUmSkR#|)ZTzXKacqZeo|3f+ng>J4+HDr4q;s5+T zIOY67`|`l+)F~_SU?iV7?U3j9&F;i9GsVikFxz7)$KV66V+3$Mbz|PmQ3tLS;#W-! zn_ZKiOGwE6dem;9ER;A9R^92lI%zillC`DEj%CAgvvHv@0GH!~k$rD06w$1-JN&wx z8xg96OnZ?dveb>N;))ELpf3j5Y+D@=bN})p31=A^1lQPgnin~RSvrF5;vds?VMiNr zf4Rtl#K=ZK^57&p6<8K!)~04CxjZlZ$)bJXLo()~nP=a}~@iR*`WkH3)fmP5f^ z=Vd{$x$%FS!(6Bn30Q@95B}N$$GaSM@^fQJ>f)J%-uU=p-}CnzQR?Dfqp0oQPi>B* zPz=8?`~o5Gdh&Ar`vmhS zx!?7$PX?mLL6+M@1M|mD1yEVQ049?+LdngPKY%h+Q;0Ep+SB{;Jq%|?Qoug=4wC;)`tr4(`0z|Bj99jebBj;Ax_pV{Xnq}$_1;PiFW0`Am5 znmO~%%B!dO$Qz3UK*{tx5eI7u^$hI3X*!{qGjCt5dq5ls`}Z!iMA6<*nc0_LP;yyB z8>G7KMgna&Qy9RfdC$C;Jj}{GvK){>d8F#+1I>e58FjHDXw)45nO|d;LRD%PjpUzS zq9=-lU3FzdNNz}|W=JRaC_sguN33yv@0z}oKsgUm4^~bzH8-cUM}b_WU+Ie@y`+4W za=Mbx32J*AHg&XlFHgVPMRnKDuhU2wEmSnOUS1j+Ved{UAM$=;D<{}Ihr0Jh6_sF! z0bM7k7&^dN&a?D@i_e9Vg&nzgLBW_Z(bNR$wuljRF0kEv`2mr_rmY86c|kA6%UqS} z@>~GbZEz?H->}Awaw6sKV-l0tCo@%nj>$gg2F5`kc;gsBy@N;XY{_#JvA%Bzlo)C9 zGqM+J;og19DV>y}tuj%A#|I};JJ)`6`B%;j8mCyI{n#f3eNlfu! z^)Z7=O7v8SLCvE7#wCKVz{=|_! z{a1x^P_pZO`7<>)tat+^J#Ttl zHc0g(XvUq0U>)IpVoZoV%xAG`h%nowGny3GDxz@ z+kWxya@yTP^TE5lNBgQR2`LeGA|cB|wn}1tzwL5fzpuMt{Z%R&3TyWQ)YJ?3J8@2K zDQQ|-B+xPc?&@mr@4@KOrZSeFkQn*O%dIh>^`oTi^RUCPl9ZEROvPcvzteb71!y^B z&CqTFr<3-jALz5aW#5OH*s~8dQtuA1V~aYPtk+favNqI*(F$->Nx~VG{dx1AH>EFGm7bH)8m8E61y=PriE|I%f_qSe$5KiV^{wp?s zaV?~K;&AhqO>k;hFL3i)#ZHCL1`AIjXyt(5J=oVb&$v*R&Iw1GTW76ZJ00nq(7?c; zx-@=K-mbc%G6Y8&Lt^xNiPGWqXy*X9<6hdOv$LkCxmEq%wOvDek)6|YDW~5k5 z-4|iUX6%p7f-k3vt3no;GFj=)?s;wDa7##tomRH|o z$S=w+aF+Z`i#U(3ppZ44Z zt3BF>r_afY%#-c({N!Hp{zxZ?JkHJ7A|l|#$r_;DyR<&jjfb${TOp{U-8ax5ddWHQ zHHKpMMBL8GLF-aT8eJu;pvB63ohPf+`dBDJmp(HihlxkNG>1t?TM3e&cw#3!_=u93N$H2PZL6ci_0&)yDsZdc_H z_keVLWSbwz=O11tP}k};uuCpr9d(G^pahu8BBl9rZI3*QiDd@bgF({^H2ITXVS?Mi z4eYT{awjdv8-R|A>|^!0!^iWs))pUk4jANF7}*Wg?|I$yf`X)ROSVJHm z7UpWyCrH;}`T~D&r)(yvl9kR@X>A?ins2GDmm>6anYL_A?v-&>bWhGSRSUNSIR`*7 z#h9P5EHZ*tkF-cIWhfkDxKEAz2*lImM}F~koMO_0s5je2do^r!YAV=1XYy?ijadZp zimctq)o+mu!2LXyHR>eH-DMwROo`iE`%V8eIh@p9C%#q1c@smFY6qQI;osF8Ylff1 zGtk22Ue9&+R}o?QZkrm02FaT!YaVcXPY|bKk%K*^i)NgK)zR*&g+WyJB0i^#%VVA; zK%h8VqdR!N!8BT?be!Hz%ooSJd2CUwH=d&&sz{FA!_1grSGzQS^5jedsKU_Phg3Mw_A=I)bn~7PyYTHr=g# zi5RR|O$>Wm%*_*7G7&vfr3FYMEk6sb#KdV&f>e<&<&>nh=t7HXIV=f1D(P1)nC_8f zbZo!BZ>S|psMn00I(6b#;>nKNpVjdBNslh{%SQ++VxIoExu7}RtQJJ2^gjx=Ph`l&jf2XcS%7ZUZA$DC6d%svK~IY1o>-JDxYt zU=;y9NJ#R))BW`b{3G6~T#oedz;jl{AfER`ror>9A@MG*BxW)Er~lZpHto>cq1juM+t~)WYz-Adu2!G)qruK+H)s(zyy?9LSY)c^%q&(6*5qD0eU>x#|ryOh4=&1+N^Z-xoNpDJxo>G z*Tr?~AM4juqiYML^ctG!)HIZC_G|$u)UV_`8>X0Qv4cY0V8u&e2W}rFOL=C3cK=09 zVj3;$tuBXwS_Xji(nRIAHrLtZ=n0hZG2KIqdrCYSySDgw6eG^t9H~)#Jr2!NLJ9uB zA&J~`1{mOW0$N|JZc)isygpbEMz>_03lfK3A^UiuGoonZL~W~U#haw&>-IE`vl4wDtNMf2eA%BhvI*z)#GjMTKZ zL8mIJ;1MAH_i6%y`3IXr1)Uy|#l!N_u2w}b@#szoVj-fdfb(}F{4$8Jaz`=zvQYlv zT@h_#j&mo70c}SpovlYqXHY%d)bp- zK}ZNH_4?&eQKKybO?PXK4g>y#9NwXb`3NkJw@$!tnRilFq4<_7gXU@u!F1B%ZgvoD z`-S-BeWeE)|G*Mw_|gbXst()rkp~1TLRM>FFbQylG(?Hcdr)pU;YG*TVT&O_wV1MK zL&GfB(=$d%R7X<7$E7kfb<2^;K}p<5WpaSHl;HBFwaP+jq6E8z1C5ajafE7~6l#~R zoELy*lbAj56IMxfR z+LVS22%XE~aQa!Yz@9BKcA`FXlIy(9ZjZ02^bH^H2gKJG0FRCKvvbIG3IK&B&tCqbC|qkg8BU;>fE_ zh@@!u%Y&xfMA3z5EKb_>@{uzeEmwUzb`s)1mE1g;@xFP1H#DtG;yF}0Y`SY}2>l9h z@~S?8!0R0TCj9(>6Un2kX(QshFcaXSI%;dhW-N8bpO%HXHG-3oLbtBz+G%E`0fyy&+NN zU@Ora$({RRwYMmh(wD;P4bx~0Dt(i5H)HOVOJ#J0M9#M1-v}isr|E8P=;Q4z*!a<> zY4AskqND(7E>uI6Fs*H6XlRM9@n$`w z&&lq1KxE#3BPRGy3J_HeV;-Nzoqbp6Wv zV4+$P3JbI46h#~6p=9_{8L-J(No*gs&Yiv{`5OskN`isMKD;>ziVeVj*a|*uX{F+P zC*(qg+p<5ok<%+2W|+%npR{GU&1g1@*O!1$dB_!t$aVy4yQL>=r;{_u*8i#gIP zO^1Sc$2U(zGBBVHzde);iawQgg_Zhu#wA`E)+mYKPFGxB*xu1lgIK~4mY1B7)AKS+ zXxU_DT0N${#X>gx^Z^yPm*)0n=Bh62tw#Z}0W3tktE`e7D66YLq0JV4ID67`ukNkh zmQFQ>HSKM|?#~BX$>3aP8#}{1$*KYdfM@T-clOtDOkJ!yeVuN<60;l zq?5Vxqh5qznsf(Ol+Ptgmxxpp#F6pH{oEsEjD z{~hK5sCd+Fu98yXpgFmVzXEVI(8M%86EwB2V8s~odsA|m)$tq0O zkq}NmNhH$|8h~G>&6<$HZj}YPCF&DRkd>FZ=XJ+=%Omq=IppCK3?%TL{C1JkeMHV= z2SogVOiRgOD@AwCotfM==l4U_{DTWUapLm_juD0;9a(J5<^~Q zaS_B;pY!#o@#mvBev`6v6-P~wsE!Mq3YM=F71vhw*+Sw);jc(>%piPj#2d5qS|F#zDvo`$N?kaw5 z&&mY<;NJT=c<)HSvuK>tHU&|)H|q1&m&Jd)_8o4$c}R>zhmU3!e&T_BI#`nBOGvJA z<(_U`ASKf!~VAM*+o+P)8QS4@x66@pY_l#FCR(mew{7nFLd zYQV+YM&5dbOj+u64%Gm+uaM5^6XJzkWXur;P_n-X=yF!%+9hfqe?$`ha*$F1aI~_a z`;E#JxzCJ*?sS-f*Q<&2pb^X}XUZ`fWnKf*fPh(sm4CNHgCL1OLDw+#YZs^9riobj zT;7#&SBAF1u0Iyk5sWG(Xz0Xo&#nTR2B--nRSuq!_smw!L9XDE{HRgNZqV%lX`sKP zV9)1A&nqZ2#|pRV)73PGzj1jVI&r9G*1v$Ys}ZH;cjoP)!;li4c}(ulwBgwmrXbsO z@8zg&BYZ!=xw2#sLO)0;Q?2{e5@941vU3#5dW?Qq6!Bf zN6&`m@$ub>kfUc;TocAuums}Pc&13HO!Emy8CvuD%LY4IlY%a5Zr+vl1r}%B3^xp_ zb%z(M9m$@nwVh94dv3a9X4fDLU~xBBSL=dr+V_r($AMSY(25?Ez{@iC4KHgc@UI$f z;}aPBNxd_wKS`>2*FS8mizK}Un8iS?QGHI`c!}zYV%ruYLRv4qL35k%JSLfSA*q6`uwF<=drk~x2}#6sb?_MCykx8Ao5f{PJp!AX`K z%11{lw*tCcrxq)}Ot`@>pwr}VDL}ht7JP4ASD=!KaET3c>OUg~xG=XM-w~Gi zwA)>Yw)O?LlGr6Y-~tIXNJ+C=yKJ8-mex#4$~rD+5Ho*0{jRY+x4`t*!b&#VgH%kf zDKa_y^E%Qd(mK9{$i;u-?Fq`YJREW{85$6}Pcy zviT?zB_tpijk*ClHGuH6kKPs6Uz&_56w(Ldb@a54+7%bkxPay#3~7L$jkY<39l!?9 z{v9qU*8-d=Ym7(NiRzzbnU!Q-5Ii+Yw$EI67pJsv8~bD@ItDvQ5+a27Qnv1Gf>6^0 zc&fVL_s9#iVYDH2)TF+UWm)5W?=*5~@ak^cTd&p-xM4U@h9w6o*2LMe6uQ+fu>T&v z^-f(-3Y+9SPYmHF+2IV`#&V$4m(#qMS&jt0qXJOBFLSYJVPNBEVeG^Vi1cr|lrcCS z%>J#ah$*-l&VtuftK`wZ;+|y6o&6)@r@Ib}$DHkStl*u@o`UYIvzpy>Rmxs;*i@w1 zBsQrpMcPyXU-rz4h|;8f!6`6jFJBl_X;QqVeJbJ^*d*voRdL>$OuPwd`OGRgAs&1h zlmO^v#~abvq?w*2z63gao?|in?Byh_{JYC`#otfR8ZL0hTKpH<<;O0U?)4@pu;j73 zAy|$3X-ZJ;*D}kjnv`a#(r0HY?eOuAwz22V)h`oF1x*d`GzB1I>F!$fC;aah)`FuKOvf7#xn`UC(v z9dlqjN2|pL%ILF(*DbLteV9}ZdFR^uYpNJ)C$VA z?S19b_}l9HguWx96Rkaq|Ini}*a>iHwdL}IA=Whb@=t7=kA`E{WrTjVZU7_nHTOxk z9RI4hL`zdeP;mL9aZ7LZ@sbnEudIsRf|#TLq?@wM-{&SSyW0(+*Vc$$2eJP-)aase zPrcz{9Kf?y;gJ95=xFVu7d?>2pwc0~{sCGEdZ63sQh(OxZ*JE^=#CU?Kj6Vd_r_)7 zd2>DJ0?lhR0zldSBF@&g6@OjDGSeF$=)ux||Ho;fyy`-866I}|oUvA=re`niFuUv4hoS9O&uk$di5p$45bOcE+8|r272LBq z-eJf3{i-7Lsjc=eDKs1U2k?jRGp!QvuF(G>eyLIeNZ9O`i|zhO$YC91^!eVKWdbl; z|EETM?U%S;D>8Wm_nCTNVCUvV&)B^gOne-!(n_)y9;J>{ZJScGYxw*~oN4 zhrifFo<>XroTo_>U_k7f13=a4awgBRyC-Hg@ujU@-(Mp`EELw$CV`}`lf?3S~1N-IB3AgOOMXlDQt}$bs06>61{^id@a%~}g*o`2QM4Y`S8OqIqqa>;ObqOX|7=j2 zX)+|C|2|9UdR|i+mB1V*dt}S^!*?GCmBezIu0o?J9^!tvF3rwxaJg)CJZ5JmqJV8Z2d2^EDS6)|JyC@sh{hQ z*7P&rYN;UieejtcVLLU3nBcaIUo_I$4E+v2!%2&n^W33ZICxPQGnKY%ORdLov2hkS z_O#G?ojjV{;^$=-b075GwM~}j$=RLiloJjfvFoQ{wzxcVXNyAZ8{wMD4VU~J#S~zt zDJ8E`odQo0!Vkqd{hytF>*D}uooiXvI3v_!r=FN%C9 zzqq#3e9_aAo{7@GG4IX}fO3h+{2`Y!q#DX{0y4_|ZrAa3}UDHoI z1bF{vNq59RX-Q1!)PnTHp9X`xl)nJsLX_~w#fNEMXW^;O5oEvqnAbTZ-T}ZPv-pXj z@86c#Nk1ae$6YWsnfcHKBIZWQM$x`*aaGG!4A1UJeW%wX_~~~tCJ!IEgYcK$lYjz2 z_azwXi{PVL&n2v^?_hJCTwv7DbYM#EVW3_p5BFmypEJv=84Fe<@omh(MmJFvqD{9NBE?x+)lO^?IF=>_Fl$_w+6L>+~#DnCiFoNeDlqx-ZmyC+E zhb2gjR@*^a6KOT;{!`ka!(a{2!ay77siJFTcl2=SP!djus6SEr@ff~>Ub|gn5TAxF z9h+v{^p|F{!FEILfNOh1175`bit8qfmNL9jSW#kn%ntabi!kWA;GQsQ+9AM(=eVZ( z5oBh%A!)%{(}V(h!S(u9h3ZW*tyRO6XPZ7naQ9 zuyTPbO)L-J8gpbLH%U;o#B!B?{OQeUgtm!4!gw}Nef>oF6A)v~)0W7)3Z5ZnnD#A4 zgDKKHo?y#&L6tK*OOFgdZ}ao70c8Uhy=f~&5yfYx;q_Xt!)8>rSZhNFIgu08cdVHopyVSM|M{TQfs?QH8f+FoM z6OV!1jY6)Z)8Cdrs;I!}DzjCmGkvKaeM%Im7oz$2>e?dZwJDKA&C}Kt%SKx4Aw*s?S{;D)V}MLOUhjcX=OcPONZdwZml+moko7Ma=1T7Xd07EXbwoyo~s7eQca z0~V;elce2#i`H=j3+%E&sZ;)qWSGBCy;eyo7Xul8f9arvexwz=lVFQmpNLT z;WSgWu<2?9T&+MRsGMmdBn3DI677!&Me2%aVGj)(jZ9L?(kIY0K zX36GO2X9jDldZVRI-3?}d=64RBa1!CIkdy@&|YD}z^V!avcIv8hcxoIAunk(w8fH+N4|&dY;ts1%S2pTVSU<~aFqzzjna?I4u}B^S z6I&Xj0sPdlq~J+Xj}@mQmO=k>~IUV$JT>305MZqRq2d8gDuK^BcX*Ia7C9t&T263jHhcN!;35UE@xu3G1Dq*lDJ_ zLJMuXv-p=DRe;NVNZqW}T}Mk=G_uHk21DThmIDuk%VYWySyKPYHXd1hXI_zW9=>F? z4I<7fa}$x=o-NqXF8aM58m}vOxNlz$AiWohqf%cJ;Qx|r$wW&U{8`ec$8ybfG!*)q zTU%cTEw=Q2PVraTQ|RfJ(wi;Th(EBI5`_(=|K<0>oYf`Qb)Z7n5n*&-Z|R&WqDoPa zfYJMi^ST%qBxRh?RL72WYdcR4Z@YU2`p?(<@mtGFxktauon5Ydv`Pu1CMo6s=AHJF z26axex5K!C%f8MWkRJE-^)^y4j94*IjQR9$yK;k8I_#J^_fuC__z?(Z%>vHSgCGWL zACWki*}581q?{dcRvg~$B{OW+GyTUkyF|#9mFzSeeiMMfT16mNMZbYFHl0CnawcCU z^to=Roy;I6%#%S5J`c<(m%A6hdJVg?tMLfCz`2D7rh*Wl^aUg>sqvlv+!j&w>@j~H z+FjTRn@-`d_LQ-nDS$&lKcF(4IV^(w*Q*F{S>a z7@8W$fdJ6HoB6*QFQYR~uvgHTuC6!2wl}vH&K!LPI_I3K~kn3yriS0={4bYaWyQK+~G*aywen5+n-t?}(2mog{}+EjFRUtIQ5R$_@J5Pgtga&^!`6FSxw_^% z_~9=&qpKtJ-JU1dpF{vvyAXsD0&vl6=jtKL!MBEsO2qrli7fG5)c)7!W&9EkJ^kn! zxdu+|-hZJTkM~}r578Kv9&zY=Zk0$0a;a5|;~`4G^0?e$iDcA_rDZjn?gomg%F8&y z&7_5S|3LmE(O-~hN-D=+R}4B~oWG}3-H z*}#i*5D1IKQmhw4rjV)rB?KsRlu_K+A#8bFuo8G1of*}WruFh&y!w0E@`-6CxD1gP zX1rd8VweCF9kbucbYUo(zkj(cSRoyr^*&y9p!Agr8GoPsy%bZS06ZH4@eIPut7D2- zd}ONAN0+iVY5*2X476y~{!pmc{nqSWS*T|`(>VrHv=r17=Q_WHqvY1e1pOzrV9&^~dDRb;FUf zqY>u&m?i+$0H?BRiSIgyk&c8%?h|Ubu9kf8m?lxdL}ibD(3@!ov-!m_4O2x14m_%l zIGc5mMrtvfS_ffzylYN0Vhjxi*~7k6RO;tcwU4K~K?Iik*j9?UEkdOJ*{)3#$@txAYTI_Nw~3yINQ zl2>bYWj0IUf=e#D76)OGnhs())d}@hcd0xwPbl9Cx)kb*msGim{wmKu#DsfsWv@|S zb^@xtYk-#A;^@z=|7(rIDpFJb{+`YooG~m&)7Y3?l6d?{6*Dmf<0Pw}{#|aIAvQjOJ>02MJ(plQMqxjp?XfY*Rn&`l@7wA^m%*yZmW!hrm6Agh~a?5+29w#nv zjrWHI`d?e&X0MliIVrCT)l$!zRIKm%AAtEriO?d8+7e6C+KQ4MW&ZO094DD|MPmbJ zCfptWoU{AyPLtRvgj`mWZO9w^uYQO&$>gl$PVqsq)kNy-=!*<+{bbcdiotItSZms6 zq{-FK`>{aou}ESt-zH4%0;a>1gR|dat6jz%QKiCJW0lY{@z340LJQ`A5~n%~r=2&V?b}9F z79iIZv}Hezv*7vCV6Kk*`X?F$#OJ5)7tNn+ED~ziV`!zuvG()~Z0HyijfD2Tm)&`H zzZu)x)ncOxFcDIQ2L=XYSm9H|02DYecuGc@fe^4Uc*CNS$g_SzJe7NgRF7|0pKo)W zJP2OsaNolc!M4Q5{&CjGc20jej=p_vw-u(iykMv!v zr+u`?Z!tX<2xmGAuykhcW-ezbo9J1V%M*S}eK~5#+^|`5EZ1;quv_?VfO6bO-XDVE zpOLEywnApvsIgpw6zbWdDh$KsLW*C1)HzK0nPlS2usT7LxM03Rp(y&WD;+HVOfCqJ ztot@kh20`cU>Nf=j|vf}t0&O+jhn4R2FF=iH?5(=#bf?)^+|}*r=-lL@^S-`zUJ3; z9|@Zn0wHKblYS3g$G7V13!q`Ux{ZNcQdTk1a0F5QSa8dR9~PEk;cqf!FB!9awv++( zx*1_yp{nikeG!ZYBdlMcAAYlp`w96=rbxL+9~XAZovZII7NRq~#* z_N;Q2`umoD02xtVAfv%F=(;Q-HSH1tD)}sx%bwIX+AOamUvB2o{OhbdWUu=XXKy`* QJF7v4&*#6c*3ZxX1(MXDI{*Lx diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index a72f1d6bd17f9943a35e4390970a39e0c93ea770..9f03711996f98cd8ebf05f968bc5fc7763a27412 100644 GIT binary patch literal 100824 zcmV)cK&ZbI000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*CWM3jhEB000b!0RR91006rM0000000000 z007vD0RR91004La%)NDZ6iX8?JOjalySwZJQbUjgIJmpJySr;b2u=v@?ixH0+zIaP z9NaZX0`t|cJ3YI}Ip@89eb2q~Jn8IiO;wk-PEUMI({y((UdHA^^DB+NJp2j2{lEB^ zUk9JK@Ie>lKR?S~TuaNs{jpr`cZL2IP}g7n_vhIELB|zK@+Rw*zsp+wi7W4PmBp3L ze|>hn-}SSs(e)QeAyUW~M{us7vHn$uuDbf;q8Z7##Qi5VDV@Z16Se#hxCvZpl=nz0 zL^j)+lS2zsS$B{3Y&>FYb5K;LP7#YX2@iySs1_E`D*X{g(x8 z$QnPp;L3aC{gP)%$JH)UQdztFUFt#JFFA}SYL|S>8~sHBrxKtBnn)UcFP(v(;U#XXXfFXB!q={xaRa^>njl9R*& zOL8dhm)ejrN}7@%$%(98-YM(NASlS+zlypfHAx|@fXce06{HTOyi$9~#XTOtNq zd6T{^<(0q7&ypWksHEnqANg6s5 zlXj86OFFVf=@k+xDZADv=}V2t`z2IL@z49E=4CXw(v%eB{falVP?B3|Ysrb!ytHie zJ2Ub9`J(%gW6(`iM>rJyVJ;_h?o4u#53TVqu>F0 z>YlOo+BsbS@!Mhm5u$&leJiVCCq zQFy`ALa<~?Ekf?ejIGyNpWb|7Rxwv*ZfP7;*d3s?k!*CU@0K8{&{irnO`Ir`G=e@^ zcqjJ9p+$|#j3cfRF#JNWR`f*&n$>q9?U|I`Xr|nu6ciT9R@Gv$JG>}2S#aK0)tx#P zYouXZE_RL|Op}^#5u9Iei>iA+m`=iVYjrCpGw(DgWhN<>%?MJ&=W(r;2fU?D@)9(+ z?i@-|aGfz#*?ToO9}ElRIlYqN3`!x=#7H)1Js1?`*0%AVr3^Zz!7N8GYQyy&OjkqU z`6o3UJeAz+*HiPDq{5)R&IWD5h1NS$Ler~d0dr&I2IIbpv3y`HC+IAQvx07IcuIXD zju`utJG=&KUa)t%Q)lS(y@ckB;&qHz_!ZY}kZH<)R+8E^XxM`J)XZz65dsjd?Gen? zuShOFZCOFjQrw_2K@E(eN-b63W(#67f0vK7rF^^QQ>rdijU7tom$?=_8yD8PT%%~v z>Z?NMXOy>8=L)PA5VM^}4f2^bUu33M3Y%@o`UDqcCUv1IiDZ-)LymyMInIeks;|vq80&An{;V5FM|!p9ZaHY1C81-vP20_XHgrLv#Mfv=^X=Uqd{+ z7S6u>58c^Vz?_nGxp7kwPYqvt1hvduKZjm<+@@v2n;PSp_$GvZX{eTX8abd?d+@ZR1hope8J6N_mWV@hy+*Ix`3yF*G_0=*Y_(@IuJ=UY@2dgvA< z4|X@#jSV+WC|?VMJCsM=1(Sx;_4}Dc(%h|xJ0Nm1E_B-4ivov8x!cB%im@f|!Zl!j z*V_|RuS#Mw&ivm-8%2B;Lc1EUGuHb;8E0k@$)mF(o*T*^#wM};t~QCzrfYCtjR2Dl9x$B@%jb!8SSRU(?#fG{ui8`nuXN5TC<+&ba z{-<+|x5}C7umbemxOvKv>GZD8O&VUPkI_lVy&P>b%%Yv>K9QdKNY)l|CKClBB0I0CY*p*b;84oH$)YPWyo{GZwlu)RzH-wOt$FpsZGX8(q$fsEA`I8afz-9VXA4{oE?j^5l-Hjy*48_W8MXAwr zJ^Yez#c7K3JZPwLp_o!FfKok`Y6)c%3Rk?t`qb{B= zxkIS*<>z$rlAn=18n_GdXZ=aCfU>?06~5L%nPf5$&!O(ZlP1!Dtg`MT%Af;*SQvF5 z@!mznA3qcBa9Ck;hsRIrj3VpR@id~E>~ZZ^*t?l^}B7#l2F(nQD#U8Z4M${xK`%rhG7YWV! z$%>ny+d_>ZDmy5HXj=S^hbXeYF7jGS#aac(G=K|z(ItPHTuJ7&)++V%M|3V{)~sub z-Ob*KOPNoH%rZtPqVJG;L_{@7Ih&5;x=UZTk1;l=@E_*JH)iKQz2#Ux3fpyAsKxHa z7KmW%G7Pr9M2w;8U1Y*erIfl0GL1zsy__#ysd`zop~n^H2cXY`(Cqvd!zq3mY0y53 z^Q>U2EJv}G+&hVRFmG`)uGeNGsZvWHaHS*XciX#%66APHdtTQxW-xJ)G;g!=EeFEj z(b+L{@s?CeI~8MV7+XsKyQp3dV(jmqQ5I{)jgY>PZ?RO2UnmC(C1ANl;zt9qo%?ZBa8NsE_S>LL_3dAqn+ezY8HWs zKqg_m)VyhY$654Xh`Yya(8R@qDPQddW9v0fx|_om_?WMM<~O=4i-~)@xC>xCEzpF@ zKk+4>)?=J`O@KwAUz|bf$4Z?;lJ_9L4+;y0GtJsDgBlK(;FR2A*B1jWFwp|ebT(xI zb8R;tv(CkW#&cv^T+_i?IEucVo6~?oqsf2eF(ZMB?*MqJKa8H|-bEUnDS?R&*;(Zd z>@Ako2y|BPSnx*fbE5U%qMWG#1CX#S?d*EZ9Gl9=tTuO$F=3P0{osHj(G)gVgpwud8-nWPUpnR!jlx(`gE>Yf+(s}ZQ` zUIo)NfYFCm_(5~VW-*8TUTqxZxPq{7Nfa`aO7odRXeXWnJ~feN!2|cA=n2AW=KR_+OzQ* ztqc2Z*jlRyclgYO^)Sy5YBxHg*|)%TBb`zUPk#7nz1*1ih9;pj{&Ygo2_DLE^U6uA`p>wc|8PN8oYd{`_=2J-CzJ|YIEinWWK{2gmAeHa! z)S6(eHEdZ0og&3rovFc&2M8@(-9eXa=BKUsnVROW`$FH#o;KDhi{<89bU$!D=kazb zm^z&57me*PNrAH?F^G$*f1wM{vY55*`WpikYc*lZF!*`K5_9O+HJP}|DXqPMKHVXv zV(r74wT>lyo010g{7l=IO*j6C>bN)zN4o&~4gYHy)y{vKwioMYG*ql{ z+iYp~S;cx-#{qQc+79}-ZJ=ShVooT(2P_u5=*5RQO zY0huiDJsQw6rI7-+*#J2q5Iy%{~R4{JD(!%<~R6mKjFx^nXc6ja$9lR(J$X7BB%al zuyEwwuo!m^c)BliGd*uKfvV>}?6{+n$4WH(moOJq>`fQCechXav-B`pE5YtC8Epqf z7QxE{s5&RFr=Qrct}ciMmUEv3z&HkvXODsDZ&&thL^rOJ9}~ z;4*BInrH>9!&7%bcx4jw9fqnH18sS!l-v4j0uRrBI`XsNNE(_yznRs0qw!oh$rq%( z_fX5u_zP+A!N19vU)@-z`Kl73sT!ijLKcBK(86lA)D30rqo$s7#S# zrbQR54r&p(XMK>j=gpE81h}>mYy_u0?LPVrN-!iYcO@9l<@#x3pjnl;28?t!S}Vb3 zfv^WG*0z2*dh|Sq{?t5goK>uKMDnPIqF9O$N>uHe;QTKI7VL{+@V0`qt9J~}7a+a` zxYtr|&-QK03(l)4&Udj|tVpn?IL8<`p5iR!zepdEo)PWZm3iGwqnRmzt!A{6Ih!n7@a z)BXPHO6fAl2~+d4<+}!9kQM4%hWyiF+#k=4Q>014Sm(_|7{DjbgFmHncuNu>;p)VG zr1eFpC~xVOEsn<^#hSQB6xDry(b!n|&7D3$#vRTrB0Egt2++@g^Thj>P~j_aM9%|y z_zm)dAaY?4pYd`DoqZ<32NFh&LwP2~PkRFFQYjLewG$OE%N{6VWM`cV;^W|jxj3il z33s=r)wiYGgV=;3^-M&6C?TvrG|o1flV&n?NnYL|axfT<&m!yjVf0r0OHh|987ZqH z*ci=v0#us;g~f$h_P5?kP4~W|cE2|mRq+F^`(XMYiaqDn(}YbAXvEk<#wOK{<%S=9 zWzO}xPkimi~Ed*+bK={u%$ly{EyqWDS^0~O@G1_=#Z^YOmBqUt( zSZjJP;!wp{zb0`!ekfl{%dA-&aO1K{zsa{qG4tGfA0tV4R9hl$>m%%&p(9mVC{5Cl z{an;-uuOVmm+_gZIIlmk3K+eD!ZElmTWcRvetwv_FxgL z4ix1jr_cQkr(7PCT= zhtBoT+pI4g3t(CopS@HwT_UsFhS7$bN?f1dsXF?pyFX8*qc!Bf11nr2am}=u@HnxZ zmIZ#K(^DrIya`4QTe_exCYTE-wSw+OxCx!xN)?bY=rcWOyMlfumN_*gyO>bRU9=^o zSq@9dJ;f{1*sH2M0j=b>jH%07Zk6;zfbE}9s^a~+}8SObI@W`tsTcaIMBnKa%Y$EP}MC~an?h1 z!)qR*Acyo8Wlv408>>uOH9AajhD$JuBXz~ot(I1u{n3hN9-;$TB^doI5e9n@B?W-( z6>*5pcb4FCoVbM6k~6?Ug0XuZ@yf^YSgbf-3y;sm->g{a{!rT<9%g}-RYjDD5mgm* z$QHuhi8A$~s(WR2*vVQG*#Wi6OJ(Ez+H4Vqa|7*f8|UE*w)%1fM+iqN{JW9 z`L&bWO%rlgabrjyRJAK*2qhU}5mwJ1tBm{vf^9_*-CeMqk_P`K&+zreP!<1K(c9aL zF>vA{8|cr^hcqI*hOtj2k4<2$5<35r9HVJ~zicc5I1EJihr*?&z$YSO$1|6XDQ50{ zILVoEy})!a#MrC97SZj%_agjDvc-f_t0CK#KvSc%HtTc(k3Gs_1rY{E;i=YLchjw2 zar08nDaId_G%o_NK9qVd;S#DeK@xw-Sy2!lhlKqBL6p3fyLtaxG4uBJ%SHkv*m4Zy zBB2)d1E=WEPA@wv3U9rQ2mtrm^`!SGd)zz%3~Is^zroZF82#es`?PGm#Fp&?1{i8+@nw#Z#2*fW)4z^7%?2euHx;xnqT{H5w^*Xaz2Ag8iQh z*U|50vY%=PQD1PefJx}%_iUi^pCuS1#g&0e9j&xgyW$3_a7BVMvOL0>mT&}EOJQ%7 z&-X@_MwdX2B(uXBf=&{z9`tgEpD-wEOy-uG&>fbfZ0!8Yni=dua@Ha~h)WBN3xMHCp zcm%UuI`NGLmPl?M+PTWeqTJyRT#=WkeYKG__R_<8GPgj}!X2WB@W0bpS5xaBQfrE~ zmdqY9Wi$8Eqlyw6N*0JK@TntWY)|~X)S{3CH&9`4imTC=Kn}%ulD4TmeyfVdGiw$v zWBY1xDA1Ilsjw!ZB6O-fpp48#@KE)l<*cFHE5RD^zf-x2J`Q_ zRm>)vRvU#>Ui-#~IyXm_HnuhI$|?0X4J+Ez*sUD7BP6VXBF|_)3dke#T0O2(5T6IR zc}(Q1DbC%h-Y_O9;(~KgTrF~t3N?$QOId=9rc7Mqb0;{{%{df5^9SK;c7!^?uh-3` z1gj;OZQ$Ze?O2C;)d_Q`>{}^!UOTtImRO>&dT%^PHTOo+!_GyGU0k;q^wdPsOwLe! zVVssU@3%~vr#N;*3w)F9ts!&T^DSL|v~e6(_O1)JdW}{u^~AsENuF#}t5IB!3d-49 zfJbxztW|m5QKzu<=CR)sjkyZE!i^C}@HhR!^_5hF*Zwgb^Fj%}6nO)E8>?#5GL&q6 zkWfn?Psi-SI27Bx^^_QAxPmd=S{>uiQ9#7j3f&cKV{c%n_7yd_g8M1#R0yw&NUW}4 z8(ZUqt;Ip%3LeA0DeA7kB5SKyYkIE>?UVGo3PhIxggH zqLiAJ0lL^5mF`w9y0tEb+_y0lI|IR7!M5DhkoMlAi|-1yIlo~0q$}8#`=vcLa0T1g z?hv^&YH$VDXBQK4?}atWv6xoL1otS5nbW+q@so2Uw>T1mFS6pL{7*#9`A!%;ZJ^GizSVPI`6vUbtsx#@RtIjLdGoz-T|6`Vaml-!TO zT29>KO1!$N+u-b}5eB2K*f#MSm=XyF1FqmqtYx9pjr>8|$kOR*;L)P+az{HwydlD% zA@Wbf4=w3b??QC3^CDxk&?6W1l!RK9FM+gR_GRjxBA;(%s*s0;CH?Cimdbge< zrr)slN?aT80efJq1WU?OzME5{n?z9I7_#)SJH0)ROv^98Pnn!B$wfEDp2ZAUYO*$b z>|w`##d$xpuGirXb4PWd^gVqjv`|qaky=)e9H89Mr4ju;)mN~VO|iBBYFUNiad<)U z375k)+grGoz#CXltPJt?JY2z3u*Pe*k~QH8anZ6UrT$fDykoRt?IgUVF}%51p_)`- zvO&*7yE~W1FC#l_K{4jX2+HV9m5rXBVs;QLOc5h3U;$ zZfjq;{IvPK%uKe<_t_EJW=0OrNWb?wTg)EzfjSLub#BhGZt0uv(d=NNa8BTmFo{#I_>iP z-L!q#HA*vKv{6)H=YTup;yd+GkrU`o!|Q_c`ik>LoG-OoVC`q^+|<5xjO-w47|8x; z6^@l_f8=NFHL8RIGIa z;%tzpI*j)OKln^x2~HV16?hI1yMsj0s@LiH6B-a>j_d>{+v@FE zD87&2yrSYf0G+Kb+|<~dBY7-Rbr)b~vI@bYRPqShyv;bQh}H&cci=5~GVh=~cfQb? z6rGHP3cD{iXxQ)IrCsK9}j++i*t#g{>;l zw1K6|lH0A((Jkf#Hy+|a^GXLqkCIVP>I@XIPI!C1_#&HFJ4*b)IgD?&M36f`)ja@+ zC*2sX`|k&vcDs>cN&3k$OuM78r#>&TWciYIP-{j@}A{m*Z#OXX&Gh`Q6Ly4wNq8(RM!@v2kx26A%V zR*O@xEur3?8_j&e-tYdmTDB;YII&goNH@K)kxZ$@3BI_c9aXBhE;?($%(>nM?0=7_ zM6HUgj_zyqm~*QH)6nBg_4dqEzJvg`P-+px2~{bzTZp?w@to7&B7Cjo@NfEH4}9&hwADzC_)|mS4C${5EsSiS>B$@B4x_u zj+V4oHKg(?2vfz{bf2}3gNn6WoQ$nz5gh&}?l++X3r!kw>xwZ{DRl-WELx%NtmCgz zx-|&|You6n*1dG27u`%V<-b^KvdG)>-31w;_mx3sfUA~}Td}rx=Lp9xRrhT4XqLEf z9gka9q;u z?wz|zM<3-gjw_uvgh{S21*_z)YV_p8G{JdE#rZ}!N)_nb?{Rth5-=e;=e&d5PlxAe zMR$99ez_|-&!#vZ$qKW^f+h!V56l>z1x2VDIuQ{~0$7#V@L8UbTJ`LSu|5cgFERPYud!aDg zYgzNWJ(mQ?04~eF3f3xt>7poDt9PD(^s?;twAw0nEA~n(T{<6?TZD+IEX{V%6+B^ZCTTm@^_Bq z@h?g9NL`+5$(C2dcd2Mf6`Fm0q9~0CjL}#Kh$v#M^Y%QxQKlY#5=tsH1PT*iSEX*i zI0jcb_Co6^Iz>vUyORzyuAz5`%L`+zOz0G4Dlm!0R@*}RJoh?BHR2vo-1a|0x$1tV zfm0hBOO;xj;MT)?(!TBsDE+_)XUbg%gKkFg=;}`@ztAD%=6Pp%HG#3}qp1A7C=F=* zpFOUHxwCtIE+pG;EMW;}YR^8bEo3d|8#ZsJA^pA(7F&IJdRm* zl8h(c%@Ul2e-*?Ng0Q$TdlHyTyt}Y%~@-QM6vQmWrH`E1Jl9 zDuxAVOiL>WQ;%TAt@d)w)FYc39V~K6EHimVs{Xz``uHUEowVibf{7*;| zVrnvJ!Utrum*6YRjF{rC2ree`pRUyMux3x=tzsQdVd)rPTlr20(bEr`X~gCr!&`0S z;HN(lwym+j)TBwU z8^Ou|S{pn9=u$7)yenT4jjx^vicd0n3~l)!XNs^4D(u};iC{NW6H||I%*3QNt~F5a zCfGY9umD}2F?qDFs)`RooUT5jn5J}v| zUI@7xgY%b}&QfS!32wl=3VU;7VOji)t)JaUmh#=@upi+}0H!anBU@+Yw4>w|icrNv%mn1DAx{m4WcDVBD)!LGj;Uo*?;OVD7a>2iu7Y z0L|cmhrPoTKiHg~Mi31{Q{!5<3Q)}(K{O7%vVR4Gl)PNQw#8P!#Rc3IjC3V_Yy}tR z?JUkMDEOJ%H3=5?RAP7icg_Xa3;EoYxGk6f!&9Si9vz(Te}j>7{|B}hb-C89q5x>T zI)FCb6)c`U24oTP#+;Zshu;ILU1p!vvm=sRu~k1*^MMz}##dLct+g@yNlOzG+a8v5 z1LQL9amD8CjI!?i{6QC+#9YBPYh_V)S|$-q=Zqt<`y#hA<+K+~?g#}I(uu;Axa}uAkkP<)_~(bhQpVJp-Gy#} zWGqBX0Vk5BwWGeltG^|UF{V} zxPtA(bpfp1=enX17Y_;mQEY@d#vh_#+Xm(RKfKpCCTm~_$l60>9ezGNI%{?i6++#q zLBf?aPy@1TH99h}XkfeUZotkTv+wXtVWV!n>3`Z=1L7KxaIM=m$!H*os{R#>`z${$ z{vG=<)76XoC%6yuXrVh7O{o`@K`UZ>n=)oEH={D>Gc-c?Vs^jtB!7GiZ6 z4E2LP#pNm(^dK(YCTUr3VursBo{djpQ?e_zoq9%qbGMj5WJk$6aL#9gM33)Wd{mfl zWZt9YtEEDrh+{wUFtnP{FSVs`^{P}J-b$XENacsnSh(|p4BszQHlN4YVZ2kUHw1~4 zZmgTTqYhBtvQKDE;1{E~Qd@48LF){=S)@HE*y@RaZCC^P4dL&8PiXe8S={tYw8VI#u$>I1|9drMEiA|1u!wjHYcaYF z>tMEPZPrky7EZ80Y>(NmCTL3@c(0|_%Ow~l65w%YL+7LTRB0`>Un9Y8EUA!t7+N^- zkd~SXO64_EY}*ynG3yvnFOMjB5iyPSm0ckO18c%znlQGkCjQQ8)H{O&qt_!Q4$Gn1 z^&pY7|8z<+OoE|Bfjt7A&=@4PKbl6B3rTPg$G4dB#IbMI9oIe+o_u?#{5&7*od?3J zC*P(|eq-EH&csurep*i!Q_uY82i=>N)%<$ku#wa@DBq`J`qX-0?WKBVB*h)`%80MP z*_pV-&vSEklb%Jfk1TwxDVn)2D7GY=LOa{ZXL7r!t?_Gtm>9*XP6ugI z@!y1PyO)%w@C=VF$M*J^l| zG}E@5xnz^vjH=w_Vhldvg#Yv*z)ty~AT@5B+040Zv2kBi%s&RP$W{u}P%GXlno#Bm z^|@df+m%xJj;O0#t=Oj9!+a*5H|WjDLnzgRK0l!Q{)soI#mgZd!$`xw3Qt|cOlcL^ zx9qylJ)L4?1T(nWutv1p;N<2@UX1RlVSWAF~>=kM6XT zV3oI`K=>ESeIMN`B*9R!3kV+@q}@lmeI%GI=qr+Dd8j1?Tt-D`Si-qH7a6tMasY1j z9{f$6IEOMFmO+H(Nyx_gfBdu!2*LD+X49Oy5)7_IZs`w=M51ob4YMhJ1qtS7jHS+R zaJBj?uFF#EW822mcZ^W>Hn>`=FJ}ksa8PNAQ!*>1$a>KDu5?m^$!Is20bP5!ho)?Q zOPO+H^T?rEBAzSdK@XJJdTAyz{(N{*`ysr}$K>1wKSsv{=L|+8&fldA?Lc@T;Gz*nZsFfFO+36Jo~?7O+h(mWCm^di zh8O<|ZV$q^IT~>rTg+~YZ~uy&4-EV_xQYTtt6ODzEd{GZ6Wi5XiDN^9@U?{iqZ@0d zJ?ypcR0*9|TX>@cRHwEDI=#j_{k;rRh%%tYD;ohPH9?HaH zJo<9xT`wvVBD)sr)x#^$io9lTOK4_r6g6>vOJ>!57a%?_(NC|Je-hyddJnpXXh;O2ulXGSPh>pj!Wy zSd&Z&cOBew4t>t`UZ}#=M8AN~!c_uUsb$K66E0KQT$f&pY{PB|n^}!ETAn zYl{XLJC!SrgS`(R7Lq>+qI1)vQboW6pXVKXwa*yrO$ZC3iz6ktg!1zlb|X2d`*k|o zCB1p2+Y;k26BpgNtx%Y_`cL0V`OC)@Lq+(W_>nvRY-+Ifj8CS>+*^WSZvp1rfjsSq z5X`(~2_+jT!74duhoN(Ep!*bE zs)F+mP~ImA&76HY8OhbU|8vX=RDtR?4Vp~lj>rhL8;iTlqrM0JNFOHC-B>cWG*=@F zu_ho`Qx?1IJ5ijmAUfceF|_)F8ayh^rg7C?31?zmNpZ4@fg9!>5=AcHQz<1_nOm!j z8@oZeCf63w)EyH1lif!|=s5^B2ZgFTuz2Ez@LyLAdmpwi zd=hZ-^3*jt*D1Am@aQCCoyw^>0pasJG_CpEdGtJ^j8NMo47IdL@Tj-5=8_eaJnSA< zD^|YFyMlbR{m18#hmXYW!psPZ;h<#e0g{KR`%uljhOeUD88Y#_B1_k4D~&7joBmqA z%J|Hz38iL+U~hmu@yZrTRYg7k>L#qf#W#GYz<+wn3|pvKLMK>wgqYpNUJ&hK>MgV` zo&*nO)4zC1apsjZZ&}>MI7t*+sXHN07d5nUPS%*kX1R zXMjXNI^{$s)Z%-g%@D-V(J2xo{2BJ9)De}er+{CIeVr?ROzsgg$` zX4)D7#jD05&x~`97pm(q2ZrZ)WPZYYV{dZHIG9#d4KxNR;(s`KXuKZEJ4{E+rW!R~ z3s=Ora8jJ;XZd`(LWfJIGe>3ZZERtCi>aVLFv(~H zaF*^<$g`<*rnZbECN%_cQ7G(2P?Mb%FnS+U5|y4 z?ze3Lv|{KPp%yoeZ{evIxNfUCkJj z!tJ^jpHE4qORzUHBed2Ak>3H*DXry;oNp{fvl#uF=Cg)+m$^fpedieul`A@L@$ImT zir%fK{hHYD{@U!-Qb9crWiZl2Qe)s#|k!qQld65(sGRdu0b{kq9rWW_Cn176lcuKG^0)FKaCw-+` z?M#21Mu*9rSkSaUx(DoOkb7kDsc2jtZxO~v{am9|s*kVdVfWy!$ zbH#4W-Xf+%zCfun(Y1&eyoZ90#xqCMTW{=TgNk-6F-+1EKJor#Fs-c`W2077?fftO z?qC{IQi7FMoKUT5>R{@cR)UpIwbSTyd}ju<&%ZX)m}C;%jztlDhdju5qhYZo1@=(q z&GAhCc{h#zih4R2>j~=k5PF4LjCVKh#GP+6QBm>}@x$8=eYLjfmXL3R%xj<~E}TfD zb%HawJzq=}|CV4k1VLFl>`=ZM;od_utU&PI4!dG=%D2wFL98TIJb%-EBS&t*)gLjb~_os50yJ~W3H^1U`-LfyR4h> zg|S6GcUJMD=~S9DM)n;pb9@W6Y(;=K=>=pnd*C#dk>4~Squu~z(MS;MrQ&E_ph&hAvzTTagBP<3xacd0p|_^ZE0 zi-!iuj)_9THc2ZfzPW4d!<~Ii z-&ja z`+_(Q6t#-L*Mi1PCK@Rp2(*O4%%?{)CCYMq=yry5G| zXy!vEoRb)d=7xVLfQ>#*&0%qr;T1U1d*|)il1og3^9W zDzd{Q4i1re^1~XRG4N2>abNix$&^8_!OwX_<*VghH=epaIzu%cH*_w53HC+tskt9{ zdC8upq3rDh$BySl9p}yva@)=Ozk_YH+<+_UklPiz8viQnZNL>RSGj9NBjJ|nEu$vNSFg(Ex&OY+?DcX5U65qo?Bn&zOZiXYhtltGfY3-eO=ALic z#0r;iCXs{kFfIKXKeF2+>WDOD5sgo^vhk=Kr~ z{;Z1NE!i(Wx_Msq?)$OUL|)4e*7zt=evMBQc8$M$ja5o7Z{Vp|bku(pSV!5v-rzSk=+4rfojE8ZdY&!hoJB^FV?xX8wyq-czw=pM`4xjc~Qbw zI}M#@-P3{mUl*awN&6UnU^x0U_`Dze-F7SWnK;bIps<~{#91(eBEv7z=TAkA2OPl8 z#3k<0+xDSWb>#?pA*VgzO#Ci6KdlF+d;MgeVbrzgE;`pEz?iNYiq z*O7O^VoGV)ed4DVfJydLn@#yGhkj-&B`t>*VD$ZxYq3=Mj#-UTG z^jbN2XXjKnf}RJ0rEw3UA{XT1dUv%gpgnT6Ag;E*M0=-%fIIL{@hOQj z5*pzd6U*9AC80SdO)+!M=pchPtjg;$>rWp4u(;K9%AWNuool~FyzNFBT%xf8tY@g&l_9im6gDv8%Vh$+vRh6D6(DaM zVGX09KV*DoQSR^^rcH`wSYhYRyxw@q*n+i|(B~%vT6=2?t;{GhlUr2P+ITR%8bxTI ztyH<31S7`^r4EG;Hy4h4W((bjFTuRSLHhYJc6hxm`b;XmXPwUF$dWLM`fQA~N^_T4 z^GZMzD@><1g&H{rduI_PeZX4wp;PGol9NJf%~jIuj~Ek_8iYOYEHy;-dYCh*jX+@k z18ip|B_OZ+yI@OtP8fgszXV>72F0~*jFd!cumuo4&d0eBgdX-|)j-#^8w&tTK(oKq z!|weg+m>mxn~Lt7AYR{Qtwz@&WL}&g3<`wSK>7fKUWKp4yadhU zFlYhzn(GzHzkcIq>$J5%3`Xivbsx@9&R{R~6Un0~5bGhYbz`rENcT>X zYS{8%Kz#=vVk<9RVB(QEOQ&sJUYgcAveK12TaDbx*Gl5PZn$+==u*0M<8ONWzJ`HQ zsKu2Qjm1Jts&;-|o?f-xL1`Xj@F=LVV$@yy?`J?J^P*ABY_jf{aYh-`30{IZ{$>ej`Qd?u(6!|Fqbw$E(P1^F@B2Mt@_0vKSww#`EW3&41DY zdb?aUu}Us&7v#YG_mR5G%4*(WWvxIc|4BRhjwnUaqf7bCh=<1+pA~D1p)~>S5j=qkb&@Z$ zv!~y%+0eQI*2?7$qDikpsM@4v#u8<*zff%!M%QnK_*2o^(j>~JgXJ+>hH0Q&fb1PuO{A#p>uKP$UiS= z(bIHdmuG*a^DcHbw*3AnRF$77#=Q>JA;XqxYa;hAv|Q(JP@x{_%_ZrV8ukke+9GcZ zM#^1$VG|21d#r#Vq?7sjKR&emW#7*KMfZXc%UoGEI}NnOSQV0@KO zy`G``K}!igS8d7rqRSn;^enICh|r%%z(+r|q_KR)VT%q2j6N#B+Xi^kmo`XTm1v^A2?59vn=q-0sq*x|PN7T!jB!u$BkCy)C*Q zZF?Z?osL6Rg#Q<)k2enTF0d%#t!hnj&Y0jBb;}DQ!{*vF8rJd}9iGufyi-C5_7$u_ zE`4|RG1NSjOu2Svkhk3P`7L0;BiNs^eUWt!R%5|;XuqEzN)&OtM-z*Vf_NP0JU&S5 zo#02|8znaHNt0TO03sjv;ndoGpNb9~5GXF*^Uw2k9O2do4{vH1k)Ep58fJ7?V6mSc z??u)Zbs0~GK5wKmh5I>Qtj3|pO&AweR((26TDhANO>ALoSA)H%I{4q*`~DP?R!KHmeb6tdh9wfDtS*=47;bNykyi zmse?Bn>)_jQU*#zTGa2Y-c1b;#xqA}*lkQvS}O(CQsbT;x%W^2zm#S`K!`YVUijKk zJ9by|!wemNq_L;=g)jeLljxNYM87ldqFkn&XF|deLq&c$3O_}S1XK6mPIAwXR$wE3 zRU||S@oDNV+TO^Ctz?V(-!^y`^=vD_nOGY!dLBx!w`02+TjANb;&VL6*5HdahMMN( z>462a41UH#UOy0h;$y~LlPJNV%XBGOxPe!jio}IC0c$-`oT_!75*IHcIG6N59GJp< zlP27!m9-=oLJ7I&A~Q`zQL@4VT0BjHp>iR2Q_$^zVtd4WDmhq!(KZU~wLn~iqVj@w z^kr%-Q8zH1TC2s_di}Wf=}lIN&B#)mDxeG2tb*I`QQ4CcTZt{1PRwAfLXihFV6wzc zr{=iC`NRAih@~rE!^?+7*PGZ= zp8U|8uZEL7^$*4TsMpJ*B1)362Rhr5!f-f+{WM~V!3Y1#>l22^;$yrY0aW#6h?qG@ z$&+`&Q}`xxEpTjvKfhF$_r7PD zO6xPq%~Fe5=R#|I2C$`fN64*T|Cw%Y%^=>RiT#R)uok-x`s9QBeYKAXdeHIFl5-DE z*y3Hao)9b?iB++NsXx4!MI`Lg@G8u3>gif^qFJltbSsmUb&Jifn54Fk61p(1jM()j zupdD!GI1p0k zyezx4uAvjGW=syR+Tid}j?Sm$^XW;Lb3HM;HEnxUP`ugLey+w1>c-}K?NjOidjDlN zRk$|WD5d1)X(-JShs@RrT}yLL$g_f=WX9k%HU6ZR;X)f+YAxNL8ROk4QxG8hSP<{t z`L%|&4vE5MNu939R5Y8l^sz4+X`{KK04MglMc)%7G|kmh#Ci8Zsmb9-t#hix-U>-B_+3PUq znL^!YY(v?lx}fYW=-y%W?USXpcDn8OtYWM+=<+#aniceMAnpBGiWYS~Y0S_DhQ8ve8xtsvyUc49nPJh?j9}tYBZ~OZO4E$ginvo* z&F0Uf`E{>}#a6s)UI@kq{a98Qve%Y!ezg9!>{{3_5r9MK@K&4i{g>ApFO*=tFxcZQ zW|sbO&nk*cc}2W^v=4i#AU>QetMeu?%WBhZ9DOJ)v%^59*$P4xU^3PrCxiG+UgEbV z==bwGt9;e3;y>QZBW8-;!eR;FGAcrSN_7(PE$od)wDs@6E^}Zwtx6Xt?A??tCbZ_P zRYmA$^Q42PG33Q>rt!7nV9fUHW*7S6SAxQauQV_LBd#gzHoBObDB?GRW)`|h*Vlv@ z!AdQ^p!|3&ws!5;MmjlIzDWydPejQu5XW+g-ge9=^0_WsgQjc*XH(M(_o%urZ4EKT zDT4|IN`tkmH!e_{KJm>J2?rX)#KmNe0DnZ?7u$`Xv{9q?yR0?Q3bsW~eav7xD<170 z;GElg#3JY)F-aYoZZfUgE|+SRT-qVK9ZSg1>}~gwYq3sUqVgW_$L_Bt)9vgM9Kn^f z2IK>nevhyC=6VSV$>B_EkeOUBJOCx^?E~y4$jGW4!-VNO#T!Uiqmdodgz4_P;f6h2 z7u2*5-RO&fX>m& zil*ik%8>cS%M^|h{WvuHB6$z;=vtC8Z5w9fRN&OCFsmArx-a<% zx?6lJ?Jv5+@KWH`uto^taOK&Znz)EJZ39mtPz591XQQ$ z-Wz^!DyBn?l5bG4Vr%Ytx>C)7SICs7L z^u)lf65p2wY?EtqBe_o?f@m3=!WzR$(%nn#qB1Arn)BcCyU)~8EuVeNZ!Jb*?NNFe z<-X~B6hd^N<3OoD;E(%T2h!m0vXR6wm7)c9gVuVWP=5ZP>sH4+d`gr;@rM$Js2v&mS0^Kq2wQ7GhQKP(at5t;3+C7+L zBuJ#1xtTKdlwh|ggSq@GSbH;lsv*IDali|;L%=QMJ4CV9JxUed0UPy`CNN_E7 zUEym_AX6%&9;FulFRz{F-HX^klvpE{e5BN&>CF$BSBY5*;mDaGG7ktXz02kh8Wt(% zk-?Bt=JaqGue&QLbIus6YnPzZ{LHy_Y(WT(4VT!kzrZ%3)N5e>=&lfYr%7xe3C_<$ zWO1YKh=-KETLyEr=Xj%(3c>jBwdrt0J9wd>&{9_6(DuA)-UC77Wx!5K5HCht#CeMJT4 z*$oaa$eq62YO4H4f|qcN3BkHRgl%B-&a_14kW$_zRRLUZXzA2Ve57=z#JDKAF!wYD%=Z!m2- zEuMdevdtM|EatkMjpQ^qQ`{Uulxn-2>bM(MeLwM^*oJ_fc99M{b_E z!Kp_mm8TT_wD?Ha%8>_F2r^D`d<%PjhQh9Zlg6)usZeMPizR{==3&;f;c>T6(Wp~M zZJj&i?sj4!oh>R87oRdL>vn=&`{#B;*4ICuW2dR*>hf*f)GS$U~~H7U5a zgEkyG=Ir%UgxpsVXw~N)qS9v)ns{Xto<0+D??V6=K%i~xJd6_7kqP^(>fLVu;vX*T zDNn3m>uQr&FL>cA1e#jG#>o^96!9leDxYi1hi1f{K!g7}A)5DWO0c+yF@9H9fXj4nSK9UZfb(oEAk1;ZELnS(* z`vD1n@SR7^r8Yxk7}(jNAHZEu)crb#1{iYS@q~F5{nS)&rs)tqEJbXy#I$^7zMAWe zUMgc31XqL5kXBr@m_}@WO4mvhHZCf`cz1!O^OhrDYx>sSRFfavfuij91M_RJd}WlV z9@q7ma!a8I!D1W%;F}lr_e`WMx#tUP{1OhVQ#LkkQI|we-~RFc|5tEwRzz!igSmy| z;Tl9GS&hPxPr)7dL;+U1%f&0>GKgLwM@&UNS892Fr?zvLTF1^UCI0lK%(KP`weZ2^ zF$edmYvFfsizB@X180So0y|R3mZFqxO)DcCTTJMjQ#rq)2UbnlaTuk3DZ^kkTSBz{ zO<;K5=fP)3M`2$WcgcBhMK3`7;h5KX7IWw4%k`9w+>U;5fC zm5~R+&G4Y6)_uWI%9c5S*}%PxQC6`gI6nZTo!vZ%PF$7PPkQSVrOlS~Oi96OPTV6I3fTi8<7> zA<(R_X%tZ76y3==-|$d%U$N^R@4lXL&zJo*yA@2wek23#OwRSxbCm>hu95tfVd7Rz z_*(vp>nYP)3GT>YAUI!#Y`X)6GKojFUSh>V1Q8F!qlg!bGm%ynlzWOIn7BBwqn^!L zJwHF%e{!lY$wk(NaAdAJ>QYhiymzqUt1?LytHgypgN3-0EN7HcwPGndQo^xv!j!+t5KI9loeTaHcG6CAx>aBUPhQ?`9qC!-K_bQ)s??)^ z&a}7eep^Q@m3o}ukq1lBtnXQ9*~4Sb)RP-d`WP0w_5B#l*c{({R=$N%j7=iK|1O*~ zD=gNYCrn3=ktVsooX6;hs`!52uAU=MESqt~4rl}~m({NFfjkG!W}*Fc#u)k4;GSoz zH7y|+{F-kf`I@hVV0Pki0|X7Ko}v3h+R;XWtFzpqZg;eT;^2AZsYmy`cJMADdBuf3 z4}krVtp?Nj;8C>FdzXQu#bR7uE9XMLUQkNyfzdGUwBhFkyR@gDC&Pr?nVFmrEE_t5 zeDnlQvaS3$*P_n_PyVG&i(P-b1Ki7oa%~-$jGqN%JLY)!_2Kw{rhsg(IV!x z9ip47w;5Lp)vjH~wP7MvxEkysqHw@w@Lde6nv5MS>NHS6GbJgME4B^2De8sWbDElyV8M}~Ny(!*XyO?lbB#8|{lK0A>?g%8 zh*qI-JU5awH_z*Kj60%>h(F^-l2CD|fYR7NvNaBSKLc_X7NxQAWd;(9N_0dp9kmC^ z?%Od!Ja%stwX3z@6)t;#%}-u`K8)sDlmoxjoxwlA+G?1h<{TS2GUVWq3S!}&o|){6|7K5*VPy;hIZho*{`^F>MnE> zaszKoBINopE1kdAq%ZH|>P1rH26{8BB|j`RcAcRjUv$-lhL*Xos*p%7=%vj4AtLFy z{mgkcs;=MKoF*Q(-B$AkOc!Y~i1Ssoq02^I`(6{Qko9vr)&hZn zA=*o(?k#V-|6FRQ6!x~foyGKcLs+PLNRJ#mnh>^;3?#IdV9Rh@E!2lFk^9wc&@eYRwkoBhH8pGb)-nNGz;xo8MJ4dWcp@lp)hM-;_d!(}h8k54MLM zZT7u;t8R&Smi`eLvTNJu(jv+6jNIG1pouqzp)7`M3jNtzkIQ!carh5bxX@cdLhpz#M9&2RFIuJK+Yj9g9A`_IHRF)>DCDLhM9##r&^W z6YWqeo%RqM30j3}%1#73ah*rEM$7!s5?Lwi&{_jgl7*c{bZG^euJkXyqFC!u26f$`bJy_$FoAa+Ei zzb}~l{Th?sbVs?^CFkmiwj}nxO`>Vfb7JD{t@as71u*NE5hXm{vP~4K^I4z`wPvYo z&g&fND?+cSS*rcSFt?!%c~*ct^xKWaG7#V3ADPZsjfF*B3l$%;o3AToL9H)g9_%v8 zY^l2zU07Kt`^>OVk$T@Av9ZNq)6_ye4)k)!uDzKl!gHws_D0>y-4A*00&CjV&;pa1 z)yx4MeM^V&f_R*} z2+?(IgT{PQLMoDNtv4ZhD}g^fY{6qz3+#(yUzdz3R9&%115E=On~U0gbD&Az(Y-XP#_EL}4JJ<8 z&}hA6)_L*6U&%a0YOXYCeMP07kSA?_p|d>8E)h9;z2Np6la_w%cgTPVqOefJOA#(B zbi+5pJt(t6dFRVCSc`A6)`1s8sK3A*w4GU72TdC%$IE)+zB{kTk*z8pio;_A zTHvPMJwimfjzh(+tg4A!N*t+fEa5jI_|^pFr$`@F(+BTXtQOs7 zE3h^eo3`;h=V~#ikpkDzZg7$8f^}p0ciToo?6$;B=Af!rAYG`Kw_Pq;8EI>b%Kkpx>Ctw&`mZ_<_;l{R7=S_>6Rm#?|F6f{xfZC2GB zpzWL=Ite=;zPqhRFij0xhSCkTa|Y)_4MA7#0UNbHl{mAKHp>yKyskole zO*flcbVJn>#`HVlPtwmTMKkvYvE^Y+v!Ql_Fc?!Sn5(Bku*lj-wf;SbFuTF>|Je-= z!BE`(Zh#LCa$Fk&x?_o!wWN`L)_nIg-4SK(Ly#Z+zL8kdPKXj^s+&J*))>4S4a#}? z;PR-#$_=n%mQ^wt?e_`9R2`XqDE!o2Ov}6-B65DjfHiIUin!&2#Nn9T&2Cy_72zda z!1aX z)t>N4JRj$6Ddk5>;xnT?#^{Y+1S)%9UO`6 zFDJDrW&w!=kd5B{^7qq#+}8Ug!5@hQ6O; z?4-uN9&W!kQYprMddS=M-T+ZEy6Wv=!Jb#wsw3q7ip)1z%G?OLz7W2)?o|JlE{%<( z5_^5@4Jxl&gGtfKyANuqF|D|$e9{rc#p+$@#GyY%nRgH?Bd7SKCyEW(j)(`n#+%el zQ>g%&5!!|u?E0b7bW!ZyIq{&@WV5N3IdzI?F%x>J`O9*#W{8R{=qW{}Z44Z}TqK;V zz{kl;SS3dhFJC}(+SaNyJYw4#(_kk$dTYG6u=Fo0Ixz9Vu)+>>>AKuwwOF>~B!f|H zHpNen$e&0zzHI5ZX3+RQ-U}hBOGLGDKSAT@+fd2 zl7`(1@`F0u51%vGP2Y%9=_bW0GALQlD_w9;@h%P-( z^Ps1y7V5v?dSLY z2e_Dav#(6{%@-=}ELPw%x?<#PG+zk@bj2DTyyc#!Q8!c3#xEG$PjA&-94j|KOg^{N zq(fg+!Uh&sdh~J|XILP{-n+zGXXEL{qPOLOf0P_7a^_T1U}01m2hCzsL*oO!VYpGDNp@^whxAqjRqARJP9b;RwiECRs{{2vUnA(Jd zYJ@>*S$c&J(k@=>4^gL^fK=b!s}A*NJ@ejfa*6jTKpbR)c#A z$o@gIZO9lg__-R~TWzRKT$@AQ8#~-|sFO+W*W@cU6=*+$Yv}J(Lcd^}245%>6l4PLvK! z_YBC~H(~6^Dgg;^LpmJ{W^FNUjw$AJy=QX&4up?EEGOXPTgzM*(aNXf_YH6+ z7ITh~v@1WHJl{{DB7s!Ll$9nVcA~si)X~_Xn@`#61~%u-6I~M*>nHF$p4q~zue%nR z;Q<(a84E9kh;oBe*8<1%@WA5{Dc(gNyz?5Gc3hU~ZKSmJE3*sxX2ueo(=fQ_okn6wjNzrz_#e7_af^nQmG3ErD@8l8U{_Wp}_ z@j5531Gm#OzKd)*@1AYR#o}j0z3yUc#lAeXkJFtva2-S0W08rgT}17Ni`f0) zCET?rh_bsP)7Zo1#&2z=C|PE<_%Z)AK6H9})No&UP=wfhOm)b@sWc{Tab^*J951{1 zy|&aJ;k)BVNUl*~bwr;JV?^7L+sx7$Ob4{kK$AjAh6R&+W0dh}$HWA37z5%=jqUEgNihAgOUww81kHO%P+ZDlehCDk|Dw zZ7uJb_vLeI{IJiuLPfJ|>K$whQ#q#oNp(_osj(xq2D!mGm(cW3H?j2Z;ViWax;0o0 z?EJ7)ZEN?Mg}ATldQYV8u9p_eX(W-Rwz#&&6dR0~x#`GtMUsvQ@)`%IbXT7uU&M?W z1wAirt~amhCU!I2>jhM@Y{wcAk@as8(7ma>4qP1ZJOxzZxj0@FZLd1Pt;kY2>>WUl z<`HDRwqHz7&%^~iX3Rt8Z<@F*wcSm(hy)wIa1T3WR7)N7c$g^FNVVUM35%_TmNx0D zPN=tkVp-NjJMk1A>YEm6YoVi-D4Zg|=+@v(%^~h{T57aQj=pq@08>6zWidAJJCSt! zGc&ig^AlF!nwsgkC{rMV2j@atpI#UO;4aABxYt&JF(~p9wnB)i6d7Z@TWEQ zBK=VJCbYarGf^yGtsYQA?5>rIkG=St#NyY?z1a{XrgT#af4Qh)exo^UOk}_NTroqzUdjtU$p2h>Cr)k4)?8w7!gr`KBd0D$@7ng7KwW`z)|X@8puT z(BbOT5AjBe-%hLzP#U|ag~}vpo4q}egoSB##sFtcH77Dfe#~d3~sP7Bk5yzLOQugUAn+f@iBpFff0|`&9=i7>ARRTf=&}A99Tx4X{GT$Pk3r;OdYCmpG&#{03)L}+2@e=T_pCXO5w+&quZMqt2aH46TXVG( zF_PyOJ93>eX^XK+zrzD4BGYophYRA`&g7o&cO5e6crP!*Cy{i$^d(IC8s)u<;&H3^ z9!z3UfLG}_=-!7-q>8>&WcK_ehD3zei!m*s>T;;q&{N|?#8ve&;zU|>l0?XpBFU6XWR2B^4bMWoeUsIl zvv2CShF83%>$qlv^($USU$ru8X`$NSp0CDd8XIridxr+q1j`Uq3NAqjvs@Wj+tK z7U3`R#@b8z1F|k=~O7tE;^s zp&~5K9`WwTHv32&MFV;26w5^Fwa-M43KRH^eI6peCp%&ujh2%Z3U?E=V_^#2<-kRI zIKJAEE<0w1-DV*jG=GFpxrD=YPKhP$;&^T)-(^nHoZDr`S-VywefWVlkz61{X7}G^ zmAxS%NEDro##k9u$!v%f&EqD#sZ~X1+g4Lq3rd_aQ`(S&Aip}}(0%Jts zr-#LbWIfC+#JSzI;AeKzxvg`TsDPb;?zF(&#g?`3Gd>xMnl_QpA;kVc6Kx|0&A~8~ ze-YHb88qW!hRlbgy6mQ<_PwPsEwwLK2h*(8*Zqn+$ZWjZ>6hW&7Ap3oS1UbOTxU8D zP;5o=8RKArP?2}HnvS`w9hje)4@dJA>P;PT8Qcsup@oVsS#OW(z}w^85l4@w^pStje}&CK%XWtnRKl!6E-`ObL^gc2`B?f^^&B{I_z&#NssRMA`%M?9WYRfFa+( zGYMnQ5G9P$VnN%^X0%AV_S55;L$^nWJsVZMKT_FtFETIR_v-gf^INvJ)6oj68c zvHVpz5s_<;iEV3~>Z7B#2mz+R!Ofy)hqvNd;0kl7cEJ_sX*Pju+7??Uy8oGk-@(@P zGX8;~9Hz33I_-ZKquwg;CJI%|S}GVy5h~lzW$!sQ&Ab|kHReLTnJ#YbJtFSr>1blf z!!Mm^9weR*+#>M0aC6O?{mh7ty+!aUHOEE0L$wGs8g*KZOxroeq0~;Pc-{kbYJf~8 z>Mx=%7{~!T2iXTlhY>*BfDwQWxf7SKchhTvD*ZzqYV5+VvmaWCXulT}6*iqW3u>W8 zpcxqh;(N{=q0_u#c?zWtGow*UWOr+rP?pjQ#g}L5ec;M@`IJ%2IgX0->zHVBz?V-OL=~$3^6A3R5+;36$v^G;x3Z{TbCO8C~K;E_dIOyzRDd%4gFbky3O0uH=tt2{Noyh7Uj1!P_cptFuutG z``)y#SCYQ%4Gnx>>-*!?JluZ!$voreHsWGh^_mrR{(YP%5_Tk++vT;*(?^^fsbo&? zR{xjG_skjYjvV%w#Dk4B#oL^2o~_v(RV7_A+!V$4C*WgFy6LcIm;L+li6X(GXRL3E zOlnJl4X*HNq6m4Uz-j3=kEm!U9c*lb;QbRv#d@%#>JYqd+DBsHsA%8RZZPC-7nRHSUXxxYV^?p~=|qGITw(2AAe zs{Ov5Fpn1%b%v(SU0ZX$2iRxP41d=)f#>_C#XZ;rnp8*RLFj{)g4ALzoG)IyQpZ0; z^&!LCWxl9zLxH2V$wt%G^TnhK3jCOwZ9AUdA&t3o*+uHi=CZkKu^YNf@sU{THgS=N z=~265@EbfEWx-V}Y_OR>Xt6jQuE5_YyG)10qS6Qj2Iqd<7caP2yy>jK*so|$d7eP$ zMVmv5XDi&Tbmu<|ko=h2%Qu&z;wdS{OzUEv)%xxU2s7C%-w?5|f!djal}fJnFsM2y z`sX@u)jD$DcA^1jMxG+D4hZijD(0&oR?d2kw`mkfioFzDILrC3n6y5fC+5Kw=6bEr zSyVmOF^J(#i>;z#nvVhp`QtNP93A+KLv+uK%(=&iKkqA3gt738aR*V%Jj|NL9vChz z_fg>5H2&t0dy(cZjJXitv9D$CkJHjzPsCMc5Rls_d-c&Uu{4gFyT_JO#?FB%(4wf< zs+cFik0y>bpX zGsU%Se{kRm&}4=}s1xwarU6TYyPG-*6f!4K7)A~F*x&SsQTpBzv17FYr-{_#3PZiM zwY0o;-@Qfa`*(!BgfNFT@A`^yt15`cxpvqee0~FMY(ZA0;#%=C>szs`QmBa&A33#$ znH3}AMyAofi+6Yb6fJLrn~O;1e$8B(x=TdCmrAHwVP9$BU+|&DB5_RxPC?ArPQ(vs z)`ljTYL@gtGmP#K%V>J9=GEEDqSv}N=DkST3`e~e^m`>DesX&vE)27e8i_!a>C3hB zafgai#f2TJ>;NLp+uJgs-x2~_o3L}LNR~;ht_hkjWmBvJnU8})b=mkZ%Pn$X?tr6T z=h}?ndA~coMZybe-GCNbRiCLlc&rK%8auf7X|pH=O?HEZ1oi$(6*H>e-XZeVQXk5M z4mb^lxThi;knyZ|bt515G{bUewYF3nTrB!v z(KV6U9Jf!)+y?uOpi60)+hE@-9PDm4&SVlZT$!pS!pZrumtCmtKjTgA(vz0y%ZP(^wiCdbzDg z{YXuq;Sl=&FyzVK4YR*{o|kORl^5au*F`l%=ADBu64l)FdylRC0E~Z;Z`lf8^Lg zJtOa-8lyz572#a=3awDPY%SEkP9Ag9I;ToI5zoJarS3n~Rg}+FO0186!~Cox(`#}k zV?PWt{OC0?s$fD-q2Y#^G~g@tOthiJA@xqH3W_JxUj(4!OK;Hn!*uZ%N@1N;pI$hy z+{wHQCa({!iGz~PLM2zxm({FgZ?nt2RI^4;(5EvX_+F_yh(aO7M8l!3+)p)Y3_c9O z_nZ7dw5XShS+l;5Fo8-jaQKHYbwWN-{jg#!oLJik);dz;HkPA5=?kX!po6PhM`m#e zYugI800uN3a#$ZWe_VEpBb3Mc&I|$CAM&ZLU&jDk{d8ck=~_Wlc5< zyfBoL`b->penrI?{71i$;#O|8z+I2}YaDvoTiBX-&J%e}`u>he^u@TZ*zZERiAN{Y zibot>@2^kMzaim&2EHE<#`RX**s%YLz)Ps!tdifN)VtHDGG=RC?U>}Z4;xi<~4cL`<4FJf8I~Oh(0-0Q&S|W%=;|-GjRCQQ03n=bg(}M zABnZ*Z(Exg_0VAtdYYdR*A{#nDgM5twnLyB%gmQSYY-iAZNte?B4K6KykiQ5o4MtP zic?TAUGJ$&$D0pzOsxa)o`U}7T^ld%RKFx1%pYPOL^Kr7;lt+MRaZxgJ>}GD>N!1I zGlV2!j76rg@$m$FG=hhUN2yq28nU_TI|#FY+OCYl;JBH?(Wyo;G$_6uQOtpIGdB`+ z^CGtRCVVmJKicnVFDAO_tumDgqu9d`UByE6#qm9X0(<8$9mA}BL?3i49&L7XfCxLU z+HVZ7nYEKBmiM7(V+!3e%+2lcSNe29BFv%E(i&o3dAGsOP%8VvBiFvah|!0hd9 z#WdGMj%)dKT>B6}^2|lgxhCB1Ek3rq%jR%gk0kE^G6KXk_O480cqGYUnL|?Z?nlLH zJeej^oKO>JR2^mA5s+F5*hEmi>7w#K3jB;@?kBZx${gHBP2K)m=Dk;Ja+lG@WMigY z^{bfNuZZY;Y=#+A&kYnLiMZ|)Eu&+svEmQ2(Igq2m{ z-lClVCXTrqYof2RW9sfnSarS zycJSA3Z-jo81hAJEulc9SBis;+GalyyOXk(blO_xQQUFefgx*a*?fttMVn$Z;?2uL z*&mD66y@LM63I7hHcMzP2}Rj+!TF9Sd&Q1u8F_gAQ7hCI>X5L#qWIMe42D?zMzO`* z`$UVh3cD1sW{+Z-gk}w=ane>`|D?~;+`sCRy${=dDEHi#qQ>;J9*E_%7K;r|n76HH z+IbBNHG+idH`k+yC#I$O!n)ozc9j#uMV;Ia7#oKC)s*!EkM<51({d;HdXi7v`&05grcCSHm7WyB@LkofPk;ra77%d(IDDX)g6!MV!Ol04mmX9A&>9I$j(|Jl~Qz8`Cgg z7XWedMTDq-avp=fvD)%_%LLKkcZGdgb8a*AO_kVS->Vmz^WESo9GSM%U;F;+-mDww zvf%0m!gN)+F-q+Dp`z&bdZBsK3J;)}7VP&3Ss`kVxFW{SdthFP1mIS~%Hb?w+TReZPq8JB&H%4R3Z4vE|%8eUy?wa>rXvliDNmQakS zVrOqCx;)9tFQ@Gx;@pM)g>++#1Bn=AhKqF>Z!z%zBF;`kUvmvIx`2eXmfu^~<8^;O zu`J5eQ#@=uim}Ciw+48Nn%?p7Nq0~&{T6lkBtho=NGeGWjb-ULMl?)&SR7s2+00AP z!LRJ6l}3nrD^w#{Hol?Z=SOmz$Vnd*8gcfs>AxyKPZrPdPW(|eqi5UAQ1d=b zaq}mmYzouDr;CN@)ItzV#|QC5k`2~U!-OeWL$Dv`Ii|K1Kc8;IQhThWHXjn%L%*yM zRlY+_-I?QlrP>SqFAQp~TBQT=Mpf!fEvauY)$-05v#qt=cms^*5 zxqC3ct_&+|fZ=44dlv#L(?{jNh~kD>(%JtlV8nmT)7St13)XO82Y+PSCZWw?&yZ^7 z7Crv9!59AQEK=oBH5@cAqyO6t_Na;XYdpoBFe>rkOX`Zb z<8zC)Q>&VTHF2ARWiyA0#W~f0E2ECcHdyQIo#S_9LEGj#HahIswr$&Xa!zc!qwd)5 zSRL!cwr$&X((#*T=9v%kC(K&&X=7E@u3f8Y?R&4f?(65H-}y+{k(mFtd5&~{Rylw( zx08T^lS^|>`6!&@l5bsX*bnBTK6G=qz57u)!_%PdSD0aSK*7 z4rphB(4N1U5Z)KtY#P?4urwqM_OI^8m*Q!D-v&JKO=e9kF#7XQ>uy$ARb!{CG=Um z`r*X)K+VnyPN@C7vq{ok*`r)MYzKbHyKmbx=$G`$V*Vz2M_;dA3~qb#P< z@5Xf@mvIuP%gIO-7?)Ti&_(LN>P6E$t+gO^Ouwwby<2I|h@BwtZIW)^0 zCpUPtWd@Q;ebGhZWV-l6D(7;4+V7ChRmAE?`Q+~H>PGc(HLM$!s?bqx-v)B(dQPJ7 z_1H0aJ5SnRZ{`+@ZIATAU3}#Grz|J)rg?O&IU6;Pjn)$O|DyQ()1sG)@ys?ni|}Sd zu{Ji0I?c0lN5%-xexG#6Nv2$UJLg|XL(W%l1={4Tz8i_sr^i`g`>uUNWFQI`L86W^ z0N}XXF^Rai(7gy3n6)gKg_1Cl+aJ9#Yef~&g}A!x7H40~uaP`0qmY+E=<;xNw$G^i zD}Xi|N{C^Vl2}UYHby$RKUbmVHheA#t1OU@2l{&ot%X1h)H5hy4I;hR`Z@O3`TelOvreY&a`iRqj2@RIhc)Ihd`?cjPB$$V z{)s8Z?{jH-Z4!HnwE`Zq)h^fzs&YXfh`4tWgb0kfh5Ob9#d}2HPf<;0%bjjj9NHR| z1Cm8g88SAK)=g0}UHYM}d#5k|bwGC*MqNVHx>R`>vVwD%fs$sLXq`JopMSslRXuB@ zL>a>plr~+dj!*3HJq|GSM~2usZK)&&mW}&^t|B9TTBEj?IT8Zt_$rm78-_xKITBO# z{;Vp51+;?1np9!Kn(Hd!e8s@;^arVxKA{a%6OY}RdHTh6;?2Ma=K&%E?acF1&2;)j z{qAgO+&e)7eeRmsJJ2}p<~3mPaQ0U`H0zSPztg7n6V`+-LdlEZC~~!t+J4$Dmchi_ z7VmFniKwxB{UGsd%!2B4l}RM7D4d)V>OA}$iN8lI6WXn&6eRHx#<>F=vCA%gkYm2d zDbEZEVK#g}!m?S5(`wBjUF#Wv@NXm8AibM#1(nHIG1(#ctQ|WD=BFS}UYhTa`a|yf_xksn)x7aC%VP zoS-UgZBk|N{xvJkY2!5vqDfr_|BHXR0G)>U%sildaE{+5v46=^IMCk7K6r0wg6!7g zF|h@Sk+s}$dv!TbzgBsICwMBr&+bTN%NEnvsB7g<>9sQ(RE3bUuGrB=!={Vmcscuk zp!FAy)M{`fU!}t!|4BMW3ADET;9S03FH%Y_Ht$_Mp(!1O_b&%oKg4t_EJT*#Ukr@T z2(q>uxaC5V={=@SvL#%%V@HUl+rVKZzx8bj4}aX+tajiGpZL3Kb$`ih^|*{XjXbjniS7 zr~Y4Z(^YjqBQx?Mc@~fG~_LjzU`dYfI|yuRqGnCIM5Q1uHmFzLuD`-*2MKC(z z0UFIIm1%hwKMKzt(78QG?o#E!NBjYoY{@r-V|fo=CyU~EdCP3+HnqeyJ)10NA!#WW z9mxpWv3SNo`^dy}x?w$4TSTB0M(k0dSY;Qt8h=62Kd>jZf=|Ers4RR>_-o#`XTx=1 zG=Rgr58KvcPWsj67AkaF7DX%Ssq+q^v*HHJY<&Z)lw}!vjnois^c0rp4$AB>Alw0B z4jpx>Vct+9=Ss1%Xwa31E~B8RMBYKA08_sBE3Rm>rKPYA{arf`f4poO>_Pde=-F0U zN?eXv-}7C{8S0TnBj#7DaNB2>rKwARpTO0%>f@0H451@MZ)PuM%}+bGk{=0L9R+aj zd$tHU_j4$Drrlkq1QS{64%u^s5IrYFT&PQgtqz}t9#RIi7sn;l(DC#ZKflY+VxgmK z9kI|^)lOIC5|d$%UJAB{Xg70;HbeYQQ@humX7ttEyfGaj=SY2Jp|!!(lL}+0Ml{@! z&AO(uiQ0gmEEpRkpu3Oy&G?j;y@`P-v1EkcbX+Rt!L#lF9^2kxK!@>)*>u+7($;+s z5Ag2V{ea7wnCWlXl6PD#aw7G^=GbUekc-yYlb5T!9}m3D1&F48DcfXbRk%i`Nny@5i*yYjxj z7r81Gq4BoJpe<{Kw}{}zFMon1dX%F=++x`?rCexSS(>UvRbE{h3!lAizTnvlS`H<6 zaR1QmD9t!_D$n_`@Gnj#!%O3ZkW>CF?_T1_hi)L?;@*klr6Tfxq8xUnyUkMab5d*| z1!=U)K?*aH61i4=&a`%v>rsG__%KH^luOsNw&y|<6FKTpiV$lIg8>V#Vt{pFZd-40 zw%U+sh9c&aPS<2=aM5%`z$xKXYU8Z7Cxd9|yHGoOi!I&#^7g4v3VO~4A+kXIeZ`9n zr*>#Ik;jzN9AEKAsp(w`X>Jn+!Ot$UzpF$mzG!1)ncBHdd50p*cFmjkz9b#4i_s#gtSid!D;<;~*6Of{bt1%(& z*!pLW5kTwdA@D8X_Raf46FBSjN*9CaDP8PQAh&al8H#3D1VtGI2owUSSU#@>HK}*& zFDNp0C|XT_tk0PSE0w`B%{Vx6DK!j4mC`LDtQ{^U*y?MDd4wU;K=9kCaXa$l zW|S*{Zji0m^a1din6GMD=X}f^3tA-{Q;06b3-KqkX;p}MoE4gCZ%$0uM6@~LQ*^6{ z?#^y#XNsi}NYUyn{*qdVTXwaAUkSKeBSvcKuxt`#nPwAOt(!dExDSt(BSrJtJI^Ql zU6JdOHs|o#<&cYiC(kJo$W)Bir=70Q3Y_pTs!GJexbx-sd4uoYzx~Gd}jk(}S1KWO3 z*zi+|P#+~dYYgY9YS`f^gl?U|^Jf&847LXDQx|6ntGY)yjq=$Hvr4{X&TpP;>*aP6 z{>RR1a=Vps9rfr9OqT3)9y0u1Rff;ux^`q=?BCkFDDa;UKF70P?qY0Tx#UzyZHSoV z2o1ntf0_2S1F3cr+D2UKHLd-2Y7NmqhFVDQ8~99L=RUdJ4;U!Iyyz2pRG03N1_VVS zp}`L6NFb8_H9gIS#$Gipsfo!$uR~mw4rPDW$ud%1`4ZWTv6$$T=iYCeLQHSebFRKV zK1~Dk6UMb_UNGZ&sTE=OkvFYhO?lu_$fX0yZM;2F{SIB2+f{&X1*(nTC%wV|X7fHC z+L_e3-NZxd8lf&&6t-rrOJt@lcu>E+ZX)AWY5-{Z?`!MR9luMs_sv=@ko z3;hsnt_s{b*7AW4NW0z_1jUGEYXL^Ob5$TqAGiFHO+c2HbmT!Zu(aWGnFct8e$vhPBLNBg8XvRLLj0gLN9*??B=zEI)s>G(ht^UWEzY(UIi}bp zVOQUBWr&pcrXs*)-^!bz`O>kNrut~oj-TguT8cm|) zCn!C4dxTAF+wY&8o=_)i4r^8J5EaH$3k>nmm0C54nm7P+P>6jGk!u!he$#X4S@Z-( zJHu8Gu7Zy~ivF2Szp<`D`1(-M)4E@GYnwTl6!_P^yNG|LP4fDc(I6sm^bI8oo`BKH z$L`1jF=K{#kjB~PG^<3%EJTPixix2K61n|PN~Ar9-owRh$fN~~m&bvs!rD7A9fVwm zt&klavo)@U@f+UWpc2JGBdH(B#~nP&AQ*&=Oi3xfDCskvHG9q`iglivT*+9oJMqLL z>$3{`0K1Z#)-~T+&Ngegia0(ZOg%*G@eY^HW4+#L3hUny@87WRH#4aS+co=RkJGHx zmU_%ox1l_|47eFa6Ku$8eovf|q+p(o*<1}#^VW>V2H6wc+lltIhPPeKOP=ZJ9&+9| zjDGDa8OGy9?t&yQ6Be68f z)IU&lC!b2_NiR~1U>s47LXR~-nE9RWH#X;*rHxgTX+|#w(PbqQyb=+$CNv`ZNo3GR zzuZz@liHyz<_0T(vvlt?XSK0{oX{K&TaMS`r~uf!wJW*Z8)_Hry*3oFzEvuy#z5d4 z%*@in6(DVuZq@|({w4SRQ^67SOIuGsuPVBPryVcy0Aps~-qzev@S6cG1J<>84&;MT zV!vHZ;r;tJ(-qi7*Og#rj@>NWah^#fH;quk_Fpey7Jl=~!~%BXdzy|ynB|a#V}rcc zNbDr>iH0yA>Wj&ESFIAiaW~s@#A{Pq1l)SZ(&ueoiw~7>!xEPKu|01VFx}`RFF^$Z zdGE3%%Q|DGJkT0OQWxwtxz^!D#Ohf{`FC=k2hjf#INJM}EDg)96PIT+2x?WcTRT2Y zN~sISKP%7>m)?4_HDy|_q?Qkj@7A~UuebWQwE3qEGpvKKF~9rPPLuvqQDaq zsY^QoC@}6hVA!$t$;&ps0N_J$90Cg>zReZ52<#mG4~vjNnZ;m&*7qb*Yl^+Y)-gB5YjW>X+#go|Sy`&^3u8 z87zEVK}VSJEN%{yh8Zw-Z26 zyS!-3eYl+#Y=#_z#~uAE7W=-GQd?*AL3`)^BpU?C(8XBdo;Y&pzI zS@UHC9EZg{A}V@Knx@0B`up$lYk&vv4Jj4)Wp#=heop<~;_mk>_DI(tlafm`)t)p_ zEVQ?e-J6A7D~|PpRV}9AjOm*I^w%%F(T@gkLj`s<^S@_+=D;HZbf;v-55?z`ZCx*9 z6f%kegezw6?q**Tkp81f3H|UBP5FL)1uCdsgKKzX0Bg+Jb;8yctQQU-al{nAHIPXm zg^p9zZQ(umfL`N|;zNS4&_b2Y@?y6xp%Z*O)zI)H({ep(sDs}W&$xT((|arU+^*dD zgHvHKzfGxoPudtsLdVO7J|%;4GA`o;dg+V_!)!KZhOLj)t8mWND@*vFUHykVwpaiM z?-XJB!9U4o`Cw(HB_Kb$(*x11M!|$Ls(}#nCbH>PF8OB<^&h&@3I0yp*m@?_5rcm$4=bk$E?rc~hScrqb)9%!)fSY6(vEYM?QGCP~LsMRxO z&J8gj%sB3bYk8cDG|v_rb%K!&Rb(O<5&HUakQL7r3${2uS&rA}kcPz&i$wQ|WV=g+ zNttss8=nDX0yZV_#xppf`g}l0+1Fq>Jhp{jz4)aI61zI3? zLT6E^eopR`8y)3n9g7%%o5UA-@tsNiz&r^DMo1wJ(>yw1;h3cgB+hcyJth=7G{yrZ z2{Nh6T@SIUC=_y-7QzIc;9vqc-@f(Gh1mNFyKhVXoMIAt^;w-WP$aJvd@rrxJnX|^0oPET%s^9Bl|zDz z${dTALwmxo#a>8GiMX)E+Z_-m{*g}mDQB>GfAVEP?K#MHI@7=jxJOmH58iqp#rzts z&Z>5_55oT;HVR8MBAZS(_e#U+P{rJmeWiv4QDIns;1JQOc5tj{(ir|FWm-yVITgqu z)dRvODl@vIAtz0V;A)i1Cku5UCO3$LW^-^eo5QrP2abU3exW{NxONYFN(cYBCpKHI zFS?z+Ouv=#zNCxomXP(`t{-Rp3=n5O^!5vaOK?$sGM-dlsdFjWf5e-D76yfiT+gSR zI6K@r{)ZiZDP>oBfXI2Mkw(*&MKtzc8bo1y2NQrvw_{7A8=V*%j^5IFT3{rGNO+P$nB5+Y0 z={?U(D`)3X<`$eE(qHnRasJ`g#vAq)0n#Xl@4>m;SJchsk)v~fMq{AL_@Fg&toFbXIpJ_g)8ncwlaXU+z_#p!s2!>Ci=ZbXSfZE3N;L$j;ot9 z-Mm5t}~!zd0k{7j0V&F6=u(Q_;8<%C#CXBPKB;jJGw2R$x#KsWCwxD-i4oX&Og zZun>4Q~Z_);aXuQf=kML1c!EcnqjrwmByQ-Y!VT44WwQqVw~jd>rAUS3a`%XiVY>2 z{lI)d$mdJsdUagD_w}$r%!ONP)E7>A!&^uu-r3(bQ=O_H&2(^9bOW|Z6XODK&=|Jr z=(rGN&4#Z4?4!hAktX(@6J_{^px`JeHh$3OLj|X%g|>wj;9&@Q*t)~WN84XL;5%4I z?eL#|dqy+!5*stOZ{Tj1LD4CEYQq~-Xsfy5!mtw1A_iSoeIx@%#syR$(u`m08bhJc zj>I7(XSLkb6}lH6`!LS?U$yM@(^m6y1UYROI zf4p-dEyNAg^YDjE(rTKs*Tf`Qg!w4+a3hA{tTB=%b%qIe2Wy1XgCI;GJGd(uk#q1! zgY(@H(}zG0dOn7NA)^b-e%kFerBk3Aoh~;uv_j1vfrF|^lomx+-0&2#CG zCHA-Tcw(q>G?w5Fv<(LbywNE8BlMB3-Jw*N6PCkkD%ABLZ-dt~eMy_dX+o_@$R74E z6E@tii-0qyu*wLZs~%SIC_jkYzXt(;C~xE`5Joli23OzgG76N?0K6tsu70} zn(sr8lj1-&R4z*>O|e)AC>^svyMbxy@M{C4T;Wpx!81oWb^nQ?pR7N2ermS_;q_=M z>+d=;Z*g5ZPBs4(sle!zdw($AgO%yCH1tff7%L7uu2Uo31~>|v@D1xZKd?;Q6Tk@A zVRSRwi)icxu71wSD76yBEC;8vRzAGQ-J>c)9cE!!F*W|#0u+fIk#KRPmwU% z@Y$bu1qYA|76D39#rv@irc$wExk&^?gAbd&$BU;%R5?iR;WU42HS$~QYX*J__@b93rL4}F%E3?SI#^v><1 z?e~mv8~1ZsJ;={4@Q0;YM(A~E2p8^U}NJyzS+gZzkly)xnZ+GH_y9>k`O34YKEd*D#9C zpA!qEsjHz6N^^J5qs2-A=3@%mAj$NVVGldP=dEiHrvB-}x~C7O74{YL6RDAb76{T( zyyM8A@y!8cGWV=@aymfek1;nkbZTo7%YuiN7ahOM81ZP1>@ zn16t!`J9cJd=rw!@gQ-Z4pzCirug>}$tq_wKc#NPmv$9mGm$qSsYcu{If4sld1hUI zAHb&TAeFicrA832k3zdHtCi8Wb5&D*2zR*?X?Y619CvD7z(z&<~n9 zVmW2>;dO(q*HvGv=$fSdvm&tOi5(V$MgRViu}=I;a#T40buT*7Ku|Ou!qcdX4CmOR zcpQul#P{rD*5PGd<7|08vwZwqI7Kmb8kG5pi=&Y|67&Pd-rbT38{*n)`}LSM2b$h7N8_JRJq*O$7w?MUD@8P|6~blhse|(M!fKwWw%8y| z#sv*UB@crf_LA*?4Ir$`Z@%AU zzoaU*>m$tbbLNgUFoXlzVQ8C5H9?u*#rSVLkRHb=<4g$$risahmmPgm`S1HAzXGYE zH9BPtpz;NeN&NY{_wRLK*R;O!?R~ToXaa3ogpn0c9%DH#x_BdSUDeP~JULyA7)OV= zlJ_oU)P=@E?hpHP(f8x;X$D-bf$Jc*KOta_I>M}me&q4vezgXTF)f4U!6KOxIc;j1 z(#yR14jsodlP&6){jTfl6WRIjkqcJ|c z|HvD$;_j%cnC1K_8z0gXCne~?9(?hzkApu-2C@b0BbwcUK4gFE-kt)1$aX@_5rufW zm$}9Gg$*=7K$lUCn4&2bE0S}@M=cR-iL5{a6q>muG}HJw01}l|oHmWOqNgha%n?^Z z>o}1?zHh#D0(Ek|94pnnjwHV#!_`xMxuG-^!I`%iYI-mVT7x;%E$4C&Yg9)Ir-g-8 z^7xjB=s&^yD-zM1)6`rV1J}`*#DxNU(H|}2ltRlZf}m^-rYt1T8EAbrvv$k-x?_kS!aQ{QgYOdV6gmA_3-YJF+XgRHAKJ= z$uLKz2NW@WA-NuOlukp6gNc}~6YJV)k5fqzmz|DD1~5jRDk3m<+UCAw?)KGKG0xSD zg7S|A=F1bRJzoc{Trp_LeVjiU}jF7q22 ziLatvSb(eVUKlo}gw4kh6mrE^^wUK##D1o4zJm^5X zxwxI&EK`#g3y@9U*#CUAqiA8LNWmO5aarkq6Ge1X|EEpT;_W2}R}BaU!1Tf-aTMvo zJ-Z8w@FZM1dV|$%yM<q_9PVqT~a0OXbJM zayfj+2^lnW&$2n0PXl}MTdjnuk4|WgFp?DqbMiPZ3k@9<)O02hi3=yWj&+Nji7HRT zm73(n8F2w7JY>&1N1`nM5O6@8q+I~Pq+nXvD9B+Y9e4=y8;C%Ud9CSe!7Zgl>o#3qV7ZyNBGST;D*HGxduXuw~C98 zq60HOkQP{#frmGe%7QC1=3V-U4S0hOWz@VyQA&^J6W9pn)=K}tlQeLB>Ywe)=3#mA z%9b?s<48WZNcpHax`-FzchtnQ5n#E}^(n5=XUPxHm(xBsB2q3ADftD)`73)IT?y#T~o2#qkm#3SY^IPT#T$~q|D;Io=9 zUY$2^N{?%xvB(C~Us}>6axMrDstCU!T72T6dMtHD4@lnHp$m#!XUA88GbDsV zTk@H2EV0-&=1F=ilJwF!HkV0{h4l}}W=S=T+rg_Mx04%P z^^2VCOsHN<7R+zVnVFl*9ld8pfS)R1w={G1s?jrD3ug~#Oqx(q9D544TdNV7DyK>< zo}+9ua}aY}hPj+=P6MAP2BFGF0gVe74Vqhr80pL|sA(#HXY1Y>V>`U+cvVH6w^tPU zMxPQB{6gFl$xL5Oj`!}IUk3jp(Xw}KVNpt>mIqyB^#4FX`y^b(>spmz;2xBfw6QSiNx?Y3KyPt_CXlh=TgeI0f|!XD zt~zca*KnZLGuaXQ;)pS)kZyxrx)L;)6C;<)Yx&Z~zB(}ez+-R6Z)DB~_yp8a9TR=5 z04som&K%9%VQakdB2H2O46L@4Fi@1)Ap=Ii?>jG;tSV8qp`tL`10@ksOcjnsE?9mQvT5d=2v_p^x$4JY7pn2iN1m`4CKdLM9fIB9)NT=Z>s!VZiNf5! z5rNUmsDP{8NtlqJ;hG-imobrB)b9X#1C>5)KmY8gY_kY@g{C)o*Mn0iG(2h)$pPGs z(sm2stKG*&NL@ih&PkHBk26G`SKAPJG061i5b?!iY+HIyp2$BLaP7%SE5m`_2E_DB zA$@EI9(qF~5r67J!-gqMyoBuk(DY%)CQ5PO=~VJUy5=ysETvO^7V+sX($Ps?SheW* zBO3KER2X%9@MO*h3!(ZV08^x%UU)&28G=5nQy)v{@*ZZv$$P|Y;E=nI)mJ=otr0N6 z-_1YRPsSE9;MpnZnfJ#Y9QcXgx`9BjttJ(wdoLjj@1s&kQ|X_3_R!t2>XDRkj6P>O z&fiX9TFr?2ENKc@MAm^p2m#a4tOb%oNzN+4fcEgEsl$O;TEe$Lu|aEO-FbYdwq43E zsfRV>oxd&CJpc4AO*Gpf5ppLawK(jOXiT%RV~>B=x|F^5;bxRQW^=> z%kq*W+291|gz-8Lz_7fzH=Y=pEIbJ=M%I>hl}oi>)obT#JJFVqf9%y$P90I~nf?*) z>v_YH|3$;1p-=lD`5OA)f<}Chi+3S%88;EB_F5W?kQ_A_-^Kq+c z4V@3)4E+!G)sV?c!0U!q^p%s{z6IPuVh6JQvcp;C(>TWfk|Xy{kr?Q%3_o9hW(wFo z5S&*~{rf7gfEk}H$Y+=ifOEHLloTUKeT&G&$_E}i|!&nsW5yX@L$UCA5^ zQqx~R^r`g`Pm%Gwnm=Y<7L1ne3rzqNUaV_BM91r{DFp(2HBdp%KTP;2AGZ)_?uGhBnse=*km7)SrGG8V5OlquYVTAi#UpqWA5?>oo-GfzI8Jo% zkDv1Dk)n*sOM{fAi=KLhYD7}rYBnlhN7Qg#o|9=*@B0Vtnv8VmsL`*oRoXHfX0@BM zWU6xU?*!_!ak3|hza9LS)e2~jU0Q5KQd8r09AejVcGw2<6Rxhh1laj3#1iQz6KKz~ zOH!f;hkMt$Rsmx|jsTGExx>8$B(F-#`pimOHXkeB+Gw*HH|(oQkXv!%_~TFB^4IXB zXHc(*D>lWCH_wLi0U}LJ8#U48Y-@Fe#dNLNEK2w_&xm_w_3!6*df0$a9YZpjSsdk# ziwuyCCW(z;k`+B%y(1C7GUpiUO7MQFRY;4EN@VWdL zUHFUDg1^oSO5gd6>3kl)gyDws=Xp=Y(}D?0bVuTudxY<(j}xs*1~)s_=+|o~FZ|^` zwI7rF-2w0PfDjzNS3S#58d#u5tYbaX#G3U&?CqcM~X5^5P2^l^OL&VdI0 zWBZ-eSXFkGs=|mDD-?CFrJtksi^0 zg({410=(WCulfsU-4uzk4LeF@ODw6^*IH4JMuo{zIV zeV}4^k;>|=?~T7;^{O@-R+ttMmlUX{#}Jq*s*(lj7X@YX();ZYZ7huJKuWBnS>hMG z4Vo!||6rn?$w%3X#32R{Tx&*~)=s``{-L_@%Y$5cycn__L3Z5&)Q}oAE=i9x`xtqW zLDQ5T4 zTot0;az>r!2T=TMZ;bk04W>>P%Kp}_X%AlLj-eVoJdqv*I>?>q=PON@Ry*1XLfXM6 z**GSz&-bO6_QSxGo;8_lTIFi7nlC#KrLv2-JQ)N&++0RdtFxzUC(y#&bGs{4?l>`| zNNnBHq`$w@fvwu!GlIBlfPVhjWJLK;g*&&-V;l&G6LHtU9Ml`bFKCD>@m`Z`1zA#^Dc9%>6g21w3{z7r76&3mswNb@uLsydzRK*B z!Zs?M-;O67yiIsrgO(j}S$5|LO@lG8tVp23GXxc^Ad>7m(t$e|Apd-NS#jEU|4r&U;j@uSsuJ2|Sf%`On?GiIsd8%@T zx{YzRyc%V1=FU_ye2OjbDK|kc>Ht3^vb-&{@p>d%ZJQA-|5Vc)KAY66;OxhE=IgoE zl&yJ5yeX?OppcCx`c_$<_lGDcx<&d5Lsdtcw%-j^2Ba>I^A2%zR zA>(G60|8Q?T2%UN5gk<@A>q%Yl=OVj?STZj)zT4x2$4EQNTounQoq5AgTb5?Gwu_~ za7QDu{JVX*Yg`eXwung`yN{o1AjsJ>6o4L)aNvt!U2q!E2_xoZkKgIQG;%xHdrVq3 z8}&SK3pxdLklxuy4DwUOVtDCtJuLnKkCSBXYX;J zOh+BMR?eTI8x7Ah*sYz)wqG7u*91r-p&qq`v@@FE7i~62l<<0yU&x&1-CzL_VxO0T zq{lEn`0aUxF572LzYeZAyhd*u(W;oF+Naxl(r^98fM7f3&w)P-pu0;ZE~VvZ`VWXL z6k?TPeaB8SeH4*7+yXy%U@6U9kAOjy3Rlx0tbO5FsgQNn@)#0dL1^No>D#t8tRZsf zcz5RYv!P6E0V5Bq5*o=}T9R4xwt)1N;xlIFqoyL=FOO$PKjLO+Cg3I%dM1AO_GX+9 z!?Ee;gQH+O`Ly~}Tv=(7+|QdIzVoa4eN6n#+e4dNZJ0#sC3lCqV>!LQ@BQJ)cwg$1 zbMr2oHH>I}8fq9k0eXUH&!0r;64=-P(@hHj=YLqSEo#4=7O4jslQCkovoyhsG8W9_sA8mlhxdBFY9}38p{cwGPk0 zM!H2I3Y!SPi6hz9O@11k`(qzsMsrACoxygKpieqwJfT0MvRa23LT{P{c&yv#N3 zZN{SyO-|rHrs>LOqctd1dDsuv?BmdH$kTg0&bi#tIWr*g%Kh;%QZkgh|EqZD2tIta zeZP%beTCByD!1y5QHAkwisiZxq3PU@M_8B}K!c7>r5+J6f!Od(m8P~ItD_R1koZbG zQMj*H)GHT$4V@>09RFA7)Z0>}ka6l6-BpP#KMHPi|6HUyruryjsVd7DcSgz?q-uv; zi{o-UZJ}0pPHg`;)rpv%6tAFN^ft?_VtaFB3os>wMG!^1=bLp4p88!d>nCUZpMx=e z`cj8GBQKspD$H7>teerXgK$>W^bAVWa<_FP@3H`{nj_NY{H|Ytr4aUIhYj$DRC2#} z=!~vPvGiP$qIitQYlOx4KV+S8I;_o#Vzrx~N&C^bX ziCY#t{-Lf*8Xmr|V*zU#-uDOPaY|5VC%+<*T$fP+KF*tZG~(`e3re)HQGhPg_p~9N zSNY4PxV4RLA5w|NT=H|No2%f6&+*R(A^FkIu>1wO9o}A&ivtQ#0h(0vhqGd(HV=n? zu?2NGIZf+(hBN!puLPmh)VJvKx6QE`7O#s!?5`n(swJw=|5=i^*0zx>s!(l;t9!5 zIL=0C?%1Rye@MqqlGAKNOg!W`=g86m_eh!b8u${60;j zki0c_hxvw*Cy=vhq#iMzaqwK~759GlBdlso$kt(?JY5`2RFyshZ%xBrKs0b=s&~AS z0kB;#3GaedSprTrXSNDsXGmbAWwDf%-W9%&#b;wcDj7q+5d-KI7y3-<-Ct5@Nws_5 z@~!;+STHIcI0&XK66(kCJle*tGRqm_DGA7|`fyQ=`6D=?@bVuPBCB0WFnlv&EsTzs zOGn>)jR3wGJLnF|TpH2OSmM_u8;e>Lr)L*$FSmpGUNd83XV8GP99O55T3LoAYRe69 z-34sd(GM@L*ZmsM2eTTqyT_XjnUDM(tPsSo+-5!#3FoGwNB?s$lKf#x#m>Pp=LB9?b^Do0nAy9o#6L-CZacw^07FkcNSgsUQ6Ny4J^)ebY;YR+{w_JQf z1qS;g(;YIIq6U3DWCyX`iWHf=hBx%fb zW~`HHkREa@MaIN4evk*;7$qG`7X6hg1UXYB5Jw6XK+;@3=5To*`_tLQ;BC=zT=$q}T0>ygrp^7yJ!o}LIzL@Jo4vIoh9Pwtn0_;(R!k{JTRHmpO@#oNC9z`f zO&ONvt{SYL(lf95im5#Ff~0hwP!I(dNjjM*X&dgk~ZKqt`l`Lg=Dwi}=w*X)1hUwD#v&1FG*fC4MOIqO+gKf^mAFE;k@q=r%X-}{oq4!8LkcWeP z29PC~GRf+a`d_-<-!e_cl9X|N>)+h^d1opluXnxRP_jI>&@N2zOZ89XfFpyN;?{oa z6pNnBoBghbk^f=uoxgJlmbT$I*|BZgwr$(Co$T1QZQIU{ogF(nxntY;a?W}FiEpiU z^)EAPR`*ra)6-L3byYVn^N)w~n7|{6$IkaLCq3Hhe1^T9llx;wY+L0n%3HZ3cURuM zZcJ1|M_=U$p-6YtsW5!)`_(CqzVqm@l@UXBPuawH^I{N2hin*$|2aTxeqX4zX|m&Y z4~1WJqZ+qGJ?5&AN@XA)&I_Zs(%>atlfkrAdMVyg&()ayM=-$T96`aTXz^SGE95v&NhI%O25|j(xA%XJEaHp)!!M;ET*5a zp!oaN$}8H_AuO#d|NZQ1{aJl!UQd$0KjR^RfV;D-c9X|H^`_4>w^ROig7Ezr>@G1* zWN!J3HofooiR(@k-;wNb-*sW}AL$#k$w%sRKmH%5ByVN(QK>C1BsLbkjJHP;AHPI5 z(v6U3BG@+|>Aj}6Yd0_U1-x!$3w7M@*Rsx%S6}w~nJ$Oki}F!;;J&sIzbLzAyxey+ zw<$S^tQ!&ySnT7Pham1nTtFwit|N$pzh$93y`?_Ez?O^dp{?xLF?R$Sf=haC0S&2< zemGRpNf^S`{^5YKM{7CZkmFoXZ5)|6v|UnA zH;Y2vG5>GpIPp|MPGU|4j^3jX!^o8Tc2^fD;PYZfu=}BzK_`_RwcpM(-4v zU}~ebQm8TpEZ9W3KK%s>nY6`mhs9#}p?mzWVY0(aO|Jc-R`02%>@8Q3-0R)} zywroE{06H^%{_v58(7EYr`QJf!Bg8E+*o@}Q0r4#s3W+>k%mg#oNBzYMeZ!z7D{9t zB)wupwj-zDWBvWJwdZ|he~hHxlEf~LChJFVJVz@&P}E&+N8=xFhYlZq(Wmr+fUx zO=LG~s_?R4g*Y71=gbzP&&tW=m4#F(@f-d`sRF7O%z#anHTe+fn8GB4pq3%#7PA55 zC|ebs*R8Rl(X#jRi(fS!gnDT@a69L8=e`EVWh=qYeSQxa$AV&#z0ZM0d*#QQDdLyg zT^2O9d4!-oxDKo&{dl4@0w^xZiM1@5=P%~^WFlF=9)XO&){nXePpBp^VqQvqy@rUy z3<0CBZVcQ7>#hru zAf=Y1qUEzS1u%7OIM`CEb7k>eD0N*5zo^5D$IJNAeeyf!?7F-YwSmYyQPz7#M*@oU z)M=757pO8!OsrAOoG7)=T<^G0s=#jmt~Kjd9C`D+y^#gz@l;iTp6MljarSBNn+ zYLvu_o0`Ac{zoa3T2?#AqyC)6V(W3G2Q{|d3*g~7c)}DCB2aG|6R57{>2;gvUk5m~ zsC(Nf`{?7bV_8K?Xk-<&e{(ksS9f$t}-zrNDB zaCgz{ekDvnHY`HK_%P}GSH~(A$l`&HJvVYIqIvG;&Dt%u^y`^>d4WyTI6oB zPFp1{Jbp!c_Paz`#`t<{_Y<9fu4>iV11?7fHG9SASxN1Nny!j7JR8fft>RlK zp|Ku$P`MEN?Kkl5L79K#AEi_PfA#@-X2i;vG=7lloc}-kS^#&F^zy#d^y)FG8CbM} z*OVlkhM)h!Jb~A^x<}epb*=!~lsZ-wU-l@o0)@;kZR_>K4#KAg{_mr+ zTLd|sM}}MFC;*8AGvMj8T^Tom(vNemM_K!@4dZ6wKNWtk+?*xiY?KsTs!bMy$l&Nn z@>#zvn)@iSdr?}S*Vj$CQtHad-Q7(I&*5n;wiEXf5{u} z^e+wp1qPO3@vKpohr#iPB3U*_5N6B{s~nnJ z?cmtT;>+L03%7{H-@ocVt=dMq*l5ba4K{G{5X^1*kkhztJa&gfjfTPe*n(5s)!&ed zdy37CDye#qUzt6PShs#{9i~~ZAim$%@yXjajrLqjT>%R>so?Luv&dZkRzm2bG(z~z z3Q1|Ocrc4sYPx$0c4 zKK;~L<|l1@6l1vn=W~D(Qp$pHbl+_RKcDX$tq6DIuXn7f-?{7DQ&2B&#+6T@XW4W* z=dOT1z!eQRaHYJ4Ra??nrjR$Y_E9C5ljbUOC}KBtYyWa45OK?h3)dvr(; zc-W>IInU{D_hbWA+U>S!pGP)i45<~ne2SM-;OhrpZ-19rtkwMJ1m5TRnb*6t!uNcE z|E+5B&x}L$OKZ0ErMElhzL_tLv1f_xej^k~Gs+9gs0D?7RQxk;4jh`uGV>UlX-=A0 zNw=yMC{3fAj6QB`Ss9DmMA1S`RUUH4Ub$v{eyG*^(%2nDp{1_(&6IEr*!rjHYS$IMk6$@ydXrWRQEe9_iPq> zlkZf0X%y}+04Y~{>cpNvx_h4cmVNCHmp+I#yp*nUz4 z4<`g}1Lp`X_I1ejS5OC$M(s{XG%M;3w(5-?qN#Pv9LVse2K5Ux6nfp~@b(g~L%OIk zCs+25$dw7m0Ao)en|lJHj!w__n}g&}V*X!vzthFNC=bcSNW@5%Q5irhxjiYB4-yc> zqQs-bt#R+n2>;CC)>U#G;?_QvpkI;ivFH{txPZz-;jP5Xx>hiY22& z1X2G(IGhsvj|692|AQjp_;2%0H_QoD!QsSg@UM#hLm)DM_^$+W|AT_=l=@HevBBwq zs(8Gpl}_W~#Q#y?rvh`reMcQXTmv!xTGv z(B`^V)Yn*tacJi{O zshN)I%IYDLq3lhvY-k=*wf(Sz?V~q3T@n&aLS3+D=k++sl?#D zp;Q42^VOO|2%LM!qz@P689vjR?)FW9{jRjwv<6V$F`1R;#0=lI21A=$y-w9;fWwVI zlI>3{Z_5pBtg|xB8hTxJZ}EDNy6(=%ZK<#*-KpITD&bQ5*iM>mTD-d3<4G zW6V6ZFx~UDckKH*oQE1*Y&qp+sA13dz28>RGTpXJ+8I+3etA?EAJd{Ams3);RvXmo zj%Y6l0G>__10Y0Ckz^RufYkfFv@sp{PH-~Ys9o2q$Egc~U7!0ZVLl7jZyu(pKeO*> z%GDY0QN+ODNPvzCc%%(_f8R|q%4~-0fU9r!XvZFuN|ISZ0zUB?PtRRXu{HjHz`N?V+KW#m9yZu!S64nRi;wfP>0t$ozEmm*&) zR=g)t-B@hz?mjt=c^_ppqZV|f7fl_DZ+VErJ;TQTQt^Neul`P)3ix~8&5l;pMFJNqOnOAV_F`f}_^7ts__| zG6eP2BL~$dl&D~!ww${<)cH&J1_||v6AFGmNKwJxxdF#md!68AHeJE0miOGCFm+~i z(q4T^E<~NO<`ASBz)+K>Asr<7r-AWh@7djcjSFs@smz;!sH0i%YoTyvOClWqSiX^% zqr7Vlq@u~r1s-K(%Jy1~R}bcODvd*F(EslrbEeiDqI&Q3wzxTEk`9h(y#c`Llz7*@ z&hFt`D#K#RH}s$*Q6+@9@p2y5tezp*7-2XcnHuLyp?e&6_a7*Ch~rbta0ULZ!Pd>+ zK|Jf!W{?fCA;TO37pHZcXHXhbQNG!Tut%xsCA;w41HC=BmK^d>{IaDNVr7?PR+>+} z)mvJ{5*^j0%Cmxxlfut>v+cfzAp>ydzLlA`9ji)o(AXAJIg8bF6(I34K_V=45kQQ$h+(ZSJU|tpc$+; zdMbU<V{ekfe0$#_|vRhWYql_?VpOU}VzxBzVJC2U|8UX2Id zu3if@kDssk%2>o7oVgzaR;gRYzGp|R@$Kj6sd($;RXBy~gE#%1$zJ11`Le3I!^MJ0 z%=W$c1^dj>q|tW9j6p#0QR`N+jZQZW?Xrh*$&JtCG7HaW>?Pl1E_rHDL>d=RV+Czb zihX}rk{rf9-wOPGOE{I1yno31 zt**~zqpO!^j*~aCN8L8LhWmq2df~*z-2^1f4&Rpd$3kX1;-DnztN|5Sj_rR_b*?ox z-4-cpP=O)sYxEUHeHx})My7DA&rPao78Sd8#XApM!9IFog3S-OUeI;Ku|nKsgzpFI zs|QMY`u_8z(ODfcJZxB4t!l>lZyhNs@rY;(Bd|AvxDz-m0KCWwpr}rX9M|#+_*04b z>~t@hzP!vJXjuNGrZG|$UaB0;s%Jd(CUJF18Q=h-pC&uZ2R>eLnJ|OphBS?K8U>U? z0Tki~`QYM1;GfdHMJ*-?E@bAkRYVi_!k+9^Q+FRGS^VJqNW;;s+iQcjWnW}UGk9V~ zr6GwL=L+Y9ryxReO{~IObdrN1@7CfgpsYM3YV8D*-Ur$@rPu~tmfB6~)gd6b-Nt-= z)YsMTGiTiino8V?3ugJtZtZ{^$M4X{6LU>*D!k7tqpjD4#ggM( zgF3*;npLV_qbp1K0mfB^6`9Ff6i-phgp*^l!o+n_DiV88)C}e)a_=XF>`NOP(V6m8 z^yyn*Ssh$rVh!e(KT97e?Kt8<9!49eez7gFv#Spm@-wrSVd5ZwcDX{k3|7_RZRbkh z9_pj8FEJI5Ve^TpIsCXH9(^3Ex((LmuNLV8H08od=RH$<$^gZ;9Szl)-=q&k*8p}= z_)?n%-`3U!3t<`D%b|)1nq6~sbpQO<@p(%j7m}nhQa%Y%Nn# zV-kUjFK_?$#bpc#_K)cC&cbjMu}jmHaqEIQyA?$MAhKaHwo8=bkOk&S_u4aN6PAXP!GJV$s-Jx=BALDPKgA($I0vNOQpNMrp23 z`HGOX3C|E`tJ+vy#$xN)pD~|^uM9dxfgZn_ChOXO)!;v|)d|T?Zq5TY=nW`v`J>c) z2wr|RI;8ghA>M3z?jt5EmyzAD74pjfKT=|D=OUyW->@>CO_g3maWHS^e>J?im?N}; zd2`*D(4VR0SwG!y<%D^JrWgF>GO%z&7{v}>rqUn-%5a{QyDA2SqzRieqT%HAZ69KX zIl`Yfc4YIe0%rS4?Vb*HsBYMHQ1eRQg=G+0^WIjOc$^NiPZC=$?PHxs8mz>$$9+P4 z2x@KN@(^$>)=}|lIyc4i4~|$#R8FfiOFY+gEU=K4+_e(OLL0CD4M6!>&dZHBrXSI! zYbYgMSFDK}*v`!F3k-AG!i5_EJ;nDt5{m(GZe!o6O`hEzBBRpPOf}+0AH;t zh}h^xt;A!X|11bJKABpEn_P08Zy6b#?m+09d}wiI3ox{xaz5?Tw|T)I9T7I8Sp_Df z5pmVL@XRQ!w*uhaA!!Ie*V}&iru$>) zZFv7sGrThYUCzh{-$hP`31_d#-Zyb|=L6YA*3m366ADl%U1$%u=q_oRH4%|vk5umY zQ?Kvwk-$~(&5(Isqx&E|grvE!uj11w@5dtFi?w4%ewaRmTleWwcO?h>ZM1xR4@`bp-B0U<$5b z_5eR(@A-v&^rJLw)yviUobcsb-tP3|*F_07)Fy!3nigyr9S(j$38|fnx(6Y%9+=jp zVeKEB8AOi1#gSd$Gp?zAo^(4dq0Gd`RK&@LZ)Uym4gSPouHY@hyw>trV5V&7KX# zDWZo+pvEtJ`E3*4Atez8q)nbtJrXui9W2Sq!=QvHyA5N*Faw6>mKzLarMHpWNL{3t7kuS zqx4J~K(n{km6s6vna zK?5^uv%irqGu$jSB!m5i>JR$xT>Wa9h-{!c4($c6Jqa{F_ygH7l1qMJO~+7lG1YO% z@o*-2U=<<&JWvTb)oJnl%5fi?qMBIWrowq5v?MV6mA&thx9m(3vm<`iEH}uMdGNhS zuCls^<}GG)PK#yM-&>Pybdv`k#*&~97T}~L0JjP|*$hD0aUCnPH$G;s8@L$drE=~_ z8U%s}^k%@SZf3ORNEkk`sZj5($tng~M4&$-9LQOcErj3he^RFhmR|4H+(Yy{57UfaOpf?ai_0f=k$bDHJo z5DQjT8xRzTLb;nD^iu>jC`;yHQ)4S$O12`T_i3m(YP4*!undLNLMLqlH*PGnb#H!~ z9iL^=*AF4&gWvxv?08Pc2aIN|^}va{!5lg-pLP7wq~D1{e|61!3jbh`SapfE!Mp4W z2HO|oR%#z64~8DLz`v+tiJdY2*Pm*X5kRDZJBUHbpmv{Lf@<`W6zzL$#!c*(jLE0A zHWupq0Hh{oQ8JbvPAaYdA^Qh)h&+h>^0TroS2YT|_h_wK8`DMPK&u)Rd1Sv%#`}C= zo1Vqstpo0C-tAMa?q7U+SNl$zp+e59T0TL25ryb^oGmoTW83Uj6}RBYiUn zhIdg=iS4}+O;y9%UHXgNRInMTWvipz&`Ytb4+4v#s{T~2`K!=dBu8Al;kLG5vC4VF zI1vR?t0~Yu8oU{{xb{s-I9xgs`U=Z796{^!+2-ueYuqT~gP~iAj#wAK2lr?v|LM zHuSxXLwmJ+bMs%Tv7<&q5PfjEZRlrJqEf2o01WQY4fhOn>m{6qiShPdZR`=C^C2=P z(0k8|whU;#OCY|46Gfq@fGCnTZga4B#^k1q@&h87e%xL2%87ju%}9v7L0qNgDada9 zq)=p9Q6mR{F|IuG&u;)!#Uw@}j}A=DmR8t%pF`fUS^z7bQVDrv{vz(3oRqm<2?Z=W zp>uL8<@O3u<-Qv!z=iQChSu)mnDe>iuvFfMA!&xxdWtTcTO4#3S!s3j8F|tTXXxci z1!HRd-$wvQ$g=c2OQ>f~pBXBjR|# zck)d$3MBUfel}q+pyKsLJB}!lidpoAb(_rF>=riYV}3x=kR#^_=kT*4sRh)iQWZg^ zWyu*#@Ihyav>PUPn@XZH8QKPHs1z7r&iZMsjlmW*?MWm zdU}qzAT4?rYe}@<60ZTLPd96rX-6Y9zfTwY5u(q!QZ@Gu<;15?_wnZV-N;9#bde2o zk#f;3e%OkbQo9cF)cYZ!NVJ`uYkm35$&nebiWtg^anvr$BR*0FPDZwMuwL-}sNMgW zv=DsX6WExqrZ;GYZBEC$N=EPf^?#*d=?;onE zuDr1QiGN37Sf;_D!kheC97qdMP=D8nak#lTOs*!Uqenjsk+&F{_8|pa)C~xxc=ib% z?E897$73GSSs1Wzf{YO9#`K#u;+VYgj!Jb6pb!gVbWkC1cIvK9G~S%rPW4qPCg+~C zGZOwSIjL)-06`D#G{qk`e;#?BZ*B`5aOvB;SLAz zMsdQ9ChyzlRjg0fYK+LI_zY-=iBbflwD)=wwrmtGlQgViId=FxG%1eO46;`NKNCBA zQLKP?lQ0l7!?!w_iiG~kybd#rKq4|2ZI)r*ourH#BecxAs_iUqXI!#dxl@L?PK6A3 zhTy2hJuZL5TFy9N$6lZsIhGxs!cr}$XB|#J$upPIl=L1sG?3QC#Ll`bw^1pj)OW$a zeDSx`DW$pnshJOKvZ{o~hQ{#*Z@3gHZqWYi=zcIKTx*Ej+`jKrHBnjxx3XdDM-&n_ za$mn-L;kg6$IBYq7OxDO4y-?6@^mMV16Jqrxgn%+#Gg!RSV^Yal3rXARWcCr&=Gq4 zS5ke`orSs==WX~V1eZo~{yRtT4aZSb1tl93T!ftec60paYixmimr5Cww3E}eCq4`&RH9;dN z*id9;&>EpJ%!yLYj($yWwZ`f^oq0^RnfLE#&Y?7?41y5i;HbP8Yep>;d=dZ5Y`(Fr zEZP;ZRuF2aIu(MBzJc>!Y$UP3oAowr{aUL?@(8AQbvr*Ymfur8ncJAeE2m6u8-19H z+ML{cdSgp60gL6{g@IB2AKWgn%d4wJ229|fXGBz$VcMPzXqJI+6$-cJP|SlGn6}Bc z6_(2c{icjYJ31?#y$!ah01FSTpFAjD)X^CalfJOr1rd!Hg06RGCbEk}1t?{D)HFTh z4M*8ReoF^8RRT4J6eS5fb1!*R<_x(R)k~jDpVBWh`!d7YV&b{KtM6*0V&u@tX1};i zsZC`6>8mV1glF8LxEiyb6=)MXDVZuQzDGj_<)KGL@(bF^0&QgDx(5ui>rU(RO$T_R z!Q7x@mim4YoA#%mYVB)I}_Wo^+3HF$gA@^}+7NN%Aj+^FZj;aIu9ys|7 zTwsY@uVTB*wKgdQ(^64(;pIJxhDFTiZ*tNzYm!*!@`sQ?e==9)4@|A%nS9~d#7OR>pf=W za69^ATH}mzch72PH8#N{lvsxutcTbGMP{Oy1LA4dLEz8K<7WhF* z(5C1|i&bryA7weQD5hnpu6k$?Ga^GYBWdKS+M>V8)zY@UsfM_eqZKzLTi%1_(vdZI z;?UVrp>>iGBd}=N$3=cXu*VjO%*@mSs+iI4+8~$?FTh z3U#;8KQNa9Hu9wOOK8*^M*}ZaRT{aqZ6~(NcuRv!hh^v(JR7L>$4sV|Vk20jfMN%p zlt%rVqHO?qjjBIq>N#-{wp;MPGtDR2X@)INA*e=YwC~g874O_g9!gZAZO&0g%z%dm z495?CCph(lSx|p4qzfSWJ4Q~-9jBdA#);8n8N`Rsbi%EEFE%cw^6JSBk^P#)H( z;9`WG`EQ?^sDT6?%**(m6gsRV*(oOFZqp+d1yc~d@cf*6e$#V|6fc1{TQVrU70{w@ z7Wr1WK|SdeJ)omx6oI)3_7UWTf)kh_BsqWw<~xuCO}KR4OS_^Hzl1_X#FtxS_4rgs zrhK37F1)ZbhCh|{FF=NGn)F3Wu)X#dBLWdbl`GF}|C1Fy*q!lxC-2v)tBJ>=gDsg# zjW$T4VXhQdiM9=W{{_N7>027GpIA}#O~v5J8g}5II;8;E-f{8jit&VLx}f+P)HFN6 zutU0B=Zx;5mQglP_TrFtgRu53%0N|cDi_m&pJ=Z)^K-50ATIr7y>#Gtls2nl>yzM% zL6O0m&J!=*SpGI_q0N29spOKD5AMfk%?y-AdEr;qKtjsxtJL&_>m9Tu+Js8>i~r0K zwJlimh2l$m_bQ`g!bgH&QRJb}v+A3uXqF-|`(IZNs{wrVx=)ibJ;nvin*{8sSy=riJY_#H+jio)Ur(42hb*CX)Uj;Y=y9I{iYySw4&JEc6f=U)G z0YXsza#xxJx12>~&)Ng%t4f17IzVMYxlPwm)jtt33y76u8E+`HeGNqxC`Fb$zaV`W z?&J=C(O*mbUlV$$Hqb2teKYv=Z0tCPHTJn2U<&oi(0X*p+pFNYshkt4U-+BW(`J5{ zryU*OwvJD_dsxta7C6eqs*FCto4G?E^NV85q>oR?uQTxDq0yM~AHlLG_rTwDj55p~ zZk9Vf2JPUr-95h09{;qGyx1A>8_**9Hrg~8wO`4e37&7CYwSFrr|_*Dr8IH+w1<-yuNEkf>wD0T~F6^sZ2!CG^H$K*evtQVs}Y$^q$E>a_1^1 z;;~U4D3(F)|5_mJsJ3Le)PsnA?bD>eC06J*gup0Gh07O@J9W|nL80Tm8ZlKyb)j%}4QzE02^cprRMd&!1znX!#K0CZ%v09Bq7bz%}8wkx}JJm~T0t zErZ4~FIQF}a0)04S z4);)9)>HoG)_sF+Di-Cd@8+2~uN455mC!6(e*REIuxudmA@6J+j{VN{%)$N!ysjn* zEiVcg_T$>leFuCX*wy*kDkQy@LR^EkW=5P4{_%qn)5m3g9pY@tM% zm{l(WRK%uiIK@-?a*K}O-(Dwk9IA}HxgD;Dj#*IzvXK1kGJ)7Pg&LoG(~YUTFV6f9+G=EYs2dKye({(3L}b! z#X3rJduarEC{b2r(~_CC*89yrKx#x-1Kk{hZ1UjW*5f@XcQM&Wn6CyyXNs{H?J(8t zQS9BsqmKGE=E4%+;zg(;CT<^JjPt_txC~c7ThBKgyLVAs5p;voR1g+Azo9?=E+n(( z19rgp6g1N0<5X2WbMS9a``&9YYZnIRIrxHWyb^p@feYu})u502gxz&V2d*bgu>Z4O ze4zWi!T>Sg>ecI2wLE#~oBF93l&L%Zj8ZAGT$grPsZ=@gW6XELyOpx5o{*vceuOgz z=1xPApozP3zMGE$OHq*lzem8}gQ-8NQHOU!0uC}(#3OptRq_QR8tH<#|0|x@gC=zn z-JHJvN;F%!l;-wjfieQVUVO2mx6!SCg?&5g%S>{Jvh`P!W(mbkE<} z(Ratl3Fk)VT+%m`#6ubgGDnAV zT`(#ZCDbH*2=F6FM zhz4Y<)wagXAvCMUuYWi2mzvhImJ52W&x_b@AdLiqE?hf@B;1sYelRUeO134VLU>Nu z!r#{JKr0p9;IUdcXlTv7Tbp~$Ak!C#IWH*r`HGq_zC_r%FQzqt<#NHxg4jY{ldR1- zPl@BV6(b-YqQ%a{r0Iu}?@z^ZiKf9|-et8wYV?@#rc%@_^PpFa5d*U;ka~^8*2Ch8 z?z<9UHsEKoPZv8?sye!2uRwj@OxjRi&$5hVsMuzLM3@~oPy@IL8(&joQduT+x!)HL zC=n$!eW~9OnOAkx?8{~}iF(@t_^N3Ct(#V!$t0SP8MSi4Pa~sbI|;fof&fX%l6cv1 zBlPtfgSeiAa8}h$>?`j&(w=Thl|G{pRn(1t0u=_{7jx!|r4afTA+x~98B=Gh3o@^I ztUC7My6rhamC4Id1&A)SFMW{(yq^d2jBQ`i2|4Z#aXKl%~#}ZYI1)ptHvGE%CniZV@|LhZ>CYfJJq!Zx9vnCM~JD?hjj(>0w{iai^%en)bjz2W^ zf@{*Y7YZ7*gvJB&j`ZKpSJ&l21cWfkfBFpAs)FWyfilZ(F|D8Nr)E%M(x4Se|5-rZ zaWQP5-GT}2wUp(R_+4pQGj4KS)PKUOtsg4hNaL$9iebhF{+Ti}6b3>jqvO&NaSMzT z+ZCg*B=jkuJ>vL*VdBOo82B;2kpr{~N`=Rhr|)fE)UCdzsK*bF#D}FKU4HzR0l|GZ zHa5F7$V4eEQl0NtRnf!;ROOy#(OOb);2JT%jxlw-xZavw)Eciz5S>nw3(+P-@#QzX z+nRTpFAcUDX|W}$U{;`>gIvu;b22@wt@LnW;$>5&O$^~k)UeV58NKEF9Fu7k@6=F) zF6E}Tvvb!7Ti4kz4qr}G1Us4U-pD@uTvJ|(YUZxIe$(HQ1aay6J7bh%kxu%$=6w4? zYindT#-WD-!dlEGuNS9EhVA7$G~fJ45HDpfV9qFQ`ya3U zYYs@`@S)<0p5(D2n82PUsk|j^1H`S0_RQabdF4;C$`&D9;+ilV#07h}e{ak?!NYs+ zAb-F*3A6Gdix$*B`U_0>@0wz+bh&5B|E%t~ZB$k`@U5D6^1(tg=g3RBLRZ5L#~ zT8ANFvWw=@_6BI|q*XA$91=I9-D-g$^tBQmWCw&Pl0qCL5KW$d6Z}FfL?0=7;6o+^ zM~e8dvV@9;I(tli>_}u$hXYs56bn!i+#M3D#}=@)fH;U=B^1lb$;jO62$EWVWfRl6 z0BnlI>ZU>(CQV%|M`wy)p<>e1$x%Pdd1+N`|DU{B{)}xW#^J$ET&JfF%EXmbW984u z5$#?Wnm@2ddNcU!)U~1=L@&UW6=fY6Rf{}X?7WcRDHQig$gx9vjb*~fBUKcT;DDWEWz zq4hBLW{GV5WSjEQ^#EW?o(P;i4pUO^rI@o}pnRO^k2n`L?>eJmXd>(D)cja)Bl>*s zesqXPy#z?9EH0&LBn;eD{d~^9&C^S`v$avSsEt?nBDV$R2Lwn&f`MpDCHuQj1VI~nGI?W=Nw&hXnMj(ZNZUU; z)2B*lqI#4U);d%ayJCSgiHS;RTvv&u)fzY>bSY27q)`W@PCm2Dr*h22)K(z8;X1&6 z$RI#C7bo-$?aO3ey8fZK+oN4%OX85f`bXwzQ+kL)V(I?jfl;CX$$M%B*QlRuua({t3<~^DkO_*YX)rH5F)iw3kC(IMewv_7 zTzd4=xiBph;;h<&!D&}pEsqvg^m;f9=|e@il20|rn@H{Zc&zg~%HJw+x18uZ3jzt2 z3%9lOR6~YIH1NV9PMAxWk;V7O4=bLiPu-I?%T$R22B*r~#k0x_3n$XilV3Ay{A*G? zZ5291uFVLrmX`hMKCjorQ$^VzPq~pzfDTwL+fy zs;6yAd@$0G(I>)L`+e=6{RE<_qFrooNf=Q+rdg`F@KR7a^I*TC#CdM|OM;8c6R~4_ zXh1grboizQQo7cPZS4=#0wl^mCSC<)H4ozpraIS_QOPT7>Tu;LF0|~TgHHdZBXhXW zIU|ngc<)Wq1E$1^4AvKrM7}s&`!OJgZx0u7k6kU) zjIlsHkC<0{^G7ozr_!wr+r*0@8fuMGN`phZh?&8Z=qge*4X>g{HF(qhNru(rBXxF> zM^k>G+03+Ae!u(GTI%0xRGDYSJqYcxtCi?ph$aQXMSd{WFI#;q$TVHQ4#x<$VYxW? z%*BnxERtplp-8AQV7=ABbZE@bQTtOBOyP)0E~$ZD)`WaqGY{~&^e06+bTOgdlcUti z7{1xnc=jeufZcvW5JwSNyd^OZ7qG}a~i9I_ppWZgi8*0o7q6!_U6^5tXl#z`mNd)C^xP$Cy+Opy~o zPV(vfvq&VvhJ+;eREOpg3voR)PR)Kye000s8QqNPBx2yj7^ui7&f0V`X#T&zTI~zU zwOwVh1=@H(vvvw46Fm0!?ZtTu42yzn6m&pNHF zPn^&f(e_$=bhN6#gw8p_KxCu^KHWi91A0qQZ)F5}Srucw{Ho2gHtBFk8IRJeYa17k zV){hgXW!g{;!06b&Cs^sTH1=19MW}}L}j3Ibk%y|GO+N_B(62SNI#(N7?rT4AP zu7U0}o2AE8=hb&t=!-^>7Ra{YGU(|}w^?bm7=AJtS1P-59*`HK7%9UopSAHaTjYzd z08PaAR;0=ld)T@4*^l~2)V6;2CLW*+`c9>wLs)(U=5KMSp^``abM*#Vd43QB4e2Msc@;VxH_W0X6lAC6rHHZPQugna4@zAV$+ktaisB=7DufOuUlT!RaSXux zTV^d53#+qm-~u9%(bfky2+{JyZs(ma5r(OVWkEtmanp$PXd=^!MdJlGoPox(qFOIpU)Z~|l$>VPUb(|kMV$#R@pgpZS8S*%yka8-Y-6gQ@Xkc&qc~`yF&a|y6 zTm}JwdEyE@I z0T8atbEHuRj88iZy9`}C)o_3b)>?}r0(ycYZ;(!)b6{c4$hNOR@-Q^eBY5j{n%xS1 zkNq@5;t{nikkFS}@Unb7W>0rWX9jC5Z*VQ_TD5wT0WKy>x$cly570IQ&}1q zQJU7iSuyTo!)!o)tEg?8DStZgU&++OP{huH8Xzt027v6`kYV|;Sd-t)cO7cmAbBAZ zKadV8fv1y
TQ-ch#Tm=nIr2-#Qq!CkwMlCO5 zmq}+zRXv`ny5AG7& zod5~$Zi9Pp_as0DcXyZY!l1!jg9Hm)>i&#d_tWX>s_yDKA9|m&YpwO%zUc@hjU=y) z6?GDPL_a)USnm9FqJn>h7#%<~wpIVKoc*z31gW7yRKhexdK??`v0Jg2E5qt_HgN9l zD{@7SVAQ!KBg0I>DM^l`jaPEPWq0+wJ7|gf+Ou#Py5qcd(!R4vdKg23^R_I0okzp zCGOHmY;!C^4j!h=P1#;{iI7;LXK@hA8BKhQ=2F5j+BKcGS_1xjX!v!^e@H(l9DU$kT54KEde|oij5V;=U84F@F%bFr+ zmA9Hmq51XqCb)OWl&z;)Z(me#%AG}e=m7;Or&uc-OD=1$lK#K*@>0C1I!D$OWG#~E z^ql~{&DQT?`hA$L^#2U|vy2y=s9P}iR+y%XC>EQlsrs($wA5V69}vT}Y$Ye%efrdURX1OTJs&;z~l~ zXo!l^@#7{!bgM`E%S`)4Ql33S#Fa?JxBsp#R@>e27TH~dnoJ@b8n_My!ZPynPUhQi zjda?@Jl4c0jESBuq@ue z^F_Lhr%C~Iesd?bd_28U*OFL~AfwnaE;rjID=|v&ZI^#gNBidF$6xyCJ>5@od91!ianV6mc19=(!SQo= zN}w<4OYVT7M_GuWa*mBcAb}}T3XfaXb~bOXuJO|S(XBPX z!lJ8;V!)v0Ju{>UNyhkdfV|gq({2IiYV$F&)tmS>Lk9TZ{9RKjDpe zm4RX2DD$f$&sIza30ZRutL>LgOsiu5{H$>6{@CW#$|eL)!;cvlhR_jK3KfDbCLW3e zI@wRzAw4!wS#A^A?^t`b($P6q={yK}RVurbxxF+<);D)>NTafIDWjvD^OZHVt&rEX zs2X6b4i*iWa0kaqBbVREofn&&KWYeKvis)c8V{9G5Q}+dq*}-#;xDWZdzx(~S0rP+ z)srQNwY5`a#dj4M)O*Do{ypY--xkZ4>0mknz+byN$;2az?7umXtoa4QMTF$y9=Lt1 zhrEW`_j`%|Lzv5o6;L{ldO;?gcHpuUY=KK-FP{;A$Cmp&eo1Ej6lH7OQqai#Gwr)> zcmWRCzhup5mF+YS8Wj8uj|zWz-HDf1{xe}9=DT^ zI`%(8b`5$~(4`6NBfjIACNqu&m*t1V76^PI9|@zeGtYF~_!&5)`x_KMTjGFtt$kM9?(wBuS^=2Fg31j8XmT9wm>d6fq;o}r=7agUSdKH#sJlWTG2w~{klJxo=BPIs9uCsK=BOk^@&wl1D?*QF62JBMYE;|Lj< z;>CW%T(IPz;Z@kvVv0pn-h@{+r^q|Osr|75{m^07n|N}AtD>ZHw-5uI@% zqCGu>ICIXS?3}n!x3RsNlxw%OzDu|a53D)+rz}wvole4^B zY%trG6!&^%`_mf73-we#+rs_uex7VAo)`{e3^mK^!Nh@!CqCLD`Q zv&A-uewjL#rhdD^BfIfHp2Pb#<-x2k!fP0qmiksU`>+&9y}ug0)#!m6Km8fu>);9u zS@_KS_3U|9A^t`x^rHrlD?uDC(7o=EXbhw;VL zVH_G{T#)VIItirZJiXxfQjT{BD1$5K_PdGVguUKN_<_>yNc5@-*Np8>C_!TA7l*at zPQbR(zv=?iK2*+-8mI3Ho@S1EKLyG2CV1;z!*NTv-ot&mYg8-!8TOD^Cfs)s+MS`~~&}@jY0b zB{8hFrKtJ)Gg-O~U^dU6a4_Yh{>0Zb2SR=1vf=H$R=a7n+_;LFMGbk4ZZ%||b7DTJ z2G~H-^&YEAP{O-%34|YLt6*RXvU~3r?t6AiePi*BX^yv>YGV|>uk69`5&2JK$gR}i z1VzaQy>1%}S7e*udT<=cwj8f#}b9(RO7QtIZxsr^>-kr=OrqTWgE`SWMek6+6V&@+Crp%}Cc>tZy%5LSJ z7b8@KgNX(8V@~Z{uY2bT>pl?;(Pssz!ou4sbz92Me&Q!LJJeD{j*7@5Ijyqn8CdtC z(DIxmX)4bQCq9B&3br@if$`7(T| zf<&igW-fYO|JhYm?flT^`tJulz491zxos)|eaMy_ig=(E)|KN^hKiXW&+QqKV>B@| zLii_3^AbCJ6F0>tVq9$08_(!t^T&H>$3J>t)tn9K1k+ZhS>Ri`(jbq&QYezNPYUx) zc!Xtr^BA*;P&81I0W60cOy@OhVG)aqx&<1bY24(y1^Bf)*bAF}OztKOsaO;<+brd` ze5KHAeFo{(KX2Zm0QZj-@HI$6nsWipbHjH{X`mqWVQrEG_KSm6f^;_Dx^hN92xG>d zNPY_ME^Yxl_r=$($jLb&lON$O_kSPG2mV`1=~kdONWR%!vNP#vZvV}~W3q~XswUws zs?=0z#mo*9?Q3omCjVTpTi!c^y#5SlmJjDfvcW2-s_01y%k4!Z6T(LTo}mncuAb zkzjZ@v-2Eosf<7u*+s8CU7VCBs{6Nbgv>KujnyAxZoiRY7|;`U8DIvQOb-We%o@-C zB}Btfh(&T>z@ttY*dDa$v!O$SiZa_NxuU!H9VUty^;92rzz{)1vBl zXF%Wiz{Py<-M;KSM?lR@h2I}X{10&o-Lj~fpZf+qTwCPb%lKtV0(e|?ttZzg7dh*k z9Isf_`C{v4ea#&rJ_9MfaQ5r})p)Wi@^}1swi=wyeKNdmreEa0JsciFc_`ALXb6M} z;hSi8o0G)Jb0q%$ZkV_ky_6Q@v4Un@BZ~N9J{S6p_7wWqBuK#8F}se<|RhTQW^eWsS1%=f4!5 z!i5k`>fVBo2Y=_2U$m;v`3Y$u;B;~Nzd_Lyihw&a)Mz8LvuL4A7fn<1>@Y&h&*^Y1JZ51 z=0h*6Jg@Gz(5CLU;;y{Djjx+?JHvqO(|UAn*x~0HY{$d-VO-x%rBh)B2$sQ&J>xM$ z!D{I1m=uAmtBI3>+waQ6LJp|-1LX53MfF_Q^uMxfX0jocLt)qmZH`%?;BPu($0{c% z={}1?p=hEgn?cq!>hk=Z(tQ(+WX+LiWdGvv_jYnde}WOn$?lfFSA7|4{eY_kJG4@S zGn&&MjsIlv8xybLk*<7iq?%|l!E*TZy#bFVRaG=EEhQD}0Anie<7B=H3>=SnB|26% zqH*M*(_$SW?h0$Vo|2gCe_TMXu-c0c`krb{qbe;4nU+Y)!jMq%ra#TVr#VbUdD@Sa zkHOd4vMJV=j}eNf37zQC>r1gZ+AFzws*;sUTv&(_X#}1Opjiq{`yxs&vfHfTnrAN$ zKAf7-D$6f^?3?Ecr8$$@u-V@ZW_CqJr5!V^Ci{l&G?uWvK}Diu871j&N&Tq}-R6b| z-IJ;kD|e_wDagXC(Doa8W#VfF%>$xEDw9kG(vU>yc@P-w3asg!-v9)?F&k|q>bzo1 zU80I)xJ@L21-`k(jcw)yPEF3S(`nsQYkRQZ{P!Bi)bLk5m{Enz{9KmlJO0lB>u9#6 z`j|si+^<|X1<1VA+FLI}@V@~#pW1YIsQZ0DZ!gQ%t<$CwKDFf2@GDhRu8UN($lVE! zXb&;kPZ*Q^7GKV!{MvLjZ@~=8yXx)0DGk8nB28qG1NyP9=S0$xa*oUs7-`<0*_EzX zpMdthrIF(axcXm&gk6F7-)Yl&x88NNjBK<3N*r!vRr>bQ_W9n@n&xo>E4k$H;_iyR zoX`}*cmAY3C{Je_K4OBa%N9VX(Dt3S)<36G!r=Xzh8^#e% z;TnG@aME%E_=`q?1HQIxHNDpFt7B&qzwENW!mI5jKh`*rJqf9dY^!Ib#=g1lRR7W;_0HMbw z#&SA~TjaTrhf1dOAYcZ<$?qlae0so$A9q_Djzy3sN8W}*{40(}Yhpp97L0QAm7Bd} zE-COboO&R1#zKQE+D>!lHcNm{XN?yk6=!u%#46QP?H7xp&>xR;?|wVYv#3~iim-V1 zEXFER{g;6zZwAioW0Uc{8~8_fD-DRJV9tDxYJ#K3nMqibdu3qmgP7eCq4$OfqZbr)@JlzJj&38Q`6^| z{YPjF9+lI>#9J6_XB_x;`%R_W9{uhQi@2&80uBFft6)5819)?gAJhUBr_x*B5;X#M zM=jEcj`9y zWj5^kauyzp~RuqoQ<{UEiVjAAvyaI{WzZQw=<3fWl)p{JpdJ$jAZ9Xg*d&D@*Cr@Uz^JS zyfSc=C{Es1w<}j_CV#1)B3&Q_8~9oqC6Ha04IMYK)1YokoBWjAAwTDYg8%CDv&CCX zp;y8vfN2lqVtH_p#I}LV?8rZt+Lw|e)GdBvH)aQ+7kS;dSl78&YLN)+T<^UFre*Dn zt)7xPb%`L_a^~*X=P1<1F1~xh`)F4jSnu;Ki~5tAlrJWAQ2T%J$M=I}(ajslMF>GtMiG0icGa zPoB^G-hY&n*SJJV-D1QzR)Q!*q*9IbO^?_PJsjDX#bbk>z4SsaCi<%;(#?&DYOQ_? zw7wQ94uwmoEB4nhu{JMv*kM=8F|qG7TJmcR&2;OCMm7;FL@I-Nm00Q>EBmlm@Qg-Q z2hzI1Omce1y$rw{t0C;a(CI`U443sNASLR4{J4e{nm0AC%MdcU3s^S$dXdwE2@21K zy`$~Fu$7O_R?MwCU{4tZqSu#kKSu^z0*ORZQ{#O!i-4BFv_0z(?hu4CBaz>BfdsP8 zog4>_%`_YXQoE6`3p^`hqW=OTM=Vbe^(N3HN}-DgivdMA#=6MQ0X}PCK=v{-JFECI zB(lZI+waeUGoMC*HVbEHc%@T?>aiFZb>(3tK@yc0Een~S%@660>u_G`l=Cg(C3*_H zf-EkgKi-$6+<0~rn+hXJlGX4PemVwo zPJbGQt;|Xb@J#fiLA64inOpg>%Si^!QUSrw;_+-R+DC-WFFSvUM-FfT^Ds)i5!d4_ zV`NXUA44U`+4^xdRUCPG2a`H(U%98iZLWzlChR+|mqld+WW%1jEq;?2I^Bp6o=e&&K`xYKCoeY|%qs1k%a)xW^Ql8H<;WDE;vP@vt2PB;)vVNCdqNu>tjcK`DxkI(H`trIS^ zivbu!$mSBikLXO(m-0=j;tiGLC-s(9Dastt$}JCaHdVCuIO6TqvtkB6 z)_EG!1Oxo_B|>Qu^;Ba$_$FcR7b2}(xn?k%_EWJbHe4zkDbdJ(9%J`XKhopd&+;ZtZwrm&BTvU$`WXO@hhWC=kYeFun?JwZjC@-~`$9 zcH>JqW;`3T(Jjrei}kPc`climOHpAXTOS1UR`jWtO>YSkj9X7KywzlOo z=amPl$!}i9^ZrB~)1i{FyX-LMXGPzM6QpHAtX#F7YIr$jkZogrV1ObmN%^x<9396h zur4NALQ@)p|0;-*-sURK8;*Iw<+3+jw>16WfTc4|)IjjHGnO-`Em$ki3aeImRNii+ zdUP{BBR9_?Q~$LOGt2*;h!*Woi27;388L1kT$A096#OSeZlZQ>NdpmL&>e-mKQEqa z*?x=o8zs7c?U^8|3=!J&3a32Mt@?a+6zbW^rUl%EaE4bQF7&hfd6D(cA!|@1wj2?Nxc3$*p1o6F1G!$r%A)h3LbH!Cqh8k4uo zte5i8T!JuJ@#7uHu7HK2R|`}UfST5Aw)Bc|&nwYHSSl(YKqi}tMhmcI`(+NO&M+MI zC0QwC+s?_u)G2P1Gvo{dF5W$)02}O#hTuwd@zaeuk8Yj?PwtS;piT^6Ggy`8uXfNx zR%#FJ*b7Y$9^YhD%e2~I{K;q^{)T)KpKiK1q(0!wWLlN`9nTFN! z;zX$d$bQjlP`rY%t-W+KFF$6mq4yXm@}b8PjP92PGQmMSI}q0d0w=xAEpPr~F+LF3 z8_pTZ2Aie3I&g@~d;!6Gq1FG})5*Z3WO|MnP~|?rt^Yc)*3h1D+kxl z?cK>mCWymfBvLW(o;=tfOsJ-Z8U?mC_%OO+k797DxEMtd3=#=|a325>_&s=nu6LAr zHjOaVjmn+EpW)fnLZIr8dgvxu2)u*1$Powt90i~a`6;;=WT(CtuQR1`0gR#&T1L&l zy)&yA1}!7$R*{x30GMMG0eNn2O#&u{j~L)p4&&2+Qx<+q)Pb_@cd~UXV^Oib4`mID zcr$lwP&WqGBed?}FszO=$1YqsY@3GA0_0sHC=(^Hy^sOUA{CIu)Ue`#GEo{e$2ieJ z0Z&8*0%!#`L5Y^NKq2#%uQrN^GV?5{Du*)PX^YwP&XuBtD3bFL?)!sFFkKE91}Yi6 z+Ae&GUH3_J2fuBl4E1A`*Ds9JLK2}SPq@I(iL-lbqcc0uqK-N2^t zne3ifFkZnQ(jF);swi)3sn{9aC&6T+0EXN%iG;b&DP*JYqkq7aF-SwF7F>kwxC-N4 zkA>mYLg>mT#gM0Kjy3i}NU>yQSW~Kc3C^<#5VZ#a%f#LJ9-Iy^ZN<9$Lq_Xv{lWi{ zg&+p;=JUFP)dfc?+2EY{TB+1Afyg|Acqv5T!zSfaH;7z8o}QH!S<&jm6!|DGj;IZL z_sRw|j!Fyxpx&89VJd|8mXBjAr_WY(VW2vv zePF?3-@X+=scgdc6VCn4MWYLyyF>#n6HyhB_Me+=$H~9vPB`!!+BmgqssZw zN;kJJg?HxfSS;M}Fmf_K!SzT}J_q*k;2-n78vDysB?W*)5JM=rn~vT*MxkE=ot zYOG>|F~TNeP5|KfedTMQW+}h1z6mO0s#`RHfEst~h}KT(1u|ibeLHG54iFT3naLP} z(fa34%&4|W!nCoLVhu%)w3wc?6`Vx!NnGvEfcekgoD2}O0zxC}ISJQ!jE}B-NtlHW z(mx95(G)SBxuUR_PS1ZH+u-^QC0^&fij)#rjXv4gZHGRdNZ~W3TS&cv(&dE9*RaJ^Q+MycL(9sxHz4ITGZZ3 zJM0&F^kqBh+uqyjbYtIMkx;C6DL(SLF) zv8^1JHGr*SgbRbuU@fhkqkVyspT62@5f#0~z1l*N9vHXMC{?ns{K-K}UF4i3QW39- ztMT-D#ckhyOYQP^Z{w0B+-r8SnFB3(Fuy!l*@W2px3w_75}ar^iNZFgBzuHGVmOSa zDn9pUPn3Eg$Y3?4rBp{WRMGD>Id^J79>I!jpSomFNICz?GyBU^zaOycV1!BQ0kXyP zosh93jsW7QvcO2I^(phCAXQ1HlV$Lv|GYVxjQpj4wL7MW>72@p<#WoNtv-hx$T8dl z`yLOqYG+@1XxEN;YO{rSTmY>sFVR96PK5mR;urtr#1Qv|o(kk{g{p?LfBVv0Q^m@! zjlQYWnE1y$_8e7{4iDA;TV*7LCf!h2-koCGX8t>M~Am;33 z)K1Bv3lALK2HQ|odSlFa4T{g_KXw^#V1Yr&p6DpJIiyl7-UA#rrmf`awf1#^z!gg? z64l178Yxd6uGe=lh6cm_=Pl}?p>Z?5SF6>wWK|6hUYv-1A`@c5v21g+5~^a(n#6$z z42Z2qotGBzMjs`(FMV`Q!aj8w(M(~`ULo)~XMBSi**%;vi~|z~4*e`qx5{Ffq(#O; zhcKDK3~(?wuuBCr%v(STXp_05w*nkY2oxsr!(_SVlB9X9Td~+`;W^ z{~C*aI=_`ZVA!M1G!T7A*C2Y8gWyqF6j*;i7vP@HgRdymV5~ zai6qrsPyiBxh6l4wjGJwXh`K$u(|5!j$ySF41> z9ebg`-A|9ODF(8_GhGp8$rM0D{me^bE~EulB@;izD_Gi$pNg?;v_sD8DcYLroJB2^ zEs@jm(@ch~pmK=uT0~dBGWvjvjVF5*@%T&Yw9NoqKoPJAac4LSYO7~zkzQ_KE1KdGFW#6;O` zOuIfrX8(0QXpZXypt_R7!ECCX32$iRJe9$6zGEL+a2wH1F!c=_f4x&p!}i7kx%N{i zd9RIYb5fN;LqfJEDy0-i5Ih{ND+x}^CXX(X^Sv|ZY~_({@Yh-#1$+|KQWYIns1WMb z<>38fv#49qx_tQHXjq~-4GLIZ3$UVo9D%6;pK8D!+1PuCE5Xgl!*{3Js^y_)^ZvR85`q7tjayv) z8+#-`s{KL{N8S@P_t0%WQL`?&)a|O@%D0nOt^&2sNgs1$c^SzNbT%w;B?CAAp;0KS zEx8oYE(?(ED#nR|6jIA{{xou}i@CefS05G2(J{eMhr!6r@|``YSB2)Jbv3wsHRyRL zCeA}CozAMP0;5R)*g(vrzHK0)>`XZ7XE96h?HAoGE%EZ*2Uwfh+^3JzPPKioSA0j4J{wpY!t)&El_O9e=oZSTkJj(fx10 z?xkNQg6qIn!mmxz!twa-vAZWv78-9ZN6(!W_Gl!6Xe0zGg2p~ZM zR|T!2U*qXN{&;@W-I9cVK?YUs8D^~xBYVr$iEL@EdWldm%c9xS=u-q;e-D@f+*Wve zCe`AH>%n%P*IQvXbuHS+_04&&=%KxU_DF%AOoYDx7n7u)S%N*;#J;U%2#<_E^QdDS z;4!rN8Oj<$eBUO{7#Hz+#+~TDx0BbfI7?`NB$5Bagm*%(%1`2!|I_bG4GbQ=;0dOb z4m;e!_%ZBibmVV?2+F&RL>S%1fB*+{H}cM~>~3zDBW%T4t{D1Xv@-ga;_jRSuZo-4 zQ1&&rfEA{*GlX7=WL|X5@$+jK|K_nV+0I2&SG^>kc7Y@+LgwTL)(X9*ZS!z{IStn} z%SQU5FG64cl+Hx8%O7(53Lgb6iWR;z%?JduWFf@+Y^6;3#YZcoN2bKqmJBtXRmB-gC{@k{$zofI@d_1Ifc< zM?8DP*0QE_FER*22SbWw;LFmpzcm`^^ovQN{Chj$0YGDiQ)+-LPo%D0tGy_D0!5yR zKt!|5Qf&O*Jc#sw_Le=uHXZ2cCs=ZG9Ss8{3MtuACAFmrUwTD0Ps6Zp z`UBk|+d9$;+&+7p4W=x3PRkv%2CU4aeg27U~b@ zxUa#Z`bQyqBh&pY;kT`>OH zFkJ%<0nfih4NgkwS$238-ZurcLa&Uhmw*)Nk#!Um4k(9xrJ`1y9qJsL*MG6y+z3Kq zB<_gOE3BP&v(v;@dFak2$?EAQKUKJXhhY|NVRVaGp7aRkf1K$K#M&0*1q>10HKa^m zASv{zJ6t1uW?B>_@ZyN#%6>H1OjVHIma%V>nA=-CLgB;q83H27PpA@t%m~$nU|U?= zMD>$Q)SCdfb02qwcs=75$$CsOYW^WDBlQn!iz&?~(_%OEbXR<78l6>JL}g8HV| z(6_H}6KC;eL|gDQH_O4*(QE{u>MRZteI5RsOHE>;4vQ;PP&OP2?Q#P%4iUQ^zINxr zYxQ&0^4!0#KlbcUBTdwH*up_TLeyS-R7oTxpIsH^li+#q4@88v4ju}P6-gBVtNV=@ z4y~P5=*(7#_~Rz9T@YW(gho$fJDUQ>_!B|s?lg5-AudP=F(lSfFCFJAdDJ+y5X1Pr zill3N|C2wfH0%u_slE%fQRR!4sVb~{ZZ)|&QtBj5zU*(=IAnll)LW6=@KKO(?7)P= zX0dy$WB(Uqzwq1*Cs{rRdP-e(FQs>EJ7@WQoaE5bC_Evr>5OpdSFZ7)A&PfBtaRSd zD}O7%f)Y?}?NFau9V*0SXX!ejJZtN0TKkFxb`>Mc?vkwq^i$yTFC^q0YPNF_Kzera zEBJ7=&u+i8%vut?SpPw)1agcr6qO~Fq+g?wodKXx+r~I@1^I=Y@2Rn^%t16U{=h!# zTtS{yCmXe`Ot@h27=Adu-ovmtbVy&-{;68=h|Do+&>13-wWT;4JKU5n&1zC?LAXNA}|}z&a*~GTuhv; z!P4a;I9JBF78a81zxj#mzt#mxMccU%Y>*B;ull13>@XVzNGY_MW?++jB>D=_LfHNX z)?#svQ7nlkJZl7yV|3!?C|r~c4%q{fFq6V5!r1Vjtf*CdjR{XoQ_UMvqS{*x+7iC*~aJzUEhAd z=@4&W_~RiK#mgbT0P8#1MpR*55L?ORIHWdGXLaH)^U`BY+Qd%sJ{63{-IxMZbYj-A zYAp)>3@DY0+YHgsYJP|11sHSC8?+l1GUIZrjlh)Pp*4@TCN}lZ^Kz)R#YrP$T$yVu zJ_;%sBlQd3Ig#Z3U97q#1vL}jLI=D8LK8q4sz_-KZN+PElQF@Jq0oTwq~JkeMv$nk zax3R_^Dwa&(hlu0I}8>OMy?rN0pqC!lTx~4Js(FqQq{VE9o=T?HOYU&Y8Tk>B2gV< zTVOTSHuZ8kqO7CCHSKy$IoLy8fL;)ayjHUQ*u- zqNFi=1t@7^PGaR7$Jawv^UsnG1JgFtYceocSZ~NaKuBHZMkvCo{cvf;K*3jiz7geS z2%Pv~*O@6;oIp^X1!g=$0}Sy#-=-a&;)Tb}7P>UY)u3yB!AJ!$=!-v}77BwzMeGUK zT@a1BaY-un$qF^`9Bpw(kx^cu2=v_)WBDEBKJr~HOddXWV}7~iRq+|`zVNVpR_Q4{ zm43@URmZ7xpv1AFm|qzM;Z#Jbq#>%<{4{uHE`5)c;ka|{$e^FmJf#dif_f|GO z$~`5P@BQ;`aL$OF$&NZ^3%=LXeID(xX#s)IDhG};AtuzEjOf3TF)!HJY~xQ(-n@S| zm@m3E#f@#dey|Xwu-@=yO4&~68yQw;&91#0R8ONR-aqH(Y1|ZI(xr4e56sx@ES~J( zbGk`h8M~1g1rkg)*>)N?lB<|qq$!`188!CYM*8x%CQ%maQMVF$O@)cx1#0B8az+&X zZ#ekPFCtL>e-_?o0BtbN2pR}6Y5Ea2(k(*@wZ)R$eNilw#WQ<->=%kfIIuqPQ-vZ6 zn88Yf0z4?%dIzv*(RPiUEeQZ zg!^NpIDg>#_{~N%yp(Qg_m;u{iXR9v6LxMT*K;%7QlKHGS4Xlm59R(qkN%1fyYNy} zkbV-a{HDl8Te|U)kgtRXaf!#n5;zC)=;sU_v36w4GtBAm7_tOj{Fq~lKBoTG5PX>GNId`Tz+FxSn&~t;F+kGX&%z5GH-c)gw9G!?(G0yOxCEmU0h;|n3t&+ zy@YzYI)L*0h&M~a-QuiYO!IUYa@0)8ESjW*a=)ViixGIuOfe0!NF+LBvo#0LbK@|7 zB6Q0@Jb9vm3WriXcISC%r)G)cR!P3!1GCk8Tl^V27uT~-$X8nHHVzrPh#Km`Onaf3L(y_a0+YpWm{vENE1N&wami!aB6w0 zA_VsO9WpS)u=@(&R&ks?37i#;@U<3C!V`ti<&kr{a<1ITqs~9$SmK@zYb{?|HV=9Y z__IZ@JtL@+4r(`^<;KkxBU~V5XY(UIms~=l{__LnL{CRrfVS51~VBaWcr{4F5e9EsK>Gm3hxJtCKyQ#1i>tP~v_soxZPfS)dpPW$KQh$)6f>$)vOY%vfH< zw2PBqvRvj{Sc|8UVQw>oYMxbZ%4i89%Ftd=!-WI|4NkSO{Y5NILLixY%hT`|4M_E5 zAoe9i�qca=RbEtT2J+R2r1YQur3P2xmeqrXdoAvB#w-;QQqmCGNIygzK-}+LSLI zawS!M7^dc*Ihrw#KJ1^ibRr2i=vM^VSu$UKZm`#>J(-AVexvPTsSzrqHm*OTq{VdqhC9}dfY98 z@6pQ9ot#0fGR2c?FeAcV5|71=)A3J#>GUqM(1k_6yfdXjKS1SFu92^+^Zppe8Wu*qa9%~JSOiSdk4-pe{;|pW%yY53$imbU4{E>a`lmYSAQuekI5~5qJ?*0c=7#3 z8k6?8PdK!OkC=Q%wr4U{kO^0~i~Mke5+_9L9U@iyMtvSvW; zT^S=@v=*x%-!ygpl9+|NXyz^?inzg))-|C9S~xy`8eMnOB_>;-fm6WyQu-hJF<_SfEV=y)rB3D-9f5jo@!SvZEXjG@!5?j{Msd7q;_{DZX=@|)NLt=SgE_BXarNiy9~ zuiwl#k)!Rdhz)3cHhft!f|DB4{h`(;-B_eN7CgrpA&Timq0axJL^wKX?7MWAuo`SX zh-LB}&j#R(s8oq1e()0~)~nnt<)gO-c}trju!tsLpC8^FsRtkY2xTBD} zHkNk89UvLa%V(Roc(i zk7%;1kC3**zF}j2G1k%j{RU3cn^|du3XP{r*%z`x>`PZVxY6;{& zCI%FORwmda%SdGPRc#=WL39N^crBC3cph{~vF_8w>PphgM=omF8ZN%V&x`kBJ24mj zW;si3^e)&&-<UVo`N59AK$YW^LAnBMr0|C-hqqge~1l4;$)`Ywc@1(CR{H0 zxU0|D@0uq9hG$Cv2D~#SY73m)HVU@yXM5>eda9b!{!|Ii9fzm>`X1P9Y~p53gHPo8 zQLOjwP;93ta2DQGv4oJ2 zSOU=N3l`ufCpY0pw241{rdiMVK3)U3wI0RSOQ@BewD$ErHaocteQEOpy@~h1sM0hF zeW9$U&TjAR3*tA>cxrAKq~3S$1i9Fjf8jgtqmN8xCOf#Hu!y87QuVW7pg)e5U9 zW`2?e_)L4IF0KPC>Y-e+Qm6RDkHxRpo&h;Wt1YKG24aH6S@o1~lt`#Ix}8WedsZhE zDm4!9*gc9HR}CGCZf9`_tpmhovQyz@Ogfr7y5v)N_%V*;aR!@H_O!V?`;(LE1a%4Z z@LyK+`bSrSUfQ+J%!aI@$LNzhwinENGdUR>ESKWT_FN_hOk-7Wcj~5OV$rSw^Wozj zvO#t|;s3EJ0OnYV?+w3RIUh&~wEi%bgh&L8P*qvDaIIVIwKkZNz6-dI2?n}sVbfAK z%OyI{j~G-5cWtIz;Rk?X9q_$;qg{LiyZ^RIC0gk&aWJysdNP+uLD=rS>k9?G6edcv zosgavZ+Ob-DtXM7Zy1j1P`ep*T_a}lzJ=>YxdRiE;yqfi3PbE07SQ1gZD4R#207IR zKXV@wCzJoLYE;78QkbSQa}}7c_zb5+CMqHxeK^`mHY!2|F%D}u+6ah?#ViW0E++op zSy?dR1Q3^1SE#M}eUZTXldt%FdG$Wdd}xDgB)Y%W|IYsZX8+gUSz;i}AQvHE`6j|$ zuT0}NdXT1hNtG#WDd9gSwW6ss)TEtoxDYktCz}T>b6;7bM$DcC4W8NzV^t%T$Ix8vph7YU_Y-WpEc!o8Dr4U2 z%KL}1XlzQpQoFG^+=!&AF}Jel#RSTaIw~wID|6iZ3RoX>jCr42fuv$qw_9{hpGGk$ zI$Wl!OJpIK6f(jiu8Z4)PEL@d5|L6SstitDUn&_YsbHj_%wlXBzDEa|X-cLP!Qv>~ zTX>34N-1L02)G|sxz&P4xnUxnB^2vd2Hz>`7p`3X`|v&DpowZ?0sDt0OZS^@qsr`N zcffhOliK-JD%4g!i4if{suTbtazRkr3I1dBAq^f)D~+vUWIjaWfBJgssJ4Qx;kUH3 zKq*dfNGYXQu|jbvZpGatxH|+1Em~Xy6nA%bTHGbL7YXhbAjp?K&-dQ@{&Ck`zjd-^ zPWJ3MXEJAJ_M8zc>Sv+uA|Jk$`SwMTf3e&;O-V-_s<@0yumeNlDeK*zvislkFL8bG zTh22-O3@F2>NYO-HAiwU@JNC1$GI+9YpH#&NQK-_C-7)qMUwG(Eo)i5Cp8I>#HOXO z`9!XdIBY-35JX&xqSW8K&a~U(cKPIcwT4lUn!1@R0u0V=ThA?u`I9S!Oxo07epuaY zg9iBVm1{E(OAP(+j~I8wg7@x33V3q>HP6R8KA)$~d|>y_rX)6{I)4$-QNS&?^Cih^ zDjj~yo1fL>FCsQi-wLhlaf_y7?#|i=ZvEbs@!J2&jnaM*kn<>;d9+9Hc9`Ax+51K& zLX29IPM=u&cImCRM<2g-GPC_r#*|}oH^!LwVepnMI4}>z)PN$70M`4`j7xWtZYs3H z>qx!Ua4myBgy#FANJu2fz7lp^%Ss<-*jE92aqhd5A9^8bV*#jd_XBf_8F8o@$=S8E zjr@VIcKeC7tJdK|6m4vg& zoikF&MrtlXFw7j?y6=tfK0TSz8!Aroku%P+B0+ztpDfY>xY{$brWVvhoUaB$a@v3t zl-60~n^OJWf#KFozM^IFOBVYnSutQj(p3O&HDxF&r|!^EA(~2WBlQ6`toSD(cA*vQ+!ZWij@T>^71)9&2E6k3o+27 z^t!tYIZ?9nZR_Rnj7P4%*U3y{fkT}jezEo0TcPE6rb)oqB$|yiaZE}`vhu#K=L`ME znu{O$xXd7rMU2lETpI5&i53D<0>zOl^d5^)gJ_!UxO^kt=Y9I=6gq+#C{Bb5=uPA; zY~8604vSutIk|7b;8XpXl;v8a4E_@tOF$3RRr^8Vxx6~KgdsisUBW@KSL&x0JzGAo zh~2q`(qOY8e)ZOgz_lO-9nV+*EujyEJ{zUA93@;MEUO~GOFirv-c&B3h_q6ekxrGN zES@Z$%#s9yD3bU1vDVtbMMaTHIqu?H%gV!_^TPafXew1ve|bUa$O@W!)GvP){iSbx z$CgS|;&LI1r%M=S8Q~7IV{_D69B4phzfsrM%iMmk`EmCfHC|}o8DE?SNP^-0hgc}j zGfwroCWe7C5P$ZMa2EQF*P;s?J%rEXc$mT^zy5J2LM;d#*6pPw!1QJ2i==0Hn?(Jp zWT-%;MGZ+EiISj!kb#mOBa7G@!n)K8NzT5awoAU1buX;?Ir55D489#XC9jpQ4wJ!F zB7&KX1Y}LS{$13p|4*rid7{1v?U$eUWzvS4FBa*QLMlO+x0J!MZ#{W_%0Pw!3dIT{ zL=L+gem6>BD4x*s%uHCJXbFyMDZsOdxc(3xajA>3> zNf7&EajxRzXC1ruS#QR3hAn&Zd-FD+2G+FmjuHv`PJf1SE>bsCoJdW++PxPITolg= zmPleM=@+X|(IdL_`;vGZn<4Ybt*eR7OV8%TfpBhr@ zli-<~^6;UYvZmR42Dtk}1#+0kBc|X7k8HRyrPx<-mF4FS5xNqq2(1-VAdyDbd=M>3 zO%-ah4+fNHtkoms^CBYc)L)7o$4;^xG1+e;9HcEmsPf$5yz(6_A!xaF2~!s1%n2Q{ zAkfQzs#QspfnJX51?eD-YsixsVTMF1m0BuJMkWBq23Pi)eBM!*Z)wobt*6B(|eO7W3F&3H{n*=1)W&)5iDh{Q;|plFx%x zEB=FODBu3tdGMIBmttaus@1cm%|Z=>-Nx)zGIdya?q$X&K?@NhA`)c`s&a8kP^<9| zW`|vhe7NZzubhn|?Oh?h8>egZETHv2rw(vvoz-&u7?ney&%6i}AJ~;cAFYPmrgeJG zJ;ds}%X1$E=O=h&lVtOEY!~X5Cj@o;4ii`7^LNUG69y)g1j1@yNb#ncg6zd?x9=+Z zey&m1XfDZeLNAkG^c94f5hy;)8v?;{j5bd zGTMc|#f&1+@PRK%X&355F!kppsXEQil=u=2mS))LnZY}kQG1+pK549xOJN%3xr$R(;H;KqPSVHKEBd$ku_dOGUX zPTu{_s-MO8coS`hR^%hODvr_<)_V}%tYanU%+)Omt|>_qvm&Pk(Q5=P?|^rc3;Om; zk+*F5n-RR7zMn_$|8D0uF-mb%+#0A16Xu=;AZH>C#&MWmutH(q3UH@C9$A|;$XeBz zd&6;ntwoE}*Ss!=g?9N8GvQwdV&;?`h zW{S@0PP-|H2$j4dHZL$j`#Q;$7fW3eSMOH2rb1(z1BaYDPc&lTi&^i>KZU03{&J^U z7^fJpnkPHyKE1>Z_&ZOYUil6PIjUkI)O6&S=_5Or@ci9{YbPT2d(cv;NKAt!$M!F5 zNC^}Awc>i=9pXLu>bFQr!tLNJP_K)bY50crnQc9!(j(=)T~P(9;3m*6uCGov<^2Qw z2qR8vynvdQ1`_+_v)1#h|M0Hq89-~h_vRtuMYDhy_kYYC*F0UWAPI z>gVkOn?#sbp6NL&)umlm=3v%oQr=*);q2H4XVO}5Ql1~=^Hw^O2&oEoyMn?Pgm6e= z{r*{q$f@c0nkw#fmSf{qM6t~60eV*g>s)fZO7pG*qbb;N$9ga>@>jAfaHMRyErMOE zDkjjP)=k-c+1>v%GH;FN`mpb&`TMqgT(e|*N0lCFZS5Qsy4ca8IrgL?WDPt<%pAb0 zAkeUgTaMAsA9UGGkGph?N5+sdmZ=I_Y?BAn8NO7=Em2J+L)(S}hE8N`^FS70B^K~g zW&a6)HNhp4cX1Owh&ie*q^-%qE9`hxH+|pN_j&|_{s>N8b! z`6=R9&&`oaV`p||eHA3kVKXw3Ac^T8wf>3CS;wJ7xZB&jQ4$GO z!})k+C0C82hEqaequ^Y&xK+}Xd!K(lW3L0-LF>nIWW$DxU zlFRw$J!J?^D^Tyl#R5}4=-p?~D$I*|;P@eW!`oX(-+MQBCHJimQK}VtabV_eY zy#icW;cQ7|YH(QCAjJ9pbXP>0f|Y_8^(m`4@59V3#~j=5}^KkSqci(gn0ixbp zc+%L$k8YJadxQF?3BL;E=Ml{fMp9B>c9_^795ANwrgO^Fw`=dsp_%=-5K6qi0}Rih5&5`v?-MQNq;tF6U;fEFlJupM zx2j{O(Sv+{{YirIPJYqdX5PJ-=Z*i9*E~HPc0J2_ICM|R3AuJ5eNMNtNAs2>*lH6h zDK;$D$O0i};fi3;C~Rsb3}NB=cO-D>+0xrJ%_}WBRx2~w3CLC`G^Rz|n!7uj5~K33 zr8kVUj%5X~?Ao&^?C+vJ;B}B{fJ#JPO$yMntyG zRFWZFKcfV_Rwr_I1WO|_JQQzpBS7!nwzaR$jHGPCF#$~6V9(Y?kmEYrly-Uc8)7R8 zR7Fq+Aw_d?0#}CzOlBNW=fkLp>vLok^3dMWAe)cjFC0kw;+E*5vcPDJc}VEf3pE|-x(YJ+#%>O(TDQf| zXa5H!!|%mEKq-`tli|je@iWQSGuuq3#tPB)u5U`Aius21GQ#yT2}}?q`Yc)T*8-Kc z^$2hEsAj<;s^||~)1}*9c}(1xxq83y#ID5pT(v5G3H{SsZ#3iY*8G)O>xTFT-VF(d z`R!?DAm_>#s%c#CM8&%sHiKzAFID2gFLcInY^D*7;}ywoL-WglJs7a_XQDu^%fGz0 zUM+cXRC}0wa^5ol6()K|Oq>?3sg1UYt!eI&oq zP(JZ~VsbCeLH4Nkjem2XIyZ6`tTaBkcj(tLIuWG5^qHAD`ueW@3t;^5E)9+G<7HxsHh+x!OQC(Xe zPE^E+4*l8J#;9#B@Ul^5wTZVSPOn@m@;!qS3iL%Ff4>pC>7ozY-0;(T5o_)W_NO!G z;W5M|bB?%HROY#W4u!j1`k8IS8nLiva}*~0Pe07kaNeL!Yo_C8b*y4kafI{PRMY2@ zJA}z$s?{Ltj$_Yx{~WPadX%R?B2z3AgO$2rkE^stsOOytDv?)kbnkk;aDMgvL3pQU zvkpk7;O?(4MNvZpsM|IWBUv7S|rg}$$#+|;maBa$*P`&e$M z8m7AG|89P86V|Abivd)~sxH)3=$oKbVZZ=2Y1VYgZ9KKb;hY=U>rrajx$|H(s0jRg zh&b1(xP+DTY|a(@c})vSdCjWnXZY=`iVsSDf7)g~b}9GGdZU&R zcLe)O#8?*al5*H}(Bd-|E;a7v|Cod9x^)vVtM1-lXmB`IJ{B>#EYf=XkG-I$vm4+U zjhdv&{q@uI=Y2O1mQ@#9g_Rv|etCMg(C(J!>CNtd7SLWsl5 zth!l~4*L&n!|e454tDDi3VY4vA-nX|rhbtJzmETu1LO*e&+G~Arc=Kl*ld4XX0czW z9y~;lrsrS*$1Z1s^I*7d+?7HMLrWpRp%WIal$?7j9}v1AavDs+a?jfkN7Ea#slSRU!48Wolq!p_ zw_+clu!M$^dyNz12Hu*V>6X4s*;rMVPQ}A~(xF}uLv||ncW`Ii2zrzJA6vg2mQV0o zvSLh^AY6K+LFt$>_nhjB(hWJ?aaLpMtz$YCzghmhF>epzcuGotEgQmC0O@0u|^bwV0eGT z5b=LuCsxZelrvLX=7%)C(#I)er@L;b`U!_7!{%zQe+8P3MAXLD@{7cf({ZKUFyaXE z2;ILq59w08V}>Cfw=?QAiTID=PiRVJ4x%IY} z@kBJo&BlLGUA!Tv4jq0;I>lPw!HiC&7-Tw{zP$Lob z(uQ`Bj3GJlR|X$VcQT~Q*~H6$?~4ZkD!{w+RUznn=h#u=-S?_EQ*?v9*f`x^2dedG ze}Y|GE$~a13LHsLpORh=Ci{6`h->S<^;>E%JQUgW_O6f_%|I0?RQt((yOXMiR9-6@ z$F)n*ZBFeC*#_=^k+fRv?Neu9nB;`5Ulhj&N9>WvK8w{M%{`xZt;wVr9vd6|i*qC$ECtDm%#OU0r?yFPl2y!3&h$L^RyftCqi-rUsll#BXkef=#Y!R5)jhNbh`c{T`ore%BR z>p(`n1U=RS*`|bd>0f-urzWk{-2Zs>E~`TNu#DUM!ZX)TYfiXJ)qc}i<7{Ye<7zLF zN`QN;-mMqrBjarjLkSeA(jJ60`Qo8c&dS3PkQezM|E$Xq7c8y9bGL*Hffd^P`eO{a zP?&&=WJ_BAcHS^(amfl{qWA6Rj*x+2nhdplYXmREnO00gW_=>LnF^crLiX|VOh6y~ zgVu-+-~DX&g`c1oSZIsYH4oOr)b_}?irGBZEpQwnN$P3K>&h-tl2m!N8-l8PIj`sH z`?Vykux-%_)3d}>DPEunrenVN|+4qdT_s8c+?Cq)^wWJr8JYnK|(-3w< z4B+?ZTU6mml36VFZ9Rv;8|kJ>RKZ|p|G&6xP#W6C&y8Mmc}FKJ<6c_3K{0x_3iN!F z0cZh-9|GyTPWAdGnoc9+A|(Ti(mXWNq7;D5FoExIu?*Kz(}{Omfjw$jVsU8FlgZ5A)w$;8&}wV&N%Gg$I}k4Z1n#t}g!o z{sEi-HW{)t^LbRc(`G|Ph#~;Ud5@dOA~*x~WAh0`GOwSPuT7juM5td0>+1dFR_`yY zKCE|;xfj?fpPe|f8j%-?S@TP#F#K0US{-K+?APPE**hAN6C!Lw{Mki%k2@xPCv%|F z5r*8S=EqA_nR~*`OwIcqPKO9#KV@XB+i)M?f{=RvvU7!907~vd*%KWe>u5I%Np6wC zMc`fKTxiCXa+b~S}FO6_oZvNW zd1<$>3W4a_y|&C8I(Kqcg+`C)@`o3;k6d}DRE6FH4Z{9lct5Sv4Jj`eg^YIGG$M04MF!~|!EbY1bf?iElkqbu;1Vs_T`J#S0e!sWqu2-1w$oLjd1laMc z&%1C#L_623uO~-cUlo>~QSA682NM+9ehX}FCQ5ka)WDKD1lF}p-%<;~1zO5}gl%RX z%D7sz(AL?!QXcytu-Wdc0pHhIVi=eO2PJK@J7Ak8Yu0p)=o4QDZ7lqMw~YRIQvbhA zr~eVi)vIGSf2qsZQ4ZgWvx8DQ8!DxJ@e0?qMO!-4{#Uub%S7yqIHI zZq0O#nT(0LECiypEm-p$dB0=8coZ7gKA;O_U*N&2-QKdG?GaM-M&}bN`9pCWl~?Xx z?w)3KZlmFVGZS=3IU>5^RSpIcc7%c8H~EougtWB7p|pw9W+Ne;OG<+f_7znjpT)IJ zbXq3eut_fmubrSW2{&5ZN#U2-?0~@29$aj6n`r7Z%Hv-#xWEqS^6uCE%68OHGNQ5=zDX1cd(@<$59~F6hdR)`?i?#_U>RGR}`6@ z?u(ey>ibpQN9c+Fom|`k=Ycn0W4@g7UlN?tTs`DwwAFYgmtlLSr%LwHKb`Z#tKZQl zGU=CKKG#wC74xeE^SgR>bp(Svv8_Z4zL_*{-#6*?UmH3JEJ`H=`o%=jBg9yCKj>$^ z2+S0h)q2hAG}oBCHbz&*vZ)fMo~mLz_{3dWraG?j zsXn5(&O*j4eTXlgZ?49g#lUr@$_ne?x`usUBKj&Sy~)Y#hu38MbdHNI3pd2YemI|x za+7H$v{8!fhatbLN=Yq`Ocd!KVXi#AiyNE;>m1&sh}*8zeqkXu17HWpr~d2t6>-;u zAu>nzK(Ap5w-&FSjV}zexCLrE2oA`;p0zU8fr*%T)=;JK@Rt-NtklX*+=801BsV_b zu1fe2A2B%=-GN$ni>F43OZB9qTH~+sKGN=}D~)y{j^LR|@s3B)WNR(M?nJrSV*Nrj zi~f_|vM43(9hGovEo9n4WA)kQ|D?@U+Zik8juZ?&bzWC)RXA!%_Hr`}g}ojo?oB_` zvAd|^3$FLIWVW7^XFT|hVmQ0E94NrdrW-0w^!%2>@mYz30gQ@ z6#LY=@(lVHOa=NBms7qk)P@DqS}z%5(Qy-wL;lnbSrCt7 z(Pct1^aV5YLEkcki@ueW|i|DN+PnSL<9Fi}SG z*2Zk^b-!WJxQggSjPgk^AwjD;^CrGTcoJ z@g`z~jAR48`dU_SRX&2W(i@!-m=_v@)u3h{%l#dXtDwbumYHJTU8v;d;ypZg|I_s| zzr#@#d3qC}in}ARHOw<)KWM1LNIzsMQF>_A`L<+k_nm?M_ zm?p%W6sh)5)2L@dU1E5BH{D9KFt1dTV;niz44flVTR1@GFh+@()=fc*`L={wz&9#Z zKV%XC;ToNhb)|FA`1Ztq5x>mD;p1|!uMUe4^0UNe-x>|3I_Io}yg{)CX%;)q<(A__ z8gKQ?mV5Px!+(MmNWs=dJs+J1_sY9iJt1cEit@YLIz3;V2Ok}lH6z|zPKwVVHUd;v zW7fO%fqA%w@Zq(5c#7a=I^)CwCWBs-CuX<2sj-{Z4+7LoPejA(q<{$b6@~6UwFj@L zJ(cwdxMV{Mn-7j$2P&NkaLGm8epcOp7M4Ie zYE%UaeFuMr+hhEuqC|_w5|@YMY7aN!M6C@Ozw}#=sIKJ=-$skQY1jg&UO@WVb|(Au z*9wPuhivv(*?ElR94`0o!jld-S?44SD#qIyqR+-=Zz?^aLN@wyX0OjXTYG;tP!Ach zfQ7~wz~6tw=%_ui@oL!;()cBGetIIz0l+Ge4YjM*aKH@Ge-4Wkwti|NQN44jR(|u4 zw!1JM>8)XK!qV{arhzZtXL+17$DLHpv>h-5gv95alsR(Wrtx5Q6U z+aK>#k?BtKW%JV%B^jy8m3Ipf$q1a{Mq#$>;lm#&!>O zcJp!E@b$b7f$$0Z_uvD8Rpf2= ziEyO%$+@PA6`kC!gm8`S9MKq=7`B8w4@RqYY{Xv1*GKF-20%4ApDufoO- zbPxL8BR*lhR?-klUZPl{kT3wUpF|khPtvJpTZFV2+0Na)lEbm&9#_>zeT_5khAWe> zk-e*4uO8Z4q14fpF=KC^lH!<1p%0~;fp!818@6cgmaZEGy@9%{a|N8a(K8G;^Llh3 zs|d{~Rdo@}BO8aHKja33mEKlD=WUt7pnAZGnuBr2klfUt+Oo9#kJKZXo~LQYV*&MbNXtXb-iI}oAn3Wm0M#56}4?tdQ);!eN6quB}O)f!Wry4wzxF(c%V`|^dAVpPR=u@OdG<^3~+hIoeB5P(`U?J!8lym9Oi0sg} ze6gf`HILSLB|g_`!A_MnoB$7BtsI$@ajy7gaKk;!er-QaH*Q`>4T%wxcL=EQxt;;nh$(W;Cwdi| z`=(_>STrEm`nH_WS3o`mCqx}+*DM|3f~Z@ZW#8xNJ))#6Y??31i>`)E7`Ss zd>z1rb@erT2JW{xpj}w-1@M5UH=hX6@i!(>z(51E3k_aG_MoTqgP9;9p+fb3H%rHz zj?cR&wV1W3katlm0m}}L42}BMa7R_|wPt5z zIF?^}Kn242KUcgFt-5#>b)mhWve?Y@#XGSutzH1P3h~jP^|KuiRXd8Q zU~L%Yc8PfKtvt$4*V=$1?l%uA(=G%;t(SXOG}a0_}Puqj()_ z1?$_!NcZ+Z-R|1w>Hr?R>EVaAJFad%&b{0=GMllyyAjEmS_+w~wxa^o?9qK9yl|52N!M#Y6)Vyj@E(y%v%#Vp+}`Er<&r=WBOGdV zDbVOpqKBQ{@S%|;AH_oC9nTA@Q^G!nzAZWOr0>iAYZ}Trfg9EJGlgp(%12pam=XGS zl7|MXi)}7~PfHI5DeMMh%>5>dD&IHyk^WG0I2O`*BxmIQul1XBM!tQrOBZ(C*m~hFt^L%xpXO^f&o(13oR25X=;wd}W$I ziIft4?O}GSepY_NBH2ivu9m1!2G$fTxsyIDyjw6Hs)WEadmA@&uPm=3r68>Tr5wj@ z@iL&1TT2?x3|;9CD)Ps0PbB6PQmBD2X_g>HQ@8Nyt8Af?>nBcHXxfJ<9&?Rv z(c@ivgQ?Mm(&f#hSFhyWyjUrdhCN&R>=969w);W=J0E8iwNTmu9y<>fX;C?U{s`X< zSW|I~!O>Jp*r3JHXE2CR_8N?S(Hp+;@-q*Bk`QTPX@wtCUKki*Qb+=JZd!ui=IpdV&3q6Rv*DkENm(`RURT@LlEWoUu#eUdZ!&fIYa8(J^ZLhH@#VUq>`Uyg z%OPpq$sFBH43~gnTsUw0cww4n$jWo_SGTPbXq~QkCUwEpSnYBv2$i(F_Rv+%a`xU0 zlofYwAauiUY&7N~vZIj4h>+(Yt^;-FXt(pP56DYQz%;2W_XEBWnNbZo!pUBmcvp(S zMHx}8a+;gbD|#bRXvyC-6iHX!57qJAlRMK`@eJ@$dNgcGQ{$_!=bL?;e8gL+y#CH! zQpjXMOog|Y>F07d?qusi&M{eCOcx)6&rjLVJiq4}Be=AMaO8Ctc%@6U$!ya~e({;X zdj1rh9|B#6W6RQ5Ed6n3Wof5uE&q0#jcCk|{(Z&?`B7!ZGcpuEUc~aFSzwd*z{N^F zzl+YkpPkG0$7|e{fMxt8 z;DQqISMUyeL94leXYcv%XSXa>V$<*6G<@p7g9~D~5A=+ozwU0CBJZlmy2O8V8|@Qw zfIpkG7SYV{&0@G7wBl0CG)Yum~J6vsG(j z)w5?BBedw_Y*SF@Q$6RtTGV#+i)Jodzfy7`D`Z@o&2)Hc5ynw3 zHjQn?(jg16U>sM=C>Br!X$W@~M!nc)<^K0I&{bh*3>ZM<3J!|-dU2mz%DSfWyx!`7 z)q>C>f8&tYB1(U@ChL<9Tlax(mB!0?iTRu(jvBM&-$6?r#W*$=*m+)63fmkN1FA+oNo?Df)||a_`)`{0+l%%iv?_inb!=pwm<)%3U>iEJl~zx z2^1ust*s@vb|lf*a}^G}YC*(s(>6WZ878D-t0WIr|4eC#0q^j)@A)XH<<-vEWx94{ zpxiem%ciTgm2(r+ux~ zQuQ>5X`pkP%|M;kK;KypA%+mM6J_c*6MTK|cKwN1j{I+I@Z~xK4dxm!?WtPG5v z-Z783^OSZ9X<3@tkqa^onxkBFtCUmabf&yar9 z@b@Zq2E}3=H&ct?)BPO#8>apgqj{;!FOHPE(VbIhoe({=@Vg=8f=$D^oSkVKif3q~ z1+H00jIMY+v!~s5de8A(eToqxO8t?Se=7Hn?<#*hU+s8p2esA{d$oAuncYxT|7*Bi zQ+m&O(zCM}3K^oP#~~XRs@i<9tbL3eTU9S)9}_hpxh+hEo|>ttIsB(&4Z! zkKeW6hIdIBfe83pF037lJ(TXvT()D_r^^Y=^pZZyeuK&O$dMhqGD# zbkbuf{ur#ooar!3a(mm-f7F&?P-Mw_pCdsIs>pKmyMzlu5mhb#n#@FdJ*M%#K$*LU6#C=TIZv``x> zN>k=losTv*+p3z_apgmt+0J8Zjeo!AnZqC_2rfs@c^^#)?syNNfXZ*U63r1S2j0MV z81e(7T~tfZI??0#3)Yz+Y&}_@&c4`-p5O=9-r_d46I`2;B)W8&2O2LqB?qC|^r2E= zV;_LxAG5wq({xCcqmihzeUay@dI)yIfQI0F|K0tlhhMqgTHpE!hn4dDDQ6r->(Nf` zO_X&I{0y6@=fvL>&j*niBOsE}yBC0u8~k)LJt5WQp2Zy~r~s3lmoc8tR+wkK#OBc` zjLr*qLNRvF=$)-5?k96eiuX_<;Y#fOWY3+$7E3FS(fIqp##or!x4Fa>dr^}wdYs&S z=VfhFhl8v@$+?3_$GXHMvxWh^eou?a)q9MI2_lsirIvxl4)HxvB<~AQC=Ep{2o0hO z&fgcjt!JI?Py%J2i$1urV-aq?P!~>T-;d@h03mW`0J)F4^|@}3L(tz{S2;tN5MoAF zHG`tIXIRrl(s&h-6K9a#mwoajQVA@wy54!nu?NJ~hDE;F{{o4x)S`PDP%Zyu`J6NB zpysH>DT4bD1dt_`C3Xi$oC|!&$Na~DOe@#gaqZ-TpnGJ(p|FZzjw=coz0b#}eY_bO ztX!${bcvVRzVvt>93SzHyn8w<1M72fPI6tZrN9)y>k-z!qJO6oN;k@q1bcYF+B315 zJ1MT(NRK6?1izCT!&dvT4OU`5lAX5F`kJoYxEPZ+7O)vTI~xx)=I1qz4m1woH%WkSd(; z7@c9mwS-*eGN;-sH=j|KLbpBoIBLgrz{6qU;lJJ4o`UztH z^oRJ4|Msb5iq6ir{&Y!$$tQ+%8*nwtW)c@(e?4=O7TO^wH$DPwM4iq>Mqfh zaC>Lpc43r15%Odj_EM(VYI`;5o1ZcFEaN6S0*2DB z2`$XO*_j+mbf@~!;4wK%!}Lh#7egLdpQ*U_kIKDcG|fl#|Ij%Gez)oN3;%vdUHqc(YAlsIDeCt{(YnaQS** zH}3TLk!PGezU?;cUUb3e^XYe7s50wjEBhmQ``wA-Zs7i05FNeye$BrM+QE}w!*70 z-=tDu^)@6Xv&E?c(fZg5T)|K(P z`|&VPpE8)ir;q+ILivtE^0qr!B8hc&?Tl%#)8|p@5HFU!3W05C)!{fN)%>Qgx@I9^ zi@e@jz2n1x5he2PbNvZ=tg ziyfH09XOifG2Q;kH&5WOTx#B0VV>An3ytm&!S?O+xz=~7t#m0T6)K+{bnvrnAqZZYA{(-_q)%-0P@Ce(RG2MgoX?0um$DFP&HC)=Z`4 zdW;TCNAyT`dBQE<36Ov5*S2QDWG*sn?r^!6<(K8p-&f5~-!GtS-SPQgBMWrwK)=2> zJ`n+?vHE*;z8?Q*Wvf+1WZmf~xWVRyW`N5T=@_`C(zTVAz%3?_n|6gPE;tL;E`8vr zD|B#SgkH=@o9^43%22J%lx(+e2YBjHi}UW^$2}y~uU@!LIE!1&ug8P5=}zTV3`zH5 z_3fbyEP8((=jL=Nt{g|$epq09_zqjhcAe*YTZ#hUKJoMRv{N*@wS{K1-V4g4T46PX zlC%VuA)S_rXRP$tglMv8j0EZ*`w;f(A4|Te{VMvbY?d=5?yb>w?*CtwDUEl;r^j{l z!J_HWB^i%O!ljFEtT~ECv$(`;If@iT{>ei^|Dy$t`@eKRLSq7D(ZXKj2W;t&TGJFq z=5fU>N|%tUbC=kwtyo5Ee0BPMOPK$n_XqR`C4hnTrtSMfKrPZOrSLTp{Hs59zPBCN zF76$I*O>mV0l+nZ(faYtFrRV$O1`CWGvB95o%Q&J`UIEUq2@Kh%RHF+cnjwd4sw@L z3SL1tdRxqi9x+6dvGOaq7fbSa$iCc6(r0rOq@(#2_G9P;1kIhLMdiBo*hba6jdx*F zc3gIRG&EzzFz0fa=dvx?N(`Lxw(B-m5Ci?U6UtMg6Mv8sd7zW(ZYMj}aXp*;YnVt! zczV-<@$yUKWeLy*%j-?0%!FHwWCv#w5916ThN)4wee#I|=v*c(VQ(8@n0!(uaQopn zjcv@1f9ysyRdGE2B$w@Ygl(+c!GMJOqv9{Z9?t}X)AHSfV$sRZ)44vgrYGy7ky}(O zXqh}9uLp58hS(V6pZzXFmInWHGC*@;@7Zzr-Hf1wc<(Ig_8I7j?s=EvV0e}=^nnY% zVvl*1P*_%Yh&Az5N(FK|#^iLO)ipQ1VNY`R=W?RJ$G#e9@Jz7rFvrDGl|z^JfX18d zcdTfEFswX}vtI)`(S2C84_5$x939-MF_w21`nMXpln0gKtUp&poPwaN<9kOb`MiPL zTUPzF^HqlEjDaWu3I69v1vp*c0Rj!N^|<2)wiCEQiy1u;Xil`IN?`sKdVo+;RrjuN zSJ|k^K|8~nr8OBkV}dlcvPcnc&79~2xID>r1H6^ZR-2CUd>y!CgUDrma2V+jc^Y-z zN$NVYSYpd!+~eh0tVdwN8>;F#drH-je8EcQV;Fo{c8GZ$f}j+4#2y z%f2Gv*~OfHZP`zj%Q=_X|G4lqNg_J;d;9vIIx$$t5Do4@ zEUPs*7;!dKE&LYdOM0v=0n$1OtWtruiaJp39l{d66f!Tt?R~icxZvt-LT|mdtY=`| z-f(>&dPi-Ijr8!L>u_?FG)7u!sno%*}P=udDt$PcXB)@sG5Yl%Gw3R zPRG6_IqKr*I5u=#F5{iWo3e_(Q7L4 zKOk4z&jvAuFUfn8wHujqN;oGz}C1X4!4g?vW)24p#5&Qk7#Q2Cm zB0tiYWuCc^tl^UaGsIu~wK1pSpN?4fvz2;prnyN5aoepR+jEGkLy&m-KDcq@XVqwP z*?}pfP@W(5wM8Xwry>JPMg+ZM)q^`@76M(bM=F)KR7OfU=BP_#;X}F;p+6!7n?iR* zA~GyGDI_VH+;>`F1&~c$`GLLrZr$2885zo_bzK_y_Vyj7v|dbk$tjIg#=)7PpNGDe zb{_-JhsG-lq85Mo#656gp-q1e4Y2Oxo=Ua)u1II-SJcas3%&cjk7H)r{keV*U5nKY zP?-|Fd32BQjR}R^v}$fs2~d+~mR2ZEoa zeg^~~)~nO)-SN(zg$AB5G1` literal 107153 zcmV)TK(W6R000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*Ipq3;+NC0073H0RR91006rM0000000000 z005Dq0RR91004La)O~e))kybu0>xR}7I(NQ^aNRoyD#nzi@UQpEmjI`X>ll4!L_(U zad&t3#hpU)p6^U2_qNMEzrWw?XT$A1IWBW%GRaM>X_}s#PtW4>N%O9N|K0f$zWe{> z|FSw{$AJ&JIREd@66$)kEa1j-!F7fH5Kz}|{`Y6M|DfZFCFPWS<@b`yzj5W2u6nuB z`LEBe*Smg}99_S0<(@!DuAuSQCSP21Pb#3%;v031G``b%KV6{zwx`5$)mmO7zke@q zQwlEcT==GPk?P+RLlsTdJMsk!gd=t?4;(MvPyk2_2)mE-p z@)}9)s|72`&z}Uf-(2{{*Ag%^KK}(~qi7V~!L|h|1F0Cq|@_H$QJWJdc;(95wyk1&Q zQji)+`O^ytr3|jVmR^(RwBj0xm0En3lphH$(%O=51{Z45o|(iqlFn;!z4WiNhP0KG zO3L$6TqC8Gc9z#mju{0tdCf<0M#*F+daph+jKQh{-JQ7RlE}@d9^o6`qMuN1k zzg;H0CRGT&dOBa>ird zn-tV8(|*VL@0Nw{wCs#$edhnQHV<-8VJ)XQvV-oP>qiWDs%3d;4EEA$5Bo`<2DqEG z%d9feiROl}H*tHTwZ`dF^K@&mdBPZ`!0i!rxglb7{R?CbNoU?k-OgD4$@1EF zjK6#n#W5YiAGG#0C(}#!Cxp-Wl4{aKi+ecVkGt8P=WglTY3c5|~SW zxSKgok2PvCL>z1|O>4nk)=N*AL|X&*P=mL5j8RIo+{{}q&LpfU`)X10%|X=en`P`$ zMy>mUb?Oh71jLIXU&>}~e^J*M{V_)Jn(;JmJ(5paqEQ3r&9)Ol^6kkq@1_vVgY9V@ zhEa>Xn@6X+?xFYZN*FB}Te##XL-p$bm#p89_Fj?L?UKhB^&qpg!oXVv)5d#)9T6&+ zgm&Wes8uTR?3v2E^ggY70ToQ$bQUcNHh<7Jg_*uiO>a+4YZQXUi$d54|M=fx{wR+L4>u6Q`C~A_tgE3s`of`I8f|%I;X#yRe`dH{aKS_+< znb{k9+pkO_@1mWQ=+1XKk*Kz@M+MU)V1I<(X)2ASjfWoz>{4ts5sHtX!UkNUBp;Kb zpOJ&H*CATs1CpKnqRsecEL9#Xd1rFdyc@yh+2FB^30e8O-pR~anM#`vYK9p}Rhm!a zkk#h0_pNm8=1}9Q4=FrbTO(MBmI->hL$s#F$J5GF(j_f8)`Vz#!FveX#U_4zOjF~t zA})gJ*%!9z+_hurPSQ{++_sj{NcsD76w|#35-qe&)Fqdc-1c`bW~&c@^2*=io6K}< zQ2uVtWUa37iAQvL+Iuv$*>hw{_vHwD92s$fV*32Rq~_m0OPRgyE;4>9(NJ~tt1N(J z^bDro>OU4Psi#B(S?du)we+)w)3pM}g-f=@kI_4|V9Oz+DdJhSJ~5Ih;u?tWfOd&L zMN-oySxgvpkuvH(47M^rzCk%B(7H#m^psFWh1awQ5MNj|p1H%brkSsWukk<;pUq*W zO#!&cT7QbTevNjeuWpQB$=4tphOxP|tBAE?rH4`%-%~>JS}b`J5S|5R-G@UJ@!2QC zjAn{>!f1{bly`ko?^Sfw_n|P$E+u(HB94|;Y+|db#|U~DW0pi*?nOBGFatdaLebS@ ze=3hWCJFZT`OBD60}Ult1V@Q9R=J-ADE6N7F^$Hbw*G?_-FB7dGFl6!T)E>V%Z? z9TY&j63Yxt#8Dv3av2rbf?1Y#{!VSO7BcIH>@h?!1jiX%Ti{fl2(62xEk4Nw@k@$$ z7uft82d2K~kPqeEA&Ku)#M^>xTi8ZPUe>UT$tu5jaYNJ2F;u^Heq`*Md+QQ~j%Iu-c{?Vc`bynd}5WYmTTCE3;rPEEN zXnj;g79MB}5=-vvrnfnwsj6=uW32L+8@Oyi@oSUGpE}2vk!pKv2@)jSHA~>J8p>lJ zD-WgjsRx7aJ8+ob&gZ=7q}Cpz%UF-sO7ilKUHHpWX@bSr122&fZe;gi7)c9A?&g z#F{e8_AJTVr>Jo7;_I~3Ad%*;rmbo~i?7e6R{dugg_K!-er2{>zVYc|m1*>8-+3_tcTu5O3!%6UUd_8; z9F2;SW+})6x=6uo5V{fKT=8CHy17qR4h2(rq$>T1j_xfaMxtfPq47}h;=^jaRt=@J zd8I>(E1O5d+&^J>Mf}#^iQKm<#T04oMi(SApUx~}*6Xv+$fgu?f)`fXMkiKT!sZi{ z&Hsc>?K%CmuYA_r{!&)E0@0tMbj|p^9(^skl{(L@Wo%Hq zo50o0!66`H4|?-ZHvd7&CCh-A5ArGO#wFsr`zhkt@SzMFNza$^CymQh(u88?74fDV zsaif3)M{LzFEyMXiC8Zkynkyui7w=maejsaK=kL_lX&Zw(HgBC_JgYZUdp`CVTbWj5r2U&`v4M0 z-iOff?Vsr8>uyFq6%IY&%SdjswI^W#WL(`&%{G(q7FNcwl)a&Kgjv$~{XzF0&7ejX z-WW9%@c>xuGX}GWPxq+v7l)WxjN@n#l6OWuf6TQ-PtntdvY(L+*+w<97=eQLC(2&4 z_J7cgD>G=`ia|zC#$Jo2h}S*2jRl9qhl1$&3pqgZ)m9on*C0cWqw8sQIfzzR65LS* zQ#5K;2X?w0Oqh6#funHTf<-#xq$VDk&qw1=rC3Usr8LW@^-21eR-JJ-Lq@hV)~Phu z2@=DZgYJIHm!@2nyvM52^BPtwz^v`k1KybwZ_MWOp?tCw@3{#-8s!vkF$n#H2TFTK z(UfcM=7JeSO36Me&}kxM&3; z-D!8<-^`tBqKzm8hP5r8Cv!X|k8dV8SkT-!VxzG{A-zY~?15z`WZyuP@E-Xz-)NM9 zx;S#X@o6l|a`_G?X-f4Uw6E??!wX;FcmytOk%zx@6^d+ z)L@QMHv8;7w*lQeFoH^MxMY-7MitOdj0ROoZ>O|YLNmCQxA8?q%V5mw&oeEn^Y(?* zF<45zUrFwdqO{hH<53y4(2}N(YsfqtPchVt!C$_&qC9SwI7TaiuNcQvbtn%F*Ku%I zE86WR|Fd7I-UrPc3smB$0++0S2fDS3qRWm#=82tCj4{e3b7B0}IMKElG~2jA^#-mn ze3fDjT-O~&>Q*m|-p#v8IcJYIny9Ln7ctof9MTM&N_Sq#1(oM2TFS9cH1rO(Vx5DU zI&Lb(KC%k>6vV`tJ5e6p&0~~c&uZhSQfwUZhe>nmGcSaS23@52?{xRfDh-yQa0zg= zs!7av@k^N#?)Ns@t7w_dLR;NXJcbtvqCK@`EBQ#-{1|$Nd7t^DY~En%I>#L)+Ip;c zgd=2@eM`?3@dG_i^1xW2%(4d`7O=-OegA0+&Y#5WJ-?c9S@~OIy)A!aXoA{abf}9Q zEQTuHnIQE-zQpGJX4!JbBE|b44DbQNT+RY%>F+-8spGdrh8K`25GrZ?R%>9Rf6ib`N@vp^W|kqU`ZfkX$jD%!82r6pAI^ zv6h}R^QFNH{T=;&0~Q|xk(_*qm)6az4(*f?(*%t~&QQhMJ+6jo$ptHtQbl<{sL)icf-kI*gP zSP2ci@o8PEtu*y!LeuSwr?Fa9khx&eWYzaexWPJ0Xw`p|xf zkla?RB}B^%zZ57{&|_DP$^u+lDfSAYJ>{OsRtydeN6o;|8(jWm=Jo)+X8jLi5+uS= z4{5L&yxo1iQ0+3=%`H(24Y|%ME>Nd$E9X*p{A=|6-2-Eg^4LdceG8{;4WClW_gT$< zMz;|OEV`a+h>Ho#TOWIA3LW}!UPz95AQp;0Fc}@|idI|WCwVsEV7j{2;B{nqoPuwP zfW(|cYw7Thd%|iK^uckMZEm%MBr`76rka6%f_P))5;iI?{1MR-i+5ASTU@k&Jr;|11I2qB2Fa8-xe`yIh&O>$$Ny8b>MWK19fo(shLOd= z(?HF-8`tKum1sj@mUkfGik(`C77vIhZm}eXEaKRMP`r##bj5~|1-K0+*qM<2zXTsg zFD6Xv3T9-9T?Rur**+q{PYy94;Rg3Ix`qVRWZIHwt~U5iHwRXpxu033kArJADX z*YVxOT@;W!2XCa~livMJ60_5tLgwhdrWz+yuV|ugS%`tB!;MAMc1r@Wx&u{2w4O$K zZNaEkGvQ*2e?o#6vmwO%doGOHlK;1cC)hxUNY=h^TB8k0gt6@aG65glAF=z#~JoQQY0t3HJVTQocoI@)0`{RIdH16R+%L) zMEkUMf}RyKw}4Ei(5Ih;MyB}(o1byNA>1OYzX`h z0%96eZq6SpSMW*JR1mKXt6hc*)cCzOL4d&}Rn7%11Z8224MFn)X~OiyLNwbdiy5pf z18>?om3HNpV8lIqrENusr$<-j{bni+s3O4;oJ8V&2`-U`iZ#^php+~Yeh7#5IH>`; z*@t-U&%qMMH0V8@|JSDtw`f9|!e)zAYYi0*nj1@@BMq!(?Y2=={AlVrYM+4#H;}a- zC@b~h@ADpOskqxWYTbFeQCx`4;FRcS&LWz7W!OO1ct+QK{CLAT4P%Q~X=Mb9*vM?pOs z^xoLpo9dR3*kQ_QCuPU$ebM?f8@GTOe0oIpT2(i4GjGwShQcKYk%FH;45M_rj)_QJ%T^P8 z>U+4PAmme4LsN8DS?vv^s)xT+U7P0j(y(LA4?+aNECnllrTUd}nC;dD8aYY%WrK6MgBgi0{{ zEy`XwWM2lHl;mv!4>@YVmN-th@hJ~JEsU3)4lK6ll-nwU+j4n$B1j*D_w5PmDeuYq z6q3K2kx<2X6Ig9MW&rKG45ln+=2PzF-;EC7hGQK%lqGP`;ESJW<2Hwxb>|(UgVK9% z6hp$%d34&ohwj}@CKi$$thZQ5%7NiMDIzs~Q*UaSPAXPZ)wLdo!e`L?nEr!`)-=pB zO~xAks3e-hLR-B0;-$TvI-9C3lvBmFj3mq=c*`ygynZkG?G-F=qw=c#2BV#!4c3YFJ``kgJq=uC{{TT}`TgGCy_ z@X93!rok$h(m-XNw0c=8m{L^F=-ycI7D9{D)v#1%+N9;pX;&r~*?43XRx1plzrif= zBZFzHDfMO}TVjw*gld}zX8BZhKF!)H!N05fg5Oad6N7{jZQ}WJj>allF2JZ)F%pIN z=BD=tG;^65VDv;r<0#K%Pu_qZGRU8nv_42D(mixW%S-rMbZ_7M-%z15S4O<{6dEAFB_V zviT{G0AsbX`6lr0h4E(RFn`L>;vfy|w#g7TwggL@X0d42l%9vEN!qV8;(dRkFpDOq z=k_&iL;R`Sy4RxYA-ct0pf^|?tB7zY_tT#Szn5S)u6DAeVuR^X{HHzow;SKp;1H5l ztIK4pM=)xd;-kq^pDKu_X1#^g_%^Jji=cG%*q_Q{&*1rNAg(-irRf*LTY1a?Ly&_23GaJU|WBY?JDmqbu?O>81 zoRw%On)#F`SPyTl1?QNqie^xxkJBY$pT}w#vG+P(D%N!;B`&ni5I1K*@(h;#enK>A zvXP?CzNcwb${M#&EA1l$OOqukbfEql7f`e7dmo4KHzfS)K1pr~X4^zXoPv zG$_Hbru~6{D>{4z<@hAQsZ^|;0PmAX6{T3tDqkEoF)79|8D&p=YBQ%e?Fvt6Hhw+B z;G1>wz*qv8cx(F_22tM7tK@xbjqy%}VolVw(R_`T+B`s`4ty5(BztpJ6lri8*L4J~ zGF2y0)>^Wj&*mf&!88bnVpUo3{_(M>kzPeAw=6N!mK~`E(}VnLMKJM1Mh?(o6V^iJ zpsVh_^}Y~C4`oyndiRC^)!KC+x1mL7>b@|e1O&!$9tIo8V5{SZ)pWAmYYMhz8|(ym z5DZoqy+hTYr4&%&lDLcP&Wbsch*u80^~cAjP(Vwm*df(B)Zu8+w;)ZG&CziskBZ^F znbSo|q1u{E333`;VU5_w>7o^>Xr~3VEcr%bmJ-c*$<}1csPu@}bS~X^qcn>qq6Pb4 zv>}*L{ZV2Og_n}$o>@!cwO|%M?zpUZ(-+bjFBvWUISRzOLpx-CHHfc7d*PnYU33)S z8-^I(1@ZHa`bpWd6*6aJ2s6$on->G`j37}VY&LCgcZ0CzVOPb|;QbsVG`@51vs?D5 z7x{V-4zrN~RS;`xfd2bi4aWqfH}`?Ow+68~HCIV0&@eN-3s2&1hax&ntr#kD;rp7| z>u5o-p#iOhFv}^lf_aGP&5 z@Pxa0w_6D#6Y~~BtP`B$Y-ie2N)D38STQkJ)PS>YLNP`Bdx|Jynj*df&f+_{URv(f z-)QL}ck}&&2;)3AgTmkYVHVzztWOe1XW#yq28bzZgg(zt8&(susbOUKqp zFzY2B#Q2j#Mg;MX&e?r6;g&XZlvP1l9a@+E}3!OAOEFgQWd4T&J;7Nz6xCH##26BF)Qh z7?Sc}$n2Z5D0PVr6jd>R7m~IdgKW4il=y|+`(K@#M1r+SXZohHz~Hwy-*#B zV5;Z}wrxIw<3ewa?%ow_TdgR(Ar4otZSy8T{1@29=8di1+B2kzD|T|$Ti84mW9ry9 zti3C6irNMyFbChSnGgKEC?iLLhr z<}Jh06^z>?g7+dem^B&KxDvdrWLs?TnoTsxfW>JI1 z$GL%yqbkR?V*q>svy5+DmvZ_$=>79A#vH}_B3!+TPg>W<-)UGkcN4c$3aA_#0=BJD zTe`e2PFv>3xt($o4t6Wff9N*h zu|`Vr>kxW7U!uLcotNHpoF_bHXURo)BPnBB3DV~Ah<@TJz$mgp@(3hnS%|MB51u~N zF;W@TfYo+_Rh@fvD21nkcY=8v%av$+8`ewXozz~M`PPrBRNF2@+owcZ0p7d$q?K-# zhx&DsNo0%G0uHUpC+(zHL60tjD+|$jD$(58U|0!uHV5%W`a7;F-kUhq^yLu0ZR6VX zbe4lo%nLO7Dexi;wkcRK{b&6tlz7-qI$rL9QD1>4z-sFtz_!Hi>7o1YBK;35$ywo; zh6Ztwkh2yP_`shL79`Wen#3e=+ zw;0AF5o=RW9a_BGOvkHVB(INM4W7TnJrbRrPHo@IBxMlbdBD4em1P>lUAB~Q*mSc6@Y=v$v@4!$!jk6jqy(xSBpC{dqE`8sIk znPB6E0%Mg|%M3}%8}lgTjSDm>BBwJHXChEKViZhMqy=x4o-G_&Pf6YmHT5UY?W}!o z3y@dHWFeX@If71K1=AHy?C{Y0NXeO+l>8N2&1weIsU&(-VYkCBJvlf_v`28L&+wj9 z_V3cPF=Uz{qmSiX&ywp|;n15Im(qkMS19$O=0-|2@D^on$ZKD2=u3l6Zx)>guX)Sk z0_Yxq;Xll+Oclf9+=I@EtGL_q(#CG{^w|1aNugK;zFvf4cG#SA##y)!EsK;B$5Z^3E@fxTgNLkfE3PPZPNcAQkA@l-m-YS%I) zp)WtH3emDF(IB%t%w|O?i%ArEUj;Q$$qv}w<8*_wce1lXTCm5jdswuBU zEvimQo`uJ@+6ee^2J3C@3Gnpz&{5VF+iEdH_#ahU;81(-oD-}Znj)y2@jR?5B6M+aocw+Tp)bJj;k!LOZp4IQ8zow5xQ?~cEG63N?xP&_RKbpizMvD# zlDm5~8aFwQ&^va)76J?ynU|*fc!+|{y{Sw=9xd5mC=rTy=IBn2u1c{?7#}0xpvz?{ zP|glH$n1RFIUf@@Ta$1w>C0+drI+&)3zw8odZ%N_^*5}T^|@^Yx>PI9yyOxfANhZ+ z(gaVBBxhv`@-Qbw6Pql><3>ufX(g9Cuq$00qv6YpI32#-f|ATPDN(I>?!#kwOkCLV z#YYNCn^lNbT8Sng|1c}PUFkolNc*L+qeXzz!QUE3OMh<}E%lXXw}5yW{hCU_6lp3r z?3Mq#K(tW3dQ>h=%YV;81N~MTm_rG=6EMn%wLRahi>O|$hvYlN$N5NK16)^<^|1Q+ zdC}Lg7bxtHMMg@cSRss$`*G^Aa5{B*bc@#LFN{qJEI5dhU*resRyULA*F05@Il;I0 z7NYI%;|!9+q1Zeq7P!&VqyAdylI}|HNl>gC0#3Q4vfp;cLFJO$e4RD{qZQ@qLOVQ4 zkpI#z#!w~NW4=zuaBbBe_nB5EEht=Kzc`~jn#=-lXr`j2$R}Bxs(25?vBf}3H_y}K zNldixb3!s&I&h?l4Y0OLmd79A=%ILL!GvoZu9@y$llo>aK#6RMTJJt|u2RiEUj ztXxtPb?qJts;?YXhpMJ=r?BdC411y(je$QBv+O<90?6&>X=-sUhkFX87=z`bj9R8v z+0Du|nh2x*<`yHFPB8@)}jP41O zZ_g*YKfg1$v7@h&{C*T;i%q-{p}b4Pk%RK~n`F#a;Cg6GrorYrM*CCU@oTB<>HE%& z_5X0`(OCd5tJJV-v?(a1kUW!;JRL?Ep+(|&73fv6IK?AIKmI3#TZ^U_rQ`;)6s<)m zl(alN)3tBLEdFo+kFI%(Me6}!a&aYqp4&wfUvKSoX={7RU6D8iw`>ov4_?hye7 zj#wdsIrU+%v^w14SPeq*BNH@ z^iz$CysjwjGU54ns|zwTXuv`$`An|H-epgS-7%qvb5Gb@iB>A%@9u|{S$IO~tySW` ztn7)BnF*7WHRs+NWQq_Y#}P#a0)f6FCPhj zqlCO);7}p@`Io^|f46*EVMfxJRmsGBoi3(yiZ|}I3{xw?$VIC%ORi;VJCSP6k}i3{ zX)RpBYinNGG)(q_Hl{Q~k5)JL?6_|%Q}K`n>CaCzct4&qsECh7RV)u;(_W3C;Dqv4 zPIDz%Ze+kyj6}+6xal}TVG|r`vhsTP@5^ZI73WPykwj%doOcdc*37cY=;&h!?!&}I zw1^^uZ6J2EbPiZ#_$!yRfMRYqWf{1b_LPY)R-t8ZGSVWL1x>E?s_|mFMiOj?LuXu= z3?(--WHEV`PARZiAQ>$q5Om^}Wv2J5XvMX70(_Hei}3dySmY%FS#9%L^eEimFTF(? z48i#L5Q+Bscre{RvM+Y}Gj7aLq(d;B4Uu4wbRO{$Ed#R8r`C@p7?~w5iHDMQpLtuM zB^FS*+L3}d_J9kAM#Cjp5sIohJe-@@sHj4*7{-#?oRIq25&rZsUOyVHTZVn#|1>6% z)nU5xZ9Y-AjM>Z|ZKoK2DVrN8*!?-!EsxIgsqZFvf6SI#Wh%d8W9xhx_d$Zku|tK` z0^p5B$c6G1-_jGed}fule;fUnxUd>;A@$NailOk^bgJ0xtxybpa?%}(`g0|E8vQd^ z691ctiyI<3V(kg6q!imW_P8;QiHqAQ+>7z^1|aTN`~vMCn@czp;qVh4?~8TCcp%Zr z<0AR|Bf+*}(>cVgXpne2^E@RkBf)M?cd1W9R$ri+TO=64C%&Iz7tQ6BFVgpq5)6+B z#s0>$UtCyr=mmPYNP;i1orGcn(hDS%V*bl78|#%#W}(`qM-s)Wxs5JvO=RX-|H-+> z#Dm%B-Ux>^(QnBN%4TA7+;J{F!Yt)5mIw}MpG=@4&*Y82{X8@Y-rgK7dLTI5(YH~m z1&PcrpW?aCQoMVyfvlhK(5T&s&92=FnWH|}F*d8w;3gYL9}KJIDL#OzmX;Oyq{{DR z7;Nt#929n?J%1RfmB$_-6m!F4H-DQ*0gvPjuugop#c7t;h(~qv4Qen)mm>`t2v@NE zR1SAE-a1bOocnr!c1~?LZo+?9$yl*i#O>-Z5`_yUk44<(ofY;;85i3QhqD;MJ^|fT zG&|PBrb#Rm#Nz#lFNcVl&L8wPe2Rq)ABZCfK2<;_xe`~|udRed#D%V4P?P95??VIO z3byrbh6HID^Y|v z0^k3_#!ZP>#O-KF38UtPiCu}`P&QA@p{Vm?@v+mu#^!AxmKDO;9?N4kxC2xauc>h* z&M4BM90^`p1jkw|o7>nM(coT%+g!0La{`O4@%2!Yc=aW=QP-&ye1?H)B6zuCBYI#9 z?F@H&c*RO=huV>P3mGboUt)Ve@7pMDu3#H`4P)vDSdmz~g$qHmCC0~vki-=m?WZ`( zz#@m@iiVL%fV-gd^oVN~w-{tRFt1r__|IR9mKYGYC6;J*DDo{}FY5&?>nfV6*NO-K z>k7WBMC*uP>VvtzE4Zuj*dq9wA2M-1P6&b%l*f3<$Xn0BqQnx-HtIB30IQO*h{F;1 zPQz1o`bNGc7T8wo5bMovp^KxKX!n85lOxUA88?A}BMulq4?$$QDrSqel!dTP@}IH5 z&y;8jSt^|$kY75b_)a6qwu zyjLlg@JQCMIK=|nM%{)CxEeQFY%pZB_QVAN7YNaoeauwEsizq5nx-VCRhsw)J*HJ@<|a zwt3@&j=m+9V$W4L@XW~43dW5!dmQ6en0Q$?P=8#lX2)7#@Xi`{EMaOg)-GZ!d4zeg zEA~~Dp^#bo7`MC6q%xFWbHWQMaTC~93~PXzi9~cIZi96!#P(+GV(D#zEv#qk!s(aT zpII?|MckTdV;^L$Ruh=vm)PR180)$A#R`Wce7QJ8fg8XdV~J)LY+fPb4W2A`ENtYv zI9kAC{rO`oY&*aCDGe{}N!-T72FDQLe_SQM$EFtj8sCrb){4NZYRzNT-FXIIE!RAb zfo?H~)_LS?%KKh!1F^$F5J%+4IY3V99rMDRT$0fF`Er zkC9$(NVFY&#?g$$a(Zs(x0C?qHI;aC`#=f4&1-wkDYCd`)6ZLU`ePPzO~V?-bftH7 zIFxVAcx!Vqji-Y*RIxXHxb0@=*xk zVX(xe0u&HSOImv-ZB8V?ylqXcQmum7&bjeP85PeHWl%<)!sf=CZ}>UMJY(r)jrCNt z=VD{Kl3bX!1su9y#%e0sS1vQ#m1!g+>MNPHm8;)s`j{iFSnEL`iD0S?d%l5Uhik2- zjHxBKAxE~b8n15ff(rT;C0ZK)#O_a($9e(rCAyx(DPL3Pwpq*`(cZ=YwL->BVvd#k zDxaN`<#MYq1in9v{5c5@H4jXu?kVI_?=)p|Ulvdw483<;{fFp9X7fnOg~lP)Tda@? zG7$i8?y;E)K9PH&ZQ`{NbZ6a|O}_M-Y1}U714BZj-YmIQ8Ex$N3!5n4JP97bvox{X zIuZk*xbSMOSM)GJ784K7*y~JUeVW@??k6AaplR1M^Tfx$j7v&1@ia$j7R@SuZwi$u zAQy_U!&DIGRcN*vqPbMz*VLywhvM2x#%&f|aLfa<@EuCt&0PByjeGW+nW*t%=N6Q? ztdsr*!bQDYN5NGe(6pnAjX=eFF%m+EK5#<>-MuaqQ#bZB7({!5!QxHT4HTJAE;B<^ z!Mi3f=i=0&A-~S2kOYfJ0i3r=YkF&pRvA1t(1G72IEv##C^nDnDd{N5{|;+wOyqD7 zS+W|6jfKDOcbH7K4oZK!v%ke+ZBx+oVcvSOz*p4DBa68r-yX60F8n;7GtB(}X4rCbA7$tw z!72l_>)=on@z`n7KAKcpg1P;ZlK%!@zQ+tejRuGF{9{D1n}y`{5h$RbWvLoYWvj>+ zI3R}v1ITD~g;@eSgi*11=S0c1>)K}ISTIiedWKV{_7d9`P0$sm$GO6(i1DA`={{k! zcDp2QJJgBYAS8@79+zO-YEJN%#bM-gT7n_36Cyr!SQAE@uS)P$9*LYKcOMp1)JT;5 zspY7yMk0YI?D9{9AJv#xo9gsAXYArY7UiCw2=dksg4f-qm&oD=NPvKvD(;sQL*W{Y z1Wp!qi82(GU{#p3aaeDu45R*~U!v;m@(65D6Kux-aj&qf;}Xpr5C<%-5vQ}&F42}u zl6V`AKrtG0K#yDyB|=I5XxK|*2+BB)eduVgh2AP#@(jJ+n#K&${xXtq8i;U+g3bq# z?GrF>ho|T1 zd8*{*-P`lTSR$+@5GSI*q8zuE#^Hs7y|<^4Niz9}V%I zS%4m3@p}bA=G382bj~-knQQn?{Lp)@)| zg6)B73E0*|0#DBoN?8X=u!GeVqO}3r?MPtd&{Yw`oNvW&5-;~=93{NGp0c%wFjgs} zI>8MWhSQpM@~Iq@JrRvl?SkFtML1;{EWt=3aer(evz1m&#gC6p=q|x-3>Mh@+)+%q zUm3K4I=e~mMpYF{vh^i@RTW>C2z72EX|94!JMgY8P3%{U%AWk}yk*%36?y_iZ9tvL6;M`IN9MWj}497xA2$VPMvkKb`J^g!qRl>K%F z^W&Mt#y1t`?~(cZfVa1{tH64?-AyWHcba&kEMA#yX*0V-Q0c1@Y%eUN22_lp;}@)_ z{Xr58bwqVK2GPFaHt~=K5p?XV1h3+p6qRWu!XW^>-$kFJ@8dI=e!F$|J;LBjID-Gz zqhZ<7ec#h`FT=dz*kq(&$wlWOZUhN7jT-NvZXYFa6j9*|-t+He4MIef-nEL$TTaE(}U z>lun$)!^OKV4(z$SE4bKnB%8^LesxqNi)7*fYD!BZ6w(8n_u}IDgg^9WT#U!(Hz9% zG|+uPe9pxMwDY_KN3k#>2pXc4i(~4+g%s9Lra^$Rc|8QiSH#-%a{I~cVg~cg`!~)P ztUba6HZhW@<%PSHpka3NXU||GGdn>zRLQMrNA_)@nfGNl2)+Q7K_rN4O8Rc0(Z}Kh z(_yx`l+AnP7MdKBM0UY;5+8qd3vGHPv3GDIDzan-hOmzq5>z8Pcvq;i5$%J5og6tf zr`deUpGEGLP;HC0nd^WQZD*Ewbgr6nr-qQeDCVI2bP>O+EMhL{IV2cGQ%JRnFVg+- z*TLWCQ#Ch9d>fZ4(cSZdGu*kcBKAhD#icJhiYn$opfTT#Pw}4gqrxxB6Kvi{g-aV$ z`cR~4*^+N5P3Zz=!eU26uP7RmGl+x+=p7U=a>@R4pnzg{NUx?cl{^lng`7w-5OUarn`xa1R=`@YE-gs+X z2$^Rmm(rMN5^UGh^?Zr`4bk!N`4U7SxLkoWE4W~HEb?%jA4 z6jgBpTTK^x-+gjDqTmAch2FM9A%xWzQtal(@jC`cup7R>!A~OaJ_<i?K(KWik2Z{T+gh;Ud4#H=7OJI!M?>Vbo)X9#O>(arB5;(<6kU!Plb6uDm(t&xSZ|Tv!O;5%XS?P|vV(Z#+tGoi41VQcUAltaxePU>jF3vzELw!XmX{8&|L$4*T6We?&^Tf@Qq` z+bAX@(#aLfUrOT77+c=}f4hQh(K6%0KjZSYgXt@@v1Xs(vpD^Y!i2ay+UVFv0)N?f@`WM zJ^c7QZ~q2wwY}|Lr9%#0N|48&99CK|J~#8}K?CoUqDdvI8}XD&?(=ne6}GXqkslw) zuy{I^XmQMV?G}SQ6)t%Xk7mfaiI#UBM%`$_vTAU^EbPl+`>6o^!rL;S$238vHVM^#K}NJA*m>Hiy7CLwt^%+61f@$*E*FH(U%$<5v61{k+hWonC7vLi%m`DY+K-6kiRtyyp9 z(m*_P2r8H^{dw8Q2T#~XH1g2#{5pB_WAXlXmKpQy$qcS3#kBOu#r(RD5%=h2v1ZPP zZuf9R>p2i`LoSb{NA+berBjN%M?~{$qxnIPQ_npzRhEkJZ=_1a8lpuNLZqfklxR{0 zv$ms)F`gB3t{e!kZ$3ZrO7m1ii|ua#5vN1F{Ah4#3BJS<#aTH})3x=UI~=@eR33w1 zRNfuqt&d;agTm{Vq6)eA88c-T-sS0~b$8=y^X>4XD=SaZvdNaa^yTUu^Z2e+Hcsb*gpYvMKp z#Nt<Zpnk6@OPwO%h;MCe&V-1#vm2QJlJz;L;S!*5wy1bYf%;LN3<8AW*xzx zSmWGK`W_$oJl15^Mql(;d{pXPOl4>~7_~Eun#zAY?fdeI3Y;!%@a;f( z@Z+`I{}5a;@lo;_#Nb4S}vSi!KpZOMRnMY z

~Voag_ioTIE-tZjry*ard1S13&-!!uL=?g7r1j5mgim0&vmU4HcEkC%cs=n3J) zhJjw_FG8mIQI}g1Y>U)qEDk%Cl?-?Rb{N#7dF{iHX3YD~BbTglj8U~kydCE)2F1g( z+S7*TMJRaBO+#o3lADnMVTi|^jjxkYDXDqw@)2W$GHOTWqBVg_4&=B>;ZKr@MN7O= zN0i*|%oabiW$9@f_)%C5x$baJP3}v8?soWlZQ`30b@(@PW}6yfwMNY5%A=zh1lwe~ zH=cgnlSwp-Z7#Z=R`B;RwvDbNU%hIgF;j`gKceNO{S5)a#{^KFulvROQ}4%eXno8i z$8Q}+KNiZNCYVJN4&}S9-db8{r?ByxP!-r?LbMl%)K>_Zur!nCuVr!*mo4=obGRD2~4g9unN{-cAGvujSnT-D8U0+7@_xc zwC`DAHI<HrK^xU6AXXpMIdeTSV#CxsK);m z?NFuulw|lu!ox~0*x$~37DD`lTL(~wQqte;RWLafQ@oGtzGnofU>XjiF5!!{jahEf z_$^t?u+Z^FJPrpjgU-SZ6>l2Shi9EdZ!by3+!WEFNL9RO!FslC7G-WI6S$R%wHz2! z62N~+j=rX0dM5EJKUm`uN#qOc)Bs0)nLraC$Sn!0IlRR{RS&)_fRl=~c|L`Cvro)2 zRBs-mM1{~w@99JVm0E~c<67e-YU&x(mQx6Jg=HW^SC@U{;Mu7>p0Zi2Yam|BcOv=atxWs;Pdk6GA_EM>Z?xv+jr3b- zkPv1RGUSP#=PDILLZiOEWK_#VI71f45eOb`xyp zan9IfZ=7$K!+wDxH>~`N51hc(ss3SE^bptBD`! z{GcNB6EvLX#@FN-I)Ns&KPeoVhm9r5-flLp7K+N`y4|T9cMC#t2UOP3?f#XF{pH#=umqAdmSr_9RoN#2iMZd@ru zb7$g0w4rEt1WRQWJY3#YmA%QBa|p0{xEzlQ<7JO=j#=|&QNZ`3v?O@~_dHNH_A#b( zV$;v7kXd%rDdUC0-V2?$Jn<`x@9m_6EiLl-bjO&Y;@k&D-32<$?!BhS-kD9zYOuXo z9ElQhmhdmv@(TT*lWA611}O-YD@+k3nhWtfl~~D;lz9K;(yRy)57Q zUn$pB`QoW4w;1A8d6bc6`Mpvr+S_27uo|Y_;)2~+fc`i5F9yr4H^0QL`AclOom&&d;V=YQ;)=~76muX; zxXJahT*3CfTy<4!huV_=AK(LsbN-dx|1e80M*kmr^XrcOm)^g&nyq(Pgm@RsOI#JR zCFhs<{lecTRJ6?hrN3{fX!*6?Ht(lUc^o+Wk~e;PT<0IVifwaSw0anmn*68L9AGXk z{w4AM16&x$|BaoV!T*jmRkyTjXx~@FHR^sIYMdFmf}L&;l7)J2ahy?wYZx-L1%}w< z?w#n%(LX3f?~O(uHOz^JLwX`)ruW`JmD_xzquIxa$0$Wpl#pZCx`;pyKNd*+cFV3O zf+xA6o^M0T!UL(771?kjg{1gI1Ll2qE|9Ne8MR1uiIz0Md#VyySB(COwRRLWwIaJj zn=$eoooiP~fSI8zc0ni}PvFq@qi<2(ni33wL?a51Xqn+q|3Wt@!Gnqd8&+1TYuYDZ zA6tKu^rR9yN)c}f(R!e2UoZZiHeQS)+H#DKD-klav)`gI?h@OMwV}YqTg0txRo_#E z8xq@-8xApsP6l=eYQD1h+Zm?~e*I6}qw@28)V);_Gu`INMoJz-<8--ic)cZa4qYhL zmpe@{bs&r~{9Dw#B`O9RKQ`S>VV_3|-e<}5kDt7$S!Ov&0MuNAdAP zj~#q#N**U+znOfpGF%u+Srb>IW*7Gx)0NA%u}icz%t5>HaU{B%#8v&_a z;1n8fEYYZ#`Iw3pA4E$e$F<%i$0jP)@++mjHr@z`)!p-+U`x9Lh2xO;% z)(C;zbx$ZI+%LiQa;rD9#kHEYt#1&$93pq-VAF|ki8l}hSSeOan`Gc6AmaFnUQv89 z3XZ4e;oE6My@Ad(O@OSl2xQ~ncpBVd8{sG6E-0Ieo#u!Jt#$uNbT6G;Zp9Pn!sd9` zPyc{8SN(a{^JBzJQoJsECg-;lt@blNx;;<6yb&IGjj!v&-*{+RyFJyPwz&lhvmmuZ z3g%?eAQx0PYvj=hfl?T>YCf4n z@7GDQ1SzwKi}t}Us?!X58|1j@93^AOXd`RZr;ddk;-?o1a0JF_!26u&N3Yx3cU$C~ z=(Rm%QZ|pwTv^PhL|sdRMFT-Ud%V7sp`V-+^;Smp;i9SeBUKc3-BvvfHwFvd;>ppi zY#Y7K^hq>7e5!B>|1C+LfVuZVvG`9%(Y0Lx0-RTM*h($U?y#rUUgFrA#Q8lx3IV5= z3~NrCLWpudYcJj^EhHCL4MdWcxI3I`K0Rz_iGWlB;wu(Z`|ap(DsxYQd#eV#F<)eL zhD%hm-02bF7^nQ56#38^gz}H;LdDX1(!SsY#$5&Oh>@s?2&NiAG&R{tx;Xfw@hvJw z?;RLEA0bN?w|Pfl3G#jAHs^U=tLs(X%fmi(XRA(dayt_NwIIM~X_7=(%?C_m8 zxBJK?ZUmDczu(RhkNK;qdJ_r8(+dKrDx4LH?%ucf8XB`yg0YQ2WJxP7-P#jZ#rmX) z@4T_!5e0iV6l*y;shQ{WAL0i}qFFRCdv9-dx$iR$q`)3>!sW(wP0XNQA@wo!(-roN zGJ}k7j4h&NGwAkV4pzbu)9GByyVuOE%34cRWzh zG7N>G4hx{Y>)4zES`%%!Fvqy5c-KWt&cc|Pdf*Z&H&wpw1HF!5{T3g_vwQWuX_ru= zt`f`(tdjSi7{dA>kQMI-h0i<0FWAPG{G)Fln%J^D{W!Y9D4=Sp^OB!$W>Gi)Yt&@e zBcmb;JdQ&MQvTIvUNs&4mRfpbHT|#uY2Xndag>EiKB0mXXx5i@MJ*)nYKR=W)_x%^D0)DE z;b38zsnBUXa_sflh19jJ1pnJ3+T9ro$-lY;FI4_61;ze^VyZf%J2Ktzw?a>fhgVv& zV){Ry8dH={VS15eqmfq`wE`q4;06J2Eu^K39ur=Bfp_Kzvy4F+6oc{ycp2(!Cb5D98<<0@d0rr@viWOlIUM2U|C!}3K$-2vt2xbw8 z=&-dQ6pCx@7tox}G5@)-!Gen_-5)%Q}Bn4KD+ zCK}N`2qs>w;U783x0lWhl^Z$i90O~4oNu|89!!4lxBWknA5}pnq7Lt zv)XsTyV=2cbm@z%4sMEM3w*%FdhMSC^Jq>L32wltB_g#hEFfMKr(!Mtw1(o*HqmKj zwivy8x6ZgkdIE-pG+3`?~@BEaSrsYM4aKoriK_LcJ zf11c$ydwls?dGDSi=ifPeKNBHl`uQs@-^>VtMAaA2-!YrpQO|*_%@Pd{ zf3U89T0n!^%hgyFiCS}9gO_4!d(SMOh#~T>;$oIu=-mTk#GPg(`5)<*IC`t%aVmo$+uiY-a(O=bDbR``(+d-UgR(3-`M#WU|6eW~0hd7tR6 z%FsM;=w5KBe>9o-`EX&g(W_7+sZxv|l;Uli=r6_=SVC*VWH{K-VoRn?o4bT&9FSmJ zZzuR?{Uualy#z;b;K!*#`Z1<{5Uo$4X}cy~ET30gc_IwT`-+{W@ zF{Cyf&mTYy-sUlQ6-FLCkO4JNTN-xqr297msC1%4?gq+5?4xVzMCQuHp62N3C!N2P z=e(qU?OpV&hb5lUz}ws6Op)h&52TCnNmy7mn+ z;rZXU z&30}%>H^2}E6Oe1H~B&;+Cye2e<_VB3P+F|EB5H}0!q+Kf;n2eM66ZiBBRfR_-Zs5 zo~^O-E)(`LSgkRJhVK|e3D(Qe;5kRCOn+qlQqD2E8BG1`vLmA^T(Gb_>KEuw?E{8V z-WyI!Jy7PL3KmCXfMgjUk<@f4U#P8Ip4nzVTY2BG+fYlXey zYj@+C;=Ps8^~#X1euF{ubNOb%yZ-QOi%7v>u2jlsu4^#S87)T`QLn>-YD;+6pZ{k`9HA%(ltzYz z@n3p^le5UJgB-`$($XcD!L}yLWi`^Xy?k z{4~=PqCrmW3MY}Ju&1n<;e6^&fW_%isfCm*_zI0E(bBo8zzM$W=}n6=$^JYqJ5)&i z6GlCS)AUmdXkDi(V&cJ1e9IsZKZYab84*KX(C~&C%}Ysl7#mbDrGl#uLa`Tl)>7^R zx9CoI9ivAq#e@Kdo{S-{k#a7`?{u@;hzG$t?je#h1O>4iIJh3UImbSg;BT%n zqPWiX*yaRX#jos&;_-sHSmjaIntk)3J>GI*!LB?#K{E<}Hrwt)nd?dLRSpMXmQkQQ z8YEOWJYU<-aAV%0?D1>L_;-46&0k@Ysd%G6;W0Lvv|3dZ#KstBw>=(URhJn8W_19WMm(V!lML?PyQS{ELz2#5o2p7|0_bEHWLTnD4|i8b07M zor~D&e4H>E_RNdPNi*6^?J6WRdk<`7jA54uv%~}TEmY)ZLwx9VcA0{3iP%w76fu16 zKV5PLuI|ioNW;fSg!e)Vmt+B3@zYK!4bTMhy<2%a2HVnZjIEmBD6eyd{ch$A=x7eH zWv%v!E>ZIC=}l~C=&U5)3A5lAkhDGb+ESL+vg^T}A>pwXOjj!chboWl{82%?=~v9C z_@{Kdvl_$w2os`#)W44=Y}7a{mFhey7x>|5rBW~i&RPWC zNwfLV{_-`+zwaPpj0*Hdu-Zd3|BJk*(USE$M0K#AY)yea(2H%Tb+0p)a;KNo0b4JH zQJrEb;vshr8ul|DPVkN0r)cZY1ZMxt>qHA3XKA4Jx1BVnu$;}Yf93q!iQVe>PWqfl zf|cOfG!#UBB{3^Dc^7TzA;EZUi!cjbc7lq_?Y@k})) zWiggSpgc9NIgk7bO3`q~L*zv#xMU_yZjI(rzdQ2j6qN51`QMyu2Y#|lD z!Q5NV2khayc}u_L1{9`sRP2nDe7Br_&I((?LI9VvZS1$VibJNF{w2{weF3`gv&1PEB=JSO2QIx~He7 zJyiGYv~cRwSH2CQSCxn?ERCUV~+{c=<&x!*!-zCHi&NbgEwKj>*Rs`lM9s zV7!%U@1hR-;(B6j?P12!Ayr0rrfwK1YcJx^;+_iru}4(UGMr^}$)^;WHrqv~561O0 zN%z4luPv0fkOq5e!h2m>7Q++wemakQlbH*b;6E+;G9I!Y_YI{BS&lmC{k8;EW&mW33@~f&?am!Pp80tm{s6D@2|uik0wz7+zy1XWn(ZHGa@8 z^;8jFPdwjURMP?VtjQNazb;Z_{!^*t0B}A8WLX= z7O5F5YOrX|qA9E;rkQl5ha%f(m;-Ju+Ax+(nNID_tG7$-&|)~+BxK*w07w4{&imOO zS?>9iJ=Hk>4r<{o{?7ije)Xrir)wj+41JD9Hf(7Pnm-{2-Q@{aZSHSrSXo3pRgmpS zIGpO2xGi4Mmi#xY>i2?Xe+nB;>6+gc@^3m`djJ&jG}@V958q0TKX>G9kgXM!y|1=$ z;^RktUQt^pzNIlm<6OAUK{xta8l7V8G#5y3GA$2#ZdW3VC4D-qrs}tz)7BpUm_;@B z2_6N#88H-guknl$Z81HVu65HU=!o`b5q_^GyK>k#M5hTz&1{@IH>zLKQT&~0uQ3QZ z_f7m=MTOLJ=|iD$b6Fm zr|*?0zqxYd&krClDT+*swk5$pd4pSkTok>*a|7P~Qaqf-R8b~)i2a~njO=R$Q6p>| zp%FzIo4X)-gEw{lM@V%9y)h55qOa(@TUXWn5C1T#Bhyg4Ijfg@l*U?I1P3@w)U|I! z1sc8OTiX8T3@4_f&0yxnZG=&@a6&g;BBA}S@Ek?X{2ihWo;d)INO(Uc|gvSz)m+revSO>VVu=PNckDLgZr$5EJ^ zR!hXpduCg$wJU-Pv?I;f%XDr}N>9P+b4+xo40GsD*KV|K!jz2^@`svPVAx}VVKn9+ z-bU!Q)?aR>1>02sZi~h{&DGKR-hzEL(a7Rz+QOo$#k+Wb>~q9G5f?U5^+?5ybAqw+ z#kd9YTBobR6`jN;zlFO0h1Pu2GRCHi-_Awt6>}eM=-#KjVhB8adN_|NVZ~@>jP!Ki zL`5?$>s)|58w#t*t#|#rZUi+fuL6_f8pv{1#~8lF-qepd(JpPkJkjK5K*;o*DB-r^ z=3+-A{j225a`gCRXWF}OvAJ7!i4ZG5sncT5q&0i4Q;(JV&6JwF4U+djFpwsAJC(i| zR~}(udz)bGJ`3T+_aR+Jm++yL->CTflEzwRAbPBX5-)k?UNx@gR)g=&6wECH^fL(E z2IK7R0)y$!NM*oF^=ObB7%mTgt_^s|!`{xfk+8GP^%Pp@H9CS$C7mYYHzv8#ruvK{ z?=gm!aqf0F?VdbU$mmRo*LoseYl9m6ll1pU#B0<2`+V zm7FKk_~+hKw3=FP!RH4hIA{bEZsvRJ^Vfnht#tR$_I(!BehvS#vSLem+d3abW?OBt zpH>YMd2xW2E8elK^zF>+v?BX5^P%Pz@_GcTof52~1w~)T`gwodV?v(7;=8(~8A#nn zE0?>CeUTV2KUU@AeE252Zj9dvSdG$Sae#|s&`{;N9jNcuYW&8QSW#*VbjdKZ&OeD# zbD&LQ{FPefzm2HV=5Fp}+K3w2qzHw@sp&SJcWs zj%Sk&#dqYrSh9BQ+H-|UzKSRB%_Y*Jy+o6*q475-4xzaH)FRe6zTa;Vi%MLjwkhL# zvJLc_Nwo<&s?0bezNdWA95Uf5#)XU1bjS%ZO{AlJ)g$UtEYR!d-Joxl?!X_-ZKY}w z{fYeKm;t`DBaW*s253G_)o>-M*^6@QRbBF0JFbc>qj3_V z>B6&Rsc7bBZgJR2AwXOH=h`#2AMFiOM#O)s$YTkDj+*Fit(K6R)g@LGBl;Fn4Z~<) zZtFp3ld5NPe88rH!&PVv2MOBVVOs4)?qeFMsM>gnIeNv4O=;TfJQU}_5Hl<~T80hg zx1iGPB^>pcUAJ4fB^w?)~SrFxZ!Opcw%iG^cnN!TfB{ba6LT&u;Ydq}IL^xdNy z@gsbGBmbAT}T3Fzcl$FTl zqT2ji$o4I_N|kh;`(J@W?2YXE1s$knDdlp{YtQsOe04Dxnl^(%saL!C`~sC^b9)2= zZr8gbAPN>yI%Q@B}2{(ah`A`gu_mR4*fmnC|WvE zZGX|;-YMHC)HZ_NtW&i zGlBa@-yXxvVwMhyZi0IWT%(>@blN|{tgNl%4bKIog~sFB^Jv=rhf+9Ps&qNN-m6l# zE_^yfIYkD8Y=)e`K=59H0MnO@q!R5E`8;0~Gr)3{D;osxPf}%5m_dlYQh7tVQYyb8 z?e)rm+}aEh&8i{&Eoe!>>NEH}Kz=(V!4P^hS`CtO^dRZfsDT{s%4YW*jR$_AHpHk0 z4?=xf($uB-C{E}D=X-N)7)-`8Aa%#QYqaNDYB_P|7(YJq8%r|wA4h*is2MZ{Xcz%I)JCb*+w}L9~xK3rWxpLs!mkvdK zJGX+$HwpG}n;tT)%Q#Ec*2-hBz#|uLw;nsFWeY`4!>Sgf;&f$iEW|BZ z^2yeDQ$9xusow$Ok2tpB6QXuepB#xi3F|B{-!oGEbpABvdMYznJ$$p9&F2w*0`j{7 z>nZ0cMb;`e)^G*hF>uSN>#e5?I~DmQhjY?n8BljO?h^0UBTv!MX9+#-pir}suKODd z{9zb@?-g1=X>Y6kh98FD#(e~2eR#w$o~0X42i_}kI}L~ISjQOyZXLHwj#0)vM2}-+;Wd(5xmvv zLaYmPU?T7HU}qzP#a)1esj^88#E4W?d*4uwY|YWed?LRslpWXI3-vQRJCCFyu-+Gxta5Ia5mP9fOk=M z!}noSCYf3Z=A$YU6vOz3D?MDU?vlhgcDk!-xb#8vypHEqGLf#>e9mS~b{S z!vai12;uv-Q>tUhJv9e5FgG(=#4};&_VOufd~R%t!Ib>dBg?~#0%{NKqvV>T@^?UUnT zBZ^D{@74LlY$~4aHknh#nXgzhfrF^!8brJCasqvmOfBB&W*ZNnk!di~r9u4d(od(a zf-Lr(5|Z=8g9C_06KL}-Wkmc&ACZNe+eKx1 z#Pr;|{lILfT@Q}dGBTsx;YqI0w4CWZ)v~QO^J|?i0nGBVXs#NUS5ev4H)Xx$3s$O_ zK^GRnB`cRrruU;mi4~BKahW38IPObtLUd@o=`W~Y>(rhK`&XOxo$Dr<5ucy7WFS zCR3RKiu_)iY)$^*h;b0Ul|!X3=q3J%)7?`%Ee-m7~oCK{FqNls4*Q~hH z>0X{I6z4-F*?24MUI^}@5Wi5B0aUx9vN7HiuIg?C?#IY<;gx>gW0ur~CkOWyWYZR} zrvl4g5k{gI+@WG6e}S^?tnT$dn~$N5hks(b9~%#EEH)oDm2d~a_SqZK97 z(}HV_%&OclzgcXLQ=`Z;>X6K+Vljj5AylQyTa2RZwH5g*jTT299hkhXR?|I4uW>o# z<#S5VlC9|pFARCL893x@Q%2LI+^X>JG>{##c0Exhnhv>dugLwty{H)sp zMH+U7?Z^AURvoT$TFhM{!`uV>g{Ny#KI+CRA*xH#GQl+r1F3IfPo-X?Ov6{by?_oa zdEPqJopXZcFt^bOS;)-6bg9sA(fMoUmZ4@JD9he+4VpX3Vor93mCJ>YuT!B`bUWsc z!i~s7rY)%v&hZf%POR9p&7bc1+KA%A<4uH>bV@sd>Ru~FVJ~JlPc)t8{5>R+Mj!f3 z_Rc-#9&@6->d@M)kr6a}t8%%G`GV9f+-m_iU3m4j&zxxQHUg6u7^SPtyiNCtr1k_o z``OII1}sqQ2A9?XWo%16mVRlV3b$dn3S;OBh=1hm7|LEvkyG*Q@~lSc{%j^CbcaSt zBJ)kD8Eewt`JnScAZeXP!CHM(I|5qsUDU{~b?y|YO>o}zX70i|0Pn%~x%i0M5M$u( z;xy?^dRm(4x+!OTfs?;B%Lmh-hWul1QNHXcJy$nuGWY5p%M8X+82uM#TSg zXr2*n{)U}OJ>IkASy;uc26?JdSw5zB`G=Wi@F%L#6qBAxESmRH#z{0U-*qb1WSkkM z$@2eG1TTm8Os7jPcazm7n{y~meb$=6?^zh$tQ{)SfMt{9_3)qcipf$;y@7bw(acRL zQ)4v>?$@JWZ&+UhrtsaTET_UjH)!>tf6SITtO-YqTmd1~H-nzj#ah`tM!s_<+m3%a zy=DjXUYtO_0+LvJhm6o?2GsC!(g+$@@}6idpN?{GL7%r#!^X{TDQ4re^1i_pmfUY7 zir>5`Rlln?L-JW*$}6Tp;f(<}-D8`Rna-l+2}qa-9%S|4=G6akU8%bl!$ErN8`M1o zI@bf$l?g}OM|6)l&C9l@6ZIQboHji_WNwY#?gO(oQH@5gWgNpBp3YQp1Y^Q=`nNF3 zutizP0G3=rs`$WpU(~H72i7?BRfl!Hu>t>$tTCcoAt$fBg>s#IOy7S!#FFNys<|R( zX7b+7ZbCmATTwol6Y~Z#VWDZFuHdaO3 z7@r%<2N@vScW@*%aH~BgctcR?-UXLi4_W2nPifA$O^RRO;29l6)57&S}`K0-CDFk89GZSDRF8|lsgt#rRAfkR0U-Q z2f4?1p8^YwxTPx!_fOJm2@y^G3q1NJZmt@j2QZ^ICh+JRBikEqeR-VU!Q3T&vpL-* zJ$eSa`)IW|9Fr=1PuJgMp=+G~+&%IDj4%)$Il}0^}F1Ddtg$vWYd56qx zdVpTV<$Ccdmg`yOjkLV)-%%G9M85ABQQj42=g}WMlmXAuE?3Bs9htI$esTRxEys>A zH|fE`A+O9ag)&E~m727c^w+eJQQ;7TE(!PV zZ`3AL{>qFlHpmdEUuW#@RkSw^{f+YaP#L9TucIj`MpB;wYu!h*3GRbboq?9$ZndO>oeEH= ztcRRgjT?0Yp{5iUf29qtVtWR>u3~P~9m>&^S2gigqG2?yp~4z}`C4`835*6ep%z_u zwPy3pvl=b$*#uRWlrJ@v1{}Rf1L}=1XCpL5ijBZter4qoShD5XLmh6;F@K8g*WAzM zQ<9+bazr`4#Nh{rmMcT)^|wm2c3h=n22!}M%f)`%|6y68FLV33T#Zy1Q48I$#6Zgk z_U`tZZ^ydcHSzr&N&FbyYsd=%({o*<+$H0Aa0pTyMxe|?Jy2LN&b7^+%U#i{neG)rupYcuGXx0o|G z_#`3!7b8)7S{F;rEYL~f_A0>b?e+&Un4}-K0)?gh&7gqBIqp+Q*Nv3}mRFhJ0hIfk zy`pP#wZZh=Qsr`au|fek8?(BSU^LJ}&92LP+#KJjlmZHqpBbqgRg7PdLXNC7ZO`c2+q%YDxP zm9WOC+y9s7@(t?lfx3MY-jkPMt~=byaFDdt?lnH^o~8Zy6!c{r)Ez#iJC#`0Te>8^ z?viwX_)!!-Y`|dJ-$?Bd?Zfe!3>Nhu|9mVBXl`tNwIBS+h?Ndi&HrK`c@C)qx9le# zm$??BFW{=V+wNa#_Say7%qn%^gABsIy($Nl#L+hP13NecCeM^m`t`W#68j6@>+pn2 z*ze?yq}9VO%PcnP2@S*Hk@I!!LB%U7`$l<9z|gCvfJ}>*sY6iptL96$lEC~xl8=4wi-@vR$f zk4HHr`D18g9O_QLvpXIBL2aJ7t0hkcjYzrLh?dTJ>9*f5acV5JJ)Bx~EJu^4zBGAf zxJoYc<#|M!^E1AsVUttKgv+IE%!|&-fl>NzULYTMLoH(6XVJvQoWix%PW0&GIP8~L z4Jm|dUg?pvqR)5LU%fyxDu$SF9s-9LP`7ZuikwI11}iY}(zO~(K0@6>)(u-q zeN3)ejYLWUmV5!!EQ`V^5FIwAb5WTM(X-?K1>X5_t zO{93s&xlX8pKv+k{Lez^;UzUaFTl|CgQ70{-&!lpqR}1p4^txf6M$F6JMdbJzjv@g z$5Xq)Di~bPeI#K`6B}!e6zZ2?h_{G)y;J>N?o#b?-@%A@rWq4Xmf8F-K8_ zR%!zayIil@90&dUfqBOGQ6p*18)e@Bswj@&5Rakom+yF@S59$1W}W->nASNQSDENg z)Q;<8Sg3Qxg43KjUyP$#OobM1?YQ2-*klWe zZuih+KRRf64WZ9KH*<&fp%qgVTCQrej6!GeSDl>UebR~z?pYcwJr9%LckS-5xIUoq zGs=#lE)&%_R)-6fO(Yl4^ELbp7*;LSo&w_<7_e_r!v|lf{8^-4VWrA?>L!dtc`)8+ zIK0R?-`zwjRf;@;E?M($BO1~)57ixU-Q=wXDh))#aDKfpBK@!Az7)@cec0u-;|fKW z9EE&Y^N*xaf1DAIyoOCsCiepw7e+pC*AF^I)k5QWj>WBHF41$~P&OCiS8xybX)t{m zc3il#F_RNg6@uiS1(OJP7?QWl*@sS!P+)>unt)V=)nepE-Tp~5w-G_nN!0C|uCvx8 zPIWHov7~zXnQr@wGZWCTQOH_YHE40sAj&Xzv&qYKD)IAWUXbK^TwCg{q8W5ej>Dg? z+buV>$CJnNp&?XmhBBfy3?`@DOVFziPp%^O;%hPzRnlOphLxfp(W_}EO`#`C)Q+US zER2jq>p8|yxcP6bi2zmF^&!tGKdqJfESPY=XzevX`6DA${*q!i8vH zJ#=0OaL^z5IROfnMO3!jgqMm)2?F(;PpP)ERv zFT!X*PSv3wxNyJFLKhzQ+9~JzL=JbS?=BFX`qG1KKbtkyp24k*=dz5_l{-?L`pU*4 zSgC@wQRqk>>0J~#1*=*l59cPhu5;*Ui}qn%CG(1gLvNT@AFMOAc;A%DUmj1l53e*AY02&S zjiXIAQiW8n32%VmbFzNxYmJxBq5^-ZH-fS<0>#}ohd#sLV6=C5o#KT1JFT@ja4UV_ zU|J>UMAJtWp`!O6nuRc+M@{eh+@vnGQ+w{`Cg-Vxb4&47MQFjt$x-)8I^<=qThsOC zN5qIQClV+gVYL`BAeuJ8XW?gL1eO5&9@uX|#Sg2lqbHfvIVN@tERWH7l=d1iCr+V% z#~hTx(f=ZQTJ&2gY#dD7b3LUWuef9J-_oJ;@k4&C37tYu!xZ;>#mh8w^;fv3S)BFM zyshGf(xmXlP%3|L-s?46PN4|{6gT=)ik!ng4E~zEVRVW$h33^$ zdxv}jkGN0h{tkw}YzHuntkId?B`Hqv%Kql}1+l4cPq~`yra?91dA20!X`a(P#-9V> zO`z~Ur$dI*ox^)+UV>%jH&6spE{x6X;eV>MSV?87T%~Ox-OcdmV7j_!6t&&1=Kh~l zs!CA#rfs9>={`lyqC<-yS!aT7x@7r36P$Tzfw2XgUTju_LX0Y&LFWUX-7%Z;9?fEX|6lQG* zcQZ6`p4Oq3NHl7@y$;`%UoDV9Mqr<7;i$2z~ghI*MLnBkg4B0=xhC?b=({kvqar`jzQrMH zAV0}J-_7rns)dj4=6)5|#Lw z4i7@`Ouf5|qn&&<6js3FYjQy-bvKkcxXV6zGVnE3n%c|!m5oS3=ouJBq@b#4$I{DP zYO59cTReVlAf7jrh8Sz|kEJ4~6!{mvApMQmuJIKzU!<1d3(}#pU|xlwS>JS5cgaHT zJJ(@oR=2ywnn>rvEPHumSDwA|@w(K1p(RHcXNo?&x2r#Z_NF`}NbStE`6*R%V>fdb zji&36sta7t{LT}p+)sSe3D06LX!9=@izQwSM--8)Jr&QS7Hezp{W2QdfIjaa(>8|p zL$TMw4-U&Ox27-O^$^kUHj-$~QHE}JjC{-8d<>ULY%L+iUSy@LCN%N6ML#UR>TIUK zRu!WJvVN%fqEG=xRf+D`4T+ak}ovG+H z-7xGxV=L^PV|QjjvxXxnh7&1YiUwrx&q+fLqj*E;7W5gnG1{6C#4lsD3oJqaw28PpONy zBI{`J`+5PeUkEy!BWz9MXkd}$-9mUFn&)3(_ZpD@z!6)+;urS)YP*Twn}yJ^=z|)z zIc~*`3D|t`QKH?n58E&nw6H!^2N)RL`MX*!D1mMCSfOqVJY&Cuw5mc+%=K;8&t_T$ zWi2$yrbg@>BTh=@;GI_-m{} zwo2b-;LuH!CmHCiNxz6O*B}R_jE23z6&aH!TlO&j7?k!1?YHQu^U>Gi(9h(`Yr_X| z(1$Le23Ljh4M(Qj0Duf(DrOzgZ+_>x*k3pc-oln%(R>k2{VV7!yK4NR@J|>OKxhB8 zFp|mxwcSQovYEZn2rhk@6ccB^RY`VyL>aaK#hsR4i8WAmY%G@DTNh+Vhxn(w0>k)V zMQdfgZMJ0IWZQXQ@G?Tc7rIhUmL-_lk9z|i5_4>UE%WY(q3}%yD~fq_qNFTTw9SHo zM%aATVTaWv*ML=d*XxI=6JH%Mn|u1o%a;#LWI|qvrX}qWMqy;!Zj(nC&+(?0^K`D{ z7ntM2PmFeJb4s~!0{RUk%1Fl01XB@8I;!$f-C}0LV*0kJFvq2mUA$5$E3`5B*7v)8vUV9WDJI9K`1;Rpe$93o>NK*K}p*H!zIfR!AO|yVsn(^<$={5aL2W}dRT51fM^&i8 zJ>D9Vdh(F}a?$O_w6gong{dnNElkRv!-<=i6tk`HXOGNyqxXc>$2G=goBpBoion-FcKzHxM42sYKi#_d&7B~GxgBCNXp$@+3?!X%=C`2f762O z`W=CD^b$ay)9y*KirvpiEwOtqua=*MxYwQdVXeh=0E_$?o_;n4hD~jY_!QRRCXv*~6KUX|zxboXK$g8g_ z>W9G2mwy?LI$GJ5piOs(g}KB>fv>*3kELA2VT%fwZ06`eT6ZbFtkf@ul4#_j$yOzq zk*p?}hvK}cl#aN(rX#dE`_GWvjxdSOv}Jyo*n?P(ml)eEl6$Tz2*#br@L&O%uWwa% zspX^s3@YIYv*}$IbALB_XD12@^qRkvy5RDe1$mcA9ys0-KquG66__Bh98#PMJ65jD z>SAt%9-oh~$;o1J_M`A-D<#U5^ZZ(Mi5X-3dioE$Jb090Y1bSaQtzMjs@Lwy%MQ%r z(bO0&(5ctNftMk)5`E;Z=0I+`-lx&cwh(c3%VyIQ*A-dX02Zb% zDWC}NIB#M+)s=K^9Tj3Do;42K%3nAAjZB*(GhOx%D}SFCeVyDCl1wV5HrhqH;y>`? zwd+Rd^%()}qQDE`O{aiGxR}>SY^OK^FjKKJic#jI&uU1X9jgzr(UFS3B+!UbkvvbMo$Gt9O}@%l7+z zJBu8;w0L-q--R4C)-mj=vPTB2JAubm;0u5Uv1Hm4ZJN~c0jZHUX!+~+GV60XFh;bD zIhH(Rc~97;kB#Ky{KZ-wtOVPvktzDmJ*lM=dXJO>7}^$yd3hcu<37@_!bs8GB#^MA zXU`fjW`MmMt$n=g)vZ@m!jILo3Q|hSzg)}zQc;*kk2tHTW5|sK6(pp0H@H23O%Bh# zWUi$&g)D-OY@)!*JNA|{hp-JwEzYjBDgv#4b400l%c$cUcf_7w$$nD}kt=Sa&Wy;d zkmlD~zO(|-gKMLXKN1GbjzrrC8Dm@U)(eDKVft!JbDT;YSgb>0sF{Srh6HlEkw;6& z<-EY%O{R_bFw3L;1TOyNl2F=)IJ|b_8s=5&s~UESdaev}ZYT~_*nGoZIZK03sv~SV z;Kl=6)DdtC0AEE=$lqY)O+hSRJlBbMT$RV7g7%8Fa=Aj@e#O$D-sV3Ka1d@&9t`lF zvQ&-U2)>{3Ujb-{w~aX|B;fj5>4TY(|0+QH!n~Rb$(i)uveG0uVWF?bmFbwj=o>1P zS)X&}ZBO5!Ik_h^T}qWLE;k{Fga$p9W^nt`N!4P#{Y^5!^Fk-$c|MtMu}TQd(Q@8H za&c%fe1naf<8{IB9&rvEzT7yjx%On9?MQgMD`Y(6&??i(Km>HCOjj6*Y&KQ$%QUrj zB6y)KssmB{pmpc453Im#VS(YO6m2!h6?oa?hO~)VyXC;wau%|7FV*3cDZ!Hx$T$Ph zT%<>?ze?=R@Tomg+edF`Y!ZpdM&a;Zdl>lQ<4?>`y$=SSiqj&8FDOL;hBHzfs8sE>_j_*y7cC ze`6fCILhMz=RjcjWFJ(hn(M1Pa|X>JyZQs)kjRnI^K5aJ}+57@^z7gR-0-ta)bOMJ$>ECol=yh zKx{vV6aFy^K&L&YaXm@F-QOKZ@MqxDqVW7R)YvCM|EXp-K-Ho)zTKqbZSGo#`lV+8 z5T%Si*QhOQqu@NV0)TD%p^Eu%YqRG2BBSsXUwH&}y9|7!{3)4yAVHnwP;SdOlII3m z>@#aY#+y_!2^HC40I#ai`fv1m`ayAeSzed*$fP%wBdR+-D$vYLHtqHPg&R`33EZ@q zMAytQN9|_m6K2ou6Cie_uV9bSXVswt4(!u_&Dq!d6M#+D3KhD*$o7}|kPVlBPcHBF z(SxXdhE?~9Q#mQH|E_S^3GotE+Po4Q;X^(`adzu0-5DUPb*N2Eswk_quXC zts(olu43K6S_OFxyO%VDkS?AV`b%L&Ia2lvP~fTC0#-~=Dzp;wocl^7oAbcaGElS~ zM89nu)y6FyR%}A#O$zZXuCdZxOx<#xPMAFRmTXA2xs`BK#Y25*-|LdkmoCM9YF-f~ zH1JH1#=M+`7x{5VF2S(=(i5zk0MMV$wv--doNmzI-#NM#dM_!+vm3+LO8?TUTid`0 z`3q8f_x6Ei{k>$S-BwkSK`k987g`owq|aKpjlC-BEK$?aI7qYzCW+5iFYtLQxyn(g zfAQz}`)0&>mkY4j@)e#jQ1#3E-SF!JKhH2bbMT=vZt_Zs2aq;4!uMM8_tn#8Gv5CY zZ!T#r&7}LBI}^g+Yt^HfJqW^)?=!)wX(vYDU9d}Xkq<7zZ~yx8#N;TEQW1?w8FQ)1l8 zGW)jza`GN{5lG_zU-OS!;YQ81!*n@$?Lu8tkZG^LzVM~-Jx}g#l@zygt6)=UD6Oar zkcvD}pZDr5$~~JHi9E<*3i|Ge7clAlhRjbfwb-}#@BXI@@aLfKexn55pwf59rZ)Uv zJl_(@usJ{hZsjHgy?^4ltC06+rDghwhUJdFU@3OIy$3<%s^#$Sr{T8S>D`U5v*!J` zwwSEbo>8qUk^wqB-2%Y~%PI;pw`_1u&r`FvoqktM$J;F|sgA!E4BMfJ%^7#1xm45j zkEBHiExz|UiP&S?^n7G$m4=&Q=G9-LdTJPFd8;7HRU8l7ew(|OajBN%d11VB)?L#+ zkzG+S8?o|@7mY^bo5ZLO79lRFxqf3;ei{gW!J+w*D@@(C8QRfy%2S!HeK_5?DD(X~ zgQ>ZPW%j*cakD;{=O#oP>E+RUIXU`Bvedm>H_nSz1uvdk?mFgpLPAy@}e4|4rV zH=x}U1C!i3-?Wt0lA@Ej5lWXnmdm{l`;j!P{mi?+VPIXkWQx}YA=&X=DHZkWkZe-s zW(p87qhUe?plrRSJ5v}##7_=IKW4KXx%4#rir{x*D3L4nO^&DhV4d{;j&mv78WA`! zY1Gt2YLpE;kYUubxnCEA!7klvlGeV9jsK9KRFl!KmEd#7xkP`3D?>8DZhgk1l%lDz z$c3BP<6Ou7BXZ)kQ@vSDre`plc~z`;OXEhV$mp3c<71bf_k}E9rw)ghV`U= zT%ksYQevHsN>&q1MWj)u7r&C5#Qa4CNXl%^)yYk%7TjkX@7zV>lBfm5=Xsfqq|@Cj z4ZBxWjm4}wKPm1U$)=8Udv~72PvC@eQ(0;d1jnOI3tBe%rMz>g;Ov^hxTBum#u%nD)sBrv%KC5 zXm~2*K!?4%=xT^Pf0|;^Fw*7E=N2Yz2Nf?|8pO@9@5kdK6G-W_5)r_NbVq|Fr)}u0 zvf?3P$muv;&sX)&ypT*ImEXDif23tPZnruF>!?NdS)}mimU}dJnzzS%n2 z{HNHe&nGwuY!#T`J=T{#bJ$H-9CNiOS|X?R2N-j&{P?XmBjj)mBMjg~Ccu}nc+Yp5lsP@GOPx?b5rbUfY1T3D$T;#V$HoY9JPiS`Dmhf&^=HY^RlzeX)LZ^@0ZVRwiulRZj! z^N3jR`e2a}J*ARr9cBOYpLwR_9DxkKF$lDT^W(j50;SD3r_L#TkV&RyoK1|D-q|L% z(`;RiL$D7dj%1q8<%6wFzAZL+T5W?2m_Fm$%{-35Qu3EKwPf^>y83F;ajIvQKanm| zr=Q7JEa+r9R0L1*DTPIl_chNbzcOviOTMh|WK_6gxb#JC3DKr=x?z~c9~nfN?A?(n zn^rW>rx{%$^6)p6lIfinLlSM9WQF4ngMM@$*tZ)UgE%pY60dJa{NB|=>P_RxButYY zhyZz{nuHo^?*iYz9I~3oge@8DK=JFt$V~@If(c(c=m+$W){;wq^Ah zZ_gMzQ6*r3H!Wx5>C(=1amKkr;TC2`AzIAF-+7BRqE@m+%2kM&aJXpa7$i`zxzpO3QmcF|z7JIjNg~wPjgdh>@D+3QiAXE$* znwdOk)g?Z6;{fEiw9Es%wZzq4c1f~M0MY!7cdGHLg3sx&ifC66KC~O}Vf#=B9S#p} zh|)|k5bnw@h8`U~Xlb?1>JC?~?QacU0%#JXT(HH?VbvYVd&EbqbigCF=;9;^<*@Q~ zF*;6Poq4ccTH;RQ?P#HcS1Mj=Wff3!|A8C}pbk;#l2B3V5FCZl1O&oAF<7Nw2$gA1 zcv*T*(Ok`x5r-OFvFOMXk(lT5ex)XZ0d|XP8TW6+g8>|yIK`{$%+>b{Moih#lsC3< zImoHAHp8^?fvMfOb-lOOpVFVZrJ5)7oAygy2Yk$a5;k5RB_YK?6(Y-VRo#KsYl780o%nzbrSSHm z3Crb`OZiA7FAi50H#;qAXFIITEcU^RlD=R%4{@s#iqZv9FCOVjB8L9wC}gh0^Zxzs zrRmJ7b9v(^bwgjuChtbI*}xiXX)pVz)FK_E5OYmDbUOLnu@ZDBX;hFh0-a>C6&9thSo9yBjBAeyy}~6x{9`WB8?RClu1PuhkjEk=pZMaWiiQ1wH%4 zk3{naB38ch_&c}Hn6Fgl3VwW{5g7@{es&1&_o5al+NpPHN)uKOF`Yy;xS?H%Lrs>S zxv9h_4qY0~m$OLs!4y0wli^EA*4O${@bBa^M*d!X#18&nO(muy&Lpp|l<-O3FwLJ4 z(v3=q>q>D0monAGV9a3`@3VF1@0Q#vi1-aXcfiBPdz7#a^{i)AR|SNbsnG!ne`c{F zL&{2ObC-@IyMlrh{!#s?Ew3sIq%awAi^+P(5{JP*S#gmmJNFdz%c!WkC^?JQ!5F>^ zODHub&x0l%b3x7=ih{!;5DZr?^_9UvXL0`3AA zKEHs^rB% z0Tvj``DExW_mNW#9CK45nOMoZcD>-T)W5S{0j^DrJTD0d95eKBwerrJ^Lb-GlJzww z^txKOe52iEU8hmX{!yCQ;-F~TEm!XAEuv=N6Sq@O6-1O{F|JCqZ8{Bj24B$GP6!4P z9%>%S4-l{{_!o2I3Kh1R^V_03MAgahn=<`4qsR3%{uDV@hY$e}U~+Y^3{PN(#J>fcknbGbh=`(}FR^5o3WJRAPu)6{R-aUhC6FMOY3tN(q|v1S}QgfY{x z?H2Q5qc6oOcNC%>G~NMgA+@9E&^L5RTF&(Ac5g_OZ^=Qu9?K5CYuFyDQAHDWrTCvh zz#FQO)vszc&;4S5?qo0Um}u`HU<)j>i>QS4A!`;TV4MxK*uj%etvP%qSUfTJwrF4) zGNrsCpHGLbO7Yp{#mAt2b368Dw`phQQ466~kdKL&5Mhj%Z$mf$@23phek!aIYis_77GqoF+TgLJbHf|R*i+oHadH1-T zw?C`dTHNV0eNnx5K%r0hDZ|VZz`EN5Q9Y?j1z(|szoMso$C_h&vvC=e!!5CQINC*S zz2bk0NG%r;J+glcTjDzAz?eAH#A~Bj3k}+OhlRes@gSNY2+~0!vm92Tip$NEo7kB< zZU)|`?%2mnwp``6Uuo@R|DNQyee2$Hqqk=4>H(_6W+VM`;+A^A(Y3V=8rfC;IdTFz zEh0~!fCK+AJsi78$nE1l>W+pams>IHUEs=q%{pC~jr-bs47MhZM|1$)ci`Ev?xBzT za$Awmc*>&ZzEG_+_%{?BhFv( zsV)D*ykUmBS$v$wh2j7$8QPaYnH8X$Z`l^%`hXrukeJ@nXf=b;rFL0-Su%vmujMxP zLV@(&OH5_oRByhwJBx>M2kCNrH@1gEQM79Or?cV1jj)3ERGg1;72nv5y}>`mci!D! zR7&+@t}nyHz&}n|F8m8}jU6N%1R4<|?`0+#&-Kq;RRl65N>HJq*Qd?Cr+!rERtSbg z(gSZI`~7>MKYm|NlO>w?my{^!`g%t%UOlvdZ)fGjYCD_ zSaptJiwFreQBVi1<(Q4?8?^iKk{Ro~TJ>YkpZ*M;wbPgZuk|tXZ2UtwiaBPSRZ4<7 zWci;)1rBw)GP*xc(S0qOrfpI9&dMHa`8GFdw$!l-3J6Nz;D;zBZh1^?R*l3K3diXt{ydIpBn1AMs#M`zlK4^ z_u;L-=DHZBFQ1Px+kO3~W;xyI&*+5&Q)LJGh;2AGKCL1fR`Tfrcwr%Yo?ne|dQ`zp z(;A^MeuK0OMpo5WK1nW)4g*!-r;%T0RthcbGD!=5i?-Dv&rODaXv8vQmD7EREgHja zq2>X9e2#UMx%MlYdc)zzDj^j#oeNEsq1{p_w_fH-{!Hxi@i}2F-%@jufH%Pv_Ni*3 z+C~6;c&lEW|Y{vrYdh%(#x;Rt`38id85upudhRXAA4JW%sA! zU$G~4SvsSuJUcnl8-2_9BVY4+erOo5JQ%u?Uok=Se)b33wKjree`@)=k96J9@$Bgn z{b^fr2rVP6`6DTt#N;f%1>*Baw5M2CR)9cNvI%gNjQll2V%<6vH^~smJUwS;$4{G| znlnoOw8N~JHDox4vUwEc@@@)K;<#xf75mBM5hmdecdWJjVeWZc{{=8t z#Lj+K$U8lk2JYv#T%5cSo{9}bxO!chvpBe>y^C~Csj@Bc;H(w@er;12&m<7vZdO4g zckE8jSzid*AFVu?gZ0<)B>Qx6io+Xe(L;36w&$m)yCUJ<(xbb)KNXawo5;J;W4xQ8 zZlYh*gIK`WR!zuz;k90Dti3MSf6KY9%JH*7`9h4hga5gR5)cFr;Wxu?C<=1DvqStN zEWq7y7U>4z_uoE9co5E?J4gW{MCLG)r9M?eJLq8gbC`&~k+_kB-~apU4?IvuD7eJm zLh~aq|Bib9UHZ3IhcW4)DKJ0-&O^+A_h&J}@3J67g8#isgpEb!TS@dkO()5~SfmVS zpge{GG#-XT49}3#xnKU@@c)gW_|`y7EWzr-{=Me_PdYdnZ7cf8r#=*mTjkms{jhQV zh!bOi6?*Q~f2cmZ(NJ~ibI8Duq#wZgX)qm%o@uN$jb-F}K;u(kbm8KJF)7fsSt8#s zoJL?3au*V}BaKk)@G4JwKDw`7(&=5rVoll$%7BKVc z0j0wFK$c3elyL6F<3+1s7^cF!vJC^)oL4-7O-Vxz&Hu`|u&Lxkwu0k30q%L&5V{Lq zuzbNLG6sseG%d@{5okPL9K zSnuMq@lYB`|DZf{O^wgy5oh0yvGOsEqUx7iM6*Pj;l{(%CCgYg%@sD(e$;wi^b+kQ zgAg4lN0xaH%O_fkErF=2`fggT?Zh=9Z8&K4J&nkyiOQSkhOG8fp})n5HIWjP7b zrBbS0uo-Q@a?-!8{F_@ZU}~ro^f(u&axxPw5YtTGiDO7UI3*dRm}<$tl_5#9y>6ur z-%Z^c`}35$|r(-u;-HR&?-?&hFBt_F9XiRn%X{B(RNM#2l z=;=vMWhuri#>LCF1BIqkI$0!2yJ^EF7+?0eFW*Qy+Im~GL6u+W!K=HP9-^#%BIH?$ z!8abSSyojsSu(718>H^^q5F9i*Tj(y`0E=Flr#KC3(;aqm0cz0skLUvOTPdSa{C$o zx63Dwc{E^8iAM&HKqVTCQ0oeewo@bNT`>otc)U~ra9 zPp|6v^-Ji+Z-}$w`=gTd7|Cf_?>|$%V)KTQ) zV|g##vr?zM_qG*?jFUc3QuJun!9e#_HtECa2i@Jw@HH--BM@;If)d}~`v?^?kwx;C zrP`?W7`vKC>_%WkwsFVz_;+>{A%s`zEjkqio5nsqN)owjqj&AEeas-fs0^9TCyt%O zFW}9wo{d*K4Mr#(HWF;^{Gp)kRkTcHv#&)2DM~d~UAo--atvgZ0f9Xx1GV2kKwJf= zT`wU)Ij6!}h#Ss@RZvXKXm+)(G20~X!uWv35OSLdaNK~icT|3LH_O*k@R#rQeXP{Cuv(ZA zv|KNsvQM?byGTjhTW?3Xdn6qEuc4_Vi*X}cNDVJY8l^A$V7jtLL<+xaF;*p%f5`Q1 zy;)eRvznH%T{i0i_avrhSVjgaa?&oHu2+3@t?w)195F6ghn;C$gIt}ne|W7pW7>%$ zTyi%Spz6)7d#QWL^cI&rFe60e`y941xvN-n1fX}ud>22N!pGv~H2{{yAu&Xi_-&+!}ylTuC|QGykT5)0R+i_Pl5m?pZdaIB2znVz!T zKJRh)FI`ksmk-0Y71r@<&N-iO?GP8H_F;(vvZz!?vrE#V+%Y$xcDl06F7Ia#E2TKa zdDJa-Wv35*Q>knGKSeFJZQ*mDoQQeTwhhp((Y3wNgHzB7*Mtalgl>A2Y4PnE;boRh zXPdQ3t!47fY6QjLn~@xXg}2*!BPmxme=2%ws zWt-3NpxyUkT4wV$IIQ+fZ6*gDZ9JX=u(g8JEdM3G+vTVLFf2%bAt!*2qAE_h$i}WRjQP-#I*%vrZQuKKL?YeeHTYG zNvjSg$pOU9ju8tT{&wwZlpe{4Mu{HPEIvWcNdF|)&ytTlZ-nADsqRFW<%}tofJ#`p z9YhO--m)mr9fGHL@esID3&YLb@;7n6=rHMrTt#fWNhkcDWzOGWBk+It{8qm>VAchi zuCU?BU*K8j%e_ShyN~-?@iD~zg{Bte6jh^_YcC)ltQ@qQ>G#IXk+M0YeM=btpg1E6 zVcc)qE-#T;;Vb)(@*%A{kayQI`|bYIV(mqWycldSR)5uN!=L|Q?g$=K;n5Vh>|PLv zhZ5G=r;h*#;>vc{Y1lGzl>F>vgsB`_R(+C9SK2PP8JfaI2qoxWBMn<(6*3RAVQdvn zuVhnT9zmB`H#gB@)@!4dU41!L?n&YIv z7Pv9kGA@(wSgypsGBTxJ_!CQrMFW?HeO31D5e(_#A|=2mtxL>^sj%cY6JBUU5PhA9 zVklS%(gHVs#A}r8s~e1_1>tNFyv@kW-T;iVB?+pL^nmCLkI{`%#%QkIrZP?GMK2^7 zJ#!4%+R+NBBz(iD|EITqE%G88z6t>+Xp2A8fxGK zgg#@`Tw8P6i$HgJ4FRDLbTqW@r=zFAfHQ__P*nOV1a4767nk<<+GkxdKsxEFKu;=n zYw%I!{wHw?xCGhRC&cG19`)_y%i2FUT+vddRB10IZ0Mt52m|lo*7dHXcu|&NDa5ib zJfhdp(CYPA$@v~V6jL)%h2Kf;u}R8jb_WpFe&p|XMul+(ST?uRv!~k)AqjsA$=@AG z#=jFtk8nMlgQqoeSSoKM<|3U>n=i!Ks*zzPsL@oUvnf!=>|MBfV^aVrf2pjIJ};U% zN|0W6pVOrB7Y+Sd@ntFVC5Frmr5Z=6WhRo0lsp$_o6yvo0=`Cc_%~r%@LMb-bJ_r~ zPht$2mx%?2N{1Hmrj7R8V#^io*wmOxgPf=2)|u~gvOGx{G!Fz)+coH4d_>PHmq74c z=X@GZ_A~51pM}R7dOKu{(O{x{?(!aM!C7~O^MzBBS7(8SW#h$3+1lU=-saZO90fhv zx&443dGFX2PEw&$+G|ArC(cy`}~1m=Goa)6^~+Z9qZtxijkNes9trK63Ts7cpO0aAo-k zg*!Ty&&N`lac_vqv^Rd)GPUS2$>^LsmpUs7?PGXqUV5u-yd8RbKt&Pr#wU>4JlH|! zm_i*vH1~uB(?7|`a&98Amb(4aS29VWy1HNZ;Gm+-Sj`9vd4fN6=9Y9I!xM1YvF+9S9x(!nYD-WfA|GPG_(lj+Z zIqJfplK^jhl7&Le7ceTstFZ{#BwM>d@fq@)OS3<$2%NZwutl9sLJDO#h+97Ta>8cC78smLE|XXs8s^br;0{4~50DV?A}vY47+{zOZmSf9R3 zaovwHz^HxM6xzQU;sHuY7Zm$pG+@qh^{^We#5;4|gpb9ct&#>tP^|&7E^5i=nQRcY z>~H|8NN%MT{D~6?s3HFuM!Fu%+ zkOp~{X9%-PL+Ph70c9lHwQEroywYNtZue$Nb8tY$GdRsShW-WzjP5u$tNKnFhJJ1= zY`S3wz0;K2@18woWf?dguK{Jx@ORA)2neOapm2* zZVVH2%AFWn|GcJpm(o6tzs6+q;CG~@hNO_+X0V8amxYrrWw4Fw}ge{5ssp} z-Pu#a;-0Erf!@RPv-ZyHXi%za;A;ahi`d)lWc6*qs^gep#$m0Q$uXmB5ks}-!ar%O zXa`4kVQtsL_50Q{A0Cx7Ws_WS!{2Z#wUv0aRJb>SmX~)YF}e%)n4&pbB`_q|{&gi1 zpNpws=duc{0Y`KMKUvmgw@u8a&nEH-9ejRYGNU=88;=a6^n3xO(kamMz%X0^S0E{) zY;}H*G@?1f@~aXpCU4Xzj50K&yx`$(R2#w`R*mkE!d7=nl5iSIZ^P~so<7HYd2|)3 zJ$@ZPiaWu&;~SK_-i2sz>`6*KwxH zG>J4sgtw+Mf;1ADGy)1)6bToUG>WL8sL;=3GNHu#C7p&Pm%oQ!+1@$V+t@jFN3#E< zsk`*_HuX07)Wh`k;$diKw7Aoos8R54`!g3^6>vsw=3 zf4%W!v~+i3$VFLm^}ksuIpd!s%eEjhjYdJx0WTo!Xi<~T6O?g#=3oP#f8}Pf<13%w zNU5ChJOnVnc#CODDdyxH$m9hHl-yA4$Pfu(ImfgVw|>3UB(}%oI>At*3qnlg09L}c z=$#r0dO7Wt7owiRzxW=a)~{`nCl<*ITR+(zAgq=rp1aVRSnjI}c? zocQ?{&tacnrX41vYD^V2R7usyy&EelEW+gn#0?j(44fiIAxDS{yGfb^v#O|eYc8mA zQAcq>{~BovOntapQ)N4OWn}=4@2e5~tQuw2+mvAv!Ok6h7B`$@?l1Q<0s=jqy&9i} z>T~fNr!n%5e-xkzRsgQ7&U8ag&k&U|raXR8%SjG{!AUT&2FKM>EIGG%%wc562QWEZ z)qU|*K*$MH?5t{6my^ytE|w~-LD`*(tZOz`s4l6JKf=aM zvm(`q&z_dTpzGcx(jfK~#IDyTp=uL|1hub8P<3gAh?5aqA1WOu{Y*N=?Mgh8dq^f1 zo$Ld`L@3Ks7a-qPT}8?x23&v#^P3aURVBI8l~}c&d*QxuoUJW))_*w(f_Evjmbw(p z5|g`SWv2jMI$_!oy2i~mMM8PC%DjG|)A<)J4n#y%SaDs{!aiu%3Iv62;ywf584f}r zLT1c%ju=&7;(mZV8X#ljZzmlUKQq$8g?aM2<|+XQ9p>nkX^Xm??MBLS8i9;oTDuf zq%4<+=}SbmU*2pp|KTtkt9}Px8s4ublFg2yA&XQ?yB<7&{`EO4C}TB#_zT*HqWrIn zYlZWIhC$`DmR^c;ZGcR^JmAZw!WHK#vElTRkG_p zC)FVH3f|99Y~Z#MefsELqT+nRB8_Amu+mbkT2`{MU7k1hkiG~vL?mEwlBn%9Uum69 zYAw0CW_6>TW6|_b6}8kk1qX%9`P$d~BuLj~qr(<69XrGcGMhHb&|x*L{brrZ-68G3 zcEeV=v#-45+Sjf2Ag2KZQ z591S)G{wkXAM(Z$@M|zhL@RsY4-|Z==~REA>L7XwdDjE- zZ2GqrMNBJKe4HD2_h@0AW1#xj3M%Wqm?e-j*245SU=z90S^uB|Zp;An?yTLr-kT@u zBu@lK8Kp&zzpK#`26s zsjPpS3vh>@!RB`}+D>ndheiscEzB(mF7OYVQ(HmG1jpl9>C^5UiMQrj;3B8h20U2W zA2vIt6OT6HouAHtOeqwCFSQ4QUVZWFb{NfWLAcfpd)#8+AZl0-hzm%_un`e-% zsuW0A!5}*>F`s4ele;W~1K1_TyDf_*=>E7#|Js-)f}j$(ImR@Jr_Vp_${JMImY0T3 z83!xH!af4&RK>%#Ne&KYzWIug2}qL~D3?{Q^@i&y(EBwkYxpOOT!J(!hDFNg@FJeK zBrB_NTq;5jFej?dCZfjNL|SGmg79nMT&i4TTWTx3l*iWVC#&X)Z~fc*JefmUtf?&S zcfRB$l}Ps9d?&MX%?q2eBVC6!ij4XL_9Tv`j!MfHb?hEW;_lu_FRm_@aUK5E z?_kzas5RJiw7C?XpR844p}Jr~J>Q+-ZdVYj#>^CVC*5eNo$>bXygB+p0)*WW#<9Y$ zY(N9ZJ?Y364cso(DP!K-zK2wI-$rJyl*k;}I!Jo#WSZf!mCw2dXO- zUY{UeLq$5o3oQ8*jr;l5dC7}0D)O@PL!1|P4W5Y&6%qTwc+K98J}u3rSnstLol!g0 zS%RPDEnufOei9ayn2y)zApce?#xejgrizk@a80*_=NX-SW|s6Hn34~2d&@9Zn2ueBLP|5bt388o@7S4z2(NkQe9omyX72|59R6@a7GD==8@ zU0!v4I@fw2-ZP$el)i+??djnRl22!A;;6Beq~`I?buKBvZsa3t5|>T-oj)r+TMog* zOrcQCQzh45z;K0s1X5Z<WC2L{yR$*12X%+>AIc%xn#%^aIA6lne{kz%yPLUP998m5V${ zNtPChfsB){dl?LBd_8HFC=L!AQzaFfn^`+kGPJm|eDn#P$=OjTSrk;CU37O33VpOm z$)-*ab6!v>V<#3D9O(V%(U1*;vP6<{J*;qitCJpmnWc+VcN-BUEn3&5&9ZZxXtB1 z&P;ewL_sD->R5-%GO0WfM{p3+0PmVGn~(3JTSPY~b?drfVP{3NnC+n#uK5IHf$))h zy%JiwiO0(JMo^)4oGJX$y`S|;>*ImHafPE2Bv8Bt958tCPLEco9!3yF#*OD0Mv?y9 zT6e?kj)O#-3o|$x9WW-Dn)`c%tJcrVGXPPw+P$8?7r8!qQ?ldTF$NI4bG(Y5DE#u7 z7gWSa`O2MP$)A2{n6Ruk?-_UNl-59Pd~@-}etCox8L|JE9)}8D7-ic`$qZ}^> zWvY|25z3Yf!D5q1hu}YhA>(sz07zbZXZ_jZwt! z;H&(B@U$~QSKe*<7nY+;O19&*Su%yYPjO3PkXlWI=`q}1PZC$P$B$h&&+((#mPiNu z5_a<>AXJ2l2wUucgHmei(T3oONEQ7O1w}TwMt+!Xre{vIC4F7gprD>}fV?-{fP1wx z!H=yrp}00wCnXDBqJstdt*OBU$onjWM%*2HGkEQYA9XXT$zlCzv zS4pt`u}s6C+!P#Wua&@Sip4`+VoONo)+-Vz0-LP%4YwF(+%dO)ZU$itZs8)!nznXX z-3?`HkkZasB7>D8ukYL<93f$h0tD-f$3z4t;!oph3C2G?R3cG}YPgZ?YFe*i(g@0= zb;|v2(>V^6vBP%7UQ(fVk!E%uxhehIc%Gv7e-cHELUlG zWoK;9DRIi%hKC;%Ko*b1iJ*?G)$)8geU(4tYVCdiy1I0`YNz;Y7inJ%DoI^;fQvF$erRE=&lM$PRGoWg!(4cRLeZJ<~UX6mPMV#1`xp?rYl{IZR0iKKde_D$1!_k>b=~qn5znrRn5( zFITH-vtdULeM4xTP6OGv3+3b%A!jWsVi3m0$Y#XyWgg4S7{XRd+(NToLRy+DJkJA^ zGetinOQ^UJD$jR`q&fMF7{*y{eX)9VKLGKut#bU15 z8Czg){*nE3UWveTA+BOuC3B%G!^4q+b?E&bz6)E(O^G7L+X30k-bor6#0(9G;2{YT zY97DswT~njLb{{*BZxyB(*XJxr zQFV!Ahj2b%6V+0aF~sRKAF?J_nQ(OPV=grIyYZ;i5;9+tWA4H6et1a1GAh9&q_1W) zq;#LzbQIgYSMs{y7XU3J%M)j`#wmameXw=8AvT|{yBwZHu^t{fwqUTYtfRpttlYEO zrx20puM^{-m(mAmh(LI+v1>47E}?6qnK|;Nj|y>Cz}5DA*ey>o;|l7JM>=XT`pFKh z7l?;Ik@Ln_y`%Oj0m2*I&0i=Qhx&#O2Bl1@DyriADtf&k}4cZ&Z- z*nBeOfApn_)4bvi5NOP8LdjQxB51U=4s8HSxZ>^yEc~>2H;THH`iOeJzx)dF~RH)wV-Bt`j-Iw>nX|zN0@9aoCu~LPr@paJV;bG9CF>(YVbp-^J z6t4vod!r<(LZQ3EOm~Bbso#hC%3-0W%$kJvbHWM--q)9kA9a?DsiT-|Wgm?e@}*{h znEzq#ExYP!x~5TFH*OneV65ZrA;kl>Qw?(Q1g-95Ow1$TD>1cx9m*Esi2I3J(U zpXcl)-D}k9SydCahQTeSm?x^j#&I42pDf*#!q8vS!hJulI9kdFWd?7S$_?(0CwWt( zuBV}FCtvn}UAVKz2 zQwA}Ard1a!tkVJ-U3)-q^HJP(Rn{~e$?dqq5!rzxjTZ^WL&EWyM!!;E}1tU-wscCgV7*ItGGwX`L>%Cw!3epL5Yc-xl&mAva@s!52<92CUEg4Nvf z?t=jHY~dEUW}oiZdsDVl&_LuUItext?2n;q=3rdEK)iF+mGvNxM>8u|1oO9G$r>$Z ztXpHnsTEYA-_dU84Bw$^e8z4YSWKYqvX%o3;dN|6nwYV`nYowfwn(|;j3*7LmlJMO<9+>Pfq+GLOJ&0 zmfg}~7dNg!Cc>J5qJ%ivTiNP{QgJQ{_fXb2 zOG|(ZcSo;&EzH|#Eids<9Y58Tq0%BtjQsc#R{khiYgw0WPK$0PahIl&m^~l?0n$zPdqy0R zBDV1;yY7w8hSqwhei%j1DUn))M7kdmZHB+OICrN}Coj zZB8>}$7z?ZQ{tAF@>xd0SJYr;G&j}wYA6$$D<-a3rxo{S;|OZ++pJk!zKfsjJfzf74Wc7JO#7*cA`0V_pP-L;ie z#VshA#Vu{D2yR^WpXiu<=fN4v4gU*VLRWG9d0F^vh~~sD4(zF5l2w0a^BOYCi#tth>I-n|-}IkBJ(h zg+$CONIewykJ676tYajSxbkie6d%FR{D}?@<6OQ?-3=BUDcHMIOB=paiK82^3R>qh zzR*hFjV8nV_dHnlZEcvesovdfcxxJq6!)Ed?3*9z%#k^&NCUf+p?$e73 z9Tp})N{z9i>Sb17L+!wDjb3J5fP)BW8AlQj_ubrr;qC3H#WQAMc%MHq%y`wP#*;EXaeVGi8|cNw?p7^no0E^~F%%=lYuf3R~6TBs;lt@6E=O{3>Ci@9~|K`TN}`oGA0=6dFiD*UTUSj-dEebcg>7 ze-pHPg2CK^kJr8xQd=k3+#krV()M*Uq{+LWICehq>z>}5VlWHBvla+JJ(vx{{W_QK z5?-J5M<@Ows2o-^nkhI&^wBM0?Vrjd9F2e}W@jUMH+g`!~XZ+JkvqZvo z*7ptW995lD9>@vdbS0}d7muYJWxyMpl|uez8_aH6m!4%(L*)Kk6N|nplo(zAQ6O`n zwr2M58&z)cc^#V?@dF)CUxWNkk`tk5K#k#{46CqPBfZ7NsEJo5_obhS`+()cobU?+ zuLOTM0a!CA{iEA8n~(DAc~?w@>HBPOZl`qUOn$3DQ`H5kI(}ktUevXF$iz#?meW%k zu0>c=(2~A&dq5hqsL`o+j7zl~b_XpbqoYX6)+T#wO*qY+rJ*EShe~c`Y3Y11Ls-=X z9t~V6^%=*iY})s9=i__|UNfUCu-?Mn>a5mtVw*dR7ojMQICX|ND+>NY!SVvm3+UtfbmViNMfRVDZo1nv`|5oI18XhA?>vnd4sKwJlAKo5!fx&*-Hx~S(@y(mot%?JnRqMtb$Gt@OLJMMuM{81Hm zPeZ7u#55?giOAeD>eHnVXQ-IpCP=a5jG|s1pJw6~>dpOb(W6EnL<$+H-@VDohnbnN zTuyA=>p`TTA3(w$?@@%X*jB}!_Y0HuK9W9cYN@i|(a?5zGu)Wi5tAS)LVHwr)kpQQ zf~+F?gkf>^wiWL2{L9RICgWEYn%XU9DonE#7z6AK1U=qXPS7rQ2$zG_3-43y9?1Z7 z)fTynU=uZ&7)L8B0Y9%x9nGtbr?ob;(4DChOMb!zRHrkzY^Hx6BD@NZ9#5W2i&$9L z0Q6~ekS(1|58K9SKB7U8A%#ee4;~IRQ!4XbVgPBZMX~8Ohiegl1N+edS0a!EnruPI zdZAKInt>NaIxZ{kHi8MWbE*O868idjWIOz+fXYPSpLk8qoz3(v z;>DHuR*FRc55e3h;6}sFqA;;~gdtL;cLs2SzZW%-KhyZS#OBc)W3hkuV7)xQR@LUu0?#PV98KT8-v({xLLo*(=u*Jk zp8~gL)XSoncJ_6nPXc49k_(Ur%|76%@egy?6V*I?dkJ^^r+Cfx>1efhf~%}$){ z=(+00Fspwh-197tZhvZgJgO}m3hckt6^NaSKV$xQaQ@HiTVS@>gbilq75_*Is`hL4 zldTZDSF4O+CLVE@Cj`Xq-Y`BF3XgxF%@wRUYUN9%qQ>R$LKlQnLiME)hQQ7m_;r&r z`9eHgJq)&W1Mxhodyi2p+cgNKv7>x8R3=66PwD&N}}TI zF!(2oh$;|yBdr)E#l;}dnv0 zDFr>ObH%pPZOB&occGPU;R8C)hPKQWvlQlMNigu+@(0RtF|C9zX0S*xOAp+izjy<8 z!Y>VIj$nYx9I`7RyFZWQS7u3}s*?Qcep?;!oCI6{Yawdxogo27N4(jDEhnwKsz&5< zI)0wLYV2k4%~mPhbE73~mhlC1_z8pWf?QUA$Q$eHg<8Ik^(@kk$2;jmpI1e^1dr3r z08~yIJd(*P^q1yA*>?x8f1XDnpf%_60#ZbE9MSgn%2!*5qmX*oR6TayCS-Sxxgead zOvgk1a>O|_K!!)7V}b1NCg40gmK*4mm1@BJvr(E4vOs=umgGgqIF5W{d*VpJoCaE+ zS(aKPF2XzvyY$G~kzM~ks(X74<3P?--z5LSSb+%S481TE0;nrINmDlF1Q=;QUwlN7 zU3?X%HoNwpb0{6yFAu80E>QM_=c+pUv~*YQAJQ$~%IX#j7rZFl{^8>M)|obtHI*Er zrL=X+HDHXDK6J@dBfkHO5sdW-z+RB_Q_crMw2e1joXTNMM*aQ$>j zU;eYgSwYuDWnuuMl1{~y`?JHi5~Y?05oO+6(dEdv<7Cj;rrpH(HL5rPu1{WCyCR=C z7`INg!>AJf>|m>W3*tyZ7J_Bx06|&xFSqiM)>zhkJcy?>Qr)t=j(Pt1i9V?UG;kyP zd-_|gdaBj_kQZ|Q5FX@frdB&;v=n}fi`CJ0`k%2cbIhgC<(Mv-&Y@jo(k^umXQ_IH zUgwZbr!zm18+GBeo&dHu{*eBrF_g>;TiOx#kRL9uEd&%2 zkp@yaF(SDIb?|J&BBfuM0gsdbvYT*)mz*{OL~xrFm^ zRgy0W4+SZAu$ayw#0x)yU$F&u476?2-XW47>@S+q!3*VFS-=HaZN6}Y*rWt9Zsl4b zUOk?9$`=VL<{#3rc=TZF<;NQ=q+O{tD`xry**T(AH`!k-L1vgbP6#*&GRYIGj_fd1 z0+ECJ=EMjj@y!D#X&^i^$ z3rM2Y2@_I?D?rTU@)CTBcQ@FKU_Su;^--srBu%Ni&Mln%RR`71>b%1YF+ErV+vI1s zq@uK`I)sdI7X)*q-k<-kA*iy^Wrygfka5ikcTiRLou66Wgwr#yl5CRtU&>k}YL}-0 zN5sSnHez>q)SJ*~G}T3vsV7cX|5#F!Df0dbzy3k~eDpl={b!sW@n?NhgnmVtjbxpCTw3Lkm67=NOuTMwBA%<)S2aF-kD!N2xW}p(a;VGah$;d6 zO+M>;p*}5t?J@pC+75yLJA=~%Y{hJz2Yn_)6Cq#NK>XikO(G)kw5f$J@w=3i|F)Hi zozBM6ElIz+W1Ai*ohKS0E;F(w2Lh!Y+W)2I3tP4!8O)POw=Wo1e4FBK6#1H>A7leD z3%s@y6zWm*K7*84$GbHP*E`OJnOj;*zgw&de$_=>(AN0&nw98OsyFXOPjI6WoGoD- z{udJSDW)fKac?W&Mt?oGvi6(9j)kblCDcv zw@V23j4K#Q9kfTBRVP@1u*o~_q)3TC3rAxeR?t89Odt=T>dN~iHR`4d+qPU_~&x?d%CHhKBtvf@|1X+CFii{rFwjb zYtxN=Jxj!37KN%7hIAD7N8H=@>IxZ5X&Xsi&y1F>idOJSffhZfvr#`C3_%;U5a?|z=x`n< z$XwT<9ri`*_vt^_7n_%{YYS0|a;Z&}IQmSg%E@h{Bf#m0E++U-(PgNEnNMHCdi3 zk&~}tCeqN@Ht_u0R9&~yVKZ8FTOBU(s+K!*<3dXL^g;AAt2+)>w zIBf{I!%Ydf6B!@Jpwb!@>2D6Z+mkT*4hV${kbQTr(@^qz%{Z>_$oIJyh5Ehx4XQZWIu)j1YTbs%)jUB9F0ob$s2{xI_GTL}*#(5v%4xe$+#Q|-6D~rb8J9!gW zL>-Tx;Fryrc!A5v^7`s>2N6J41Jqk71~LR2Yb+OhxJ=nOki|T1My26O^jtE-{A78( z%^hz)ooRIfGsfXh>t_vw(*141@_yz1{XvVYe@?^r_Go87Gf+AAF<pvb3(jW`Yvh2YSi4P> zn7MK51%_B(_mSV|!aqm)+9TIm`?pg;OKXmIm?*S*p--NW)6tz&5`*V!uf5M6kLfbr_ z+VLE0P#q=Zs0F?BedZD%w{(e*Q4VekZo3j1Vw5|ZoSGmfrGOBq%l0-&(xrLBMAaBd zPZrI}KRiIBs4+_mbE&8Lnit&#d|g;PxMkZiQ-@*#eLzpH_d7S7(q+w=M^8yctUKUs zB1dpvh=Fa6k5T2eY^{}fpQfn|RP9i_%)x=FiUou3#dz(evnVKKJ5ba{mWCm;y6 zC&@~m;m6ZIxGm8aY$Dp|(doKUCYP?$_h;=4211-SG^o{e_dplIKX~nOcC<+OF zy@!nY?GZBr-IQHAPd{kS8JhF}wtJXg znQyzjT>RP#>txQD3@kmpOMY3rE)2|?;rz}NWiyoZr(WX~C1-WO@HJ`lM0z{am;DGr zt}h#-nD`KJ9>b-({dLra&rjhbMFAp#RlVjVkPGfn zwqg}DA~#J3TT85P&Z`?vmpL6l?jqQuX3j-tVE_BB+05m_r^#;-dU$@N)}`>P6CO8ONX2$Dw4gje=hno^Q<2C^|mJK)wb5C-@ofB?Kyh+Boih z35iIXJ%67bgYvq${R=L4qBU8IT!ppc{sJJu=D5BP2y3McL*95?*Hp z1@yb2=BqqeeU53+0-QIeH|J|YP(5T1ACUHB_`fhW)c-H+I$MGkTbMwYpxXtZ8S=jr zeg`JDy$+~wNWcEhUC&)-|1Zq@7#%4jE;KImib&k9_rDaR|C=k&{=fX*$Nvl4<_i|z zE&Ln;VJd{53FfY4{x{eET_WxOJ;nc9i~qlFmv5+=nEHLnRE!LhDpki$9M-R6Ngp*j zTC*>6C6YBtEJR^f6a2Aecpq`TnwJC$F+D)s(Fgj-yV8A0-Y>NEM#<%s!q0M*8ubNF zXcPm}vJX9(@;I5*i!$PCA%NDMuFkNiG9zWN=9dVp`YWK2j-9$1y4)@&2K`KxYzjjF zPL*W8ehitI9_4Osu?F6`)ens}>%m6GQk-&2H+*6Z%VSi{kiE|{JRj+PE^j5%$m12nc+(S?8RpG>58`l|@UNJ-c9znH=Qf_-)4YrK=nD^zV6 zR$wsSWy4qBjIiizY9pLlvxs{E6WKoI+d4a*_4HgHNjeS_=9yIFjcry zJ=pesE5S{ZVb9bZ88l#XXUXEtDp_51+ijgY3QJ!lV4X0Ep$u5hdkxgGrgXN$UC*l` zuj-KP*L%ZQ`FZJ@FK9|=j1`m(V}P~yU89vcO>LD7SVK~eh|-o;T#wAODA=eS+*MWyi4s-ite!>{aa=S0DA zswv-8NNL0?ouf3Uw3R)IFnCDd$~wg>A!%#A%N`}My0*eKFMbjw7i<#Z*W%2%{B^1P zSlad86;w5iDLduRsy$WO?_(3_?Vn7VIkb|;LsS&I9OWqof)jU@OzVy%+CS@qmE{5z zWkZ3x#N)GIU5l0&lS~$7U}^wRglvG2ZoRDNS)pQ^a=`F_s7jaYo*7SjQx;EUK(-&H z><~A&>c@+*yooARHc17p+zNJcC+xiwe!oKK6xG1?u99>-@frg=m1+bjj+Svm@bMmI zXLZ2vgfNBXA5f5n!A)1$VO`*dn$H1MRHK=Oyg>RC1+5J1t)>k9AswYRq;8Q~L&3Nc zq<9CV+{xD-HGL|C?n6R_g-KDDD?gs8_K6obTg^EsDlzZI1fn zclZ1HD>$hbv+Zm~GMn`IC68(v?r4H34GbxN3#7l>$nkb{;f{ra%oq3>!q%uge~=YaLS!#QO7K z_1TOtV`S()ro*Qg)QBVFtr&?$^kolKxcr=hVxm69kGe0&@;c6Tw#jk5UHI%Gkw zua5st9{LpYT1TIxiP^`BOKBT@l=%tFT~W)a1{U1;;p|LJ&TZ+33dYaczPdls2{B21 zCES7=PL-*0qY3VT>mfJQKtC_GOy$C6hJANbTU5&t(J0$tt~25d>y7*r0m{1nWE_5m zw4k6*LUXh6;OjEOfwc+TRnpuaqFSIDjjklmh)Ka{QQdvi4)FXqP{mNA8#*EOLLQ8M zGc>;c1f+{{dh!+s+W2tjg=)%bKD^uh3N>*>Y86mh7(h}B{UjqFezqSbNwNrE&IOTZ zaHAnD4%{(l$*f)hr9A)msNNdQ)v}kURW}D$&LjeTM(?9Qg^N*pq~&hqUk;_4a4CVZbX_Uw#X(=iMwCOcfTG0 zrA1dyKJZ+#@^VH`DiMM2qME~H$r+;inpO&Ot_hdDzm}x^q#GrLk>GZR*!@Y4Z!xT-**`4o*IjSDP+iAQfu9;k z+$|x_2lNyfXEQQP23Sfw{RGB^gL%>bjS}wG5@H>f4=-nfW#kuV9#3z`j3t`h^p4w8 zm)8HHWbcI*8PM$2dtq2q=fA5NmgSRdG3H&$hd%nxVPLnJ_`%uJwHKw9=2!SyF3BNk z#1zpjLR*J<$3Wiv$zu=I!TYUOQSx;q(f)#wtXfDVDuyW$;7^tH5gC0-E9X!vJ7?G@ zW}CA6i0AkvEfeEs){+!O`VT1B}KkWy8v4Qf+)bl#Lrm9=<*F5@t;0C&HtJ^&FYO_QE6vDtn@r-AP*Nv-DM zN&~jTfwhPp3|u>Lpkd#{-W*)|VGa!FMhF{-;E&N?1S@X0apEi?FiCD_CJ0))S6S9J z4+qvEvQG$B-jIH)S2LV~VNB3gj^=2kU*QDVs9gxfPq>IZ=e+sCH=fx&i^J|R{$A9YjzEWS z<8Ug7G3msHN3fE%Vv1)`D?k)nX{ARkpREye6+GT_d-pbh@Q=G)KL@S?F zkbOx;U|7_r>V)6L4VC9L*dg}UllJ18kF*#iUdB9Fgl~WFoQw2vK+L_IbSe*<4=jIExg@w4Sv-H#S&$80V$3n844cZf)nfMieVesbJ zvMQ{hPtA|58@L@C=UT)^1|7Zz1j3K15e@RJr6Fi%NM19SC5M!SeI9?R!T!@yEi6(y zDn#-mUv(2foH?P1G|Cn0H@hn^bajVYs-)9I@*=G8nve%H%6)3n`&rLh(x(*L#)VjD zBl4GZM!Nu8(lrwWcmlVmD$iXMHafM11={eOOcuOG0*Wc8kF@b(KL@_Kb5l=UEJz9o z7|H!WZ_E%M<>!d7uYUGKcZ1}Cc}`)y=srL*ljnuUZN!f-=m`%#EF%*@kw>i(8V-HS zfcOEyGto~cRypZKUh|>~*rC#AA-HJO^{m9zgf9b;55uNwIV592mxY|c^sc-cnUiEBV|<}F~`8kZH$GKUot>NpQ3 zTvT>NR;=J+Qc3WyfS19B-2L=(fKxH+y=TH~hwGxua3q#6*1@RSJ7x%8q?s~A$jT}Pke@8nDS9W1_7DSUZo4Sgr7v-z=B8V-*9Mx5EO>INq ziZzG&`8vvqFfXu^MwnW$Dx5zg%O?kY;zRm10-Q>HQ9035gpPpp$DyB%!8I>g=#4=s zOu(#+%rwjtmR^`j%+(B?UFv?*079$Hwk2_~vm&chQI3`x{Q1iJy;S60At&glx6L3? zwG`PD>@NDoBywu~?eUTZV{Zah&pGsodhWn2%i>CHySYk?LE0}75(8Hd?<&kSA4rhh zCS_B8%!T8m;J~k{V(KYap9u7|&ZD6X!N%su`D_hdH-!+}yns?Sl1B5ZqqYA+niBrn ze!y-jA=N_S)^l6mP522xz-gzn{>WtOLv{U`3qhJACs*|M)>ajgY0EEXj>+jz)tAl0 zntAzdk%!-Sq4sAdybS}=Y~vEa5Sn;H>Pj%rTW#%PIMVq;IP_H$-&5!#$10$I+gnAv zi&VYk^s=&NYFg1XtAdC@QJsPrJ9bJ;L*v0R@Fu1G&7y6rH!Y-uxSlf`$N8kn>|)8j zCg>MN+2vH%QAdXsYPdbD$?-hqT*(bu1VGD)03p2qbK6x)$axI4sSQ1a#ov26zLT3Dl% zm?ilAE7)%W6&0Da&A&r>9pK59RORe=lExj-%Yb+nv~{dkUJ1f63Nx0am+y70IKGh2 zUWhI);#6S-3%}?}!P&GDJtsuAIyD4R+d3|*PU4+_ zQT6sUQ};L)Fn{M-b_*)nNwM(5B0VDQu%K9owXrhPPlz5~DmB88UlyZ%EtyUWgD0T( zLsmPlB`6=*=26*J4;3^l2-gWtg6>zix~9wXx|MJ0F!9$j?@gc5vkrRT(~vK}Za``@ z#tPsID7?? zg*NqSP1y1yCYV|mOcwB6v!|NoV&W%NNZ`xWiV#Sl{fC4*PDz0zJ%521wFFZX5Wl!e zZ1Av30&SgfH>~0zTn}m2rW-S_yTVLSo8FflN4Pd{T-p4utOH3#UJ@+6X>wR|OAHJ) zeC0<#;7|e>koWHINEn}QunbeSGKyT&AI%djH5DefA28f$6n@<* zm&MVa@JdI^j$@IU5%Q=`T=3RQ!?5W22U`w=ny>1se$)BaFB=!{g5o9Q92++azf{Oy zT`ZP|U`$9aGT_Z0dL0&@HahjOgzJtb(T~Kc%65Se&xsR87KC09Zlck0CPT;P<-`d`dCqWTLZXZ&XLFNXelJZ_qaV=AO4ZGqVbbV? zh>?+`Dpz`>ra)12#m1vs1WjS>9t^Z&Owri=`8X++!We;gFQYfe8;6cz5QX04>J)WW z%lLP=bl07Yt2p=EeqLOkh>q8~A%mp#3-~%gF`-?I_2qh&n9p(FkoTrnEPJ}(C zly3MvwWj)N!Q^WI-4f{(O~t)}g*)yda1GbXrq~;Dw;MTyHBCbvEXzy8VU{qfA&(mV z3p@VkQ?1H}W=dxiD*5*uL$Pqk#Z4`GYzD_&)_~IhSq~n38!l@`pPhKOm)ZaT9Gcwr zWD(Y)cZeMkCD_9ri-NB6P;LPhBn62P^{bAO8%t(nJxKUVp%LR!`Hpz)C|0|#cQX!` zFr)Z5@+2hpYJACh7|^HdBWmcK5epK3A%r6O)v-E8nur7sFO+H$GY6y(qzTg)k9+6x z_&-%XTxkRt`WiqBwPn>5H7#qlIXKZmSj-Du{`vNj0=du**G8xiW(kU<-AAuLuxal) z;di}2wEL~91{+8(N*5YcQu2D2+V5U-#u4Pf#MtKx?7Y&+%0rE{5etn3p^ zvm!=#UQCD~z*Igd*p{%sH&e8KkYC{>f*K!k zS*2ruY!VqK0d#S0CHXd$GVLx_f2pmXi6$%l>>m+X>QkG}zOen-DKLH*ox)knJB`t- z;2A6f%q&+wn4nI3Gf{iOd7!|lu+1X$O42~nwrh0-z=@uKQKTKC@AkSIXeiwwbSVdP6L-C3;{grc{3VzU^ZXJ6d^LxJqWfftXLu#$8*xW@ zG!5_2y&3*+_@8gidyAKg&$)$s)$o9)e_B{H@V)XhB?AfCeI=1A>os3VfeBUWzO-^Y z?6{(W637Fum;62JCND}sj+&Yu+9+AefhmmJ3P$;o5nqR*-%_UdC`f~azI)(2g=eT` z+RQ)zUhZ_foX#^|L#Ys9(lxjuU4V3Rv}1{^qL%+_mzF8Qa)5mn(4h6C{D(wvf82Zj zJ%|+$^e?jS2LkAFU-saa#O)X6$|r^24;TbpCpe&~S4yEDD#zRw1*E9T2U7N+#y1AI z3 zY69kz$bUz%DN2i6T&Pe@$DLXYC#YoPsZ$Wp@T!N4S9ZWI1_w(mew(vF&$(%P8lTYk zWRgkd*d>!iHFN~Gj9)BhJL~$eS(QRK&wdR*K{Eb;T&33OjY!z_HjVUTILieUQCg;> z0RKuL@`Hb5)=qq-POFkw13j<%BoG}gD^3;kfmgh(H7zN%9hJc;Q>U%$*+MfOE9 z(J|1IecTyQ$&Q8_R^{weO4GWx%E2nUKj30Z)3##ojz4R zF0Pp1*ane;=4*zw0z(^%QUH^4f1Z}iAO*R2v%O#LLoQ9+Kr0~patJi-EchFzPY1*( zCiG#ORT#9A7uDJBhmeepD!7quK=I-l3XL(sX`>x>_w8C&l`}&PyTn?<1#6zx}O1B~~p3ZHo3wJ?&fzPj~Z%3YJnh2?+%Yjm?k!NfpK>XC0xLtg2 zx|(>P6cih_IE2t62R+a=1a}@u|MeI#aaS6TRN!&d<|IcdnlrVT>$L-!^UNb%#Ed)A z8ZhA`(!gbrXz`sRhLRvo<%{kLcK!8o!82OP%4IQ!hQA|zs^DsN!qFx9-@r0<`q@g42a%UHNTfi+kh^t#WLHYw{>Ho zHpt-&XL9)D25@1e#EJ5j43LrtzyDU(HL7l=CUO~XkXS&zvw9% zw&in!h6FwmgM3iWi>MIKa5zTP{*HG6fQ|WobIlf|C_0u7bxr1vcq_WG{fG@n&6*tZ z)ICG0Ux#H3$(X%xkR*$Ht5r~If|2L2{sdcM4RB9oE%63w89XVhr2_M?U?KM%zTt|_ z@o>86A*-y&#bX;H))WO-{Ud3i?3F3TK+J`ZAdrEn<}7cZW7H!=+=gTr64*S&T#{y( z^|IyG^#=n(g-p4lU}>LVJ5cWu^@OP|&%N4M^uE+vkzVwPekhJW;Y&sTyqh(OGhWf? zI69}v0gjnicCo!A7ArEDQp)7cVVH4a3;=~*d2IL-2DrYKxmF*fjly1(Y0;-s~Vkw zg}_ai?JY8?AaW5Fn=cvlV=uDn+0yE3KUN{^Ss}}*RFs%on+=DRJ3Rt{#Z011qI|w4 z{o^OJi6R0hol|^)n`RcodD060KxOU*RA6@C)=L{pe)dG0dH^-b=CxVVA2QgiQ8ZC74wpJUA?|ZrpBfb|0U4WNREg+9;J3a=BJXC_`0c#Sfh%bGVX(*hWC~IODgv$*W zKyfyz+)ePHA$DyjQoa@x?a9>o2D6$AbTbaf0<=qc3nemS;t>g4-ixwkx zVhcz8^4|sAY;)TMAj~>jn1o|Mk3I&;7}vG_>VGb7C`eg2Lw`S zLS^6xHo}XNegUqwA*Uq<%NVbZ#C137jW6$K zqgSmi^Xg!yWP$f^Zxkrpq&R)Ji@3~%{1tk*Rpq||i4Zr?$S%bIl6GtzVqf~jYhb-4 zSj+s!Oh6=8&P7n@t4%5F{fU19hs7rfs~Z?Ubw|4`idVnT_6qq_XmFbvjAsgVoB-dD z*cl<3M3KA``p}jf3Mw1PlsgUbaqhN~Yl7w~9}u^8_sK}f1?^mu+lqB zK-BVfoUIHA-_3Iof;ohV0rxKgQ^XZAF8BI__;c%IZC6&~LD_C(kJ1?_ks|7!)?dy{ zcra&8qqe((jD+hN0d9msO|+6bE4(pm&OQ$IbnaG8Sut;d)BQU|lxwI-aAdW?j>cDv zm!&m=7uEhWNuhnRtYU6;-f@4{(#n}!eBMy)uKHJsnC64XGOdK}@VEP~F)}1Rr10DD zooli_mqBSze2m*6^|6WBEn;dr_*8KkHq9w}+<7)PuTRbRRBPOv^ZhtKTSJPC5Gh8r zDv==Ujn?O%=oL9Jl!fXI?>De!7>>z5PFE~fOu%{H^jTjQ7#a<=ivY2BNJndpzA!o4 zAu+N@9?Z#Y7Cdg@oPo7n2=#?vHL-f%_chO{X$r{nyDilrmi{TGkOp9YTReUJK4;P{2TeZYx_k)luK zX_b3FoVf@^jfel|BS!u84-iq0#t}a;a$)i0?bq>~YnNX7eg{k+w>}rD0Pub~Blnk| z%@^X=gnxD*9aqrn$M+W|bq@j8T{WUjU?BY!&Eu50Qi)zmE4j8LaE@9c$T7vP9Eu0FS=+uJjlEAo4a^^CIw zUo|eC98Hd<#QKdC!wEkH1@GRt=^#v!nc~xyL+F=jzI#EA%w`A2C~d*+-gY|*@@A*p zo|F}+M{ciqt~H4lj)78rA_P)n8vT29?xBnzJA^=gFm%MCW4#NXZ1q&Ky)5zHQEynt z!wfxU)aa57kd9TTi0RHf)b)gB1_8#^C(<7N(rCHXirEbPjQkC?c6V|l<27P&;pr;; zj3+c;)SU55rYV_+c|a4PYmK5wB%iPb?i6jS@)@z_8&hO<{pfZZV6LiDqHaV=Z|q- zpA1k7gAa?+}H98KztpX-Nhcw-`8fB+&MnW1e?zUYR0t4MB+ zvcGtdRw4&O%-{*z?shsEO@-f4o$aHLHY@LBD;v1td?lOetm=)b4d*RXqP~k%^`oh} zHML?Q#5{27Mx~nTU|wiEDHp&O^JoL$;kO)qEpC{^av7Ki>rta-MlO~vGKL)7RN*wi z@csdz;sIE@yT}PwMdzVVdY5E>Y;z)ThEt6IZHiY`5xkjSE#hw3$%ek7Lt40G0G{Q* zw^{aEl(6D*CB@egNP?0;-spM#pca0}LgigfI}h5kLc~+Mwn+i+c^Tg8TF@I*3SqD? z8>R1u-w9W+ak%`}!8pD=yXRqm2p}{OfM;)eHzEDBUVxB`TGC=moBH=QZ5H-IQa^2C zMOq?R6SVNChH8(SnSbM}2tN2l%M?OdM5VQ#IxnZt9)y}OeePij+@0@jrJt9j!;%<} zfY5TNz#p)XZR%m|FHj240fr`%;Jg}IeVEI_)47$Ft8z3|c^#-#DJ7?;?KcW1*V(@H z(tnAb-!EP~rOex9x2vXNtZ<-B`)1rVdqo2Ha0!zEg zO3G*@!eH++pZ7kshD>m-Ebb(@UO)QMPzv)Csyu}hD@uBcn!@rIzM?)w{Lo?Cc@y

&BnKrF=;7{H*kCg!qGq;4PyLj7|TWmWLXwerW%n`A%wyr-qUs zArk;TN{Bty5&WFOa^sPT1!+4o?L&f4+qtNM4lx_Z z#A%VP8NwSHOuTc=R9@S)*<-ozIMr)c>Mf2rc+)LI{!|eyi&s`$q-VkC5S7IUHZ1Hv zjLr$$P`t`v>i-XJK#{*9K=El?2=)&8LW*NMy#95d#lyfZk+3r=lpGa(K#VrWVH#*W zz;I%)*Am&mZ2sY$AWy*A0P?^&(B7X23m?nDp0-A0d5p#`Xj=~bvWRg@kgo}bgXqnd ztqgELvL7MuZJvavR=je<71TEE`cK$29bOAsI}d)Out{!~U`S(8%N_{55YWXk?IF^O zBNfcP86-^h50{$aWmp?V!k?DHC+RLtn#`dpF1!{==w!tZ3sI3e?@U(1XoSz9s>P;b zXi%V_!fq!M2Plf_$wLy_<+o*3*n@ap#NgOhaw0njjN=48b~>m0DN)`yW!1p@Ro2=`95s^heByp`tkYI3@K|ojFmmj)diy!_O!_Y zf<;Vph?&IXorFUZjPsg#O>oRdg0Kf-qg=ya*iQ}3E(wp0paRZvzNC6(;Rh2&uB;;A zII2pvUVPcZqSZ^F zd*Qy(5Dt5qtklC?5?3xH{GK{;YZe49mtg{*T)?}mKsmriK@P_$P>ke zue9vNXJ@J3N6l3&EMzzY2|nlrZ)3#Zyg0#^ey~IGEhLl!PE#o!7ec}K7@$ETCJAzRPxd_~5_uJQrFYac}ZXp!SDMtbqyWN;3x(THG! zh_i_UuvTKeObhI^8@kdM-uY=HYy$@R#sVExrwrk349U}A*}5_8quP?SuooSJF~e z`12{=hI|iRGzXkdG0yxbig_Q6sQI=?KD?HfDutUvDHJmYKuV>UbG}}NS04VwgQax> zlt-G7Y@$N%0GGm+L&x=_oE{Fz!O6m~IX>TjigA&`xg~TQ;Y4DtZHB8u-$`tu2x_yp zp=}~j@QSxF3%f1ENccnsb)x~Z{L!5JsZ}2qh2xMIbeiwmB&PQ6@JA_e>;hkPGfl5G zdWUYXD15{Yj%Yj8I|JpZT&qe|g*D6PVuz{8rPwd1>9LPOb1);KVqjw{07$S6GkN4g zlH8{_5618p8qo!?C@Uexk61#=aL7Guh(iL5#z|D=W|URBTqT7i{I3~6DrPhN+5Z8p z$s^12na3m~A@{HxtmdriwVFZO>gIA>lW`qRWjPID1*pnCn`XVOPr*VEIz`W(p%-5` z@$~(ZS!$mw1|@=ot0}QlC#|Q-ZK}g(E7%`m<=(=v3`T6@<1F zp>h2omKWh;xVZFaBn{vpE;by;D#N9)^`0aXxBo(cXv;X{B?4L*smDhME`moyNBYlH zV?>O_Fv0ym10Mp~KBI=}OJXn@h~Zj4qv7Bil4vaugBL)<$gpji{X|&sqVOB^u4@~- zkAdE^tS9DGJ2w1|K=hK%;6F;{awavyh;# zr0tzvb7&8_nID6)6`7`c2C$qkbeko-&AAHh`O2u$n5-ym=13*P4UiXIXl zT}uLSVF>>W8)-AggU8&n`G_d@T0 z^Iu<*UfjOOfd;LgskQM(mGRMr`lQ#l&}%qY8p7_dL+4SJtpPE4>ln(SFJVU*5{cy6 zMrmmK0jyiNO#3<#P8G~;Lr88Lr4q`*1lh!eL=W5y|0XVbI;vVoMvT;WiTZZ0EHfv1 z4X)XtTnS0Zim1xNa2fQk_Zbk%(A`zVPdUNCMmPx5FMA}+DX}Uot=yyFD=P~7u?!kC zUJqI;$s1XVDPG{Q!VFK@UddDt{u@8NyjE{KS3y&(K9pI%egt&)>5Q3x7s(YOv zHS8A{bl^*dIOyd(jA{<}bdp0~h6~y^>vjzMf9#zFyj{i7!1sjUQrzX?&b>%*in~K_ z2_Xp(h(H8~ycR8PAMS*rEmkN_ix-L%D^jdDh2k2>`Q|@+XOG>Nyu2na!k*vno_p`v zv%9miGqba^v$JpZzpS=^2%`1De5-tt>uDytkSOqd?Q?l7o8{9~T@G=##A$6Zt7~UQ z>p6Il$PBp1Olhn)JA;r6Vxs)+(^I@>FR979zHi@QR9lOiC0SK&Q^0r#;4SHJk&p_Z z7y%o!Vb}Hux51zIWD{Sa8V+;6#nytp#t0h}X{oAlojd!<^*j5Ws;sAD ziX}+n#e++B6Vx}bc4bM>{7pC9TAN-ZfI@g15Qx>J)vfa6%5@0tb&~*F0)a$O6N*~- zP>q1Po3u;WTnk)^QPBusQLq}Wm*5HZJTmfK6qIDrdrBF}jh;*wZVxL#wmlH+V*ZR#FYbwnjBfytth^w#KU;S-De^H;m z2&IA|_=414njxC1znL+Zh_6=n9(1_OD!;DrfjG1rSr-?#gs$?NO(Eo{w6vjR13Lq^yYW>0IYJUdN2SUA5zy~am8Wv+~)Aw;eXAfiiht{}=Gd$Bxj zBweYU@^674)v)~r#sfxCvK3aMG{co>d8nzVEegRjOg5%MvYmGJ@6=X#uMKS;dhSHX zhc-4eVg5%rp&Mj_7hJcqZehcV`;;QLgA>G;f0$!-h!z`+X%yv#(`3Wp(}n)p9Yp>7 zhaKy(jVcqBy{P6*$>sk2>JD|;T~*&j1_kY}OQ>c+$>pp-iK^hQ73r^g027_$wFh^_v_WFKgBsIOj z;|_xzFs0*Gx11GtESUct;|P0~YJa?#UQD-lgR(#87e_hm=y0WU7ks{-$5J1GG`1E|FGP38Ad0< z?BT?_u1&Ibrc>6UKQWAB#|AFNhMKq+rvxmw=%aLymZ(_Ylb`+8IzfXfOGkJxdXtwZ zkBMR9Xyaww@hzTdY04IAw%BP)C`MucNz^u&j4_$vMhq1G5@!Wj`U1z$A<7cl!&Q8# zG!dt@H2Ln~{<7EXi27SLp_5ZQ#9%^yAzT^Wm}ToMU|+iGT-r@wPb>(yS?g#n8wn(` ztI%Fz5T0XT?27n0xU0Z~d?Lij+l5Y9MhHK}vP5{U3k3}AlqZD*lU@ia%eA(F(M>2S zy#z1;fzxY*DLoi}Aj9c2+2&t@aIY+FN^83+>q!i*#n0@b{iT>Iz=>3uqThKH2dtj+kKM&HXm7i3qE`>+vq3M*;3Ova&Vdw>$n+JIa!> zZv+Dy0QRS_fY0LzFUQR-M`!5w#3qYbeFI|sX@ z*oe?=usJAUpGXGTNQbt(uexgAAmpdmSen*$k_@lrW6Y$N2`vNUFJ4XgP?K z5HP0;)odX0GDV!Qh3Z?=HzpJQNp?ecFwhhAH_SG5h2UzWEbGf`Z5nQ5vpP(o05Q)) z_AV#Dm#7yASz;KNdU$~eiPM@vhA3dR)-urEv7b?Jg( zsQH9#S;q8@T?BpUje-sJ{hoT`t7?l&b5yAi3+6D_9V)nf#8w*DaYWY!82z9q{Y@}n zrb{7W?KxP1HK`J@wvk!B%#m!ExW`-_h%rl-U+R`ocoP1cBl`SqOz5)93cTD3yfbu) zz0}=uZ4x@ga;;#wt_(3b{_L^w$&C%Y#FISug*~dfAG}zC&Hpz*^Vm zOAU4P7CDye7)q7QAxVxdN>hoZ)f!3+NlWr5{44w!MbfflYMyI0Nh;#dWP`gM)$zDI zqW&skIpdTk8E#A$ecI&4m2gToh8s4A68=Uu;rL7BU7E_a)YgRa)0kzm#X|@BDG-&~ z0ybW;N_VqNd{iEu!;pMAq7s7%#+hIwyj$RL9}0Til^a|v)7y(Oo4HJ3Tr#JiSGHbW zB+e%nW=5xdVAvS|lN<|PdqJiwDV$%DPDmuyiNKmQO^%r$*RcOyQ1ABr`MM;b8F~Ol zZzP{gu*69t2gVhQ88SGFQR;{b#oy+FOw||qll=~s>-d8%s;?qCkd7(8A=gpJOT*z& z84MnmqF+kn6Q+W{k};*EeR1pqL)!q+h`i05uG#`(v|RgIu4(8h+4buZEEOD4N8l#S zbp<-vFlm-JLHSV3x+4q#{sCUjky|Pz=bEfZ)y}eBK26Z)WDYAUsuBU6m_#2~FJA(i zPmg$GNbG#rwoa^tgr!+|%=B-WnE>-`K-Hw&-2n;>D!)m4(TF5Odn2c|5VO!y$?&I;Q# z(BVY@Pwc*x&zroyh@hsVi(0$xm^!pae%wN*p(zvJhCp@-p}w&-V-9=G()V}E=9Mx8 zNZbQ3Z%G70)L!0RvZdlbmG$y(t-q#$R(GRVcHYZzU9}KqXKf_5ghdiHRnA-@$i@%S zxxDJ+Pym^tfD$oP)#0(LjbRr-#LH%=VGg?}QKud;hTS`0exI*Sk^o1?D*K5d&FT_kb?Wp4nDdYBuLp{S`Pb(pohrSTFe%0~ zCdMgWmmESVkwPIht4m{NWYF=8HQ33Y-JrI($*3$Dw>r#y>mVGtUKT{Sy}^;}Ud-m^0-&#J zSfCBFibyVxjrpXC^e`kgyO6P`NG`u**BiJ`Kr9m_j87H24on~q#Rbdv*WLg>0N`zE zcCckjg!6020O0XH#&agnyjG|;j5D~$%wY`M8v2X# zka0{3Y_9vZ#Pvf~Zzb3!ajUypLA&sSkx1hA4yog*BU2$yQJmtDYETf9^YW^<>HUB_ ze2&Hun~iW!uS-|zxGWK@THQ#j2iBGE*w`k^G0rW5wS#UXw#dAO`9BNuC%yT1f*j~P z@Auv6ZyC+Yxwhi4C>YS)+#J4%Jd`(`yA$-iEhiM%JM;k&FeFB7<#5s>h(lF`~mL=VT0rTDYj;Z5@{-&807p7D0CtLmB zTh{qZW56HD0?m6M5|1FfszNVoHG0F<4gaXnt}F@fqy3gSqdr22vLpdGL>E)=Fe890 zmy8S=z?oynGJQkSFIn;^@9Zk<;MpAX?Iman-bd0Ep3MoSnOx40j$(^ZS4hm`@Jn(6UT!J@?rk2@U7_pg#D>o#5XTwVR=OVcGhXK_J8kZ5^l1I7ZDRz<}+nlXj>5J%#DBoyUY4|4x4(#8~+IjWdP zf#DOJ@v=U{`2>P%H5_Wv8?ffEcMqyxBgd;OAb%8x&m*iSgZ3=f%U0JNk}X*PSctI% znc_>V>~IJ)t)&tZgDI|@Bj(wG2x)9aYgH8s2xVWozlI}NME<2YPAXF@N3BuGyN zl8d=A0s^wpjB`ka^h)`c5a*-e2kX)MJzcel6dra-2=V$5?z>Bq+`r^+!n6!^4zaAK z|J+EEhVmE9fzNxAOR;e>5IhS9R7Sm_jLWjMWmsyX-m214B^&WRYE2}RN;c|=MZ;gS z0Q>@EwUEWP6gp*l#%)%>`8*}%C>Wae4?@@>fOFVQ&?r~F*r~%rD=ZXdNd$c-xXdEb zDGFf=zz(rx70{7+IScAnKr*8pxt&sI)mNVa# z9_qo96A?7;Q&;{{COH&AGdBca*Fr+`->fYEegud5)Hp@>!!zv1QgXT{as$AbjRr24 zNVDSG%HLPy_+7%B{!?;klaHjdqsVGq*;VRNk@D^cA&c)wXDx-J9|Rd58yg^K#F`|> z$IIC%e8ij1cgl@0$(MQECPajm`17f|Op;Y|U6Dd_ z02uBVD~}fRs*HM*T;dum0pI>SNJGMafMh?F11om2F#kBWWEQ*=O{0~x= zTZ>LH%nPr16T!kjcoPHw*3Ta9;L7s2oF{au{qM;jqtifSa&Kp()F z)%LK1<}#_&^%vZzWMeY2ka48}At#tMA`FLVdzM5zLIQ51&XusC0mQ`pS9-$Fzp`9R zD`vm#)QnT^LC{E0Wr3E3-@o%hMJJ40Lph#dbW5@2|CO5RM zCy-I>o?++MnTDtj7)B383?O?6?De4yzH=daPecqbU1IqetG?3f@c{Q{Zn!kF$Hyqt z9S#`cN+6!Ro1Utx(&SPIj*(U*n~)2~f80AxHUgMIC?u6&f!P%ZU?4;CRnSI-08+|Y zl3unc%xT8U67xW;sZwAf{x2Hw?<`B|DRLp112$(@>#FGoHCd$a*JV7_pKK0AQ(0QD zR_e+%B-|78FKL#4S2N5al#;L+igrl=8ZU^Z1GC-XKjSZX*-N(Xpu_tq1M2}%hGmb2 z&$TDKDnnluM_M#Oe_h77EQ{~MoNV!|@tIj5R6(@1WOqx@IN3$3CjfpU_)!7-S2Daz z<1E*=)?r%+gdXqcHblC{Fs@Dhlk~lBA=($yvt6W-*{G)woiO86su9g^INUZA$N+`G zgl(Q$;KiWqR4GFb7AFpuG}N<$EEA-JiA&wHdkj%s^j>-L71d)~PQ))`SOWz)nYjh| z4}$>g3M3@Uhb}oGFH%C%@$xj+bCNYASuxr7<$~27#kEn>^d2zHA$!}R$j%~7R_j5Q zbnH1h)Wuh?{+LP8hoKlvH|l-XI%UnEvzaQ=3u@t7NZ?7Xkun_8hM|;b5qiBLy_|}( zo=fmOz#M$HvAL#yD?E|@YUx$Q1-+%-)jDN;B*6a(BkEaxEH2=)WGa>{+W;en5Rz+S z>*W=o4ROGf1?_*XS;vsUNtCK^u1$X|%?(Qh8@*o^fu?f)uk^=@+^k(MST5fL?&oc| zU;R+GhYnJjYxO`;c_vbte>9LNt~mG-0j&w+dh&=sz0AMZz#fKCIgzlDORCdrZ5u{+3X;vF z=w||%FnWOz_8s78e{PuIhzZYe(}p_q9nFyECW0ZTAoOtb{tE=e2XlyJ1Aw(>jLjYb6fU8y}H&vz8* zm&mCRvU^Je5$vGR;mC14obWSXuu<-bqgSjCGIr1c^@g#Ut+{Ln@4=Jb1v<=LYEYE4 zD|J_ZL%ZbM3QtkDNHu8>>N516Y3LmgdfDs%`x|=CBA1r+*pxfymNy9m?R7S*A=BC9 zi6_yof=EI8VoZTw6(NgBE7H#dQF;m$>H(PfnmRsUgq;aGw9Av8{VRMgC3UGh{D8r? z2R9?^5dxtKy}1~Cui!@Pd1eBSihL>QY*uO*==H&t;Mhns2w|VO;4wFK!{=CCBQq>1Em0hD z>WZ6_YVp&O%F#Q8OqNlZ7$Gm?)gOvICsb3XV;=4Zad$ zXdRZef@9oc(TFa!Az%xE$6bX4V3Cj&gdayV5Va#{*#fk|Tga)KY-rmPI-3cK(}=&q znfnQ%bl~^|^zI25SOST`aim!tX9c}i0tR6-F-HuwTn_^L8PaY?FknokrYpI<1d4Pz z0V=tEpOe(=jJKSQDf7UvkHP}hYE5uIo(N*aH_l#ve3ka}`o@T^JUXn1kS_6dWqCT>>Julh!47uf9`V+%D^X zH)7NMuBS0Y0nF_7R z5C%a`^2CUNYlqUtXHsd(IU9OLJkHQB8*NBD%G8}sE^LP=oU=U6UeT*(2_M;PYi^q*mFR#1Y4 z_|0C2)bA3WS0*|g)$vp*I@C3dphj`1QEg=_n{4rr{UYeSxL_%wdQp~mRpo$^Bt_Iz z$fyJIh+4l&*fxyLVuXEM7?(L;cb5}dYPT8z91-+GJU=-k_*a5(mA(DJd@n&ZDwgKD z)3CvoHn+I|rOrYiDi}Yp#wXhe5jli85lINmp;`)Ozoi>P*hJyQ4ltd8@hnZci^kzX z!WtL?OTnNPf3Yu+ad}2#2TKr(n;cTfKKiw!z>>1WA+XHPIYZ>zB1$d4qLx1=1w>Vn z4i1R2q%{OJI?%sHFW&~XDkLhK&g5)3$sx4ybB$TYVMe0QNUj8HMeu=H)yB$)x(dZS zMJqXSN48$njz$`D4S-XQg};#Ttf$OtxinpG7Mh-j&?+4C=|x~3i$1ZWzct~YNlyzD zp}>_TmkPZj1XQ;lFAy!9j**{!gguVIwMKvCbsHsYe5l;DNKpg>UM3Qp$am$PVB@#a zl)#m;2$;L%>o<6;X{>*oZV&ht#?m~a! zOUzmTy`Tu*IrK8tXpG~C%|IU>R<@H6f?W92*L5FaS<}oQ`GyU#(^ByAB0M;TO#QJ5 z#;)jpyB9Zv%U)w#V)81=-Pqg6MQ(QMWbwU_1i zwA9Tf$&UE*m`pD>h85W>yiDWp6%hej|HaWZetN$^xahi5y_G?8S?;sm2Zm#RH3&oOrkI4>98cDY(huPJ$U)j0ET95 z+!U}Hn3quKts!N8p?RH~Ykyn|0*ou=T`vLFq$_0^E=}+vWQ9($d+J>h0kWG6?tz!( zRZpU~AZWJa1~st@^hJ{Za^|nB6hrt%q8}UCs6*pPD1LZwVaQ;Puh+ZMgj76+oCJyb zq_+3V|EYO3Pace*UER51T4V(QvzQXwip-RD0=TSXX0lgAs;8(A zB}4iV+7~BY@~KKn$LVCqsepM8XZfy}pNrNmPP^@rc!M7EAE5RO>nd{IFfSUB2VURZ z6%s}pVi*`xmzTY6b0@969^lErh7FnBd3KOx9m$Z#{KYoV-%Bnza!~v# z8yf89M!jZ)2TG#X zNS`K?QDOelDt4n6ugW7zoDn^u=90#zO2URH`b&E`ksoe3ga_uM>F!VJm)gLQ)nKN@ zORX>OWuzH~P_&bVRI?(-29V8f7%(}sSJa;R6Joko*_#!JmcrTtgOtkNK9uz;H>>L} zM!gqN)?(ZkmvQ^aPBjW8{&d))s-+wV5{lQZ_iS6=VaE09s~PZFGSg_J&8-4qNjR{^ zfgUC0l?xU(CLIFeMQK{gIVz+x&+nFit_GmoH7Wz=2ps_fQD z6N7L)lZXF=1Rs}iJ4Kk|GB`pgs)R#4xY(x}nzaMesf{Db8u6+Og^qYB=^cnS-1zY& z`btz0#r#hqD&1y`z~GR0M0;3WYcSy5Ang)%I7*w0JkuH;L8;h*tOQ`eE2yt^<+jYd z@vsQ~QJe*(*W~Ory^Npilj7uSJ>iB2*F%Rl)61y&%y9l}xk&aG+N(Mz31h2LF(qs) zyKGw%T$$Knhy74hk%egd_QGUgz4B%&a9*&aLpEv;KBHS3GrlC8;^vZ8Ce(x9ZCJ?o zRx%~8z~-3X@UE8g&%8XGQR+&+09!WPFl*EPQd`O}s1mgiH;7@9q?i}lYMh>6Tq&>R z={Q+u|wZr%Y+?oMf3LhKDVGY|*vi^-IXic5`LRd;gT zVRD|KE4>%m!CM@4j)3GU=hub~HvkL_LG1LORxn@U6!fSEcRGkge-GjO%-Uu}5aHLu ztRqAS`8Q4e1o4Gxz@+$V0VC6vQ^0_7an!J8x#=LL^I;e09UXuq<*%H!N~ zaz=*N0pyj&Wt}oYlCru)@z*nUHC8aBp`@Ks*HuzgN`Ha*=>*}54RIxvKqLTfgYRQT zQCkU9CqF;{wktElBEJ<=)s#TU77r|sOI2~mUULE}diE7q=0FtgD-gP~#UGI9xES4f z(4b9FHSQ7s`4cY9c?JD7l_Z67))nAYOA-Gk8BXMRnD|U-S6O=e}o;js)HoIR*#2NUmO20@e#QfEu0iCTm3Ng)Q}6H<V1YLiA(da6q$ja*_<|CRxtHu1+r?Ux$Z-<{RN4Rxs))B z=L@cNdb_@W>ei84=#|g~m%(~jsq!oQ>xtMcBr#-{*7Zy{pxdZO5u0$U6T_86nT0%M z$Ua$mSw+eMkj2U(M#7Qq>l+uz0;im{F33*JZ+Qh9Ib`i7bsInhcsUUhuo%pXh}29EAjBkLqSz!BZ~y~&NsC0p_<%egyaHnvsVSa?PU*(2unr?KZdZx=9mLuOZ*X|IL7Hta5Uk&q% z0elVqBQTmcyVmYAntzqLo)i!hZ1XG=;M}EkJ*rIxOop1;@F8_^5cGAePOc}oS%K?V zfvb?~Rc-`S22ow4Qb=hT~I+n);g+WRyS$MQ^Zs30n_{m@*2iM{ejE5iL zCAF7-hKZQbCWtOV(%2}fcyNnKT`7JCpx4UtNqVQR*rOtdD$|C&wW5p{T9-X=!QFyT z4@yxI0HM(-CYFO{{s$n?teD@hEpI8nt4PTTWPb|Vn!3uPz?nXoH4fGgXSQC>a@lHy z%o)pp96`FFjpjU^0k9bKAOKq@#{8`D+JFh%U#JrSk@nC|W=$%L2OAn@JjU7a6c#HI< z6g7Yw%g&gCITc;S@`F6RP8g?P2vRy+0*ZM2!bmcX8b zMOaXnNXcdpBBK|@;`YmeP{8v^j6^4*9$?WYX)bw9ZOaNq<)%5$rM11d*)_MISNp3Q zI9!d#724=VHNC-xO;ndK99l6hXeq0koIg+AN$#gzu!DFL)-)~zIr^eHu1Bf3Y3sHe z!~BM1*q>34^Iz(DOp#Qfk{uV!%VQ8jmz^<)}HjT6)1zazh zKg$Wx(ihsUt${#}6Uu1*|4fVy86glZEND|N0*>t-X0S>CBiW20U|@5o6D90KOVaO| zX*WTD*eew_dbdK@ssui>$Y(V`+0ACYtxUb3$b>zQ2t7_0Q%<=M=|6<28z+>q7SP*< z?0wMLgieR0Oj6O=gxSg${e`>s^v0FzgQN8*l~ITpM>o^H?gK~nQ|faUZCPhabXN$dzOZGKIGCqN+9UnonO>nWgN7kfg8m_c)VT{~F1QZfGxy*L;g+Dz(k z!+h2R+vRs>wO#DU#5m4QlWbaXz4T{7oGK#79VuX_daw-rA+evcX#C6&6~Y&S5{T$x zbLiYg8yOfjv`K_7e?1aJJbDzCk%0L%WL);69)4KphXL~sph8J3>ehs5J7*!BArNXW zuZyPlmpp{h<9_g!^Sc|v2{t190+~AK{7cB#rTam`GkiCN+A0^4q;IG`g`&;}$94r# zId1^ol7yf<$nOT=Eh*%(2if;1k{G{AigcbUv(Z6Ivk`Vl!MtMQXejDI+GUsz=A++2 zqQ9nHwoY`4b;>qmko~)@?z#szl~A#Z)weL3pO<%Zw>J@?p^w*v0kp1j5MRscO%B7v zpKT>0Hrc!{f%>`8l`IuNY)Uo*fM5tW^lPDDjlrdaogv7;K2pU!0d@w-#x!5o z^w7K`t99j7ri^ZZTv(5?iC2je>RmQST_a_scT2kyq#9B*Odb^$iAaV5bC{lPe6fiy zP0|}~0yvc4ZUQz-V($|6!xm|Y5Ws}iV6#Xy9) zh^5x7Wcj82btbI0Nxmz;lDc$gdk#UALft0x!X%4htJ2B9jjaYQW0*e-^kT+LBvPoJ z;&ER==zRczu(JxC{tCgo3OxmENeIO1`l8CQ=A?0()s-~|(ZyK#mGxJabovro)q4(K zz5clISC(|&jXiaz>@5tUv`IiLO_)=nTB$>Ft>ub9!_NCD^oXy@{(T=L)qm}FlF1m%U*C4^okH*SDH z3{j68JG=)3`_s#;F5$lswB;o!cR5POT*?;3KUt@U4XAM(dH3h`)3_pRBvhKe9w~Y+ zO|}A`vtAaT?FM?;8_1gFC8%m#{}y)eztFz$Pu;C5H;CH7{G5ojrLM%&iJ$|0Wlofl zs1|LvRcuaV4>Iv}SaqUmi0Wm)%XSorEJO>8uw{#xzjT|lI(uRhB}B{=LM=KHO%EdG zmRpM3>NT>xZh(oDWx)IkNC-@(Yz$!t&|EtT%OuMnA+W#VWDquAA06n>NK|0(B$~Ag zM?Cb6K!*n+QpC}$HG4;XDIJ~x#Vn8~QCWWVbeO$t+LyrP>-vDQW|f<6QDnD{OhP@e zEk?-iw$Kgj&EWTKp~u!tkz#| zT7PNMCuFt$I=qmi>Ev2rp66@3R%H~yHi>B0 zISd=(lHFR1H)Y>SQ7Jd3ZwZ!B0xL=JhR9^J-sfR27^g)r{o`zY#$ugrw)BkMh{*-ji=iQ7(T1!Z_xYz1g!Xgv^E|(C(ExMhuhLs z0!wxUTgq*8)r;WJ9ELA5x$!dSt3d#!idH>Duhrl;%5kJn2-?6FSNA;{5#b)Yowa;DF`EAuz)i(Sh0@OI z?S}$}(tK8+VIv5=*(r;2y)?u$gT3^mhwEd?8jiM%KQ?Q7>`KiBUoF+R@^9A5H$tMC zWcw>6;JClK2f{VaG#FO9a#0YaZxJ_wsG4HR^L4!z5M{tiRprs)gVU#1Z_E(}{C6Pg zbEp8{`GS|}Hy8x2wHF((IjwzGTDuTN=zO4!Vs zoWwJiLpM&|ug*RWCi_a*3scuL)Mf3Ga?0(hY`!+QT;NKXGL$bOaxK%YyixX*nxy$cQ+dXvLp^5Abh~3rYzlv;&mIe!OA1SqC@cf?x>DiLL1DL2{^E@ z6r?yD)HV(uVyxT@!nf-slTi}od{}x1h%^}m6X|U94~&(EV~-;K1`cu}zEU-qY)sqV zM4!c(;fZ6W)IF+-km(Q(*H9ofHU|1n1&1Zfn`B$RN;W1OM1L+{$aoxvZxoeSg~N(K z_>E9yg3})d9xN%qt04N3?7AFW>E-7E?vJ#aUS<;hn)UKcApHVZ(}uQ-t(Uh2>HET( zxFj%lkV7oIJj~$4ErH0%cVv(37onbT3TYC-fE>6b+$QZRtrKxb8vCSPFh`f~fpBrU z5@RF>r7-F#^|Apcd4?SUfjx?gDVvE zsvt`3QKurYcgzdD9)>8b;(E}_1e{}jCbM3?9N>2acw9T#{jsqLhrK}W?|}tNDghjC z5_Zt8>;(z#OfEtqVZOh{=0umEeO*f~Lg15YF(H6VJs`?{VW7|0d^ixIVnF~jxd(s` zktVwxs4IUdMowX%zk#~!dR?%gx~M(KWN(O^e3`)GWRr@xG)p)HY;E@#HhKyVy8XBj z_W)ME!rGM;NSGandtV`dtX`j5x5TH$9>DALKXESi`|33lww0~j=y0k|t!ix;7# zFcc0K^eO>-2l_syD^2zeHDa3@^vzCJS{QsWjF&I`H?d0JV3-$gOR)oRW2G28K4c>0 zH*om5+|aL?{G25fD;U=xXpZv2!H3)ry9p#P^|169a^V(EyOy_3$$(+?dyRtz)qj!# zOT(xEe^OQGVVmcWk2{PuWHs0&+u~Ab@*4cdY#s|;z{Zwf_FXW~#w!BncBS;trg=%nCy*eAVy*EcrpYftj5~iS z2V!r0d>v&{Arxy)Yx0uNLjdDd>q^>F)?iJ|l22UwJskfs z-<~Xq9+tCwRL54b`HwW&EeaTU)>9?$eX>d1eK~<$PKII)G3aHwd?uSmB%+1=W*_Jblp>gF?)?YhO*VzyN=pFXahP5CM zDbMvs3~+u5CZrb(^WQQ)4Tsm!8u0KJD}%qLVSL&hUI#j2_x!F(060Ohm$QMUMppe| z-ayjAHjL`iPatfz*W*2Vkc~u|G%JMt{m+By_16zm9A-4H>G-oTHp`!!Sk@#HdeW>i ziJ~a6ck~Hqsgmfg5wJfaQtVn?TB?LCql1JV1vjR_%DDpGnV~1tD?tmg34$Qrn@l$Y zhYul^S%JZR*wsqDgAB3^?%ckoF04BjiirB2PLq`iO`63-%7akvl9XypBrBBulI;@W z#~>`FMne2jP8J+yTzNGGqLdMp)Hu(ek#B_S#*-hAZS&01upQzc_aA9jNp&=0yVr>A zE#%=p&@4>f_|mv&ZE2w!HbQQH7__WzhvcXZWxcl%G&h41wpIfDp)H>a6R|ADFgNTKz3W1|x>~!kBo3TKsd!bA z+US($jU8T)cij7sD9fhes8JJRqraA+Kjwx+IWPO0lRvDTXr1yla6cn0NLUU&@Qnd= z8Jj#d769($r3GP!^_^B%2BF0>Kf;pkN9f%Tow9R*1<;u-X=7x|OTvE%(_6x3CPuyD zNH6DdGS!9va0+=+I!~#0O0=u}1(ww6ddhNTV6a7I>&17eKPuFsxekMDrUDkr72;x@ z0NH$x0EXsSt_o)_W(5Kv%SdOKNYSKMK@?gF@>f9i%-sqOwUJnQm_M8)W2Mr@s?r4s z!G}|JE+$J&U0+mySCOLf=~cjBtYkk7o9fl7Ph^h_6#JzaWX&Z_MX6}Dr|u%@FP%w! zhai&pHW@`hY|1HeJSagErBuLR<*}<#l+p-Wp939AF&@HY==(?E6udqNraio-vnP-d{m zFV0y}I@}b6Qj=_GyGp>wG>4bSYVA7EW*nN~G*m1*@JRMX=B|sO9l8?t#QsL}*$X&M zFbqg=hg@B2z+X1pgn&7k>k$eh(hvK;R)Wfl*WPk^I6D3BP1;`$bFZSERgzdhcZ;E&Rg%W2u62YOvL+W9%NQ{T5wnnxdZuG*ehkPTe>bqcLt%EK zNmd{#mTHxkV_cKx9dO!fg7hZaLV?7MBKe)P+UzDD4dW42*D*laCZsr5M+@>LU4C? zcMAlUpo?#Cm&F$k?gV!TvJgBh?suQ}dB6JZ{c~^Kzqd}Es+q3op6NL=J>92zy6g7S z>tucyCfiGP7}B6+kaF8=ru$~fW#F|~6nxsfqS0G^ycD(9f923L+w&ox`oM}vDxOSv z?bl4xOzCoRmh(;Y{Q8@CB>{VlBB?7Ogu`B+hF>O4>!I!nn&yI}1S9(kX-!0S0H zi}M=((nGQXqzD$V-)3tp7cp||lO4vnv{V=gy+LoJApy4AMmYuyf4!;jDKo~WNfAbf`=8}XDtSCOl$h-P+LY% zy|>q9$1ZYxQmZ{^~hc_RVUSIwu8mRe%Kg9Kt zSyF4b7_YVeg_({c`UR`SSNp0%jc)H;k}S#AXFCArDT^cAIrO=IX{Elx}C35>;S)Z`}bs($2QH4+sIht?V?$5=UZULN&r`JTRwkElnZ}>~u=ZBkSL)R*+7np$cxG#byg*6v?QX0|rv!A1) z|GMXOcO^Ospm#5HPkmVxU?ea*eD~!?U9Wie6mZq~q^AZ+s3G3gKgPM#Z638lp9`m! z_o%P&{x@(nt(XBIl5lGi;^))dZJz+SJf;3ZaK7>4nmgD;i+{%9=+o{`x|ds`OO^6E z98*S~-}FOY&zgU4=-2tfSE?1|py2GsfV*N%!#TAZoM(Xpc|{U{(~+tEsYZj{WZ2w+ zc-i>SLVg(?>;o41h-55GCV+5Z^S`TFEC?)?D0SV&`1AdI1SyO<^%3nx^4I1@3j}Eb z_xOdNG!`kdcv~(!Kf9f867F9aohTeyiq)4%PQ5OnXf?+9oVl%aYdqlk_ z%Dh{zsP*W4DbyH#OjjTwbCtjQLap=Wlg7*K4@Tb-%LUutjwnmPbqrjuzRR9|`;wLn%QUf7whBG&Li4a`tekLgeo{b$ za^?1Ins=e?r32)5$q4B8k7tsa){`n3_&L{O;4k100SE+NAAo8e6tfJk(!?QIfFI8mWK# zPA5S6!OBUwR>DD~Pl{B#i`G(1=UuWv#P&HnokRvTMn$YM%6;$a9 zFdJQT?hTz7kB%bsh7dnal)$4HFM-|7pjgZjQYv#+&7i$S86c(o*QN5(Bl*G2DeU5q zdZL%5$;qUXSxb>$Ys6fV@QUAkh$7T#wo*!eCRb&=v$vBRBfk0-AQTXaNpMmjA9UHt zt6rz1RfHip1Zk2S1^j*e?P0n{$}zx7UT)7SIyva#C< zJm8@VmbfRj!Baa9?w?zLmhp>7h=@h=v{4SZ|9F@abog=$NkcWrD1oLR3Ls?wEeKa4 z&D`#S@mS~}sv_#hUdFJlF3ji3dbp?{H+zWjj>3&PD_Gp}&P0=vS_VHxR{%&2q*i{z zLPMyK48)eq7my5Lpy>wy-kF^F*}>6_Jv-X*F}Rst8}{&-imD|QtQyw0=HeEXh=27_ zT|6uaY>Jww11@rsqV1)=VV!q!$J}gh;^b&e8r32IRar2rxp4jiAio!#xONeEEc{B@Yb42Jd6$4*FFhM>`mSBIU&JdIQQ^l)Q zg&keX)O$NS@&{{&@h{?NJOW&-^*w`)jXSlcE77gvb6L+NS6dex3+6ixYjvgMi;@pj z#Za-gL_wiy=E-%{nGQ76GVhL%i7L98OsPvBAJ^4v)rS0ZLIOUe5D0WH7exwTNoP#Z zE6YCl2DcU?>%FS!QdwzCYXr(Jkc2H>l$J@h&A&vC(qXeQ=UMgut{mSDEPHgdZ|9as zzM^S3H2C3z&i3ZKl2k_1EJtx=?(0lsDfiOA_uoO@s5C>UI69k0{k7Q7ME31mqZHEg z4DO@j^X%nt&|ioExBqNqmo@t%T`rhBmIm911MTn24w_1XL8{KQ_bJ#KKNgKNlaCDT zFBUp9f8$X~j!7;dODvV2)}($qb>8Q|Sbd$vKed-Z`a7t$)&oX@f5XaOd87zJB4d{h z@o=0svaeCQz@!W9&{=kQsj zRUKUfk(ZKk4UGU6npH5TREo(HdMGE5E3pnVmrA!pOziePY4<|vYLa&sa*m&*&J0N# z|B&z9zf;Y>iBI}mtZOG7E)}qe@>5f?a%8P5uuKy#L9>4oSDl;gwXrce9-GXYsnL`o zo%`!UgRa|gL)(N*UahQ$KC0JPZ;{xIwVe%2ghGRi2-7{Uc{!v4Pi5qf{gYl=J32mm4eM zy2kG6FNSb*i*!I(aYb_FX<_#TYoh4e_{#c$-OAN%hGG)4;sMO1BLnEnw7vm_mA__vwB^NF_QFh#lmDA2s>eurnJn=CLoCjQw8`(L2O* zG`w3}+vR`O-i~a%uQUisOj>J`7*b9~Dya;8;^)BT%IsyT8y;^4j!tJazRToL0xV)e z&s8@T$P<~}zy88#;RWGzKOt`>cUQ@?W=Jjy>$TOjeva~bI^M90(0UtuezFMdu1mx9 zOheoP?mN(>3;rqQdqw3M`OPrsopEgq#!-af1X^DddQX}}hJ%Te;Z;XAtkokgWA<1U z)0k1pdQl*M+5Bwdm$rtIJBf1SK#5Ym{+*qMwHdMFcScFR7taC>XGr{tt1r4h!Bfau zd`q_G#`=x|W6S*}0bROBYs+cKfV93IBuuTBv8->Eef)E@>_8tdjZB0ZP`gQFhDXUG zVhZ*j-~EQHNN7BlZ~a=#YmOSJWM6#AjmWdmu=OT}8+Tl7{0$3st$T=MGXGc4$m20c zEbb|b*BZw0j{`goIv$+{YC$uQXUOfPXF!!_m5A!tVIH5K>erXgcCXABGTXV829TY9 zM%B;d))iI)u;^ zLeoJK>^J<|8Q$+2-U~~P2glGkcM8#%s`6-ac>yr)Eg&}?YWYk{>;X7|{jN0RRpJ8J5!u;Gwfp;v( zA^b-@<UV%}{j-nxUQu#Ou?h3}MB+)}`Vlr3t1MMGi%s)q1&K_I)tGiSy&)75 zIuE6X{-y5wL#t~M-=$1Vh{@Zs9bQ-!;>=5w*byRd#)YBI84qyxN?d<8>I^2vB>Z-W znJP*8v$UILbE}aBWoj+^YJV|&LN&8lK4iqmO-_MYGuhs#n<7p+;gzGqOWJ6u?F5bJ zElTwFxoOp3@Y`}w-_(_+m(S*%;pny@#f82=X7m0i)Kp`Fap3#mLhs8Tj&Bl9X?`pfu?LUwv(qHQ)qF3_Nqr&$)no%PR?M#Fk zmKkV*ju@DYVvWWMH5-uX33nb;-r`=~>*A5>e)(~0%f9MD5PSK14k%IB(GdSzmgykS zyOA)*@}z~DNmS-CZ@A;LT9sNMJNS?~)Bmk4Gs++1{Ep8DUxY+b^-J99-?c&JdDHb# zTRXQt2oI7vFQIj`7axxJ2z3l}k{;1KmO{q}_Rc(agkH3O0P2}66Bu0VGu7-d zYFpX0h8Ftd63Hi0Zq0|Tnt9O+p>5(lFP%p*YH(ltL?(*M4Lge=v>$B$Q(m4qqAXxq zUu&!RLCtyn77;J<&`rJzG=M*Up}9OWS5x`^W@rNtxuheqS^kQe-DIo|oTAKx(do1N zS#X0SS}?>$^sx3~$>{Yt^F?3qHm=|(UE4hG@}h)u`qkJBGE^Zm6!s2kSXePpFjLuO z$>Y<)GqwRja`^6BIkHDN8yBaJI!}Vg}nj7MehmHP8pcXG)Ek6NY zn(6Nf(oZH-6seS<%DPUIdOp4yCSRu%B+;78%+|Cx%(YJ`)IG>$^W}AYKRHNEhF1OG z_hvrRCq2AXJJQ(re84=utf+3N)sKuUzx7H^x)0uerNLS*`kJIDn6s|084lDXM_;iF~NTVO+y9J>bLa#fR9k>LJ)e8((()bMM$_lR}pkvp4 zjRlpjb*D_!LPduP$G-t0bdvJ;Nf$wMI$GfbbKJ)HD8|%C5x=YM>ma?loKvlf14n2* z{{0dp^u|8{QBmmUsM^OIOJG*JFW))alw5{+b={<14dAU9GFKshxb8EnSW4q2j-Hwv8_7E_hRc zQXsks#rFNx{{B3nTl%K%X9keuuBB~ouh-GpX`D6m7NFx*hsHSRL3i?5U z^VGjND`LhMT8O?CI^fhMd<_IDNRKgbY=i|HkX@`0T(K{OqI)Arr)1hE9sekApsGdh zcFHC&?-F1|3f&=oDGf{v1!e;X^~-dBQw166D!o=R6$am(VHt^>3s8B5LxMx1>W0dLy+rjx zGEmwc@oZxM2TKMY(?|VZ!1t1n$hgS3Qo+|BB9E{?Q;AdaQS;>^F0KB9MU56A!u&5_ zXhxzB&CV~ZJrOpVCBF_iERb;kc< zA;y^y{VxE{gAKuf;P6IByh}LL{fnh4fJoN*FCZ8BKm6?eI}!gcOdlSW zup$Ge)5ma%OlYuw6LA8CvGrkQ@%LXcPadf%T)YWVx|#3=B`UCVkjwU3z|F@F|GGhu z#EKjC;gpI~xIs(w=?C;cfHQ=3;OZ6L?+d6hX? zn>KPK%>y74OPQE0e11sbOrD_HJX%3#eEnd$x|yD%gD&zh?{%0+x#ULWz=&QHWox7c zQ^hK!&+JZ>tB(BENDC+y#>e-vaV?<}SdtnjX}v4H278`>FBypW4Ksk7+8|4~;c#E+^p5FV0F$;@?T&vhG1(i7bnkJM`xo1Oj%)uSPd z1cDEl4OfgQ{8*MWKssH^#%I4|y~ftG=w1=07k+V^fV2?w!`dMUmmRemAP(q!C@J%` zAofm7f=^qOKGdLRiTo~#lasDF67&8Oocnm+WM2ydu%TQN^R(13CdAk;?EUV1(Cx6; zgz3GvS!zjm(9P@A4}iiz6~Nq{#?}X5TG~ol0Lt~jOpVdx%K&6dGJ7Tb<9e%Sv3wm& zO{Mz#D`ES_leDZa-Pn$Cb{MzHn29T%hXpmeZltSjpN&Qig(cATd7Zn15m$B^(8UT* zzZ>44efH3@lX805rUi!SHl5CtSghpbUn?N~l8TVi#vZ8 zIpHp}+6W8Vy(gnzJO{jt4$J^GXOm#;18;T>F$BUIS;K1Ps!z)1DU4MBCOi68s%^p{ zMo0p3UW>HJdyN`Z7G*rL0>$XNQ|X1bcq5V18q)e+x5lrHTjzGwfzzw4h=HYMrPCr` zE;XMTXXrLxoUYjY>b9s~;^$}rz7o+i6j2o``7XttWADHsUUP!^95Z29MnEbUg|J)y*yqU`8Ol7^I0_smFxe2dxh(Bd*A-N9g zCFZ~IQVo{E-yF&MdH{D%QTrXG94zY#zW5^=pL+1XqnXkbIT|_o*5wQCKG(EG;pmUT z#*rF%Wv4h1avu@6_s&^xh;=Eio~N3gatcV*5a2^xC{yteEu^Zyc);e44J(553+U3u znyHZbZ7rH9?&`v{{wd)N<|;dXj`|mjs352?M0-+4QpJn5*6_KR=G2lYZ3~D^#cdyn z5_IjpLKz9%v51K5;t{Vqc0({cPEVh%2OFg2XUTVIb@CLurCLD+w)~A>ys;zv{HYpV zl)H64q{dXlrgG*_E^^j7T2e;w7d@$)v0K^RlB$WuPkjR);HxAyT)D9; zXTTb>Do1-0GsKdwaZ{d({64s@S5w$=scsx?rNRCMO`^G0#lw&qc|P*dMKV?uvXs&k z<79_0Z{Vfw6F|?tb-a$m0dmR5wh~r#+s+%Nw>_Pkt@LCa&$gt%8QnZbOSpbgozwz3Y`?^-R?$Ss_Y)nLJtd z#)P|@mz4DXBn8kCVeLHenGIKoiMeXH8OMz&)4+_<#8T|2=i>`A>) zN5h_~3wys`#}-dU!NVnX5Gx{f`7NWbsd`EfN($<=zWkuImGJz8igPs*as;grXQGCG@~Pw zRR8@7uUh2lj0a0g?4_`33;gZ2nd7QuPITS3*UB*l)1BU|Re2UqgXVCV;O{4Jn;EKj zEscCUbmB}|Nzs0iUC{agso*{!I!{YDBuzxFe7=q6!EPD*W%_#B9lgHqBZv}T ze79kZ_;H5VBi3hh&?7$n+I-Lr@Zp7*F9w7HE?V7|S)MsRb(VYNJ;4`3Y$0j&*V<}! zs(}Qs1b4|P*cM$n%OrX9V!`OljV}%BIE#d`J-Lt8MbKS=BXa`#kC`Ov>Osi?8T?d)!wgvML zS4Iz_GA3fRZpgKcBO6v-qK~|leR-z2v{7TCAD$={z6fQdF%aa&9tYo`r7Z5gua2yU zWa)p=%vi(RhkaY{HHi_(%t(CxyDhPrSuWOH-Ht*FH5rQf4aNMDS!XDvN-^6PB07R;HyGt0L;yLdmAir=~Z2` zz~1TgDYLkJ61LIy7pamh!Nx|dCuo2DfxRfw*>d)o@ z+mK(S7r%e1UB=^AdqZN(3$BRK(>i)_%Rnh@d{>Q#> zRmgou%|~x;>dQ1`egVemBzWP9e)(Xt*Y@C?lO zwL8}D1t?xnsnCGA2j8wU$3u^&f~Fe|ar=|-7LJo$1uGs)1h)8R5&<=`Mdfxdz08(D zOSXA2E>Q#95{vL&cE`CS_K#d-9o4DDUW$gSf-Zw&pZ%wy9v+rK9+n38fx0I(~=u zD70!_l=h?CNI(~RJdbi0&Mjf1z$`2}(6du(pA6knQt@?K3nGNowN|E>t}la2*7%pc z#UXe7;V_=Hve#~XDu$MT2YiTXu8nf+jr@!ju>R;Rc$a1@hsKX4mnQeo+K>!H;~>2p z+3+#YV|yqx5rqZ|KpyrEaeu*SGFxaQj*&lqHPV%41Y`T_+tFQOm|MyuAj871#clDh z#lBBvl^MgXUDO6_rMl#s(NcFQ?6P1W7&>o87ZVq>z=7r&hy}#SJtdS!gJ$cQ4sW%F zwr{w1B>7gd-}?BvbktSp!}U2Xz&ruoYhWODHO=HX9Yb}I`8hr+(2jJ1?ehp-5KskB z%s*+sx&+-;I2E{-v~idfTrG(HTiHNlVdX%GYQ$7sV$Nbf95|75Ib&M=@|o12y3gUW zjm_Y)m`YK05NO^pyKOr{p^IPFrb=!#G^t_{%|U&8_kMPoP3tOL)P}R4(M`$QVM9%m z99pM&@#Nwz`ezkcEyZn(#rtYf(NVihXBbbnOC{FfneP0a1A1%*obS-wyv72ILMO=7 zH?L=8v+RzBTOo6&qPtAMDyMbgoLScs_A?Nt&Vcs!mN?n0L(r<{o-G|kI8R6ZR+Xw# zEYx`3tnsL7Qy)BSom=e2CnCiPa?_|uzymfioUBKS4|B+DLMwIkUtz6KH+zDQkYzdiJYVjSa4PM0wH7{4n zWNDPQO~RW}T(m&5fxC-3LEr=a8A8qMH@ldX+X;$R2vyt!1>zQjKRs;L3oQde#Io@a zDg73_QZKLVSYYmDi*YDg>pmrL^M|zhHBt+EcXaXl$QTKn3NjWe`%RxO4hvy2;&n~D zJwUv>^#mn2jlRh6^l=W)V@D;{`?8{gC>OnN`Q^FHvRWb^2!px&zKR2P69 z!-rdIjKDW0X>Q~nw&UfScmfJd7pPf1AYSF%-y&E%r-*^j>5KZ2$R@R-Y7O9N;l5;C z($6l?B1f9B^6Vf3mPsbLA(KxQuE_YVu=JZB%B@_LI6J|7U*YLQv2_Ti~zi?0y?=&MsmJO1_l3;{mhGSL?j3uk0oYpqn zu%1LE`S||hu8;HT{z2jZb)3wHtY4PD5?N@p0M)Vj8GA@ki8_!4r9WxijDv7dB9A9( zzO8mHCk-#iduhSapWwfb5NQfgFq|Ww-LD!zn~5q?BasO=6S9sF8ia1 z1SB8U^X5k79v`@=Q}Lyg!Hdfqd!C7aDbvK}@2&%WySt8&B>5|fSWe@lh5QLrz^jV2Hh z2I>vidbBTgB~<_|QZoJy#=MQ=@YL<|?HM@nFro-BB5lT|oLdkNAmuR^(o!6~|+_uTx>1 z97!VI!09SC+<52bKZiIsyY((1;h>{<)_L}HM$=D5F!u;4h;I>rvL}E!;KI56Ro;g5 zq5!l+Me&`WbPMl3f&hzs2-?nc)Aq&PMU~^Rb$Pxk0D(=b#0iQyi^R(;y6k=GD*&(a(V;MXnQjvZ-^^*B{tw z$=w~zhxg{8A#}^mCwa_1~)nTtPcq9)wPUM4gg{(=*JSbK+sM_~es(}Q(9u7S4mRm?K(?ke=FyLFfvl1f& zFN$||JowOG4~gr1YGDdOYH-_NhurUD1)jr~`%4H7yLmk}8>|-u*jl~A8{AeLeB}>K zvBX+E6FZBjza^B+9`7yQdeyo6F`ziofZS>nL84^bWwy5i9@V3r?AOxZ=&>Y+DqkHS z-k{}x2p^yrOKUfOA!S{DwFg6dwlcc|*p$jcw%#p5aMv{Xb8-L%UbP53Lo-BSD;?zs!*|Bl-ud@fGFxoHbg{1-qh%L1JRp5Y1Yp^p;NMRYhB1hbFkF9%x z4Dv0a-**X0On6;TCMv&L&p@!?IMX0D+to{!vA=5AX0a*rn9Lo2SJqH>dFucpc>fR{ z^VdBKiayztdK1boAKT+!-FFuMm5RUS70>nk*dA^|w@x%n&U{Q8l>c#yb2@wvgE6n* zp)qe~+$}pr4W6Pj)0LJ>>)1K{!6$R~t0hmNlHWp7N;+(J|F-hW@Wi+y2hX~Tqv368 z#1fQ20g{oOg~$}%??)~^lRED|a}gT-eD;Pw$IGs_nTS#(tmBpml)Bn)U=V$lQVa|- zO38@QD+uf52`IgwbqOk~cW&H_0?Z3EE1%qQ2F-TOxqx3;S$w4s>>6vmZ0J#bydS&NSq8f^`v zJvvVlsr{oe{G~DTSfiRSp>b%CAyIYwM|YpfxVkNMGAAW;Fq*ousCb^5q!O>Fx)YR! z;=lk60Xb^X`RT=?3S!wVinm4aOo(MpBc~rRJ`mFaIA!WR)IC10$mY3-v%Vj3`_zzO zh0sE#0pW2ixnE{8m!(KlHzM!C!HF6HNHh))Ts8%whX@LR84WmtDTpC**g2>=K5)SR zq-y`M6so88iZ&LPjfe+RL^KP=ML2B!!_{af&NXFhF5d%5({7!f*#@JEs3x1JU!B(V zQAW@noFw`zvhISFuq%3gG_7hxWx$fP*wkQddC<}zWda6)JRV!vS5i%>9>GtuS0aDE zP7#q`osZ+@@=jo|VKz{WgzxA#v;I*psNUe8P#Ye}DEKmwlqzOWgf!VGe%D&(Dwdkg z?CImJdEhK~+bK7rL&$P{UnE*dk4OaatmSymcS^Y3E#L*ChPeiE|InW>V@MhG`JLk` zn4p<&{3yy&9J0s865x_AGTb~0-B76BN)}WQa6cJD!{jJWd9W!%$%(nx{&=|mHwG=& zsN&Z-9>!BX%`j}0F7-*M5|O$CZI^<%AIDWWzMJI|o=R|s^YcBsQ5&hc))q{bxe*xo zXUcI4iob~k}va6)u)Q`K)fG^?Es05oin}|` zDDZT3@l^uaWsPX7+eC)<6lR?Ik&}Y9R&7#~PVJ6>`GFfHet*^2S)eg(5cRg~c9DE- zklP`jJ!V?P(!@6!=D)^;Op@NxZ*pVsGetzCfb+3DWXykg4DA*@^8;vlwKE6K*3=>v zn29%H)V*}0dU9eOQcYOlge^m~B);Ccfz&}hx*ywocWOjV`_5Q;!`+SztYRN5R^3&k z>XBkx9&Bp@wF|+7=1L;Zeb9T73U85P|3{w^G!Z|oi`(*&k;Pf?`J&uRB-q~nbSvFR z`oSCVJ$JXY`c(Q7+{nOPg!_9G1b#gn0Z#;Hc(*KYt;BZ&IZt@7$#aMKAD< zNp!$Mgva89(w z-r4p7K)6YaXy0n~0&magSvW9I=bWRRprySifAreoS#cWf=D(+$UG!kSGQz%`rSKk0 zFsrShf?tX7x%~V<$i!@UlY=8@ndJIMx}AN$%8l_p7iL2FQGqx-pDR$zzu<`MOwmFd zk!v&H!Y)Ub-Rg2-6eglkha-61z^mUWI#67GRJ)$P1s0SYZeD`o5QsknrY7xGq;UHT zyG=r`zvUmn|F-Mr%{`^MVt!|pIS8oUNj_SoAaNmLTmwB=6lIez-}p36R}X*4rP2|+ z*0KdR!FMvyL~6AB3&E8;<+2aKyMQ2>2S%H=OsdBrU%tWb5S) z+%7*>&zSP^)UPx>C1n*=^T*w8_c8sZIpZ!FgC~%8Y!>&Bsv6@q7Z8-#RkvicMgJg> zjf+~}pu)U7SV!*8zRVAQAm1bOv2TzSkG)79B)V`b75R{_Fzk58CnRGhvlF!+fm+YD#UZzE%4q^ZwVp}4-y}Hgi&aQkpJWel@7ib z*^oGhI0{4%#^MeH$A;$rs)<=oN!%xHA8)ANyT7*;An;;F^pfyp9?Xj!TxBie74H@O zet*)4U9YLeyY#_)rfh^xoQD1SYE0@zyWh6M7$(00dw~brL0^kwu(>nQsu-@F@)T?* z-i*3A!+%95efN?uv8!8h#b31#>$&9zIC0;g?lg{JN%)@cX$fx+ld%e!{v<2>Vst(6 z81T)N>n(FXZ{fV3_S$bm`c)rPMWHjprd7eB!&T#_3gpU+r4g%aw$r-Id{5b_5}zc@#y8ML zMQ_WOPdDR}w|iN2U)9XwLTZPb{C2;42Z?_}TvBL5`VQWJ!(%He~9^)aGARoH}X5s<$oKO6rB2cTaRnFtML?~uERR{kXr-KlEIr(Zyi)K!y z+)jKhj;(_oao!^;B+qv8E|_-Q^DfO_iDefV5A!Qy>ey$-3Yx<~sPuk_|0Hn&J-QqT zZ8&@r^joMaPdI$K%J5k(z5v&MT24SGt|DU97x?DZW9HHTYCuDD=Z7}P&)5!1+BxWgAA5M%qQ zNSX3;@5^(6WL%LLbuBWJZBjlJHkYt*vtDnjql8-3n$c~+F9zf!+wljQ@VPKzyDelb zk(@13zQdTSQ*ud3=YE_fp`Lg)9l`~At`Erui*X%-i3i;hsR#L$qiR4>lk-K+^$h3B zR>s53OTx)5RJ~LW1bH=JiU(q==zywf6V0(0fG5vsv5WiMHn<+^J(NF8)Dn!UJVBLjhi_$5M}6)t;mJRBijl|2 zm7f=%1IssO`njLYK?>D+%qk}pBKw9+RzDR;`{Orn!xapiwDO-k?W8q#G&Z7dX;Ts` zE>un&wV&#}iYrp;bzs9&G$RP}gvrOCf^oLf05&|4x~#{1>bzBLK)xGtrPcX}=aSFZ zcsLem)t@w|(pS$woDgTkB`SNHT@vQP0H(Kk*Ws6=i5X$X;;mFAU8N+8pjpu{z<7oTQeYiPjdRzsFuc42?97NXN{b=Zh6lwVQhvf203d!0u~WL)-p}e>$}@;s zshOU>T5Jpytm9v1KG)~8`6CBWVdxOc`D<~ysH+xP$FI_n9KJ5hw-7~tbZe8+;d2nT zZDWY_Y*DorPa+oQmLU?<4^_5K8SZ30#}>*I8$H(x!t?e@$g@0GW|@#bNx8J0K#Sx#%6qJ!~4K zDxgpqBoiUwn0vu7>v2>EW8kh<4Xhh`YY|(e8@Ji?MQ}lnBo*vV{DpllZDCX;aEOby z*5thnu1H{R*-_@C#=5uFr2>|rz7L!*3fXw>HmOa0J;Ox7uNR?FGz=W9(ttsuKJ2pS zojXnnhp7`C8hLSgoy?%~=OY8ZT0;$w4gT+xINxPUBMI0S5jTmjYq7{b;?ybvz9IE% z6>xrLj%LIdkCk9+a!%BeNWw-#Ws@wCkYy<%yA5sX`J4S!B9!*C5N*38s`NU1?D#IH z@%={Yh8X|t33TKB>0}IQ6=Wwqx!iTRm{31Cyp-IjY8NlM@1bL`G3J_+0n^Qd`Lg?6 z-7ejlJ!j>30G=4_8bQP0p*T|UuEjQFX&BL^%Fg0J#}I|H1mx2rDP$@#u-IQF9(I%G z?~pEj5kwv2dM|UY{8Z{tzAFySy`9VvRk?BFZV*2+0$0@U$ps0LUF6=_A?41F1B)~ zdWnJ`wB=)-Q8n5pz~if6#}w<1(MDAP%vgv?#n@tS4TTdx zKXu^zFR!YS)9Q^6+pFifFHRkPqOg8~OJM@db!`=>N7kKw zX6fop*VxR8_-JFoQ4x`k?%;JD4-*T6I&Znt!=b&?AdSxOXg$xQ`T0bRRU`OA`2xdZ z1nYRiSP4d!f93e3<*}gfiVl27_%eG#WRy2$E)aNyAG&m7I#Zc_Uccwdz~Td9U4ZIf z1=0e!1^=OIi}j?Hb_f>#JX(^?z?Dif66YwjTSn}AI^pSslL0|#GqMU~P9EXra*)a) zzE2V2b`6~uaW$jXJKy6vjWM+7L+%*4>6AWfSJ>jruU_#VisEji!iiw{pfDDe#r=?pTS-d56Fn(Sab_725)P6XmbB%b$~ z>>#@kLGw1{G6X6@@T|ta-EmU4uUt^M5cx&4(NOclT@(&IWwHPNrc;#$LU@Dh*IVrv zmnMDw90$Emiy=~^S3;O8P`|5)C6OzQ>_PSyg)F?$MUjjXX0nbvcCyrnJ~9i~OAvI- z#(Ss+M-wXq4_wh)hy($o07vI8r5ymfuwc=$uL4Ir2>Q%vT0l5BN?OuKe)QD*AP_`! zU$NX-!?fh>b-4U9L=tYS+(x# zyxw{c*|Ra@;OA1wy7xG`9_l>-msIhIPX;D*ti~L=j2~PZk`D3$C$F~zs&%`r2YvM$ z15Xn!TWlZKl|{j)_9A7YyAHY~drp(P4&^x?W@FCzj;{Xz6|)1K*6#dxMK>t!D_e~w z>o*G8`Rz7j>hC%TA8#$kC$ICxHy+O$8ctQ$+r~SamDkVtmx5+2p8OAY0yf6L;KVAg z>q9Zy5n-5tm)+-e8eGPI27lIxzUN>HcI{+Ghz4@#C!d5>>v<6e;RTD<@fy3mR`g4M zX^u<(9YEK??fm!*pIv9Q$DvD30G98ZdOzXQpWlNwE6!@z-pjgp$_y1`*EXn53e{2@IL0&!zLz;%<#ES2CB2X7N6}u zp8t4K#&QiG-8s!II2d6o1vwasd{lTf86J^i_50NmVDD6d8K}qd5}MPMybgTF{M66{ zxyUM+dswflG9)J_m(R%RkP0y2d%ikn9e-fO7**|LMjHCx3d|Hg8Q5%IW5h=LOix#O zL1QaQLMrI+~#NxB{sj@u*R?yN7S=OncOH` ztcY9s&DXiN-?pkJpNp}x#aBpzz7P1^dH&o;8S!48wAfnWYqUb?>c;tNA@yLID^DG@ zL*gSrDiMT>at!;)uW01-ZXm03U0u>~W45};-8@nG^42bYB_d+(`hQe)=J8N{{~r$@ zThc^*kZmdnWy!wJkRn8uN@W?6P@%ELjIFU|i$wCVuUVt98&mczV+)OC7&Ar~G8oI4 znV-+++jsdro`2kP&f`2@_ulik=XLMJvnwM{v0L;XN3tMCDC zmsv}r$!1T!3*Kw=ln+#D_XQ1pO>l6b6o*%N~3BzwZ?Q=bz{Ulrp z#YWXx?cK+Q)mLyGbPc#y&AbKAD|c&jCOvZ6hC(y4$#)OkS`@3V3S>l_319eB{gX4$J5-z*kAW6?VUZtCYYM&44PR*hD8KY>Y<84=oBl6MTN=YlR8zopAUBXui`>=kpoKN^=oqxoTjrMRN%7ftJ4C8{ayq$f9 z8@CZYld&0Gk|W0f4D!SX{z04H;BPwm>Gahf^R3w>aiTI=&d@lby488l%7{r*^N59p zM$w@+r}~qfOC;~DX3IKN)1)t|W}qCd-O9AOvKJ=Ln0&R0wVAR$@gc{0?{#N5ZJx

@O)9YnsJU41#$>BQ(yPjA7gP?k3o53;fHGvBUlq?O+jKVkLZhu_&(d z$Cjc{w>^mCZ4&6u#Y(VD{|HnQ?ib(UXO}igzi>h*C!E@la&k8`StUeGp<5)OXtD{3 zOF4W~O(#LL2p=rzrc1Jg5qK~X~}kIO}mJg)bYnoJvpOiqL%v&tj8{fkw! zHu`Sk-+B|YDh_mP(S6A)8#Xrro8fxsgZn;LK0o-ROx%hp3spaz$xi3dCg?;NALI2A z+)HY-={%+%u)&%#^2C%2c|{U6=2-FuK<#l4wTrMi$S4}~R`oipzp0(gj;Wh@IfklF z4vq`)#<46IzUXf&nqg(j+H#j^a89=+{;+-|;?^Tv*GD)DO|x31OXA}-B!-%OWDR~4 zKDSbn$)qc(8w4BvMr|Sx!^p@f;m!RI^Fc>DggF7!+Nj1Y|DTTxy=PRmr$4-oJ*hQ4 z19cP-!Qm7_y}@8^J~v zD5%q(Ex+fb^Y$^&T@V|H?hMQfP=2UA#sUDQs2x-HG|(##b!AZj+YmIkwbQCf-wR?w zYV8^uTEN0xEHt?dA8O`GdKtwuP{bZ=JtyrotndwqNLBC8q+L$fQ$czmz1EY|SESTF zBvKQom5?=&wUtLAH1Y+f$(nC4bt}?k3qW^qWf>d2#!7xh^Q0IJe!b-I3f{{XU1bRy zchkaF&Uo^LcIHgCnh)ksc^JP$8y~taK8QZB5&w*Mn2>L?v=gDrKPWc+swTYo= zfie3jPhCt5wWCJgHk02^yAVOKBtKVK;a}munS>8({-ZTlK2LrD&fV>}UA+w14{;e3 z^FCCZ`0P4Of}n6~6U($XzfhV$%FymaQi%NCed@%jaF@x0lJ0}`WzyVbg^Aw%Mv*zr zSuyeZuI42 zGz5Ah%Yd2d!w65L1qf!5w@xcxH)>~PO}B~og*w-ps0RP+X-b0PIBa+h6qpFl%tZwamze6yWlPZPN)UYB1bbN-Npr$Bd1&TE9A zr|^pUd=an;W4f`eY;GOD8W8RCOb^!P7G0eA1ewmt>tU$1W?)z}`c7?u*<; zX!7+8+NKC@mNqb8zdjfd2Qd6$yxZP$ES5nh1NTK`YQSNP4pfu>wYia&Ft6CgRF)wW{|QVfVHL zMxS32G&#nLhVu3kk++SxkhVp3CBYcCoY(tw0tRBPvL5poULSdoL=|+7 z9h$#Q#%DXVj+wTx(Q8u;aZELF)OEXIh}B^#*{86rG=Z#eY@m3=lr5{L0PfUz}lMm`m&ij3&mDXH2nt|HkoHb1ockp+{mK@UOE9|FFIutRI}$ zrb?=N>T{ngRI<`^&>T>Hl~gZ95n{QxMpO1a$uXDL)w*@3>1qRY;e|u@(}D$U!?qlL zoZR(hfH1EUw}5Lnl42(@zEygRt2QF&a2EF@CntgeK>DaM^x8ulMs%t1wBz6C`7QDO z7P<835r)pNyt;7zRx90Z4nb`#C#+*y;&e1$(#rK{x&`!rTzcCGKA`%=1P{eLm6EN* zFgw$#Vhf5vr*=ztbwW%QM=+hhO-|T%8D`j?1S1A4=0nW~8`Df_Jp1JFy_kQ>>VPPX z90O(&14u{DZ8NV5GOGr`0VD@e`zv3X^&9E|jp*A)Rv7xh>fO$9Y|;u9K`Gb5OOEi} z|12_{aai_Q1}z%u`}9zMzv%GbgDPpmdZljPx_@JjAb7a?gqcltW?locagxE)w^xhc z%Z%O23|(S6#dN=tTq3q_rnMs2WB7faZAI`Xaf;5i@9=5Q_|w?IH`Aj$#|{tOb?SJ( zk;ylj!08>Hoj9&Pdq^871ta=3I;%E<&fmyE%!j`?oc`eTdW_Nhd<0z*dYkF6!$OB) zA-(NRk)-6&1ZtZu5kXm?rZ8lPYzMh@xH+;v^A4sz&~#hE{QXz)>-4eUk4gQ2;U@wC zMYE8?TkiLgE!-PKJgW6zU)(s)sK~*1LA{fsL8&;OBJ*B#s$65MjqV1gP+(mwF+9hn zFOqg$6X%kj<=R22WPn%*uMQ=cVF+^+!2Iy|>s;vZ`<29VqqId-<2$z>Y`{%e%A;5J>nqhBqzM08% zz4ZB_^f-SRk1GXE0D*oZ5wJ{pHEr&XS0gCib6r>Ga1<5ARs;RXpeXXR^z+*ZHiRU+ z+@niTeLza|0q2LiI7_IQDZPRgk$c4r{S+r$5FG7Mgy!>bJ293$`VR*UGVTg)3iGyx zB77Z4LDVw^#^6$DRQn<3=ji0}1~6hj$2y_@>ae*YR*hX~JSS*m$!qBNPe%V}7SN4n zg_7S^{m{05PJPN2ADp$DZgp~WRhvr-vc7RyRBV(!HA+6~cGUGYKraIs>m7`6|L7vx z!(4k0mHOK_vGdHQLKhfT`S8N=oP!(W>C6S)Y7NjA?FS&znU4FJ(gi)L;c{^*PqnRz z>bQc{*VsqCmBM_k0Q|nlhF`j5JRz$h7P1&5HC!yjyD<>hycl1+di{goGo@bGvzwgL z9N3I->YE75U9SNmaUn}}*#88Ql%OUz?4bBziygxPy09_LPa-;19!Ku8o6%eW`}XzX z2&Ym! zIJLN-Xf>X0BR`g%lasunJhPh2>zOxx6S-p@ypecRsl3emqJNCoBTmp=Cb=fB`WB?A z?D=W!&XYAA&@{&|AB3nY_wnY?+ln3n|Axc?BkBraW&ekY&5dYcP#R|P1=HNbIoRuT z8y8M@Z6W~h^U9b_@sVgon^LgLLI3tU>y=$)?_Un{#@_mKPywI?;GxtI-T<|_CWQ7NF=J7@Uy?XV>X1&KT|`^_9Rm+w?SdNy zxBiZY-9N`9Ir3mKNv2siw&?onoil8brv;dbFAm>Io!gf|~O1x;pmn z9x~D<;ASIIX**5As96pPdMq_v{Bn9=HG4~X3RuQ$CW^9BIcXgpN|-Bh;ObN=g31<@ z@=p+3h$=*lZ-O4@t--yprr3dy+H{?y%$Jw4zpro2JRXv|CZG}8wRw(?HXD7M9O?0# zzHH&d^|H2fuM*1nV5Udbv*ys;dqs+(`y3i484pj7YW!26p%)`th=s}E~cGvDWBvvA}Z%!=z(zl)4LTc?0D zduk)x6JMMoTi*x0fs-t9zR|zjGVaT}sShrixt+(DpDHPq$`8#4ac}CwPXR&E`pi_u zN9S;#XIi&Oi-}LSvXKgZQ)TT2af68R{GCo@hir&Mlp$5M@rb<_vZsJ=cvr_1#leL&b9(r*G0$@G-9+QqTG+v+#rnsezIDK z0|cWd%9rDx3t&`zxhXpgE(+}raJ4$xKucK*glAZZ zx$~eH0A50}ZMqV4i8QH+ZaW{WcXSiqdZM$#y<4+*N8-1v=NDTYUCVNHDjE>?c60>h z8J#bxVybbs*P8ftva^@==xKYlXJ>jU%Wgm?UAnVf7#UJ2D!OM*qufOGA$T zhyRCG(VQ0SJ%fiXc%ZNiSw;_s<}t4^BN;q%TPt+sg`bln)ddhEbYh4YUF*Padv*D! zz&hs>7!5TDO=rl{?GIWiw*sI`->M1ibT>US^Lo9#r<$}v!|97=0$4f!#BU@?R%l$D zif}+~5v~I-(6^DQvhEm{$wvvCQHpE1)^CuZi0cq&=)|W*De10nm{2M|!Qz{aq z^uIo71W;;Dk^D!3xp%qq(eu!Ble5yw*bBRoGg2{(SJMkVauB4K=QE7F%+`a?8RCLl zO=A8nz{RRT-@$0tc0Vg)P(ue!m~WSP?AS3T^Ce4ss`jljmS>_c6#hR5 z=ea0vqWMpxxTTgxe)|LyytFoS>xt`ZZr67q9^uqKy50+MC%nUQJ)Cy@()s@8qY9)_ zX^kS*eF5QCpopC+C4mP|40>Mm^!D-D*dGcNhb>Vp;`?LWLY(kiUUxdnU#Ghk`(Z!D zpC<~8bR5se-H2OMRCD}(gTyBd9{IqV*r8aqGZ*+W2mh?hVor}~RZq=LaB~V*32W<@juJnicmg2Eg)#xJZudmEP(UUVK^N2WVX^Xe-cvX|ClQN*D*yBCJGQ zCFXB>Ln*wO7vTk%_)<&qkNBc^OZlmfxex1Q&2%rb$DVoRR`n`anY`4J3ApE(XB{)u z$@7D7EE36SB}->mZmQAaTN@IR`fC8 z0NwGRb@;K0N8QI1yR7)$xf1XbR_W@)!>21B-}bpSDU7{XCPl^~o=zxR+q%EMU4jcK z>(D3|<-o1D)TSk?)w%Obo$~yQQGiFoR~Ous*RfWe^>6gA z%U}Gev3R$qK2p$u@IyN#{S9Oj);WoeLDaTcdsY#g7 zgF2BqU;VkL`2N>LQzuS@a;NYWeLu*ZrfT8^HuMqE6dD7uIke`NnrbI(iW#{ljX8Yp=GJq#?2%h fQMm!rtc>LE2b=f{oGvb7S|s7bf*JHbkMjQkHpjR_ diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index 10b095c..934536d 100644 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,40 +1,38 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1679994392961 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1679994392961 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1679994392961 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1679994393007 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1679994393007 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1679994393038 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1679994393054 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679994393132 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679994393132 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679994393132 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679994393132 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1679994393132 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1679994393132 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1679994393225 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1679994393241 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1679994393241 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1679994393241 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1679994393241 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1679994393241 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1679994393241 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1679994393257 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1679994393257 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1679994393257 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1679994393272 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1679994393272 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "temporary_test_loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 417 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 88 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1679994393272 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RestoreDone~0 " "Destination \"RestoreDone~0\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 150 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1679994393272 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1679994393272 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1679994393319 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1679994393366 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1679994393366 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1679994393366 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1679994393366 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679994393413 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1679994393429 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1679994393554 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679994393804 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1679994393804 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1679994394585 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679994394585 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1679994394632 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "31 " "Router estimated average interconnect usage is 31% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "31 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1679994394866 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1679994394866 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679994395147 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.31 " "Total time spent on timing analysis during the Fitter is 0.31 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1679994395163 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1679994395179 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1679994395225 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1679994395272 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13733 " "Peak virtual memory: 13733 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679994395319 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 28 05:06:35 2023 " "Processing ended: Tue Mar 28 05:06:35 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679994395319 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679994395319 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679994395319 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1679994395319 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1681444896092 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1681444896092 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1681444896092 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681444896139 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681444896139 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1681444896232 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1681444896248 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444896498 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444896498 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444896498 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444896498 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444896498 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1681444896498 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1681444896624 ""} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1681444896639 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444896639 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444896639 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444896639 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444896639 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1681444896639 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681444896639 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681444896639 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681444896654 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681444896670 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 69 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681444896670 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681444896670 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681444896670 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1681444896670 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1681444896702 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1681444896748 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1681444896763 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1681444896763 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1681444896763 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444896810 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1681444896810 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1681444896965 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444897139 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1681444897139 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1681444897811 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444897811 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1681444897857 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "30 " "Router estimated average interconnect usage is 30% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "30 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1681444898076 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1681444898076 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444898310 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1681444898325 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444898340 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1681444898387 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1681444898434 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13732 " "Peak virtual memory: 13732 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444898489 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 00:01:38 2023 " "Processing ended: Fri Apr 14 00:01:38 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444898489 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444898489 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444898489 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1681444898489 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 1680337..70ebcb2 100644 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -31,10 +31,10 @@ C25M => WRD[5].CLK C25M => WRD[6].CLK C25M => WRD[7].CLK C25M => MOSIout.CLK +C25M => FCKOE.CLK C25M => MOSIOE.CLK -C25M => nFCS~reg0.CLK +C25M => FCS.CLK C25M => FCKout.CLK -C25M => RestoreDone.CLK C25M => Bank.CLK C25M => AddrIncH.CLK C25M => AddrIncM.CLK @@ -63,9 +63,8 @@ C25M => Addr[20].CLK C25M => Addr[21].CLK C25M => Addr[22].CLK C25M => Addr[23].CLK -C25M => IOROMEN.CLK -C25M => nIOSTRBr.CLK C25M => REGEN.CLK +C25M => IOROMEN.CLK C25M => nRESout~reg0.CLK C25M => LS[0].CLK C25M => LS[1].CLK @@ -86,10 +85,6 @@ C25M => PS[1].CLK C25M => PS[2].CLK C25M => PS[3].CLK C25M => nRESr.CLK -C25M => nRESf[0].CLK -C25M => nRESf[1].CLK -C25M => nRESf[2].CLK -C25M => nRESf[3].CLK C25M => PHI0r2.CLK C25M => PHI0r1.CLK C25M => IS~7.DATAIN @@ -102,26 +97,21 @@ C25M => RDD[5].CLK C25M => RDD[6].CLK C25M => RDD[7].CLK PHI0 => comb.IN1 -PHI0 => nWEr.CLK -PHI0 => RAr[0].CLK -PHI0 => RAr[1].CLK -PHI0 => RAr[2].CLK -PHI0 => RAr[3].CLK -PHI0 => RAr[4].CLK -PHI0 => RAr[5].CLK -PHI0 => RAr[6].CLK -PHI0 => RAr[7].CLK -PHI0 => RAr[8].CLK -PHI0 => RAr[9].CLK -PHI0 => RAr[10].CLK -PHI0 => RAr[11].CLK PHI0 => CXXXr.CLK PHI0 => PHI0r1.DATAIN -nRES => MOSIout.OUTPUTSELECT -nRES => nRESf[0].DATAIN +nRES => nRESr.DATAIN nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SetFW[0] => ~NO_FANOUT~ -SetFW[1] => ~NO_FANOUT~ +SetFW[0] => Mux1.IN7 +SetFW[1] => RAMExists.IN1 +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SBA.OUTPUTSELECT +SetFW[1] => MOSIout.DATAB INTin => INTout.DATAIN INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE DMAin => DMAout.DATAIN @@ -132,35 +122,92 @@ nRDYout <= nINHout <= RWout <= nDMAout <= -RA[0] => RAr[0].DATAIN -RA[0] => Equal17.IN10 -RA[1] => RAr[1].DATAIN -RA[1] => Equal17.IN9 -RA[2] => RAr[2].DATAIN -RA[2] => Equal17.IN8 -RA[3] => RAr[3].DATAIN -RA[3] => Equal17.IN7 -RA[4] => RAr[4].DATAIN -RA[4] => Equal17.IN6 -RA[5] => RAr[5].DATAIN -RA[5] => Equal17.IN5 -RA[6] => RAr[6].DATAIN -RA[6] => Equal17.IN4 -RA[7] => RAr[7].DATAIN -RA[7] => Equal17.IN3 -RA[8] => RAr[8].DATAIN -RA[8] => Equal17.IN2 -RA[9] => RAr[9].DATAIN -RA[9] => Equal17.IN1 -RA[10] => RAr[10].DATAIN -RA[10] => Equal17.IN0 -RA[11] => RAr[11].DATAIN +RA[0] => Mux11.IN17 +RA[0] => Mux12.IN17 +RA[0] => Mux13.IN17 +RA[0] => Mux14.IN17 +RA[0] => Mux15.IN16 +RA[0] => Mux16.IN16 +RA[0] => Mux17.IN16 +RA[0] => Mux18.IN16 +RA[0] => DQML.DATAA +RA[0] => Equal8.IN3 +RA[0] => Equal9.IN3 +RA[0] => Equal10.IN2 +RA[0] => Equal11.IN3 +RA[0] => Equal12.IN3 +RA[0] => Equal13.IN10 +RA[0] => DQMH.DATAA +RA[1] => Mux11.IN16 +RA[1] => Mux12.IN16 +RA[1] => Mux13.IN16 +RA[1] => Mux14.IN16 +RA[1] => Mux15.IN15 +RA[1] => Mux16.IN15 +RA[1] => Mux17.IN15 +RA[1] => Mux18.IN15 +RA[1] => SA.DATAA +RA[1] => Equal8.IN2 +RA[1] => Equal9.IN2 +RA[1] => Equal10.IN3 +RA[1] => Equal11.IN2 +RA[1] => Equal12.IN2 +RA[1] => Equal13.IN9 +RA[2] => Mux11.IN15 +RA[2] => Mux12.IN15 +RA[2] => Mux13.IN15 +RA[2] => Mux14.IN15 +RA[2] => Mux15.IN14 +RA[2] => Mux16.IN14 +RA[2] => Mux17.IN14 +RA[2] => Mux18.IN14 +RA[2] => SA.DATAA +RA[2] => Equal8.IN1 +RA[2] => Equal9.IN1 +RA[2] => Equal10.IN1 +RA[2] => Equal11.IN1 +RA[2] => Equal12.IN1 +RA[2] => Equal13.IN8 +RA[3] => Mux11.IN14 +RA[3] => Mux12.IN14 +RA[3] => Mux13.IN14 +RA[3] => Mux14.IN14 +RA[3] => Mux15.IN13 +RA[3] => Mux16.IN13 +RA[3] => Mux17.IN13 +RA[3] => Mux18.IN13 +RA[3] => SA.DATAA +RA[3] => Equal8.IN0 +RA[3] => Equal9.IN0 +RA[3] => Equal10.IN0 +RA[3] => Equal11.IN0 +RA[3] => Equal12.IN0 +RA[3] => Equal13.IN7 +RA[4] => SA.DATAA +RA[4] => Equal13.IN6 +RA[5] => SA.DATAA +RA[5] => Equal13.IN5 +RA[6] => SA.DATAA +RA[6] => Equal13.IN4 +RA[7] => SA.DATAA +RA[7] => Equal13.IN3 +RA[8] => SA.DATAA +RA[8] => Equal13.IN2 +RA[9] => SA.DATAA +RA[9] => Equal13.IN1 +RA[10] => SA.DATAA +RA[10] => Equal13.IN0 +RA[11] => SA.DATAA RA[12] => Equal7.IN1 RA[13] => Equal7.IN0 RA[14] => Equal7.IN3 RA[15] => Equal7.IN2 nWE => comb.IN1 -nWE => nWEr.DATAIN +nWE => RCKE.IN1 +nWE => always9.IN1 +nWE => always8.IN1 +nWE => always8.IN1 +nWE => always8.IN1 RD[0] <> RD[0] RD[1] <> RD[1] RD[2] <> RD[2] @@ -171,17 +218,24 @@ RD[6] <> RD[6] RD[7] <> RD[7] RAdir <= RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE -nIOSEL => SA.OUTPUTSELECT -nIOSEL => comb.IN0 -nIOSEL => always6.IN1 +nIOSEL => always15.IN0 +nIOSEL => IOROMEN.OUTPUTSELECT +nIOSEL => comb.IN1 +nIOSEL => REGEN.ENA +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT nDEVSEL => comb.IN1 -nDEVSEL => RAMSEL.IN1 -nDEVSEL => FCKout.IN1 nDEVSEL => comb.IN1 -nDEVSEL => RAMRegSEL.IN1 -nIOSTRB => nIOSTRBr.DATAIN -nIOSTRB => comb.IN1 +nDEVSEL => comb.IN1 +nIOSTRB => always15.IN1 nIOSTRB => comb.IN1 +nIOSTRB => IOROMRES.IN1 SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE @@ -212,10 +266,9 @@ SD[4] <> SD[4] SD[5] <> SD[5] SD[6] <> SD[6] SD[7] <> SD[7] -nFCS <= nFCS~reg0.DB_MAX_OUTPUT_PORT_TYPE -FCK <= FCKout.DB_MAX_OUTPUT_PORT_TYPE +nFCS <= nFCS.DB_MAX_OUTPUT_PORT_TYPE +FCK <= FCK.DB_MAX_OUTPUT_PORT_TYPE MISO => WRD.DATAB -MISO => RDD.DATAB MOSI <> MOSI diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 3eb0cef74c25e9861fcbbb0ed461579bbe41d5ff..1aa8c1d58c6fdfe2a6efebdb1dd2186ce40a1dae 100644 GIT binary patch delta 413 zcmV;O0b>5C1F8d%7k|s{A=Hqtg(I6Kgo@xS5U!SiNn(jWYfPl7?Z4MRwyl&1Birx2 z@yxvW*K?`*4sWG60m?ykqHVD08m2+;pI+1z{{28Oaqlhb-Xf-p(?=W$1=z2I(pqFY z$h2K&f#_=W^~dAVr&Z2|ErK)D79y7~Ptpz3ihMoo1kGkRRe!n`{dgg{e8F3;jvb!O z2F7oyoA?xwum46DTEO>^YvK+=ql9`vLEk$}{3O4Ovf1B2?r_~6 zM9JExp>zC-yj@0_R!9hhO=lP~wY@BX?L`gfARWn1#u?2` zPHcw|r&=t^qJMlat$F2GE}xTP&v~&xj*b+K0@?8TE3s~jg+o3sVkgvv?vka?(zTa_aqx|~u6M%e6&?mLO?;O7Nf^=KGvkuRX_oAkSvtP@pB`Z^)}>Io zz0_k9LOumCjc@fNvE%i0+fU7Mb~1}HB5uxUxR2W{J12TxDS@~U=h>B>2Dkf1=z2I(pqFY z$h2MOC!*{1=O0f?pVm1Ww+PPAScqJ{JW01qEAq{}6SP?1RDbDO^y7u(@&)g>I`(+6 z7#Y8e zqGa9k*g1Yh-aeyDD_G*MXb^{4I5+;Tr6uoy_0aa(rZbG0xVysm**u4|i*WmOahtSAA*?1H$|3BCd-Zj^r*_?IILInHen}T%1-H+RsZR)@ zdKyCuT|^ z9ji_YUj} z+TJDl*S%QM;aOuu@_tw631qqUSXfvb#OiGnm|GlpGa1+HpXqh$P8cs;E9nE#OLza) zTqQx7aIdJzTu0fPOZwqsJ?b5R>41}Q)4h*9lUv{z`K|`O34b5rJbri%!(SC)PljyT zBjbX*j%ELm4r9Nop^Jx!uS>=C@5_xZrc8FOVe@-UpHwG!%m`fw6_km+swRZ8iE|I| zvu)j`4=scmSRtFClZBwmf!>nnrcQl?w}7e=Yj3K$68nyg!{@10)VcI@kas-6NV~(`tLhW&OGUGcJ_zi1kgvBm zA=Tzv%I9|J%FfPc&h(P7RFk=VTHmE!v56J^VMlwSdS{-_gVGJ079LR~i5Jr)s)Du)m>35MK9i&xNCt`SkL$zAqJl*$f)Q`bGKi zi7Ds=PFd*|3HviBX%TqZ`ve4aPZyBfTwd8h<|Lo2AT;E9xeSD(-YIWkkVXegqEAcO zt`I*n?oTK?lwSfTNLXiS_r$;X2?g};(~+OcZWOB#BHqlDD>mtD17sTm#3``5#7A`I z4zy4e2z;P5#~ZDUJxMP=9QWIfmZQb{oC7t%-(?TX5hy5P82{_#I@?ItzTa>a)k42L>J_~ z;XHnGR%G|6&mXq=`OlkUN~}SAKhA&7)0kKRoL*Hq12^KZ$&AoLpRvFBqe8H5BEVnl z{O=T&a^UFWuboHKc+>9dOXVt@$w`5)l--m#P=0xg)}B^VNnBnH(6Qn-cv{qqPa_O0 z8Jf(o5RriWpoq<^gh`&6mjpfUw+BaSdg)bFWMmaaX|!gvEQAXLocaYu}ZcJc`*_ll*WwJ zBM-3dU)jQMimYy|k41>iks$y5R#TzBd65{w4?RMVEOASD>y8C=@0K%P`&;z+a%#vT zF#-hm!&FX441$;bytEKS9=VORvvOXU#!2~~jrgxq5P0#Q$15s=U|W#6&@t+ZMEWKB zOhRYhcfO`}r4-+H;WEl`*sRn}fQYhDl5;W)PSDmp?VK(dw1@}<#jck_tnia22ANTs zM$uJe-qvX3{hj(zU(fpCVBQXFKi5A7B7^{xGCcTqoGx=q6qH{hgb-!XA`>oEF`Q?< z6Vr+b-yV@ZMv6?*O{N!w#7YHvs*fP(#YJKwYXHz}|K?}3_7*}c2P}qD=#w1W+ z9Ai1VI}BT{@FkX(3vGX?F1r~apEdWe4db211nQ?zVcoX#wtGRh649tfyh5Z&aO+W2 z?2}j0QY@7*6L!ACny;Y__wZCoOc=$dwL6_l(+%Txv&**LKVMiPr2jPgq7%ytUPyMr zngp{BHw;$E&wkIO&tM-?9kb%WsKf=Lq|UCbve1_nuk^N`4Nr*O3++SPQw@Ky$vm+& z%(3o&XM6TJpPlL^j4ZWj$NO+s%0Fa3?!47~w-1zt$kNa3v!57S3-2r;7r35lng_94 z{pTp-*D95rbN2NWMhvAa;!lKPQ7@*`aulig;9+H~*2_944j$j2UqN!<$u)o-hLwZf zqH8wXG?K{CdfjVvb=-(;KYA@w)0IzOTqwPs5g8>u8lfOi&fd!FEMn#;!;!Hbjw$cz zN6Y*|jv+_)fnxDl-j(Jzb>FaAFUO$auR_YQO+Obddn0G= zJ7?V*p<PYmhSx(k5HI7;O*E04?v?S#2^%(w)exFT3y6Uw#M?v928Y<+88|IpxL-*A+pC!AQt+ zQ5JRUrcikh!fbj3sh$wa^!6|IV@UsaW2Pj8DKVXT6ukI*%6|DAQrP+#+e&(1If8i6 zXCYJ=Cms$KCbj5lCRAdzGq42yGJUlQm2=1BM3}a}fQea169|=O7ng$-&y9yd(U99U z!DuM$akPfSC)pLZAFXA>y4Ui*5f7dhp!PGYJN|QoPEeXs0MUYl?q}$@arjFNxo^M} zym-L~`V@itt_UGTfDe^$5Dp7pRMnkJEZ^dr7x)1qX(Crk=f*NuohyfrlDW{n3$Bjn zAtr9=W?@h_AZx|K`-5--SC9L}Pdc&{ZMDFe(fY^bRUG0|1dTgTGk{tw~R=GrUk|bHS6*kfcqP4SGpY%9EoWV_POqHib!eew#Z+071MvfTe?4MYKNxJ z!r~2KHHvIu0HZ%~xWbg<>DZCI<<42?(CL&tcQ(8Ucn8;@im{bxC%k_qktEP9zl}|H zQ3+q$?OTS4CMGd-zH<7la$czR&t2B#H|utB2{S_U>JDVPF9}0gWHcU9%tu;)4xvfU z`ibhJF^Zsko2xh*&d`vlDonNLbnp#touc6F!cAj9T7Xhh$m{pVim~Riu35l;5N=W)Fm@uR5cw4-T6p-~63^&nbB6p1tD{K?6 zwv;SVFzm8-{zZo80v_RKaz6K`yzqa?V=V+iIer~|kJf_B7}GXF&UGS`1{%w5(3EO? z&7vmLe36k=dR9yO70wAx#K~FdyvkMcrD!Qy`iS$a~2A{K}>5fN+ z2eP}m?z1QE7tA;@ZG}cfRneV1I`xG}j0ovK2`d+;Z^)EcrdXKPj75!}=PACVb<v zhu>+BgtVFWGsW$N^%%pdOh-&hF?RH`ry7zs>r2aR2$nNQ01`H>+mwB8PX|Yv1!;{xuy?&d4;Fc5AAafL{7Q z*Sc{eApbo0=;qcxLKX%oV&nS6-BWz{+NBwdM9sBlQw|S)y3d~aJN*~qL7z=wO5E?Z zP2Wx>`zI?5J8o7Cw$fW50G)~`q z0{bUSHKsTi=IryTFZf@K>O4w=&0Dd@*R?h;tfPspb7xbW2lVw7txk6R-oe3uVaJQz zE6?b7p9cnE!aI^s)W1Dxbq4SRcHcyD`4~?^T&a$c<86~+un4zrsZ3P(LX`VhN6OEA zs!K-_3TCw3xBI61y4-)W$|q4s1d5=@QzSJ^#kz#nJf^*?F#&1y!PK*{ksN3eKAXqq%E%q*qUSe;cC$*`U(|{PC^O`_PBqG7X zOcFZQc}sS28`LkuZwSR16amWU`f`p@)q?xhuS^WfokpoRYUMG@_cpPq?$RnARz`U% z=f}H#0D{Y|RO!UhRndK}_7TVI<-Gm6kEl)|UfZha&N~k8D9K1k@whi2T`Y&N#CIj^ zlqbNh{Bq-#CPNzFqvUBh!uZ#Gi?f6UsSKN|>M_XcO`GbB(k8SAd#=Ii{e;z4(yXaa z!}z`wdowQ!DB-26!WQa^w2|akf87Q}<^PQUpJ#sHOTzCLyC0|Y_b}o%{roM+3aFrB z9{zPM#f@#qRE2=hLjx>wrGE&DN14rRHnP$X8%D`){dcjMOQdk%Ha;d&i-_X*-9Yt@ zL{)vWWEHz2E|!Dq*o^B@nkpfW7e9yW=GV)>z-+5m`8REW-@b{ytv0ux(0gaYi6SpM0?rz)nU^6Cn7LqkN(&y2;4#W+xBh z&YGv{cneNhTG8sBLewKovDA-~0Ie*w#PgPu$7zv>b`_*b!{u7S6$XomSfM_ounx| zM64`n-$_;`0WM~Xc0}R=#C|AWrD@LC?Vr{RUT_P#HZX9Jei@_5lPt-J6~0&w*Cl@Prw#l?KJ^J+{ekOJ2it2souBCDVgXm+ z=xE+wm+wNqS|6TRclrZ!oebWUjL&fJO^ijPU>2KCm!G60Y20_U55XA&cYMw z9Lim-Sr)qcf}&;JeORl!X6tQK^oVnIlw7Hnv?X=E5&z%!UY_5Ewvoi{klM`uU1I|2F1XVw+=Esm8Z8u_l z?2!bk&(;=ir=XoJWJ?+B_dE|BqvtPCH8eyIU_N5SQh1v6*E9_diB(Vf!4eOJ78Y~% zzSMtW=Iqs=GMGQ0Iq|1F-SUvB6CF+ZaHLp0mc5JG7^=SkOn-=Bd1u6 zaPUuPbOeQ6t2Lq-AA3E);#I#(g8^fY`>5#U9mW@?dpH@t{W~a1mQDQ3ToO}4*G!YkeX_>;j} zKlo}KAKhLK4)9FHN~wX5y-=zTiBL|1k8RfFX%xKp{xbe$5wnX3#@IKva4_JnkJ*^@ z?9H`?w!wmF9s@HE&Qvo{4yhjXd@&M%)WY_^(HrQb+Nm2nM7!%GX^Az1E4ZHujvrt9 z)FEb?hYxO)jqhIk%kJ7zTfda}NI7q-SfSwK4wvRwQy0}G{-fE6nyO~3OOT|}Fzwsa z=;h!NfR(y)?$!ozcZ%{a@pX^hoSB+M>SvR0lNY*cr~V-Xf*q^7L1H))c;dBdxKGEi z-Oa}c>#IE_MHYnM*6^#gk{X`?si=1bUd~t~J6Y+)?nT!H!a^;Ku(oV?*ME@XDe2q&5rfNe z1P^oG%OQb=<)-7^R;U;`vr)?W!HN=)ptIZv(bfeqh5Xw4U8{)M!pE%PmEPY*egooGJ?Nnm3X zUVL|&M#F%?^j9d?;t{SgWO~VcYN^m_j4jO~c}0SlY#BZP3HvwgSQahm{y+0~d&O*E z4tpu^RgbjA7+tme zy=O3AY%~!#(4<4<+}P&kuyIHUA6H2+_XmE4Y}1E~rgf=2oG;icO|slmsKA$2!=fy+ zy8H97b25wyyGL1)Bra5gh<>UIA^9as;D0A&toeAFSJ-#neh>7ElQ5iTqvoH#Xf*x-~@{WL0J)Dktx zFQj99(c6ptjb`B zfBGZ2;wSkw#?c4o=bQ)P=ik2sqzYAGGy5#~AX7@k7TqzhX+T7_trn{-L{`20iPBq9 z_g5mPCX9^&N5sVZb1p!!5jSX(IXPvCbw>}r z+Iq$wBqA7uwwJzId8!j5ehTM`*#UA*#bSD-heGE2Ok!uHH`T+I@b@bGEQxOvS~iwz zoy_Px`lL`fRK|K~8I>>8d)=`GWu(B~~K>3)RTi<8T>Rs)HW z?+rIUeAmwgHiihoIIUj__$LBMU5)cbjWb6zp5$ZDTaqU@s`ZNuFLESABG3yI&uR)u zr>Y>~3PcCP$*+&b1?PTQK#1ojGQU}5&CN^|%P^Hm;S?NB@ZEXD{7+kCp#PM*E0UB+ zAR_eSo4)tec@rSw5bCd3u$iHrXBy3|=GIenvWVmmOwgEWRGPFz4#XXOZ2g2`qR$aW zg+i$_-h63EI-L)Cq8m?;SeGRe<+`;uCBI4mMh4a61`j9Y%|_&T`9q}qUu62d)K(H} z3EOxrJbH!kg%9|XsN0ngBTEGMg&tevG9x47-(T4TF|hvlNhRo*#Ro;H-kO5gFkJu9 z!c#W?{VFY($?7Z|899_3k05fVdq+`?86kK0OLZuu+K4mX|G|)uZY1vT!Kdawu+;z> zqdMy|g$co^zdh`aif_eLU1h3Q-{?CAQp?o|1~_R2)$CkbPXLw0x9l-~i8mgjD^YH^ z4EN(7p$f+=$xJ)lzhl3z)`I>z@tI+O}$h?aRTaHMj`vl%4w}x%M}lKE~i;;=Dpv zy`9H7=YyIg@l;-geb+Fa&0`VXrCFFsBkW4KpWMc0mKF2zdk!lCa(yHkN~{)6S&dt% z&pee&VJo>fx_9Fn^QBN8esTjx;#D7EAn{>U)3X6qBP2L>!*z^xMuZB4^&+QvWAlg8 z*eX+=&tI7XmQ;|_Do;&nfZ`INz*)Xto--%ok4UlXh}7*s>N!LedL%^-8;1&A;da3I zf2Bnd8hqkljEMtdiGW#ZZSI$xP*5xGG9m6XPhWwYC$QwHhI?0Xnfbv=WhPB`Nf7PMctvfE(qU5#}c>9Ivq;HeNInu8c4 zm4aK;RGNDcz$6TB5((X(WWB6wfk#nM0Oy4_9}22^_WD^EML1FVDH`W4K_UEU)%#HX z7bozMKB@l;G~WJmR|C*eqTaUw#hch2(pyKDS#29I}!_*3d7-?#QshAA# zQ1MM!H`}Rc?o`KW$nRS+oYbu3&`_IlLtm|x#)M^_i3Q~)7wcW-du){pmj59lOxS>D12w{I7<3q@@hLwORd3tI0Ehw0)o$j{*tb*O z)qmHVkx`E~JscthySdUni8(uU6aa-NC7L>3q&NBYJ8L%+Kk*fRX70VojEi{Q3APwx zkzfJFPowLUAXx5b_&Oi?=Q#qXTio|`Y1^o~o6eUqEq)R-dQ!cF(!_>Uudz3DvTd91 zk;b==d`*8fi@vs#vDlh_p=7=sugu7`Jdq_;HRW~jjQKv{EiF@2moWFQGZc90@#xfg ziokSr3wc^6rtC7EjJ<48?ji7Hpn} zBjdNDD<#%)7zK*~5^O*9LKz%Xz`z9kQ_nd}rs+49%YjczRP$3Z`2=F!hJWCLXm!0o zh$%pQuU#lD#dP#bWw5BmlmNt7v4wadA}u>iq*%8-Z{)JZD}*=v{4P$VjRW@gnMj_F z7&f1`Q3E!8jeOpvjlIEL#*T0Sm*O=qd2vn;;v@n4{x28D;sO2#F<~30dGiQK?{4;u z_IysI8IJ_(m#gG%e9Fe!A^Er9LO(3pF8O`eA5{8@@vXI|(vSTtD}aO-8xf%ee|OF+ zlTVdOx0cFN z^CF6S=yF~x#<#v4+N;#$ZVLc%B~D9uyrK6zAS%AhgV$o_7(hKB?B-=)Ltt|)^RR0} zAnn84(1t*+&K_&>!R)j?|?RLP|L^2;JUnP|mD&gYeE)Bf!+>6)!%WFCrOs}o>Qpo@y1#T(V~!0$8B$DG33FI9ZJV9@vr)Q)2*E zBS3-%i|KB5D^rs&=+HtCPQXHd2n3RuzWju`53s5lDUNe{Z3IuLoFr{zAy%r>)&SjR zTg?Nw3UF1?H1BbyB9S>QJ%4aPt9;8}g<^6Gt{ua1{%f3~D zy&t9r!d>?xH7yM0-&m9>9hcjtSMT;d5bQ#5mqYMHr%nO~mdDQ3{rGK%ZVu!O@Io&G zSKj~9-t_YQs`5De0;O^!Hg0JdcS|QOlv7<=T}pf$>h%t>4Dud5tg)LNd!8@1T`G_R zZlxC-X>6nSg0HKOla|p+p!>dWihC<*UN!#|nMvFVe+jNewf3DkZta`ceI<$Z5kUYM z?&y+mZ=YnOET~k^erZ`MdY&yTc=-(hh}(;LE2rEi&7uVu&xjckp?QK=D!)lX-+X5X zl(Ez;F@M-W14~o+>!K7J|J>U?UZ?8fC0R*TgApp?Pke^D?VE}4Ih%~&0rdXIk)in}QtBQhdCtcGki34g zU#sa8Q;lFJ)ke;5K5Y~jC3)cZ@8F$PECypR?7r@b_ZWwh1fuks9`{%d z;+)w0SuoJNd+*OFplX&LMNC`6z&EXD?!KXdPnb|9>a7&nP~));wf`-_eLS0l9cr6L=2j{M+!@e}^|?|7}UdkrJPHT15b&KtZ#&K=e)uZR+;svh~}fXep%2X{2j6_iK%%MzaRJYHITB!(^#rIvvMNGi<Lz;l#kP-E)zxJ|t;6 z_O7QAd&}^mE@%RGcH%~o)0Z~NmDU|)_&8*o%p~vMFDwtd9sULRW zRGK>ei*J8R89W5G_q4wJ1IdjYoaNmyp`>W92a+g?3V%R26inFkf_ z1soDvu?v+UPbBN~w+dtHCLe~T>iBepaCkeQgLJR*``~f_L!Y3fJns-Y8S;?Ap5lgZ zx;o0L4Tsrxih7F>gX36Bpy$4XQp_Pg55L)TtVLe-9u{~tC(c$nPSU@vsKT*7wntAV zE|(nD*6(U79?Fbf?JC>wAA2~*3$GM4y-2mW9->UGeT_~MA|xYquA&m^sn&d|_g?8P zHy@r`a7`=9t$4JG^;=lDk&BLh>zc&>Ez$4%=pSsT=ry^Ct;-(Ib%IEUY;}HrsBbS! zk+X`dJPkx7_9PmR^E%GpdNtX&Dy}p)929OkEV@d{hjh-AA7W#3rez#Qh6cmR#lRBS zj@DpYE2b<_y6Q=TgB!76T5GhDic{cSU7WAkQTY;@ zs_gYrU$5ABA#oQLfkEIgZVBI|1g7|%-UWU61yJ%kFU!9*#`h^$Mv6R(d&#w5On%ry z#8oD_*!|5{I8nYt3BspDe(81t?ittU}AT9 z*b8?vc@OXGSTT@~?Y-mb$u`W2{}v8={inO~pN<@jkO4)sgu%@LZ=z#W{w+hK6jX{< z6EOJlq?K|`nX*Mt=%zYXBT{g1HP&E%LXV1t?#wqkrrN$5HJ4@Ai41S^;mMnA18=Yc zCuNpwCs<$^EP`2#)Wy{Pbu8M(D}Z^n3(ZJoGxyRBe35u-u`orIazwP-rsLfPhrv0L z?49lY6Alr)s&8j$w4BndJI++G{phF%TnQ|=P~90~tPi?c2FbKY5}2X4Nw`K&Q(2}- zZ#h>D72KbQ%om(QB~%Qv|5OV7!U3;kgK@w;m|rBiSQIi&H|MVYq@~-~WibnC(Q~U9 zDnO0$PCne&Kz9+Dv$UNPUZwZmps>Aj2+lp4 zq4^x>FZ#CvdGM^kQJr& z+ClxAqT*r{_tFs}V2O+`aN;-z^qz2sK^bYNR4?xc_^*>P(zZPxV|6=}P$tFe^Ax2F zEXc%H7tLsgSBbX$P9-A5KafUEX`y`VCOS@i6Jt8q?u}Fgy9;C|-Q|(_t2zpTs2Vu$ z4|cR1T@VgkJwaod#{|tJ;80k-`K)Vh7U`yzma5#yWwoG(wtgtV6iI_}BB4tKxynaO3P`TvNogd_z)V(C5Cb!Al*56PS z)~mj?XlI-{nVcPucO(bcpn%?3QJZeA-T9Si<0(~3)*(UPZA#QQwCnLuD#eWotW~lf(OaH zHdI^GNt^O)Vjq+FGN#2F^(Pm?IDZ^wO?&24iiQIReo5#2& zUwt=M_YLSetZci5x@}~~hb;;91gw@Q6C6MC#A2SAlQo4mFgJ2Y7i@zQ3vx9O{5mns zprth%w%>8bT8T$%sBn(&y>JDZ%y;AV4=h&_#n=a;K;~(`#`5kZ?y@Ea+7g}Lx>8$L=;2n{&n;|U2jj5C6yv?eC zr>?Bjotjn&<3C5&eXO$%$-`i8m$G=E;BZ_5=yAw-rL`>nhsQMfhh9g=w86jU@Hwhx zwdcMVwd;2FV%4sOC}8s-|6=>5cxv#5b}Xs+J6beF0*ktwzDR0P?dxQ+J(t8k{j=k^ho$2y=_(}r z3wU*0D9~=1XfLnnRF~Uqc`RPJ@2Tl($4R393?u)i2n9Ryf3_wc@x3(Q-4u-V?D(?JWMa$M=g<$4va{aKIY#zg@1?_|@WoHLlxTk2aE) zyVusoDO6AUt$Fv?R>QNBRlVHsr2|qs$kw9>xQkHynS_P^| zg=^^BwU?_BTtue{JT+wj(6ey>=)NV*1*}I`pQ^JIavtCZH^v zB$W~+;Lz^LZHOO%T!xAvTG;OagV!{q2D^i;|F+hGFo9|5tl!OYM#{ zsjUKHV~W2Pj8{_8*f~p{tg^8*_yE%u_DB+H%1z&VJ9_)jn$<6LC)cbP#?bSS#NDXR z>s)`zj`S!Bqg8#BvZkq>x&5L`B1&GVV|;(JATtVn3r|~lrKC(rbI#J>P|zWhOqCnY z+J+@<>X;^6(aB;-m%XeHd`(j3oqF5~=k7W56@Ge4Gxl-punh0n)6FfyS_9}V7Aomk zqXbT}cwd#ioBedQIu2B+U4UaQ`hwDmvsoNn?KbhPv~ysE0a>=k4fZkv3wP46h(Ojz z%g-$e-;7vFpt2ZMiOG!lhi_RzqUcm5a&PsO_#On)A*G9wExxMi1Xm-!g`Q!pJDNd^ zC3m9=wYBJ0kBWN87CpJo3@Q*TNNpFp5|zD~SL~vTXK>*S=x~Si$3yfFB^S#5Q*M3jJx6 z3M{pb*d-L_=l#vWiA007^p~}XexhyI1FYU~G z?+j~$;_zFpob|stgikJfYT@_zqYdFe+$Dd;)J&Q{o82f08G7dx$f4irt>QHTw^z>d zG(Yw!fs<1>{*zfX0UAJ_w*1N9=;22ZwE&KYKY)5s3C39`A-t>e&Aj?maUYms{N(A`t#Pw#>aou)7=P zQ(}&I@`<~<<2ESn@!mX0zn$x7We9Q z+A8Q5e={LUuhpXdu@W_i#F=f|!IBAP`QFx9+e3<|_)SKin$6SyTmN{*UN3aAX4OoU z$7%xnwtN&I4|Cz}3ZRh*NneW~x>1x`YqgMjnePp}>cyol%uX>ILSZSnIP4xmJ{c0( z(?R0u7rE)Q%Vf2BhhYce*1AQ1c3g%v6J0U|XF)r#)?YF0+Y(YRQf;(iw+t!3GfK9I zlds3T*#s7UlTs~~nSqpFUMTPskXNSn(Uw1gmu~}D2U~C)j+dz0VN#j*b>{dOLlHOY zKQrEy?z7kn$fHio31YOCm}$k7;N&lbb^n#MVvbM4R1~yC-ZC~z|_@Wavp?n-( zYmj)wBuJLuV#Z1s95pD?CLjI2X8f&8xYubNQeIj1kG_w$WlwhOe96|b z!pFHwE{fkDgYMwONEZCso$^`Qt$Ylc4YuTfPU=r0T;p;D%O$W!zuDC>d2B;*@E^zd zW@7&i->hNcbn#S}hXE4noy85yaZQu0rMA99_DW{jywy@mg~oF;XDMg7a}z<4SE z=XJ6mNMrek#c>Hq=qP)M;p(49VAk2evTDdg#!p@^F0~Y-KSiF6HeG-)A+NWDBk{eg zPZxL3=lyECcg|-VI<-0(>H-PmjuEp)DyDUO>7CdADD_hVT-t$-77yNg?k!NQN80mDp9%c3R?OvewD)+ck#1!CS>cPYsBw3AXW; zfczzT(NNld$Mj>yUBj#-#&FALwAEw7k`Z!^r(LypwXLZ&huuHSM~RP|oAxsU?{AOw z5ZHEalohj@3(q6i76L@YC8l<=!%jDoHrQA_74ha&X-j5;7SaYKcy`*0KTNEEn{C@z zr`mR{nD;R!bgr5Y&mz9VTIqgV<=zOX)ue=PH-}n>FLDc&A7yNvSa#7IQuzq?qFn2fEGGwI02lNh_t7bH+Zg(@AprZ|)?a4fWRW zM6HT`sG3cnL&bREqGevD@@Q7IBzMS0+G>rJ%eG@DsfOVl=^Fe|1=p5v>e0u#hm%?_ZrvU)$3tuFyy`*}*YS^rKqzt#- zICNnQ2zEW>O6r$p{oDe*7Eg_N%696dOQHjp9^+6<(RL^j`N~9poSwXni^L=`v9|M; z5iXO{Qsrku>|aPISwk~n1AZnrJSTEwHkX&APN}Mz6{(K|dN;tX7eSMdWe5{)PQqEL zk(6pB?4?%M9^K`}Z2hF=P3Uze_Qg48+ilnt zVx|W2j>O4CMzL6cRZ!{@3IDHyp5+$fr|q3{#9JF3dfa9A4h=#=Kj`+V7Q_I>W)VW@ zB%ou=OseU=`4(tpT94B;gKIa$T*2^1`qPBurf)g&`r0DWvOhh%1mUm;HevFOWrV-h z_ekFvw?Nygo`<@z{uabqp|vM=)`pBO7H~bE4adl+=K`_!_^MM?9_G+QhU1Xnu;4OG zZ=FXVe$IyAt`6yi8aZ#1c+R#C>BSulU7t-}0&}^sm=Ey6Ji=b$DI&3T zv!#2;ESg7}6wgydLVP1fa#6^S)xPu`A5T(#)^w zd(OLU-5oW{UE9p_5#W~km}tfzPE=h-a!5%qlTkY1TIX`p4$f>>lbkt&7)e^{ zM`0sQBwYN~xax;*hC@M$zGEhOTa7bfKDQ5Rq$b{yIw>ae7jk_x^rqd&DL>FbUtR0( zXSWWjJ7ctwY$@WDBTd-B2=rR&hO>Ow%N(?p4h+AQqhJv|ilh`%G?^21e0#V+i4+#! zR^YE7qwE*z;`0~nAXjkSB&6}_<-+9<&I(q!24Y+19W=ywQa*%l>w+%15(TxeLae%jC~1|fbt6jW zk0cI-UQ8_5_cT6;PHxKETIMC(OkfD2GknlGQQ;DJ!|0tzcNY(ywMd+Y^n%zc4cVY5 zUI-G{?@DlY3EaeyYDr~{4gxwh)0?E89?x#CrD0?rtD`3~@+{ExwN%;I7DSdk-J|XxU#0H^aefNS1c&Zp`1D%zCcv|Tw1+tkZc<#-`P`dtMko8F05!= zf*}0^e^nT?XutyFo-wZH(Qs$dz%XO`49$5=@AOgT)OQ-5y`C*UE6uWI|OvjE$=>TRy++eG}I@TAU`hr;G3u$(L^yH!GW0(|Bt*^4l9DVvD^_H)~ zJkIT}hF2dW%2re1B0Vi9!=Qajh!J;x-hx4DF*buebn(HD(YVOf%30XrgP30|1q+qh zAx=%c(tl=y;J-r)kO6asRGL_1Dfk7;OhjMBK8OD2Emu{7QmFFB@QYz5(tDWdQV`~T zjf5O>5VhK+y690=pF+{kc?UDP*XJ!HOg~Gc#vw6X9qg7Wiw1kGlIF|1O+4WXX@3L> zS&AzuzIZ1bu!7Dx_sy{D(pA88J9WMNwOD?S5Ts>dNdqE4jau{}6r@J~S?M~S_7-#T z?N&xxY@5wJ2J)PzsVp7i!^^u!YC8fESYez;H(^?6 ziNG!tzzy-jo;s%4c$f=Gu62mqAZK(xUGe$@vGd2q!CTRB zPS`7f*Mdaa&8FLD1X(+%P%9G=4D@DL0!bR>@THqa2P(|ZA`ph95_8m?FVpgIh#j%Q z7xk1DYOnvGwDB4G^(^ADj%l z@V86Yy48&R#?oIxoJv$2_G`cfsVKCg`?5^3W{ctPH+s#s9C+RQ&=R^gkRZR@lp)NM zohNf1-!js9C296A-dkEuLCeqglcaC@lpm8=q4wTNoVeC}D&2x(X^+9}*WR&(ZKRq6 zl!WY4Q4oN1r5SQlP9ZI)##B#i!7+HU19yi-xIJvHD^DZxmhVvuABY6GnH+4j!+;gs zD77TPonk+3We%|quH7X%_0Y&Xhhhf=>b?=h=)SbHGtFHz^P-?a!{j3UG^|xas?VXL zO%r^MS?z~H*gcP)hX8wo@~8~Vr3L}<<1Y$ZT2Pd=Ze^{f7+=mAl`16ZU8sG@w!WTL z*QsIhoOE_NsRlp$#8u?$%kzQcHj^_@FBl`lzS=E(pIc!vY{mW9$iu27OdEVPNOSnD zx6L>ygTFQ{Q_}^x`}@wbh<#whhXRROnBjXF*Dp6AI;A4lkqWEPRZ5HyAA(Q7j^L1D zJ{;m00i^ko4{QEDP628Hh16kkRKgU->ANCl1RCNSlXt#!j!D`3!-E%kJr8sdB9WSF zTj~ItX*_SNj$oY4o&_&|qMtq9JSPvW?D{xfx?Mw;ONA9DL|t#kUQhOyFtv-iXpv~gR2DiV>YmS&CU60GMiljLJYaJ3 z7hzQsYk5-auK~A6Mahv4yD&+hFUav`TIzwwon}i8A7}IDct@Yq`K-0tAAv69`hX^$ z>=~kl?7b+xtta=L;hzspN%ZZ!J%*6nHY*lpfep-^B%}NP0(}sI?{K4wf0l8vd!XE9l2?kH7MO5TLf1+Cf88Zjn+XU6 zkW*SV8d>0l)uz)*tG$62W(}{S_M<9+7q-gE`{K01xM4zYk#bXEdCe>iGHa1^yC$*? zHW)3u39$MQEwPXV5Lu-#sA3p(_)^nS`E&#zq8w_NptGtfpk26a*23J9t=)%dd>^f*Gx?f1<(djs)!2;&<}`FKiI)b&<{5sX-uJmC%zS^9X6l1$5h` zg{(Jb(Xb1rW1{gHexMss%e?%gfgb2~R3uzgt~RNGZs@m9q}*1g!A-lBuG$4R$c~De zKXB>b#w9j7((r;Xs&k_Zl35OKU@5cc(;}OLl^VKLkyi8+x^Y>te^X>f%dRM$?x^2{9g8a0R1-b$4oR&>S7U+i13nKHI2`#!o zay$V8hH%qal~=S21*H6cOs$I6!VR)5Dh%9h^xJfSrQ9rCA|ZH#7Fc>o@Lmx|FVTUe z+iE5Rx~&u^rg^2vFhaP*PFtYcS?R{;?Bs!Nmt`eiUD-{Ie^G1E4QLsRwoAXA1ySgQ z(`Kn(&bDxaf=k#XnqrN3103CU0*VO@ES=Ti^&-8O=q3Rbj_O7zW-L>;7l%N%-DZL@ zYs*tOos+Js_4E$-oV zUnI9!j4Ut#hpiBqSIfWz=H$0!hm!?fFj>_K6O=)z>k-J~=?CJr+Xw>_sJZ)fwqNZA zCQxGTira|H@&x3TKP@nU3C1l=wmsfjGHV{ra!=eZe`OXW8U&IC30*vf*kFzfya3q+ zk!>=vzyvajx7L;!S&Ip9BHQ!1Y@X9f%v(OEAcZsD|MGJJpmwS>p40Ky1GB|n* zbR*XG$P-Q$=(bVEFFTFafo^woXsun~K^^F}QipbN+*+X9Dq-}3fO4`xw*v_nkOsQp ziL|xoe+J103B0LGA$L6~qXDr}Lc2K2pFFLxLqW=ja;ODYZFj}xusm_iOli4lLnxON zDLdwJl%J#z6K<&MrgXlM#2eNo4Q?dfekI_3yYLHeTP3nPBJ+Pt(SjS?R!emRr?t1{ z7<4-)6Cl%O(E^_$6moBoZYE*1(Y5FX7oYPke>XCON{>nj?P3BGvkU5GZcYn?ZkEtH zA|3H%6X3lmZkq~T;rxxsEc4zMj4S|z(tKTH>x?YW4FG74T?-&sO7|j}04Xg!t1iAspiNu6Jw_Or+O=O1(k*&o6wNHu!P}0FjdQ`fK1QR%n(3@J*0-;z+9dWW2 ze?Sn5faQshAVNHV5J(Gz!f};Yu0>I`7NHzju2Qp9LT?pBp%*TR_Nd5?7+K(jm3G(* zLZBN3m08VNBM)>-um7M7BIKgDF`+$UmIk`xfo`aT zU+0Wnpc}EaTXaXQmISyl1>GyMGe#EZe}=$yS}vQ=K({sGvP5LtliJIvb`~qtC$r9~ z_FlFAK7NMNU&B#3x;uEw05TbXdNat)I4*-E|yJilX%!EKU{K*dqI$4Wu za3ZsK=f}Me=tkr+Q10t?7Tm6B1Y8SiwLrHk;&wpXTxg&hafaZ6l|BO95Q@Cxe@54V z+b(hQ|FRzFc3C7h1@AKRLZI7b3A?7pUM4fr?Sixew&zqlLd1{f<6 zp@D8EC1|O@T58S;t^v>M@Cqgv<}BcCxdyyrTu`6ZVZv^4D~UqaGox86GdjYO1Y9AZ zdzA}*2Pn8yB*?F6Va%rm*IAfwe^P=sClj+4OE2}abh`v@OQ!CTvm|hzY?;L#wH9Z& zrQAz$69P*wi1vX}rsP%Tg89w6;D#<~ci=4UYHxzvY6!ZSn%XKFT$mo;mKmq5FG#=> zI=n}&Fsdj*R{3#T2e_fz9Z5Q4WG%R%8^gyPEgOt1&<$9b<{4F_8&@B@e?@l5=mOmk zOge8&aH|CkBrK~HA-jxQkbtKI`5g&1s-lLpgynvbc%_6i@F``G8*97mrI5gc6(ZxU z{*$J63%o=EW$;WAZ!KYoKs>No>r+-O@dDN-4Q||6OH-!B1kU2N$uh0or{Gphs|Fau z?Yee(cjYwF)L0d`RY(@We|*Ev+H1MmA{1`ml(qo3SqV(Z0^Cv(wp(yRsK0hY0fVgN z!V^Gdr8GeDRkYc~ocziNYo_E-SnTM!o# zOUDCbxy+^DFY1mEUU69=-1e1*Mt~6LX$t_^IME2Ok2wy^6)*T^d<$;wds^^U? z0OWx1;$`t|W41IQTx!q>RYQe)JD2)QJI2L#CWZa_8)5N_+Z zZUG>xN?FzCcz`fHf1Vs5mj%d1jXqnt0bz1+Ux3^+vLHfU5FlLbjsRqJ1x2|r9w4_S z3JA04i!#Ag3?hW_3Qzd&MF)U95V!lPy$t5GAVSuZN2|~A0O7viy2ONz8p80K&O>x& zWw{Q?oyC(1h7VV+0>nE?vO|O2Vk-ayydLNaME+B30MhbGf7N=Gz?)i@pn)2Ie6gup zaV+@=is~_)$#lTKR5#w6S4Sm$mr^D@%^m?GI_r5?ke`(Cg0-qk233oNe^B#XS(`1Fc!J3Sh=)AGQ5E#3m$aMt>}dQHyeq5*Degxg%A+xWPp zmOd8Tt_e5ZEOkJFcmp@TW`H!n4V;z{ms@y_;Z9Jd?7jd!+3;C4#5&5e#XaJ&Ee zy2aUz+p5<-ZnuOR*UlqwJ1NXqymiFeP2qO38@H9Oe|_9|@VHXbV+SP2(-Xq%D8r4l z4%{vaHzy0~8_$fk3tF$eufh7pYZ_d&xupSa$A#P7WH|1??d)jWR!HDJ;da(Ij*r_m znc-e|EaSqR+Ds?FjlfA;fZMW^M%$d0pv_SxKkGHyVt%Kxm^1dYNK zckPnJ7i7Z;0dm$-8g5B;Yp)QxOcwb+2cWOT3!HUZoCtJpaFfhbC}41jxYB8~ZsF!+ zFX-@y*S%K5O@jH31&v%7IH2f4x7E^v{~2`NepZYJ#S_QI^V@r%1x&4d<9i4gx~z!AFj^ukrm8u)Dj52U~gq%q&X*<}u8{acBM#U=7jVCE5cde{XNn-NFrFXSMLU*By(yz|!sFbVJLm$Z3J4w{+-= zxUDp9fu)<}Q%opW=_AmM8N+p1d&U&C#T?vPELB~2ctb(%e`JBBl)e-Gjj;=KQ>`!lYu6ES) zoGj37xsI<*ga*1Tmc;e4f70hjfo|Y-O^cIt;0Bq`Ljv7!Iq&zn34w0J8ne`uM%STR zI%WmB@m}=eBzgng&Pn~7B6XpGZmXp}gQb&=ryKDGH@{pAblW2!c*kr6ZWmRT7pRxFv-=$^~#s$pYQxq}0xC-2Q(6e*ggg|9Am>lh11t zK@`U)wbf{UCH-MzF4j{E#-c)1))>3l%x=ca{^;(+b`cqbN(tzqCIx8`t5-o3JSl<) z#UJ2B@SrzA(SJY?+Jkyl5idok;F}>6Qxh8Y@pflE@B4k3x0^;Wlt2i@{_KgzAa_9Y zLl}iThYLH*CphRpe<@hIbN8sLn1MQD6p5$6aEp{0`}p;@!ba*?kzilh0#Wc(%26 z8|nm~o4F^qRBfiMZ@XIAcw7n`v-j@aT%cX!QeeC6{_(Sme_1e=!|4_sSUPv}C$PbG zpm9)67ayJ$1|pJTA10?W*X9mplmx6Bjl=4T!pm7jsS*2FF+GxbzHs~(pi*fV^0Y-Y z&2)Vnuxur&Wgv3BXbN_IBqkP>S{j~(r#ItsMkwY1W{fx%48(N%&?s!HpD5{psMh8* zty;TOiRSy|e<3vkz3{LQRV(w+*||}$OrXoK@@VD4nxKS)>c3!m9GWXrmTXZGvxDLR z^Z;(IzyDr>gbqS=ALM^Wp0Gf! zXC@EjM$mq!IP>Y;`g!=P5rjGwIeP-{vzWv$L~1Ok8j=y*=hGu5~(;M-0a=NSID*PJm)? zyWp+f#N{5sGqMcf6|?=RZOG7T$tU1Ac%$QRUtv-A5GE13znG)j^iOVh~lz5fh9E6h2I)qZiCz2m+{&&ADGdwI^})-t*un*xj=^oWb?URy{(kd zocJZI!69wqu%=z^H#D-3uKnZJOOC2| z-bP*NlRuPwz8K(np$*kqFpcC=ztkNPWmGSm-?;KBh1gHTDQI1ZlrpwFQ**-#`M*tM zeB&HhWPy2eU1FI!7ugJ-RyEVzs`k@im2WI8&R!=n`yZ(sVgdFHliRhMHjjX09a{+(HL|m^ zGbSJF%U`uLCq%1jRPC$Q+4@p2;^dSh!(viVOuOam4|0o>BBuOGMNa8?aNC{ME6^-* z@(#PvxGVOK3`eduA&FOMb2rSh4oBL$i!A%f36_CEg}S&1OfVYV#Oa#hn*b&8&lbphX*G#jzje%(0+e8 zp`r9{&Z+x%=Lu!gK{nB?q61O8`P@TFnTSNQ;k*H?L;`z=vKTxf$VAiN}+)N zya*MMgz0v=ctP6%F?{PL$qn>hsH^E$b8W7-#pg|E#V;2M#GI-c&{(ydmj}+@0Z5HM z{`2Yn_m!9&7OT7>rn|R>w^rUfqFb45|0eEI5ee3Ca;no#S;9633kCDX-B(P5B=Ti6 zCw}<4`1PMcL#-Hh8L&Z!sA|7B2x3ps#G-ZU%>tqurRyc^?6xNewUS)ce?39>&-wV22gk1^$%}EufH^f|y&peA zY$9U6b`=q43|(6?PvGmb3MDqW6% z>?v+6SwAu|(vxU97L)Z`t6%}|t?M7duh+!kNudKG?NFv0-@mXD`(80L>ROEC%mUPU zj7x|){<0M~DREz{bx{Z)>VgyN{l!@Ib|$dN5OjjTRN7Qjf}$%RYW%KlL|?q%vmAt} zgK5k5sznO^nXj)u15soAXKucZ+IS4^@m`7{{BsW0Yk{SzC{(>dk4rm1mZTii9N_DU zXyquw=bQJ4-D*@Qm2#}v^b)F*!oy8U`ZV8|)lbwNo1Hu1km-z5d#*h(9+4P`z0T6Z zc>k^Vy23J%iX;a3l?oK-4WqFlnErhyc!Ij}JJ0f=Uouu3m%dpC#4D#~CrRNdCP|SS zzw0TP8-vhUq15oAj^&x@^)QrEXzFdd`PjM(A1BBlL4G6TSf8Y$^kNAK33#{8~-uCcrb z!oWqU4Lh@xKX@&Ttm5v0<0=;1fJne#QKzE%W0CBYF1C-#EbI~{x}#hwWH~<2e$FPi z_EgOD@6S63>t^?i=@M=`^ru*h?@!N;mTo#P9X$t1ml8+SweJV9@ zPGoyH3BZ?Co>UHLU(={2M>wMRAlIfP3T7e3_Cu%l73y53lRQ=$XKb`UvxK)4ZsKQ8Nj_Ld^Z(`>M?H_+3+pqR?LIZ5NL zdAWS{>U@@!SaIOm40q4%bXFFXW6XzuQFf71f$SBjG$*CS z?_z{70T;WofseOjfTz}`I@DIgIODz^D2+XOHrSrZob|8oyzgn5uK)$WUa(ZtFV8;z|0gj{lN+PV}+9>8_7;3+5)$TK~FxGeA@7Uqf#&5c#L& z&1uUGL~fbZR8%l=h3_%N`!I{s<6q@AMqP0+5bGa5Ap0DjfrG?3eyz&=`!?Hu`-SYst2MH7MHtB1e4IT2t|p%jFuMSKw*!WXaX2e5>MEESZ{O!pbLLi~Pa9 zbvIpug`_m=)mX0G5q*LO0~qayC8DeRTYD<7M~M-E@;FRJJ(&qpT9Yk5nA)GNnQ*nCiRt@RZA~ zT7@N^Vqd=VX5z8#Jsn;UDznHwHrDs{@i>p|4;gIIifulYY{fgh2X?0Ll$8-@v?9Fc zQW}yN&(W`UQsqd>rtt5w8b9C0VvCy3O^%bvS#?}L(|Wc1lR}bYXD^x#=~pr7nb_y; zyi-@r966?HCuINlLqm*PFxx0y*;o=VNsW#RPjD;i8%0xlin6t7IS>|!6|FMA z?;6{5$Iawaox~`LA9tsZj1qTuvpwWs?(s9pP{)^o;X0s61W=7dK4Ctv{F}w=O9!d~kR&~8m1-hnqp|in}c_-d1rRVZw+$frM zRf+pmG2W=t;Yf^pW5dSSI0Ehw9RutPvJ#eaEb{OD(Ff)4tOa(Sa+(Au7E)Fc1rpMe znec0p7E*+P(ePc!kP*z?+zPCz*+6E@{?kubyE#o4j$*{7!WX`*mb>t%L zjH#^^*bLSYT{1;}tPhbb(vUKx%Ppo@xTG6v$}QG4sHGJ+E=hl5Z=CXk7*1ya{-ip~ zVhx;Nhn*pu(am$Zq_vKM@Q2Rhf7rOu!@M$-7}rYCyV6ysVdMWHa_DB!a>SCRy#0b0 z>lE*ZHGc!vXN^BAqS&Biwa`CyUjjC*frKqEITxsa!F( z9&9Y+huK=g6GZ##OC^#`FbYGN>2EIR=h)1vwI^9)^t?j0q>GJApJQh(r7l!7^`=?KeIMF}q(}Gf>=(RJ zl=afWg~_7VgtD97V7RnR&o#2owlduJXsHD8Bv zz5RVO#nb!(t}dGy-PT}{WjbOG(A!&yR8~`}sV5Na1edH74d3~oRh8sXXt;Dq;yS`s zg3A?ij74B5obBnKE9?{R${XYT>eZ+B-#+-scVU8onczC$S9Mwot7QAxf~y+K;=kE! zA3{>zVr7<&s{)uX7{tGg{r@cc@sw4uR5&JmQ+IUZvQDalpG6sx9;t|dSIZNpb$l44 z)%{YTK>gW&=UVa&n#2CfcI=22 z8S_O3ewd&5K*j=p%39cimd-0skMbuWKdK1wWug}OPKP4<9 zluFI?%Y~1cyp&pJZzC{XKic)I)5%W3#b>e3@@AI2@$kDmNU9oHRJj0Xf##~G2Y`U3wN%wz~~ukrRHkjEr4qbU5u1K7kPC@oj}gZ= zA*=rK?WMT8`dH_QCt)_I!BhEy*x<(uvg&`f3AQt0)H-aN8i?m!BE`lmtvICzPT>wE zBH%V#bTr$mPU$-tIXZZYaSz%s)p9&1xMH$~xqW{Wf7YHn4?0bK`_*^F2%v*XE`=@T z?lRJ%02-BW16i}v4r=W!U zQyn(5bSKK;ILbJ>>CPNS##S8R7{?4vg*8vo0c+Cdx(cVfJlZ#J$03#CsZa9U*a};V z?V@?MP%k&|c7eIh8MWzcs3*A&AoX})3Gl2=0-W;pXe2Uqe76P*gV)Pb7`0{f?szap z8*H4ae?&EPohozH^}+cFZf;i6F?ZzS;OZT2$;|O2Ojd&)cR&%@1eeIWWOWzv_0(S& z$ok!TTvhN@=(v=SOW%@iN$I-Lx-9)BJvM~!Jp)ER+PE%n+vAs~i;+0PBpq&=q%V=) zKn3T=RCD&2EQ}bFKb1l_jQIw4^%FegH>;m-`9yQ~_AK^@e@$@aKE!D2_8seTPx4Aq zExOs^#K`hQr1VQJsNURnIAjwhb=KgLkPv1*nJs7v3`nmAFndLEZg=i{m&*OaIb&%F z4_m$%ckT>cs;KVWe+5NTtU;ov#t=qYfL%PrLb+1tvgi;HgiHAnr>Eqsvjigs-%B3v z2{A}t^~yi>uAeS0nZmI>0X&=NDKSOcmqjkc$#dzvu9o$cF6vh31ynUq$-$8c(_@ z?qfKsZE#>`nvTCL337)krxbWV#H5(@{5&^bMPla3`uo=PFXGOmC{p1&Ob`m{C_IsfN{#%O;y+HuJ*8!n1oSF~Q{eSo)^1GPuAyDV$< zG~fBpOhU4Alc&dWSijU!Z^t361lgX9}bjI7KNu?UvkeQNl7qi z6Z<6=4e9x`5{c^s8>)n@g1>hbY`w~P5gBDe*)yU#9>Nx82d;nDIaciHMggs_&r;WI zV#}H1(mH=%g|aYS0ev@iKPrKqi!>uS!}f_e9Nh8e{f>lR@mIE+_&+wn1HNmUhm{5g z(;-Dl1RM#!guGQDh(!N&OeE7I)3vvwmVUaJ2TtWJQALsZHdA2q1x@8Iz=ENLTFnW7CU+-1ux;K6UO&`M>(j4O>qY;6(5xJkwE}`$jS*S1nHVQX; z>|%;_C%R#<{=qaQVEtowot-Q=> zORCq%*;@ulzCx&ZPS0FS>6eYz#n=G&hc?#?p#Li8yQZ(uETu78=x7-ez-~AJo?esx z_xJhVNaI^(6B9C3l|ONc69D(as%~@J2;Py=oG={Dth|=NblkQ!ij8vOhl=!cEd~0- zJTWGscYBnn$xn)G{_vc5;u{--v6zb1Dm|L8)H#`qySrGlnk^b(WfWo%J%5TvhL)2F z;Mcp=dFd%>^1=j5X>rnsJPfgU<{OHUl94Y<_m+QG2rbEUbR(nB;Fr8a+&{b}9cOM! zW#3_s*b^eO81Orp+)D$!L)44}M|7)LXb1_gWu9q>uxp84Fg%}jH&vy9y%dM%famuo*5k1qTQ$6>B+g<19k_%A+Lahuo__fKs+bS!e zG&tEeR(lZ>+O#x&#xrc?XC>KVYK3Tp(p~kF&QRyhhLd-yCjxpYR0r^EkRw6`8{A2k zIF_e;m-epw)Ky)c6A&XMy%!)YRx{SH*Ts>(AsU@($<+lj4szt8zAx0g7W;s4T&qnt z>~-q(@!>$?fXTtxHdUX*V@mfZ1&eSD`%M507psm-<~1R!?!_Ob+QC`kHIb_x6@R9 za7WfcAdcu`KsjWr3ZU?OTFzj`q?#nDnvmPuQC`JNod_BXA#a(Mq2CdovXRLgWV zNmct_Kq>WFqu$*UMucCKp`WylIUWpUNur;Po~-Oxon@U!TJC=USl*?V6uM{}N<(sZ zDbAVIY8*uE5G(JDfh|037y=*NSjL~4O|P*!MnC%z2JDF+tpmqMqbJaaqkX;hs?&_0 z^WqBLRKw8q;7%6?rh3An9pojdQ!r>)~u$#uU>8a(CX~$dB zkm?eZ)VMj_YhJmQr7$oww(2r7w=q3(%y-~jv>6h@y#tnbS&D|l^MzHz&YsT_EUKF9 z#93uEU*t#B5|&vnxrs1{brX?(&p&RhK}KxU*g!1QQ|J0g@PAsam*2~&`srdvS@I?(&!6CH2hno<|$BO3Qdc%nxO~d+l;V#o%wRjRwcfByDDo$Y;!B0PjDir{J>j3s2 zl$f%aM#M68l+Q0I#s@hord%j}%CBFTYn7uf zPmMufBtK%fX_$Hg8!_%D$MJZvw=D!42!!U|%60Z8QxOQ?!T$fz!o64N7BvCrPNHbd zEm4<<^>8PxV_kFB`h(Q54F9jk&aCre2ipyltjADoMSR`>$u^kh15 z($YS+^>^R@{+&?qN~qn+U5$eK>MwR}y^3L)6V&?JBIVZgcZM7@?2hBm*^LJvN5{C{ zKo&<{%so!-X}q|BOm#!ZjQ{_Ca;(_oOszqiu6 z;a!f%=HnUz&-7cw5)6+(L;}4FZ-{7~PIE`9Oym1cBElr8OpFRoC(e@17?L)lY^$-L zObP2XtX^9CnerGz^CYq!0-$|n%6Sy{e3p&8c55wI$d<@BJF%A^-r}e9ej-3z?64JN z>v?3OV4{*5-{nQNfQi`IXeB3)7G(BVXVN|MOI)6)UTrI7kG4{!l#05V-`=fj3vnjg z(~WF6^6UOIp^w0y{I!Gm!psk`9C7Q}@Bgb?w#eTqz{~KL$_2SKfb85qlh)7bTX*CY z+sG$PTa1P3II{s~5H-I61?zc5Ws0_lyti-P5ihmH9j72?T-wIcrd{aLQJ$iEfj=DS zz<7v1p4YK^w3!r$GTknMVfSx78%)IU{EKHyI8=~;?ED`$YMjw(JXZw#=~DPVPoLU!-OrH9mY;s~oFgJhV-9|IUM^ZV?DexY{i zn{*U9MBSYjKJ#_y{QB5o!Z!G#K47viRDS{e%d_cAz1V#|up0lw;;H)btRVoBo-Vyv zz}i1!_Tda@yUISDGP;(_fTc4C9p-J<6?HR%3ajI zRJc;ky^Grl9je)T2@Uz|x5xWzJwo9|IF`(4+2?$xD74uuB@n4CMMVN}p1ibCfP$`f z@8@(qRxzPKIVU9Zc+}Z}0g4HYv=ob1zyVyG*|3RGVuT zs|zo^)+O%|U(b4UL>bziOnr*w%AOKY@h`MDMu)A(a^tqcMal7#mh-_$MX!iyIyl zEmVe-C2JnJuT$Zv;2S3`OX)NToAi3aUt+HDN`t!{L32jd?qZ6V4sreYrOrDywn zQf2;>Pn_V(xxtIL-59=iP17~SWIA%u^FHa!a>zq>XS4aZfo(J2X_$@`UmhGIYF4FVcC@lP7y6H4*}$&#++e zh0MJxiq_ikf9XX}Rdt?D z@0{!g*XF=Q>V%a#?&ds@br1citKUz|2?SxKWQSvFC4Gk#!)4su4&5JKW^ zka+S;+f29evlrt@hJQc%2P!_1E`qiS$D!CGK9SI*NeZRH znXDGtV2x?u>PhDs8*T=P#F8RCn5hLdaqvwo`#L*5b3Oybmu z=d1@`zBpA?ccMQ_^1mdz52&(zE8V|-{WeJ9)p#Eb;2GfB+IQa|tJHZUUIfUh(hD{a zqkf|KM&s70Fd5o|Xb_t4K`Q3yTRiu3ho+}~Xgq;ti^~!H15=#qTFu?gC1NxwYPh3EHhCK)6oAXHoX84TzuJw!38c+M~`W}4|WN@%%e;mEzs z**}?!bKrn+SrGe4CUc>e-22L3gLK8}d?H_iR4`7$@>se2@fo??(_THon0@ql3`EC$ zy-X(vF3{_nTnK@X)hS0_nw28s8d%RH${YZzX7uDaKS|M{#sV9>EG2x&i+AVl5&lM|@Zu2lQXPv}nWYRQKFo%C6e z0a9u^X)0)#eD#>F0Si`oXrKMqyb>_UEmKZ?5k-7p)|KDmHD2Y@Sb$nPRG6I}Yy`0_qZ(gj(n>sAZ*G zPO{-V#OjIfM}HAEQ~kSj+lGeixlx#J4RSNJkO!;CqJKE&B_(6|hPfna-M-vFQT;LL z#nqOvecWWif^J#-Adcv`p%5qgGz)0vR+SxVc=U<960kS#GW8AA9WrUO%R3LFW2f8Uu+^zUXz13!E1|4H?TEU>Ztd&sBa-vI6fv=#cM;bd@?&EV0Xz) zpfW5}`0o#aIYdyWP1lyr_tum6r_5fp-g+&*Y=|nS08nU*j-f$G%L_f72EwT!mVHyM zCPxd(hkLfitLbM~42$4SitXE(l3Pp4#Y+0-?{ytiDR(_u3QH_=-dINqYf`sE3doMP z6Z|?pbyO3p=TAKvq!~Dn16Vi{NQ;Sy>{R4Kv+@+DR8Tpyp1U%?0x38NIyZavG$Wpy zKquf%xhbFzJyn&$gu;S=cTn8CJ$=km_l|mO=v4Sk#a?E^riWyaT}r0a864Emc0F8) zgmW6amK{S4(e+&NmB!H*73FN)PsLmrVV7=S40uA@7stH6ZqL7s-A_!C@Y+DN=8 zB3}UQGdY}MzPbUya#D$+u-8Wse5VSH3J$ArkX9Sd7jFl_S6xdRO0jy8*1dT zqBBpVfrf$7vgyp7tm^~T!_EY(1Xq%t!E0Z9$3_}cG?Ia1jC>#2C8qj`Gt2E;V;lEn z`(nA*3`LGpW9UtV-256E2-1Ic9I}gpnmdMnhaRdJZ~uE$fN4n;iRX^>(L8Xkn(Q#$ zLIl50CGo;L@@hkGk09@ovG@7{+-hw23pZC2ZPQ3D2oNNnuD%h+&KIcsOkq70%`~Z4-URg9ga>PEj9O&~)HAk(~!Kbf#@WO8EKc!NoMM%w4MWv1`3 z?X-ztPjsA-g#Ybo-%@Kj!;fS|Lsgke?ybBbTZi`|*yoUDbg))aAjvFB&@P9er_ojc zkSW2phY(HiZ{r`O057t+v;8GKsne_tTasH(f3VG2+n3`{jCEmIN4#ArGy!cD$W@~%B%p>1Fx&*KqN?_sCLu`g9pF6cC#eMj3t94eD2lvy zs@A!*q4%Q1=f|J}>;dxu0YMW<)tgij)d~e6^lzJnvzvIS1V;Ro5@05@NSi@s;78xG zg=N(|n2{R0vG9@8^0wxia`G!d{3tc;gFgsj_f1t5XqpKCV8a7SYvo*mOoX)uM#HObz zVnx@+#5s(xpLypocLp#aclrqZ#T-@xb(*Bfio?&0>C|U;W?ZD;@m4;hlnyuW9I#xa zbZ8#$DTK%kXbuu`#OwyusonuNk-xUkT@On1kCW_vZ%tJASvm`p0KY%oj4g><2SVO* z!}iJRj^H5OZih!ysqjoELf#|v{ft){068!BaV6 zZ3>@?;O|;eh1-x3kNbv1ay9Y5B65BKzt@)SemR01 zr+@iAZ&C|mw!Yf(fe&yjP1IOMa6*%jwiw2fp(b2cHsTufLm61&(B*Ta*NCw_aJ0B@ zwAPnQ_FGlfcv5JA6)cYuwpyV*N7cC!bJWhib|%K!y*>4ITKcfIW>D%QAg#4r5#29M z3K7TJ8kLl>)EFT054pmze{syonxJ2A$R=%UeRk1Zi~TJeg%4yC8k!y(A3XcEl%EWY zc1H4wHF7>T2_6?N*=+5K!Db4v*}tF$brnLemaebKco3G;k2`#l@Ej@N?k^_8+%M^R zWn1r2nl_-JEtf=pMoF_PPIn6ijF6XmV%K*I8(o~YrfC0NW(ageTMK|Kxw(a8Hnh7w z+8@bUus^mmp92L9P-IERONTO|gWdj1VhCN@F|8QaoyQV_(6z|-!*|>rt?er4ZOV;| zao>An_SMZV^U-|w^H0GnsXc3zbcZW~@ViV*RXOKj*rg0^eR}tOZoXOx9EW=Zgx@q5 zE|G<3tuB^k#~i-C;OK4a6@6BBNgz}3Wx3w{5LtDMqc5Pc|EE)G!8h*?Ub|b-x1tgWg2;5|fvUe+4I7 zn)A12mB!4=#mOv^4jG0`>vF_alclc7Z}71fBZ$WcE$8At-9{X3Cs5@Yam53%Pi;5? zw|!0w%7F!>PR|}R&s>`8Z%Ak96PJ7g{Pk+hmm9Gs-UqOiZC8K3=5)j}d_bxjq8=_v z#-1YY)jfYz(zgZL_X2 z#NO9aZbJ32AgSa^^GC@N0tI3AZ)g1$dlU@&fFXeK4b*5YG+4-KWjxX_qp4Dg_+^Rr zu1=ycx}p^fyV5W-2(!FA=|dl)H?gTI!ozvo`LXdEXSTon$DLaVgL+Y_@zD%UTiJ&F z{-1$58nVy2Fv$06H9FQbt2fSy;8H|X`i1_45}hAu??x58xUkb4#hZxLV$y2_z^w0A z4gn@wC3lm~rgQi&%-JH?RH1=2^-fzgIU#@5ct6^^fXBbDGQ)EdM-<4~H0f7Qbs##j zlaAiu^202T2RC#(!p8j?tM3UAcxNV+{g14C;6o7T_YYk15fPjBLGkQhig&!mn1S!& zekW9ECkAK}Rt$XD&Iu4E?(UC_3!FTyYo-Lk;`U12>5LKIInD9Ay(g>1aF;GVb~to3 z!!capH}4*RmVFy8LG1@WiRjR=FC)LVZ++O<{mBW#P2-#fyZmG@*c=_Y#B1zkkn)ey zZEMR-VYvan@m-@SW?wYicCFJ74Zq(<9Qe!bGp7MT9bZ|Ozy4{4Z_@u4`dfEj_Z^)u zAbt+<>|=hQo2cieEVENft!594v-uhjMT*s&k=7+hBvxwuo%i--J=6fD;CY8w!rb_` z72DQpG775mBEzrPK*8}=jdNxe)ROeVY{amCL5i|yFh=6j#6P$ih*@+EKM!ghRtua- zP*Ha?r3qgR&U#_?o%l_I6h79tcCZyK1Ndm%9lohZ87TIlsA*)n_hT-L{gK=jvhrde zas{TY6Hku@o!HQ&Z>U=X9E7|MPyGX^lD;e$>;1Vs(KT-Qe+btbB+o9Wm|jbiz7qOl zifJxdLf_l}$X4EZNo{ahE+XX)BK&#n{iifNY_=wBeST6{jCn-m)kMkYKnJny91w9p z{XO0_S&Ee7L*8s^wxvz)fxJ8#RpnAx*2$zY$8<(#&_rJYF3>fiLxW+a@`FqHF6?1| zG^W_Ag0#=XblD%h4?d?$84Ev-SmAWhYSFJXG{uQ#+wOjzNWpNJGe3|t<%WQ&lLUC| zmSN;3z@5^>t6h@|I&mL_f^`>RfJ{hSJ`I+`0P4dzqYv%%`2i}^M>NLkoT@%76vkv7 z^J3PTE)~WlhDm2h9IXg@r8171b0!HTG&%J79u=O^-!a4~Y{H8SW>q;olg<}=W%1Dt z2l`Hg#&qXys)v=0B8O*m!Ph7crSK^vCGZ|b2Tl^FTuxr~W?Z|pQ|z7~K(;Y(^08$< zo=_`d*czGf+VRQ=jnS2Dj}HR6MdVho5SQT7y%aMl9{x9;stqIOkE`;E*xsdrkjwBu z2CXJso7ALoS)GT+w(iKx$L&Rwv=k9zs+wkSm%-XSFz_%g7jkR1bJ@~HYLlvqO5-h4 z_Z6CL|MGPTCi8+eB$I|07zfjJ3VntC_|_`iN#2AHKbOij@K+UP?^hEz!4VHZh-#Ls z-LwOhQP8OHOX!ljP)4GnW#7;#VgKcUkJBQ}*(WV!R7QA%cg;p-Y3uN?iPK*(*!tmU zkTK4TnQ;5rjmzKh(q{}3J^M<7`F>Az%=&=68&J{PK&lY&9d;gCWjc{9qeOoi6buBp=jK!xOK42*v=#e zN}KLN{)=!MVV4>Yj~(vUU&w-o{|^2fp^Y%wPQdbui@^xph-#Y%59KJuc0kpo4ZgcS z16_%HlQl85NW+9lbsrqhdBbF>r%00GUmc;A70O9xBucnRAV7p3^l4TUwVCRxTQR`~ zht9exHI5}U^;JmFKN2Raj2MtSE$4PtPyi`Yl}n%^aax*^EC*QVK3y=3d+PtIipD^Q2%i+F{Qf21Z)0&B^n&_gyo+J>gF9z~Uqf3sHQn`__zMJu%B5OLC3H zM;9Hb*;Ke4fMEF;=@enowmYgZt-T1L35k4ts+ zWR<;qafUEr76eHhkIW7Jmt2SY2DB{b!ZJ>>tJP4fQC@!E8nK-`+c6)$*-@#`euY3uZzX!%P1${#5uXB0~DgxuxafMvKad9t~ol+bT9AqCVS5l-?QU4a? zOQ=Nt9)vuLs|@M7INS3p%QB6R+Dge_Xe3h)bc|W;k$0}$Fvmom1ai~N*dQw?=EtrmA~!Og*myLH->0QR;xVy)rP6|VQ2EcgiibwgLTQkedTU45iz zPPVX^C^Pu4k&aB%BAaGHqu&}+Y&;ZW7O7}R*lW>szWIG?I&aE6;Ai^mN!S=^C(cL8 z@>A_}4{kz^M8snV?=wD-49HII_s`d83{%-$aHc4mSv@Gw&e{lG>#;-!7Z zSG6t4HbI=WC+vf0N!%_uQ7XjeO62-3qj6`jAc&K9YZJMZ~sWnJb&il(BvzMR3s{`tg%0^`S_dK7V_f6Ako8Yk&LO zyboxvitEnxBHSh?G3_4k+CbLQr?Fy>@J}wfx+>~NB8~bOEh=(4@j3;ALY3aMDq1FD zBR}4?b3BroA<7pe)et*c-}9{+@V9@9AGMcb_IskZU!aXdZJDtEdOzhY)e{}PM0A%i zreniAGOfb6$Mz_5pA<&vzGI>L7S~Gm7G~(}FKZ?{Gl>4!rX&Ql1QVWfxAxYEz` zG4HpVXDwI?ZW5K^TO*Jn(!zp_eu(qIzxVG(z+em^+14mg+Sgw?eHLHq@E_UuZjjkc znBnvC$yc~E8YPD9--W%Ik+D3wFle5*_^hpADXJ=;TvW0QU!od6P3d}5HKIq6sn!Co zrGC4c)0I8rqO*HeMQsBCZ;4JXD1=~nI+;GeUXwSq4#z&OJqtjOYjr9_$w@{3ys;Ya zcQNlWv_75la$EsVec3f^eCp7%2z~q&B9=u+vi>uSO9I(t>0l2`xZj{C78_|+84v&S zx=S$@?|pR1BAkD({toQ@)i3ln8jDe4Gn~Nr!;x7d!yrn5Cu-INaJpIz{Osb7q~yPL zMcEt#z`7$|OaVCy7B27GVqJeZAUst17>UX8k*Z7|Px8ymf&B)qnSvvANqiwYZHTBy zxD;fw|LWj~DjiwtezuvgJEl~#AkS$arcZ4u!p7mqX)a2{9_zi6rjL6jTfHL$26m=K z`#HlJqr-(axW4-5=AiQv<_Yas1R<6x%xkoAe0`rO<^&83!ep*ua|?~7bJlbfqDboI zExpGSf`CBRmvpLaE*hA396pV>3@{>3PD7}VO0qN5*BkVyi-H*cn#Ah(Ey0!&r~YkxZ_in}X#Y=Mk#2PMz5T~lsuhy#Bm(Mb z%C6`(d0itIP5W8t?MzlUYNEI<<`qC!V*JjZ>%?H z_h?Ca}$ijC-0DBGeB% z{}g6LCWlL9kAwb^WeWQoTRZeOUU85nijAV5ahj!`ehxNMqg`uAs~)s`xAc``9ejR3 z;Ccy289zZw5Y8@Q1`W(-Jqa^l-6iTBLFhFTgD~#Ro~4^7VGVWfR>3%V0vLge(p|(j zU*@uu1HDFw4jSG<>8_b53>#z>W8n*?kO7QArNn8Mc7F%TkZa9Z$h&hw|1RyjG3B}i>H)aFGX%~542l0E_O zXZGma9iGQ$2tRjnN5X!V6sv)y@yp(-?d2O;vfz=m=zazqPsO1PbnSxyyARE83}=)@ zMdRNs!^PD3hkJuxMqzX6>9JeJ^APz%)XAvEM|Hw$A_$?+f8sv7Y4q3Gc|^~NZ=ZiQ z`1gMbiP;p9HRQw$aEINLBj>j;1DNOnYng3%iwhZ+{0*7HxUWpAypwIG1N7qH`wFoY zLzG%`n*M%L7Hls?34&lPa5`NH8)AHv7}PO;{x(($??ssq)Nsw>VHOIeGFaW~bCCKa*wAvoeJ-rM}Dca=P6Z_CdP{@qF4+sK$pKb4~E)Zv80a0@=MmDgGDL z>3s~$p)IGN%E%=GCq6SVSWD&q3)vMW>O*kWc@sXKv$png79Z(fRv_)|b`~jra|TNd zg`kEU7B_xg!NW3e7C<}}slQ|&IO`-}NVg+~8(z?1nv}Tyc`fUbDQJssM8{=axkFa& z&^`^&42*}@4KVb@g2GL8Yp{Tm0<3;a|5D>Gi%=op^X|IG{wQAW>YIe!m z#{j0*5+Qhj2)UqA<0b^rOE8hnP8LKjVVSlddf}F~K(~t$xWPWFhdj`2Z^}yhfo{ON zRbU)2a&QszGzI~LFJ7fB2&DbyEFane6VA#5JiGKiSdR((6milJx>75D;5}yjLA>#n zf3HC#U*M> zk2e^2$`q`$8URwo8$QKJyr#TkbbY%nYp+@^1Yvnf>fhFo=gw*gOLRl3eK1jay6Vwpy>EYzMjaNe+m?D#@ zA=fb@0c5p~0V-)6t`kYpm84A73m}^XNRJvaXBl;#Yt(`oaz@-Zy+eE_?o2rMNe?j zGPJD}sl(e*9I$kYaT_lzFUiu%bnOfPsm2-rS;r#X4ikK8L0A%BXH-LuaE)3JmW<52 z6;={;)(~TVR8V%*kR2k}XY7J`?1UvCveoe{ze|E7_D1-fx(#2qE2kQPM9Rh1{PW3S`F*oAgP$c03=@ggL?&l*bSoAS&}3Ef)aQ2=7oOzSBkVOxJd$oRA1 zsurZoZK-fZfVj{OK&~gcjRy!f>!nuZI;d|~4Ujpb4GR7a1LUE?7)m0b1vet(mH^q= z4G0f^*7zRf8uLvDAbc=ts*PDg7}lH<+W?TuGT~lQq60v1^<47kH2@?P$Uc@*ev*DN z{)84rzq_1QgS#bJajX>%xME%)rRfi{o+rl-rJMgjM>w@qq?792ul{SsHK<5DC7}5T zh;G(Z^lJr!bK-PRxZSnei$FK7J|XpY+qJ-d+j8$bCzeM9lz-2N%Pgks!b0d?9pG1f zag^2~)XtRWZ4JsQb#*U1mI;rgjNb@=8{SD8+|J4RQwqx)*585~R{_Xo3Atcos9$qE z-1gNTXa#{((<2%_j+j+G8w{MqV1!z?Z95)r_!Dk7ZDJPSh6xY#2QWu`bbd;>?G{IW zA3g#T;C5DI`;e^GVge-Vv^*~|FEv3fY?mumDkN^gMy27hmp9}FlI|ZmTd? z?Is|q1-cyw>T(Qs0!&Rb)UEXKxifjRjOvoz4{f%Mv@<+yPR#;gNY$^BPTFd<0| zWB>@$cJ?pWPdEoA@Mq%YB+3667$yXt866kt1`{4krS3`cev$d}kfqNp@%C+{GB56) zObULvTV*De-j$`ipLf7|150;*iI#!#o-UyU&bla{UJ%)qk}4|rhjsd-K@4RONQ_wr zbb13cYJqN~aq;P$!5ipCDj(CbvEUUBMqJI9b;`&%)?r%$@<`pEf(Bv9AWlWvWZVKv zao7!!xo&}_{PGQC{=!UPDeoRXl5W?`r-5z^ZTm##LId4)o9oSj$P46usZR$W4Rkvx z-Ei1`Xw(ATPD^Oo0^Lqkv0M@dxZP4#&C6O3q(C>ispK!1L&4|KaFp(hk`+bmK7-PVfitmc;MQA#b) zZ7ZlGEzoVZ1oEtWmyrg#!3-ZhG_pW9z~|A)+U^n!*-eo>Z)AaPklh#AIwK2oJ1a7( z`K#voKsSQ>eDZKU!0nL8(p(L6+a$7MTD%3i-H`f^l+sQX=tdfUUvnC51Koi2f&~%O zfo>ah>LBN>1-juVp0Lc?Q-VOZ%Z9#l3v@H^ovKYYNM4YmZYrHG7*}e94l96kNUU9F zr8Z}$(5+?iQ_v(UENQtZrUdSkwX2OQvsT;ni#deEkJ%0$=~TU#z+lA3n1{?{bi)%# zgB#O<&2s&ScL_Fsi|o9}cH879@acBpbz6eBnv}q&ugV0-j4G;c2u&Rp_|z-WCS%v4 z8(e^sMrEP_i1etG&>?`VSKnoI`4rtUOKtysw%leWk z0t9E>64@3b3v@#lnqwE}M)xLJThMA1=*ImilQK#UEl5Cr06CqQ5a@PT9Bzv2Si!3m zH`G2M66Tk?jVy2$fFRUEAP|bBS7nBiwE%)pQ7l|c011S80GVo08H6Lb>bKf0LWz*I zDmBHhEBt7I7p{ty8UBfqD)PbxJMHBq0A#&{QXrl;@<2C#`N^mv-HwSHxv~}KsO#H9MUpxWPxr3 z5;KQQ-9CLJLA)q%$%-mMxfBJgA&1PGRqY)y4vF#|0A#DwKOiCgB4q#w(YsGV z{Z}aiKpeEQVnQFw(v1>Iq|lhvv{<@ILibNZDAsM1 zrFSLiph*HZU{4ycL)_15#YjUVLd?y@u~uBQBAI{CA4D(Jh|@QXMG(FB1ju=n$`d9u zNM{6pQ=*+Lh+fF3N=#d5fo_m7*83nHSju1Hx+yX*(E+!0X6f~AOS!pQt;M-@Segp$ zuoSY@i8pju<%TT8r&!8uh5w#Izzs|5-ziqm)VcX1ME64AQ(o=n@#eNfx0WDBx2w|4 z$pYOJ9K~O6x`H&dzza+XcrEh`Y}5kXcIwoBVF~p|h=CXQv|^nk7p$}jbmO;$w@AO8 zR)zxIc+rR(C_e3>wde*IXT*u8dB+Q)vN&M99kPMJ8F4$M{`*K%W7ARwpEqFzjaDr} zp*So7=aWw>0zer3)`|4G`LqQPxLucSY4ir4cw#AJbEaHS1`97p@U;Zq7E4$3v-FUE z1fG@`OlEYyuu}qG5J!J5(qSnNCEbL;(tYA|M!DcZaTdN#8rp+;+8sEH>E{lS`X5L} zx0>;Afg9=Up92PAc}iqglF!-#q5DOaHn_{pX+wuO>9$qpR@=E2+|Z3_-hC~zrgxy* zZjoLRye=isji&^w5Nx$K&<(+SvbfEE$O7FU<6*A*l(1}hNq}2Kg6UPWG)TbBI=wc@ ztiXh=;)LLfNK*?;U_4zX-A-Eqw3q;*H?=T-gA*+XOA?TQ$Vb2`0SAtS+u0;?;Z|8% z@h`%U4}s39B0)k6EcLfH_nQfUrGSyPz|xe2{T53x!MUwAdbSpN)>DwI7iQOg1?+QtLq;Y0zs(hrcs z0_4VMK+Xw}m7@V!m!hHl@c@y3S`&8*l;8tOd9J2nlusdU}4{+mlXSY76x@VK60JkACb-;|P_K_S6cngt7oT+Y=RwWN~ z+b_L%+*I}?b4%|iUGMXM-D74#pxbGIu}P?G?)K>o`4rM!CS-h{;sxh6zE7`-+cwiJ z@aaKew&l@C+%{;E?GtSn2Dp)zJM?nw_HNv+3peiET(|LYOD%mWxE&U5d_Ba_9o)-! zM;UM6w#jZ#I1}JT&DbE^=DKma_S(mdCwY8}#9<0*2tTH|rXOy9tAyL3Zrr$8e~ri6 z3E{??j_EF}Iwf+uC3r;ync?rRV~G0q%JB+>#mLFq3KjZf@0Yp#CPPzZFJ9|TOnEzqq7W!uvgNO<4ph`w#GpFmR!bi1Qd*OG$Yq8r@! zG~kqcde?A&3%syRq&J1zh#yd?q6@eF4D!}=yp%BHYd`(mJ@+)c;S>-7811z zbW=nw+!t;;Z5Ro3gWF|s+hsxn-FQFsaUwL(ZC)fBU9ngX&t)p%D$5Yy3I?sxzW0DKMx?@0^L?iH_ULM zNRmbb+5ywL@^ar$cF{y;aP_okL3Hhi?i8@Ta;7I}J2`?Nqe zpm=xgNJr>~C#dA>yAzOGuL}vc4&C7BEzphE zZqiwFfE%9(q%FXWhs?aoJLx9^BU7T`v_kv5Rf0^JVDTXRUV7WnkEBIHP7YM|Q&^QChOblWJ!b|+yO z=yp*g+lVG>ExP^x00030|9Am>lh11tK@`U)wbg2WCH-M*F4j{E#^R+aYpmUWB$Lh9 z*&o@R*ane7sFZ>PH7!Vspk4)0@T3+zDEf9K6eADEn8rE%;s~d0C0>|9_d$$&7 z)VLPdsk?vl^gW%&2aYZM%n6zmyT~wCIe*x7>eegwxTUOo=X(ZCKmAMpbtb>f>{$<%olx>D<-D)HwgAwknrbY&HIlgg>Jt>S z)Pb6mLp_;c4!*sTEA!lT()DIDOhh`K8a*`DPYxpE%*S(UpYc~hzrf5?^zIqnXLo=c z0h7A?BykUoEWtJ~zGC+1uQnK1y#iEsq86lT@{(}vXdoFR}-jdxfz%ln21GOEUZn4IXIb!nYbBQ zSQuHDn7N5nWQc!RIGYlSn^-u1+ajZa{!bG?>HmQr1PK3&|H*5G|K;u=7d~*=1mY>5 za$;hthd6|=I;7xY(DxJM!o%$u?MXT;j90%1{aKEOCkDYq-DydQe^L_@*OZl&o&4Rm zRaGYgZHNqv47626N7k7a{|r~M7pC~SKEGOgc6M{oaM|HSc0S`|SMxdZ24wR*UI4aA zRDb%QI&jK*dsKC0JO1J+ypgp`>b3Kax=*OFNTyG4o-kYTrdz>2mNq75Tx=@yX#O>i zkyaT;bMK#Stvkc#vES4+N*YvtC@zsr=^JxjJWms5iYi_sKMW`uK7x(mo3i4bN^(_< zPYp_DBXH1M$93@ak?&>!q8@g@iV4Ydk_jLs@OL34C{ry;3;ucv8h2pgMgrdVflfs* zN@P23r~~7e0=bTlD8J;Ap-v9Wel{T1bOgK6utM{gZc}&)4GLmiuuk8<=F8X6Tsj@a z+z;0~qgcR;D;worVqa_Mncl=Xhq||4YBGJ>vloqHb*&c1L5OB1vzorOmjq(K$2A6? zjPEBja52VFBud^TW|}KwDzG!&qmEIzL>OoCV-i$LSro>ux2TWhB{QD}&`*P1T%#6U zkH&@Qkr!8S&4@+a55=)d0NC?N&iOnJHI?z?f#l7%Rs;jIn$ic(G4p&=tVJnS9W!rb z)C_tv#&U}u^W_!}pImYHtft6Se(3y|T8Wmv1#8L)8`iihWOw@yRmS~H6nWq=B=o*? z-pZ8aHyN>VOkrvcJP=udsB#5fwHS4!&)@lMJC z&bUlRNvYLbhRYLo4b4_*ISzdhqt$w9SLR+6#h01N>sz^Mo6GYFx|^pMrDeIxIchv+ zsTvc!Kdm%ACV9xc4j_?zNDQ@2a9eGqj*i5q`@NG7xU4BZW91Wz3yBV=? z=t%A?NhXNXTBXxV&8V|*zH69LWnj~8A2XQfb6_8yOqtlZQ@Q?nR?FoBTr#hS#QT!(uf=_SAZ0Qf{YuG&c1;|8?kn>1{&r3xe$w2Wp8g(9kF{jkHB6guzJDa! z8h%7ocUpX3mF{gId$>QHh#W}oH~iMRGCaRZfO&DodueO}ehzj**>ed9L1O|YczIN{ z7aL$%CY+-E3>*n@9Q>J$3{0#_X>@rXICtd$HyI?v?!FGz9-gvJg~u-^!Y?f$WTLG{ z;NonO%dU0uu*cXaG2dsxm%j7-tnw$ib>!skt?o_vjeVBIeu3(qp|9*gfgJokuddzN z)w$!bi;w#hvHP*^WS*jp9N+U1d?4U7GMMxZVzLQ3_mY7nau*`2cxQ>oxi=672G1Gq z)WhJfb+YHLiRV$q4-y|$??LAU!Pzdqwoqy6GrvXbLr)r;U+t*rdHlVq9Vj%T$14em zj0{2Et$xiUU}1*HIHYOiQPDshA>O+Q=oq1rGJ@G;_<2#@ZcpFFJ%tvpO#t7&%cqsq zO=-XJ%#o#GfC1cK>Q!xmk+$|s7S?Ay2l;m6R> zY`6OrElXP-=dEJt4U^r0H1F30?Wp()xk7_ntFz#u0OXA5g{+y43QxjE)QdZEt~Q5V zkIu93G!-8|g+5~3fv|fU%v9pw<8G?*DeT>e6(eb!qnNJ_Vl9om_xK~J^wDelYW_1H zsfO|%H~r1Hy0ZV0+Nam&{+mpmRfmxWLHJ`L&(B9guknt875F{0H;-T3S~frVejNxO zK7iZcG6L#vq;`ZBLjl_ZjC}kIK48S_*_2@oGyR7WgxgfnP^n&gvy3^SxuYrr@C?QQnmDD5@Ch;SlODc~IzmP=+S#U1Uh+P2^vFk#B#Z4v zdTXQ#rAC}8kR{?5Pkr@%vk+?*ALV7eYk;F{dK;be8RKD>2OQ&B&c@Na2jLdA^)6Z` zRF8$Tc8m$^F=N=K5X~w6ZO<%qs=Kl5gzxL2rkfX)MdE@>hYZyP`VKlsLh(dT9l!}#hpzIct_AWM#^1@ThAQ9|z0%+>_`54pMIf>tj zacC5GP#vR+j`RE%KWEky6W}6s zUl0-Zy3dggY@_VFX`d*pX1L3Z@_rCj*JplNDE4dA{q^ZGoawDKq>fh-o~v$19Tsq4 za5b$AmD;y`Me${t~{TxKARWzBohM z{LYrET{mXu51OleL+IDQ@W~yLO7Yb8GBB$0RZMe7lXcr1PWhoM?=!pX$Vbun@>BN1 z<}u01qOBj!;Y+lu{$t2*_7C^@m#?OcrC|9e70ZQL$gE6%qc1#uE#-@{pH3#*YuGE! zPPVc<-!nm)=3yb1iXZFI($^XMOLDid#EUxhn~KE8P?*+dVeH={Yd=V|+#bM3*P!x* z1z!DI=?PWt=NfOZ&dv1x0wf$1g~MNx!DJBP*7}!nR~~fmPqynZ82vd%a!e zm$VL>r}>e*;xRLv^Il?6f$L_yc%|Ipone8NS#9MDvfQWQ7=`uOcGhV>I?U`9>yOz)F4SD(cxP~tz(`(szg|`=L!`~kf03aP1`*NK7*-m=FR~&UG zD}=(!=4f>BE{z}UkPq_WgHx@iHf-+Qsh_XHRB+m>k3ezuE4N#V+1QsKwQMEPZ5O21 zZ`jvud{0pNv9~i#Hk$39VzhuWVfK!^qtJcg|JY`?gbgg3QvEY6w z*`GDH+Tk8ue?PBC--#W<+mU3)^=H{+(=|>oKCPz@bl^dMlJysj-p+3G!1C@MYZt0H zmKTFcu0CG?Tf{S9TcdzZRuGU&RIoSF?RdR5soVe*U(;#*yATv+mpLq(t_{A7$FsY|DA3e0~SmGPI>}jZgX< zFW=rWDzGxk%W?*liVB!(dgU}0^f4QB@;k34VIzlLxEdBeDqsPTMi9#j(8R1vnWfeLTqh z{xaa5hdlV?xgk3LN+5kz>IHy70gtcAGB4768%Tmb^;fZtYbb>UKY?6d)VfXr%oN!~S)&(A@DtLw!XeRJO0YHtQG z1;Vwz#XgyK_rd&yqZ~6sPjKhs=G)(NK*KTrkAafu& zD&o*EeykK^>?*w}yhDjrkH!6;+{v@Gd^L}M8lXNyRy^=at;N8;KTyx{*)bG1?TPme z{zF;SAu}&ppn$0q$9!cYO-vYa`^NGJ?Y;c#@P3Gf`32MMXnc}g;vewH@z5|SyTrzR z*CJ-VKe6!%tGU72K38aI3TN}()OlhJ0>nHXM zePe;YvsnAiE3Wv1bX}a6J3c99VEIO$)Ue{zw*WsF4l1n%J&1F0g+|Wqv*qqPNY*!T zghrMTFF$y1m=%Rhk0zQ~AQbjqU66j6LQt`YbYWc$O(Tv+DOukJ%+HbQ)#xE5@d(iv zC5G<=dl@CJR7l`foW#Nvob9Lo4B$^D{i_F4eKdRy<`7mMUW`ljvsPYZ4XfhtWmiJ8 z8>So+Wj1OI2QshmVCn5Ii(S+sm>w%uhE;+YuXGuniD#1YAS>Uc4!m|def(HUiZ@>h zOGWaWoucSsy=(AQ{`#j-+{m^;pC_e+m5;5WRVG>Ua=9;$D>p-Pq{Tw<01UO!+(W*s zVny&9yximjUAZKEhkCQ<=1;xS6-?b|nNL`4QYCraTyY=C*6si()S_GX=t~KSt3GD} zNeLD5fpsSE3bRrf^cny9jTDDeTH`cY<5F7Vu!IB*LNnfToc>c&cclKOIr~*{1@LPMJAHZ}H}w&m5_i8R=R0Thj8JZi$N*Gi^DCY+llN zzH_(cF85pqoE*g>8^p6}&nJkTqIg}dX&mm;^2^JXH<_30cP+E*Pd=>I+4x;g38?EE zwsxD4wcQ?gUB{3dEA*0`%>eV(C;ZEQwsxE|LwbUZoZ4fScFpn2+vX&d-P0oKUP&QU zPnd98mk5{*>l?Ht&72xzmbUZ^&%L51yUC*wBeoUx>+~4%jRi{;&BjV$rpXq5y!CPqXLDPCt&`(?{5L9%KorSb~Gdh6; zx*+{HHFKC=ouw1=$A&fh{g(&SI4YOJpUj)mbAy|s>6crO#S54LAjji_27TGiVDOJD z3T%+|jZP8l3Nn!OTkS)cR7^puTK^$$&}xm2(R5>su(cUO{gW_`^Kh(leb*H|!7oY{ zCyhhtXnRQAc>#AYu=Pa*CTHVWwR4Y0y?U4-akUsD6NXDhHm z4;>ur>4VJD#tvo&hNP=pY~v0uqv+GC2k82F81O%p^8mSr?Am$ez^26B6Q}F2VoTB|1TLhp%>V;{YCxD zw6XGoEc>YiMc(TGy0qIRcyXI=z`~Y@ZxjoW%~5^FaiRe&2G8eJIFy{*!_3SEsvLDl zmhWG7?v(4EQ3ZF%%%mFazb=n;?OK6>#B>cZrL=3W>-DC z{n{CYH0sPU@3n|hdftOWZV@BPJCySwE3xKfT1clGhOvHLgM;GsPw%;$k)IC|)5ow8 z<>h}KFeSpf1)aujPhnsa4N%9PN5;}TuYT1%V8H6eyuB4kOQFg1;ET}4iqLWg@9#m^ zCt6e#?>e=jD-d$QK;$(l@@*JjDp*tB9idoW&IQat%!oiKaBp=`vw416XO*tz&@6Ny zF3!!XQPupex1Ih?%i4KruK$XSQpqI;WR>Z3Szm_K1gK71yQBg8eyU;TP`Jv7XJmTz zN;NSk44AtlF_p}Cu%tj2I$_nzzmm>dAc~isH$4>!8I@X1I{(%Vo$P9*({_O~%TnVG z)4qYn*w6|r@AfF>HgLhcWgFP|_#Lwwf558#H>Z3Y^iJt791(r55+-687i1XV6c48`pH_klTI|F zOC*|rC+gk0%BWU0vx`3*8IjJwl*?U*>A89gqoD0po0>Cdg1+RL_fPeDACI3tEz6nl zi;^_+G4^05x>-=LT1 z(Ekm#sSe|SXZj+w(-o!d0;hXgP2CSzb&cM@<>gufm&gD2lzqC^+wS-KMD8>#)}L0# z#MHhVX1k3+t&xOcMgpfsyzI2B3~_1|7AUh}+bPmDE^FX>0{HgJU7ldz{K#IuA7SjK zwl!aC987SjDb9OD=>}#dwQ8{D9hvL#nXsZ;%9PSm!HZwU-5Zd02Jc+1=B{Qq~0KC zVZG-rd(rPslc42QqDT3hs_iZ5d*Z}a<j2$5DrZ@#f7e2b`J3CjR=!lQ5iRMjuHfQ0EZRlHQ0U*qzz;kPPf4s+vV}` zva)EHaLo%33pcs_+zb6LSK}~b^^$#kCcKTnYb&^3uIgz%@8B4Vpyjn*n?GNUvJ50l zm~SABL=Rw%2yoE?b4H?2Ds}Z~{V*tuK;13(%zUbD32nuOdWBu~*>Ds252xu|gBWrq zB(geY=9bJ{0?<-JGm~qC0;(~aHb+qX>)f3b!Y51q~5>8 z7s8@$Q_Nm5S@bXgv~~BaoQ*fk2)ZCwh=tRy%i*CY66*Atnr2y-ruD&ndQA=O_s~R( zb9(k}*qxc>+<&r4iRjx5YaQsfg>N~2$4X$o%@V=Wuh=|+TQQQ{fV-|7+v4o-)m6G= zowpQ@iLEnXC-TO~jmCYzis&#;TG#0vfd<{u{cVSek}5G=4Cq|s`nu_t7G{fPTJKD{ zA+b@7ON_}0+&M=Jmbzlmi2EZ0T@hx+KW!`uOb=ZKb1SV^+0~^8LK!)`tRm;EfEw2w zi3rStLkG8cn)OIL*U(B2OvbX6pw;~2j|36Smks_xswyQUk-d_EF5jYyZfEWW^)#S6 zVtJOgW-G;^?vSa(@+7M}Qu9#PpiRz8chbb&b!%Mncbg~FW(F6RH`lca^Qz9{Ao*%5 zkTn;L*3G>$D}OwuXR&KNJQ)pqTAr*6uSK0qM7|P+r1bVe$jVIrDUX(^HRKqf7}qN^ zVUcSQk2}U^YRrN^4EmDo39Ine0pc&GP~+`_sIV@?>RR{e>I(Oq_2u(Ag5%*y%~l34 zB50cvmOKip_KgE#$A~pUsn9#KqdE%Him9gJX>akq!1^a;(VXf_%RbGG761C!iMdu9 zv;2;$&A_o@o=WD-HB0dz58GHu*S5$M`-s6CR)eZ(aZbkxYp0W$2JgN8Vu+`8iMDE2 zv9@ZPqBbZeEf#a46O=Ux*#}eTSH?C>o9e=SxiNat*dEmVEt`vT-cFTk=)MD}MfE7% zdHrQGDqUqn|HN>hR{4I2in8$3OiX%K?1N+JXG#>_Q9AXCS=Dbpt?ka#?A$7zaWuP( zY>3?NoR@fmfSOErB57Eo{GVht*w;8C!yPJcQ_IDquCUUks=K8=jT9_ac~#;h*@sFOksFo8eGf4~w~ll0dDc%py~bvkesUYr2P=v4BGKwOJb&~^8w|N%-6}m!Ljr*6 z&1;W!VXh8e#OL4*hMMr*Uf`045$6=H{3iCbWbWFy;rEF=hm)u1rb9=ZUf!ZIA0-O7N~ z$aezR+7ihVvPa}wSfNnQ%7%omxV-#eZz@FlhcfJ^VdK6`+=!Hpgl+Vi z;2t4j*D?<}R4=1_gM2C@j>t$NKlu^^(L)EBTI&(vml}(oEM|J$`iK@2Qc;Q-JXCHA zt5km3uMSUD3DDn^!utA48d=Hm?D@NhKkH4pPP7X0fS-V`bvppfd(PtXyIZOD0yB<4DFc@G|i|JjIgwy&6sjM>8BH2`;}C zQe|4yHGCNP9*xx9&55CLN_qQ+Fme;fMseqkddn5P;O8EqfymWc(K*;qP$k0g-|!{FHSZ^)xo`cIhzrR&H)kAslDTl=zJ`M4f5!Df&hGp zuEBefRI5Y>`-wte55KZe#2dnb2Jr`la;WX0o#dyBl%4Rawt*Yi(^q`YkJEc$KUnAW zTj+)Ecme<90)iLb1VQxE)`Tb0Zj{p>3ydTL;;$Y>vI)MBr=s!gye5tg$LJ3bW16^2 zF;1|N-TM2R{!`vJTkz|X{vY&py^t~I2>O~Y5V3CHIq%UI;NE`^2@9 z?k7ht)GfG}-i8s82{+k?V=fP-XKwQ2C+XoPV*Kt9BzNiqGWOs(8Q<|^{g-fVz-lKL zuXLUm!I&emCzfHvW4ixiU;8=#H?<-6RETJkJGFKM|rK72gf3-c)idE@}i40 zJ7G^6<(6j(spsI9IFg25K~P+k_--3|m8-;_2>4b?Z{iHLC&R0;HjsntV8L43k_Uw! zbuqpEKu<8nBb5>uSx=~+9w@M0@hje~prhwDog_Y>5cv0TWLq$&g;H)puhUf48mf*+#~Tt!3@oPWy#bo=Y@RAXWfuL&Lxd7Mh1f@HQi0DFN^ zmK9P$#4{JpBa@^)p8_r06Is2xnbxXwZXiJ8Z2 zGu{nZN|{805v$VleVtm|@g^Oz*RY0p_XGul3Xdz5^$i=d%=>S%@{JiO&)Ndzk4J#( zh+qyM7~6teo($#IgM|slpBo3WrynrRP;RZ;wQtY~G=gLOfkS|#mm%7%2vBdxRq{!o zhl$AhEXXKHuIVQrE=K$l5c{8q`A%zwEmQm<6v1bd>4!_cGl$3byvu$6+?+ zc}@AeGlFQF%qF<_c4$@>OY(UE%Z+q}*x;t~D4*!reIr+CS^PZHk1O=yN0ne!9J+?R z*xm^ol*?DIMZ5RnhLUFbj~8iCcw!z;fEmH=6ns3sr+s38G-c%zxL?k`OXTvUSM%YG zFIwaZw7#PfPWMLey8c9?b0*B&4=8^k_W+9HWC0!{?22SfVloh&lw~3Y<)3x&b0153 z6Ot)Y0jopP)$u9C7dFRz${*4)Uw3LRMW(d!n#~Pe)|cz1X888|4wV`yyz6bQ?g8mc z?yYs2R%j)i{Gdiy_ac~Om4#%Wuy*yPK|Ex{8K1I*;W>Q<+f`T4p<6?6TIt-4r0H{) zgrTT6tn~rdy$5oK4z+IEQ^&hmqwrIWdGhTs-R>;!qMrUS-uU}Wq>@j$;Y9@gTwn=o zdgeVSw8)HpWK^Gz2H(y}k#1x>V_IZ9Pt%SOY=kmM(j)W>I^GR1CK7I;Uab< zJ&Bd4@6n^b(99Wy*AArggC7{B^ur&dix`DoHHu~>U)_pkMP)|ig&j$iwG4DreANTK zH)05i%!E?sB8v$6{4g3Yfh8n>Ff`%|ureaXrOU8)ssusM7p-tXXlGYag^#AA2W-Nk z0YjMh-q5aVQXgE;_QgF2G1#J7A=o!6K%afHP*1Eo|Ab#~vUXDx@+p>uLX8*SHgoq#wwd6#C?MQ|tw_AS{-E;Yrdu)sNYct>^=kmGQL>{IZB{DU)}IVZqx%oEA> z;Pw1ifs7D_=c5t+1+i$9*m24sb`9xND|}2|3Oh`dp?vAF0A`7mWJCcr=fOV+3e$K> z7c2UMV$2EOmxF6N*!!Pn-)*{@-e5X6!mY+GFHAzzr7AU$bio#1L9$37LV=_g0mz*O z7eh13n|HpB_p(DK0P?<(kQjFlW+#zk_uq=hAfyw{H;bPqVX~IzzXo;sk>3k;+7FUr zN|s+O%!A~B{c@RbXQrhe@Ss-&AlV{H=!!PZzb!ejLY7PbygzUb$<5UhEjXd9kbYv0 zQjz%(xx0S9R{OBXdeIZ^xR81XUsU4tf|E;O(ufIT_!1XJQFg-LEu=g|T{%m_oA$8r zosfiHXyXOJXoxPwlsj-8xbL7ybYtAkcWgKgZ>ASI0BL%lWxyOK`t#dffX2QVw#+CipzBc^dQdzE_%ebmFIjFNY&|ZX0_*fRQMPQqGDQe{RMdDdG*9FZ? zu7Ce&DvL3yj}&`OjozLoxrHc+lSe{+83Y?NxNwdl2$7jO*?qu~9|g~CJgB5a?`H)GI#mF(yAI80jooD>KkOII7X*rUlHmea5@Gs7UlA0nyT21X-~=&SQT`|pJ=iJUkstf&8i_hW zEMG;-QP%jUFBIavP>R9TZ&;C8TErvYlKg*gAf_K-VK=kbqlVo;dkeGB3b_ zlWx$AG_n@ACbt<2yR5}!{#L6$UeDQ2H8nNdjb^RW85`4Z_#^qWe~Csu;M=yU=l=>u z1qCv=b61UnU0R&@VB~MEO;y6HhI&@|#3Y)_uV|#<2L~EG9u39~$Fts(EF18FO9d4o z{?Gz#%my|8T^{!J-Ur}}%6PLRrm5#q&EV|QEoT;c_?gu1a@5l1H{a)v0&N8Dr5R*p zk?&F0(4=!9u#Qb?Ub`-k#kU3EIi=Yf1&{oAyQm;ejGQdvz<~x!pEqx*EG!hx?In6r%*f9xH#w$>W z81&>TdX9^WZ{YJ;|8weUuNiLZFY7lRr~bKbTxr{phdG&YRq8*Y9|7n!oGZ-u+ZwV* z(ne)M`++e=;K0SELsSKy>=gzjX$h86|GNc`x*88GG10}H8yYd^$u?Vt@TdJzSohYh zn`N7_e#_t~)xbgMH5{nL=`tnDg{x)$B^LGYpx{KZRB9m1W!le!vvwx@KWe`os+qXO z;LuOctIRXy*ose>kicbS#VxDq4nUj@(%nd+Nf2Hogt+50Fk^0Kl9`JP(?DbyU-_4z zA(#=m`OJKl5iP`jT&9oHDvZRJxR}aQuToTr94od{|!Sl|8If43@?r;su+yvX*oWy%SSuh+_@2#EzF+_r(>OEe-0jYYRW z#0m8Uv&%;5BX4MtdG{qzU{hwY#|a7Cmf?5m6#qyr9Gn%}J)Fz!9sR;rjGU}t8@+Y5 zU@Cqg6uPgKKq`QxwCIJdF#L{K7EK6zGdALz7`$t?x)8@cGF;&1=oClYS0{^;XiLFUt3`TA14PD4%($X1T z*1C9G1TgmlMJzQXh6{h#&1a7ayvzbK^Z`hb%>eLNm z<>P99?ioEyPZQr$$>GE+N_0m9-_yb2gkrcL4Ku8#m+PTne{S+pF{5H&lFZUx2ZU>~ z5erHWQ7I`p>}BV4olB_#Ey44mcti%>kX7*FV#rVR*()n2qg$^!#u zlALdD3flUjA}tmSlC9m`-*z@HGft%yZjbsi+#+ku2Fb2*x!O>5j#RRS(!H>iLuY$1 zEl>J!$l|2 zK`t%luD6P_d#66Qr)a1ujz)=Wx!DlNV;A?in)Gx(fI zz2F6jQ}3djWzsL+K4zO_9y3>lfA2g*{Xajx;OLMD(oiVw_I|AOb1FuY{8e#y?_s(_ zA%=U3kqb#-5DB9xb9VS)GwEmH#aH?-H#8^>WFqW4jXmIQQ`V|SP=y$y2021-)mqZL zc9(rz#_ldIZ(c}Rd=D}`&zpj@oq<$7)!hd(eq=z#^>T$qbc}<2g>Y@`hcHTqTaFOp z=0_y}^hIzG~NwD`XmLL-L9ZAYWwinf#p`_IS86^efiNGH^C5!Qc7+DXf&P{cQJ%*o$ide2`#xAs5}CEzQI z#m#v0%8gf{$K7#>u(p5%uAS-}d0P~=2y17cL>1h+QPlaAI-~gND&yHX>}qyu7}cXH zeQlodP{(LnTjbUv$1Nhj(eiXaW}WbbkOZyhU^5z15n@T`dm7kTR% zQ84;)Mv|3Iv^kzSjkT?l8p&N?Ex>$)vu&mub{w!Q?Y^4r#TndcDW8GXV%AR5wZ<*3 zBS2+6>w`Oxm7^*K+_b;U+eY5Ls6vHBDy`CB3+Cjl4jvffw%Q53`2$E%bU(TOz#Xtb zo0M1q!F3(RDX>5P(Y@h->=L?o`AO;x)6<*EF9P6>{ao7%J@FR;mid&r#}ytmicado z>}{$DwYii+Pv%gjtr`p9Ci)O>{%LQ#u@@ccfE=)KN~^qC+w*jg5^b}&a>Njzx`?^p3OH(MpHu^0*h3mj z{*TSKIkVCKrFFumc|vVA8i#az7m-V-(5*I69Nh9hw)T=|q^Gie9IsI^nk^2JOvuwL z*cd+uNN(V{O{_Zbjjp;$m^fwVb_~pn#iBvCYR&N1N8M=cVHdxF?Nw*${t1~xVHATL z&}Q$Pg4KRlM)==C{tx#5hpDVs;r*|c>POE78gP@NGaFVtZJUMjg1#0kFzux4diu8l zsCj78d)?rJ*x`ofn}p=cmSJA`kN(EOW#Fd8)Ar;`g;iTX22^_&S4YR}TZdJPyYKwe zFgroPX?FpzKhg!@PER>Tck75k?CeccOhFA;seKK0t?j?)l42e3iX31C@1IL*YA?Gi zpF@vY$ql^`na{NJ49>q^&2fi`cCA>Qwd@^wUKjITu)~S2wGg($WXtOzxfyhcUa?nv zL7Z8)w&ha0gs9vYn0qd>r0XztbL3X-0dM4LV}J8bL5^bPV0eaJb9>T9UJICYnu2lZ zc5P!Yc##R+#A5Yy=Fq?DPwtUPeXHmUkeL{$F3P&EZ8QuahRCY8bG{hK!s z146g$N!@Z4d5|tNrQSUl_5M~?3n6HyS+T+BQ11}YNktwTt6J%EaDSd6FgrEqPfVR{ zV}tq(HrO>`?vjmmdh?fAy_-jXk4@k=PSw-Ot=(oVC`3+h=KOv%r~f{tQx+GG`X~aR zI3WLa{idz!uW*4~m?8%%Aq=;>gNMQGs^*yrg$WkmDyZvgI`~#xG)iJG_;uk{Z*xPB ztH-3Rr%pL6t9>b1z=AW=nlvr#m*m;K}BQdrKndf89WCSed-QU$50^uYer$O#% ztsJ!+ig>(_1WGtE;vS1%{8GWWSsEM zM~eM9-Uj5@HHvjaZp>a|BdCql==!fGfx?zSj~I)ebw5zi1!k3{2+nv1acOy!Hu1`V!}!pnvKNi+J^cQ<`o zo*B;leL2XcuX}|KKf+hMmc5E=>Nqc`ggEFck#-*eP_ z+$MACt>!)2C$h2OJ@8GKnwRwV%$1K8s%SmO?J0;l+N+```P%Nt!CArva+{nXfnCK+ zcUd6`t(X#@8it3huw=cu*dE{CENAD+Mc&On2>lBU}#;yLn+RQbJuxJ7637o;Q#^x(4w#mkBF`+3@ zSi91#)|bZDo64}-#wjzl>5_jjp{2T5Vk9#}TM|-Nsax(rRt_Tv1>K@(8={1)yONAK z$5S*d_MyfHUBnSEoL{}%N!Pois<1)%lfYtwteDJ3JKwp;CM@Z@3xkD(2Eq9re#nc4 z%Kd*lt{L`r&Z3D3X)vaug~g|`r!PfolyTk*)@U*U7F8rcHK(4cz3DsdUMa$|ym~qM z$Bol$G5pY{m>XP@cQ$=@=GTG!>#Ld`ReD*1y}ub(LM1TAu^)a5*q%YUfM(1ti6akd zRoilhbt1NCPn8;prZr7Zy<9X;|NF0>8}Efbby~=zIc4^ftCX+aEo+ot-U~&nXPLHP zncAM=+EDuVZF63m0j}aZ?Tb7bScEqvAr?rTY^}IcLG$qIONFqrlqD%Q73vx5*V?$u zEc9=bk+bU2~lDmU!m$UNq^SutHP@3 z%iA`Q|6!~sY`K4@20!YKhd35b=Jn=Hms1r6sQ&vSzGE!omHz#$QqwLyEA!WzifYyPgK`o%;)LT~)e18Jtez{PEL zlTa+OvgBc9eK6RFtWFuJu++|F&2MSe6fIOc5}C}etk6*HU0Nlwo-~7W)>ABLJ{wjN zEqq$X6p4v`Y2C?p<}p{z4=PZziAwK7P~3=2`EFMMM{#4nus{iF0~0qUntQdS!bC`S zB^pN&XYs7#4|aZyRVc_eLB@4qdgBYadMlwIi*VLuPLx`K7*tgH7C&5cncx*yF#aRV z&@nC1=YfWbJcD+NQiYl7@L)?W!%RZD8vmE1{YS=`ga9~v*1uW4>#_e=kM;kTi2tWz zgICmI3(Vc)KW!&S1)FhwZMj-uT9%OPASOS;9e7FC;jyNUe)lvyj1d}1<+4G&w>b7K zeyzl*Sh!8wCGa%(UM zu5CcydMN9#I0L$iU@nT~40d+FpI8jES%3_7DfzU&DNewuQJpEkZNg%?2PTiADoy!X z^qt;+7Jny&h=S2)suX>v(zgNQ6Wh(FxY;tFIbTUNBs=-NwUmuZpriUDarR zz1YkCdXbVX@@~Sw=;)v*@vfp!7T89m$uo~gwjwUxO?07Biax}@zt!Qhs<7t~NauS!hQ0Z_A<9@=zuV#VJat&@Pg{!qO!ff^bH&ph=JV#E$ z)Oht3^3al%7yS^(de8e8#R!&*MZ2*v5d;@2v+pf~`|6ml^)DC89m0Ru`w`?juq&he z9tm+gkoJ-6u==bQbPSU;m4xwARBpf467|b)cTKg?N&7Q_lHCs-7%1oCV&MEqyF)}< z^Kc+h3}x>RPGW=a11j~7(b427hqkH!+R6VAOLt{$cTSD8GP&IU^fUs{8M2jLy!b*t z?E3M`#uxd?JrnZF+3ChAE6A^e8)%jl^rh`|bDkOGSHleifB=eBw^6B|mnFQyur$uM zp~&{-W)GTc?``=_+wp&wT5&B*>-9%jq4*|#13oJgS+0wE4P*L996{%h*~T=Q@N71(d< zzj_)vr!>O_rI=y4>iC{K`NLh#5)Q>?AQMm#gIQQ(c%tj6Pt{i)9*^Q-PXicN)X^7o z(H98uFMgCxb#*fZ17j&54gAmLBNaa~zBYkk#A|Xq&Sd9Z4+ZX$ZO}rws(Ie~6Stf> z4LYLEdb{}KJY87!wgqW94Yo0G0yViiJY<*bqE_m)`(~XnBywIDv_cNfekvy~as^my zAvNqF0$nr@1Merf5`~C|l9hQF1XCfg&&`c<9wdEU<-LYVY*?ojXDSSK(*chUGgf1l zp>u&7F(5)Od{hkXOOT;}IJc(ec3lV!dAvY)2G%I72ZZR>*_@5FI=alLrS4Ltr^fxK zuX?TrJO72GZ8weT#Y|4dav3LfDw|`H7*8=Li{>rDI=<@S2S2eCTbDP0v3kJ6PSFtDhu+ zj+*l0<&}9Ti1w|QH05Oz`KTB#RZqs{uGGCJzn?(1IscsVknFO&TZHXPd0Na0%A3c} zCW4&Qb~Am~@^`8_K68ZqQr}&ph(btfWjA}@__kO~zPvVv^|4hsxzDTwzJTEG_-e@E zj8m52sJ+VfwTtZQR`iH>18vot85r4qG6-f-00NJv+%=qT+&H296r670KmZ<(T@ym4 zWt<=N|LDGSmq2l@Z33d7n}tS(#ZAj{HYnovrcEC$DdthYLP+BTK$eAkAw z{EfW*=4GgH(lE+{2lTheKO;$jxuGCL;JNZM^~7bxK+%_Zgk_4CUm6-f6~T(RNy<0$bLk1TsXMvqL>_?tlK`(66qy(o?Cr|GS?oF43GLEJ)H_LsXkk~+1=sm|_tA5M^ zUV!T=Kr;E6E!mH(_wM_KsDK9#o}Ivv>v;TdMJ{E+eWZF6om)(-(D&U7sv#_C;L2 z+9lFNoO%ZmzP0ekSmro$)!q3N<`3H{j6Kt!x$IP#bw1yI;FSJ{#x{#zF_P|8^F4<2a%6A|p8q ze4yNbOTBEqB&vVPc`xm=A5&UF&%4YlmVR;n;IVu9-YK%Cjf-vL-=pwMaAcjBEohp} zSwn;Y8?Dw>tEu6$-Ey!}r>&9t#|nWSi$VY#7WIuP?Y^I@c@phhpE&B5>2?!t_xUG$ z6x)KxxtKh6Kr*>NX;G3LkG2l>Nt_+a=Jg<%TtP63BZS%XJhea92qNA~UCr}R#BQ|` zQ>zo(#Vj_Zj&CQ~lfMQ4li9NS&D?XG>_U~(ARiH9Le{a|93Ry;ywNS-`M!D}ujAqf zUWQ1@vgA_t92CZ%uD_Qp$Q0d~3pChX#qI$~@uXoVl_}=}N9bjYmBjidaq}JASBk2A z#=gzHJK(Rpj=rED?%L-)qb3K6H&`?vA&ziKXa(yN6bYO0$Ri;ahsIF>e_en%J^V49 z(h~aJ0RiD8&@o+y-L;G$fV2g;5QK<3rMcV@_mv!)pU8hs_}4EEX3YMH>!HRh-oSP& z@<1UI<+bOUZ0bb<=Od|da?Kv{>8o-=^y6|a)WWv2mK*pn-S4-I{~qxDpjjY(lJ5?C zZK_Pu5G~|zZhsb9go>7nUQRk@?8VC?1}~f8y5X|gZ6BlUuF9te$}Jc4(`U3DL5hMSyz zs9|&QVeC41(lb=WciXE&eHy3p^v#{vVR$D^s^DG7TP8?C31i#q@M=88#Se-M*6^+O zvD)y^`0&s`>IX%@IE7LMD@)6@8s*UJMu-(Q3YdGY7t)3N*|%L-7eu}g&tF}nOPOcn z*Bqscj*|RKgFM!i&Abpu`cugBWI^(52FYW$8RoZFd7c=Mr{l@Zo4KE4ekSt##4hvv zRdDY3ndc9h@5dD7$87Q+KYs^~BmIDkISH&+HU#_oC`W?ebwdi0{>X}D3-wOMG=x6Q zh7_nA9hoh3*EmPQABoD@tABW0sNM9LaR{$fL`+YpwF^y{o`CEV*TRV>qBa4s+p)V< zMna4CgG#zPB-Bm_0n=r)wu#Cuk8@0j9SNcKmPC5M-=hcNSt6dJt4z;OkK|(iM>Ojv0o8a>zxK`8Hu_S zAQYG!C!{+hOlL(S&9EX)NI-C65{1|sDj{Q^xQqmcM>rh1xLszLhkbO!QZuB5x^|*2-dhq)Hui+n z#V#~a7dvE&<@iUc%NFb8gkgzs`%l;md#H`b9!PWG((!4VOr5H z_7y0xz9d$$0+mZ_(Cq7+r{$i<8`HR>+8Nk!OS^cG2dy%uD~Nr+-il_h*CnxN*&&V% zwLb2T#j5(A7R_O~-Pj|r;$&n8Kz8*OgmVZ&0#k^;W0bpImU6DYHaV< z-4j0L1RyO})E|2(8D->??Xstp ziE*2_nBmFLTD(rDwfraT(9hHCOf`wRY^SRKRYK0hy%F*H10?k0CdRqUAbj$#IOAK5 zjBt2rHNmUP;keo2m4NeCkXO1&LArDd3f_Cu)GK&=!yZz3$65TP^SNAW3I z#HAUI!Ks&c>~iD}1fC-910IPNW`Mw3X%8vdUZC-I*~5yqM?ia2(WV8oO^UW-Kzl;b zwhL%aDcZK6T?rjCc?}^58`1BVBY(A`9Sa(5xmMAR0qq{pu2;0h0pAUZ#*e2Gdy}H| zgEkX7Zd0_w0=~NyjUSjL_8vt$FrYoCXww7Q!-}>?K-;8fI|j6;6m8ppHkG3)2vY;v zc8c}{)-Bvc+&oRu9tG__(DqWahd_f{OVbtY!GJbH(e4Xq2P)b<0d1zD@l)KC*P&?q z9yw{Oy{ zD4=anH2FO0O^U`(#ohs5-J@s+g0^1K205E1rrTpclquVBy1n>s(aB>v6!Cjd4+hH3 ziVn}O=pHCyk7D2~wHFleTWJqvDjEWJ&Km6n;aqnZsOS#tb@>^_Lty}E<{A}PscwUC zJ@4|j`L2e7d6|li1xL;rT^v)iAy9O9s}-$|DOwLj8(?oeQ_)fRYqTMz=sGC65@L?Z zRK&?Ar|VWjpl+jUporajd8VQQ-TStfqN@T$$G2M1Cb(`n9KpcueQ4miJ2Dj&a2;K= zov+7J#CO|{hx<1MijHMd*!Ob}gI$k%z9@JUpqvjV-BHXvsHzsj7bdSnL*AE9%-Jz( zrb7(HJ`{+dMSPF+Va)rqoW~4%1bmMw+BDGk9ONcN+YvPGC!SEW?LgxV_oozXThJZ? z-_we=HE0)t#!Km*Qv90=+FGPrE85fWUN>mlD%w+^(H%l_^eTI;_UaQ5!?|+1Ky=II z%5DhMiZ(&fG$`7!)rzi*DS8x&u7RRyfg(r8oEa1IFvMI3F?-|_b7f4-LxDA1qu9ib z)?5~ArUxO0V`zFlF`POGWtfIu>h=8K*n6NYo4}3{;qcB*IYo$!1(eKY7Q(VP;j%bk zdYnKd5gGO?s2k&ugbYUl=Y3f%_|2Dy9gZ?|_5YzEUKtqEI7LlyPumROf9#itWu2bSg5_n-+8Iw93h2z~B!TwHjGw%jvg`r~o6 zbK``BPYVzdbxCxo#ErqTC&G>brcXkm-SRo?k3=tg4%cNuOizqTyOzYw)&yir@j9>L zY>}I*gvSzubQj82@+Vv?Laz(Qm*cff zRBrNl%4kD{F7!MF2R}1}M7umQYX8Z#Bv#XZ@@DWZ+H>xh(9YiqC)+RWCC=6ob8>;s zpEw5!S5tS7CG1EDO&Hb+k0pdA2;QQRuk{mkNeE345_L()NVJ^Yu*5)4thb3>aKer^ zrmm*aw$(Vt!Er*OwszAKkOU!NX@cV)i7;sOX`;5gjU3sICR#rMN%S%E19W;cd_%N& zZHfc$$ra6!(2^jSpJUWA5_TkHBnXKCl;B9zHZg5^b<%e5$5SW>Fn&?-6=Z{XJ(-*i6fzkg`@&4fq+Daf6$Pi z(GZ1TNQjl%NB|L|M2(?FV+v}1}Yi^NfoRIh*k$`F`wjE8|pL(!#U z0c5Cn9hTns;Le^>A2Qa5I8sGz!$bot^jx@d1SZlk(Fgd}T*Zz{cetp5*EhQ;qo%@_N2RT;mQmmN#)Y+YO(O#EZUCX*gMb$Scw_)RbANC> zfJX)3=KF(}0C;o&=JXBX#yC0#AS$3*%?q@l-Q8 zj#U1=?p9?9NO1>_EEIyqi(#<%?AjBXVIoz_d{A5(o-|Ur7fUMf#;k4OFs_iSc3Veh z`-YCE+N#<+x|&MJz|EFdF;3D|=Ic_NxB=D-SJ4b<0fU}9I4o9!doTN z6U3JwX!mx_tALKEgn^NuD~jW2aYL7eJ5f|XdY9ikRnCdyc6Q8^tx7PfTc!cXGI0qs zym_YSPd3Z$1?}ujOK>Mg7D3xt1X~N79*kq~0m)4KS!z9hPrV?hRr~(;(s0ZEX>}m^# zJG-{FcWjtN;T(MB_)hkdGnrp+Z0{<{@f89--hZrV#~5nHj- zo~3B^Jo0+yOEs4fj3q0DfnG-?_Pv)fG`E_l_p?uW4zWF^%#6nQq$GLZ^!KO)G2BEk zEeQpcmNcT$P&Mg1KsiMieh3ZtB$FlF5vmd2f@I&t+u@-wBWG8 zB`ej}L)5~tp*ZLMq^CoaD%yA98ul8gVv6)x9;1^5Y|CVk&o`kMC8D@^=N;C^x2lGR z4US&Sj29#;?7LscxczK2Xs!};t?YmLa+FPSoA+-fyBgWWIx=uL{CLbs>n+4DCtlor z8`F%*52Umds|JK66*}fe-Z>VYE;%e>aK+P$@&dL6XTEgAh z&YYT;?-TVW0S+!M4z5p}0@NDv)QZ+_7Sz(_)^2aM?DPo#<$_J|zW|OB>%Z|oExr1G zb1(Q~KQu7K_Y{9d87V3A^>0?)wA7)E7LsAtzEw#);8=eSw2eV-@?kEt@MeT;y)0_-|QRBWsHM{KmqpGniJ>NLhd}C*oY;^jC*UVDwdDrFAkJ%0V(j^6Hvx(W$cTvZjx| z>V?paGBcV+u#x;6oP~C)WG08jH`j5hu-BwpeyETg?uNMLe_iybJle7tp5jSl6F4d| z$gDE3n4FHw_BfPWUciPWaWRN|nxvYzSU%;lze{00nRz0s8fg~}PFx8i5FH8=I}$cz ze7~p8*j%A()lJvDu?>|V(Vr9er^rr~_yK(3s??Yg)}Q_L`k-d;dMGww!$?21zjTNM zn60&6v7`GV)!tduukyy0RAL@{!R5^(Gp~>{VF%6p!GbZCVoTXwX5GMLoyo_aGx|1< zgP^ZCiay5Ncb(rB2F#G+Ruwof%0-I9fF#BvrK|@2Q^Q2N#kO;-BDSwdD%$lmD~pq^ zaDAtCRi}r%^~@WU0y#RfA|jNFvsi9XhZ(L|=>WP49au^I;B9ZIp<7f@g{qamq4dYI zKQ`E@g%qV7KY_(l9|G3uA`3DGr3J5U@>IUOC{v7P?OXE;ncZY2RmxS+R85LXdoc$Q z?)~C9F@sM`#T|2{QdB#kEw;vC4j(4AMk?mO%}vc+tg|LK@;b_J%CWjlp3)i(P;yKj zdd8;+HdSW!+-BNdsNc9i-|#vv#7-;c=eV$-=>1x0f!a~Bc< zi#9Lp_OJ-gu@68i?dYHH;H~kqDX00YXV+}Y67xo`IRPF2XSy8?2%S6*r=pz@&IOD01^o+6j!OwM^21?iSM&MmO)ob`!p)9-l$P5h zME#Y}u4Xt;B~11<$617sO_+6gM>tD(W{lo{@}&QCebM2zmVP)7P>0!EP948*tn(NO zRU}Y@@bVNb?+%=#InS~`UJI+$`8A|(>eKKRL`L!+irz;T=ZfNi?<2g`$ff(~MOUhn zAGCrcb|EsrbKW5OLul8`_~kE+?{* z@EA4#LG$Re#gjG4zmNwhIZ2smz#>=8@dD6dzk$riuXPdoG+;{<+I-r0zcS>X^f}hM z1(M~{i@XOx0S)*K^I8sE^!CQz=R9otL7Z_Lx!3N5)99aX8~1$=n-pSw*NS77ZI%#4 zN$2aXng=CYGm7G`+r#{3e%sF|1nl#w%<&0}zwX{ZrjuS$&N(mA<^yQnhta-xZuU`K zDun|5{b{UecQkmHaXT3HLHRzsT?kcBo&1df9#_=T@Et-%2aVnOf6Q}NwUl&#!cRK zdHK5+U=n}jw9=P!zEWd7z3c4jRnd3Be#ie|{WC7Dc5^`D2cuhrmU!Uq2$iUk=-mZf zkkY8TkQH6L_xhUKuM(7Fh9r-lI2*%GRkT1_Xlg8$fc2gG(A_Ob>YB2FiuoW-)(8~| zjytEt(58qm!(|Z+A{)0ibQ!;IN~MzA<&N%;U1ee~T<5x05)QJ6uY@s02sb9nYgHRm z^uK_OR}{!;xD*S@LS;1O>#9q1aC7w#eBEL&NW6>KlFbx$@L)SbHuK=ly*km)*PVMj z1~Z-t#;#=X2hrkb3sv4d4JcO;4y}-T2E%?&U43UD1S^@V)DdifGH=L*jljuJ=rH_a zychh_?o=xV?xxD1CS|bt9+;LxeAUoSSUPDLW2A%PMWs^I#!ti%+Gq7*imXfJ~*N$==tx)lYdO zs$`W~fA}J>#x6pF?wc*UFUW|4bKnUO&^gJ}`Y0lk)#p#xwx_bD(RQ!0X#06!9o!q@9HuJ+R8Bl%T!lJJ^(|6G!;36;87=CTeu;0#G)SN}l$Y6L`! z%Kj$tPJgEmr^d8zPXY7{|Jb)@WK=$uCT-_#61D%&1h{N*6*(fK2be%+h|6!5O;r}f z6_}rAG5rVeVYo%XDLLcey6RBtC@y?~?5$~#)%<fSEgA6Qp+ut^A3dF1CSU6d8x zeFY=I>|V1fZdEw{b-f4yP(UUrGv^~EN~6srnL#~!fTcVBYAy2_jn_WS=h%gvCqG~0 zT*36IYgdq)RTOXua=*#F%qx6Ux_PgQp4$QwX6y*G?5SO|09-T{Z~O@mqG+|)e-)dl z$2VFQe;H!F`~!Nvnoag;h<%veig#s%qLk3S_hDURN=n6uwyV*W8}%n8+uc{e?cHSr`%L zHaNeSIZQ)d1m4N2$UL4(tag-cNZ%EbdR;nUE?g@dCoon}eg6?}QpTukCs!6>oh6!K z3Rs5hw7f8=WInMz3&F|0u4fh)RbNZu!76WS{R-%mM7KK<{8y6m?K=7VhJT0=D^Iq~ zOum%b-2^>ZC)lR|>Xh7y>f;|BFNO@%yT>e%eDO6iY^Ex_#W1vgw>H6wpQMlW7Zx1jz*&5e?SI&%`! zDO#o@feFjKc{T<3(8L=+!8+2MoRJnHIV~P_Ko>?a(@Y^NhONt!q4HqDg`K&*(ZR{U z?*i%hokJXhXP)Rr#lR9L#}KzLW`XHkvPfk#xQ@nqu$X6H(V&Iz*ZWLNaAVId>a^G; zLSbq4^@-{hiT?|+_o?z6#-;PPewPF*UQdf0ZBQ1TQg(RKOCd6eOQB>!CHEBFyX<#? z@Cf+K`S=v(+3TJ~d}2hH*zt2<_?4b{78Ygg?by61pY_D=j&RH0&+dNk5#K=n{X~~x zSwkP>Upj&~_C7AFyp|u9#rQ0n!CgJNARKyso^iF>FnIocbGUyvJ-27uzmNagWZe4L z@&kKs>XSA(R8@+3+jz7&7tx z!?v}Oj89S^U&O(t;ld68Jb-}cn`XH-oyIv~rP0v^JR&)NB1&&o9g65^QqB85D!_Xz zrLB`gLB)bZX+`{td{)b_ zlq@k;i5W5saqSN-Dr4gslbE;`4WM&i0g8@PL{P*4m|y@nE&>X zQKyMlEuC@MwhrBZR(JzbGo7@F?~LD%s&v<&II+X&+AYn#KE&~zOZ~zQl0~2;m6g$W zFEA~SPCwotKl9iV=$y*2t!UIwWz_Fr)X&aBSA}2ai#)Yr=ImAaoz_>0z9uH!+pmRg zJNqCv#b4(^{@+5Ru(caINAZ%ALd3VQmc z5|7TaDms2|6~SYrklZZh<(Iasq-5Awmn$VQBc1UP?dWMzXu5G!zpkgzyBWGK!RNyOn7KTo*GHllIMc!Hq{j>FL%SwuW zy&U6f88EVP#JF}>B(gfG~ndKCk$E1dhgQ@3fgZ%en+ z9{%wGI_kJnSi^$d;K!;)71SkymD9LioU?|Dk7l?yf9sl1htM##dkO{mJ%lw-sO> z3``y8S&dUjc6;p=n5HCzD&DDPbFWG}kGlc-1g3Kcp>@8Ir!lTerAFkXe#H~nD_iGV zpDZM8Eb8y@9nF6hQ)D+SG;rHwz!$diAQCApO+h%Vq55Y2LYiIuJOy z8cQMW*5tP~MMa|QxsF=e>KZz|CIpOV!Ny!Ea$&I?>Dr&8{IvA_Dv?vI?E`)0vNN;Z zx051%@iI=w@svH!-X`SxHX$(} z^=)F_S4`eGW&ch*hURhlFYlRu0a7au&=*zZw#(ah>xvomsti77l3b2xy>4?U)qbT1 zI1CjHPxF$E<*-NE#cp0ZVs-ogFl43VD~jU3XCP;+>OEpnF=+J}8-AHB(+meQEi5bo zMe8@W3gNxT-hqZ&`I()Ga+#ejHn&-N(tHFI;L*+?Zjrlt5m=Yi$uGYJPRvJa3%Lb_ zo`wA5M&t}TX38*RRCJmtKozY`i^XjUS~)vI4xw7eBF)}<;f?epdpi)8fKH8eG|-3H z83D=cK7T`>OHu`hpnF3?`m$+udHv?Yxv~3TpUvFBAp#$r1#d~nx0`}8>p$G=4e!Ep z)b#4J*A=moD!xZ~nhgF74w)ylHyLSBhf1l<+hu@X$7dE;jT^bbk%on19nb5yHNGFA z1@!=%4(SqcVw>;z0f`V>Q7*NpflOd%C4v!lK9Nd(3WdalVtQKf##JLBj=nb<5~xvC zcxoS0)q@ariRvDgAigi*n2)LseORNASJqS(#yv1J51nLAWFs^1z8v0NKG+FusNt*)B4@dz`_x$t%kCQ}%W4zNzMHzcAi|D}0&564SK~NhPkO&UKog+6T*v z%!FMv+i+KWE2)?rV^B6y6j(RYH?UTY6`NB%+-LP9z_a&Hq-5}=D+(m{$>%4J0T0i9 ztpHzn{exP&`qA^iea&1ru}^gk?B)YiOuu@^?Nt|raO2@2U16q5zc1%ykUI&TZ`%cR zRdnqn*;RTqiLDv9ReRA%U$kX`|KokSI~izVNQ>McO|Y&1Ufoh_v#^JzirbyPpHu@1 zZ?Z#>)?_2>elk_hGLV*2?Xkiv7&KT_s8Gi}kC8q>iVSA18MnroKizm>0Mt&~ur00| zmo8LWYi2yi(9^956a8>Z4)z;TUu68Qg1`SZ*HWZgwB>4Y}w=Q?IbDVCX`r|x<+-b1tV zS!0dD^QtMxZmrYx%GG7wb8!W=kOmwL-GlCLBbj?rY1%^0Jqqd96pB{&oEgd*VH1f? zS)_p=_C=`pZ8p7N>&0e?pG}{}72ZGain4X4;eIBucI>Vhv+0+OPjdA>Ul!8&bSfrE z`8t8}4xr@|WNrId^@C;^zM|1nXWNUs_dZ=MKNfT|D9)VcAg7g~r>8C?$y&vids zU72l?oOyW5I%uT@fZP|&63NxS^1%6pf9PLg_unYjA&oM)J)KqW5e?BlLjLBf^_0@j z@{r*QMMO6EBy z4hmJ%2_Nj*b0PCqSBsrZTU*3KOi9yrTAPj8imajc)(Tg2&t$rD)q>LbVg$?01xn$| zXxpXaTfsf+5!FbF!_!UH33M!_mb$ci^-8yoM-h})-{8@wSfEokqU2$#zCFLCCGf7b zPgt=(5p2g%!lm_24OsrMxLg9w$+LeyaJx@*GTIXe=h;|)#9G+ynkqu=q53N;H_zPm z_r*zLFs{nz^cEJJCA# zwGS8q6~xiPdo-o)$+`j+V^i7qsZ<7C+P1>Ire_#zygakbT5l%o^}}^*PE*-qG6H@} z=I(+i@C5$vZ9Idp)18_)$trrVHu3wTiPx-2D)r>5E@nj>#(x`^it)6{D*k*FR&=Nl zj5R*0hQD4Y|3!e|MWxJfB2&Um%TG(j*R=YKd+Fo#{X($fJNV_cMBk@Czyy7_WE4z8 zhaNR=eb%rM5B$A}Czub6@8jN4YMw4UQC^tZY+p6$;ruE|c=(IY4_$now5TW1OJ>%qQAO=E_7LBiHZt3aTQw z&w5jx_dl-#rPkLw97-6%scF3=nd$TL8pqLkMluO3@Wh0^12J)F_k8G@UJ9CAEHBp{AGE!|Yx zj5y98L3Hsb{eED^mng2Ooy*EP0!*=*T51A3Si&~F78uFwcgdw=XF1Y88rn({yo?qL z?bo-u(7&=0Wn+v>bOlgt&U)*YW+z2(d7~=1kSiVjOsaX?BY?x07VJG&?jqfGa=~Ke zO=W!MJ!qu0?KEF`vfm0nI+j^U+e|fpq&)t1P2CmeRMgw#c3-PErfoOyyTv@tH&_uH z6bv#=ZwOpl4dK4*y&+?z!r3sCJ+BldjO+e32rWh?u;|@w^l3-3tF3oLcgq;*w>*D- z%=tsfToI&)B(Y$cYPjQ1+f{0v%uzltgLckS?}4|*Fzjq!FU z@!MD?U2~EHP^eNfu9#2;z6^%Rx+HX8laJclArjo!RdSBOJU#Et1TVR;trgbvpO}sa zUDIOtIV>iSbEv`qurD+O_AC0&_jZf*C=YSuat`VTXI$7hry;MMroZgzS^*!uJul)n zw8JC=*o}#|$-h{4hP);h07!Vu@$XRIcgOTHZ|bO0Cx$ToQWucj@^X=}E26-MVYIVG zMD|)v)FpHxL~>LQ0lWK|(=_u@yJ0%2^ojRUp}_?B1k3>EmI~>+fv~+K*x$Jg;ISAj z4d@m%nK9`+U4d64Vg--8mXgv_CUbP~oG?PFAB+pC%^q2xD4IaBo1A?c)@z(E=|qkv zsh3#r)O5Qk{fL1!m3^b;rBN`KukCTuM(E5NxX%_12u_HT zuU0^MOr5UXv&~1#UW$T2YxkOzY0&Qu zY4h?zTEh?0_>r0!%d!hj63Vt6dYjp=wD_oEpCr1_A|DjuN^+$PZ)ETzAA~wfe)U3R z-mD7h*I|X<6lntAR)j_H^i%XDsEm(HU0;*ZX|rP>?<}jSr3C)4b~R)6hK>@~pZzG0 zS%jrd9Oj9;Hq_#6^K6^5T=1S?x~T3AXir4;O8HRXiP^LoKLN6i$_x4NDX-}a2I%k< z?v@``(M!|i3WSfm)N z0t9+sj(3DSaqzF>xm{k}V~xYG*3wv(R`c5Mp*YB}$w8HV*zO?c-F~0d4Tf_&9(0-d zRpe46Q7rIjcJS^ij4xjdz5BNnr5_chnsuu(G%N1#;}fQ?Fye&JrD?pO|;_ zXhFfZ7kg`;`NgndX^HXF9cVCw9V3aGgKE&a<}=MDU+|0B#Fz_)AI<6G1}OP#XWc4QAiBHgmLWvq}wTAvx8dHuc((PgQU{~bRFn0_=%gZ z6#94q?G3W+*aJs-ZX|e#wicy>}^MZZ(bCU-6pilJ9_od><)+&YB z3=vmbSig_M*ejsK`>P1=kozz?uV{D3okg)=(}Sh7eBm@uZC_-r!8@%TuK9LUXbj84 z&-Q$XIM+*&QCi^LYS7v=wFAwtCtaEpk)R*qOlTY3Vk`!BjHy@iWL`v*H`iFdc>PGvKdK5{!{737|vWA2?r#B`C=5cc3g{1 zGk+Z?Wxt*;FsGgQ4GNuuZxnoH-KGBjwik!v!?3bKC?T4Uj5o@+9dQAeDBPmWT2t4S zEXoW6P;;OIw)4GRUw}Tx03(4PzKXstPUpX?@BY!NVzMf9J&zL-leTk|l# zriXiZdfU@|#_EVAhu+dZmxG#Og?KT43)%UVW^N%%Hlu$rz+Y4%hfpmO8a|=O3USOL zP>ZR+oR?ih6W!*f{EEf->1>om#5>wl4k6e6j8`wNUMId&IiNEN0p(2R^oRHj<64Mz z+g_2MPPhthBjS$?DWUDEwljoSw`JSYuZ?YUxjCfZbPyhO^pqG&l~s;zB=q4wduaaWc=T%9*!;HG%&{RAtN)Nok}$*I!~OR8%GM zeZQUWceRWIP|Vxh8?yW*i&g#8geU0-Ucx3oaSkzzoM7&nxWM4c6f4@6wXt)18COL2 z%a0ug;6_cy-r!kY)$*EQ`7yI5VynPv_y<^r(WSZ1;1Nx&sq znP#exkWW)xx)g56P*MF8B%=r6@G#D}8}fw7Agwl^J&wxsmkDWRdKwSj+xqYz(&iUY zaq?_YhAUmvdv69(Ovqe0P($3NcULO+E-Y>JiA$8AHQYqlLf!|I$f{Mu)gk$nJI+OY6m;uk}0$B0n@b`(q40VIz~Y-aAuU-Q~sIWh8vS zKZ^+dpm?MzNTM(CNv~%k6mm%w?xG(d%2*~EpJs4_BRL<>cl2nWB0Uv}uXr%O&cc27@>1aWo`7MY`TF1CnIQ;L`qf%v6&7#%5lsk3>p@?T z>5nBUg!|@q&mDBb$)3zl)l>op85?MoK#mx13_AgApZ1vTJ0^_}e2O&_u20X>i!jsd zBgPV=h^qj}=e|LR_>01h-S#fO7f!Z|*GmL7N8#vI)fW=iNVPbF?1pUYkO{pI4??1T z1w{W;=jgtYXf@f^#aHO&M%&)m=Dp4Y)tciEKb)6*tK2*2NRU|gHdbDm^Neb4ED#C% zA=T_CAV};zOov~Vm&Tg8$OX+3M4}hc_~KcUpp7( zaBe=^f$illQ~wV58V#%xuiW=06NH5ju1h@wRQFnbnjWz4$!TmzyQv|2)V9_ zuKFB(T^Gu^ut6<4K&P6tH_8r&1k#Z|8s_}oqqrErn-P1;n*x4GF99;p1q*h>fqlNj zNCbrd19r|jzpUY9Uv+N|ZvauDr3H$59XOOIw6CAAPyeh%37XDr-lH8VOO7+*dP=gl zi;zIwDOPNq{pns!vq3>mraQovb9IVnRT<3(LhMV5=&Ra<4n!DBqH8SOvsLz09I5>l zjNHi|`)xQn7C-y}vAogSs_rkjd|TNq5LrVRfA%=%b_bTgNlx5T1^+$I zgW`_w_C4mX7L8G4_OpwSi}?ppy7RWY(dTYYHBoW|W{tR2@$EHB9O-o=Xn6p)i#Y>U z$hpORBIEKVKLvCJ3MPb+B+e%~inD`AD-lv7pU(P&Y+RjGBy`LEAj8up!L$&XLzrAo z8Dk%<+lR9`$Gqs34z*wMYQjL9Yrn^xZEn}Ljh_&f>QQ0P1dcD`7q>LYq_R(Tso<5e zOJ>;U4;!fwZNT2r@b82zVM-Kkrv{x5NlgX(6Fjez0v-K zexuerWoVS?iAd9@Un+Hb6MvAmX~cCY>cs|sY3~q$vcygEQ?s|^!OV$s*g*fT3J{?_| zcYi;6y=Fy`$5Z@{lH&~4RPry>;~Xmr#`-joUatEr(D_vX6sGOVdP*8@MTa0&1bJkR z?@T!X;tT5uypM4yo@Q!?RHUmm2x9xKV0{Rkh~298=10Ttz)G~|wrvdRk*E_-d}S8X zg&jfG_APr|ihb!O?pZE^57XAb^ zIOf%HKis;rWe20>a47XpPpb!{Yj93BcJJV_sqRDwP4Z+}$xr?vdD|YA)-A8zhIcde ziY$%FC`5P-u_M;hw>_|%f%cZgXZ{8-WYGK}~ z^}LS;iKdyar!M60unCA!hBs(wdmMK2tE_OQ^wAL(Y;s(s_T0c3dEHvL@>dL>-&!*v4$rxhdOd>009!IWh%}mvVk-r|dkY&zw1kfrn~xoI0#%XuHvnel zETDuD?e+X_2G0A^f$i@d{%Wxx)~hL&{KcT{tIPG5bzVJV#cpfjck^@JZ;g^%H=uaP z-I`E%V|o-m*3SEnQIZ@i*ljCVDStkKMGBAyhyrUxeVsQ>a6b>de@a`8n(kk9@5_JP zt<%zdH1GUFrsvZcSu(V&r~8;kGR0dVibVgSpVW*s{Gpusy4c}2|FB1^BMD!2?$)XV z0g27U5|Qdt3h^BAc@VB`)Vpx>@;JDxdMBA`d7pUD5U&_y6fI}|8w(#A&K}~|x#S;b z$HYs5j2xP)A<0+@w?7-34?a%}k6%iS+qP`i3s9~|r5d6z8Kgh*PMUc86(v*Ul9W9y z>wzo$`tm)AB3>vaC|3WjxSeB=4`wtAK@;E>bI2Ff-otdMF~&>Eq9kAc@Lz+sCRE-` z->E)rUR{!M-+j-aWG+#%xobdpd8?L1+ENMHX>1P4|A^+# z%0k>Ij@NGaHCGXGrFiPosM-Cx*$w4b-U}=1#V0 zUmdx;E4zM0*Ut4}r<30)gs+KKInmXSD&4wwH`y^0Cyt37z+f>54P^f9<_vc-7+~uw zTsr5igu;Q8jI($S3%giSHEI*orX(xFjPV7oWzJcSxMUX2ZR`rIVC|;??2*1d#Sj~KAseSD;!!6j1I>m6f4ycE-y1^*dbMx~>gs*! z&E=f!5_6%9k_@*>JV|LLf^v05CaH@f!o?(V@-!4w|m!a1bcK}ojALT$p0}o1D zz0!>vBQT6w3$a6HUuAw8H3w>6YCYbL6R4S|<^2NUv)uB<&5PY6qfh24H_4P@K`rwd z7==!+$v=Tcl#}=8y!P9WLB#*w=JZ?(?+CD80{!kCw;oMb*MU(8~wPcY} zrJ5eN0B?<3{fOgfk@=``C-1$vmQ5$H6tk~j2jri&YRuw?a4P6L$6_DwPPO5fG`GiJ znJG&}k+kPA=SY=9+ix#fz{K-(k#Mb2z(RQev|Dnn2_(ppU#^tqiGIekfM&qaMS$a}ci`AYW!E zl^d(@Hx z#G7nSFNs8j^f~TlU;n4W7$bwMOuh2i6NV0=9EUqz{4Y5%RX?pSFYak(D>y8IQvUT_ zw?Qkd?Q-#~3?z zk6eJg#(xPnXKq?b{#%9?XmpFVvXyBtj$Ed~G>%oc>qPApJr4Gn_r??F2Jb|`b92zH z6P@t+4ugpL6_HR4DJ#0OjB4);r$sztMK+O3NL;*K-M)8tPue%!W94cnYFYUhG5J?- ztq80|M^cYmxe!ph0mX{Q2R1&`Ev8=Gh1E#aPJ4e{A{saP{ttZNzioFRX?%;fPFYEQ z6|^zB=5^!LaD9+F<=Y);43Ar)MSjLKP8<;FSQXySf!Q}7?{fS1?0AhRZa(v;Be+a( z`GH;K$TDnP0AWjMX2zgr=&m+R$7F_rbl5|BXM5usEn_bW{vNIQV5WbhsdjbCH-Cme zxeK_f-oy5N!1%K5W(immzFlbI<326Xf7How_)LIo`U3sfu5sm^GN9@%bYu+` z_o)}7iW)-fSB&3AFr2Px>o@BxjLD^05g-5UA+DTtqey-0?1$Rcg4z}HN@l0&oa8@u zlI($ZrVWSWhBNmRH|`ryl+?rRw5Elo-c@{jS=qm{FBeER@*SK>RVDOH+4ctbcSw?s z7IlK-k#1g-@3Rak0aRpw3QSGtcIn;U?N|oY31%x&w()yp)s?N)E>S_v0hDoBl;D2b zp6yE_EObBe(3+%kxxudJ5ya?$es$1CnpS>Kj%4yP#rH-$bykGRzX2j^5s-#>3L`Fk zu^RP=tmc{IN@sE<-oz2T61tm1tNQk)kH6wi_4EN}dfKF9f610b4~R+c5FJxBk8Vtc z|8)1mZVuI=|4RA|#N5pjZxaj(+TT;{Okux9NR)>7R)?QLNv831C9mtzYJA)&D&8A@ zJ1dJ*zkS)~AHEIn39li+nw24@;uc-{L@ZhG`djU9hLPTTI5XX;awj8}ApoehTxS&6DJqi;h zsL_X$sOT~6K~bEyk4`M1P|`l%5Rp0$u;A2Z!LmX@!8i^YY!2%t^GT~uYHPVhf{trE z#eAW+9Nm)QbUio!oHcAP?Y5s(rzv5dot^kTFFszP!1^w^v)j##w^dVUrd-XrB>YkT z+@fyQBCI$#_cswEo!US*7w}})3w$V5R*l=G8jS5W?^WH=28-Dy0-mIF+hwWF|3#s- zC>Ai`RWwSo{oIQhE0R89RM*8-N>tRn#`^?vFtho><2PC)eTBqpk2{uNI#qCEY0aiR z_9x=|naZxa01R1s9cKa#YdIpnf2EZyA>w2Z9VdzOU#G zI1rphexhG=$x`Lz(amg6$yLd;eXFP+`<%a%)KAQHkm?YBW$M2@P^PH6lz;fZ1+s6j zwxcT&vfIWo^(U_uUTSoz=H=C-Dw`)}{XI8QpPwkrg{%Y_P-@dN5Z~i=$CGl_EEB5S zWDhyPzWTF(|En@X0Mu5wCO)$#{ls6`9Rb z>Zeg42e!Tym1my8kr(g3icrRekr^14 z0$qtR%Gl<2F|l(qAj1jDvr;+m3siUh>Z?$ViS7*V#L|?LKk--*c_l!1-14ujYobb~ zeUCGxoxMWOE~3e*)ALO11^UZL^k>F~3>m4cqI{nt{BO&7m45QbGxf|vfm5ms_SI`a zJT?{f?)H3Kd6jL_$jQ##MObq}5i%9C#tsDOJl`DA$m+3}5dV0SjMYEcnzPdC+xop- zUTteNv-TYPaaFzTr>*&@;e1pMygM6&RCQjrJb$vpCEsF7X_m#NaE=V8a+e!%iG0So z!pC`L;&)qRm@1u_0rz@=o1oRVyd(=l7dBIbMsWic%{RBg7UBlc@!>L*$GTQLTzkgz ze}BQ>7;xW)45w?Zf9^qeV}uvyzcomQt;X7U z?JWNxrnqD$r0YmM1#TTOAQ{2g2Gjr5{9j`JMij!4mTWJo?T4zx{pK+-W<1CKzk~$q zO*L69ZZU~U+ar816tN?)d=T&65&nl)a0-b=U>4IKQlh%MlfHZ?!tk1np}AB{_t(s%ahA$!pm<}5m9UXsWlZYPEK)S` z$EMFLoD_UCv*8%jKLHExf@KbSyneXj##ga1ZFg;lrUxd>6=2ZBs+1fJ{IutqIwz_B z8Gv%Gry+I>_?>DS(p4MpZRf>kP8d^g6X=r0#kJ6CRVOT{s-s_T&A>K>covN6fP5uv zz#_P|if}8!X3Sv?|F}k6oz@WOXM~}>W@9z7(F!&QuCz+a3vfuI_CE(>A-IM%j*w`L zc-eLA!8GO3EO!8bgyYIyliKy7=Kd$KDorTy@htsvoc4BpxKoDc>(s zgD|UQvX|A*M@g(O>LoY)A<5@<(IFLM%X+@f>#JtaMkHmFQkLP zcsDQI@?voGFY;H3m%KM2a5WJ>Erz3CRip1&^m>hugj;Pq97e=e3ijgRjH=73uw%vp zppS)pDgL8>-2$)^sEcC@;}Ih}BpNlvCJ}DQj2pw&68lzjC=GTueVPRq78ijz(F<(e zna`k53Sdvk%%og56=Zq?WP{~zZ+ouNi2SN(dk$$pe${`2w;sXT&v6!^$HckcNWfw}ysI;bX)JPM&hoACYv+=Z4^p5Z*gt&v`*e=*YW4V$r}75w9O zV?7-nM?1XNdg2Sd`k$|Y=SXSoj&D`Xwl`y2@{a7-&zjErY<>Cb$aNFht}KCWjxQMf zX9%#5gz}vbWaTuy) zvhG*L=u8m?5K~WWzqwx0%s`*@OZ@qq4mE~#VcF4N2 zQQ19s+CA6~m+@-Jg@SQJ@i9gpk6Osp$fg`K0ag{Og8a*5s#r)T?L+uh&^bGtx0>JK z5KI3$aES|R(jv$;VgK5pvpLMrXK86x^uFoA5S8JiUb0N9V#l_%f?3Izh(+A_`YCk+ zLsx>s4$Z(8%}+{UpYEy<`dP@GT1@x3eBYSeO?Ynn%eh1#Og&(_z=|2)`tUou<5_9ZLeTLO2VG(|=_S!B zoxAC++t+sf>#D%1q`kJXaqF>Bg};;fV_&nJ)pczfkyuq6nTu-?i(yrSdvHS& z6glgRMzfK+(X~7w&^;b)G|?G=O|WjgdjSdoEB9)^T2d@YeCpoklhZ&JZP2OZoMC7l zW9IHu#aJVkJN(K1#ykBA#R0@|8tXNuC`K+{TeHhuz{jYfP>S!5B?v##OL)w|0v$NX zmjt>JSMDg@BEOSC@AyOf7*4lYT#C|no0AZ4493=TBaNMZA-xVf*=sp>d=%3qZEX2) z%`86ctOMz1)D^AzKa)71nd9+?g6-xH}?0;vo zAIvIrUVOF4&B3i$Tx-+Fq-lgH`5q2uVVK-$vt=n#)X1TsVzd1h>Ko3jh35W?y?TMB zK%+?+CQ_h|%c%_0(=OXq6;m*1o@WEGb9zXC_+33=0FzkDpB6WZz*DqTJ5glqO>B04tnU+e@g}lY9ol;vBWeE{ai@_ay-W3F{?^ zMDl=>kYUgFUPt&Q$TyNMmK#0fL&|5WAc*aj5yfxM)tBgv6qp`S7t1pT+9>4S8O14? z_AqNMt%1G3aMOtFH)LA`X&v4vGfl*Xwx4DFxWM%Q# zCGI;afVYdjwu#fxwbktHe=bO28NzXG<3slFb1pfc^7B3PdDBx*_)bXdp4IE^kBMwC z^sUJg12WO7#WP>Rz_Nr@6sLu=_tkj2N~;Z81ZBD!Mdv(CyPqw+H>y5PxP5qq>xAi# z|I!unH{iVL7O8+oY537q2LFEoKoP(0_nGV?>eV9?2LaEw&6p2LYsca}U5@*15kc&k zARP=r#iL+GOXx$aX#H)gtPtt|8h=^eq|JhNwg&HN4c^@vyr(tz%+}zu0QZZTCoQwy z`CjPlG3nXR7qo=F&>OwcV)-wC_8GL>!1iY>_}_YI-zo+DJD~CBiuW}56Rp9gqTwxQ z-_9RC^-s-T2$fmtPB$D^eWfknI`b@znkffB=Z8I=-7`AMqa%J#c!^%*+>G*dD4la> zbV9+{y=LwB zie)HUQ^ah=@ivqTntcJF)cxk=OR=}z{3dUTR0aC4C7NzyfANU4DWzSy_FgfrBob(j^798qes?8@I&(pszX)& zj{DGpah%uYkMk+W!Qp=MB`5`p6w_oM9E@>{vIxIANrTStoE{)b4Id-;Z0rMbM6)vH z93UNGLBX7lZnG=~Z}o%sB7D~UA$UwrY5zXT+v6Cm44Rj;4lWuPtMS*!tBNU7q%rFe zOOzJ(!tJQb_Cgl=j+W3rXbJtpme4-}+M^HC+;)F!@L#kBf1ow^gRQ}T*&6&Qz>7!R z#@3Wi!9>zRw@)nqS38my0gk`)FUx0*wJm{U{hXQjXH5w-2|?N_K&O9uCV>_x@|U!P z-Wq5+9go>7nUP|a@?8SBrU)|E}X5lH$*lzFirWp;y!mO+egs?BEeKR&n1R>qH&2CHxV#g>m%Xdj*o=U#0-dFn8(!h{E^r@BszQVxLR`=tYswHQh-ok za-5KGNSMxsM!I2noREOv!XyfDcT_^gUU3--4nsHsy0~3t>cu`fVyPX{V$W?yRV~U$ z^xTe4p@|74(YQq5CO8UogL|EG3w4kEgryTgFbx-RaI z#j5#6i{`MmwjPJ*&`v~KT zke(~*k3E!(GRnz**-G_3H6}R{h8?Ej!(7hZq2l96$~Z!Cn0~ogaU`yQ6Frw0w~3n> zMuyg6f=+AsD(%qE)9gq!iMDK`ntvl9XXCRG@%n=#%*Tfq=dplv&0lcEw+aQ}Flsfy zwB-nV*kXJM&Icf`bftoryZDP0!N}4w>_X>HgwS3HHR{@F>4XTK0Y8eR=nWX$=Y6^%c3LfUbPb}eWRLGOA+I~z2Qn z8YsFBVlE4m+Z7#`U(us~-L#3bKNA&g0Y!W};xn0w3M?SE#ahIliMtv~w}1guZg0`? zsLAQNOJa(i3>0nCZbhqNihct{H^JVWGZh`1zeVw2co>S_4>5aWD&m}w({-yLP)FVU zP{g;8?U$+Oi2RCfiz&J{P&A|6iXKNFErWeb4DZte*IkmSsDSI1L7-mkPlTcq;r=TF zMaQ!%IMAd^IK4y&X79$~XlhVNdyj{hV*$B3pP2gq)nb;h*--F_d}7wctT_&1DE4!K z7&hTp&>qFKxIxht2YlBlS{*b#o_K?z4S~jO!%d2I1Zeym{ANX)58A`vyH(M8L0b{icqGVF&xYglW78WH^y8lfe{#in5$FHMFLqE zm$5WXxGYYX9w#L1aNj|_*C`_*)J|a6Y(-&6eCJD~wRQ-*MVkNo;xMf)(0smKkxx-F z{Sx!)#5q}p%=z46YD*8NZcW%+dakHXH^;VYE&=5u_1aW8Zi;gxJeKIn^>GI!z7msoCKGq4qYg@RWh;*_NLwm# zEuoWz<16vjCK@;SJY}?_LKhlO!NGqULZV;(Hfo>eS`w@2^Sm3(rvscjCN%il;bix% zy~Nd8Vooj){E2Iza5eS!Si+8k(1c;_@K{1=hczzXrlKMkVK5x zAE48t;hUnx^eIl(#ka)?EeV4CIYzA|VMjtnf{++M364Z-6Vp~APWfXj5uZL2CnU5C z_+J%>3kUdcmu`MSXgdg#Ul5CSrF%k2%%|<>h5|AYf%_Ix7%&n+kHsVCD;y609{>RV z|9AnES8Hq(RTRE0P~K2prR7;3#dfXAvy`Q_ot?eAJ7wqL%q(;zaU@i+m{g!85Riy5 zF=$B8Xox~EB*X$X5^&*&YjDvwl zL(!#U0c41H2bSLb6u_ebFsE-2H+V#H2Py8rk%dCgI589!Us!u$6HKIPxetm}!^UI+lDqvtF=!)VvTHMg3;Z79gklq!yPnB`vxSbs{Wvdd*>XvB$ za+0_T8s0n8_$QlX_kwozG~G&07S92o^-9moS7FB5O@)D04b#@)csQ#Xo~>QawLJ6G#e@ia)!&#oT-laDU*(M}rAXO;uyR6mf? zhfa)zkzJMw%J$-u>rbH+lf);`Ntm`vVR+HVG%8-}-tOHT37=#)ZAk!3rx1SL*!>-- z5a`fI7?>6W%n)zDU$@@B{uFWqst8JD+e(@+GX*wT>fqJ&!}BmnGp?zGnI$Sg#vkfI zH#)o8!r{)YE$tl}W>Yx(-#oU1{p3vM*Bjfrk}|ocr+X5kXMs9u=DhAev==d>={ceq zApGIBc`(R>P3zjXG-UZwoAtYKt~d#}&AlIXvnkGxn$WCyr1eb4?enM^5ljZqnVOsS zl3K)8?6hYonmwPq-tl_%H3VbHN@1YaQHg!;r3}rjBI^C(v*QQY9#dvU;{sBW+;{qW zRDu|8qL`M1f=WvoQE8}}bRMFdA`Cx-27Hpq67C39i*G@)=gQsi5SWoR*egHth^i^z zlO_P7>xFz09J_E>)h!RY+D$nF@Jfgby;xJX4^)wRd=IHfQ(c9Xdsvi$+xstm?xOdY zF=l}^S~99CcGS}qLy1efw!pz=Ko9I-en$<;e2-+P_VOQiI|)n==enP$yv$AasAvW8 zS8I;dz(m1JhZ*eyKdQELW$pogOe8@5!=7dL8PCTzhX)o?Sle#hFJTyyRt}8Fe394< zpsKP3+mAxFFkyUzkSqJmJ8DAe%3{j?fza85s2O8pw60j58SBSmJ(ti$zOnB}a6D(g z4h(M~w5MuI|K|p088OX~+V&rpf@bu7nr|U)o2df#>!>uJ_WaXl`Eb5$pm!8{@MK;h z%jvZI=5sj^;dhqZ5hy>S*MlCz>9!*o zVg?wd(9^%X)P+Zs>wrL4wz~P~l?9Dk2fGGt+>FPAwrfN1*uZk4VJ4%z*VGbtY&=fn zP_(&gC;~AiWOnpY1Nv~am?rH;@(q^hLmYOWqQ<<{imfRT;2XL{@IBE)`o28W@G=|c z(@eai88NfDAk>whZs1U}xM$FK6}q~@PySm`1$q|1U~0DD28_@Z)3YTM0s&=X6wGMN zR*bk*DOsrt$B9}vHWcUFpY(KyQbjLZ>cw6oRZNjS%VTu1fNhy9^7$qdqePUH?6}AJ z_*T{Mu))!bnel>Tg?;x68MmK}2F+E1u9dydUW>9xZu9=lWLG1*SVsm9gC9>gX}yK` z<-}{d?qZrTnfxfCcOX3YQ}}XoRuyTis^iV3 X)14ro+Hl`liVewxe|r7{00960H7n6f diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index d503ea7..e3155f7 100644 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,21 +1,20 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1679994373538 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1679994373554 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 28 05:06:13 2023 " "Processing started: Tue Mar 28 05:06:13 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1679994373554 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994373554 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994373554 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1679994373897 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1679994373897 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(104) " "Verilog HDL warning at GR8RAM.v(104): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 104 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1679994390038 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(281) " "Verilog HDL warning at GR8RAM.v(281): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 281 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1679994390038 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1679994390038 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994390038 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1679994390069 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1679994390085 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(39) " "Verilog HDL assignment warning at GR8RAM.v(39): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 39 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1679994390085 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(128) " "Verilog HDL assignment warning at GR8RAM.v(128): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 128 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1679994390085 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(136) " "Verilog HDL assignment warning at GR8RAM.v(136): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 136 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1679994390085 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(143) " "Verilog HDL assignment warning at GR8RAM.v(143): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 143 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1679994390085 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 -1 1679994390538 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 559 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 562 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 561 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 560 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 563 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 558 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 557 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "SBA\[0\] GND " "Pin \"SBA\[0\]\" is stuck at GND" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 442 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1679994390757 "|GR8RAM|SBA[0]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1679994390757 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1679994391085 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1679994391100 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1679994391100 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1679994391100 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "336 " "Implemented 336 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1679994391100 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1679994391100 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1679994391100 ""} { "Info" "ICUT_CUT_TM_LCELLS" "256 " "Implemented 256 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1679994391100 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1679994391100 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994391147 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 18 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 18 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13094 " "Peak virtual memory: 13094 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679994391179 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 28 05:06:31 2023 " "Processing ended: Tue Mar 28 05:06:31 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679994391179 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679994391179 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:39 " "Total CPU time (on all processors): 00:00:39" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679994391179 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994391179 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681444876827 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681444876827 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 00:01:16 2023 " "Processing started: Fri Apr 14 00:01:16 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681444876827 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444876827 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444876827 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1681444877218 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1681444877218 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(85) " "Verilog HDL warning at GR8RAM.v(85): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 85 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681444893408 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(261) " "Verilog HDL warning at GR8RAM.v(261): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 261 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681444893408 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1681444893408 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444893408 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1681444893440 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(31) " "Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 31 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444893455 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(36) " "Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 36 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444893455 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(109) " "Verilog HDL assignment warning at GR8RAM.v(109): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 109 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444893455 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444893455 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(124) " "Verilog HDL assignment warning at GR8RAM.v(124): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 124 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444893455 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 -1 1681444893877 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 541 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444894049 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 544 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444894049 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 543 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444894049 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 542 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444894049 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 545 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444894049 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 540 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444894049 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 539 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444894049 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1681444894049 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1681444894252 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "305 " "Implemented 305 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1681444894268 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1681444894268 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1681444894268 ""} { "Info" "ICUT_CUT_TM_LCELLS" "225 " "Implemented 225 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1681444894268 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1681444894268 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444894331 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13092 " "Peak virtual memory: 13092 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444894347 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 00:01:34 2023 " "Processing ended: Fri Apr 14 00:01:34 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444894347 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444894347 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:39 " "Total CPU time (on all processors): 00:00:39" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444894347 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444894347 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index 999fd86da04dd674eda9f689ea0241514d8da3d2..1bcd79dfa5d52527b42a64cb97d1c77901c60b1c 100644 GIT binary patch delta 913 zcmV;C18)523FQfpPk+(_00000006N800000000000089#00000004La>{Ux|)G!cE z0D%YyAzmjAa{*du+0AYXw7o4=TNTl2=@tYh56{n&$xjlm6idP&Z%)P%pjaC6lnLoyhn_9>?+UauluWx-p_WaImnx2!pi0 z3b3slm$0r4c7GppLaQzerGyq{!EnpXAL=ll>O1GUkmVO2#Wjb@0-%YWA4ploq|M#8MsnP86Rkn7A> zT)BWmIic{BD*Tcc{7a2Nva$i|C!cucAaT02#&J{G*6>WLT!cVOEe%m01(V=4+NUtI z$=FY9W<*U`7?y@}I=j=V3nFhkhR9nO8By_>;2fGz90GK6v^9k7(K#UUKO}?IwGRvWJz8PJS4xbq&WMS3+So3@NOFe88(v`fOcQXz zxtUXBBi5y9OEKq;2`X3Sk^|>zyVmO!b;*uPw0|q8Il7sydK`Y8OnD2xk`L~-pFp)a@9OUurljGvI57XnP zM?OZ0vClFr(85c23Y$j2ur|I>}cZTm_dpoGR->SPPOIMIP7(5Hm8#CxU4R}{Z nD{0{0o$=46i*Dg5jMSXUSVX?3(1SiKKm`hqVDT5T&;u9&wx6?4 delta 916 zcmV;F18e-{3FrxsPk+?|00000006N80000000000008I&00000004La>{ZQg95oc5 zp%sWJLWr*uhj(d7r0wqPRt-sROVmU`O|@hLf)n!2yqOq0_Q)S;hZBDi{~^3*Z+6o( z;m84D$zzY-?>)b7Kg_c1BbwJE&$EK|o#=nD*csPeQ zwNQhT4xYer(Ebv6zYJX`>_Fr@NB$ulM}a^ap8wWQRGaSO@fQtNB%Xat%LO_wbqgmV zwCEz`j!&NdL8m*n<{iNQkN(3R;A)@>;97c#tx)jFcv<0n7U%i=L6#kvez3B=^ssZZ z3$t>10C1{3Dt|aKma0#9Y4jN8NHo-!02&Q?L=W7Qw6<({s8>8F^ zlxbxJP0$sKbWjLggQ4oQ;7sFR3yZ)=`jDt4rLYAQ^nJwuK$<$(ez)u<~~fjC9`0Rq)2TF~CBhAmuGKls@YK z+%Rrq6zfQNX=5tZ=!N8T6~4IizITU{Nz=FDI)6pSl8a+pnQnrVEaM_i&(DSNRB_1? zR53<3+BJdVqn1#;)LYtuT7LE^(G%@TQY=Z*TbMr?!;j(*$7irorur@Mv)CKs{TN8i4cApF<FC8zMW7bJYj3`GvfY~KgH%>GW5MIdt9fyUu0e{Ap3BYEsy>v%F^vQ8qQW?md;a`W7CguuQw}X9b!&Q3<+%bZ^GO5is_^} z!Z7a^p_LI#kwf49iPqv3Z97hRefYzQ-YN<7hH{jH+zO9+MR_M9(CTnI>Ub>7{!uf> zKYgVLM!k_iW=1?CdV^vWU639XID@5#krb)uI!q}jDI0UK-W)^8wg2NEMLKWIzVW@z zoTi)o=XdrCvmu2kKDz~XjwZOK0(k3b@aXkxeTUKTTQr?s@yu0e++dwKOV68*(e@|T zu5)8YT%Lx9;AIn=^P62Z-UX{0Gmjeu_Gt$O5cwnlKCc^sO(s@J#%-6!ShS7$3axf_ zgWmk{!6DbWn}|ae2Xl*wetUX}Jt3jaoX161a1`hcpBjGz&SP*Fy6nB#PKImy5H^b{ zW4%D&1H>=I0c=fq0lF5NXgoK)LW5;_i*wkxP6YOrRR3$5%0gaGzpkmg2HzCmXF}N> z#kH75o8p}DVp@L?dkY2E>=1Ree@S;>N-%Dxb-3YM_nP9SUElyN=+WEZ&~&rhr_M{r zvmurt^50zF639Dyyl7ob&D6OB;8(D+$FW^vGDRbN7Fg*4q3&$XCiA} zq(*k7Sg^D~W%JZ*FF=|x;}Xpw#PCjgVj%;+|9j-tuvvk8`@Fin za*uN?-X1o7n#@+CCuTr^oS z>9!}s>`L^S?E~SR^z`wu)W&5N`G-2>Ce4%-J=gEj&66b4hxt6#u3wX#0`oei*Iox= zx`mB7d8P>bm!OjclIZHM7LCiG74C zC{C!Af~nipOky}JeI|nMd5?L-1!y9So2~_lL?BJ^AjZA!@^wB)nnmJyNI@tFG5UM> zrhhEI9PQ`?sGNn=X$AkGP(lLYLBUEH?Fs~v3ty} zyp*JOW<2kDnR00x2OIazbzQ>)kY_)$L$i3cZ^k}uVy-zFvF-(o25LH6`Sr(o&T#RO zo$!c`w0O;yr`-;pj??$0mQMUGOxA`C_fjpSn7cGgG(QoMm*fBOz7tw={gbpE74qc) z`8mmDJFi+M^xso988vvDJxBx$8NN{fQ>s{Yefk~h20y!QwjXW{JD>FTE%@_{^4{%1 zg4rfpE7Khfa(u2Yj|N#y<45DOtM%u1M!dM6|Dq$1Z{CC>uY;Gbc2;nw2V9?4C8h{s z%D&Z2t7BVT|9HW2@i|t#oLV`4Jnpx-4ej?vCTd&6tZ4F1;-pZ*ez%$vOM9Ip%HbX) zvu--S27Ou?K)(-&ema2kqqxmMW;HRE`twWQsHR4avcq1vRv#MkSMQqbyB-A2az7&m zfoS}77&`LTvkY#ooBapo91gr=vSB`z`&`6Cw$~2N-jOCH^fgSY21K*f7A>t!nWjVG zSEAPAgztnYIfW5kCYJPr_6}!)xfjFEN~ROnxb3UN2ImvB@IbwP_=anNvdKJGAuZc^ zIMs!ud@uSVl=rtQMZ>{*t!DV>c2?-fOe`LW)F;m)d+#TdyWiS()RnVY4DfEx zSvVLA=6#O&n0T?J$VQ+w-QwY(+OebUXQ`_tr6?0*JcTVy0qz$7_2PKSDwxG!iHeM7 z>+9f|@;nytltai!SMU)|-j|i3Zj-Uacbw7>VQ{6barB+9e+J_)Yu)O;{8pLM+j# z)R5>*Cgd**{nKOud^glhdeq@zY9_A0#kgdZ045;YO1A{b9d`s<9ZVf^!j~o9C=Ou& zmC1NB1glg97qs!nsZqq*p~sY|CBotYL<;P;R9CDbwaEouZ0IR(2~Kaeib(vA;oz{w z)y72Ft-R|@W+Z4@pa}5@nqtuiA(6-$Q#cqfbgx}wHQr;CVdctJ@Q*&pTN^W;{PeF0 z8uh{D=HpGBuYFmm=j|t5zi{;8by5G8W{GfctKMlRPBfo5JtThS##qjb&-JSTa^&n_ zXeRBss{F8WRJQ?5WKo*@6u;74HR?g?7CtVnq`ikJ>k_=^E z-pw4a^N=Qi@yYl>MvHvZnwuUhT!;hhW|}$#Y^E{e*mUyIxa}}1=E94TxC%^Vu9u6B z&0pA?%FWzPy=!Sv^O}VX$D834Z=#oG3QqmOxGW4=!|gc3d&#tf%o!O=+jZ#hLKHTU z`FW(1J!IZ1SNs!0?0$*^WTf8M)zY%s<>@fWvxLopi-b(dP)(#_q6K(r<3@*CYO{3u zWdk@#CBBY~bBs=at|8W94VdxT@`P1q(#~vDXkh zz%@TjpD6?)!EqK#lP-4vXaA?pP*7K7XICU&SLCLOc=AF^2xbgP?_NAL(POcA5h&r-$Rj>149V-A_HVosiJYLHXI2`4(Y+!!Wf63;~mIlRYd9 zQOIQB3*O6oAgK=u?!2F4r$+A&GiiyNXV@4qLvC)#IskY`@pJU_h*s1-I=rFcE0ILk zWm07=f}Bl9&YaCgEL_TIRYokDm&eu{2UhpMTeZBnTsQfosFt-_f7Gj&Kw z=;^vbu79jkFC{9NB6joQTmGIuk51OFRFO74RsTgqQ8=d2)E3bYD5qiiMeToqWE3#Y9;+6($QIz|SK>3Leqy2b66k}nvczbQ3b+Q=Jn$pbWFj0w z8(n0v>Qb{x!VWtb(K(7q8$$9k2hYZwIJ61FTc~7MdeFk1-;?c)0RKiOkz^-vS*m6B zQ#y8BQsm&LIu06PoR>4O&O6HWsngVmYgY(OG-_{eq@@rc+t-7>(&Zvxotq8lR@{WJ z(a$ej0J^{=9hutpoCSXt2GrI}gLFa~+Ss$50A^DX1(p6`?{XAnt$ifNnxVNgrG^b6ujrCKrbW_R zWzA;Yoy;Ryb+qs+Gh$+ox6uXNx%n{~&K+EzP+;pQu*mt`{vu{rY=M{)1u*6-pygffDx65(b|N+RBXhmxq z|I^R%X4Ah_KeHSZKbNGp$U+R#KihASi0GP9hQF)YtBPt>TlGS!*6v(e^(tSLu`+8D zEeIQ1&i1)plV)%X&b3qaa(^%NbI4iR)Q?*``CzQh+zeFco>1y+NEg1J>XJZ~benK& zT2SyZ4>A6Yx0KMJT!CtGMm`lhhSM9mPecq5G(jgP&XHnecd&s+S`_nVa0&|+< z*Z}7Uif0I!DQ_@q3RH1`86*t>Y!w3bZeeYGq_0z}P)EGV6|UUi2hkg*o(!)?f#iuG z2h;tRNqqJxPLY_nJ_+YKctwq}5ulMTl9EYI!E!0f>m+b7Akw;%tg&o76gtO2 zF8`kU)oK!W&apx)Xp_?L&}ThvRuFe`&Vx|U@bD!sU-I!KV2A|`P>2Q19+^%!Z5yNJ ziLXm8&8l8C+%Ek?b}s$JS#&BUQ6ZI6+$I7G8?O9BMC<45e!E))zlj%ZHOL=StJ36C z8jeIXv-BvfoL&T(c{+1xn%1co8M(>B>DM(&=f8`$+q9oKyKhV0S-EU>fvVS=nVeqP zi-}AO=7LVHom^uYu-r&9u@7-MGH|YpU18~svWoGhTmfoQ7Sg6&a^#KeYgh0zcx1)H5&30hfM0dc{WTl2Yky8cB;VuUP2Ci$^?nVg<^+~2`JamoDBj*z?QUqR(`jwgQ$NF1lzZstCJ(F&c+}_o3*W#c;_wgm{;)|g=|0w zaH4LP6#3TP<|dfZDra!m!9Ia`&eqNyt4pL%6V(F2onmMnrKvT}{D1G288;AfY9ago zGl*+ITU}0jW9aGUecbrv&I9W)cNpAH0utLEVrKj^?T0si?lZg-^Sq*3J9=|4iZ76E z7w&=0KP8O|5GvN(kw`5;v*J~Fe^Ogr^mX9$J0MH?sMbw5aeunRP1nsBss5gQmeBou zT74xJQ9JZcz_luwpFdR)11t+M8Cnctv}5o9a6R?@1qK#L^g zC-vcoXc|KT#F3N?8QLfOMR+F3wFD|0lH#tN_~0{d#N^9OWnqbNMz+k)(z_07%va! z94=bx8i5p<_Y8%DRUjht!2#$>@+a!C;p$<=Hk^8boas>$g6K#Q{SltsP+_tS(|d zMJ=pujLv)`aMKK&EGV>atbmRU0PPq0d$?i(%6+F2-2PfWlf<6QPjjWt{J4xS^N{|M{B z%F08lkdj#4DOumKOSiutzrWw~Pz{ZH@^C4h43!EWEw3A1Tc#?#2x zVTjr?Coq3;W#0Hfbi)DTC>!6(n<3haMQ32|+jIxlbO$y1tv#v0wB5cw?Ykq3p&k1T zUzGu#+t>?EbeeZKh>cSPdT;xFM5oX#>f-5x$9Bu1r(viUd-(c^+cHHYgc{!K_L0-r zjnj06FX6~8Qd#9X;Kj_UxtzsC*+-;-h_dt?I%Vm#1ej#gYQ z`@D#5UJcr;p`&O{B`h|FxenPNof{Bd@1=1>%)Bki#@PI@DUJ>a zaK??MKARkI5Qqe6j*N?@QFzJUCZyOu1wJlvjy`eWu7||w`14K2=o<(;Q&_IYa#$rc zF@Xlf`S+W-Z_X`3f4pa{JnBuqDO$>4>$l$ntDZP+h${HjS=Pr5ihSfQGe40FpG1@t zK(|7y$Kbuytq)ww{78YEyb3R7P1COVK6_#NbilspPw{OihiC_52f9C?r_KQ6(;drz z3@J=Q_qHWx`t!5%^YxkacJIxXL9I$4GLBiy?Z5ajzjePT7(jPu>Wjj+Amckk%QrXAz)4fc8PO zNTN{{_HtE;21B`~e51BZbGCVBD3QP*S{e5AK4l`R^XqZGIOeDSfE#N~qkc^Wiy-DL z%4fy^JV{Y9LzZ;oT_|^N%ETdTzT4b~qT9IQne@SF;(L)@dW23wtU2d;N%__Cu5B(x zv%V?glNA5lWa9^`cZ|~SJcz-VX)E=UC;jB8sTl2|nUvMzw^Q}Z>dF|-436kTwkM>r zgCj(gwr|7+35Eu|K9=Yv0T?salgLN1`q}HorA&ZAu#&v!$w)bP{R$A=bb!0 z)QpxvtlAseg2`-GEP+7E%KYJ9*p*s7TSJIRjVvq{3|`mIKslRAN0<6})v#0&J9Y7- zwP5uH%3i1$!ZJ$Vxzp3Z?#846X$pG|_^UaL@up8BP3Si!N zLJ?+=Tb4x7;R|AnofUh3AubMcXUng5_1>B(mWus;2XpM@k~m7(9b*pW+0YnuWolUz~qgI(I!g)krlMu4$>RvEAUzML2E&Kc4W29GDitnF+N?bUJ z_IXM_E}dv77O*mq+w&I0k82|PU+i+{NquiU-J2&HLi+T+uL;(Dx_JXi&vaZ1h}n|i zH@m^`d`mJ_!z(;xX4ECdDPbH0PJstD;6|*W$+WqFR@`M!YWv0P< zXEk72@y{+wGP^l zx$|Ztw~Sg$(_2Uh-~d1U&tH`3#^|!t%!s}_rDc*~kj}qFB!*OB&B-#LybyEGhbw3( zNK<~JWd>`(({`?e{|$?MX1#06Jj7({&=zUOcKj{NoKx&1B1g3%yB5kjc(l|ibwj_=PuboyZM{l80ZXl90`=aLMIB05QuU{(078G$g|Mn=`EVQGbcdaPB z-?onIO}r-Y4ZGN@g5Yi4cfResIIH+A=erXd=5P7_?SkuoMe!rY2-mT=lXj9?hvPBg z>uvDFy?XdbXWs3>(0?E@06w#Wwg8AevwE4&^z~Q(%12iYYVXX?%9a|= zJ7{05OIn{)rMhG24<(xF=*sf!Rg9o8L((a*zQT}LAPWQmu{aYvZe?_2rkUK(BkGU7 zz|XlQmq$^4ILh6>QjY|d`U)#onuyb(c=p7B)HA=qvFq_oIivlOt};*@*c5uQ7d;^aMWCu^?y#X zPj-u1Os7Ml5vKv+k^jN*e*A-v>*dwK@XR1yP%%ou4C(6Pbo8)0PV(i)v^HNTC%vcO zR{9ebXQ?6EUDR^Xj1|&V#p!5bcdYz1jTw-aGYC>;AL`e<`O);KqDwaZm0lFlzu{s ztHjjs&cr;>R2kSra!woR2^kCNF@Lf*BS4R|`G?>oZg0j13d5&Gr%QJiwqD$jze=gS z1mTJ28#esFCD`b2+t83*-Lc!FG6vAY7dtYNnA^%Y3}=KV*Uv4D$d-oU;5R}8`%`!8 zZY5RUe)=bI?%m2k8v4J$c=1us!t6nkPh#JK_2XmpnFht1aZd=EyHCnm-a7*Ez>yGE z<`-!#{~wLZPc?lb#NX8!*@@^Y9U;MrbLW!q*3x{;Jbsldeazfid_NRn_w?2)=VxCj zjanL_s327$dekJfLkmAwOPMENf~uK@#njk_FpWMwN6xdE3c)ziJ_$MiBO}f}?3eX4 zMCRbfGm%52uUJ|PP@~zE7&*bIimnP}KZfJ0L~rPUe*cbLa4mDH>r}dg^hcyI>ArVu z!PW_|rLl}u6)up8)*L>KlCJIFEUAG!CxaMGo74CHvbK_|L0Q5pJst|5AXZp=9X9%6 z>V-&)ZzID-Xyq!1XH4X~{*1CT z@}zt9)@x!n@jIh5MwaeWOq_g8RM|5>i%rXl{Y-jzC4a%}J?3ADK8xJ=*U13yp18I7 z-bV*T&;V2G9J-kC1Hgtr`!K zP3LUc_3dpx6v%p*c-M#rlhjj+@nIS{C2<0|pB1NFqNPT>C;N~fJdefrLAb%Saf-Y(;w=wGU`v7?^bt>Yq~1r-Nj&Cq+`0lBMZlA0Bn~5BOO1G1Kmd%oxEs{ z=T^hYkaT-C-P|&WSpPs3#a);eJi0k+?-I&EMcYSu7>ly!Y!z|9h(kqVUDRhf=o9b4 z2eAKswAclmYGFb3qhkMTymG#$hY!H>a{Fr7&hb8yxo34AE4Oy{lpvwy`!NOA{~_oe z7s1BE>aD|{wJ)OM@gy4BkPs=y3zYJi*xyVeu@71g~E#^3B)Ik}_O2`AGHI-kU+>5F+7y%6qVz~s8k+f^ko1o{apShH$4e?g{VP0vG99OOb=G1;DKWt%Lw zJs2YVOVx=+oW&0MckW=*E_9)WDDnRmHSzl=TD4sUDca0H#y}M>6Ifqsxg+L;>c5(% zX0C-bnFMo3m8Rg{SYPg>uB})N1Rs4 zR!mVIFkT_OTISdR=7mZwm(3)zy99y7Muevs(`P3`;$G2vjal_tS_2_qqZYo-U{_}s zHZ3Lj_c6XCncem?b7$U{gzDHzDv_H08X}XRl-)w* zI@5#T<^}6Lybg16ZRW25oApu*GJTE)+m@QPRnH`WIXC|Sd%C4KeTobvUZZhUT|Ijd zc@5FO)tz}*0|Pdr^^nb<``$bHW>vF2o1eW#Y%81_rCD|U$e1#Si>iA_h5_uJxb|it zpZy)Ty?h!H_qPFHFjY)18j$J*>_Li=HKqtl>51?9e+i6U1@1*xX@*YG$EPyQnp4=QJdY6u8K4I>v+nmpfXP)49qfPXCE2EnmhzYYAv z19EreY%8c_BJH?$^0S3gd_O60g>L6pATE>7mTDCU+VGqzDib~*2_HS}`HODzh8$I+ zKfHcz>#fvU-HE_Zi5M-P39Cdoq?*@YSAgev$C9VCu5;x*ZO=blu*rLVMz$Ud~a znS0lDgs`|$SQ1n)iMppyk{(#Zn#aV7eEa$iWE26OKZ^oQ!wuu}4}Xck&fYO4 zVDNnlX%J8S9cnDeBsF`ZtpPiG?w(qB%vU*biKn|2p+>Dx8gmR*+$B|UL zqj)zno0H}Y1E-Xpt29nIkh}uqkr7C*c3dS*v7c2`tK{5-vK>ktD-JsRU1)j`b-+AL zH58i+E%OQs$1|6T4&8#%!2cD59U?P#%6{)Mt{G37?-H;CRk6rO+@PT?o^-`lQ)Rff z(g%|lV7>1rr}NE^{5l5%@4zZ3PKP$Kqm%LG5}vgCFU~V^P@D^fxOXe#4b2x}iElxY zy*3e~Bv8C{X{enn!;ya0G2WaF!oSD|C3!b6-dyyJ*kFo#}kV%d_8H zTs5v7_Z*v_ltlV-*d1t9m!sjAT$RCiAcG)Iyrnt?D>@#vEPW9$;pmk2M#{m7>bbBk zP^P|+BkyVOZD_qhMsbb8&MR%2U6bSCTOp670r6-vC(UH9QNjJ_=$K(am!nzLpRyR) zN=7S%MbC|e&OI>ZoH81c@J@|>J46?7OP5sn!Q@!S`-$gsr~9Sn!dC7p&pA26MiMdq zQrpQE?ibIdR_;&FIT^;Wc%txlo}U8@J*wu|<->%gs&`pDWe#n&0nLaq!!1S6NxI*} zS)`PfF)m~+Nq5;m%uX#vW&;b)xs62>ZumJ;FS`$Y-nLO^&%&;5`5|s zdSZdbZbLFEr$pMs{KGIJX#Z^ss@z+E?M1p1uk;aEGr>g$=zS+ z7EdW7YN9PeF9@X;x+ycIos_3a^@U9|EYc9|;+AEsDu0)+GQT@T4Wi-E{esq@Q2)!7 z-!!GM-^=D{EC_waRi=y-jfU5_%!cyYaH6{(tLRp!04$BR@f2?WVFS!5N)1Q$wZrn&}fL6g*ur3pvsJ{#}yoM3rzqnTL3xo@hj3-z|SDF!Vr3x=Y<3wMO zaFYGM6jte_ub$sa^nV~!$P{4g35^gjhpy>_SN3;3^#A7kABg<|BwVax-@*Q-AN^qW zgeIY*B2#!X$F9hb{Ag!#AuD9igsp4CmK zJads4h-9*cJ+nFp=n%M9G5}@?x!vO;q7Vy_(R8lyV535fF@&y zDCZ9i2AE2@W%_m0(LS+ua>UYf{>+_+CTQS_fEk$Pp3 z^x(#+2uK)|eYtJII8S#@z1eC?z3FO_e2#F&I9~hWk1rO~EcC3UQ>+e1^cR_v_%^8H zw>o+s6h{mh-%sKVZiBY%nMGiOG+S5V`Bd* z8~dV4H5kwJ9)_j1sJC5l&V(Z8sJ;7_^_UKLcsJPW4To=|kxWOKmj6eSmcO-iO0ImP z^b8%!U8TxEX$aGk>CkAZgZ$Cw_seO3{DR54az`f!?al>6vjJQ)ng{+U^}_zwER6R7 z$GXDsO{F%Zvx@UBA_&y^)pIo%AzSJzTkUY9-5MJkHJBK|CEX%kOup3zhZ>DVeBQ=CS^VDHhUcv?x3eDK zR#m*!`KD7_Ub83;)Oq&yu`433{Z&=#cbvEs%@0HN0Y_J*tqS(~Ll-$v+`L7L9bWJ7O0yOEK^7KC7^pmXc>R$V?FM^ev+ z73}#Wd2P-wzs@3vhxwjlTvh=kw9nP}r%v)~A860syh{gPtPBmWu9i8?2$60D0(VWj zW9;vA3&0H|lFf`y|7&7jPt@1w0ey3)%6feSokP4z!y zvlW@u=s{3L6VV50Yru6@Vzg<)dAU>H-Mk%t)GA8c6bw%HMjr_e8-SQ07$8bhUPL1D zTO|v_0ReFQwhe!hYC&r9KNR_mt zlkWnP#T?@5A47m$nj0TESRo%!3hs(tE{m&GW!_R4=>=S7@N%%@sFOuW4~#uG3&{#? zYBZBRMKjQ3A`M);rrL{P8W9Do0VxNpYB2nZ#O3}PiB3)U=(I|U-6wHNAre80gju$b z(l+>6XwK&l$O#;n zYoEkz=pQZn8;ZxWkTTd~)8LuCT_|Ty{sg1OZWo?aT|94~G#D&s^{eG0hW1d8TXE~? z74#visT$2(hMDMbcXs}Y1C}CyPAV~7`egE(DVA7C)$=S=_`8@O(CQk@+m7pr{BS2F zIpDTmmwe0{cgM0qOyuIer-3MfEk%Id2Ra3N2QiX}z{R&m2C66vX=!wFYut|!g$-fb16E_7RMRph@CIJTM{{(?zEZn5R_M0W554vh z_SJQDnH7e?ZI8eZ-l?Gv^N~mO^fB$p0h1>_#6cN2KbyWS5LqNVV$tgCm46RS_?4mT zak<#$p~xWKFmp*0^Z_45Z~WYdNBA*gnQh*6mMkZ&C^s>thY=-12&6clwCSdL2l_sw0woed+IaYL zbXo@ec_4+6vd3Oh!yvY!FtN>zP zQNK1iH~Wk8K1(t|pPJw3HD0IRc6nqtM(a=Sqy?UmjwxO6T6*ll+NiSXD!JkX8D`6pWkC_IKw?1?AphiXjH2aJk zcoZp;qjX;-7K*^_lX{*NXL_In2h9RS6X9J;1l}|vfG_Vm89SW#o@uJH^D`wWO8>K% zzVCX_Wxs|Idm?1FFMBzOT`O{yG zg*yvDXs|$6i29CN#S!wBBcWcn6y5aAs8cEf^70q-B|Y>dHS{GNw2m557mRIpYU}je znbvNQH#PUyVWDi%jLn0%fNtFzwBRf28oJ(V0M?t2hgnXJ{|D^Mlf&Rw+nOBiKeMa_LYub0u*`kBa78+RdO9;Maad@=3V>zm%qkGG{`fZcRi9d5CR+GcGk?0+4 z(BacGA_A?sTy6n+VV7oble+kEVLH4A&8}_kav%_QV{?NdZWTLJigFuP_TQ6>^9L4L zm`w=vXl^N%!;Z`u@2fLM*oW0Bf&9NR@eGjlxt;6bBF*68EypXaqmUUUO)^$$AsuXD z6IcW`f?c_*HeiWupQi5%M^&2iD{W$-QHG>#gK#U#JA0rlEceq)hv{wCuN4>RC-VUJ zN_%fV%#kW*N_6CYH6?#42UnPlU=e+hxF{@UN$y_Eu$_aO7nlHRH-KGb|FR{9F00SZ z%QakHC^{OsEF~9$HeNQttKNFw)CkqvE*45_XPIwh?SOp(+(EQ~@rap(P*14$uX{m} zv_~hgCt@Ek^HYky_z^SIi=xTc>91FzljGosVOF=eHu!A1>4Z}#`ELX5VjjC#P!R2% zR?&71=ue25b$boL8}#AMV@kkhEy3INc&`tv>via>GS}hlOk85=LjYXQ zVC2E5?>@u3(Q{?f0|tp(m(7n4btN!af4LA-$Cq^$*#De9K^M))gQv?48$1XblVudW zQ6aiiRu{7^7JQ9LYG@y8uBSTRd5+byIWsXIKP|U6ZXQIrF0`ma&I(Z17|`M{8uFcW zT?dBqBVTq>_3_%Mhtjm)VE44*waB@}lCHRJqFIK1D?%Nb!F|a5$=bupQgY8QyUPuQ z1wl^H&>bF$z)7yuO8DNMcW@9nJaXx}>T&etX=UMQMe-C{tcNp3QM{sp=AN5*%<@8$ z+IcRvH3zz#N4wbxG?83|o$XkfFmW5?{b5cu$UhlOhTwpHJYtzAG027XA&D`i^)|mRyqQcND$=rq z#>AJmM8~S<4rc%FA3V=g=o-X;)v!Y*Gn&Q%vhb*_WN8*ASlg?q8G4W=HZT>F2TgdB zEyZ^N?uQ;U^wIf~)?i1fTLL&q!(A4{e#9~!MJlhY12*jpB4Qzap`7dGSbdk`#@LhlGKf)UP<&B zgkMnwlO0muorJ~BAW^UO0}8Y^NEP%wr6Tnq;Zjm_TZg%d4j*!YHd{*8<{9_d@AFAq zxQsG?kz72x^OW~$B@g5t2D_>(u-W;@MC{Sf#rJEk$=Zb?fJ7l$$Me@Vi1bp^&!zIl zY6FYnNtqhuQa|v{?ks8)UVqYrEbLW74GO)=VyvAR`Pu$ZP37?>KUYYJ3xSJ<<6;Kw zj9MZ!pTNen{)l0T#t%wZteL0uge!q&Q(Yh)hQq}?NVsR#S)gO1;6e+|q8g%d;(GPP z@k>?`Z{AV3w~yJ8{P&&GoPO3CJp%W4@It=7ocS{=D0Uj0FT*_E2zBri;m5jdO+O%o zINlb0EWeQSlVQ5^;s$9;iitpiwZ_{i@G6=OnXu$y5GUHequSLwaQ~(G(oG}qD&kCM zZo5~f;4mUqCZp@6OW-6`d}u`Blw61@8%ZQm@3Fr)+5$p!lSYji=67C>ftRn%T@R~g*MPp9OusPYY3(Y zMSTA3Rc6aDYZS8WDl=2FfHMfItYR$&Qy~3CDqu6+CLmoYt~v9R|NTPwqFr_7>1Na`mygQS6F>C+)j)_xah?bjAi@UvBgCUNk0hQc z82CFT-$-#Q&;KE`n2_330Llve3CD)cPy*U#Gik-ie<&vn6g&xp!Sjhv5$UB6+K<6T z=bol1&hKS-rH^YX-^P$NESot?8%{RfXC8als%s88_CHXgL%{#-bvCmuxb2-DV ziKW{#=T4fg5ea210W2V_5{k8GOo66rPG8RtXjf=zhlt8a?U0%gx63@Yo`#B}OkLR8 z+`JIBO}$9YlHjm?Ghf=&Xw{lM5%*hd$7Cv3H<0-Y_!j;o*6P8G8Rf@g5*8iQgP(}E zNhk~}pwdeC4nO*UhKW;l;rsKX4WwXChw(41?3lpzfEE>8;aC28si|r^W*zx&vA5s* z+Ei-^Wu~$pXrL(Sy=B2i!HLGSaP~u0Rzmp8hP=>SFR$YK`CA%&pf{DS48mowilb6H zCLhACj?IZ#)WgWU)B3DZoF(!lSoQuDtuz3!Z#4+C5WeE%HX%n8-uN2fs{wvo?Wunu zR%i6c1kU8Kv%mQ~U>T#%g2;s75rq{{iMLPGcireeq$1t*H9iQVp8?1B{zF7KY+aX7 zLI$rpR`2+bQJa$ioLy2gc)7E!%`j^?rnINpLI#fXea;oRi2JD*jI7gRdJFYxGLdWF z&sSCVvf?9k^RFvCDH=<#5rx7MAVLE=>rQzP0iA!fe(1{<>1J{7N%NTO@76A9<4kN7OIEeMwx@-Oc=;a7)GUxYIQ2BjBX`M&dB%^ z#R<`~)R$I-zpsv6ZiSF?A_g7Jxn90t@KteWT@H=O}dAShl-@50rGJ{gcGAkMz64HNo`;{jn?`>Gxlwv=A~G z^>RBpzXZhL$?G`^IF82!V?aI__AmL~-q(=W(vB{BoYWeu-Yd&gG?l)4}qfF{1^Fg8#N9`<-#zgl6YX7bMwF6ornaA^uha z7YQ$Mm8&5tEo{mI<4}_)Rx!7kNA@!B{8!{VZdi{OA$24Xx{yG)#LT26(kJn^{}t8+ zDf$5a|9AnER%>h&RTRE`@TLzal$J*yl-9OZEd@$hYTMb_ySvlwJe-*=T}d2CtJs=U z`XCS#t?^GaBxp25K@178f{g?a@drU;(C81N#`yXNZGuJ1bI(1kERD@)jJ@@CUn5K`mciI^n8N|TqZ_uOYmTDX+zd)GPiMzqrM&aK z@idrmWWzwDCaK&q0FuF8g;%e=|L;()3t8+!EG{EkCToBfC%+g!3KMZGbpft5TQWo3 zp2I5P_1f0m7ZCOvh+32co6Bn9|DIRg{kSwePE+8n2e_%U^MEV9aeYl~(>xEnA3&&k z7H}Pa=X>CDcLvu3c!39QxifepfERjT;l5d9Y_4f&TI7LYe|OTn378i%^!DQo*ScUL z@Di9YFs|1vN7f@*MEUoQ8-+QrieKPJKp`lbWx~rRwx8Ms6H#q;K_RX=T#KqsFd~H- z6F&3#@C~ii?Cu@t-r4&|S4ns8U{ekmm}q?&_eorNE|+A5G_a=GlA>`lmohLtd-6Gf zTb78kxMa#>o_nnBz7WBvK=!x09Mf! ze(V|f8Yrk{EPxr^f`HZRMfi99&GCoOK2mt0GEFH)m^BQOEPCWh=e%i{h#pd8!W6L* zSQ8KOpp1dRE}w5;u)n)^XEAN(&`T%w37x`|)8mclT|%B59v#UO*-->^l+4qz1JRCO z55!7Y3qZt!M#^B2f?Yeh`x_Iq)Fk|FTFXuYuJ7k}MuafoA33ZTWyE@}cj6KYXRIKS z$icJUA_-zd5(SkA1e6Sp_rNhx#a++~&y#{5}?j_3wu_-4=Lpe3R-xEm_@j{q|e|6GbZt zM#X$R8v;;ye#PE0@D@5u93iZgQ|2u>%vGt5ynnd*{1Ifv{Ry-x8IB&D!ecx)&_&)e zdE7gmtKkdW-b`pmRyLCBt~H+>K;ZuqVuj&5bX_s@QD}6}HAHicUD%E~afl-q;O&acx3InN?#o}Uo`;Fn z1(6qoxKDi~ufRw5gay)HuU?Vvx_R}+ilK?H$6TDTwcF*4%F*?_q0(xq*g7Wt-NTZGg_DnJP_M-(V&JaZh+n zph^X5k*x$3Znls%cuf!DFqI`a=(J0wBKe_s(UpYFi9~##9Bq6SxezO|hl-q{1$BSQ zR<{Cd=B*|avRU^l(>>^W@K#|t@KnGMb>4vMG(h*&Xn%DzERdjuFrzh7(n6f4i1E40 zspWC1bj+$HNO!=2N5=xfnn<{*kKud<^$^)bKMCL*`0;=hQ^QmYPCdW>CPo4)y69l2 rX@l-Oifxvqq$r=cQN%cjkEY_3+$grD+&9=Xpp)GGwfa8*00960Ht);_ literal 18525 zcmZsBb8u!)(C%ilv2EM7%}uhg-`&`@ZQHi-#3#;?r)O$r zs!sKw{`m2u5(ea3{`g`3{jz>*RYN;_Q)??iCN6p=dPYKFM^g&}LUs;DLPjnIW@ZLv zMkX#oC22xgQwKvrF#}VF@4ha-e*TY(5aIt(KZ;@hSN|t$JYfSh~6wBxBbK1rF) zC=O7Bl-NWdCQ7$gw@>f#J#EJC$Gq*Xr~m3LUTQD-CCf+(X@d0U`M)M{FkHdEv6(hs*Q2uCx1VaJ&gz~?#6i(m}47`iE|&=&w21xGp$oZ;<%<7 zTc)Xvq3TGryrwW`r^i%I*=O@gY0l`!_GmwfiN}$9{k}Dvp$a}SKxyH|$c|4+pkckW z0gUbNn@%;kZX6bzzy&vm)Kpqkn{bfh2Y2Km;}A9!|9gY8h`465NZ`(%di%!@f4J7; zAuxRcv6v(8LE8w%LD%hFU}{m%e&luRMD0>;p&2bNhoqZKyBk>b6qq#57QOgkvsFy+ znS84<%kY}wQT6){m-elS8H$e8SVPz~-b2db)?;SRf1uj%qGUGexSaYq_^0ZqI;#NY zC^`Gl3UY6J@Dz{4iYrbnH(ftSQ{{408Q*3Wcd_uN^53%~i&eYD#>$7^ZBCZ0+bzb~ zE&5s=UDn60sO|x8_uV;M=^*&(Guh5=CM67C4iK5SZYR>9hlO)hmR(IaD@@I^`AVdC zVVRd~*rgc~Ch(U-T=?5WrCrNS(Rr!EV%&FuIri|kMi%33#}YfoDm>1a4_V_Z`)sQi zkPKuoz1nd_p54eJB0$_Bw(x)|x&lmUtcL4V387(Gg!8qgXbP?cVr`1F_MAtiJHQ;z z+y1Ae#klF9dQS$YSqG>V-dZeKlktzC3-yK<12WgpYJCzpJ35hPwuyn_sj<%J=%aG0 zJel+Hu|N(|j5cAHz~ELx0U8Pf!#iGkO9oRrT9;c$>$s$Xv6tzLx_B*o_UPmz?PXeS zSJz2LU6al`Vt+1_wS}McYUQ3ihvS`Lkr(#_EbK9Gj}P+NCb!nRDR!L$DC~dOn;^WN z&pgxUk5bJ)?s!*OGC#83AbxguiE}XU22;g*G>&IqHIm(A+hubsA~=23((>cz^Zs>u zAsWoryBOj97cW26_rbfdO`{)CoKww(5x+mj;{Exf;mprbz08`vM1%G@hN43v4`8Hq6iSop6-m23zFVK#+u`*&)0J3-)9C*i_&U*L zh^vYp_Sn&%b@N7vAXc&)HAY@v0yXH$DL7}~F$i83eT(CV?dcgurJt()LP*S-7uk^A z%~lgAa;l9!I`n+gJ=@D2Cq)>F_CA0joq2l5=0}X=q2VdcyW?4!H?!_X8sB^Z-{;m1 zJ#xA`YTZLu9i32gm4-MKtBD35G=vytdV#<}xX?%LViGTu6+ocm&=*5CoNj8@>FL)t zxJfxmJq9JOnmx)KIsx^{dMG*LKWi0UvxN5d-W9=rI^{hhl=qtb+FjE<>DtN%Sm)b?5M70-WETh(N+HR=}66zv)^)q`H>zX6c)p5D|Q z`nwN%elG7Ff`7VQbe45NsIh3Uai<=)+#+zQdxjaLIP(U!d>qEGdR-;&u&17Nn1j1I z!c|1Y@Cb;QAoD+JfW;N3qVgZQV{YZYC=}>FNf`MZEfev_lRpfGs4Qdo{NBe*x+K;-NzRSwPme!}j(_>)Qn&aw zY!qsSZ7RNM&VOSH7%jDmv}Eh(;W+8^34;*r!TUW;1N`{(q;9V0zlNb^(Ei#>w!o7j zEIG!1?y%9lMH>I*Q9M{Tj^my^6U}7V`0CAH3fs3R@$jT0RUe_sUJ`+m`CB#CbGIHO zkWHT*D0*P?LO8;LtMG-PFBHXo?ATS15pKI9f#2bjvfooHP}Nf~M#G#EepQP@Y@8** zGj)k^zeCbOFFg8bb8zx|&Gxqmj)kzcQYhGBxJq z^3tzdQK_|PJ^UVv3Gn8c5%Hvi&3B+cVy8-ol^56zvfE%X^$LIixfrcyk|COd5-pdq|-CFk9-5?-YokdQIvph1YcpRXBRXZ z&%@hiYc{suc!nSl)L_P5gqK1e`)S44>LBX(*FSqb7y2a0?e4NrWk&8A2S0>dq=G4| z6Q-Z=&j|<1qI=_bq6Lc56r8d+StjS}^*oru<%4pm>Po1L_^^a~Wit&u@3c z*}84Xurdit28?KN@CwQJ96t#Hd42DX%x#6h!$iQtMS{Xak`6_tKg1{2UYi9^=<)=2 zzOnL+8M0srzb?crQIsG%2+MAyfexv!3$gg3r-`p=;?3j-;{A115(TT}GoSkKQEj82PM_?~ zBpzh+3Ot?|Xot-ae0dnS+jkB3|0qwRwM!M8Jfe(5ul7pA#jFAzyoQmT&7AOvMV**p zIY&x6y*hm$6Nyon3VHF!NI;PC8q=b-z#MGX_SxSsK+vT+o91-Q;(i)&ABomd)S~iE z-4Et9e5%8G)wcxVBCPD+a=@^^vWAT?%0>_h^CK6vb75KwwCeE}hqC|3Sa5nNmMhxv zxZbyv?0+_bIMrx9weO-~Tni%ONb0~_$YtYepHToyrlkW@joHJ-WlQsVA0i!fDC{4& z2lIsO4W&;eJvyK<;mu~Z4>)%7iPp!gur*_?7Jq~}c!S&gSpD>}N}>&_2pw!61ywUZ zRB+j->Sfj*r%V-n3)6eli1HBM3Yzo(?kDKNN$pd9uVVb5=zv@FPD`LCs|&l3z^{(#i@8 zxQkt1*M4(wX-dvh4Z?Dd1mTfMwhkci&j*d=KF$Yp>su|-C!73z;UMk(S6{{*R-j2W ztb2YYyncBN15*onH_Or=24>+UgKR0mfVvgbYeP)+qb5DHphfY>msAaDSrT?nEG5)( zE$$Q>j7F@;b&jQ3F9Bs-jByB4s)*Tzjpo;3FQj>Xks(%cATvFjAuhBbF03K04fCzE zTAMNN7+)0hsk3xJxoBQx&S&zzG9nnoGX(T40{T7y^>7!E@T*S2tC0@alOc#Q1!?S5 zK*m)sLN{^}#kLu~l!2MatO@UqJTZc77;G3fhnH+&ASLJAKEsk$&xd|0Zx{3upJC;F zD$icaA~>_L0h0}Z%EEPP7v}Wpv-Mj?w=2K3-Ac{0*vWO{k=yl6jXS+P-B}D|^E`|F zpx()7fEgB`H$;{!k`~J1-5^%+sTr>R zk%(UVdPb}Fpr+A(T3s8m0QiSg-qlI0>g_02ak;vCFPZ0qhsA^KTaCru(?H`_J5`O)HP7*91;IR%al}uBDAJSRL3969ZgqZQ}`6 zA4i1=adeJ^uT7~zM?AZqeOL&s z)b`$M$PF3Gq6NjxJzl(`RFfN9SU;a0x0tuT5Q9aLf$;J^srY*(mKnQ>c3#avv+Vwm zjCdZ+@=a1x5YMeKRBN8xn2DCRqALGh1sV(2bZyo8b*Pm<^?Z8GLQiJK+q_7&n$Mlv zNS#D+Y@7$o;R({qIg2)PZm68^IxMAz&*?zX%QdO#WL)k{4V>VYvQZQlw5Lop``FaA znuo%;DrTSa*SPE(%`?;Gcd>utKTZD)>Ti&LgZLZx-@sm>J+^sj&gG-e_-sXz^FDwq zZgZ+B!GVFLZGBCw-~W4m))-;6@IF}BPkIz<#KpabEW}efcGd(OJL6~^OSfbgXANz? zRfs1`l|5VoswpNfSu(66Y8A3y(L$!Q$WZc)si9@mdsc2R8({!gHN>4)@Q~|qY=!ll zNaPreICg*O|33s>oIQWCjY#<4Wf}I){ZgdOD~$x_M*~U5=P8n$^T)engIo}}7R42m zxKx;&AFF=Y{vBi+I5GRVfh{|r#dvPTQzJw8sCO(WvwE3!Xj})GOI>bi{CpEQ=I$Iy zT)Uc7s);d#xguW}r#)IJ%0Pf;KpMnjqHxdbd=h1F`*2R#!r1~oyXka!nc3DDd9hwg zw>uCOo*B4XugcGl?c=;6$t=?u3@!1WzJS` zt2&_jLmJ(6ibR&YG_sPWF}rmINA3po6l*-W(pbocKGH9jq>kcB0@ZQ2E$sjKrtgZHD6eS;KBwuEgeL z)Ce-KFPP{+u7QHHDkwU#pw4M}t{ejBxDLW3Y~KSJbfQ^VgHp6Ght5PYg8I`^lOIm* z5Vqm)SkA6vQ>9jc#lX($43*&8DQW#AeK9zDXpp3gr7mm8ruK%_7B#BO(ApaK^w_7U zQi-QU{%ca3M^LsfACZ0&zu4Rq>B0Qxf_qzPmD`ocKbidt-Gtb;TwL57=>#vn1OPv%@pIC5jD}0rVg!KbH9MP zz$nYLI{ULmolPI30>bN#kCcNuC(fj)wQzO*tBAf^FgR^Wi9;L09@7I?9{sVSi*QZ@ zA?vQCnGLzIvoregrH#DJwFyZ5m|Hkws}1&pXuQ>xe-C9>b#8EfOQtM%aHc9Q@6)@@ z(y;EH26aAklg&Oh?M#iVM+)HE$xFb`8w3N~v@#go(!a6x4V+9yH?2&@AojL%(?Fmz zjVS-h6F=8Vs^85MyAy7OT z9>DpbAvX?!`dg+nZsx6;TU*6~7uuBQSmGYcZD%F1%%*5hVL0=ngG0i_$+bSAip-`K z&k9=+qI?jZ=+$-k%8!Lx0Sd&$8%Pm3dc^jiD8)NLM6*kcK&i7j+Gr z3YoX%@pWi`%-Ajp_lqVAhGApYFMv_`?`ybWCi@NSK&uBMr)#Lr@mP+YWUg!SXXc@e zUx33J8jtp1P6`NaK**`UBr-b*L7!3DlL!A*@QVv$=1;XxGF#%Aj4Vvd)AWn0Gn_~^ zgBN^g*XAky7|WC42)KPpKA&hvJ}imzfKgyq-*i;zQsVM+A*>^Ye{B*4f6zt8avb`S z(Aes}{%jR542txU6JmaJOSS1bLmipn0iHRZtA}0G`z}H*6NV=95^tLPn3Uc=XMlu@ zW7a&?(0;D0m2mvJSiF7zh?gXN-H-5Dgc)e~CW(l?mzff6YsRZ4*RDh?vBSN??tVCl zQ;{3IUsYJAoww?aP`VGwx82_%Gu}40n;qlsj z9%fef(!Rh#A2)-w8_7m(6w18~) z2W6~_gM)#yr1my(-A%tD`H=&%{A5aGg2*m;vJ^s&i9v{BQC=Xun1ExCyo2rL$?ksT zn|j`(7`;nGIK;keAwFW)&aI-DShzOxl^isk(Yt+FPjZW_blAGJT$Wk^uR_HpT1~wc ztd|zDd^2p{3>Wf)GuA|?UYIT#R6Wnn=mskyV(hk!7({})jC2j$f?+V)nT44dk|`ou z+fgFYqOxbYL0hP$i)i&%;vol8&3|#CnYaWldgHid#ZnyRIDx~wN%$Un`iIv!DNjE>I1WgJO5|M+ zh_<%D8S;^TXlG93jaRyk;#}Kh$P)*Up(f>gU^Ht*<-Ekn#c-qk*a%Lt=!hB*^;(0m zi2dk2*;jv&+8^Rc)yp{dQpy0vQw@&5GY*&@DcH(U%h+0hGm+KjJ!0t__D*Uw?9hTt zaHaBa$9_k~KjZp`AzUf81IS`%9wzwsA?CpS0yeTnDP0qG&HV~SMFm)Rnd_X=pGUpiMU|3SlV%+xm}`ur^nrj(qCN3rT!w05^*rN zX@{xnggzJ|%pOP@NG`bBL@*83`gqUU_!{gaO*+!$5|r!8Fkq6>AR6+9I1eTawiQ~@ z{?!KgLfgniqo$X9Ba&)|oAzdt9kd=BP?avk^J~-u)v<0rn_6cfG;9b z%SM)O-&8L@GJ+5Pi=W+et3qD4k#J1{b}F0!XW2Nl69IHH#4{`Y0=i8;)q^Me9z*|) zFe-Loa=-}%S14U{$v@FL01m<*4?*?i=wWiHE6SS{VvhexOl0XEf=2Fe?a0FeAJ+Ey z?|`==!sdTpK^y-}w`L7N z>fZ&aJRX-nR-GDjqKDf1+oAKuxGp;)&bz<(CAQ-Z-ep0FGN+J9i3aN?jWIKdxwCa_ zvQ9mWdR)uyGy?ajl7>CRiClXzcN(MV%{Z!U30P@pyG ztWmJspGSUq`k9Q{c@yXAm)^xg9wxk@K(b;801Fmu9DT}K1?Iy*^P5pb@@E*X<0coE zayj%Iq!}GN?qy}7kDskl!zbmV4X0bik;r2Etf$CM247R^TWc%EjMNsMOry4Nw_C`Z%C{oZr9oZHP zYg5QjG$;%_4E)ViQ7Tt;Ox<%=Oouka)D8Y3%S}!Q?(F2fr#r|^1{uAT|Gk^dV(5Be zXOn!7yu{s`h##3NMeB~>y8D&$SJ zexQ$Kj(dByqED1T2iVoWi!~=uB9+c6lN91zVIx(n{=2Qq2G z==nM^M9PV~#;a4m zF%P7Rg*Fe=WJ_q+U4ti;l;*{cKXz;W;V$2^bi4AmtsSoPZP!53DeQkK;Z~l$9`zhU z^xGA_dF9*~Up|N#Y^0e!=Q6H?eUimwJj%&6P+;#p%#?zlgw|BHa(G0&8JCLwPQ5;7|-EK&X65v_xVnSb7(Q+*BtXu^k!{)WZ5 zw6uSHO}bAZ22X4mlA8cbN6TMV6*%kKXFYB;?OE3I08r2Jr57 z!BGwzV5D8R#44xEdSK)XNaVZ!`IQXxt{u1r{^~WYll#(Kj;TQWluJRHna-83RRGVyz*Wyl^f5se0X z)h!~x4)R-;>?lh;;Sw{ISUfib9*M%WVCX>ub+@IRaNvemYLLA^{U*V(Z~8Q>dJ`wa zzbXi%A;&hHFgxjCy+~Nww$I9YIF$XfPlM+yS@};Ixdf%gE^GCC!R4gZ`Vv~x9yP#n zsoS4^j2>*HYQWY;KB{}Fn5)uCA2N-p^i27~1_)MGclyisa4Nx;bLxUsbeYFW+W)?r zYFfQB^6eiwd>IAB_z^9zC2YVtr3Ti;2zy#qrJx?vH~WHg;x zCLM8Mv~Zt3dgf*CdaN}n!a#%IY3_QwHY&2Ei|^*@dd&09H1J=mZl`I!*+sCl+JPp% zE5bH!wg9IMm#8Cik?lt$z*e=bEtmHm(EccG>TSg3D1XhzkVY;r@@Mr5f=FlMl)VHeRPwSW4eOZE3 zW`lcWonBl`g^q31Dru3k5Z}1`FJJmkTexf6g*DVr2g(}MQ)~8{T$0muAuB5?1ov&4 zY8a@Gx9RI1+kEOqVHi*{mFFd-Pr}3X61^oxlO2J)_h$#WTOdHe25OE>A7io*ZOvvo z-M_K^fw7*2u?#=!46Ix8dGDXbL>QK-r&vJ zgkCU`{10qgf#Cz)ZPjJGTj1>m1|D1HEHeF@_sAyOopMjlXef46K^hqETkkfis5?>< zUl0mz7LPzvdSTQ2d#{SD1;Q@^pZv8FIC?DY>X!#E*z)@?iW=VU%wxjv8?7Co{RT~u z646&V>n(&}Qbc5Sf@&)~h?3%o8_WewCy-A~;ml^KC;i8P2)l>ZzbZDaRVz7G3S*o- zdyprs`cn&gA^UmE_Ci9SR?I5S4?4umQ3z|=Amu|{?125Bqyz#7eZM{4;W_wMizgzh zOo8M6>SsF=!==Aj$(IIjfSoFG#-Icb5ZV!gB<1;$wMGo0k!(v%;_3F~*eI2gtxij2 z`+ZbW<5i!4rgY}`#b16W zC6Rq2Eklbv059GIMdilVdC@`NsHnj$j&3xg@oU(TnB7)0>pb@uiNj7Q-<6vWz_}tE z@FdZz!Gf#8Egy3vbA&TRG@DExEEs=m(F!&tTRoCI5j-JcP#t2HWmkEAG7vJ4#_$Er;p6ZY$lIhya1g4F#txqhMGO$cmYxzMRy@u~0grU}Z6{jn9`BM^ zkFvX-2&&5ell!_YBtU3H9DB>R3iRZ26n5#7cQXOPiq9e_&A#z9LdZm$-QP&R3RV|L z)IR?r^Q=*u&G$MBm3~$DuJNP~<|th##6M{Qb7G_+Igt-?z=`Q7n@^0@&zeQkZIJsN zE~*vQdvjfNUdBdUmrw7bgm#ut_CQsa-?Lk)v(mYG-#{G82N_F_7y7k$X_zGmm59fd zTkL4aa20z_Dfb+f(%>!@Z6E>id0%y&h`(|K7KQdPb3HDT7q#QkMAyaBHO8W@5RpnI zj(=3Fqdwq4Mhke1>o)2lj+>y^h}hBJv^DuvL9{m=R>B>90)3^DT}NCt<)-Gcz%QoV z`Av--1Jy2+MW07a&H?k^5vzp;Na!rqxAm4g(ZpVtOmR2Tw3~GyLq&UdX{$9?>$gw= zeZ_N|Igu|dbKbFKB{LAjH!kc&IlXImy8^9XEk4Hbp=RtWud%*lZ>j{xk|mM^5PG?a zeQd5|k&+-@3|&!=Gg`tN01$}Oo*MHY?@@7=XCO&F90hV}q8G<6f{fxPSW`AgX0Kr- zc$w2^m-W2rF04d)8kGl~oixhNw8n8SX`R*CFekB0RF>|3XT6b z7)-QYESq`Y+iTU|oj-xI0;u&AICq5$ z&^F6A4NaBv8P30_^{S3F>>k50@TtsM1KUxjYwdqq=RvOV6wd4cS9V0|BEq(YsB{eA zeliY+;gp-avOv+wZzjO4p$ACLV$gZ4pavY5~Cjed+3uD`YaK97-Yjy`zaXE{}p zGe1u}gBS9+YZ6P5%K#5%Bu=^Dzp2d^V%g4nG2hwXyr{oyh()SA*-;}H-mWH<;)B(p z{Y~;Wi)JQQ{D=)pNg-Dr!jxODzJh1TVv}50yT9jwo)c8}n`3p9W{cu=o=7h!HbDWh zPvP($bv?>vM>tY1R!|)Z*kP^Pp~Lq@#Y}sI@lAxU%bjn z|9GTQZqd2-VC_ESnk=V-`#HB?8jN$cng)&2FIr9pk5>oHoTwWxavAda zSCmx$G_&{)LkaBo{0f^cNSBrn!&Um#;N@%Uw$e zBBDMtlAb0cxZuh~u^Oe>pPv*hPE6js6t%GhzF4lueA6Lb3tPT~F-g34~>O!^YQ{|e{StcG|4>J-yPLE&Nv*l7UEU?%3 z_c2oMK`~^~FW4_XqpM7N2URW(sm`7ide+s=`7Q+;P5ZV)=r16>Q=E~SDKtyGycB-q zvPl8b3C%f<6v9d!FJ=y54FxdJBvy9h`Tjh%jx64%%^ezjr*EYqGMPo#$~DW6S^?NA zFe_ktezEJZ^^@3LUJj_SOq<$UH#c3vggDx<617yQ2H{sJ&MGgakn<495m5{9(;{k@r14^3CNV!sn*(JTdrf1pcX4$v6B!~q zGqR9)IoO?TahXfpMB)xI4pH!XJkMA;W3||Omz=X4V5_xtW@|aSr5PBw#h*Mjt+A~e zLB!HbkBX@lF5?k?uJ}=`b7dgVS!^{8AlBk*GqS2{0oh+ADiPR> zO?vvGBamkjN|r(;%XhztEp!VG5f-&cO;dU(k>+sa+>3Mgr+me%M9}Ix;;|3oEmYd z;$BiwKjaaldutOWUkcq&kYtuDV!Z<_-+CxeQW%g9FOb;psKtt z|L#N++1}wH^py*ot^RKQgZ&aYJ8b^9p!GqyFRw_ z#F9NumD$w%;k?1VQB_9kEIq@B#M6GtqQKg$G&a<1XFgU6Ls=e$$5;nU$3ot;APdZh zWa3m(xHxOtkYr+2f{N5wS9VpCZ|pR~F>#I~bX5HHP;y1``^3XJN#8Lb@kB|nC>WOq zS_7b+QdMltGS$g>!T(9t>8C1?vudX4N;8m8EV-h_AD$_MN$EqSI!I*)?AdwkU8s=L zU5Gk*YK*h1aX1MSxLfS~WZK%Tn2M-MHJO-PG+wJ*C{Mp|Gq-cg1PUqLNhw_^V8jf_ z>OlNCUs%mDZ>IU%HsnF=6i`rYOm9?O-9-QW9bb(2#*_uW0)I*8(}98)?pM88k4$eS zQ{;%&g5cc!EJ!Gr>xad_LtBVdH18w1vwe4O{7lsC5b33EhXjD8I+S=$yi~fI#>r+s z8?x0^Vre;d(x9x)ue71^e_q>`9^@AgALOdFId_p~0|k{ADdIJcX^0#BaCqTnby;`MI_b zZ{XOGvYg8tW&466LlFZCsngipMw~gh`az)I1QFYrQ9ny+sMCB{l0-xzf|c2!+Xj{O zTL_9kz(TM(^nVixSm8Z36z;#A3G|oqrWJ}hB>&m!eLMNTMC8931I`>0c!ai~|Ln%U z?S}sUWcokfa1hGia5z|pQu6$yQ=4*Iiyx@1t1dDa0sFWj%LMT<#T|vQ+4Pa`urZ-T za_RjawDtm8_-;xy9n47~f8aPP3py~q|L5Qp7FC~!Mb2Y+$E1=I)Lz{LvmswxIvY1r zN}JNlmm)c8SZSDO%kp{0f;a>bt(Vn><}Rps>$!c0mQqG?yasYNG@BK1&zs)n6BCH}a?t zF=bAh99;u*_b3tb$jQhq zZakKLKg371tkS`F(80%a;K>(zOVL3&z3|OHzPWFfia(b`6g^M<+8QWe)j{>;>g7SYqj<=czFf0ZshZpZ?cc|9#3iqzhBG+Z`6o2Kf!CVB0@RtrKh(ZrKgA7$d30qkR3>V z@wK2Z9sYymPqY1bxL!Zt-TfBdf$~tQi)L+I{mZk}Iq*%k+TxY=*TbdX8M$O1AQb$K zt6Tc9h9f!Hs}tDKl%)1rcstFI-1yWuJ6xT>@l;-z=vB#ji!M52dpTLsnakEz)S0`Y zu9ZFbv?Z^etW5!05nnf02N33@r9s;2qKX%*sjE8g zPynRUKm}kKN#A+<@p&sPu&u0V3|dQ7j`u?Kjvtgs7$LI4>QxXMnQ5H>wSN z;cb$jX9XJzcSGk2J3)k`DqnPc*%t#*;L)pg4)6AZp|7_J`t#C{-D+i#j@+M*)--zqZ^?r++UD1d~$! zBlI69o4XhhfM2&<=RAtxrI91Atx_I|=D>!s1>Ewx;P|kunAV1Nme0+k(r1ekf>D}} zq1$Pm9|tJtbRMl`Ok7$FR!F z{g4Xy9c9RFj%4>~(#NV~_alkZ=HdClZHe5vxRqY;PI-vjSmxE@*TO!orCsKKJQ&bT zPnGDaseIVnBwFo~g-k|H203#)vQS{~D{o2{>_I&}|CMi#n#RZU{4@GUtG#LYOr(Km zOB#66GbFgodQ)|M^VY_Hr4c-ly4z5(ZZdi$Q!$A;ETD50YQnwgsF>vksUdDCOrUR) z4hK+G{D{ltsy9>CT?o|*&!_cQLuXZo;%CA&^V3Xp^yVN}H!Aafo8l(GS5aMh-Gjm)7)dkf0sn*A}I1ufU3B5wn}Z4q|}Q|v-)U%*Dg@P&Ev3XqQCesL!o$2{2u z=&nQP*j$WDn|NL3lt3ij*8ecBVHn`{`Q>USKWyqAL-V~W#bEx@u6=J_Lcf0EF&0<>1Mi~h#rX6)P zJqix4xe@MN@Wt4=YG9c`xY}m+j6?rH<5a=(T`8*V}u`(UFU%#yu}}-DjQw2rZu=nxz)90!2w*U zN4^3kDi|S3WS=65(**QU_?OgOjhwA8Ri31no>|&jH1|XP(`wtJ>w%rMoSF}C*_2VL zN#4lEF`-uDPHfE{&@NT6tb-h^a$`D(AySwpX*EsFA7%I1Al%#76h-ajZI3IvqiAUY z47x%uM`b--e?}gylN73~ls(#-Z?#$hkY9382cuWcYX06$*zfM>S$8b9=l|-3FCl#H zJiHLn13$0K8o#=bZ5CF&vEjQ!Tcwf~iU0vU3}OPVsoh}V?;J$bIW0N~AOgRxW2)$3 zzA(!-m98|dx9Uzk$GkP3MQC=t*V3}@_TaZm3`@N%vSPi5S$MttVl-&Nxc-<2wE z;|v9Guc>42fM%!BWhI{{-Fpn(&dEHQ8!R9PkODKf=9ObQ)6Ix*SJJ@&#$c;mGJC`SkMRpI9am;;a9d|2Sf?)D~&>@u$aa9dYPy$X+0Zvc`4$y?_guSjwX<3^& z>)?m<S-OOy~RXmw7#9nfoX2;tN2g$bZL`{u(9wh+*_P zytI5c{Jtli-C`eHLu#KU%(5)*u#184LYMW~gA)8Ik9%6aM1g%V!}jo5I8}{s4;PSH z6kruhE1zkQYNsl6%=m1{P2lQv7EPrRzYpU>YkC!Ukc$Bl)?8xA^Ocd=<}_uw5#ebZ zmRiZF8~zbz)CHn&>h+S;lIiZ0U2oikjI$PbqDu4E8Vtkw$!Xf&IK%a^(OzHB5ive@ zv1bzuU!1Y4x5do^+L`aBr+r1k1C-jHij7>l)CGk4Qyq7z!t(cC@Sz$9MfyA5)5wB7 zr&VRzo>B~olKvqXC0O`{(FlJ}qb;xwvx|G!j~?m_U)%ClcpMZ)w4V8JLC~$&&#&~} zO(OX*jqzDLU<&Ii(@XYB2Jwy5f+Z5_E_N~0aj%~46WbL<)Hsi6i7<&W@{QXQ3*tq0 zSY%5T>LXG5xLQ@%8}8VLd)#hqV_|!%68uY-?fI#^=c@zz9m6L%y7{e~3g8n}Al$$} z3!=snCjlDOb)I~1x4NqDv;Tr7b2F8yX!4zln$N4>%96?j3wv*($t8ec&5Rm4PaI#i z%DOGqY&LdeD=)>@fScTsDMw_IuLc*uws|G@*5NKc9%@dcsIITy-Y-|UH|DZLQ0W|| zexf}#Uiq}>zQM3I%WaLW{K-Fwodvn1urbuO)7l`Zud$z^-HuTYuX``cezWm-xSuk3 z;`w^0JI=@{HFNTt^Lm~uw+dv zBpvdqB_W#!*i#LA5fz+ocV`d~Q1L9+4-g)T4T1tz&I9$Gp4}nfe=<9dz!kUsEm9)j|H9FpPNHq;m6Y8i+PFST-R1u>qO>+-ZGbt01!b*Z(N0kUQyNL;p#ZjycJ`wA9mdKfZj>8_1Mx|#Hx{J0KAEOhvaN6@V zlkbhtIvo(Sx*O&EBlLdvpsVcPMO!K~h5%JjQXcoYoEv5hG=XQAKo?7}sVx#n&|o>97oQo%mT8&E+84lT zRSZ(vbGb)j`z|UD`s^}3*cIVOoR(Jxpjg=2{aE$aEnnZNwHn4SXV3pATG{4?-H zN`$ei8w^aoinjqB)hp2d0%Unj46toVAw~5WZ+^sK?p)wpxhJ(?kl;!nbbh8XMVW6o z9q8)^WCPyXcd)%9lNf}VEx$(JK64sDd5HT;mVWiQFvBvjflprb6s@X2>s_K%sQn_5 z8MA@WX%GmA5-e%1F;2R~$d%cas72+degsqQld<(RPKw+)ch>(3J~muANB^j^--Bsu za2M2Hrd0*D(OtNRwT;atQDO_2{66=|yD8r+pi^SyZw{4)9{S4VEcrykKpn%ycX?Y%se5$7h0q z3ftQ$nu#mVq)GjpT5JyiNpW)|Y;en#)KYQgc`mV_0WDJCMQYVeY%e-5uJO;zGdY&2 z1;?;#>*6ds4YoJ^6MMoQtk|AB3`(2MOjR8a@7uVNe2ZR8A3aS+73k7oF|+ zYn(^y^%1ib(+)im#@dvJ3_4sHifkDaSDw3_rY&adlb>@&FHgB@f~K=%E(S}hBVkFo z3gd1)tOBfc361NqVMf(~Vsf`ftCgob=5}(vz{fNIpTL(A0Go~eWqqtFyEOhw*Q$Sw{3@A(-qV2pT!e~slXMl zbQ+=#`3G=~N4tP0#~vLuW^NIH=1edbBk{op5pyXfr7)ABY^BdVCZ%iz53_0r`-#{0 zPjStixL+HBsR<3m-cT5}JaTbeS9ZKG)C}7P^HI-v-z}QyPfgmTET!g8B#R1X^!j8^ z)LdDK8qflo0o3IUQ<>CX;(uZFs(cZYm={S6Eu@jFB$3g{ps0A>&w$Quh6MqwP!(w+ zRIs6d!O+A-II6?m;CNUR3bL>%YXy4N@XdzRE*2k|VMu>TmCh`TH0)bF)f#eK+K2xW zjR$i0Qr4#jV_6OspY9hsa4FpT2-+_~_e=3pLLWMTn_AjEFsA=B889o8gp^N95K?7H zg;eVHBd;G0%D%8f@#i52MOCJvaK2vR^^$6peg~y)>82nDi`TDw=yoXCF60D@>Ep#G ztbB(l*1?)-Y3_{eh$JLc+0jEIV%Ra65aGBnISc*`hGDK9DYg5TAeJu4yfzgMvmmKW zPkAg=m6s(QlzQ&)4Nk~~5NiC6+h2vvMf20aG|I}u@q9PX>Pr<_`bs8P*Gr zrG%ykX3)?>V~Qh1NR=hUks_qZl9G|CIlp14hMXF2Q?uZd9WV0+e>2H(LXwawt>5$% zBt=MBn&Nmk5hlDoO_i4S-eTQWs`gWmRE)VF)6=ctv!cb+DGn}5PK#4oQUv$Yl3Gj3 zj+BfPA=Q9V9I4W#x~){4UQHp|um_Tal$P=Es{(Q1I384sX-Ns~1!4X*w0KqeJCsy^ z+KXx^AtM#IuOx-hBN6n2WCVST&Efw800960cmb4_Yitx%6vuC0y!(JcX?e8;TH9K+ z1zO5d+Ro12-JN#l;mmC5O5#Xb#bQ$FgFsMXjbExEL82iF!H^IuSS5fJKM*tqjeam{ zOniJRZGuJ%BPH$ckEKA5Eejfwnxt~e03?mQ2CrWK;NSin7g^#W z7MIalI;(>h=e`^}1s&N~<^t|)wq%C5ox#fB_4?-hR}lLRL@l0z&0|&Y|G=y7eNvnn zH!I4c7v^(1V-ihPME`$b*IZW|6VA zvaVsV2gCa2(p&?UmoW79Q*}2xpd)xG^cWb|>y{(ykxVlA_wL&T*)WPF5&+11yOO%seazk=%| zTe+?+$qH#On`TRj#?2hcz|^dX7X-IFk+ZmD%3-I4$Ck3sVW87_X5%`@h(c(%5|koY zmJ-rbuG!02KDc+=_{BWoIFZhl9yMhS)2fE90h!CLgM~MS8~zm23VB{SJBn&Vma``T zXd4+?I|4n%ZY4CFRntuswufa)8W_f}RuKDF`1idc#>qC3%Og%5{WbL+*JgohQ{}3k zn^Uc>WRJna6$P*D$Y8%%iP*_@%-{g5rX~Eickml9!BEgbXy_IM*07i1->o;t9>p4gCcIFY zrW7M)EyE;>p1j^Ze;O0fLyAnyI#vW@;z2toqqnca=j-k3>FnB5NXt2X?Cc?-Q+RTE zyfM9t$ddy@gSjF*)`2-n=4si1wBy$Uv0~N)hEF~k6qnEx#Bglv(3Mvr@C^xtk;F_#(>pt=+V)!8H z)swiF@QaEv_BD(QjNI{|qBLkimFsz=%9H9zBY-G9xt@62&gYX=!-1@}qt*;{rGh4U zw!HQzm?C-N9Filt+=7w2pXGq;<6nGaqk7aQs=!Vq5|AY`;HZ)&g}7OnB(MqSnFUVI zs97uD1MyP(-52vNjn0Z0Ff>=x8ykp~v~5KFTJy`P2>m5DUZj z_X9Qe1TVJllsZsFvmUs0Z=S$J(VBu$F|TI*0F~sG9^3)mLWhYhgtc;VdP@#-RoX<} zKT&b{BwEJx30jp5M-NW&7|+dgknf#1<89A1@C7b!CfbpeE#$hJjhA{+If@a%H6#-Z z!d;~1f7-NasTiH9?EInMwYdsNpvTQUsmeqLX>g( z$}ZH2O>DUUZ&hTzjU9w{U;T39GITU940%C_`_xAQTdv;C6oy2)dZ(2WC%2RLi*DS; zoMx*4N0X`*ay)Huu%4V0`{}v90)Wsgh6zENx7pL_9Z9>O7U-FGL40kq=6(Zt53gpJ z8#uA3Y}2l0o8jitNR=j~yDuFs8WGiWGg|1n@yw*Uekjdrm`dlomRIc1od%I&Z*n z8ldxPsHdU=21w8%=y5hv(n6dkiSfC^$>njfbj+$HNN2#2Cq@HeHj!|XAH)6(>LHpJ z{UpE)`0=n6Q^QmY&cAs04n_hiy12p6r44T9QM_hZN|N%KFNzo^@zG?Qrd|}Alg=Bw Qw4jsR`)kF200030|KDl14FCWD diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index 142d7553d0c5154d3a440b34224885ad717dc4dd..ef1b0bb7f9a79835346ca4e72ce11ca01c1e6f7a 100644 GIT binary patch delta 231 zcmbQme2Hm-d;KZ~2-ps#-$7{*6~qYPR4^tbCL|=VB*|&)=o$Qe; zmcN=x4mq?NFf(&B`#fOg@?&_Up}@A%@mTwWAL0N0{*UiC@}6dtHd;L!a2zUUcWf-9hATWZ z@eYsPGpt(a{^&f*ri%yV99J-$;3-q^^VIR|Ie(^ElR1)|3FLzR|ADq9Puy))!^|yk zn#s_qzuuu^`TBH`NqT8)>B=&9xBq`ZK>qrJgdJjGZ5#_GdGIYhsi1qtL8p1$(aZXBiwy5wK7V#T@0+{@QU(k+ X4{{$_T6uKl%gU9STr(?GA#MNwy$xzP diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb index 02debe078e4da07ca32436bf07e7039f73c1ae69..557f765aed52f35045574084a55a4af6e14a3169 100644 GIT binary patch delta 1306 zcmV+#1?BpM43Z3xPk&hj00000000sJ0000000000000sO00000004La?3&wa6G0Tl z6A?iW(N|F^f_QldJGZ?&>w|*66vgKvRRc9C8WH^GbvC<^`F<(8yGXE|>CP#?H{yL! zV|)8XzVVP+mb+j+NK z?HzQUd%v0=%zy2z=F3HY-`1Zijpr5`lOE5!UTS zepda3b$9tQV}E)kOrNxv`eN2ZOy3{wyo?$9V;k@}2OOtB43PC%3#@I{z`k7mTx4k1 zCHL4RbpYDuEwrwgm65Z%^Uq&a8QXKic0=1bV*6(QQ--$7uIEA92PtiR&)nCG)&94S z%f%w5-J>Yq{+638L8foA+Wg!m*p{igz9VZ!b8ufHFn{(!k7h^CuD6P4cDsNK=V{@* z*OIeut6uoFv&vYW7Rybbw=HVKa@|a2G*63W7wBQu?oA__InZOIjYY0NRhmO?E^L!n15>63@iatK@oEMiS{WcGebQHy{PP$Z=VA4 zqtL@gKm#trt_^%1b_)6pSOuD4W)K)gg8ai~Ai7}&f{vp0SGbDy@?>V{HVsojL~BZ4*J|$kWp=(XIA|;;^x9D`>;K&j67w4k?wn(jd%^TVm_BK#T8~Y{^zG5;d92v)R|#Kgz*!E63|V(uVBOe9V4p9( z&kMBek$dJNH3RL_6|~;AbzpDy@zb(kduiBqw4JoHeRc4mK-*Q<%b@Lpl(v4Z}Tu=f$#1^sbYNShmenLG!$5wu$b#d(((!N%WYhn_B(T>Sp)d zYMfM!tEx&x7n)S`cAAQA>QXVdIu(8GQ<1Bx3U(nP4^+91b0uEFejS>6U+I1o4L8v(sDB8}#tVUohVIC!Du5HzXKdf&TCd@* zvvnhzuwXmt#`a~3bqd`?pmSQ0Z~$S|IjO)_4D;WG?*FHTzcta${@unTbm z8oI#^XeiChRD6bAh*pn9qM_gb4Mf2kNy%PrAQy17VfD{t3c&eWqR|e@PNjN#D|r-* zgnv6UT4N_l?;we@;Y?9(cqf|CL7|0!7`$#l+bx?vQ?w~U$B149XuxMwevm(ZrZ6a4 z`C$m)ASgsb`$?;s{}u_iz^>756ZP46={HOxsnKuy+U4f$qH)EEgIWil^Iq|I(jyg` zQAN=NViiv2-GCt!bBMf8(V*Zy1)4A727gpxzuVV+p@qPHF)Kh&$PMkT5zq}m(fI~6 zLlwM2xkeuK$`m9!G&7IXXVeYa)l!g01BC)!<;6;eKY2rCHN8pig@)1FLr?|h{w2=7 zDjgiruJ#PDdx^8Js+Lm{6DrKjg8mpIDI`0aF>Ui0Bh`#%3_T&-063TvqEkgbNq;xA z^!?|n&ImUE9eZG%xRMMy2j-25<^o`c-Ck4Tyc=LUash6D&+rPlfK?C}$&M0~cLUeL zE4Tryhz8~xdOw(V1K<=fJ%A8Ugshw?DOM=vAn*ppmNTV=^3;DdUd@y&XG%(bRBxOb z$OWvHGbKgxYO?(zUZDprQ{pt-Ab&qnqfCiYtS&;rEw~m#7|e4p?ZHf@-}ZGhl(&oN z76!1GUc%?RSDbE@DRG+&U5|tbByh&mv`mT9GJ;kdB7n_P6y(pVDpTS#3M^1tHzfu) zz$+%KZlC`I!D^o;BsV<2p+yyTS9%pEEtx zQ#I8+HK)4{ItU0zH5}+a2?ApM?_v9=)l40oEbZ-xnYkI58JLJgTr6!&h&ec!h?%$< zSy&iZn3%bVRiue!EuBq?#Y`-n|IK}V{-29Vq5m9#fcU`wPyZ*a#sA0MK`(sZvUOEm zo`bc3=qSGl3-`#ux`-!eM}J@(Pfg?;Bzgd@4?w+Hj>KeoU=U&A3`ilx5OCR7fMR$U z81!0e%D?0=6132PYO{rNk&@kaJ|!PEB`I%YpWn}$@7XR>tH&2UQ>|!VQ(u!Aozpz$ z-AilMwVzzm@6oZbv2g~xLv@|Rt{sW>3Ot59shk(>kw6eoZPL}kn`UI3RobI95xs&96V>4DqQ#)^Y zEuETzgfLU;Fw;5tB@eOV;!%Wl0w`ncwG->#5_u8I_5ld-vB!q*^fu8qKW&nE(xzVx z84!=&dN;UcaG_ESW%^^gldZEa-oWLa^ z3jgF&B}tiYJuu)Nt4nH^O#ATO7Kk(>!8)BV7dlqnxm-yPJ1H}~o|9w6>R^0?_DFg1 z2u(wkG_*@B_K`#z6$P%wS#h9>XiF;nW|7_{$OHSy&fjIfEkJk>8Rn2-an}*6z2GNh zpECN{zLGv4U69QUSnxz@f^9N}wPOT{U57Dp8jZY1)$bM09xZ|IXSC!H@YqP!_2$!a zWo?Vj(QMs)9F5Tx=m-sKljEkRL^8eSa9BeEXfFx523<`oV-KX84H>{j5Bo^zbW@#6s6WO?_7;{;B( zXfSY%^H(cE&pt7y*8p4b<(~WFO}n)~whVYA%TNhIbMC0Ha}d2}9&!5-u&?g-yc_QX z=hE#Vvllsex}@3ua}Ne>c^~n_VTmVQ2-`v*K$iDI@5B#=ar5sO$e8m2JBs~0S~?ed zKrmmk_JPC3xA~-niO+di9Mrv79!g;LFem-_Nee9Zugmq=Yyf7OaM!ahMYhvlJTlTC zZZs#H%8g^!cP!Bl#(dhEp!aQ&b{x7|!Buym*b%`QcMBr4bJ=z5{!)3%b4#aet|a)} z_pV{0Abs+#?rxXOY__5DWYJeyU;@lc&+~>La;zYM>qyHkJzi4e%dkNPRxhhvOf-pm z(Bzzbl=&*-ioAGio$$~~E#2@a9#_i#TqF( zM!mIJKqAEh38lUcz6=~0PXg&9x z0*9bs_DNYnFd4VgtxsIrdls^_i9Shmhb5Nr73$@XoCW6vDA0yGQpxr!U4W0x(I)yfADMBztej1w)=+mX2ZpT# zmy6dwhnFxHrcG?FBw7ic6ia2MQm}1CMfHc0>W-zez2lF~jbb_f<>Nbpt`pyo_3X#! zg=n6;oqGGTS9E8yXa+6fJHLC+Uh=-mv+LoNEht7I zK6K+Ce>&Xi^%+x+8;rYlD>J5uY@E`-c=aNSt~tJ!lP({LJ_>GJVCBSH<}V_C?Q6yD zfIaXu0&j-2qB^M#!B4geVz^w@LuS^px(Q|{ez>|{@Nr_OFn7IbgvEiqS0P0mC+ObN)y899xlI7GTK<|>BY?#*Sl;XR?@O4B4sprVF$?lL)r z9zTEf3&cHNDfB_4R{1hMZYC@>hw1q6?tT_H-HD&gaC?xtoMd+-@HoqFNfPi-+7~0{ zBylQ-O#yyYcz212%_`m=EWBNj7p5pLl>USj6}d~O!%MvHI)w4hjO2qd5oV^KstOfA>6=? z49WlAgGlhe63LNTt&P7P?y5{74YSW$eGV&gnb}tuJkvG=f%vvGq6u(G zr@dD5=@FYuvj^@X2t1`>Rtz#{b$DGcd~I8?emYf8!n(G^~~|p z=8r=e9^%9Ui?_q-@#r3;^IPK|{$!z(#%8i!(T>{ar*Kr^%c2eVT;&*nmyxRY#1)#che z=JPp^jwk@W-RRuUhE~f@0$zuoBgrvJY|h0v=>tf3TXBmk;);>!CIi<49)GB1RM84( z+V<7T+gMA-dYa0@Eq;SrduV!4)|_D0{Wgjoo1goQ2tm`T%#UgNgzcy&(`1WoJC}o* z01q5e{C)JZj%x*)(0C7itiGKvbuM;thP6Y>k>Ho~{YsOge(EH%<(n`jyJro|hH!(c z1wSgUac^QXPW2;#1Lb7Cr;2vQxP2F0U%Q5Z%4qO!CId0}He3?wkVLsPD@&-mo!=$Q z0#-XHoHA*6AywZM;y~2reAJyv-QWppr{r z2f}$4!Z|89VlQT|ZdYKtUe-@+@oQGS{6Vxy^eArf^y12<6)RBkA#AKy7KR7Yi$~Lo zThogsmip2mejE26FboVCXUv@RGz6Ah^XCL7ivE9UEwdkxBb`cz6|?6Fe>Khgeb!r}Fg4=2h39m9 z3&G>Q+{J88%64TOJ%+?iKf!nc#0nZ2(+qH@!j(9+Z6YFgY%(BtT*{{`!g^djX?e7# za(Q_ZH&-%3)q0V^9Jb+c9=LGYk67Cqv=cc3@$4M(TZ2sS$VKt~dztWTwSV^a?EZ<{uqfeWWb&zW7V|Kg?&Xk}+(%LYpkSQsB7o1F?m z*}8JSB_}#8=^7vb;u&9(ee@T`b4<=hmtT|QqxYf&99ly*M@Tn3bkf_5(PKOwAsF=J02+ zx)q&VFj92L7f+50EQCvtD^N(d#@LOZKBsavf6{#N>%s@;hAGJ!8=r(nNib>u;u~Fp zr;E{H267LqLGeauR7p66H^y;b)@fiKBU=+?(izq9D4>md8B*9y2$>;?wMH(-oL_bD zpaYcC&HTyHFW=}N$4*)k-xV*)*^P%XEI*R5KCad*do#*9Fnh0D=L2)hRjbI+*1<8` z_hsQ+bA74*6d1U5&jjWiTQc`v`Bp*jDx4SvZtlloUhv&V!MMgHX*nEGlQ16h6_PjH zI@H)AHM`e>7x8=3ML#+GWm?Nx?z6CGfGgVk#1wDmrH_8HobI#8%O@ut=H}TDm|Ef5 zv^6$q!y9&3(+(p_O$!L1HL*L4CT41Lu@-N)di4>l;hg}-rh*);ayubQ647ff!QVxw z#Vwy(`lDQK29yCZl`iv_uIaf&4Lr!BB&Bar8%3YykPQ8Q34BrJ$D-AWV*2{pxiE@4=!-WUoRcrHxm-RFWi!vam_t0794#ApaL zSbcp&Pz2^DFp!kI(gLMu; zh|&3ei$ql2)FSLd^VvSzs8n<`FqO7fD+pnUCv1J|i)68*3*U)@`s*mSp zc>t>do*f`z?evSOCc8gav3o+Lvmry|ajH&2LDFNw`DsDX=gi;C3b!e#LA?^keB5*@ zb_}~WdOw#4C}fUBPLwCb#=+VQ5r#|Bo8}o2D#YEZz`23O8Is5tHdE1H-4v|m1U*O^ z2HYwJp54PZ_{rR+SEG#ZsZzakgzQCVntRv39o(aieQ^T~H%lM!%(4rHC3i{NM*@@8 zXzx65^7|LKk1Pa^ck*Ae`StESVu*{h8DuWcSoV64b&@PPrvTdb+`|a5P&4a?Hk}SD zj%yYsJj00nCpP^5An*@@{~+`a!u=8%vYqea|Gfi*Bpf)x*?5@0R1z@h=P|mXe0F;a0&SIhxNP16p-4=gUr=9DFU|64T z@-r?ijRW+ms?#uZtEzm17(d&wQ%ZavkJ))UK8_O0f8X;nF^YHP9vYdTHR`oE1DdRg zwpbsHY&#QAjZ~u)ybmd3re{Xg?d@bPF`2s-uCVuI2>6V>|1@YtGrA~8-Ih#Q{u`#I zA4=mX!V%a~$YkMV*$#o`QbJoIA?hoAyVMal4r_H+bgK0}45bZT8?bP*KQ>V}KQ<^P z&3b+r?Prm2c`7kh=7pp>=sz#U3sxFi<8 zYM`^EJ6_$ZuYW4Zr4w+9{um+(9om@LYduKtR+h&%e)4gLubtTEnH2D#O3Et8*brwO z4revZ+ZX|(lRW+z8n@sT-QU_;tf8NKzn;AvIRWYfXz<$$y}ua0{a0+89<96kQ8!(` zst7;l^Ky5v>lydr+PDg~BHG^K%XA;CR#fj*@T?(6tf0eKw;A8t7~9+KNH1^tEF_?S zl0ikv(0%b$nEW;w#Ng8OjDYQc-bh-adc#H^9HTayWhrzuE*1ZNgdHi|hUfWW#)+1r z)?~!+af*YXURLYo`P&;rWDCNWc18A3?oiejEEd4}Zs~p3LXFpICYXEgzB)O==4y5r z%1O`JR7}u3yWf?-mrQxN%iLi9GSXi^A-8%{e5mQoN5M%=ihxySzRUZo4ZLnB-`t1~ z!7wZ9&(Q}H7L?Pc0mpEFAwSF=Y=lnANZnNOHy$4y>#^GG`sfHk+^8_@oGtdc1{B2^ zx1w?8{x#0cu=fFmO(}zi)4l|&0<+s__`NcuZM-Gp2lV~#!WgIuqCBehp&fh%;#SF$ zZrT--Hk2=~REibMdfI^F#lgIQV|*Jb$l8YT(U;0ZA>rgcb#F~X)QDjSuQs7QINJda zj=c;3%`S>lI0;~^^iz|=NT)dPJJ`{ra6(-YY@Cb&HR2o5O$;&qMKU-r=eK*d5}>Qc z?1OcG={U(!^+4gHW|GXWCFPzRV1;J|bjQ4i&dO+DKi=sBx3w_(S*=<;(Z09f9m${& zB*BxD5P=Q%-tkkwl3RcHj2ME!AVvWF;yjz)sEE7(NM!pRPP^ji!xjG8Zlt6IsN2KAr{ zB|F*X`MVya`qon3o`hvmHvxKfEz?_-XU8ajf`FjFq7cJQ{tg^u7iq<$i_Tyc!ci-x z`RQ30kME9#1&1n$Ld=FdVY}Nzq%K9$L{Qq{E+|+wvz9!mI%CIV+a%JV-kOr@(FXId z7y@Rhk(k0nt1<77^Dxkl=C2r5`GD2jEXNBDhkJHu_QwEfwYf5i{+z%Pe?qQ%VWU08 zgfy~7(kh9srg)u_v(JWGLkWM4`BKH}0F9QpQ~l%Iu+7To6=A~o(gEfv2iZq>l_V%< z1PWMOe7Yo=78d1nc!QH*VMe_qkS4MSqf9m7du*&?1on7C_&)HLlb%I8;*&v#^nrI{ z%smuGMgW+K?yd<AkKP-+Qtu2tTJKVEcVv~HyrD+c-D5D&)URtIahCkO>BeB z*+Biq$h7f>J9DaU8$^8z?rYZ)a}0~A57@h`VDA&pMJ2)QHDog5Y=^iT-#0_{Gv7`2 z)UY2HR$+9W_gxzE^4a0+YtSVkc_!p)!^WSz+P z8}-0`#&JZUT`(dW@Ia8f&vJLsO~CRcGQRUDXXF9+`YyDY6D`emI`hG3;`1b9wEZSF zsWave9Ah~K)ZC_LxwE}@wZc6^j9kZ^wt=(hDG7?7bGY6m5F>i(5H(DoF%Pl&G8+)B zhf_{>Xa--^+If28nKn4{fdOg%Drnk^WxO&q*%#5FnPxutOe*M&?cT30?-B1GquUUP zdB8Xl5)BRk?&w#V3T@t~Y>n5VV!Vg!U6Q$%kVW6x6P|yXdr)(fM%8UT30A*w-sP5O ze`b6hM$8G8xlMgTDSs5umVdn>^E?C?EbDw{pA$ie;1g2qv%OQI`JgIf8@GP>>~g*@ zWf|*GX5EK<#cuPwJMQb6n(BJ&>^xtp(cW0xZ0M@>8qLg|YCNvi zY-n1(Vfju|>FyJ2&)jS^nwewN>#iXh|D%7+i@|1R89|Fn;Ry^e)oo$e(>nOCGM*j& zfm1#a(9}zpVqyNl{+J|0y7{EVbK0~#vkLo`4Y^gn@V3^>W8ycN zb+q?PRE%45^M~expYwuhuo@c|-uG9Wvy-o2jQ&irGL`V{KDeeDPZz+n=$=)T`oWZg zr&|THjP{&#>S&V06rl^BrqyFUh@t0ro@^C+I&XMjmKeg>yCldoN#m#q#tNQ3K}C3P zOQL76h%XNYIwA1=qyWXYRn%s$Nvo%)D4N4-PwB%s=Wiror4G<+69R8ZeQ9E%s`aA; zD_5|yU~Y3%SfAV|a6pE+Vu@p-um(E3u38zhyt$nh4EE~SB*>G5nDxVrN>MrmlOfUo zo1(ylI=w3dMRv!vBn~#j38K(x<1OHnl33_Gu}Mr1 zfkrh<7#^Wm__-hGRJXW{A$1^C$kf6$8yGYEoiT(Tel|Ysm0(W%iW0$AiyjV3_pce3Px??sDZ#?8mA0(z5@o}xZV0=rqA1Pp$&r6D9mcyLKT-%iK>sOapJj+FrN5AtXJZ6> zpS&{=tx;LWKOW39BM^pwLzP0fY+mWeuFZ(B6PyCd0$l71mon1~O^%uc!GFi%k7Nvl ztF)-ZkUET2aXO?AK|cO)B`pPMnKWv4s4h5N=St-7i159400!KDGKDgW+Y_PDYZT}U$@4YQ)UChswf6=lIa-XDtlffHH&u&h>;` zK=Q;I8)LO^x6lW1|BE495P~%!${M$h-775Pa7$^ zt%2WG&Gld<Xvi{4aso2Mez05Pj4ECsTVf zZvTeMxE?wO3)&+^Yh6Sq6;0du?gajYu$SY`Au{tw`P8Gj-Js>?)zRLJpW~Xf)qDC; zGHEZkJe!1v-*Du!&T*Wq~XVb#@`!`7{A343Mn`@!lHbl%Y z-%73rDE}i1wcS#coAMtCa88_4e{gX=4RL;IHMY`2^TLtpLzwi=I=68>AVrG)+ZNv{ zt_LE}L87ZPMh*8u8x&1>yoD=z@Gpj*L9kmdp}V@8IzTp^=avt~;;X<81nItne3m9D zjq9Ex&y`x;gVaIBQaEDcdqlBy6sYm)*{BQG?v=JA=KYR6$Pw6?)4VoxrR z3hXDt(2w*kLk=J$1^C9pvR+0A?Y!BhGI4d(sw<)D)cWG$=b5z#v{5c+?$o$*5WJZP zZ;aS-r3k7J7uSATl{eK3Xk_8m#4c$sH;Pv7A(ahO@397(CGXHDE(Niv1d)!HIdpe% zaFo|1spOWJ4}^@;D6hN>nD_vFIq2~0W!WjMswMKNq7fr>#HUe15M#y@%^S_I3%MZ? z)w2@LlK`L{Xq{;E_rx1hVSmMkpn{H(1j2kc)_(ceSSc~W(#%p+?4e!SoLV(#>hlZ{ zmLQ({9q=Gz0kl#tw*J*>9aV}vKlrq{R=tDWAo^BWvQ@R>!p&v2=?j_0A3}QLe$B2r z!};-WYI6{cKi73IT6l~t)=Siz=3P>^SK^VV780rE!!t}{@)OCO8M`v-L#RefmAXHE z2{$=xFTwk9rBsVRRJ&ouy5TZWD#O+Kb#%66q?v z`ovZrG`e@9*i^}`+c`3Vn7htPk=!|gLfus##PHCe__W6{inuq>AsZG@Su0l`1mfpw z@r)XFk&`>`q|U^DpInYX~(20a7J!uc$wh%?KOPY%O~Vx7L6P%g9@bo%RfI>ETV)aglEn%m{Pz^;&RKUnEV; zhh49S|8GLokG>%0^I%2yRRB3M;mgN>VvDsw>vD4tWP!Uf@y4p~_3gzk{$7W7RMPD3 zw(Lz&C_b<6Jp-QK`(m&MffAqM^3sG!$C zeXN;2UE{Rcn=WEp94@UE>x(;#E-q})mHPk7F$rbGZ8YgO*j+u~kZ_Z~X8Uw2N$hup zsg$EIue2~TI1d688F5PWwqZk^5sj=supN-}wo0LNlZ7pd+uu$;bA!~~!;%7u@b~p_ z5+==^PZ0tW0*XS~>5em3BwqURJy(6?%4O%0JxKaz8r zI&_Q1`wUJzU8w%YKz4ZZ*BqBm9APwk3QeVu>1#m)(@qvB6|yNo+4^OCk(oYGUTZA6 zmsP$BiOnj6ZZ0fv-8k!)^|sV?gCHhA4(|3&*zDZctYgmyIXw`WHv>+C0$ye-oDSW= zAxG$@v!Xx(_QQyExgm+ZM_l3U9ZVw3itdwvyx84VLw8GVruBpOH7Ri~xdvOr#7nFnzg(qwJxMxk1_c+=k5H} z`siH8@Zsu8K}hQ+<4>K>^;F@RhXFR~zXV|A^Wskt)eOArh1spnNhMcH-*c%RAw_R2 z3_K@yF}G!EchQtCi0J37<;;679&Khr0?c20E3A~fJ*n2|y?RggAGZwFvOogeI&MzO zY-ZO(PDqtFp5x9*lNyH>ez`b!xKKl-#Ht(86%LHDhEZ>1_7on88lTUu#K8<$uyb`4 zx)41s?i?e?0N%+NI2dj{U?R@+?iS`Cukz5md60iV6wybp43g)D2Z1k|*+zn&zC?~v z3v{!w3vet}#V&>7y>Kp8fQ9G;XU^_Lb2jhYwaVJab2#*SG-9%;66LYfE-9X55huq4 zOL)j@YT{^eb7#D8#TT2&2AzTNg7s#Ff)jaMSHWT?muOKgZI zO^zIr&qs(?Yg$)T$ytt8LaB4SIQOk+$gMspHIK9qxz_=gEOxHGcj|F%@a-0+bb7;J zOGD3S0Z{eAcwJzv!2WN;t=ApG>Y~?IVbI_uY@h1DDy1wD`XO~TNNc$= zbTt_?aWSZf;QR_3QU~l@y0dlv>8R<1XRJbqRmg@=p&U{#=tahCT!e;w=t`(yd8%}v z4L&{{WQ6oGxcqHf*xp*+u$0|5UbJ7S#h^L60Dh?ZT5`W9N7YI03_Xop02wn%t!m|B zbNC@Nzxm2u=M4O6!jO!K3}u>J%%WPr27qZT&aHUz{W~)*EInU_Jd&mGu)aLlVroTJ8R$(? z5^ND^l2~}C8j4zYYD_`x34&-I#gu|P8E^D&v=fM0$o;@1HQPR$Yy0<2HvABK23xu& zuDC5LzY^h0-iSTE_$21dX|6cU0hLmIsaSKeW>jg%QdEvBt=?;wHpg~JUAJ1`5aoO< zX{My&*rL4pGUAA4fq67E6Gi476@h&r5g(-!1IWP!tALnMyl9Jio!U$$@#`9_8bPhn zQoyW{FNS8aj1~3-?>^W@h>041I|eG2O?P7Pc_2>{*Z)ihUgE( zc3t$J;B(F>|ypVsmo8^NiT zS1GBkj7&r{J6u|(xHjkFwkIX%(G;vCpju76 zmW1u2GkxJ)LJhkz&s^gVX^A#9u2acnFbV?8CCa(xKrU%bhY~8ry6v(AcAB`%E&>*|mQq_o=83*7MSqEO z?mfGZ^|n~(t?(>t|8QN4v5rQLho#}KZTgK{ zSz+xt<==K>1QVl{f<~WIRgtZvEm3{DlPSQO5B`?EKtm}36SH=n2~Ey&v~3tQ_nNO1 zAe*Y@B4-3?7tm%_1z&FMIp*I+K?D=Sv%)?rX)@7Uqe%{a#%rGaBZaxT%PAD%AJ@q5 za)K;Sf?_?&Fu7PSjV7CO8(Kz?NYEpU3uQ zIKju3U=>(M#0@DYrPiZpJ7H%?iE*cw)hZ^Xi<+DVB{9;>kq7WNv}S%8 z<4;$`?%g()VDPzTBNxF~vU}1N-;O%JV%N!u<9?kbzBGgSQ9bs5C1{lhROIi1r~*K1iv5D_&GNP zby~)(18<$KKbC#-P?n4i(mw3ixfA z4})wI?h2YDUmdiIb&#kb%8KJaBfG&Wlki|k1rf>0gg+p+$huy6xVP|=H&s&S+s-J7 z3k_nv|9#J?3>Nt5;g6X+#|EhUjqUf+YiZWQvD#TAt?EgIyq1>A%W%xR{wi#t_Jg7N zg8enF54x1feS72z^LFkE(^jGzD6q*O+$=KVq=iyMIJ8rQQ)V#9pYaXVA-FD}lxMB} z_|zmbvkk0u%(G9E)A!GR%5m6)w0xnRG3qQze;yCQUb1f{X z9|GA|B;V&0(Hsr*raC?k5*9qHm5&zSWOuNDH%fFH!J^LJT>(&X4UwGIIALi)YnJpq zU+9i?)1k4tsEMQN>WaEtSX@vGkvZIU2eu7mz^woIGaiD}%FVFE?+4izPiVrO+G8B3 z2^`9JGS)XG`R#JbKsS*)$Ku{bs$YKbksw5ufy(u)_;L5$XYZc0pouE(wSDv0#7FgZ`0}m0c^ueog>T1@8kGB zuH4j;X1{S(P?(&3Jzb$9r z->JI3kw@Isl=t7pLE=Y(oL$J0p;FB@CYl_~=t#lVAO}*9gIG0rZ=dwmCpS@PI&gro?S$cvCuG`94u34vpMizz16r`n!Fw%z9q>1Dy!FKWq zwh7uSBz#!hI{htbow?O$2kV6cJuCT|DYT$QwcJx-u%8G}^@I{>jUoo_I2!*@6qt^H zBYaG_Em7Ib$?;Y14VfPz4w|1BGZiIO(UnPk2u>A~Noacx8}3ry_{u{M`+`;URQ7RO zSPN_J7Cj* z7MLWe2-I3|Y2rnrQC{2w5b^ae#;`d(V(~CO(NU)2w5aID=f9?SW~*hM5A- z)>Zb|MOQFET%epB7nJV!NQ);${L%wug%tU?K7JO4zT)ae_cR8b54nQ_G5^ar;NmSD zi{8NJR-m>`@bx77BPxgP<@|nGcc9aQlvCmYW}oA5nSKV#a@dp~FHiM8LwSvHDh_kg z%*mInvT#22<6p7W7iPx8tHWPk3(@yqd>$48B8}mE zmpb0i%B+4JDW6=H%&4bSzG$=IZ~J0x5-U&Onr*>ynM-!aumg>dwfXA#tv)f}(T8Ov9;9HscG1 z>cy)wp?}Fqz9jv~*#hTdaC0u#=U^Ui&qXH2-qHr5iVW3|q#(ao%j|8d|JWwNy^-jd zm*A%OQTO(i^l_r-680*qZhxa9xR+03*0}ZFc$K@5G)wmYC0+3SyC_PtOQNWsD05Qf z8Yxx858C3RL_dAPaV@OztVRqFH0g`^=2_jg%rtyYcOwBj!w1j|_yN)1j9+Iz3-0jl zibNfThdXD}(w(dWzJOSsSW^?WC))Q#gDW=~9~OqM<^fNdC*n3(N#n=<$Lxt`BNb7e|C`dNJ`Vo7bZM)<+F;>_dzTAqBK-LVxM?e}{Lmd@;9pjCEBA`i@n*Zu>|J6)YzEa%+6W z5xfG4jb(cbOa1*VTiuoaoD0r1Iex`%IV7k~%c${83d)GaJxyEowUT(2hs5{A=iNL% zcdiFbW&Sd|rsyMf1QAnx;@(b=8v6|N6W@C;P%caAxQNRigxx*(uqeWQr55sv=0-UL z+d4(sHon#ACh{i5HxFXGukgfui*_8d|96xY3wqZMI(?r)5d|IFHT1j|5fe`Oio<@i zINqRvgnh;$W|eN-!6>36R7mbxI*gl7-Sy}z*PJneXHOqiRUqvyW3XN)m8k9<9@4o( z><9$;{OZ&o&4K6Z<|wjDUYZ_qYr|jOBnez$;mH9L9xO5trhfRN_5kv*%}coTBC69w`dmGMqrw56(dl4d;9P_V5G`>AIC5QI7I2Mtsx zsRCcZ*zh8AH=^qf}cn~c9FFCo)I{+i-rIWIi9KF(aEIw z_ht&}(}7dcPJP!fj5k>WgH(cHbB!j#SY*-J-5#PPO%7w4c*gpC~0p z3EtfC5SN=;_-zP^17gFW;|yzT4IWmXTFKSaMol~JXk!qQzHs>qpYP|T9JZ_8v#FCJ zHpSSp^fmsv;0#+=wgqKVyx)BYSQ7q5lq8}$P+pAg*u5XH$8!mQjmH6~dkV^1gz^QM zKPXBfgMTbu(Ee`g=;iewO)^%;EWYqw8OxOY)hEi`Q;9Fy7d<1V0*ykSH_0n}=knAH z*1sqg#(F_OY7%J0IPbxqrHgI_la$aqLLM4tTQYXKUWnNBrt{ zyn!0)_4|$ZRr@c0pgP#ozpJPN z{OQ7B-WZSf=IJB);_p1j zJ-M6$E4oK&_v!wdF5N3HyT*>K4`bopEStKq9X|f`mTRxj#{3l)LWlbn z)t|>N9kS++wK1`-hbw|8`Hk7Vn>#r;$N=@S+kC+okXY0#od~?Kpoxm>foCc1kx@kG zIMw^w*GZ4Jb$@Q_5*Cmm{Q-m}(Q4}IXD;Z;s}tJPt~2o&(?OdA_AB*fX7{O4(}LYD z2UxqC`scTp>gU}E-GXtHR)n^}(V3L-a~GfP@jrX=s(Del$Gr>kx^YK${Y~vYa7(y{ zU^DL;oN?~=1oTG82&$XoD0xdKm{?4!QiJYx3n$RUSFZ@Fdzx#Vut-J&0J%NkDSU%8->&tjB1C_$Yv@-xTt$Ixsj(xwYEjy!;gcP7EHu!lt!5F_1I%> zQ!-#VQE=jJydp2{5BTTVH42($jwxnZSF+$XZ3fT`43R!W`H9urgug+g+CK{QOg6q_ zCY891!n~Y!$dCibXqx??FD*hpjZeR3_XrvEFzs7^sVit?Vgt}X`&$K25wBh(q2;2P zV0dAXQE!!mLh%x@8`0u(08jui^xKtR**hHkKSlY?7>DBq)_%^eFveD@mfb3g7cxLV zLqHB|!h{8%R#_C|EDgfIKr1McAiIf@o8S|rCG02$H_i+mvdn`_2d-^0z%)uIs!GvE zq)`|GR*JthqG$7pj3;_a;}E)RY( z(^u32B@w-BIfs6-0MSqmYNF1Z+CvPj>L^2K`cYG*V+ZDV4ByVH#%nu8E@i6lm805m z(4j6ll`QPjAo@Z&`ZjLi48wS0_+%Y^k0e+^A(=U~$SJr_Ab2DKHpsH8d~*bLRk-*q zS_{9pA`v_XVfrFlU}?7?%*I!5?rwWJrA zNYc}s6sJzlAina=IQ^l}#8Ud4My1K`KM6kAwYs23fw$H~Fa5EW=+W>cdZ_68 zI7|cBParmDE%HJOoq;KV`6g00t_A(b6@4HxO)v!M2r}kkCtxqLFX)`LPabUjtz5nP z#N*ENW9r7qCE=BRt8KSpZyYo3{dQ^jlm0`BYxEZLnXWncwhKW1K-b(-T}BIQ_De`% zUM%3|%x!r-KOV60ujys2$a~E&P(qsncFiUKwsb!_|8mY-8^SiM)D{bPnNRN@OAy$k zdVh%tw3J&XwK%q1LkM)ODcvNqpk=>Q6ULhduK%#rn7={?&a+<<3hzfjwy3vW3kdIz zQ$7bh#pmDJ5;tAPL0nSFdCATo&I5-bE)C>(u>oKxH2kr-QXXM2YsQ(b$@!nyz?dE5 zj>dQbf)5vUqh(lJKeHx0jyy_>4=Fu)2t0@wK|R?EVE*lMu2dEZTdZucE{VlQwZmK! z>>8rnI)#P0^_r{jdX1$z+!}}6`cDgO;dZ>o6>5t#i!R+jjv~u;2wScB8Z=-`W|PFs z)I9JU!j|5`t;o&|4p)%bqai=WNRWFY7mfsp>CXoL#?>!}^xf1T2~LL(t0jJ|R^3?~ z@qp3INbE%$W(pcD5V4QKjn0h7p`M%u@nPYe80i>7#DZX=%8k(55EHK$*ooh6A_>l$ z98{~|5bEYMJV^N5)&n5PktZB9YpZr*7ZJ)R-E%Eik*@!2ynZoHL+H2G`RzZ=6iN$Y zUNPi```&e^jNG^5|C~gBL~^wdL1Lrzw-;b+ZrF*%iM()Xd1^KAkNxu>{hMsPzuo_w zi+>!~HpBtZkQYdTs7qLx6lVPSg_=JF>1-~*{9Lf+_>vxwzIk(7<89P5Sd|fq9oZ=# zC9E_FKr;4=%dcBby!I5u7rV!qDxJQ1n#{@!JIA2u< zazNr%wyDox=S2P8q_F5;DdUBoYye<4R$-zQxq@&HOLwRwqR6u4#!MGGdd?yzb4mGg zt>9UA%?tCwN?h4A7vdR5$_Evm7o6BtkIXacs7nsdY;%fCaNb4iCJIHKE-h^&DNNL8 zu+sZuKNWc0N9@iBQ_U-dD`U)-ZvJMSUHT0MP70=U+!F>f!jepiZ@m|+3+Vgc`e_*U z&U4u*j{{TS3g)%+6Fqc*asStuQJ9J61vP>aKso>I1Cl&%(d11X(g7657rDB4pC;E^ zdc?Y18x=7*l;&(6yp91XQo(!>FH#D7Ruq*ZU}MesTK@ z9l2nRU+<6gyqC^Ilum_0QCbiKOOt9q|LM^RCDVH=2oE_%Bg_B)djy6$(01; zOr|OVLK$c|vab=%q5ySoEB4`*geR}x3kDz+w-J_rOwYy46T2^tMi5JN(&U?Tyn z_<^V~X!L_oV|;ysHbJ9_7$sFfEuM3B?smJ|HgS@hyF2$c=l<_G=bn2NmcbS<#@=|d zw;4Ltp2gTo=)(cOqZ_uOYmTDX+zd)G&txZIq@v@U@eJs(aQfMl_k;ngee z{yUiOB1>Gv;xbyxW=-(o%;)1Lpd%a0UBI2qmdp^hb66F;-mrb&CB%LOQH!Tx^H?qX z-~ZCvAFa=fn<;X~1Kd>Fc)%6kys4(vH$MR%0tj`_0@ne&AOVlg4L1P1FafvD4Q~N> zQ34k3n?*)rO_OhN0*3X?rMVs~FJb8IN1Lv9LPzj2=rJ&^*DXiZBTLETU%PJ>=fNm` zfh~zbD3@i!%g1(|-3uL=YILCx*Bq`zRVNscLd{8^dA<0C#%lKU^mpy)d8o6jt7pKM zM-xnJe-YP7whCNZk`>ZmHqDk4jhp$Dftk6J&j@ZsGG}qgl*7&r9$Usfg@G>T@r@;r z5v9;@B`8I*EG49=T(g(6B5?24iSvcRaUz{9J!;Avrd17H1G0c!1q-i@`u-5p3VDfi zb`;f!tYD7-(0*lP-Ph1#>{da;SvB2MVS8A%q=8}lY9+CMhJWi8F;2IMTp@Al*e{u{ zx;Beln<`iR+?;N86?+gyFPB#3)w*<{OItDApGg9WD1IYwq ze*ou46NylnrW7M)9m6Dx9>3Z#KZS|tAw?#pgq6XVc+d{Y=pX3xdiw|Zx_b7M(sGWz zaQdLoDLk1PZ%pqp^5oFSaDkvpz#JuWN_HUa`1L?+J!=I-Jos5TG*Ym4cUNC?l9rmJ z-@Xm(9B{oqy)`VR68@3HicwBhM|&nNqh%ySF@Vfe?3fc#{HA2a979sfjimbEb5&yq zW61KMq3Qs~ymz9SVpmY<{qX%W$HaW1WX3I4l99-f3*Vp-WJD4Ll?Vis8(a%;O;)&d z2l*5+d=O34lem}g3r`jM5=Mru-14HLENDWN>v^QA)9OhJfG9n^o+P%N*DI@r16gfH ztr_ZyhbDTWy5R_zB6;E*k|Vmj10#1Q%LmyF#FjfPAv^bTxFem^IMj{7@fdliPgy z2ET`eVf?$H`rCpR+jm+WsHJ7?zj1q>z(moShEXx!#0CMXD6BkC4&FkCi7kY+a%y@@ z4s%tiBkv#cTs)4JG39HT58kht?gbG2Mx7(?7b6RdUz*jTpc3knS8GSGL1TS0|ahzDxtCS1ZX1I^| zsD5Ph4rC*UYr^7>Dix?Owh~mh*+SajH9g2-f=Y7GX_HJv@%pf05?bsJzaFEyz=&AMJcoo?Ry|0%8jJC)Ex^)}#;l<5>2>GODCfCMdq z9%nNpEyM$9V!ZBfdeNII2(xP8RM`(dJQfhMi8Pw}7*wZrwlbOg(*`>7H|%)n}%= zk$`}JDxraYLLeZ^pPT7Nsu(*sn%mmo(X-Rg)6n4wIGbA;;jysN;nA_vGBD6G(9yHw zDN5i;nL8Qd2^*O^{k%)@``7=NFckP70p$JXf8~E6iP-;gH{c6z=niaU=V$Q-GxEGV zyu3Yn0VRstt~-H<=M7KUIKit3M_UAlY@Pc&1iIdTcsauVb4hA8n90``CN(L^=MyM2 zhR3KhD47cv>b`a<004<9WhDpi-_NU-Q)#K(_0JO-fxfQaR~y{*CZEe1o|lcd^~d%& zn&pc;ftO~}PY(mH9z*n}8-cnG*&q87RffYO{nnd*t17q~_7hb)#VDIhewqcIm{bYD+ zz`XbLS5P(@fWBjkYA4q+-gDQ@cPi`5xp~-y-L&gMQQNjqH5it;G@c2)vjH!XM!ZENY-ARr45}bL}WjnYqYUGBqA9`fzD;cJe z5VANt*I35K)&pk^aNrwF2AoAtZk}fwP_K%la90Y_F~ZhFE#Tc^3XT_5w6EN|x+wSt_8ZAZH<(NGHZH!6(PTN`~eYVv% zlwll#IvS8aw_Z70iKc1g#%8k_E}bN$9F_FK#A-JYLGGjETA+ScXLlz{KTfsu6puITjTd!`Zy?g13OP#1+_ zxX(mIpGaM)LP_b=xQt*ieR8(4D*pag>t|JC>$WEl?*l%ZaIc+J6IR#C{j#rnIq$?i zt#zJ#JezfT@w~wcck;;C=Dy3Wm3mNb{N>e59rm5@-cbvvd5{ z56wPyzgyq04)D>5ptMh|zSj3sj&mtH-nXq+z3L<3;(Cgnz319%QscSqkLEnuCq+Ry z%2}@UJ9;-TzZSR7vpg_|wn=KklP2B8mv(z_zdF9P!|8FZ&c6(%+WVgScB)1dUlup& zx~Vnq>;@KzC1*cmguK!OuG5uMcv8i#6ST_n7RL?V(KCTcK3@J7DI|TAV~u|~UW~4D zWozSN1s}mDDUH}4?pD*!yVm6Oro(VmS#z9l101JmTuP7R+H7OSp1U2o+pzj{aoXq? zZcrr_JLTy7en->Oa`DBA_o=y^;UT%jG*lXUsP}bXR3Qqpb#M0%YaQ96pJto|m(nVn z=8TyK|KK{8U-n*d4r*FQ0{b2a;ksIMU*gaDDEJ<1?wtGf742Rb;wt~BN-^~zXQ|5) zjvkq@e}Nu$zk}APnE;*se7%1V;F^3dUjOFO+6#DQC93@j4zX+;OzLUMAMd^2aKbfF zmmYF7euGfOJn9f~Qmi7nqSe(dx3B7Lz`}fuV;Ff}lyx@Vp_3pGJYay0<@X8wSI6*s z`a>@nziCd!rjgd^Q~aCMd&*GTcC;(;k;D+^9xgk8S^~ViJMJr|vopGP1bt;S`wNbH zp;vmDd!4_oVzlkJmZ;XzXQ6Y6{!d}vb41N7vTnofX7xq75hHy|Ifs_I=ec;{|3>{zxKtEQ8ri+=$R4EJ{D{5CUbCxwveI0TB|UFkQrQk}JIKvB5YA4z`j zME^4`y`FM+wmB&3Esm+>)h#uE=iK@ta0ri^_q;nIihD2^KAxO=dwFL|wsbho(DX)U z{Ln&|d+6{wV47bJV+Mk_J`>bpvNKGHwtp=>z%$Kwi^W<*>%wsV9c!26ebAR)pUrK$ z>BM6{G6%EzH&y&Duopk()T=Xhd~*H)UH$_g`aBLVI{>d*X&kS7vCp^Pg*DD|-?qv0 zm&oyOr8Zhr>L6!|dS$3)Ht&C3+Ke?guTZ+)I5$$|)9i|CA-El4Aaz_A^^=~t;DtHL zRoIcSm&ClJMpZj=nBXgr<&JJeZV#BjV4Fj3)Ne`y)TuAP3W86ujlCaKE|v~WdbNIJ8W&+p6G}D85Ay>HQsr=Z9@6EsXgyHYtbyLW zh<0vJYYgWN7hq-yG$KBOAlUOx&h7Rh+mcN50%C!qnyg&>lD;R;d<|TF5671F{9xgN zU=f1B;ets=f-|2Ylk0CSe5cfTe7iqb{lP4CpQ3|y1WGN{wkpiPU0*125Ip5Q{ByB| z-MM;ufwc&AAm^L}Q*5TNr;{}!n%>%1N)7D}BbutmTG!I@l*PJXS5xNQ*9y8Yq4Py# zUMz=;$gCJPXTDiMbf!F$!tfLsMya8x8-X$}si8){S}Yku=wD$G=HOW=SBPEKXWQGr zSWC0nTxTH>vq>Zz7GE+BD>wx_EOitCuG^P+p>-0XZdS1-1UxPdtWbY?SZq*3od2Xy zZwh!H;(c@+>P2R5KHv3(jH5{b=7SXo>`KnEpI0d1Kk1%GH$rt(alEY1SZ7=fJq_ay zN8aDu9p#6R(wG2L9JHO@rD-X`l;%9wfh z8HoV@O5>%hf_3c1(cP__h{z@Ff5kG+WDW*(h7I(DCgI8?#iOHv!Ak4&OIp11f4XWY7}jyfkLp$47YK^bv!!Jk!u6z#o1m3Uz~vT3 zFKg!`G#9DV;xCV+^obcU`$$(RIBIct+TK5`7@2{;-{oKAUlLZQJ}%ta$jo{?mHmmv=v?j=s`AtZQL;Q`J*Z|T}L~dfUga-{50zrdH*x9eXrd=0k}W zvk76;5AQM1`bp7SbM_=q4!74-w+B*UF}MSY&b_Nfut3q*xQ1eQmfjs?(gCG{QP|T2 zu5y?7DltP_*F6}B`-MCxg+&(RrBmivUoP{~(I^Pc;q(DAP5PpcaW?jWu#LM8CEU#T zH!10jQLX?;I^G!f`V53+)q;tf?lQLh{KxlQNwM8_1R*P zfPSZh6|^cG`w^+auZaEGA500eUXMG&0HqWza-U~xF-Sn15T+YO6E9+LW2XFl)CXai zUu2A)9K=BL*BA@R80(KQmL0>LglfAf*En}H)S0V9LAg*~WzJXfff5`j=?gg29W2TL zF2zW91KxL?9H5E1p*MpMaT>zZrGS{dUXXh9G@5xUVmSjXlR*Q<6Jc@`!8pV?ZXP?? z%1B(swPThst)3g@Ox7XzH9o_}=S-HRmXU9Ea}zon9Ep+r&LP}o$ah;>Tc455fape*F=Wu6O3r3X zzXGDI&jt+%;{^KqxJ5wIiln8Zl}tzP>h3o!@`Uz?l&3u^DRV*lrSUg4P7_#5J23`f zF&x+4}{UgPO{Ww|hO&p&TBjbwP&bH}%wCAMi zA2ENaj9TpZ;%MI{YB4!VPELEoNQ0MAaiy^*khMVC4oij8iry$Seo`&{ga4%D4TKv2NJJIjkcu7cvyD1mleycToPN~3 zKs|b@MQBFm?Cy>F^6o9_^3G%(no*jdnrp#GoFx90w^ty*4ScxWJu2EA@1V%{ zRidVT3^NX`;R8+*kO%uG?exFFl{zFi7O3 zN?kKz!O9X62jK)lo`b$9s`Lp``)P)%b=wVBwHl+2mDv>n*}Yfn)>YzKRN>?(Rq68@ zVo_aM`DdiIsBsyp_GX{wyMAfeQZzNxaDMlM`nfD%5J~P7lc6i*>Rw5h{Sk!RSb;0my)u_IpJ@06|+#P6? za8QFgb&t`$;-;l=8*y~Nk$4l1j9l(=f{5ziS^y9~uc2wETsI^{O=pl#D z7%g(q6T5u=^JDieLrK%?sP-fNTY&2P_ROT^Wo?z}cHz$Eboe2>L(HkQHtR85&W8H_ zGv#gLM~oi1>{S<-EcMM(R=-6i#-r<~_P0)&#kbDGxrwbfacnnfxxXuxeh`mMZ2Au@ z?D`K)KVaebksSJetX)>;q0FyU_V`M0!38Ysdo&|DK902Tk4YHWEDXpgGppnGHfOX8 zBpswx)yih)WjH6t(JHOo-br^a?mZ~>{v@uVQq@;aQ^r%LO6CJgN`yG82MkH0Oi&eh zX!qEJ(5B%>#Fb}_h=#!k>TQ=Z+cCP4V#^fc=eABi^m?->+y($|2F(_8_3RE zJWr6!6`9HD0cxDKu;8^WTSrf|buZQcWV#OwjeBcpE)5wSDZQwvBVs z7SmUINnaR5w|?s$^`!5NW4R)FrS@0d+~VwIV4Zm3mwmfQu0(qMNjKlRf9=3M+V6FO z(t1rE2orMjFwiTpZR)PJWm@`o=t*|4%k$3HRG81m@-P1ZkB8ua2&)#6V*U$8l(T5- zSzq$3JRqrYv^YoG9fc&J>Jm=`*HL%AMb}7jY>acs1E>va%Uz>ucFsLGuwJ1Kr( z#2zB%=8UUUF?v)mbt90ZHG)+fkS0Lgdsh`(pk1x2KR-_1T5pieNjN&p-RVGWyle8P7=K zf25_!D};Cfj@Z5L4wO?y&-^^qM5$ziWEP@h6g%`P5R{cR ztfhQ7=luVM9bnq!+rT~WhKM*SEqc9P3>~3tXmqb($X*B_+`%I&4deSHcZqmmj$20U zQL=ex-w9Ns~xRoXt~tgad4+k zrN>A5h)>;L(j;8*m(U)hB8%y*?gj=Fr?2BoDUM=?TR)IWI;KJvYIZn_eC*{k3XSr z8p@7Wf(Qo_dlef2wI$Swt2SYjJ#!$Q(S-2uU(2ZRTmTscdR{`QS+3-)toBX3Rz`C> zoBKX@Qi*pgG|v7WFuP(Y_<(JDhm!m(LGlD=yg&r{cZS7{F-?3N!E<{2F)}$UQn@SW z#RW>hcG>_UO~0K}w9u!ruw!0Io~m%)MRbp0rDka8kPR!*KnV4*2?{v51F)o%v(v-* zF7WA12D@LRGev@6 zOMDA;(V)Yf0e%w1aXo0QP+t87mXzVx$Scbm3^@Y-9(W#MyCA`EG+L&RDIHs;S2Z*Z zD*-`k0(yO{shCt@2=_6+npCkkc;rdO1^fA@XqDO?f)JIl$Ot?=3)a8+)rLbb?cXB_ zqqNLIS{wMsiUvrMPH*$-Pq=MHSvzs!#8fv-p38nqs=-K>criq^a((!9y?-$&}#5ofst~GT?#ASNY)%BRYq96VfISt;HRp_ z9APpoUqqafOl!Eu8c3bAM^fYNbrJWNa<)dJ-AeH81o17}dU5fL%s-W8EMKR*nG7L5 z-VSbb&=3`|v9!Cfvf4QAho@qHj`7pq=ATlwt7=s|nXa9dy{A8x8)Co2v3h6R{KNeX z2HJt)!Tw|pTl`Gbzg#n&k&o@THkCS%$X3)z1%A3=MjO*Aj(*4{p5Ogq1Y`V9^(EWS zdu)$z0+nwV^y$Up!qLiP{Am1c#NgDMt!>~goO|?xF%*U;Qqb!zp;IX?DqDa>sqzh^rHb{zh4W6@=OM zuWN;Hp}$kNa#X1rpxF;$IAmjb-sJ&lC4|&w4RC=-m?b+i>Wr`%;^O3JLTz%?$&&os z4RN2Sr}_@^f^!;T8Xs&;9hjNsbK{IAw`HB8*A2L2xsW6fDfXo1btgAvZ=&q!Q7hn% z%+$1#;yy4p$d8KT#`(r!G2gC`)oH?87yUCGL5sO!nhN+0vK8o^6@LlUE}QDr9R7f6 z_zo8xyExVFf{4YRF0?F|XdCz!JOCS3`Stj5YPmbwhY5V1=UPN?`5}Zt=4k!c%M0g^ z{fpe7j{@yFDWZ3r6qadr$Ysens&%4chU3WNnBAp(2o+Pky}L@5mb2A5*jp3Lw!_g} zFZDR9?b&*h`F)YJX;y2ct={2S;pcdh)ut4W_;bdaw@lxpm2W*H^4Nw8(`pMs^bu3h*K{yJV^Q^XP%55uEke5 z|9hq5euyc>Ojvv(*;=8ae(+JmA&6#gC;4_iANf75l>5zrW0->1hGB?Urx<6WbX@Kk z3wO(rmHrs46GS1!r1yL0a zE|^aEd`17g)-@h64RHcZ? z@Aqs9MpaRT@fsz2Z_awT%8KPG&Z+yJ3h7YBXgV?9#JR}{Azis#50!_x$-rZGveJ9m zjK=P#4tB{82+OQ}i8v9t;#8irE^gy3J~=*i!am#KxyF0 zDiF2|%b=|h;4I!q?k&vz24BT15^X!DbU6@CDQ_I7&A^8hP6hN^DOlFgkld-~cV~{% z!(k4G+_g*&;`Br)po4p;K&N&{pQK^!bD$7W?6_F8ax=R$PeFVN299;~3|kj-`=0cj zV@6*Y+~60==R?E$p;z*M#+|j(W$M#NF^U&8sdGv0(J&|@8~}teY#GGGslz$_n~b!L z;hd^S+8$oC!79RlR9$*Yf1XYpFo*2&#)*_F5U%bbHy1*aU+`<8bUSO=A>=W=oMQLi zhB}9ObYqD!0UiC-DRQb-cU2k1MI}))-~;;oY&EBV9(SJh^`q7P-5LmLxr1*-tje=D z)7}&CL5IS3;GA32t7~DS%{23uT)GX=FVKG(Pckx%q!{~;v!!9DOKyfuiPvBfn!#Y= zqa57Wfxa9qf5+cR*>|S@1o$w5=sfqIlJ><1E*EUr9O_LS-T+pHvS={)fuU9fJbkNe|}I9=ww0Oa5{-l54@<;nWq5 z?ThLLXh`AYW^jQty6QjV`3K8zUtG&u=(}?5-y$ibtXPkz@Q5}8ym>tOZuNiF|C=3pc{z1p zNF5F&L;UL3;H5)*N6QUXB;Irk9muKMRYl1{WIoUdC74Mcq#h4|A`nV7*n{Puj-?tI1%! zV{j?76D{2jI(_fGzIJ*J;TA_zh8~vzgX))8!FMx0PivHgo!bs)Svw&*Owv4k@@u%D z_}ZIi(|!Zl)r_wE?171aJX5g9qOD2qS$iS#idxi6_PJoy`M`s^*3y>DxEanxYI-F- zXZ0%`evHA&b0K|FF0bz9{3mv*l~f2>Xz#%OSFZzStsJ!-0_v(rgJq3B-$)0|ZprC7 z5S0}bdqUG?12*dobd zpVbcdj@neEiUqzz%?~>Hk|LwtB`CE+e923lI)k2&b=R^eOPpKIccgvq@G*J1>6X*5 ztXWCZ;_IVsTYcXv)t#GKbJ#8ZY$?F4QHxzh`J#l%90sr=3sN}J{uOWlj*H2@+a=)v zgka)c&L4{`Gie<0S3KSj9xRYx#Gmi|)!3#euJ?<11ECSwk6oM{QmaSJ8%jIZ%%5yk zjtWyT)o!;`zS~VGQ1+ry@POPRIOP&!Waa2`fq6bpkIy&B%(%m%CM1_ajqNKcGSx(^ zjHtyx{sJtnVaN!8wW;1QumHI?qY?XZ8X_mD$ALJYF1_G)Dqqo?8LL%KpdWsseFIv1Itn8(S)3S}8abAOa6vbF(-RFP6vg8X;5q_UJLtYd5!X^;2CL3<=pu$9^Ok z!2ROiQTHd3#Qrb|=UDLgwGMnHEx<^$anxpRWGLYLweD4V6>e2<_L2Kgo@JY3G}$|1 z*yb(iFD@@-Fn7G$b^!9CO~&kVoYyI-FZICPSBa*+u52dU)^$7%26aH}Lx17}Am*}n zE+50YBaUZ=@>HIRhhH4`BJrVQ+aoWIXW=I%dwf^g!nsuRtDA9r*oTShKCZ-&ZOeN6 zdg}V-4+@f@Nm%UgD?6$Ry)NWR7tm*4;Ht?iXF8+q2%stc{0Jo3gQ-wVndkE0 zg@=~^5NX;1!R#%(5G`{7^{kFV#gztETe0MzyNyiY<<6vYvk#)uQhg1D+(i&B0v3&Y zb>1!zL>uD)v{dmQ_YV@S9Z75K^Y&J)xhWAi#iiU}rG!Gk2_k4z+wC%k*JXh<7aGr_ z$;?bS1rqtlRINFcM>LiTchbe|WSn`r!j=JxwvY5N84yORaQ3&!Z--X4HjrjcleDZy1AsQ8&vJZH691{(BK=oHILf6qOh9h-(~Ov11QAawtkUr^fW!3!X&xEsyV1P+qhK146Mp zQ+D_uqMNHhg>ZjaXm7pP!;-nd6*qLvQgXnR2S35~lP~9SoZvX)*DZ;~TGtVh$F;FK z60;@YYFB6nYz995{AV6WwiR9C+N+2{m!<%1LU1098v#S-skv0HWc4O#-U<vyqj za6M~{NV15dVpq0>1(GhwnCrNXU9g)s8OBqQ-wrmd7dnORgR;a!>`LtWQOpWE%m^aRyvd*U2;B@wd1)c-eG%JE+$)fq6J=prrE_PGm zY?ijJ$zntj()6Jw*mq%)&z`|KllG|g?|jR&8hhn-_cIP2B|6q6jTp{<)@wJe|0Fs4 zaF4WqUxlHRW#c08nMuzrlZ>oQvL_f=XnQuW3$9KJ56Zi|D9R>u+SD<39zC?CM%S7l z7#2^-O_nX4wO%EQJPEk;w&)SL9#qs1RpVj{8%44%yLx@l!i|8b9>`lk4T7M!0S(|| z99wMD+K^FBf!UQWrls@6`#wN+1Z-lMM>U(s7H?$p+0Y-)DG|GPZCV)`*?`%8#7JA~ z4QsYnx0Grv>ja=Ki4+&fhLp}LV#?`5L>!qzKs9vT2Gc)L+EE6gnh#Ecc^X}6IeO@s zsOvhKz|h9XSf8{QZAM;_b!%vGpxU<0aS9CI{DGI4$;xE(%nY{9z%_4cY$0K}sv>dK z22{4FZS|vbW3$Mxpc4pM&cok1i#dxzWFzl5MQ{%_uIx5AqOHf*Mpaoh-!A-plQb$f zU7nC;VfEGG{QWr0Id}KfeYj6fa>5XnC)ALIq6{|)pDrG;BwL^aCmk!L@jyJ)P{t8>=BPp|3gIm zhVb5KK1azG20|*yFh4>&D!+5eFV2=!=e|pr#l5u5Ht97+IGbRaJB~E1D4*_$IQh54 za1PlyDs4@*q@*_bAjM2)@i9k%ELdD~GyluRTGT=60XgTf$AWQ!v|=e)9@%Tsk}{L6 zNz2d2X=akE=JXz~hG;ilfPYI1<27h*L{ozByNT&8qA*sMBI?7z{9rp#1W?FyS6&#~ z{A;wUvgfcMaC=#T^3%iofK`I9TfHmCM_1#tS%T1(GU~&{{J_O zQ2zh{dK!pkE9~{CdsS@vfdx~XGPR-6<7tguv%IkKQCg-xwyV{YWsbFRVMM6W?rfw0 zx~w=Xv%boorm2`oVft5ntcf#GuA=NoU98DvK2jVbEs1qyma+3x+t_KkkTIdRV}Vt{ z&x{xKFlkp8+XX4bqHsjI)=Uf9K2gTjC_{zFgQzc7XP7ES!laR=BSA|tx$KSLec1W6$1w`$Q3+O)nHWMMtcyn$S^X~=`jDKNLph+4nAtcm)QMNfpd=7a_R z9Df1lqrsdPwl}?b*Hm{36QqdtT)(`7Y+xYhi^sXZeGABCc(-G*^Ia!zj0}{WP@$zB z#}F-b#c#ry(E{nt3Mbn=49Mo^u?1ypNy8$VUz7Wbbi6k#Jn>Hf0K{^&nb)Bo^GhyL z5$j+YvQSf=vr=t4Z@GsCMQ|vu!1ZzZp z7Zp)G*FYa96&-TA#KmC}f*H&M9{&_LE~%?*I}w7PrE4DWp_59j>2W)rWH@6?nE#3o zJ|pKpwgMmHJFCij4fctW5(>1fnD%=EbNL&!-oBDGN4yx&B0_TU7`4JKw|$cV@)$LU zfk8_Fu0f)$#GU6d#j)SZ>UG2?dcj_)3>%Gs(Y(wGXJM3Qp~9X(^D7F<$>Bk=i(hI7 zro?t&%5^J|sk2@IO71A3ekWKQa4B3J3Y-D#+7a_U2n%bhl zV-yXj@XLj4{h4@Dt8fWjMo0Q|HQ>KZc3|@XE4*73%_JA~F~U}E&_)T7dE-EbRK^|# zMw?RJCLOh1r*l#oN#I*x@ELzl+}l)~Iy!$nVI$WhtM+u&|9a9!uDP!AJyZ~s#n|@r zAU^5rM0j}bL>R!F4k4r~Zq@4QQXe*auY4H>C$>m6Z4Bo1MS7BXnNh3fHU|{O$hNGL z&Nu$<%qG5s#lN)Ivx=NbVm*!VP^c;Q&>wsEFc^FHBsh%ml+P*mG#CT-AUF)*j=dx0 zj&=B3kbo7(^NZ|DVnU{hI_iV$9~Rgxrd$2X(fP%~M2St72XA@l7r9UZ)opfS2;k>O zmv&6B8vMbG4fLL^<2cCk{IQlrhr92OmGeVY!c*#n>FX8kc>0~H?_RY~!w0h-H#(y2 zNn%d-X<|;yv&0PPPu)ZyJQPR`Ci>C5nD&JxT1_LqeV>axNYCawDYmwBAm5~}p`KTD zRv*|Q&Ne}prT+zYBm8~3_f5Rex21vo6fpPf*3vmu9TV)!f|oAR-hxdGt-Ud}eR%D)9Wwva$c$l(1AupHDuleg>zM9T`Sz+O=C42S zE8}Lo4|Abw^yC!I^T!3V<#0UQ-A_1ysZrssDXJefeI3*#X#_dx)4#Hj2UUTl2gkm; z-Uia5%(X(|f&j2HT+6yhFFHWuuV>GGROs@mNh)vPKen5oO3mcI;rN!|YNdp4eU#vR zl!ZUueNC0AX{}!0DJ{MXcO+FF7ne@# zV1eI%pJeLru57c)Wm_z#BYu8vPPdw2wq`HFdZ9Vs1l)UPCItTM$bAql?kuYW_%vXA z$d>nhS73a!S={hegMFjzXh|GW`@N}InmAc_*IVCp zY>D;v%{z&1aI?~-M#PxNq>JY%YFKY$_mir-nR_7WW%+BGYA0Fulh?!CY6{p+pcx09 zwwjJPRgf|8u>5q?71yGQ)Kkko=X(#i;;%VS9I_}Zswk|+kv(2p4tb4ZBl825hznY; z(%MOa2wlu<5Tbq~KGnLdWHbq|zIC7j;Yaslt4z<=tVxW#XV58;y!mzDV%7xoKvq#4o z#Gsi`HBV-L)L#>lpV|?7p0#3%*$(c~z!kHcbBXPL1SDlxhky_7Gt_R(qGT(xFi*~* zH9=Kn(gXj%FrF_*Mom9fYq761QgxwHzS})-xQ?%X$KTE9{*sVQyRPzRXP&1m6>wxC zwH}3TI}E)xPJDv&MJ}Z-bZS-B_>j;C{r6`wRXP8zOlCs^%NV_*E~i)GMtoNeaiOf@ zy`8?7LGg3_`r6A)NnQIqfYOa;eVXg1uvunTm@Y?RAbg$_Y@n*#y{W$S;%T%Qdtno? zOBEd-h6Cq5HjrA0{udM-jI7(yFU>Ut#Naru%SnHGb8|*5d ze9z6M25MLby}I%p7Zg{nNTQVcE-C`q&`j9L&sJVKqpr$x03G`V3zqhXe08MsCnQm5 zBzB*yI)RR^GK>#@iyP?jUfMD+ALbopfh*>odK_q{#j-s>b=qxpC76#k@w%=qq_;Tn z17;cWM-)o5ZFl)t=;e_!qwTK@LZYog3{BSXYZK=6I{`x}Fxa~TEse=YR@H#+LX3~f z#hql}sq?egAvZ$@ruW(r}MxWX+`d5uB!vT_9c>Zt!FoJ)2J1$V3h=X;LJXZX{CbQW*b?{u3lz9)Ux-GrzNd?zvU9uH&p$d4=ds0lyD z)SpRuT#Nh8cYLVC8-K)C-o+X7BHU2K-Y;03#gvwOnfL6|_P8c zYtOVm{JoY42{Z*=>z3RP$(<`2@(rdOYP#$FfhzJ_g@yt z)_uer3W7;;Qhx$d*dWdP}yc>hd-nhbRZsqqq;j-&F^({1a(MYudi-`R>NM zj9{4ghGhEky3GRpHfMejKSW_|S%dq1Y0 z){u7e(1yj!17He$4&wk~XuOusO&*RvN5iMrS_ReO*rjvPtq3`8BSJn{Jm>wa zu>%WhEi`G{T}^4XA2-taLA;_G&y~a1DxZ9^U>ovooE{B}Tk!za$ zBAPupPg)tLIZW5x>2o+jN9E4-uEAglQ*?K=xOsxwvtIPJE~vVI6I&B75DS$$fRKH# zqD_~Yif#q(%hThfzoOp{&e*Y3 zSlr={{6O>6(eEwrxb^val{`B0B|W9lya@Y_C%z?ki=L4~xqq!o8TNSdxi-*7Qyet|9P^-=r#m2Yw5 z^E1NOcMb`!{4B;$a|lc2E}PG7xT+|Up>u{369Kv2Iw!D?SpDbQ{>N7 zo5Rub3o5tGzstzUsz}Ca)JV>$JZay6yqzs*Uza803(6Ncno=&pe1ajt9K`uhyBBAnl?D)@e1JuiEsS;ykaIN(FCo^~pTu z)XMy(`S9b)rf}~-aFECnu&9UK5f29PX}S_S*f@s%{Ad(%0pB9WN=?%(jaF@1l!MeGfR!K+sLgAIli z^NHE}z9Y+#d*^{Qyq6LQ3R$%G5(8=~G1~Jeu4NXkm!km&on#x*nz8`*#)KQkFd>^m zcpt<=JQ{l^T4wjPmmP<6*6bvX(ASuha!TF^$R(dw{AWtsShF8lD$W1l!nH_ASUC8B zmjDxzu=Xjy=ZZ^fU1paakM%wnogn8kS%~)@^sg=e#1Y_CaG^Ji5|Dz54(nxHj~czY zdyUNoF@RUvhm9scTejPy=AqZ{(jIY4Y)P}YpqNzTv7yvsR}m8wz{{f`pvWyX7L5?7 zAMh66xTsAb^c9+}(;p{3bmsP9LX!`BT*5>R;J~Dd}R`xEnR0auPxyLgqdI zG9=-Y%B%vTi3pM$f>zD|&PTY=h>Mb(a8Ms2JvUVf3|Ru%Ss0L;|H8SYXwL?De-#cTFOaF^ z*_A@3`D8F^*u0uIW5OzVGMH>A(1JOXs}6}n?PXU#=V5#pi~rRCi0KJyCq4|rD0}4# zJGMx+b3@MNW`^VWs7s}{E1;W@cxsf;QyG^YiAh%~7Ijasf3B4;@C=XUHolbi+RXsM z1YA3*5Ls}!bwCoo~V=cjDj~rj5W!)!W-BawfsxzzrnLLMzY}{q7bzf{M zqa}vC+O!c$n+k?$gvKid%p$4dtL+OA4M_}ONFk-k>&T*KP;APMr7r0j6Lbs>D2PrP zz%qGw14r27q+-J(&k|3ghO-HTm3QZ z27l)jp&d7AK0(dI)Lp6E1jXn#smR83$9Z} zwOMk23#nN$*&1;Uy%3FJLj=_&l<>N-xhnaZi)6dVEQ{uvb9mG2oQ+%qi`javE4sm- zRPk-0@*-+datxn38z7eK3bT(@?xBOwyiJ4Ja-=9;Au;#jpS*`-8^ne8WmV&rRG7XF zNX^fYqj$aP;ek(foi+D z3(Sg?pS0;v8t9#JSP0JQtau7m|!^%me?u3eue#_-FNtl5k! zKS|gN@5+#Kic@y7tVZ4p;V@{|H*nJp&ZyeAie_?kOSZ!njqN9g4-2Oe>B7G1UZCKJ zSB780TD_%{pAa5l{pF!fPwxs;HkbiRui7`9jEd+;4^=pL;g5!+Yd)yAoN$VR<;c-% zNb(lrrAoP$%624}u}rpRG9$PDUZu?Rq@Tf}U2JB>=(_*nA#8{$RK1a7W<6uJ9~I6L zwr{-hM1et=bOooOcSvW^$f?5r=Y1@_!pxO!R!)T{e)LnmCs&IS1NecAtdEnJU0zdQ z))`WmRB)DA?!ma6Y=$0-q_LBff5I8g(UP8Cm1Ll1&1{l2BCM53UK#|hU+1Dp>zbYf zN@e~MQdkntKkD(x;HfT#AmNnE#n-7Y;t8RxmqAbbUAn z`c6O8G>kg~1?FA7(&RcGZnSZSnir?;6+g+{EzubOe4B1~Hp1Htl^^|Q@u;tQ zDpA4z2~h{G_ zcK;H@(j}SKrov$sB(>=&kEN>evZRAjFW#h{{KP$}xPwwvS>+)KDN7@%C33QGd_3uo zRN>~I+Kg9JqIQYO2QuT6R)c%@lNsXqz=GDLzx&7a-_rl5krM=YETB=Vj5&WrZ zplCD=?=Dhyq=cpn>xIWsLQ@1YXy~Ca#gQVU%97$p5mIGI$w<|l->_6ePK~#zS#ZjZ zmwAJ~ndCSzNl2B}Z+Z%nBBU%$aXgX;6JDRDO3Qn1v2H6>`zc5&#@vtT>DKT$(PHWp z2Nx%&#VIW*g8OMnttDkgN=Ay1YCtKDRB2P)Rw_=frVwq|gGoY4%Xs)zfw*uS530qq zq=fc@F#j4_yej=2N~%BYMKzR=kqX>blEUbb2)Z{JK_6#x`2PR^0RR7Z0hE?&Y!p=# z$8TS}X$ytY7HG?(wOy;Wg+5qH+u7N>y8}B9XJ$)R5=YW1wkDN62m~e8_@x>WFdCu| z3<_q*boYO1Q67slZu0HN+V;97te#o^KU;d+1< z$Klrb;jI8KiNnHubI53@YHVH_hhcs5X|4my%NTn5iN>3q&=I@>dJK%~b<2_U@NzQw z*WTNOIWUS}U`wD7%4V7H^2t3H_CrUe8eAyIHHT{v)d_^9U{k_pUN63(v8n?-{ayQd z9_=jc>KSOxp$R5;zJ%)}TY0W6$qH&Pn`TRj#?4&H!1U~?=LEMZk+ZmD${}YLkFH>! z!9bVu)aGKyh!SYH5|koYmJ-xduGuSD0l0VDQ{}3Uo5@z!ut#9@T1iDtjZ5ddv=znunINEm;s>Mjporzr62nqR z-mbmaaS2D!Nn!_DA>FiT8IIpqM8Ru6Iy@*=B6hMJH8=okX$e1c4}T3N7z$bp4c&sk zI(8iX-FkiEVXP5oA|5K!l%m9}XP9J>Q`b8d&S1iNP?3o#W~DGD9<+lp`Ug6_-u{8U zuAY4*w44(!o;xgb3Qx|AH>P(fd2(oEI8V^UV2+Y`Ms^_W_;i1C18W6DJoworXry5O z-mbo;1T9qwzneF*i@^2%^!BirO87?(DaIzUI@&XN4J{)fiUDM%Vn>~@;xi>P>KKw@ zZYI@-U#J*I7(X(cE^i~GN1`nuIG`eOsOX=0HXBNdJ^Au zUazbg4rH|*v1X|&9-8Rs%KBqqisXrNNDk}rE{xp0EEi;-`20f~)uTR91$HZ8zbu)4 zN0l@w$jz!0fvrH#E^uZ>%~|>Gih20&Y~Q3hP)*A^c%s=3mxR$Q)lDba~*tv%bSgMWMwP4 z?qZ(M{eo=G>Q8ikzU*rDy?NN?Gc5z-C`+LV22Vy*@hKybt|TSO#{=p^55kz#%Eq zDKygO@xTBHS^_=JW=dL+`%}bt-Qm=tH(e0s)WWHIH2pE`x_};_dC^Y- z%z_^eT2VDfTl~WFNA6%GuuhA630=kD&K<$alNCx)KKu0#<0L+sj#KjWPf0mN@G62% Na&M)c{{R30|NqJ|rMv(D diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index e3abbbc9d5e4a3601aaa4d361d09ffeff16a01e0..01e0c51943b0f41d9668778f2aad0a21f0bac265 100644 GIT binary patch literal 28357 zcmaHSbx<5Z6K@CvLa+b{t|35x!!3A%gy8Njhf8ojLh#`35ZvAM(8Ial?sCC7{D6bU z_kFM4pRcxRdbVn(r?PAs-h^ zKYFK6jojvvbk2O0k_rV$?naLl{~H+5FU{kkW7cp$m)`p=TOC=*8?Bw+XQf_I^22|< zajt&dvbuB41MK61i@8$))v>Jf5IICVRfwH>uXoP^a>F?d5uNv zE|-(=Z7Zi`W#Ss6z@iS>8!U4dygHSE;)}q9Ut-rV#Ff zy<8+pqHN_&(v)0ABX`Ay*IJVNG%Bdrw*jUWB(Ed?uAb_)wppZO_D@74Dp1FH^UBfe zV_~+7$>*q)8R`J+w$Gh%?^Go+1aHL<76Iu15q;gw8PIvaLSOj*avM-w-2tnvMWqKFaxcU{tYyT zl8VT7$#a~I$*Bak;C$LHttZgCGVFMfP6Qf7-y;US-9Zp)r?Zr#F zRF3rZM)5^()azhE?f7!5q;)r?s5$S(=KiuGhHm}b&Rp9T!|!8UEgLKb{8&!BN))j1 zvHsq7ier;fJK{}`o{8RJqfiiDdm6Uop|;S`t#T{@=6n9!$42PbZ64zz+y*O#1=3sI z(DxS(a)87ll+pnT&65@yVzzPk-<(~+sN_?DNn7@ z;WvCmuBmtyJAD~(KQjb{41F-jR-p!OOWc_3WM*te;aVwer!5aG$BLY>&aTa%Qn8H^MEyh=WOs3x0EV=C1K0o5r5c3n-kA2Y48#wo4MyBdHbS`B z2~ha+sEc&P_WlwmK(G*wi4rN7F*?b$;=^Ro)iVC($h$RLQoc#E1@UNoL(>I!YA&wC zwRI{$wZFlF6Q%m$_3LY@3%=9K!`{a+F@_w6r~3{32}wofw`b{I6N(fIaNkhjc>z8j zg`EWi9@qDpEcK8=={ev+dJuQBSPjV^4a^-8oAPb>99)=6T=Gtqa%1Um3pu_l`zyKQ z&JlUIEtUTkTsp_w`Mm1jFDB^=J)%&SoLF8_#$!ITH_qPf*}_D3Qk$+jnS?uNtPJSg zCFcTe=V3EOm1DWEei28#+T)3CIP|4sV_}f5LWkI{m%n~iXVmBZ8+U89^^X)yyntmp zJ!gt))FzL#<=qCUXD`~VD7(F4l~GV{TfPnEBA*e1?ePOVA@4FK3zMdbHEf(RpEym> z63`7ft(|^!v-zqiH{&ERoc?LNJCm$c%R;wrvE@U43grY=;%3*w;R#r4x|e-KukD}! zgl;)`^7>IHcb6~FV}h8}u=1#g&LFCz!P$0@@vsc`5R<2asm~=8ZZc1j@%N#A7{=VA( zh|V{`oa-;{mj-~GdXaAbb9x z4PW00e)E|~yM8l0_pye>CIW@+_#XVk7ym3kW8@ZWln93FF; z-kEp=r~R<$s}MWHBRf>THbdfVq0jP~jjh-LWfN23B6YFJy6W)>N9t`@1FW{G% z%-*u2o{7>HMBbS4;*8%nS4Hz@q~>}(HF{!!6YfZHmbIvSyfD}`?@S_efX?o?RA6dn z{==GYLYJTgg9@RTBftEbis%Jy?>QnE9gDLuxU~mgNM3_!&MQZ_G{cHe?PcC4O+0W!7(` zBL!sj=78)O%QHg~kmdVCJxO433#D?aB0YvdghsX&=4PFhGHbZ|_f+vCb~2)FN%I4$ z@H=0mPzL^mUyvppS^Imrbtc)Fn{snvcZ{V^1J*J-y;K$Er0?D_M4O|n& z1-L(Me@f^S{+xb4*KL^fop0@i-}gN@%x^zEcMUIyyzY=YYJvN^T` zmJ(BAc3*S~jRUEkj$GXJ45G8@;F2VF6q`-(m|+y$R-EWgHHjy9^5-U^fWBIBa?t}a zg3mjApEF^x|Df6nlfc3%thV5OTHNzV6!OoTY;VBGj5aCkBQsd)ko8c>m6KS zIM^O-PM;%b(<-6vq*ZpP%W?trRX~a#m!`hSLt=2I3|1$gXl4Tmu2T)uFcGwI^fTiZ zW$`8rR^aw!|BqhZaVf@Eq9|+Ds#2c}KA)AVcJJfIO5or9ZMKN6{$8+DUF`gp!iDxT z;gf)Xz+^ zL){X~0D2QJ*8>LLU_D5!=H@4uX7)$A#|_qFY&laW~d)c9o)Ip<(_`GP3KL|e>DdrV&< z+OZ-TzC~V+kN4&})~lI8@aY@d!?i&B%DV}wrO6H&{p0Fy`2*B z2FHbW(cxuYB@Xl#y~E2H z8B7Xu6e7ar#p5H)lZ!g67Q~1Bs|#vB@nqQaA7zbjMnX;$I;~RjxlSvOzVtKC(D$TD z-#7F<&c@i_+Y)g?$8LhDPuT3m*4Jojk{8|F?&joIqRDoch7^ByYY8xH5(%kqXZ#-q z)&vYihrQGLqQ}Fu2Bv)XEnP2C&-lpuvoR!p$b~u-zJJtPv2Kp(lRDX3W_X(9zRMMw zSQ^2ybHm22sDI%L-WDuHIgMv^dy`)Mg$?i%R+m-;iS7oHT^9 zK;4`kEB0blVpW;PY0+(`Xmb);hj}|n^8}!;%P5j98gv>%sPS2k8f8LlRKTCyc@L$E z+?vqI;3G}Zo=KHHuwU1nD)BuC1suZyt}ORf(cMhZ_OssA@N_>)4zNdip|^{Ld8=_n zOtPrn?dR5>2ScYaD_oX{!FHvW-jom0`6-xu&8lA-nVAtCam^8-tb}&a&PmK2K$`{( zl5YP;(vq`Z&M*k8U#9=SLHpo|o=O)cnv40)S0H;I&?7(no?(9UFwe_=!Me-BXW&-N>As*OePEhAx-CY4){LMPTgH?1>R=2~3<8O1$)jE0KFA;e!M=0<0XFL+!s2KADwB6C9F zXG3063d|i#lw`h$KLkz>)vV`eNRQ8mFz;$8*_84NSUo?!C z{?{XDjm{k}NJ({ygo`?45SeG&+Tl(R5^kir0!+dQ+9^N3kZ(?W3m33}ygKuJsMY$D zRWByykh0$v&A^dRC`p)Q9a>x*?hsOJ)ApNpr(|2Yee>)5vUh^(_H-Box}46f9>dQf z+#mT+149R%?Xma>cpNO9sVivJ@`Yu#Rw{@=+;@om4q-2M!dLPgn`JQ0u$!Y9epL7E zNxl*>EwdS&`Qon%U`h3>e9JndI#@l0!t9;q@?C)c4Y6Son#OpwbZcgR!zS-AW_I&v z747bhoA362WNF-wb%Dk@S1H76Xja%v)55xFcg+pjldpw23NlB@c2+)UN6N9V=;0CM zzym2i6q(-?_q&SvN0(PlSXjn`{`Y$5d&GDd%)(Fa6_0X>KbhIu?d3>Os@)4nDv!8*rX|TKS!5w;F;uKinY`q%`(>CI zxD~)1$Vk_c)N3~dVFN+Cv#rEQsX6clL?muN*`6;9m!N@hF|`T5F@5FO5Xp~j&bX-L z#3IWQusQ%?88-=hce6TrcgewyqJ$2Q(U`Fz{@^j zQNsl}&KQ#HP*ydiYj^tg7u*yh6xIK6n1t4R$%NKED+1zdhU07thm^pn+&rDd-IBeJ zF)7Qyz<-*amyy=`x$BzAZAhBiLJ`(u&K~v?Yj!!srKsY0zckyJmZZ%3_)I>?-RK9? zzjC6~iR-{0Nf^8QKHovap*#GR&m?4r-{U(7_Iw8pSWlCze>WdlZt{Q;Jw7|*=gaIW zafC}oD9Py(FpiPUz;R0oWk_8I+a&?q?o(KQ!Nn~o6+Gt_u~+|+d$P$9Qgv9Iwb@&M z?9GV9p!H(3TA~LcEKDp8%aybZZPBm zWL)jUdmlTiTd$qc36hqaK0M92aCr)S8asU~uhF^5ugp^r83*=q>RklPXBLeM`G(C+ z^HAhshUAFDp|G~{aA@XPkmg66d6Mx+GamkA=Bb!2V9L$4?wI{$(8u@uPh zS`B_C*>%z$^J1?hulMvr&z8=&^+>xpJDMJF@rE5uzk~YgQtB%~0^sp`C{f zrg}qxN`ESJ_GMe_-u^rwPX?d6uwN6X4QD?Trv0n%D$=_!EP`g_m_IA|ciC5wI^Bvi^z=7w$OW znCkUb$WfhP&NPp{NN)f-_-7s&-}ZuKb9L?KjW6mNC5|VQcVpbP+ouV|u>r*~;_M8C zDLKiYhS(nc#$9LT%SFSF13Pp#h~#i~x1C*CnfkCePG&qnU<^uoPj8ta@NvrCW38{V zX*is%HS@3O*mNSQJ*SnNCPH!mVCm*7P`)(|E#(dRU8rsK_+=Qyi{ zpwxSetFg29erZ*QuGGYlk2@=D)4BI2#BnD=RkbJNStq8ejsAR(jVoXc}SsxD5NJKH31l0-fU@P5cc1jp;oI&_@c5|Qw<}cqWBC-ZR z{L3`|xlZHxsPBYc?G3GsGB|s~cL5rL%ht}kv)lsW41dNp#`n*Csi zU5oEu$kzEL!baonjfM+7%<7zDD*NL^Vj+wFLo%DJ^9j{oYB6R3BU;@%k-|`7P$$yc zLMs+ikn0@%YV8C z-!35IkAv6{WEsl;a%6z2s=67Bp#{DX;1pMr`ht~|JD#!AwGX(KL5V_z<0_?rp2;rw zmW-(&itDS0bbpF#Oa%>o3_L99;UWlU6t+_G{?1?mEn>IN-eXG070<<%Z~Y8CjNiiW zrocodBp5`X@f6@*Os$^Kd;1%iw^gG_L5O3%Y3^xB%>oL%Gcl=HfHArYxcZQq3USMi z{KA?^EI+AMxojNyC~wTZ9BgEcU5OC;z|P;VgZL5sH{~~l7){V$-%u{2P3N!Nv)(-b z^-rB>g>5On!x)3oK5|I9@E}XP5j+(c4oN%To21xnT0 zz^@}Naz!EcvRB;Oovk{;ifAry&4}4bUdZ-GpZs13`Dpb2%P< z`({$Y^r^u)3odFvS{>sm_K{f>$F`$$*b`#_u_p@gNg_#y-`%>q^mwN9AMBBBuU3?L zbVhoVYio-#ZrjU1+6{KU_AJEAkjA)(#~Jth<7NXXclwgeJugCV+;A7RPP7w19l+A= z-?*orMUo>EiQ^5uLV2Ff?+zWVHHUF8i_ar;=@)#A+vA#EU+ndg4kHIE6}Sv@ND=o^ z1JCLh)HabMn6SK>xQ%Grkk;Ji2{mIEaf-I~USMi$j6pumkVeLwxc5W_f z$Xz**T9dTGnxY)=ZX}Cld9s%jYmO@Q`z-U#UnNCbD7iYTvY()wgpuBIo_R{E^*sAHP+_XplX96_EE_ zZv9>C$03f>B$w@}jX5(BRQsP)s>gB`ofspc*R@MTHx{Kq_6gTE#o}E2Wp&(Zm0y42 zPshj4kz!=Sg&Yg?KIDOw*0XiCvh4g-h!}!*S)X};7}FVDa-TMj+;^zOITq^Bi5v|) ze`}kDJJ`hDZ!ln(<-jh`TiXj6Jm z^Q`RRF-7bnyHt*|I)e@j`sRe)wIi;AesM?>fg16>%4v&-cz3p!KEP1WEGt4a(;pdk zPuBnLiV2>4-b?kYB4~rjA+-|WG$kzjV&34tGXB-r^Kr)y<QSEqBfTzQ#t-v$ zK2dJDp?F$;L*(bht(O6;!|X4OJ>F9wF~{rV9J($6LA5V~=Qm-RUq)ZT>c-|i{CITf zo&_J*nmS>e!a*HcGiRc+(KnMrbwfUKVrxN0-O$Um`RE&VzO%LUn41x@wS;3&mkH9T zYi-XA>ixm{^8V$RhGofo9&fAVPhKRXZa-{qP#}piU)J&{QjYlfplMI?lyW^#<)8pY z#WVjXH0Pct7n?WMK7cV2G{i6n(gKFymoEvt6zd&ar*#@Xu39Wo_fAT+zG0G^iK~_7 z^H2D_c1dNjgJ()RhI5iuP$2b+&s&K=9?z3kNUU&}mzdCQB3pJ#M~`g}?={9~D0!~Cu+0xa1>y*A?f&RC=T&ZqA@W64`%%EZ2sI_{jjaT<7BT-UZv zdsB_Y>7&yX)z1#i}+PkdV z5?QVL$tnE{C;hKmVgklh))~(u^1=kLUd`%i%imXRTlM1HfT2Xu`tLc4#l)?W_Ejp^ zu~sy^YO|)mm#p#{5gC?c{_5b^ra@C?2Tp~()eo&2&R$Qn>#1C#^Q?QlqQ&drtQpnQ z*w=h?Mp_eE)XVof6=L+>W3n|4pn~%xh(KlG-hx~2o`p%LtV@+ZvirF;@FNG)!N=qI zY`Ld(srv1Od48emU7CcZ&?gD%wPf=Z`gr=mD&=;8CMb7qM4ds4HH8VNOmWaI7*Ja{ zo)Lt9mjfiQ__EgGdG4oFI+tO{P{8qR+J0Ld@|laX+`Bal?vptF&M#Z+IPnb}odwrK z?|A%OHV+nUD8A&^wsG2x^JR*HU+vO`ApOPFy&u9~?k3KRR+>Xc5+Sl#0bQ~cV_*ET zIsF`?0&0Ay2uc3Qwc}hG@(!%#GRw~QB6IMmr>me6e+*D<2ET$`o|=D8H_n|XWxD$* z9{L-9IexTmc30|pv6SVpbS3a-RpgJmhpX+)IQvg`|D%S(dbG#0pG$r^&F=D_MHf5V z+sWkmlDpVy4*wn&4PYZH+bq!T?nzqeKx%V9F<@OcM;zVz_G_u5B_GGPqO_f_{(Wr! zYD}#;7;>FCn-hlHB7cO(?kzIrOcxpHt63>Z%I(T)QRsn{+dxa3H@}?NGdagslJup@ zQ(ey0+IF<3@Ywg0{Y@Vq9~7YIOB^D@XSJ`;Uw4NN?7(ko@^k3TQ3gLStRzRSJOIc~ zV=LGmyNpsyOy7r@lXt7k3S7X@=f{j0zN`x8s4f4o(R=a&EooZE=;~24rqVrn>L%>DCPX=kEb#s9eDiaygqOe)K zcX({b&b!%goB4mQI>UWJsGhUKvXIs9mzxv$dJ=^cu|j%AIp{l7A4)HO`USl=Wd(eiDZfrs`Ha+O!?i7qq?Xx6@z?L^I&r>iFLKL#tyuB9@r2o76? z5-JUvnB;Rr-K4V~o_=chXK$@-**y!nCm#V(^HP=aXa+`mxU=uwgc1jAxq9!rcU)6eG$EKA)Q2agn{(7;^BJVREYw=R=$Qm-OTlG)S{T3Jvnn~bN^lx zzcnYK;6WGr@WJBiz=mJjQRBuh9?{5L&WvJo7lqQG_#6zaaNL*7=(dKhk%ne*vfDf* zx-LPE7slgvFwLFrG3@}|=Q))}VoZ~1o@d2c?@gSv?cw8WY3nAD6-t!R!A5WV(Es#w zGyOJ@S7^|G8&T#!WVc;G$5fzvpfRG&NkKh}y(LDx!nnOF5xf4DgIy=h=dkjFxbc~B zg9Yq1#|Gf}<@j5Z`d)=+>AY#p-iuA!PT8^y%|HmvO}z$om)9+c`C$5Ch@sy9n`}_^ zacRaBXQcGr_A{b!0UF3FohnQRAJ%RR@5yIF2a8Jza%$J7SrY~lOAVGwQa0j#O5pet z)02+efH6$IjDAehz0lSEtE4Da{-|L*(^5XZqfSgxoQbolp!51GVHa~T9mlWwwqDk= zZFszrMGs%VCL^Qh`&rD$^!BAfuHJQ8@jDV!MLtoGrt#>Q7SR_+oaIq_kFvRTL!M0u z_D%36Gu+7W_UxjR{z)n%MqZS6)4yrTXx-h(@(Vedky^y!DO=wY6TWfLI24#lWY2Np z>}DIt?m_+0JX^hKAlD*6Sng=wVYv6V<>s?ydPnfaVoLpaIc(?7`A}T~Uc<0W+(j{e z8#)+=Aj8w^F2{LovTSpcb87vSkhL@(N+$HDT$;vrYP=P8-8&--yt0S@gqTz@H%FhU zV$6v;zuA4aHuJk}6Z*u5xu?purtXr()-~1qIh{uGMO91|Y7(*P|LA4Ks^i;tQJr_* zol6||D)=ajzWyUS+v_Ao{TGR)dY^RKoou&jJBI;5wA8J~p7J-mowfL2q2*g;vT7l9 zc58F{R#Jhw@-b}}3l0s72TsgTQhueC`l3gcq}$7CLAUtQIY?wPBVcSu`#4nvWlDlI4+6R6! znob^D`eu|m2)QaexCL;MR|6+=zYss4`$Q5 z!FJQOy%38s)AST#aryP>chfmtzf-S0c-H@!>3S&jL3n(y2{^|?zX@@6DiE`~%HMm{ z8+9n~W&#C^(*`fAhpprRa8!VwA=Z-|KHwEEmes_NkxvnzP6=IbU*5)KeEbDowO?JS zOB0#M^C@0Ex9JPEu|Lykg0>D>LTbhLB^{HEr7oOkNw4eI36P4ZPS|gI0Y9a`C71H- z*lK+EnCo77OG;fymgP9r#3y^|R5al-qLJEJ>UHvXydM2PAa064WfAUF>^#W?R%}e&#yQ&gBWq=RZD5fhC>!<~>2)BNjU|{}(mdgVWzX z2(Aq8{(E5W8<8EiGm(BZv7ffKrdl<_7%DOSKc-rp z4}4?yA;!B5J=d=-=DnW51lN)_G7X3io26fJ2ZzG=nA(>6L6%WgF0XvtKTV$5%kXr) zXic)haCK?<(&Vpb32|v~p`KkvS{9t4r~SxDtaXlCQYuBp-;Jw+VV4XK6uw33O}beU zS`lU}leZ{|%fPeGkH{SB5%f`4vu@d)d{r z{_Pd+Ur97Y%dcB)#7aQ6vyM5EYk#d81t)K>b2alQ<7cD8$C+!Ha017F9cQbSR}y7t z<|+JhVd!!KPY83We zTKLmDNyElPz;ox>}d+&`6bp6Q0Wr~OF; zIwS$VoDt=MYV+X0hjL)!cpaG$<3(l#M2jtE zlv!GXDmLkxhAAt^d!u4RiWzZWAKymoUj9LM|p?h1=w*B$?c!(cAVqJ2ery*^Em79V9$l`@zrS8-e6 zwcmH=9r0<~KxbnDZ~M`Yyv8u}*WNv!E;PJ#Ff*jDc3EA28ZjmTv zlO&a^xat&9N3q5i>I8>>i!OCiP|BS@X&-WjdSjN5YYz7CxGFZc7jD=@7o>Jm$?9vZ zvy_~)f8JUej07>8K<>7@>QHF~T<&HYd*5K&WOLTX)8DA|$zWtoyJ(+LL zy#y;5vtndV9{4^~)5FaF)jphS2`4@3yEGlyuk-H;Z}<8@-2VF?r>1K+9`~6v>M7x-+ z`%X@U?O%egf5fj!*McB{jO+4rP2V?e~A&F1+f?<^Xvt_0O|(_zqBD+x;cY6)r_-U z{Hv0%KbF|njPM}vOI^&IR+nP#uim@?`8tdswHcSbI$hxBjT#zpF>A>+Byh2174!9z zgwvNFYxuBCTF5o%fqw`}(xq0(HW1#;h0PKimsHF-e!FVZHF&W7_C0I%x}kAtn!x@h zLam{Y;CSqr$UVtL#6bEkKR0-c2(hdEc-hS(&6CWPyOC1$%2lzQE zVX7!9#a9)V(EzK|{%q%d=*omJ-nL)WT^=+DVemQ$H5~3q&;MSVtIv#6UVnoAXspPb z!ckG_{xs;CRNjapD!Ur}YaG8cn6qj2wT*Vb%YXaEGKst4lLP|#KC-%IX0=o zKAqZ5aS*NQ_wz`d=J$@X{rt1ZHhCVMI&q8j^S>|Lj5ZoG%lZ2su3YD<`B@;d2~6B< zdI-zoZ+%rX6gsbLb5c+yog%s%4&^03vQT4F3Q)XL$y@jMT)429BHBU+4kmS*IjmI$KuQ6;?}6KqhwIMKD$MATY- z9*)5D&(_KwL@OKNJR^o5-9uPfgC70QtS3e_|u*a6`?NXR0ZtFg+XY#w`SBdRloku^5sO!uGW@t*N&l}59Q_ULo=uj+B# zOCckquOhy7jEB{CGEG1vLLGdZc?m5^ik8`W)U*8A-L&6ZV)$$U(6zuwv?KLZmFYY|lht+XR9K%-GeuxuziE+YYt0JY=BO0ByK-$bRSNrmD({$)jHI0c#4Z zk#9zg;B~jD(Hm&gQ)$R)_|TeYWTw5VttiOnTV4gjY!3p^NpfOoDY0mm6%xw#+l+dY z#E{sbT22)^qIU;JIzzb)#Ctfw>sajTjeQar*})Z>q)?Ah&q<48J62UTx8*Su^{fDs zn2|RYS!IP~QreN>+U$dpK>^aD4C__%wf`8e4hxdcTf1|j_8zKIE-E~)mqq5&y3`8S z`EYOyuOeMpObJV{BHt19vr0+rBu+JU&@8*}y5zX;1;<3m{C5T8QG4GdLogA7f88;U>Im@Fm z#3*fO)jMeFmn~J4*u>%_nz#7Lf)a2H~B9Ti#)=#+rx6p7p2B)lM)^*a?E$ow|KKVm;r08SI!? zuEfkuE!i$9#&H^BOQ~1BAWA4+ZG^q{+U2~)%udjieKh_)hGfStvqemS-M1vF1s$Sq zh(Y+dz*CI!&~tIiJ3n?C=^BJj*zFmuGa#4f66@sJIYv95l6h?U_9=eipV@B&vH?Nq zNkv#Z+a&9iRTqO9!OdIjQ?N#Y_HD%a16B@j&xMg)Bc0IC^~Qy($&lSA$^(R4=pdy_ zf_rKHcrSZwvNEa;O=ySz%$gB?F+eab*s-ww=rP?er)@}G^A$2e#N@7>W3>-kzV+(2 zdL-N%&ptp%<=s-AZKctU79!^KtY^)# zE9fa{zJyh!s{+aanA_}JH{wn~BrXSYCl_CNG2UI?_!OXt*-_p|G)xlgRutrANseCL z`Ei|vKRF{QN`gW2iWrXEkUiQoD|^e~>I&^y`GjZp9q&Ge8xw^Cfu;ZZ)aI}*4o6NV z7ImeNxCo^_Zoj5Kd6H4WsC}Bi_I|Gda(DSA;g6B_x(P1A*KISvPN*YF})( z4uE?0-W&VQp$W{bIygfFu)rS04gyA=+U)|P)lrk#_;|>LL#iq06D2M+iUha_(H3s> z92RK*8x{<|YbSsd1Uop|?ptAq-W6^~ixX1sHP&$vX&$NtHT@ZL)pQ?+lukL0y=*}e z7bQwNagH4laHY>_$huNcD>G#0^4R_Z@_d29y@F@5Vvi~##eIL7(^;>2wu?LiB4S5R z$$O5CO`dYB`uni2ZWrAovUCitt)?{7UE@L-*-{l)NrWw+7~;sepPT?)LD|+byztSE zzzpy?S;uM8S~r1-$&F|Wu!1)9Usso^Kl~(JlYx)A!CFt{0Vb^@7BfT0Bh}yBYr)Gj z`g@I;3{LI^=;+mgBu<(bblGucmb4R}EnhVm9q!RMxodug?jIr+0{5IOYCp!RHjiVw zt8?vgG$kXC1i0tjmZwc?-dXwpx3B8TT`9N*_D^~J zcqfOUp{N0XD->|oexx(shHe#FQzV&Ut`o=|s_*fH)9(ckU{lZZgcS-dO#cejP8ZPz zh_DM-h=?`T@-V0WXOO%KU~}1)R8CI~kVXE(u51Jw`&sK+fBpCHyl0RIuF*THF0j*S?H0pS@RG12Fzu)|iz!2ut$qcgiGY$KcVbH~U^pq3ILdvO1j_+|8H0s0N<+w;3Xwd?Qe6Uf4uuP8~*Uizw z+;!*)mJ^jm*burMeT&>ieaB;F@-6WD7x{p(zz9>`2PmRaljX)5N_1;)yWye3@X|5c zc_L=rR_z%Q2*zxjgD+2YJ0{y{4mvuaf*Wxj`1sEumL3k|<-0tk{Hu#GJ zsKHelgE5^{GStxh@RTc@hdsWt47-iNjtJ1$u$Qlc)ds)OL#$Kw*}3Q9N_UJ1Q-|zb zrR_#D&AvzFbrHcNJ*QG>86vqf%jmqbbo|wi%reBqFW&}3(~IQ@B&=tDHfDW~+jj5n zSY19dX)^A@d)3F0Yq#jHe{?~a8rBG#z>F9R)2y07EZLC$h@@5LbEYasuw1C;eB<$aW2=WlZSJdHMHZNSo5u7~`Pr zuUbo*q_cG6Zx?yDyJi(k=yc7uPu}4@wzVO)iygh}d=RLo`~BQ9;LhD3SMPiG`CF6DJ!Y4u@KNL;unSSjK%5k@_GDUp2kJ$0)7|I@iEL&wFq*# z|5l*mE$0GHs9l_PrkH(J8XYj$1~+`I?m6O?rH3jw`tl*51%D{aaZRErh$pIudng2& zO`>-M?%2|Z1u!O|%k*IAey~FI(~&Q6*EBy^z8Y7~;Y=XD-bb!A<~GaKw?&Gf%h2q{ zSfX0mA?%A*>G~yP!}Zy164s#|ulIToI2RiXQD6nG)6GLqs-4#K!b4tk1a97Q^`G+( zHC0oY(aUJg>`C1bzXsazK*D*r@KE-kYE@37n&GOjSf}XH2HLorcJ=L!7h>|zGy4lj zt`#(=h%mjL?8gyR(vPI2T8X>2QcGvlG?2dNhl=$j{QlesRkhF9`|1}^A^j%x9{ zK*^WLROvG_2~?tpj3GV%wtLi`uf*HY_a?fK_(FTpO1x~$%(@aX>C|Why&yf6(;2y{ zcON0HkI~kWpL;fpv$3xmqi&Ihg2*~Y4xH`%jXzdZJ_6vc*}O#RdiTKJDJnSor_bel zQn<%aBhzs8^KW^>FcM+!z?j-;95XvxGBcR@o?7Wi-&7(f$i7MUk@vkOEZh1_qTmHQ6i1XNVB*Qd1AfX5Y>0O=ffxDc6 zFTi#PQsLJw&-btxkV08Vqx5FPF>1d zBO{d#<6!0Z`r4w2{)ZXJLyb=&m*GmNBsKhDbMcu0XOG3ck4Y5_nz`&k$}@4JGx-Mo zbmdGHe@f@&xTab~efkwj7;Bk79|1x*3a2_GI^}2#TnXavfXdyAuXS>$K_0x<3{{ra zrxeu=*kC-)D`)n`z@W7k&mYpG1}Wc?@B24m zPG;$qIj}Af8J8R*79lo5?(_iHzyG4j)rx9^=Ho}gN+MZ3&R%z+*UO4a&0#B0RS9OfMre&@#o0Ur7txZM_m4l=)O zAi3z7??y>UnmF2zw1U_Fz(HW8v&Qq~X`?(S=F?&l%Z-p2fY2_5OSJcC4R3U6wnTP= zicd?+7qvgt8JAn4wMNcnk#fn$gxI`APuI%%v1B|RVbt67MGhc6wWI8JXKMTN4Er9c9k-7uE=-{H zy**6N$nZUZROW$3=DAINmVpzS+L3wYZK_=vw4i$VG;KyhZLx=kI)Fg{)=HnY+8>Qy zU$d?SNiITroGBq_f%C$^>OL+5XdH++USX4f&^fa@U{bD%bql2)iN)om6a5nTx zc`drtPVkqSVyZlluQr+*lGGznrH`@YC{HTp0fF&HI#hs|`?|XN#(}Vaqx-O6^`CW|#jd z`q+HMx4!R@Clyz-gE2oK44Cg5j5)t@>CIjr?oDPtl$dkwqiPU6(>(kF7qFBFcVoOx zXvjX1U}%0^`Q^&Y6Dv1AdhfP7^{ec?BgRNDCqUx0$|Ojz{ct4i7YR1JK6xohB!D{- zNb$cKq+q5(3iel|8Yw=}K*FS0l=?tIcW2?IY~=$J58S_EwS@TI1`04oh%?G0D15E@ zceV>#)eyF2+f`9&S}#5@!W(Lm*Yjv z%j0JQLt&&e%yO(s@6Udn={E{uoD9Fx6D}N7wc|pd_j1|TN|!^exgafaJ^G%_`X3XPwDqZ5 z0z#XwOEeuR&(!d$^aji8T&k-}(6(c3ga|YGe3c~(Ya>b@ee!)zfq*8R)Ga!oO~RR{ z(!{4Ly(&@Z#pjBQIHmRKh(<#QH)w(Xh&9{<{$e2+2TD>emvgPTKqLMpI4bIyNRlaB zgnw|2QxJEuudM)*G7Vz2A%jo(J5V0)MtCM0HI8;+PU}vUHL9BRs9LJpOAltTYnuBA znhv|bkFmot7E{Fqn2*noK2yatu>HbINII;bC5{y+fX9La-S~VscBR++&ho(_2Q4vy zcSO4gi<|tp@?tjzbAF3N6FfE=IX^p(hfB`ysQ8U`;n6G3R!bZBjfx)|oO1R#CE#0=Z8vZu+&_MHY z8aiwXb2;}rn(_VoC^fp@k??5A*N)L1yl9td)I>V@=STRSv4ox_7_n4> zAs^XbZ_mDCb4(^&kZ9&Q%+VSEFYrsc8%>W#U(+49-xr|6HrbK=Le!z!7xe1a4eQpg z8|L-%^Afg3Kja{JIpJse0k6|9$CF8}^wZDm;`b z96Y9`u!L`qGEiq93-1ewH}65|GMs6BquSiFpyRsCxuX`_PsFw@c?%y3Tl68foxZVH(B3g~ZD*GN15hdZ3f9AQF! zJn;aX$+F|Nck3cI9EcbX9B;S}>pC;s5j6$FsU#V1#DxqbmL&P$-Tl-z38nU!4BG|{ zaDP-xFJSSR@e7IlcIgz%hDb`-)SK<;q%$)9yfW`ngoFKw6>C%-8Tq&B5De$y9Ri-n zmZTwuyI1`?PJ2QPSK+jIqTA1D`xH6i62f(}cw_c8V|Q=6bL6*^YCuUPr(NJiK?%v# zJxIO=ervsxPpKyYFA| zf%m-ksrLq@{rkGiEPJ(wR;Q5q@7-co#N3)J3u1nbawHip5OrsVNMpk&he4BEC6taS zhvoNGXNJ^)hYh54%6{L|Eyu`6eAhL0W`JSk1Z^Kd!fxoUFGdXs-Ls?%sEhnBeU)7C`7mn$c!udq(c)hF#ciFy}Fx{@Xfa4DL+h2tDFBiZ){}g?@{oXd`=6mgY)y$8KQpWI{!lHvUfZ z7;pDKux6#6YizqSonipbN18==3<$U`b*D4|LH}MB1sl0f^*f$b6en)q5IO{4BoMCS zzkieZ99BfF*U&$eU>}imQD)aUt1?q;mUY%98Y*gW-koGyE8%yG7dnunMlFt12=YRg zNHd&(7#D~{HMa2oKPH?>Ax+91PqPhC`ik(sYy+VLKJ(OPryl-qOE>|gXCaEMhQrQt zmvFYW!1?~f2b|r)+0p{%k0ZO03lg1KaO`iQtj=ydHel8RjR@s#((D%Zr9Iv0I`XOH zLr&PS^=v{@>7RuEWxKsPk%G@9$z^>0Jn#>|GBfoD2Ns5-s#0zD0G)1}J;`o6Ri2R% zE~ObGx+%yO>>7kg|9(H=@UAI$JR3Fr0E#m~S)U(Fxai~Kn(>ru(1U3H;pU*mZauQ` z5SqkY?Of%8t$X-9mGt51=m80Lds=DYS|7Ui@UhoDxa&G4CYuwZIC1u{K&0?a2<3Y9 zou{#2vgyNyW&^-o={u8b7sThFWV@qRwyyqMEKV(V2=}CzoK501`A6h~j&Bz3B6L!Q zj2m-_YE>LaI6Kw!tm0`cSVMr(Ygm`s9zw%ObwGg+5CX&W5Cq?OL1kQMh#U8EdJ} z#}W?lfDRj5=)*is+NwNDe8yVn!&9g+BHY{iNiZ zEk3JT=!5@BTatg)rQJ}IKJ320Ay#>fq)oj2Qo><3g@0DJ&}WYNk4XFHPS>!!F~ z27=Poq|fT4Pi!rZAA186ec0=?(dRQspK;-!&sYn63}>zSbK<+Pg@4A>m$WVEv$TbO z;`$u@v%aN1|0%KwdrS1O`MSy0bCM1As{dLcO(uQZT9T+%#qw0$lk{28LLYswyAyA` zIJHeeK}J=TWuN{;;)74wOPf9tu@>j1#OJg~VuKS2DRKz^V>QvH5K54Ro&PGwC${%= z518*y_-EAVn0U-hHh^c+C(umYLcu8!l`-YTy0tKUxEre5Q+yy`>eH#}!>2~?Xu;>Z z6Q7O3UD}e*w5ln9Tp#c!4B53-JnUBRESOJb6H@& zuZrzu9HYS8=y76uGY+Sb z*?zRYK0SOMPtrEX*2Cu!hvStMd(jWwd-!bAJV0Og>~(WUB;La!khOazaL(u>Q<_Fx z3OGH8#~hzox?wKh^vGsJ;WH|P0*-a*l&?&j&2F5%RH-bR(KQ^(Dg~S#+4xol`p|b$ zdn%(5@p{w`&C4>`7(=AkT*moT$LE4R18IDmPa~1Se`LF;59k_B>{8}q=-{l@r%49I z+4G9Q`E1lLR1V5#o8GY>6o>PmL2>qLA{`WG?#03RoY1qZLHS%9g3kp_T!ZpCq=SY* zaTW~0XNNo6Xd9zP6sT=v^K;eyA?B)49VQj{^vnm}o(2%(XG2-G+JOfS>tumXqFNQ- z<<^oKoJQGdaC&6BCAA z>s+MHH8}fP&N~Z}n&8~5xtDWa2sPRIza?913Jp&eO&_5Ow`{iu9G|uSqiBO3#E&Og z;4@DLQEO9-Ha*8!g78Y{v)}D^_^yW}TMwU~bFs6^#ZDj;^$9kK*WLfoeV?4lnKY^C zOf~kXV$-gh?zgRv+1RdQ*`rDq_(sFQr_4LHuj0Bc-u!&c8K2oAo&yKF)fx}F>y!-# zE_Hn3*+PuBtu4pguS9|&M)&Dss%`l2VPepbZNnc|`b1J?Rs33Vw7gGjjO(K!-bS`4 zL+pEa2%Nn`;P9+rP}x|&2F2Ml1kS1wm)zN4 z6V8@|#8_CW2a^TadYHwzB95QKE$ z%lk&J&&475jJM?D=eG_2v=|3H^P7*Ii)B8Iv2*_Uc4NWY;8c0;wb1XB`&?Wv(I&VM z*FE}Zixb+|Bl)#4D$%1#;P5jE2j7BoM>_OUvuKrZJ{mCN__?Fy_}T0BRR?A3_zknB zSD8;@6LiOh`}J+9q-cx&I2&~syFqggI8FKhCqb9kStUHKC339|fRn&ECbH}CZDvG*yk z^&M`{=jNRreUh01pPKPwU3yCR%qfr4p1#%q&Ytr4u`WF&IP1&fw5P8%fWx~hgU0o~ z@;EiJJtcf*4Z-Ka5PbHQ_t!l#Sp%}IE6)ekrKbevk_OtKcDp!)K3j&+XU7owoM@>J z^B{>igt0yQ3%RlshEC&{;~l0wse99z>bu-rwNDQU%+@+e5dGRA8Yt7(&mocBOAqI) zn@8K);8;tXU6Ejz6L;$Q&uH)5n|f5mL5K6SoX@&NlUUaJ)bGVMsjtO>hEgp~ViWpG zv`*~A_Wp73V#eW_nc1MNK6CX2*Ms7;9Y1!qVe`QTUV!SPvaRDDCGLCM&Idn~XmZcQ zc_Qyr)6{e?^X}BgWIGZk9DV6_ee5u|lPKHD_9Fo!ezRm6~MpdokwGV=ZK} zZ6UJl(hVlRL<66ud31sfO1R~?zPNi)a!HJbvVY>|qoNIvZGPHlxxE-9yAn1gA=?hg zHr7Hm<32|?;a$*#1D(1#K0fb@`XJk;r?;EN z!bUevM-}9};|m#WV^o?HiEt`@ER|-11!?_}TD3TjCq4|%0~)8sr|F*Q$A{qaOKDw$ z&l%Nu&H|YxK0odFY>}-^w%A;@fz7>x*x(nOY>WjmsYy0}M(phtG0U<&msELowA@~RV`K32f)1D%A>Fj%v zu}WndY<4ziJMY*!jT$!s&M#PxwC)KAXgRWqh0&e$(l5T0wp;$>wl+Z2kU(1CP@!W!srpVgu&ixhXKB65)RjAG0-lo^$W>iG8gN zyiegXrv;z)C4I2%^DX&&uV|A@pV=+=JeBzDR(YuB`zc@BD@tI6~*h`MJQLswJOi5+B9__h)8<*c`P= zT{^|TN_>d7CCY7Z?3-qYgT?X<@7_W%t{3IFzL4hLH>=-f<#Xx2o_ICShN}Db{#1w0 zPTgyoYh~ml;4?-}eDrFCQrQNt2(b7W&r$8;pXDbZI;o7KSL=e#OVw|) za0;^ZAm($`$;8J!U+8eP%6hMl`0PnI?*1ppVf`uf+H7beMvu0XEz;9xV}*}TOdsgg z*)827h0iV%KdX{#&elD$*1Gg7I-a?XjE5_Oy7kl}(S%Ln024?Q)vqn`E=^ zP}wTkRu3rK4)JI!n;uVxWU?J@A=@7&pRzve9Zz zb=uz&XIYXBob@enOtvEf$aYk;N$_EOx6$XGBpWf^)&?fqnE_<;K5Z+T`E(E)m~3kY z&_`WE!Uou|jotiS>~`J2jK)o6Fpq_h_oIubL2o;l$>E z(}Q?>pr`pzkfq86oDTiGqUAe$Gud?EygV^P#=BIX&7|E)J*otVwbB)wE|eO(*;ts} zp&ct?YqsuLv;1dPIe#-7ME)j4MlfBPY<5l_o69&~n3@fzpidc5L>q8=1e>2)CRA?H zVVLO?o69V0{J=-IKdo>+5o}tWt@C{bRVwrOOWBt`4f^!>$7nX-SeH)eKhIQSgB}6x z^GxvJ+X1`OiSbq|8-2km;B;ilE5qVkZ0R542cMlTu48+T`qn*^;Am^vDh>=0oae6r z4)Znh0lzv@rDlBwiJcE5qRb)dbY6vCRSJB1n05}F4+nbHuh|v!Nj<9KJ;?@h)l{QT)j8E( zl{ll%sPgL69{B}ek3N6s^f~JEQFC8?j;T*rf4UUvQ9nr$i#aO5A(LY7W*ltL7AL*h z9=^Go?`kyBoIX7^u(=nzZB-_|NqP*T)GeF;wo$&5Yku-m=<0qz44BaYc1ybBrw$(v z`)v4C6Cm;X3q8zEc1ti%^Fej+cuh1t-XOBXZ|p}B{ZffCW5CkKo?!xsw#sF>IgH|H z_UF|rjxX)207;)k)VKigA#zpwmf!O)5 zie0gqbb))+;c3zIvPAu&4!M3_7W~d$ft1Sv44Nj(Co}z4fs~S`iHx_W_MGNCwKvhU zIUu5U+tcJM!Dc6ZR|C7>*_wFiris)s0rRozXZ&jci@uGrFdm4RDpfWERz)j4x?x^F zulwuN)AWn}t8_n};>==V5Lt{SlH{_?z@k;IwOzd5!yMVQd{lmKifiJ<$C4ipdlWC- zzO88bIE&hM!|G`E!)xL5QiBhi!fEc3~|tynKw=9)D4w zr}sjhv7Yfgvr~Gx>avLDb1Sl-5$zG9!ecIfR+)J@$Mf@ij(0-+S*w^way-9Qf#=t% z5EHT+Yi7jjwl!DBmHEuGw+n603va7RXnNf@NN02pyaY2Xtp-hO9nX5{6_e>+!ZY1V zc=!|DOL(+-ekI-MLq4Z`Sz6keIztSbP};8=Ff+efne*^_7QOL)6?)lK`AJCzqtARW z-aOM0Wb(~Y79tj$ynFX!YJ{N`^gOIpi?s9E&ZhA z;AzFg@`vB__1hkM&*bBeJ~a{_U!*STfcHDeQ(_ETB5o6<#ZyM7GWnEhBO{*_ z09ZeJY*8QV@!-8H88k6W5V9d<8(;Wu5o5HPxclL|zFuWaEWuW%^w?$tusPa? z^=A9EA=T5nHq>IOLw2keR;=mmQyn(#N$^D#_U|N{>vgH105-3ih!zWmKjM$xzj@o8 zs_Ti62t&KH>Uh2-RtyYBdy@VQSB3q?tHNG)>m59tb576K@R?TN`9H3J!w_5 z77szGW`8gQrJ6m<5R_{6QKQno$!BIOIPO#K^%;XwfX<%IynZ3zfuimacCu@3+ zNb|oXq|IyIu>t}?q}Qk;rvA(a|2~+Vjzkw?^IMpn2x9XPqQe=WPeEr9tV5=62l)>~ zDIcQ8g^aa5SCryt1gXaFd0X=LYf>+}<$^U2FJaBgjGh8=6Fwc*&j^}ZjK$3(L6EnF zh%^13jfDN{!Z0KM*b}Pul|A0R=kA&uhgFK zwLagetC5ipB@2fO{lmiGl2OCUfZ0|kcg#k`r+&!v?I6ETq|YQ;Sga3!t=CtDuT}c0@U=EaeC~`H zZzJ24mC&)|bz=S}lKr*G4Dy$BufwG;wij-&-H^D!Z$sjSm>C3@w-%GkVWbR#s{v)W zVU&JS*WkZ$q*@8`k;T9{uFT-rJaNw&6*1+Exh^5&yoh}FRUqHvkbe(76dtw))rAKV zu@Y2UExQ5w=K=wJrCS2?SrNq+Y{^e?at!>yqLSNXYkg`u};5ymJ|(C?WGA ztt};PlV|%-BT&a1lLT}vMDDmiL>X3{)CS!xWW%64;Riv-CTs3~*xmNF#m4Lj+ud@v z#l~<6+uiODf$feOhQM~m97ABcBbFhs-SN&4*h55{^VpEVmOSQSjm>MABd)}KYTX>c z62i_oO{rlC$_IE8E`1YjSpMFqxk*huo2F4U(f75$l&Etf3GUbx@po!pjhzS@x>M}L*txwEXw56@>_r#K!DDVooR#3!UvBT zj;c!K?(BMif@3knbxdU(Xp_J>C;T>ixZyP%N=s^1d*Bn`)=s%GUBHLKG2;`Z3jccq zjJ}Jq%@qzhksMBJaR0fZ<w^;Dz~v72iz+4h!sHGx{{1f8=MspCEzU8 zJY+VA&1F6bCE$$eq74o=r{I}v`@2YYT^RWeUBhXkkI8bQ;BZrEc6(0#X%lZ|O>7{P z4o)A+9hjDJQHSw`!c@RG608MaMs@w269)$=vsTzt%D`*>NdYF$L-yZ8` zp>D`9IDSr~ZZsl?@V|$d-ELt6pM)+wLiZkhZ2in}B7P5x(9GQ)l|gV!{u>2n7`xqQ zHn>*$Tq|31XJv7{SQVQ?qMqe3XCs8TZaa^1Cq)A1u(H{)X`K46?PZ)Bj;cy!*&;0R zK{wNfyExm8SRX52l`6=#S=so+IXUp@nM0UwK?7&0>g&>Vw2fJa9#x7*coFAmbum6d z6|FLkpW~lXKf{Ss%Q${7=0A$!aLS14fIfcT>u_S#{pTKs1kPIJHnP#51kQTpHaOhF z7|vKr8_=HwpSF8)uMhFsBpa|tl!M!D!n2GXyhWs%*XXrDIgkpyxYY$}*?>>t5gYV@ zC=Zd73_<(FLZP>%pMEC9Q}85OZZd$%o5F2dbaJ;AoVkD(Nr88$2QN01@gfX7d*DsW zApF3!GzcDdi^JfpZHf0#_|QmY7b4(%2N!Ep_T-Rek@6nF{Rec=%?@zl%+qmch{P8w zEPZ$&DDV9vbw<($LppKRq{BqA7azR!H0{n6dNEbemGkrCZi-{J&y_79dFD0p6ig~$;=_< zV%a@bJj{Q%1)6($2OYviRr9bQ zrjyFUV!e!4j@@LJj_#b)kfQwprQ$r;WY3R2!!hYi9h!k)=O3_`dVN&Edgn-BF$BtZ z(Jp1Y$S;TGYn=D(&p%W3rWW@f(mJrIV90`%7!&LiFS-vA16!QpQ`Ld?1@k~iscB#E zvap}4?85%#LXsu!^&$~3XOzCI!*sw7N`$w$51}H9w{;#%FBTZ{j@{WrD^??Gd`KPG z52L@Ov~LZUthGyrj2Cb%HN{IF^Ct``l$CzS$f=Woy_~>$<%~Tm3h_GbuVWoNvZX=f zJZVTO)4Ca2c+J7f;@2Z!_>fl@L+9$2qUXc^em!dXP-s6G{;g7FjedCEE`B^r6<%&L z_-*P4TCLOA446z-YqKU;6*Gg;tj1Y4-df2r+FH)I2A~6e*wcj<)d99xnHNYSxSJok zSH5_0!)}cVjBt8_=Kk%V^{LI~QZ(U<47uCYTc!!qM_O!x4*FBtoB}S_tiZggsUkMm zBdgGm+6>ttQq=ZdcHmjAAF|fjR#gSI`hUu{<@|>$$RvOT5yMH%q>E}&0p0V z1efu9i?Uu54KKb8i5p(H8xl9X=r<&8c)@T;-0*hDkhtNk6uwHHs42ay#|R`5Sv>=KFbG%zds@L0Lw~W*p?3R&QgWWPxJ@&^dkGV+o`z`ip3xY50 zU$t){Qo3W~dVxhXa7E3cC#<=KN@0Ej8cm6VOF))Seia|U(pRM<9sl68t?9$uF5#9;dH=m$Cb?n zv3D8AWCMq~;pkFn2B*#jHovv8TZ|3t`47QASe3gf%6-Xa%t?Qx%zfnM^f}ARs+VpB z4R^laByis^I_y&QeHIJ*Y-9`_(Mg~qqM^H;jLnpxpZ7S=;*XT{=nvBQ((gu!|*q0=DH7=oaSlw5vWNuiZJg&^T zM1EQbw8Pa(>Um~up>3DAF@{-pC^<8tY|0x298-Vj^SRwp-?@q2sDOJ-BekLRA>EFe zymjR<30InZoa6(@!_MF;zTL#`_c<}xJZJewuQ2+Vrzd`*u7n{`JUMjr9 zDi4X_IrSM8e7?%d>I07@3SH#5r2drTI|RRw>Riv!HGDRyjt+BG-;18A=lOZHfkV5M z)Yoajv}-GA=WObbGWQO&!-svdmxp%C>!pX)J&&WpnQ;B+!+wSs+baF7ui;X{dra|k zNwP66_#%Xzvd041;<)J92;=h&>jWFd$9%m3F+5+qRIMEw!KUhiT*Q-?)9NR+xtS+8 z{>Be&OYlz$M@69jdX@V%n7F@qR(hY6e0NCi^Qu#xQ8{1bV#OminkV=vm4`)x)4biv zbJS;-+UCo)M?`0V>XT~c8TJMBhbM6}TlMD!=Zoa{hJf{IU#n~Ca%C6?rE;D49}^G! zR+s0f&$wvaAsJ4oMBc;dKTjFk5JTtHC#Qc=u=#?~K3DMd;xoIz$LzjIeURsXa25z> zRDBIbykZY%8lU;1M?B|p8xP`fmSo+iKJ%1$-e|F=>c}4P604qAIWHJAjJGe(DmHSQ z9qPM8v^J>RsBFC8N5;4K4Vw7%j52mRoyuob?i0~jl6STGpw9yNkso3kSAB`9 zy*$2tHu;gZrhZEkjbYXm;%dL-*d$@b5}#cv_o9Q!+&2BLnP0sQ)Jc=_o3|Y{#D4gj zI@x@WO^FXHi4m@`Gi}(~@@e5cCtodA8$W2fL^k6`3ofgU-%Ka`d0Ba%+UKf$i+teu z&lcW%trLe-u2;Y3)Nil)5u=Ng>5C6ac{l4$@>K~=+ey(3rD&}cZ*L>M%pDl-^x0Uj z65Xj&9uf^}w>E=weOdU2l8lVa-MYrV*l3Ajakn~6s=icx(MRAgw{1~uLV+I&p;tZZJgA2h9h zUAaSYTogX`@HVmj)(&s0AD6t0OY4)D^ds)b)QRnN;=??(Lv{L}7s0La=W)rnN_Lqg znAw1mxge)&*CxjW;c@O_cA>Pd$q|W=1n%66_|wI0YKPCt)epUAbLh!G5$sBJ*z^N? z`Tci0Y_X3UVD~ixc01sC(~rHSct82RrvgJ54Z<9BF*kH*K*Faw*=fH;dGqS~S6&tV z%89SLD*TD%|N5%%SFXNySbTgp@s44!gY`1&Ge`SWXMKyDiM#D7hR6ETbipoRsp{P! zk$X6Hwm#@Yw(AHj<5;B9->2AK#xc4%PQ)+cSnCW<^o_T5lidchwe_yvYOMHFu-pgv zTcm3%B-J#;}0RR7Z0d!MMYZFlvy=~H(*zY!K+DgsF3PB;F zV!;rcnY<)Zl6mQy7ikcj29&l40nro%E4uLmH(9!I;mQxXQ9+PW7ux&*|A0aT=|ZSo z2_ksjbdoT(1}tdXzq^Ib5G+h78gy{>M+Ot}8zk5yiI( z$eB!N!pN1oXbQyJ@gFMyS2*GcTM|dtY}QQ+a9NtzxZf;r*wgKFWHFgHZMl!4;KQ5o z2fJiaHD1t+oN2KT-9+|pZSEz2CUHOmem9E(RPV}^k3V)arc!^D8w_Wa|kPu_c~exIsPnjNGUSZZ868%%kU z=6&p(GcV%eBo{+&PwDSRKUDU#N@p^|lmn$*c>Vb!@DpMT(XL5ZIYlnK5geg9=ttU{ z=^{{_QlC$5@`$_Vm&mQ3swSoIH)(PQeI95vsaU1*Pl^;KWcES#iHxmnUxLDwO#)|R z9;9XDSlUtZlx&x4VJ70oPbLznLo^j2`r4a37D7rG@o%cq?wJ~OZx9*oui0d+dVO)e sdgXF#v3g^aqTp(QGwQ{R1^9PK#rG+=e3KTEU7I$|D#jQYbclY8RTA;YQQ`|L00|W{LcXtWy4uOO` z{d}L9=l9QV?#%Aa?s@I**?Z2Ny)*m%#fuj;STFz47cU(CJ-q+8ww0T^os08FE+KX< zcFvEIo_3CwANd71KXM9jaC38Tb8-oN)KL8R)y~7}ql~4U$G^3Nv#FoOL`mr)_**5pstdsr89zLsmKta#$9SqA#4@Ok23!xf-f_Go5l* z*dL|%{_Gq)?|0{Sw|xF|=6&w|AYv0ZzUtT%zOLHeTd>OPQ>wHai3sIm$}=2d!; z!_N;m!d=Jg$PTroX;Hp_U$|*?4aCD_Oeh!TD(*memq?j0jtq7H7^-C^{NEmN}|@5j7u*NuZJS^11j(ycB(S*I^HUxajSvWG?U^A+A*- z?dd%loT-xn8h;k&ha7wxXYqpI)r9^vT8Y%l*)DO$`y85!n&$Uy}d!>bmfB zf9yD|i#E3J9K1Y!htjpDUzx~GFV0_J>m=(=cS(YaqE##G=-#|OJ|dl>9cmIUaD!*n z;Qb1#Q}~gXSf|P6_3Gz%Xzs6r4Rx{Ny2dCD@f_TkTSq+XKeFwGc=ho2xIkOkS!R*- zWkDajC5$N>8%?jBbxnPICqlAV=els1fMGD9*P6|r+P*Q&{C<{C142SL&Ov0HsAE!1&i@*xM;0!cFHJzOK$ z!PntK;-dl0x;?_ntBmw!>Si_ky}@$i=nUrM{XUkEdHt&`!8q~b%n{)nfnV(CW5^0U zhoSwJU?*&HSHLav@ilUI4TQ2#PDZsYL>2Z^5CSN`7?yxD-S8SPe z+AzbmR?Annb;=swn!oBVPj+n8aiX@*lw1q|#OF~JV2S7w@)6EG=|jp1^XP+aDoWzT zXXwKs-W(wHWw6PpUGQc`E&xd8mmdi4T4{}@Ualr4I+Hr39h*)DLi<^pFYY1`?H2Pp zImxYW>H10rcp`ro$#YHixP$Z5A%m3Fg1EK+-2>)eq`^`*D`=IT*D%Im1?-IV&0Jt= zQAWLZO3xm&~-D9e7w@cTR-7S+@?;!lQt#5}A+VaeIC9tmlA3ixQzNOLcj6K(l zqjL={oWakIRwHmZjdK)6DE4dhFQIA2cMJ$KE6lohZ z5&MA-u=yR;6|O3u13vTLGOB7&NyIeH=SQBhlBM~FClw$Z&MJXLx9Z3&p!wP zMMYGpumWWTDTNl$g(RXQUvkR9tA%}~kFZkO>V>$TTaCOCnwvGvDUX+cEniezLPu=t zxAW8K(k?YYMAo`7tAhXBX{nj55jS9rv zFd18}BEQ6nAidAw1x?jFf4XFp|8s~GW=PiBlXeKrBkyS;vF}}KE&M@3 zH6ERc4;%t(0~&4%63^4Y97*In~|H%0u?ykT{Z!cjEHi3 zz^%LRwZ*_8^^^o9cNrd?!#kd1>dW#MFq7DB6s)M zpGxZ%xM)QGREwUhh12MqjLaRXU*~0-5Ax@(HpzQ`Or3{)JO=eMD4w1$SVKo;{}W?w z{vo=atDwU8hvBPZkN&ZqN+;~|UoW(h)yd3bCe4LQ4>_1=YD$1f69gi~cuGA%Ss%_@ zBF85?f_&XN+Mt5GF7yUGq*mfZX10h1>zFqwzH4Q`Me{V}^)^^8AAAP&J#KvdbXYHTLJ@0;sMEn)N<1e8-i)O>qn-`o%7?+Pp5eVCybQ~{D}K^m+9KZm z&|f<85t!;I!yfUO3DBN|YpyZDk>u16?MP`G^x@&;Z$YkR?6qEn6v@L6sZ5RT!?zBm zqUQ?s-G^q-rH`07*V{{U`VlKH&K|dJ;?ZF|%Q8*JzeBu>ZraoWTCt_LDZcH!cbtS# z*Va#lcNtV!lr8&U+Qj{KLZIOh1PnTTUrZpyfeN%#5y1D-)%{C`- zMugju@By#8Zz$S>p%d8xkhOb%oqgH}BLR0P{@0~_zWzd<+dB%Tyjm6^f+}088k`ZeA9AncswdWs115vmzl@_hUcOrU?MVEePsh65=MH z_O60ny8kD*5RI4qUVhQkdBj!!(S~$soDO5{Eq|+8bKV}Ii?VJ<6D1bV@u}gRRJk8w zlo?tPE2LbOWl-sQ^uRmT=^0S!cg6<)lS!zo^mt|~)NGYk+ve@RAZ`Et($uBV_fM>2 zm{@G`0<@wzJ81CW&#Qbw9T0e9=&!dZn&yZK#ys1?Y`3F0(UK$&R9k@KcX#1pcdNL) z`A`pEJ^ox(1_7i))$ei{a^lit?rt#20Acb%EsH!_v+4E zLdxRj7$IcZgpTjPU(y$TT33lu*7nO#o{hyw*8E}cF|7aO9FSu+aV9;H;a1bKJ0C6s z{}e_<0Fc{if3#-lsiMPYrJX|~p|@}4mH7Y+dj2Hhsv;Q*j3Xw=5Z zd<+QoY?*KLKC=>YQ>yJuGZms&8Nn#^jj8^S&o5W|pRz79EcURR-Ix@d{HtF#Dk;_d zXOJLOg>F25@%0KvYng^(#|BPIyDwAIb=C+>wFZb#a3-IbMgl!|Z~~zPhwi7#V)jh7 ztbvXlS+dPm#l%4n_SKD66AVhBy!jbfC5jEVFYofxelg5|q(rMdEIC-4Q+P)d24OPc z(m>LARo)UQ>}DzCw)s1z+urOtXI6B>4XW38VwD#eqRPZto(kgOuLV7QkUPAh>nRc0 zKMrhrL8g(}F9hX`2Vb$$tH73*2!X3IFIo&~JAu!!RF-Wy=)WozSGgiENrlRsb?*z; za2)<-Gx2PsoRL^6Apv=PsS|v&S>N*W@gxxubp!q)ATm5+wZOg!Y7w@QGuWGqf$ zkZh~C-%-00kLl9!LxIH~B|^wildGH0RJ$)UeqhGUSR8zjwisD^%YV-B1KtT0gJZ@d z(gky+u_7h<4LZ@U{j)0yg{oPp&Y3U|JTEqA^;j1}^j^-nX2sm%F^ zdZ=yt>WN|lmFwyCM2!(qUsJGAz8&h`y%x^5jWK0Ki|aTB)l$7^+n2=0gQj%KJKc)C zlf0CrNqe^m?pdX9f%tHG2=&whXEqaW3irA>B^m3JSgls~fp0NStn2#)W@lWoyh_E) zJ#I>}>S6^EF))m0T6F#6{u274;ee`E2`iRYLo50=M>A(oR!%pf3*!SG1@Xj4W9#oj zGmZZ9?kaeLih)l3Eu9f>M9XTC%TF`9>weG~dGy%OW-PP6&`&bs7eWRc+99Vs+HXFJ zS?E{)y>R;~y%<1beXMIO8h3p0XVz=`(Qhl&rMMRZp3d}iOlY{-bWB{r8a>ydg0UQH z9T{$PbfH4Gmbm+GRYMpFhy!lJd6?g@tH^T=gdR2dyhHg z!qTs{{owCpJcAl>%6Hg*+{cFF5Br5{i!etmD+(jW|MbqxgywBkWW||}?a5ypkobow z3i69?QtjO{l5s4L#zRXlY@0?mv{l@(TMXPmo=zO)SyM_X$^?v2P-i`c z!`nE;)KtK&7P znSpDI7}8E4LXk3t#Hu*cic9E?`ZnXRn+*1rji^%Azks_tR)ourF-`qokwY5~0~pTG z`T8fgkpe%NCL~kp3d~Cj!3f*&Hj@VyNnMEuynwjjS-Zw^1Yqlb#XF+8=;jg?Fa6mw z;nV!E`F^+i^o)Bp zJ7Zn@$L7XSJpk)mzx^u%pRSH$M~XiV2Zkqgz5qqt&6M6@;=q)tKMqw#%mlMpWCnD0 z@1A@Yp0VNZaNY1LiDsGkabd-=K|Z_2CK{+Pb4R{!X{b8$J)!!h*G`V-NwqKaM4w8q z`!nRIGi+a=MIt=Oq)mnJoYv=2$hXC#a11I{0UnY+v|6IovgPp^iuWQY*&hhU5H&Uc zQiSic1jz%B!*{&D%L6BHht4k&5YX_Q`{4ux>B#!I^5u5E+!lFsKm7v$-3c)@E?8M; z=N=y~agjA|{y?TIuKGg>uT%tBm^gyWAY@!mZl_=DKNBl7jaZP`8HRQU69|EJgicq7qebP)2vpql0m zoA%_;>;pwi!=BmGwEn6x4>lt2R&2ZfHZY`I8lcV1)2FG-^H*0Ou^`(dH214Ji(Cn= zZ1SDD_q^C0WiqgPe?L3ECLP59Z&_nXXXP$ z)z57ABJ8}bAIAtf+k)|ne1Z7EN#YZjIA_A8rzNBzWW)}A_&1dgMq1$56@qiX*2}8D z;ME`DxSl>F6c<#E-69)51i&v{+>=aXCVN->EbOHq8I2o40@X8He}vI!22w7|U4bIl zqYqkBH}%};PI(c2-<3Z?m1abL#yjRkIJmXoPMV)fQM>T?y#zDr2CTP|#2;f89l`GP z{0XSI0*$|mt2)kdbxd*%bl|4MY*?C=jD?Z4q?1EWt(D)$tW@eQv#r%z+-JywNg0Sa zO3n@fI!)=7?9yeDh=d9^jx7$ie%q~voPI+I4R7Krt+!0jZ`aA%CE zA;4fV4`v#n4bsBSim&u&#jjWQzNWsKJth0$gF2mVfa8gtLx@@;Q4bdqxu|gl)EX-_ z91eMGy2M=h)?)O@c4O{gifB*B@)lqo?+kSaoyHs(WtYZ!|H%l*1k8c4#bt9{lkCR@>c^+JYY(gh!c1^9_S~ zA#}Eu?#ef4ceJv zE@4IiCvK;8qmz8k{Z^S6|;+ztnS~4 z(#y&~kFV}mu{UVf+p#+Wr}y@dY@?kxP7dUcJIE}X>z&(`+o}fVeCubMjj989zQUzj zZUHpt13tsaV25-mL%#o8RL}KDWvHIxiq13@03CYy%l|X?YE>hHqBN4uN_!`g?_7@@ zrEJEO_JihRcO*#TVe@?97=Atrp|MwZN7FQa9TcISn)if0dmVM~-H7EmsQHGJvvT*w zvocN5+3_1egRtT}9ESMec9xV=M`(t`%HH4WoOpJ%)Jb>~StZK7{PBdI`efzAUQTjD|Aud7XpAScHE< z{Ql6jav7>P@&}pIzLM$`7r7KTY$$eDToI(^^stB|Tww4V-1n0#piUkxF8;%>imO1a zewQ@vkmlk0t2mF>T@aH$|Jz0$iul3)g^gY~a-cF>2BXs}ddPYS?GtOdFp%-zG11z; zhYwVuS|uTrk9DscWM+~}o>`8LMGMjrg9s)o`YlJuT1u2|qJkJ7qF*}L$AYTkT>*@F zq`0ur36x4yqS+@!1$~;+uD3j`hYt}kpu@Z)yT$DZhd3EPIyMQ4x`6y(GWS0PoEwKb z4hzg=F?*jc533@%buqJLmb!UQ9ltyGLQRQZr9iuCZ_K&gTQl>_4G{zuT0;EbypHco z5@+RG9U$aOckl^2*hRo(giyrqwa z>^#x>5IC(M>!}ONo%JqBw5}7RKC|c$F9TY408spo@VBs1Ayb)Rh7 zWh%W0#=tM|h(#A2Dy24FDxJKf%k_0fQSCSRVSMXAyU@$K=<6^5^a*s79wTs`JGR~V z&8$Pr+|wlXvK7huX{_^7@&1xy zbIV0VVa~H&^bfCV)^Tm#j|5i=#V^4W%{qv<*W)ZZGMVurk;J>gFjsLAnRSt@l9gk& zvd4e;j2Q24IRepqJe^jUt4mp2G$1`b*e(8f2oZFp5P1#91NZUVjW0lk)vc<|50zE*tsHregzNm9TEYuX!|E<+gb~TYla= zb<-JFHDYmFTy8*l-s0MJ`*x`+!d}OoB`;FaS8|?*i>bjd+2?}y^3l;(r~Fu_70Hy< z6HG}#SG->M)w~jAA(UQ@i<)Yl9ZN{8d8;>OhPEt`W}F+l${F@E(kjC^OKJGn>bZz#`E~zI84%J~TW);4_u&saK@Y-l!4;N7V&Zd%#=%_s{Qez* z6x6W$l4B6Q3m3Jf@Nh)rCw<7dEZOMtNFDy0Z4Z~Z{WhgQY@J6~wxOkB(fIL`Skb$j zviVt@zxV$gaeOdck{WjO2n3|ZF)7vVHh6OuTvMl>s~JD8QTspBTvOq!&zRjdbrQZz z3i^%`ezX2bnFRVDdgx0`bWo}Yw#U$0I!SXyEbS~Ln?JtPd9_8K70XsJId;PUV)*;i~{>|@MX=;_u+xR*-m@V}WkRv!9 zIyW%ncbs#=Q=g#bp8^Xfde$j@P2TJVS+Gv~TsIR8Wf{IU8)0Q{13FpCXasVR`#AM(TekU+tx>a6i zuqC$W!`4?yt*cJ8Q!{guMrj_H+~*_}v29M!r34;(qwmyYoCV*&?m_405-IfRuwZpdiWMNanbkO}*|S)fF^l=H zKB8RFoO@InD+}Y%Lb5h1VpMn%7|k77@R;)OZZwMc*ArwaF+Y6q(`~Y!W}xH#Rt1k- zph9Nk7jL2`!}2FFGHptcp_49cwr?h7(~d4)Ww`;0nb)32Cqa)2h8P?r`ORDW%~g< zIFN}8DeaKlzyq5;-^&b^0T0$jAsSw!ufe8WE3irc>2*5&0ODLF3hu2M2d`D&LLKa6 zplZnX9@2ioFmPZsEBhi00|<@?;nyRn*@O@66%FsB`fub?dxvX+GTXP>TC`mF&e$w# z^xLX3{II%DOd@c3aKTyd`lBd6%J`U+muA)IYl)l`^(%T^egU_`SuAnX|9Xs=bfI^t zj#rmQKbt>o70ipL>TlXg5&Tj7sDsd}@zbIpV8@5QN&Gpst&eiHNJuiG-x z$lhVfTWUM)e1q$f$JSvV-{o?MB4dp1rSv*;j!o@e zP58M`gR;r#%e5h?%5_GDA4-Q--Z6H_apnP;6|PVK-E6+eMdFELtd4r7kF zA5MEa$o9v`Xm#|m@bpiV!Ercwg$ zdA8f?@KGa|!{j%(3nYswu4(Maqh)OL`g!GpUy9gr%jQQ#!6yGUhaWZhT`vFNzdn*S}3HmO!I=iA|~q*$K7H0I;S=~NL?CGKq~ z8@2%LecnJNZOzpsSDLp*nGK!fGf*!2imP{CTf5I~6-70WdpJG|OuZC{8{^#nsO+6J zy4W{aZ0?BO^tli9>_eJuub{g6L#qk>BMgK&Pr186_wH?KnSRx*rj@?~OJH}W#X;Xt z>)y)(lbp}tD75{H79EjQFTZkG?(hk94Ns1`r+##`T(yv1ync=>UYdv zK&|1xSeO=JEZn*VYZJmw!1Tkx%gH3C@WuKDQ8ypVjr(N)FKM$43(;QIYqx%#x$-&a z50;#l-sO4Nx*)7=F4}y@S~3rGQldmrv{_ckWUkFfTDpe8_lg0oChf8uwPp{Ne!f_4 zg!R}mVIXC^`rx>RN+Aa16So_j0Fo%iNJ_gq!N~?9L>SKrIlNV+uEp;&sW)Q%@oA%+ zAp0Sp=wbfy5@0HFrZWn&%K_bIRC!DkQicQ)mOr>ke@GIH_qI{LDA&tk~5 z?^;~ztj|54VT!%-T(ggdMIvrLi{~MT-rAFgOd|9%KOPKja+$a91j|144dr#oxOLGS zN?`Og<1|pdKm@5-gWglypYx=;8g&leh8REVlN}U~NMG9%H%!P^0&81*UoYenOpSlO zQ=nXRT!4AKW;OcW8Lzig^^jI;b78q~?_02y|0W&N!r~c-n^H)1m8Dl(!%%zb+{OYa!fWoEO04BQB9%frq)De-jKGpnWa2^Lp1y zQf@NK-H-n#^0k$es^lc<?^0OE z-dSy44=Vgaf*SI_9PO|+ENN7BX_f_(n zSM$66!t-xux__)uH}P|2`7$k4c$gBtS|FZe=Zw(b**U*OpI7n!ST~^nx0kAaiazpi zQdzaPoQip}cQzP!<_m(xb~r}}y(wkb7CoAdDAgRa%W94Yr77V>xK!q!*|$4oGNl7s zxC@Vv?R-l4>Q7#^B;J*P6?2vdS)Svixp ztmvgU_JRz?Zp=-1vzFVZHMw9fX$BmvNC-uIa<$ZjB8WPz4clBt^B4!Ay%p2g-dst( zSY=65okI}x5872aG8kB~kcX*sljWQ3q;z^?-XtTsx~PtOP$b5A zAbA>5b*$Eyxnp`r%-YB5#r?$kJR2=g4nY|J=dZoBB&*&WYsCE8BF@NLFYE3sI-LH0 zkW>NFv80>1y!a!Ho=R&4U(YDrF(OJH)3K7P8*4hg}z$d;OHn>!Dz`Ntxwq9)G`f?R-Ul^D4f0vHNj!_pZ5zQa9b(|G<4` zQ|Mj`5@2uM`ln^4Y21CfQ)1qFFz)i9Lfmq}H-Xu3%(3EJDnx7I$xV(t8+gd^Y}k{} zZh^OsHK*{KD_Vh_evcdgN94@Vl7Eaj2N7`7AK#t$u@j4_4cg5Yv{G+zfhUT(x@O)+ z&r$KvEsJLK$Nz>2rOqkBuJoUdLp(>7w8*0NAC1E3M7;S3uNG6CZ~~kS?Z{@6 ze4YEZg@*B{hGnY5ddX(-lJu;-qtLsTMsPunUphmf;lIpw%a?bk&_h#P=SNIn+5^a(Bd3*oa%kpY|_9~~Oj54dHXzpHaCTr~#noi=w zhx*|sO)4EB6K$Bt-{PKXFJnV}y;I{Qx36hJ#uiO1D5VzTKm7jYU4T~GB5uil+bXyp zwwJSPYLd)I00cDe29MTDE?PHrZbQBe)RpfX4>M?ur_Mpc8<)9VKY6eg8J5_V%?WF0 zgAFa3k{g#1Oij!5Lzd=h^HI$@lTwY#pvGm{!(PKcV{L=o>SonNERTS%bS9@_HAz8B z&CZNfmlCJig}EuZ`<9tYjoAMs{bo5Qaa>E$cZ#OJ{`U$JnsDViJedUs?e{I4+#8o$ zLCZiryRJCZ@*N0Cj+T(YF0`PU-(c1tviVE&xS5`{Q|uf+R~>E7Mx2E z1NOk8g)S9NY!*5t{jHJCu7RHfujA#HjIh(I7)AQ<&_#zYL|cPbNdUYOWeE{4_%Uez zZu&Cwu9xVNFR=(I792Ji3whvmdXXfN*So)Z{}DR~+g;^@=Qnx6skZIIOV+kIZMMDE_G z@WZ|Go95p@WBAJ^4L~{ibL7u+wDbK`8W&~7p28yLp2nnhE3J^e;~F;-U3aW_U#i&} zcR4ijR~qP2E$=_XGO5gOW6{O&2*i1TN?eMC4NU#4!EWoKI+_iF?Fk1{iHv>k+YL2w zvXd@9lg41x+oG|oVCUy6cOGz6IyPU?alN854-QaCeu-)SzYw#;%tdRzmm)lP3qLKA zR$xB3<dO^v((I7yG#iJcG+j%z zVEz|!`ZkTT-a&FDbYvVY`nxm1V7)N&)VrM5xz0?2e&5tNeGdD%Iwg16 zJ)HbrHIDrAYui%})v&DP*hAK-aP3T`BFZXCtOXkn9eFklwrL-U#&$<%0h0W-pYGGb zLvt**>^8^KP%p#f#~u#>r0i>Y;;JkKAx}C_2Wj5%RN2Lrag4C0b!Ia$u>5I0?P`W6|HAJ&dKan{06Y%Bv)Gak^lYsN6V^?2oI^!LWE~0BFuVj zc9DQv;Y`AkH+$$&N7RDw`e!%-iT3JR=;o}9MOkE(_|>#(t+>1VMAK(RT;A4hIBUnO zh?I`DIxe9TipjhG-Qzf64r$^T{_)2pEmt+Xf`7ZA7OuIy#Wa4mUx{$^Q2~>mAOEG1 zOC%5ku=!)K=@H{aH@q`Y?D#JlQE8^^Ggq$)i5fGvFM3)ke)=<3h^llVK8=hYTy*?3 zykOs98Y}c^ucBY0K^L^~VQkjR&M~fHm0A)+5!NYS`$BfqnN)}0Tm-UKj}^=%*Oy|} zRzo6Nr?wlO+D5NEElq67h0d*PAD!ZU6n3iWCc93Cp4(zcJNH9tf}@1h$oALS3@7MI zvuCZZE;hBPNR3cRn*e}fX3MyrzAOJujWam9)h7VC&GQryRB68ofHT`f%~|Yv=|vZf z6)KLtVNqWc`yJ?Ir}`y}ekLakmb_f^Up5uX8Xzg#u-&*`R67pbux$}3XaS&M!-A|e ze&?mMAuU~D@6pW7Ul3*;SXz6V7N-|Hww<%;lAr2Y-Z_6tR+Cs`IzPnLwr944w{|z) z30~bQ(2Fg~vg7zS?Ex~Rx|GsJ{fo6N`RHv^j1g@o?Vo9RwXnlaoxV6J_}KCB++ zVM|R6c_qwnGUE>VKD9r5{^w_}t8;NXeH!SL{%;Iv&92^7^#+!A)8G+Ua}y#43A@Yp zOU>@(N(DabZ5#Jg%)0yi6YWD{a9W6i5ZW%|3Ml88cr)!gs_p58y3ZTfJ)rP z1gDb*z)j7)EdFHtydWT=#rGrLm5)k;*P_MP_;Zgp?$uyYqM=oHs_tWX5^ z;%mG(#HVXG+4A9i7o5D=4{NrhkNv)Yr-_M$`_PueqWD5biNgzxaZeG;5s=@secbPm z(cL@~yNS_Q^055nw%VMLmQp19sv^=B#2Wz&BOIw%__R#-IJgHJ1&MgMi!`m^@kao6 zpMSY3btG>Xtp-u+oQyx@`(g5j>WEYC3SqJAd5A2zFS>e&dDNxRJ#q{*ja`?ZA}~W_ zOf1D;SO6L|p`lw6GZ0nH>6m@)#J97jzD(osFrjauTylO{T;qknkiN51L4MF&5u39Z z<#PX7KkYO67^df?WI8v!bp@(*IbZ9JYsAC$EPObJSBh!0=pR?zqdb=zpON9Ue~ zi`2)&vBEpsH9F5iE-X}HN81e?mcZn7Cq(fho%d0e4!<=@HbQOB z=D@{eEO}&6>Wdsn{|4KptcB?L%Z?et|F+CDmXu$PFFNKHt>1gn&`hzbv73*cGn5Xq zC$=NKM$Bs(a{Rz4!2MG~r3F>U@$rhqXNZZ5pS0NH66nNgSruj$>G*4Csl<@_ihK?1 zZ4qOnaO{EdCseDgNSbjFZQ`{MFX|Mvc+4(?rw}&|D+i2LI%PbT39E}4T&uk6x)lxyP#@^2KgT`2@k_ji zbRR~dRoH5O*TKoV#`?1S8qo+`k$$`mGY`5knc>wzAtxZmq}6_YI>2J6X5CcOQ=i7QACt zZf9KVJtNlR%qJ2qVhq-qwzAFZVgprgbUiy($?LC>E2qwR5ep`bIpLbQ999_&Bc13A ziVvFR9i@{lqJ0^ysi)gEl@ts%GY<}pdVIz=PE)DW;32)zCRn zxXzWxa<@xZFAMzCanrkRD-{vPcL65f)Aant4BygOSx9}{I{#6epR{oqs?)>)S?zXl zL4!!)AXI22D(`B%Q1R>~z$c0aBgf4amMyOQ)Jxx52}sCMe9XQ5V(MM7(Po08|2Brt zS`B~>g7Lw)f_mcAIbC!!?P*>pvNVcmWkG_a3@kEd2%^`o+|M)HpKvqT-;b9)rUjYjoLOqphBT^NHoC$KjeOQmn2!e7bX z&r8qr4j%{XuRX9V5Zwik6wA%EzZzqN<+jy6AU8F~lmfoyJLvg`j9m{7^NFe!%1~;s zX$p(CdDoJ0w(RJKrXKGF?BA=4ud{uo+27e)8w989${q+z{m$2mc5{1Fu8A)++U^*6 zxN>*6F-ci9^}c#oA<@EtSOo}AetCv1_bTlAoTV0VFT`-OX&kS(A=n%vRM+`3Q+IL< z17ri8!+;bNH#{sFDk}f(9F6#MDKmQK*>2Zw60g8pnCRTJ=Ai(6;sVPC#kh|9&Tf`# z$FqfF^(S-z1!$(i_)x#;?7sCuOM!$qh*60%cu9sxifgwD{nTRb~r`@517o!O^ zc8rB2XH$QU&E`Ul*++CXk?V-iEuV@u=Q&Nf93ce6AUXrio`&iEeKY^d3Jog4f~e|P z@r?NduFyTQIsLz^p_{v$Byx5xB$V4t7ae2<4gCRfWtCbC$rAm43G$xIgY;&E0|O^A@&1iK z=@2nv-Nv?Qw5$nJT6A>8zgswTJk~mb3J1dPOBW7pxx~5s3`+OkfiVAn9{&GqyXNi4 zag+k760M7VZ`I}S^`QmM@r{-1;&0C^Kr$GRPZ!7cbXq1wXv|j~U^6bLmUeu@-PE9x z4>wt;MGjrm0R8%H22}VKcMBg+(u{mIp6?o!Vj_=_O5em{vbeMwM$|e9^_F)XLyX2l z+zpoLj*OksMdOHR!IR)WBPHnI9Ic?P5Z9-EtSso|JBQ1b4|3OYUpo>M!PO3}-pzW* zb4&1O6429&%%OgyU}c{DbT2&<$4hU{G#$;m)yT)Ko!vMKihpjhJ_M83aM)j2+eI{1 zd@{aU-SXP<7xcVr1og0kElKu_yHq{ECnnoKzy!w~qHOj6zIQ*5zUEzyqPOaLy1!h&u3Vk`u(JRyHOr+!#~|Q8-K2&@GD{1CjH;{L;}9(RGlCHuR$A6n^!#+ zXk-#{OvStO?dw)ol3AH9NI~TDuUBNmHW;JpS+zu|q#+Sz zkWBSj-^JTWj&rPL3YOGe#Nih-_ykPLf7D&W|9kS57 z40b)g3i&#)?-8&*{N!-QnReE9yEQ(`;fKBUP@7$H0P)d0BXX&`{dDvLSmr+-fA$)A z_3Za&AZYGsZDk}#4?=OxHhh#3u}?gAcM`O&rVJ7dir>(W3_Q<)^BlqURrJqt;B*7~ zVdeG@o$iSA+iO71JclsYkfQ9~X3nb(|C#}X>I>V`=;2 z=i0_7=h2oX=k-VLvO|)4Y}Y`as?lK{DT*fOIny&9J?C9%_Ki6APxyWeMC%mekAgu# zl1IFM+JhbC^}pfYmA?1SY98gULiRxToL6AK<@sp>t$8MQla%vJF^V%^IYz;Kx?UE0 z4%9h*{gZji7qF*ZN6J@B*C!V|HuA(&c&-RuTK))4!Z zMa>(RRJX6+hWUyz4cuyQp@Oeuqg{su(UM1ot~E^6h)!l zHIM;6qDA{$K4Jm%X_0pESJN!xgxxBPXhm}~(rLG5XPlXvRCcdKes4h}=~~rhMDM>u z_j$vWD2Xd5bJTW|HbyDR0EAW-U(X=2mo!KRgW2R6jm56)j9pFcdCyt4yek@OB?hHpJV5vl_k!4@+NYZ=gd zyh>!DqABp~rPnu~fg9y$D?d3p;D~yxV&8OoU%ZR;fNm5{_XV}k%xDAHgqOi`w$o4B z#&SosT<7Zn`(zVNFy)k_$=?h-?E}<8?({uFrK$UQBGqG)h({P))6HX+7QB9?B7%s?fMMdY>DIcu9F{H}% z>_Z==eR9=iZt`6Q5sXVN2{ftK4LsLE=P&(0^HEclxQu4+zfCIipo@q@f-wHxZtX#1 z_t2*w+!ZzdqpS z*CYmO%x?0Q>9dL8G{ym?zM-$G#x zD|kB}YV(gyK&6OAp=kB3azoA5q8ToD9m9G2Pz1po)mP!)K}ILCz$NSGxrOb*n2GD) z9;_S!bQJ?#GMkh8uNV+0Wg4ObY;mc+oh$DqO}Sl{C98sIqZcf(AcxTf5=860HmHUr z2Up2ExHgYSG;JA}CjJ}41UaN?)zgcb7skwBoLcjrxa z+QY_*U+VJMe1m}YC|`8?zkZQQ`${DJp+DoGtM(;^`-2>v|^>+-uUtlj++ZSoSvb;QJ9*V9~R8YNE6Gn17mcAY;yEh0YfZ2YHhklflLm zjpnfgNS3XdjYvW6?Vvy4<|m1cOBS_{1dE~ka%mL7t;OG-YRiigt=G>DBSiR??wxyF zm`}amoQR1kE*~$7 z53;fziuj><$L~310uJqZuN`K8tj0s`JI~jO8wCQB8I3Vj;6)eFnc9pX^;0d(e(;O} zhV^5(y&NpHYJoNA(1Js0W23HqPC993B!vELe7y#SNam50eOPc*!o}`~tp;AuXdyRU z;2=+N`Wy%2O~)hh$oPZg)7WqZ@X$Nx1Rg(X!084#`egQt!LSs+2J+YU{|WOm49u23 z#t<8O;#dl!fwO$|`0ABQS4rJ75?>N`RE0I_nmUw5(MnGhk^f)yAu@umy#|#UayS##-5+}@S3Gh1%#9O#VMBhIcR}&z;WYCmPljf2E(NE*tpSahI>Dw z%+x*p;zwV8Y14~KUYdAORQ^ClQ)4H2Di$QjNrK91aV1cmUL#~(Y*2gvipl(Ch;K>l zi1IVag};6(fk(E*N)tLg3iSVG1}NsdD)WD{(3z7S`@jb_J!SU)f7DQA|5a*#MZ^(% zUt)sp538Q-|D&>8sXWjs_WRY2_b0}euGu8RLHQSytGI(-8W8S}D(k9IP+|GR3oAwD z&+5>pD(9IGAOYNwctRxn@P-s!W-B8F3qF$0RVG2=>(z!IFr?65N4rZ^5+|SXzX*sUNkiJi4?fQ zNseU*yvbu*%-C@4Z*$N4PQ+fOm5JFCNtxKsThUSkD4bS?!u%x03e`za_;t1Q z?sk4#5crK0rzz%ts8wa;vrBI%e^S)%Q91tDrsw9(d!K5(>Ejv=x~p={PCe<*qxNbJ z=LHwjXz~2uW5#4Rx>6auxZi(!^LA99_Uf9BK*We-m~v9$nNcqpvZKokA8uy&MG>ew z!6@f%eDb)6W9c}1#yzkOQ}Qu^$cPqvr4nq8*?ETZ-H%8i)jP=}gG6V}2kp`*?P#djwmv6x_}RHO^#_#Aw(vgdc)we922|QI>8M9^AAg{8wxS}4PB|bto}Na> z0$ry2Ntvas-#?X5-CpCb%QMVucAuL%KKIVE81N+yJ*-S(^7u80!59mZ$HUc=$M-3| z%gJNEa2UsAc4wXkb@;qRnZ)G50Lx?fk>;@+n1PuRh&FLBkHWMcL){B@lgGSfhG#{z zZt}351@qbvf!`+LIevdma;dSCs^WJdL3`r&4@DbN_0KA^MzQZ$X>-8G1PP1S z5IbP+5_TvSJ8!SnR6V+4+}2Ct#)oBDM|RH&I>zde!C0ATN)~q9#OiG~j@A4d$ExOJ zWp6PbnXd*l;HRChYPL4GQy%W5L0FBa+jUF||5BOta^gKpH+6{l3WUSGKdt_>gM{PJ z3CF)hnMD1UliY5*NB8Fqe%GH;?YI_*2hLyMoz<4}QA$>15){^3B~aKTUVcSt zsvF`<$j7`J5(h`5cDHogs@m_QK`K(W1oYaYCePJ+^>RUzUM@VG&N{W!; z=xM#$a#<)5XNiVc*YMX{(9-{SfIb9g2n#-crT#z?ubIu(wv}AoNJNB=DS0(^B3afxX_j1Y%m(`gm++n{#B;0s5`a8+EAby$v@YL z4Z9PTiYeE6TV880|4b`3yR4AoH1M!;`9BTKT9p3z_8V<$`1!bwV=|7F8z)N)JNV5` zlOTJL(w<(Z zZrG)K*fUmGuX5@h58ZZ|8kip|ek%d54Ox(`XM_yR|6gwN$TWW)PRRAnR~E}e-{LM@-&#; ze)jVVeSIqDiEiI^kE3UuEdCu)_<6iLVZRjD#%L+@>rQzv=9=}$ExFbf7Kgy#{NhAh z%`eg{VLm2G|CRH#Nl#hMgC17m`7u`F`FM$V)jGuWHrr*EV_2>a`y4Fp^_6rf(w%eO*t-Xd8s;4$ z&#iKFR@dGRt5Ux2e=ePCPpI@)!TI*iF7?M|2bBHwL1=P)(Dt^GW|Rdyz7MBjWWTci zP6v3L+XIWZNEXv#6=!vM*=YJbE!S+0YE9%iC-V63y+Lz4Z7)iiTz8?(X!;#KoVo7J zgA6fcS*V3;q6P{U%)R=-xNe+#=NfX1CP*pEjf7z{vkCH)F~NNV>^z6;zB`1^i3t+; z{`wg~ z01*<%+$-TYK40B=t#6x}l;Gmo&e07F+F|l5%Gl%ik9^Pb-}})8TOU$gLMR8}-77yl zx&oEUi9g3A`14*rPx+;{B>uJz%Lg630N!}NAT*xO8ejVDYrgBn7tDP4F1!xDEmXpr z>uAV0qU@Jp*EVAZ6Nz}`5wLrd|Ck6sct(2ajXF2>`EfkN6A3-i}Sbq8%Ord6WOYrP!0XWc%mrqWraI%WcmuvHP9^&Ztbk*0c#bD&BV zX%N8HAXAd?G^rIbK?Sl8lP+Yme4ZA1g$L})N4^!NU5|j_>(#F` z#LmM>Gz4Lmsr2{mn2WZ%XHc5%0mN&G(WDsvDnwKAYYDJD(%c;7=Qm)pQ7)zO+-+Bv z&vHlbx>JVbn)S#nx%S$F*;<0;_`>f6uqQA20V56Mcju$)f#>fvF=y>dPK;wCdlVQ) zWb}(QhFpA=WxMp_-Hw>(71afAySk04%y_Yv(ZqIjFl^TZgVMdCU$sowyvOH1NEH87 zIj>Xi-1?A?M@Ie+XJw8}OSpq&vpRL|tY-@ENEE)af`Z8omd!QPdCr)1;psJNUVeVl z%c_lxd_MfqlcxE0@9G6KI&CFY2AAbRO|y z=n;Tj)}r~Zqe z=?5HU5yOe?Jz~Y?^n1N=Y$j(s9+43)rEPO`Q?(6v7Z>ri$Zi*;jP23FX1Heje8TDD z$3mRv1D_s4n18TYjopGAiF7EICn^u8sCXL-_Re$r=S3w>-o#XqZB>htqSpE*gN1ugWk^KG8v zoGU)7TIlm+(q~1|=h+te*!~fH*0$8=UnPAWO!~}eq0iSP+4czcjQAW$b9_@A@DUZ# z`lQdQq)%)W^NRFaNjCI(wxvE_N;orJh08eI(852flf0XgK8srT=f608 z){BqLiK^Dl2fv#5u!mhH10PH4LX)k(EP|lzP~NRcdP~wLidb#ycY&Zpj4~h0ZlTXv zr_V;!wGZhrex(ueE5$YwZ^RM4kKsUJ*yH054n-}gY$ z2mHh**eG=i#m9r(ah;#5h06Fqqjt?o1n6^I2(w!7`M$&leHOIjgD*+!ONoJ*E%C>6nKk1i zNpgX`IBMY2Bb({mfYT$}t|9nrN@5Pe=OYd$RYM{6(j$n~qt6Rz9)-`iPLynHM$P&H^Kp{bQ#s&R1Q_BpTwtRlT%{w(X zJ#&bctp=w@w$aprY-d$}P$%uE7@Nay51)ljHrDzFx!9$oX7x=3V^in%XHpG57k{sv zPt%q)%)RS1UtdgooopTYR@vg_#0_uCanK~2;ohwZ>po>p)%qBGSe#b|&^yyLR?>M_%(zUeRnn%zv;)d=$aR8iTHdv4tIh@#`;+H^G%M=F5NV3 zgX8x|m-KvH1y18w7?;WwI7#~6g1%h)9TexVn-3z@YFise=pPt@57!a~m2DV4JBHvh z49@l;_&hKK4tvHy?Z*9*L2~lEm4K^ekHLGtm zpI=ISknL#8b3nW23J!c$u*vMCYCh*RKhcFf;+AUv^dSDA(`T#jDs0_j19*^3Hrpd< zs#EG=5n6q`T~!z3)3itW&43yCtd~!Z=;S_gsOIzaPM`hy=N!hT$v+|+=%NqL?-)*G zU(LtMHm?6r*#_s$j?WQYr)h)ZWn0x!HuNA-?h}DQ`D`76&x|4X%+g}iMmDd{#+KK~ zz1@xtq0iAF_)HAJXRrPpSQ{I7eRfyxm4naykGI?DA^4oH-n)1fq5h+(1%5kPu0Q|6 zjRoe9!#3#$TGeB2kK?mP6Nf!(6zx}yb12P;OrOhij?NrMYC3oJYw%gOKWLh({2Git z6?0?!-?(HvfarznnDJ)!O@mD?@s0?L91FbNap2an7~0wJC)@yIStA zJx<%P;MeV4)pJOX!G9z%!Z+)+uUepo#c7Hi!*7pI|9S9t^e6j?nd!k|HCr4&qAS_| zXNJ!?E$}my>CiNX{A{AY9I{LE^}73GgFBz=(dTEKZ1B38^l^KN9)13S!$F_9vm&1+ zeQa9@k24~EQG)=iCVjpyk`4D|HVW~KHqSKaR*+JUDxq~-A=}-r3(euZ?K&J}B2k`_ zY$saC7VQ(y(XqjV&K*fj^L2WpIq*3l0tZ^~F)g_k@T@k6+Y?S;R$~KQG6;4e4v_6w zuWUv(lF_dCb5G--EO5IdBj@tOdw92+o_6V%Y7V{IjmtKY?cI77DWg z{@E}8ENtPQ???pTbDyql*tgN6o<=csO2r?!^Pe-?gN$ll0jO!r{Y=8e2FG=;o@eoC ziX5u>SSv$%lLjs4XW%sPS?l6$ww^&rkD;Ws%P2x6r%$CSvMtavCB{c0ieEL(yB(i7 zLcEyX2W*-{KJECd6OQp|8mGn`|9BfjQU*)x;OtGf%T%tDZWiZF_qXkOBJp;w z{Bz-JA`!O_NmO%Ta}~DUCqXx+IEXz=^4yH9@XV_uiZAw!z7^QTKz_ z+6zIVQ5U0TP58`UAKwD!bAeube*t|)lOZ*g)Y{tX({{eTQ8@Miwb;2)Hn>rIZj`N8r3ikj z%7b6bYe{SlaorQ#cK-8}I!$N}J*+v7{&7hO-NSLD5r28Mfg0~7?N>HC>K3QktNCn9 zIJ_IXRrBtVG{>`6CY&BVM*=MFB~}QB=O<~>l3&YbU*f~{7uMDzX|Z(kP7j|)5+Cd~ zu6>B<i=v@KHr;UJCpbvXu-$c<3Avr{Vn-y zNqnAFi9Ty}kB$@-55GP7>`3~+=dk8@vzrcU{bT2I#%EOvKK5=od|qkE$L>*%O9%8> z)`E}SKRqNq$6E5S=fxO%@UXQc+M?QSKM?E_zan?s@gbJ##*f|WSdsL(H|<@T`0&h* zx{n-Dxu7MVB_JsA-Y#1=^C@k0!nKWA5SP0nu=iesT7OHVfE;YZ(A%l1G*NB)hf zo86k`kgrdC4oVnk8%`uu%_rI?&b`ES8yt(t+3L?ZLmM39!}Yph__W2D=9A|P{4=QC zjt$|TWdrc}59&)|EburY@bgI&x+p))!W3i z?Zqyt|M8YMiA|W>`TkWKJ|3qnpOp!TxoVdTw?!K&n&M0letT^F@nmcMcNg=p$);xY z4LA`ULMc6)aM)jYoCxmeZfK!AFWf{aj?PV7)Eo9!uxgIO9x zM-#Pp&7+4!fPNy$c1}lob;4|X-1U$iL~A&v*cla`;Y4-@jYsxtE_2CdKCP+cQpW zPl1f|XI>;pz1nelT7IDqe2(Y>T7?aI`0yM($z&VvwL$6;`|)ef)ff(CPSyH+gX6PD za#qOJ!{>`mA8gRZZp$1W;>Y+nyJb;RZ9%YUw85ALfZ;@NAlM_@XA&;D&r+_yNj-8A z*R!~0AE_SOxpPr7Tl4+RoVpG=&EK4A;LMP26|(ij!Kq{e;_Ya4?DQ~W&rgC6``HRU zfV+~-2jH~5w`=e5GX~};55i|u{n4onj=F_pwtg_)1Wm0y>WB8{lHK65SDTVS`HTy1 zP#omDm2f^8ERpAsnJw&wPe^8K%ABfg{cCAHU_E6nsKDuoYoj>`AG;O+&a9SW!E8W` zBHN|jdDKMdDT$qRik;aB630lj4Qx)te(>3mZ0+_GQ*ML1TiU>`halUA>iMl!HsX0) zN(>U$^yNHY8mFBNzCDE$ab4pdLAukwPGipEjc>>0)OC=XQ*GEWcd2?Ft!2iT8#E5k z=X&CN*4f~0%>@-P+A|hTyS0R|ZTI*isi1z34gOBz!``3gL+o2_kzeTE!{_Pb)5XF$ z=f<|0)whR5;9&bV&Pz5bSLoA&_|c>f`-GbPL62;DOgpsUALjWsd~B*E-rAlw{(JQ$ zp$}u>fcAYHH(jSlkMD(V@h(zdldb7G#eYcp;2(4{995O7{jJpVuoK4KGMCD>Z^tBFP|5`nHZJFgxY z0cW;w&UL~2m>+^Ezqf1p?*J^Yq z+l~ScoOQBsy%H#W=)WjQawW?KxGULz zWMWPPC(^er)%wJJbewlyaWNXPy7lq@cjQ~uVY7rW>iJF9`0aMj`<~8|QZe2<><_Nl zYSxQ)J!lRqi^qFfN^j4KkG$Dg8rxgEMn7k5?Yc>9xBvSU32|P`T4Blmzf<__HGs;M z;eU|DfY_vCk5~S`Fy;_aDGUB1c1@NqxPJr&zqQJiynblIdP^#4j;gJRCOYP1S4QH0 z^78!hlXVeh{332Qp6OQw^LB|gi@lyv(T#`HF#*dSqwU7Ryx*D2Rv|(sW!-_**~R9- z4qC(b^S17X=j}NNUbj8Fb(n^km!{q>Wz5e=Ye?kSF0RM5-k6Ur2gHmwSH~P_*+}a^ zhLz*UuN{m%A4~p!j&WVq_yu-u{LOZFoL@!mQ7O(Pdu54Yy;VJ#dvnTiGGCK($}sRE zAA@Gt*#T%FL4`o?rh;yp!s`K^a|2 zJmSdn^ZySNUY9hC&vfvzU2Ja!J^1fRj@M!b=yne?7LqGaNyJY>i7st^Y<+GACQqh4DaRiKU2JZJL4j8p>*rp#P z>d>R~@B2KdV=nhTKL73U)<46Uf0_ZYFMWTUbeJ|lCSzoXSitjK`QMW;5Lv!v>qBu* zuLDaS`95KSjMqu_P1|U+Y5tT^npaKf2a^}82CPLqL+MwQ87?cAuUVZ?Mn=9bIo<}W4BQu%etD_5^sUKxvThms@3d~#aZ;&C*clOpOK_0%^MMwUJPbz7Cfc*@zS$#0b(@!jic1({G;^J-wf%_%3=t<--l38L%FxaLx9?f?DO84gyNJU6= zGr>%Q_K&E+7tt;oz{$emA{~^#rymi8BbGizYe=(#0@tqT^@czO7N9p}SeR(+ zLANK;;eS&1`Ippjl@$d=xKP9Xfa`D!1W%XxZzsBL5a8i}&J#WWdD|M}TB3eZ1Q3Zp^cu0oa%Tk#EMKi4Sh{NK zLnF4(R*fT52&&v)!F}V8JvVRO`&5bP&2k?#n^WrSu_fE1W=;G&s7h6WM5jene@J~y zkcTHFNX?SUuCa8?GPRjwOc><-v@(twe{ALWiy!o!;XD5Hs8Yw%g9;NB$1J0>MZ&6Z*aITmC&{(~-COTfP2&K;OE{A>0Uk22ns z`o+gC^-1=4dzM4wgB*c`eu%Vk8nQ_@VLvh{?6*w{d;X-b-E=dA-foV#-^`WDI;;JJ z;OxXE-m|oWv8rOBWzCqY3>{_-67`=_8(X(9%i3!FX*HHp^$E4%wiOBSEhyU+?#eTR#Y>+)iq}i^FV%jL{H>O{1ka~WF)LXsO zudiUtWrmXR-xd-p(V8)>i8;c5r-Aoeb@ybj*hiH+j{X-q@IzaojGRf1_J}C_lG@oW z>D*V7%tNY)IGtPq-!4Oy_}?a2!q;T^P{3N9^b803!N7Qn%);ksApE#;M|dhed1PXy zM5b>C`7?r+np>cGL^S84JxOvcHxEH45D%5~gXS#FNM7OtOZZwgAJS1ZejR^@uT8|H z@Ud;XzplccFvs0?_wMTc$kYs8lyhnG! z4}y+VYr4)~+F}#v3EQ1@w8cjBgze51hQM|^{2{RW?91zXmL%`9FYklhXJ6h2dx&Ur z9vd>)lHM-Xc(#dP{nE9#$HcF7OV6j|pfsI^rK=p^O}O+;xMA7UUZ-n_7%l^szHd<` zvE=PnW{`$!yAO?gi*R)`;x8j{{dA$SN2SiB4XV40M6*wDKBaEuX2tqBbeilV7e)ef zc49|G0=NlI9pO=E&C|=DdG9=bi2^L2INqRa7dku@U>zzCdn)sd#I{(mo>i*w@T^k> zguQAarp%DkK_zqb)2qXV^L}M!`EX_v5OrY5BbT8MGO2@Hdfy{gaqdD!ET24bU(SYT z-vV5whS{Rn?U2BtbO+JLWVust_PIE? zS9SbKiWaN3o3&yC#*g8EXPB{`e{U3y_fK2dIQ2o&LG=0Qq#8Ir#o0P&8)$kMXFH@4 zC$^e};*tG&eXK2)Hy4L98PfIEhx3*j!RJ=CwQV7;x2n_-QE*rrjjwyZFZJjxz~Nqt z;lv(2EV>ZE@%zNKIIMF`e1JULvaH6>HFYZZ)cQBZ+Ud$rAdd~ z!3w&hq2B(9es7o9B}7SIe#DCTkJ8KO@tp@HPxJ^2QjwN37Z5-3s#q_8A!*DA((kyNEOn9p+ub6>&`YGdOcN#}L5$^qG zybQO*GdQKkD`jbmXY>Z;ceC-l|4LbICD^B5(rrnxy0jq`n`gq(~QR$O)um)+Z+z<7#7MM#6JE2D;_vI(!0^N9Li zOtSb@)XN#Auh!vu!1ha~C&OG2l|q)fdGJ<(J$oJG#J=npqb2ywvn}fp`djjEn9;t- z;WfoXxd&rcXlW2UyUGG?#EVq2#PK-ti*@AmIyCW1>q9`~?K&@~w@dlvT?y-6$Fp?( z@VuNQ&8dK;H7DrDjbmt@>Fl%fp3h);MBZE&anIRp5ZpZlY;rD$0R8sL$=NDkzps0% zj_Z81LNIUZXtTJVFn(ts7!v%VSip#v84Yq~y1jDVN-*>LBMRL=M!jvyN<=c}2Yf{c zi&$iH23|g*B{L*{38-Ya=m{?C6Yb!BoAN!%db}$ThC9moxKr%|IyR<=)P3rQ-@-#sDx(KW&Un?lYtan0wTH)`Kn`gL z?3Pae)bMWk1c1jTwm1$CLq)Om+g5T`tAy>I0N|#uPi7q}fnhar!fyG*hnL)_NpknZ zN8Yi*C40tt(+1!D%Jk(402MKPh7qeFYmdj8abOF9lK~p*9Cy9ZMT5;6{^49hw%r%p ze^8nB@g;i6QDvNAZN3N%`Z1X)J@&UItB2PlLuYzK(ZgY?Ah$m%!G27gY6Te;`RS)(6IT3s@;Vq=M}a$?!t$TdNU=xAJ5$Q;ZLjB6UgyYb#aw zyrOJ&jJ>OI7;A<@-Ee5D8$Z)HBO^9fVe5W&(}zaWeDX)3#1ovSQS#!Iim0zxV!yPio&3PbvH?J zgvOZ7?bLE44uhP?k)Mu9L4b=aicHSI4Jk&hd0AGIW^HpMR8)2UijibWBFZkV;(6G6WIymsyEE=msV~^+_RDGSw zV=CvWZJ}uFRenJARchO=l2|w_Il#G~a<1T)M2qJW_N&ik`TeZgu-6Ke`1FJ_KE5jb zE~+H<1im(=_ARQ+7SHV}@%L4=9hJ^!1z)ASQyKds^CjV)R;G@hRtZOc*A5Bqpeopw zr&O0o4(c*R*L>iQH;Lx~^|N>=%Q>PySAC$fNA!_}H6EGq6)`s_`389`6XT~u7hVg% zR~=bP`W#Ov&sU%OL~EI7nIF|WvR3WTq#rs`8jrHvpbqpYv)7lp#o8h1L|^2hY&9RM z?>_Z4Uiff^=+Jhv<|X3mq+~d&5H~5+AgYSIC?mLw)YpiV5TnS(1Q~HvD&rT)nWZKA{PQSXzOu0*P z9+ceY#qZSr&))g!wiQEhSQ?n7f<3@MKp&wXAfQsCDq12n(z0pQ9$=AHO##tVP*5-s zFfdp!5Ks^>Ffh;uDA*$e1Pl%o6ci3j`@Z-(aol^Wv_X?*>C`^<`JI10_Em4&6#fRM zZ{Tax=P|K-gIDegb4T219qy5&U74o@DuPm#EqJ{tbG|>;lFuE^~doi6q}127h{$9d!sTbum()@gD7nva}fuI#)6nrr(lNk z4UBc^C#=u#^%3(m#;)m0pZ*9MGQOsdwS8ICL=((MFe7x&nAqU<^4f=TE3#@o`?vFL=5|LuB#2QuyS?! zSOF{;22~22Un)r6i@K1uDg|c<>2bUJ>YbGv8fji>RcPfz?;q?XXo4!DXKQFxXyqto zZS#?A3(@-+7mo1=SI4@MEB6E%bPw7a;oH3z8{vEVZ#KfWyU!nrckgV6yRh8D_3{>XCj5Ri>kx)$X^|8K1Q1pom5|9Am(Q_pJ?K@@&%(%Q7?@2F`jnu`iSA%bGj zP~6>2k}b(jGCP$9!L^{YMF>buQLv&Hf8ZrYK|Of#2fe6J52c>$&6EFtLIv?4)Sd(p z{ARjISgnD_x0&yI-@G^TW`x=(MMS6TGh1!IcEmn}l`%axXGrD=W^u=rV#<;pbEK%W zQv!mOjhnw431k0Fu$?RqQ5X1UFR!EldV?ON&lw&!Cz&O~G>!ksqpR65t`p++q zVDcCd6miK!v4?I!v^bGk;y~pT+|A-eGfHQ$zFb(nQH~M`M9|1qM!gh}ZwAW``&b$~gP#;|lOCVieJ;Nm+R%F8mQ3raI{R#_P!v zP@Ph5KyLGx-t$xJ`VZBdQUsf{xraV?H=Aszf|DYJ4Vk+~KatV3t@H5FW`}@fSpex+ zd6x0iJf&OZI+%&%kz=XU#9kT?5q*xP4@Z#FjrcoNX?IMG>l;Ld{xzDcRTt)GtCub& o=BrmnC=RX`I-y>yuIm7>RR)HoWJudAs8^griA=bE00030|8`lA{Qv*} diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 59e52fd..cd30de4 100644 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1679994398756 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1679994398756 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 28 05:06:38 2023 " "Processing started: Tue Mar 28 05:06:38 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1679994398756 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1679994398756 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1679994398756 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1679994398866 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1679994399077 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1679994399077 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399112 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399112 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1679994399175 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1679994399581 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1679994399659 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1679994399659 ""} -{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1679994399690 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 12.472 " "Worst-case setup slack is 12.472" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 12.472 0.000 C25M " " 12.472 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399706 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.383 " "Worst-case hold slack is 1.383" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.383 0.000 C25M " " 1.383 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399706 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399706 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.331 " "Worst-case recovery slack is 33.331" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.331 0.000 C25M " " 33.331 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399722 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.115 " "Worst-case removal slack is 6.115" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.115 0.000 C25M " " 6.115 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399722 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1679994399722 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1679994399722 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1679994399769 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1679994399784 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1679994399784 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13052 " "Peak virtual memory: 13052 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679994399847 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 28 05:06:39 2023 " "Processing ended: Tue Mar 28 05:06:39 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679994399847 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679994399847 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679994399847 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1679994399847 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681444902112 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681444902128 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 00:01:41 2023 " "Processing started: Fri Apr 14 00:01:41 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681444902128 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1681444902128 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1681444902128 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1681444902237 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1681444902472 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1681444902472 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444902534 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444902534 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1681444902597 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1681444903003 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1681444903068 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1681444903083 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1681444903115 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 14.252 " "Worst-case setup slack is 14.252" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903130 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903130 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 14.252 0.000 C25M " " 14.252 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903130 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444903130 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.394 " "Worst-case hold slack is 1.394" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903130 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903130 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.394 0.000 C25M " " 1.394 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903130 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444903130 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.179 " "Worst-case recovery slack is 33.179" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903130 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903130 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.179 0.000 C25M " " 33.179 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903130 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444903130 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.267 " "Worst-case removal slack is 6.267" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903146 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903146 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.267 0.000 C25M " " 6.267 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903146 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444903146 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903146 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903146 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903146 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444903146 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444903146 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1681444903193 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681444903208 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681444903224 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13051 " "Peak virtual memory: 13051 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444903292 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 00:01:43 2023 " "Processing ended: Fri Apr 14 00:01:43 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444903292 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444903292 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444903292 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681444903292 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 691152f65ef114ad151543d169d2c291fe78f040..6a43cb40bf24689759cffb1edd7819b41c565c62 100644 GIT binary patch literal 9800 zcmXw91yEbh*Db|bpt!q3a44?Dy+H6_1&X^n#oe9a?oiyJxVvj{m*Ngz^6USb%$#@M z*}GTH?t44Q1oPdycNK_GV0`z^0{meIU)7B49n5U3Nm+TASeaNzMV!nmzmsxuv5>Ox zFtf2Sv$3%9kSfcN%9%MDk&1sea|HV$X#NW^MfqQ(cb4z}T_Gq%{m*uVI??fnp;Fh( z`bhR09fpjblHBS$z2;i8$De4af|}H^n839$md_ta3xn1@JdD#;)vfQ=)`n`{NU_{L zXwdoDD8?1jQf`(c8EGbkMFV9S^^7zD^$a8MHUaPOJJ0zaZ>#p#Q)@pLdBn^7DdltM zJe%2f={Wb?f9kk8q2J%zt1LWMz22R8eqMDr{PXkA)l=H|RL9C%%K|~Dmh$W9#1B3l zFJiB%oO9WOr;JulyztJb$IEl|$vcEcbk2C1Hu=Wmbo>DC6$<+!6Ytl9nY}%1_@0W` zPB&^tYYqOLgz$o-ZNl?l-33j;h#>!1f=7SvE}rF6UUJQih_>GZ88^Iv&^EXclH=Te z)4Td_O)62+qj2uiyl4oKTDtU$_!h5M#`~N8>@h&^`>dRE`~QvLviIwfa2+RdL)HTh zJru3{u@5?SG}=Q~C;@QD z)2To!AkBue{y_E-aA3{Tb$^}K?tDP_0X~;KhwBJ7cjHvJb6ZxpI8LU7d4!yYrr6U9 z{nU5v;xJp_GB|ynr-kQ|zh97{CO*2&S&keZe*ALq+-=G~J|A8KneA};vMh>sAi0Rr zJ_JP*@62}bX65X#a)0s%Q!kXudxD|AzZ}LUn0{mIVPJs&@58o9=mVh4e-V3)PUxAZ$d+od>;i@j_(5#L1}#+N9eSchz-gu-|rO zjt=y<*$#8re;qA4p}^K>Imz6WxiBkK;=DY{L%^jD%f@H5cZDKa#Y`X{q1EIBcGKPG za4$g*s*8rj0q zeI7@zo5kE(F=s#gFR1-9V;GwUi0@4@BMd3MDg&)>_U>~QgYCkO%2<}D7@XlxTm3b7 zq1Z16n}Uw5>}E7^TfC}T*Ii1W4BdU{v;*b?UY>t!8L>+qVZUih2Vm#ERt30mtbvZ2 z_v0hYL(i%*$h0T|q+Ua*2)JcwqC0fit_*ABE+bC3!R*qlH~Cmfz6gc_NNF6n4cN9O3RZyp z6hhzLhES|xbT@siSS@r0zI-pOSUGA7d+;&#l9WGunAqV!?=vEA&3!@TZC)V zKR|hFw1BV-9oKHxV(o1s?QCmU7D>M&PrVnSRgv!5`sCo{KP$gtY?_a=73Qi$|BIcl zyn9H3SLoDW+UJ*meunxZ6H*dRRIqfQNmJS(-vzk}O1uG_e(>6*2x(?yS`uQ}#R+=f z`r10H^+``(`m~shA+tc=`I^{}3*Z9J8xw(Wf+6ryFnYL7gqMn;H-U}$RM%Kd`hq)w zFVzgeXkV~DY=I!XKX0 zYCeqiO&*n0qMA&Wp5czMoO5sAOh1L(K6{vZUIf9OK=CksA}k~XXyoX=5I23iI2}PF zsHQL+9ueDl32PzJV-&ky{53cSaW#d)F#JwSB@7}WK%g(3!WX&xI_0HI`3?H+IbMtK z@G{n4$^_8oXig%i*s7)QEM%9eP7x? z*~5KZA*mnmma5F~&^o~$N-K^c(sZ%k*;%|tSaB<*rJB)bR#(&O`7%YLcBW+OGLgTU zE?&M$pMaCtDs;e1s3q9a{`?)A-~QTz@%b5%_Koi`)}I2o5uhF!M_Yi~7~JcBqM1Eg zw1c+o=ao1wh1_yaUvS6mq8sOx9*-#)I{`PB|9#3NbM9W1ek?JM22uC``|J=lO`%BE z=yBRrd}q(vu~Ls=;j1o*fRxa>tX|(IrzGyxlqQZJnC0QzNSNjlPaY#$0p~NgI2nPq zq`k!iY^A$l9=+HE762P3YPDh#}cH40MtNY}f2Zr{ZnXXC~Nr6of(y6)5DemiE; z;s{s5x`_^2`LaYe^Nfz^TO&I-q@?w%5)S+D+?k<51dyO~NWppo`mB_UB+ zNXfrm`ZRd4|3_Xdm!uNjg1MT2SaQ%~lYe4_iHQVnP&~#$cpcSVD+U~gV&^MsG~(r% z?)utDtH<|`S3!Swu%J{)JdxZtFQ}wv+_%p2kT3);hAyLWJVM*;+P_LuEFHxDz)55Q zf{;y3yz<1{&biKC_S*H#0dAs;N-&gU_qZuDi*(Xf+gqB?+-NK1W_5Izagimi!0nv| z_Vd0SCTnzroqj9H?WF3`-U`yU^`WFof%-DlGt$Kd!iS!Ot$D%Ij=Ty|ox8e$r!SRx zMC5e{KXHJ%G+d)ir?=na5S`ra0vF4K!&z{43L~XK&1QK*ANt}Ei_EC%7cFyZa&@>R z0imK6-UB+z@I!pCX0ZHulR<>T8C+48JVj=ATN{Kn&wSgr09Kp&oJdLwm9IwUuxBl& zR5J$pJR=sylLVbh`2iQ_p3jBj!ZcFWiSBR$>FLAaGv=W461paC&l-EM^FJXZgR!l6w*T{+-k+eg53u@{Nz*?GSt z50En4h+q8l0Bi|0_iE)Yp@MB^LRG6=a6@ePV=COEIdU*!9hUd?2xGrN3(0&j+2br6 zXf>AK{&&i}A~$>0d0+TlS$8}iF3cwy5qh41`$m*Q)O1xR;@Jj7W`)A+D;U>1w}T9n z!(M`{DBNvt(SuAa5=Dt)Pp=GxY==s|CfBFXo2{l>H@Sj^T@h8*Fi`LCe63w(xVen>5H9}_N={iN=C*GMW>HbW)$p^K?n6kPL%*_<^;F&oKM7dNDk>>cYWj$vj8TzP_y{2kch#tE>=V-3uOu+<6u^f zSIXQxwqhldWYK|fl<7Jjc`=x_k!P3iv%QIDZ|NnkjFl7vB+(S(J+}X`4o&`S78}Qo zCt|{j`#H=h(UI)XlZyz-05L6c#-LJD-WE)>F5BhpvZ*t;#Jdnl)TM(Zrrpv!X?I#b zz+9=B$nYR;U7O-H0E>rA!~pw}f|~29)dh0Ov1S{f#Hzjk$BZ~%UXJ6S00!g|@Aq(; zGh2~dO4Z^zpItOwqmU|Ynenq&3dLxrZOkvkE|uQLu}d=2=1NJBXJE&*$pBjho&Cta zn@BTY==a%LPjW1hOg38uJ1a~9-I_cJOVprw%hIAew+(B|@Iv@c6O0-tqv47IQ9VTn zb|?JRdY4;V9d%46qws$d8>{(>yU=lekJ(vd636Bp+&yjC79>ii<1<%jyaW|9Gmg={ z+#1WdyC*B|oi-MepFLWu=EkogD$~@OcMXM+_82CFL~b)u9MR6Wm5VBUOHyahD<~;~ z-#;w>>$~lI(4--G{YqIGlmXI{1h~w9t*jmTT)K5YE__y*chZ9%7*_XHmE6uE=}4Y) zNp)_lAuMWXzMimcD*f=Zegvxuba4NvbE&GRy}=A*)cUdqzGL2bz&og6?u(U zfW{|H(>SG^E@PdC5^E;AAn~Uyz8T$1c+JP8tT^?8L-vN6E}A2ny+`brsY|63hTn#( zTfqSFg&5tc8%|tDUS~)_hkh)NUs>eP3jOCAQa%!^HMP@Fp4r9gd!x}SQ}>ynyu;Is zV7$V|5`6hikDnDu(7@)B4v(Y~38>v9-sqBD3yW)7adz&wfmsjY-BkVJnaC11M0k|< z@8Jx0107l*1!SbDAFtekcp9Ad@4n?6RR{kg55 z)a#0g5(sp=w<6~q=(SxSyP4c!L-r9Jvd+wyIO}u3cHL+jg`Un$xR!`C)LmH1y{tyf zyPVP%39kAPEh80=c>Rdjg8HdTB$aL^bFH0SiS>Z-n{v2tl|{FKqR5QFfr$$<95dE8 zGlsA+=DPkRf{XA{kt77>4|6~?hN>Cb%8oa$BVj=JV&oz4@ipUexjkjZ7>&uR#!DQF zd}?5exZf_4F`Sr7)FES%Yw-7K6RjwtKwCqG`=ZVSc; zpBpbowq}8CU#-VqGj2(Ou@S#Ci@5Px0Z?`UvJ8CO6|mbe;;Q{auV_a-r*$*|&OUO-PM0E; zVpV6MwPrp8UQJxgTx-YrfjG4DC0VU!SI(Y1zcz|{x8m?Y8-a3O?hCZ%OZ!fqT;r}P zL{5%JgG#}j_U%+c*)EweT&>6C&<=L9#%4OiQC>Gq@7vdT=uj2>mGOw&KFQ=Wv5I(7 zlD*a~+mjF1il*A5t+l6x6yqQC)r+tr%u)2Yt*s$(ltAyT5~ zXpQ&e$f?|}`$)Q;_vZot{R8)yYai`c9CiynJgqgGKRmKb z6!o{yfE*Vj*z403&)~?(ZdPyc+%Ncr$n?Cl5`~%(zP9Z5m6eqsIsVp=TyOGwEn&a9 zM0m+~Hn<=xxO<(pu+rN=~(arY2WMf!Tc9Uq`QRA&Ao&y}d! zGc$e&!<2H&M7Z*=t`t`SPLun!mM@=`TV!-%^9#o%S2PS$r04#D?M_1J*J@Y8YDdHR zt%UI1Fu5+CL9)eA@*24X)9_rmu0V}jiPtaE@#+)Ik!>FRx}6oXX(ZnY;!s%nmZ<=6 z+!C$tGQ>0QVi&l>4GmBzLbY`bgtJumaPz`F1%I=hVrHpa4-BxZ17kLQhzDZg z)uMLxhDp;|_yID|8udSKM9MfeU5BYEu+go7CgqK}N<##4VW%2#JN@4D@*fzlz9N{M z&X%@Cq*lizlm^<%Ya)$P6n@7>(VX|kiTKR z6JxAHh#Yn#vrDXgly+T$`}tYf!OYP7_hVh}3gBz3R@Tlh&Jdk%Kb%FZ^+OIZR)#SQ z+&oUmX>@XiM53HbweA%6xTmh?TH77sEe2L66W8^0WlL8Uc8qTBK7Yx{CGUHXTA?OM z1@702)aM(dWhnhPtI2+~94`JemrVjqID%eThmM{ZWv@ACVtOS@x|s!! zP>&6uAgY{AkXGc?uA-y2CE3uZTrki~ZOKq#Tqq+e-F27G<&<#(fa>IbKwtL%6dTUg zEelj*Bpy*m{H*xn3Y@3kNVtC4K7#7`v)R>h!n>^Zgex~7)#_;Ts>rZu{kqo%r>3~c z&BNjbHxAMIiXP+Q2B$@&xdvn!r81ec4rE@-xqU?sMw&V^-cr>p!wB=aeL3L@0R4qs z%r7e|y4*xlwZvYMcPb;N^_ptSd6vqxC5l2Hij$n0ro?8|WW_DA;8aGitJgy$E$T%| zicKTt8S>_j!nnvbQ;U<1=TPTrh&aXSSM8CM7>{ky>$QQ^Ld*Ii%PJLphHoH!xKbl4 zHnIA0d9X6|Lttl4DkXYxBM!l&Lh%--sv;gpk0hl+AYni$xU0S*)ntBb zV?Ku;GnorMXysRfc8xF_cbFNiJ=#T;1SZ0fYhIgrMx*RhhSEK zr`XN)j5`Jt>(*LIV<~GGGZ=NKJuqzFK^&iG7`5s>m92iy#gU-gP zzvI>ytnyx(k5$NqFPdhn+nB8jp`bUM9OAbSV^vj@ZejUfNLs>fhb`DgEFe^ksAQrv z*D`Fndj|L^IVoTZtdaP(RacN2&D!TC%BTNSsz(u-6{?OXeJPQc`&_LDOPIiAADn?yoftO-S z_i?oE^^@iZeY0F5U=A4@83{N`yjAGR4`T+D6+a@ z6`tw~W_|?AO?gN6>=mgH!hc!8WqOg)P$!zxCO(qWJq4<3*M zHGwJIJ|UR7q(%WHlA#zWC{X`Z_0;A0jwMzEGym4#P3RkWAg$CXJVtPR421D3d7%Mm zq+KXBD^3LkBWf@u3=aq9(R87cnn>YYXsWi`N0z`D5(hj&WMu1!cwpO8*7rvG7cQVA z?62^@0(w+}BuCWry%GMGD70q{BFIzMGe5Y^T+(_PIjSRtf0E!CGjNi%&ynFteEHux z6tK}ykCq;FJahf$3@QITdWs&5Ts|sn$;-E`FNk0%XERw6k@XdjDwx3y28HtG9zCjxvP)%cV7y^K`|2ILPZnM7_CH|k&QMo-wn4Ul4uQ|NFvdnvVu z;x^gdK_*d*y6Uk6J$x*NgeVcvFI~+4SW{1MCvKrqZqru(XF)#Na6B@(l(v zKjw3O#Jy!Mve{@fG-RvJdb5x~{inEqK3#)dDimb<;u(wE_lAxHnrd>nqeJ?|7;VYK zOHAPq$O)!Mc32HY;CbU^=R%3}1oFW#xm+2@T>fHWa@Z@H;0Davf|+fBHYcXnFKh&_u@ z(`j&Np<>=d_MA^SWto92%X!75ZV#w$?h^fV{Zhe4;j`e?Y#C~)KZ*>@3y2HErmUdz`;%J(XkhF-*t+F8T; zM~^Bg+)ww?BR8qPRVZ^kyO|2tQ;Y9s<@aTME{(FmO|VUqX>U(Yr*PBXHOsL~8Imsy z;sHH2YfCIij!q5L9vE;88=|}6+KEqQd}=J~D-xXcqXR`!+_faW42MAa|z|aKcN_hM4AL2r{qH*Fq=wx4oE949T!ShZe z&z~-PCl_YxiM7FI>#-1sgg__+0wLhrN;ztxjDg9F71fS+ujv@MPM6+PQ$w!HPBO?j zVwTjPqp7)^1Xua3idPs7pa=UpX2bv5W6|%S&5tyNLQ+ao$b)hMWncQr=WG()wnj> zTAiEj3&ptd#l}x9MA8Du;O}J4?edNED+T63>XSFQa_CPFA}#iko$}{5$dZ+R?s(xQ z+8kFt4?OkQNik=T1R|W6C5YLKMZbAJAV>F~b|ofM<|gk!+nqKLT9X9NOwJh)`c``L z@d=~_L`Qx8b|11qR>k2`cNlo>FQ|>hdOV<7-N12uKfg*yqx)&iwmd(VF{X)UcMZ3( z$=ChTT&9M*Bw+~JbUbEx*RBB+9~P%6sJwCQuB&%(^;P527Jf~T!`4%&oyZ;fd0xM0 z|1SD^^(u+X^sxvdm z=2h_w1SmwyO^ics%8{y(!68nNs=diX`QD=O3|^FjG@`*H6JXOKNaHPuY`)VZ%_5se0nahSGr0JoVdPKJ%N5Amh_Ez9Q}ky({D3Idl?J zIFUE|9TlgHIbc;gtD!n)G3$D!wvh9 zA0DVob!f0DC*7jKtrW+EJsuCHdSt*{rrEY>}+8FEpp33>tFCi0Ff_-LY0AkP<^@Y~ff8L<#n%Hkmn9D@Tu zwI+1g_Clx{2z3I4gZQ$lgB$b;yLbct1?lb06-p(x^Xq$I)PDHg38=6lCI8UCei3|n zS+lc6EMKijBkAl5222DDR!R^>;Ke6~hz_5OP!Qn6PC?T{=e-1``((^CT2k8IAf+G)+F z4UuJEJ9RET?0WkIc1c*hD;q$MkI0E1J-pfGWeD;=_(mk$eutc|aZ11=E;EY*fb(_sj3H#`KQFaGM@pL-nr+&$)#= zLW`7*al?4{qO1n>=_VVGL}8-Y+uqG3z*Wczr#^JJO3@jYBNG5Cc`gL9$7oJOGRMS* q%YZ^GnATSYBFO9i=?X~D?@%M<46vK+9Mi?6^ds?@gL{I9$o_w-QQ%Yn literal 9908 zcmXw9WmH>T(*;`GT|;qqm*T};f=h9Cr$BLcD_$IeySo)B?owJDg1Z*J@bvvw*4lSw z?wq-^_nC8(AHjiwf~rD>h9DG_E#$)mxoVobxLP|pkg@Z#u(Pm{iMw0dnUV4EvXQaz zvvP2-a2~%MHXAFoou7 z7#MqeS&h2CJzSO%$CL28Ap1EMuzua!i|Y({vA+lObev>^+fRN;cLla`Z%(bRBOijR zuDfEpSxe6!<)fxxY^9Et6W*LE5tOp1)Vun8@+3%&XOcu<7Yf; z19-0v-uSW{N?)hfNLvA_%0dibzX_XR2RItGDPsy9Fs?JZiG7$$7i`f44S7@O5BYoK z=>W1E_#3;zi1b!x0)6NG9i0{jB&c5sxbk@q-WU9tFl^bC7b{DW1J#UC@b8!T8epBw z+-!#C@t;3sFY-4Doqrq_Ca+J9?=+X8AcC6&_nvvp1Q!-b>!5KQUV^if_${?)sazt^ zbqF1Le&1%DhBog{e`Og|^?Xk}dLH6BitZ_Mn^L|lZ|nqGpI%w;*;MzthJz6~Q1$;y z4oaE-)_P#RFeZSQ9k&K>Xq#~2BhxWj7GjW}1dDo~;|?Js3sV`RfpFfvs#X(-Ai%$b z8ZQ&Shy9^r|AhtD|Brp*V;NEeJ3jl6IaL=40?^Jo|EQt8eRC#uD=mIX6{M*~Vf0LR0`WV{!9y_CRJ6i`1Yp^sQA;pg_Y zeYFDq$-9up5*dUFF}7##Okqq3y9CW&fol%PuG5E4_3jZV*e^fp*wmQHj2B0fK;Q?& z_HKWlQ5_mVF;p^y?ZdqYr)$_iPU&IxB;lq0s$*FX)=y-C#?0C`P5+`&MpT3`@cCpMIp(CS|VF^3I z%MbO}dW09JTDXEsffb@e8e=KsK4=b9^yQI zcl-T$8gB*m<)o>3^o&D)+HH*rwdGgg_%Yy<672{wk7oqyCCX2ITY{tmkF0s5QA)vC zYOF8S5+*cXvD?=+oWsr5oktgEzY+2+l(?}h;HO4D8!;w4B$kr5%AY!rJ(AZqk2EEH z_!C}S0rZP$Ui0NsL#t*#&Hj1UjF8b`75D+CyvX<>tgTHFTw>43HOuHMxju))gbH8b zRG&Dh*q+WsW~Z#ZpW2Nayc;^;ueM2e8hZ`!#w-t4We=_$a_|<$m>O0bPrdQ-h%}jM z_KYEZa$=|Dnt585S3du8r*cV1y|F2s-+S>>jqa>Uql9~w-k6*w#oOB>Lx*~3TjkWO zggzzb2Z60&sb`|kBX*SkYSF>v;ipmZaU3Ln!Bo4UGd0VO?Mk$@`47GozbQ=F10!7< zG7hd;^T&>pZz2daZ`s3zpJ0SwzkiC}CHKH=@(6y{3qUtDR!8m9>B5MRkTOWd}bkqHqxe#~f^vs^4Duxb>W z?6rOj-kU}rZMw3G{zc)9&0R}*q!gD;LTqF#sEk`|M#Olx`j|yX_c%Bvgg|95oMQ(ftoU-O9h`L>)C+H6uj(GS(G(30+qz!VFU z#}nWmS2Rkwlt%Zc`6yrgq2?df$v?$@uv1Z#!}HtIj{V)Zs4du}h9KT@EQy>&V~QuPr0S^auIG{2;=$FoQ8UO2XH|7W<+ z%9F=N-H9aNf>A%HD?Iz5`gkfeO48CW4iQBB&a{m`t}-G}cXoyLkPefP;A=8s`Xu%) z#YhvGBSIT9#vVIwcpLxE31bH|SJ(6`i525XA@Ng8m-x?MOgezC7mXaeZSpJ7YzI%S z|3XtpDo*4TngVOv2~gQJX6!{m{vq`0BWp+NM~;MOvZbI-@||WfNI~h59Fq;!k?=1M zPOKkJ6RCd^_s7>Dls*12WkZT*mCfYBdc`nLCy0zs^Su65K@xl9E=M#v9P!Mp(Jy1& zCLwr2Og$#u^KiiX;jfzfC~PO1ezigurMTF$0!<&(K&hm(>j zR`}vG+FB!ek|)wEl9yCIDu0TgBgu+8%k=5c2KB~$%eDtSyJJ&+EETbalKC0zX~_xo zoQX02xUEeouYZFW;`Z;h`w1_!Y`QienBR?_KNY`pp>gAio=~|xwuXF)F}7H)JjbF~ zHsYYhxVS5VblS9`bF0Kb1%pAjYQpI$5DwSTxkMiaeY_iT#2s$-sk@2@_{QB zCYm$sNJ09UKQXK{o+2&}O;1UkB%8Xa05s<)IQy9*t8odsZc#!3$wq#%!V8_rmBwqDNNOJH*qQS z{k52VZnOl_GFyphw;Z}eqdtuY)oJwcnkC##Dpol>$XQv$ zjn)2^u28YNd(?86-;+eI{dL{qVj0jf`cXF@b9Xt-&)Q5}EiM$23jo!nvHqJx^ zGm5@~6ki2YK+=G5_JMB5l}o!%m>gBSPeJhQwKsRDcwqQPeJPf@_3tlgIl6FiZJ!zL z=BNM)@=uEo2YHNIIPzb-OwCD+ZhpsGn3N|isAj5nzVNDFHT(Ks%~ zoj5fh5vY~TN@-`H2QW9%$Kzq?EJ!N-1qjDT=xgKP zAN>3tFqxlVHDr2MYsjR^9hyrioprQTnfDZd>MZ;R;AWJgkA0q_C}1bI;cRxTOR~<* zh`&UKKcsp=FhS}Oo-=_g3`o_^mq6GGx1bu^U#HflCl&0b1*Ql80$*dQ(Hq7mrfFofWqAT<3WYSrHZ)iBO;4o#~Bcu;p?i- z`zOoI+fnmoO|^gp*Q%L82}q&#ML-G3U6vFvlt@IPu-Y&|t5}qMB17{}B8>BhGPfaS zvuqunj$a=kQne%y(8+Ryo~9>T!`ixQ0`Gy?lcasHXwW=GBY`)K;e zbI3yF?{3M*?3MVuW7Y{jM3r}<POQ=*=I2b z{zaP8=;7-TC7BvN4j*B|oj2EXn7|{voQdZ!awV%{F@DMBsN3FaS+4r>QC6(dK1!N5 z6z-$~`q;PxR~w}?Xd6yeX^O6XT8zbU4d8KDrp~WY*q*v1?q}_-d-x-Z{qRsiJmZI> zs^rJ(Mzgn97LH-Eg@%yDdN)vb%MAi6!Y)LR9Go-vaiXDW6U4@|8lwB;VYP|mO*)XOv~J;^Z9oad z{ft6Q8t=!maE2D{y!$?i(3xX)ud=ZOO^>zr{eA{iW&Fs>S#F)+w4y~9+#m2MsP|+=9 zONg7+ZOWW|)@MZuD~I)JaluO`BMW5k_5p{4vGBF6&V|mc6#G1sErjbrA!y1Qa|F!v zvEHYjDCc=7kusu2y^l5Zk0yuLO4nis@C91{Z6_o4;o3sNuJ$)@JcEfRDix>IJuE-g zY6mY^!nMPceoT`r|8dru8_rEAVA!^LMTp(JUBea^6s3t3@Fv$X(eV6hNA#x^9er$< z#~YyORcDej+?FNmnD;FZR+<{`n}$^&K8O3^NnYo8?$X6CpZ-;PUfa^IhLu8N_jhLAN~Z( zwdtW|oxeB7yn;yhqv6|6C6`LK`&XkxCQaO@ZD*0qtsgiruZ?r3&;{`u4;gy2Mw)u5B5g>T>*HJIa~g00Se4X{>!Pn3nf%_NFLC~LUP?1rK8Hii zTflyf(T2khAShy;M<=2j-W~^SeH_Oz=JD@^$5K7Z+8=w*#@($X3i(2JO64sPmAGf>xSi5w(om_uJu~ z+-h7NkfHeYO?#Tr2Hw;yk@uzM(oifTOx~qunV!yiau3DcvB-mT@I3`f)zzd_#`h^)*op~)8NFGXLPbNSK56hXQEyx18qDT*VABh6bv|M$VL zj4J?GVaTdsN}}9av>@Ie3y!(bXb_EIEW>}sV@MS*E~qT@dp}ucNSB&Y(TGlFV_a9OqUui{*b5v6 zOTQjau8Er3*!c66z*Q=bcjjz;Y;*TF+^e05Hu(J2L&?E7;t+dn%+Ctrasc_;oq4neAz((;*)11SB+!<>P^@6=$(a)4W*c^$E(NR%2`;_)s3fUM0$;hFz z1?9B}z(cZvm;C^LW@(QVwEdyl}`DZU$Y6MX{FJ` zOmRXFM2bc(&;6d0i?)PxBc&f+5o%&!ja{I-SmMK8t*EZbY@1A8Zf-AEkVdr1BGILL zQ`004jK}!&r3$YkPxnNgpiuV)yj`}NG`m?72zX&pS;m))GUq82Q7Ge{-;~p7D!PM; zGiOu<4OV?^QUO(LtZG3mxO8boU2G8LgJ0MlNyY{A!(PrcG{!rLAdlT-pO-8iFbef) zV%~(E-z+CZ&O_5{elZuSR&BOMDmOoJjIddGjL+8u8g0p|)HFANNY3Onkb%FFNZ)CU zH-n0*g36bCG?32S(oURI;N2l=CQx12wm=@)R|X zQdLu7W2idmHvNfJs=#ddGU!9D1WFL_sjwf=ED}K1%{ebaRWWrKF0hs4G}PB0Az0wn(*S$v29FM`~8qqs&o;f z;92QTR6EnI%O2(eY!)c67-BNLiBx3oJ)stOY7h~>F)+ZqQbC@-nVB0fkF|)|{To(e z4Qp{`3;{Tll?$a&;gJpovMS0J2&0v0N+)fX;dW(jH&_>Fg6sU%z4KM(Z=O*J_I=^U z0x)Tcv@I@%=y)*!dOT#GbXn$`W;qmV7m_$45uTRPjK`a_kHbIPu5NE>U#_JYN4G!a zwN@>q5N$UWxi2T>W+jUoPWzed+&Wgd=oJ`C+to^lJKzA>6ZF<v|ebPqY!jN$` zpWwiMucs-F>n>m04k%3MfejTUD?%}D0?yV7s07viu0^dV6h>+;*k7-MUeN@}H3kIS zlc$y+Aj*d{G4Dj>UM;LIYOo?1+atFXEZNa7mpil^1lkRxVV^XYuQB(p;<@n8I8^w^ zuD=GJt~#{rA`$&4HzeeQ=UEZ3<39}~DlBFfQTV3!OQ}iDIzD6=)0XH2OD%iKZd-ft z1=zPpqes71otILp)+D)0WHKHJdTu^yZpAp8=b~dOhRe1}_7v??sg%h;Y)fa0yGa5Y zkRA5~1d(H?h1GJ~iQbG3&v^X8!yc}ymTtFVv9oniMPEy$S#mchY^z1qD+0 zucI~{)Y>NpDKxAHlC2|E_T;?RQCkR_*0-oCSswN-b<+{7)8vW{2T-&wwt6F|fXy9( zft&W~oN>IhopW*(uA*r%vQ&gZ1v2>^B%r;mf}}qITcS8l5lz4#1wR&*OAnGdadJEi zjao!d3T8>1sm#xEv<_kPlxI4X{~~DG^a?`G0d%};L-V#3)D}BWU$M=ejzq~VWirlJ zrdhO1835vwhNh`g!$IJFdIdnzGhZHAXg0a|U}>(S+~5XXlkr)KQYf;mtV2Z^dM~(_ z8?q(@RM>)~{-Yr79nwFBhOC8bH#qo&=>mTBKs(-2UpC{D>KtuNI5CnSD}vG)xmXS4}Av&7a8?*VVzIQr+eQI!-5 zSQxMak-HKgeJQXp3J6rQajz){*}Z8U!{+`+B=F(=X9`G@0Jtm40P6;2gAy{YpTp{Y zU_@*E_COq$uoTSi&csvcV@*PEzHf;c#th-3k*4Xbe-PHph(}y5?a_j%L){+_rTsZBSNy>$rOMgHR68% ziVqJn{w%fmKC<6wE?nma&L@XkOBA0>;Mh{1GIBD3Ah{px{~i)qzmWd=E?tHkNiDq3 zm*~IwK??yLW87L9bO>lj3l?>lEze23sS`3)PRiu8dLTn+ z)qsUURNN{k;DqtWlzJMn*R!9-WSV^vD(>ggTPxqVL*y~~w;ci)CAwxoJo%_Z|7mC= zncA%UBgWaA4bsfKF8Z|)7Dha*R8tQ7RmWB&<-yXQ;t{}SUu znr3sx6!}-c8Sy_OkS(?AFWt!PfG9*2i*zeT_n3>5ycUliw+mh|i8q?=qc6mK|49;| z-1U@zjcoy(fPRMhPrILNsRcF)l3Q|U5We)B3U-VFAu-9n-}Hs=o3}vx7WX#IgvP`1 zJB5#x^t{2Yi5}bY3$VxPN4qMv)0zqY_KB78&)}eCqZ2F}^WD>RJx(YnI)KjO39;$E zQ|JN|FMp3}_1y|lHB%;Iq`73NhFXngYjLl4gBvo*rRW-R);16O)diy)7BhLO$DL>SQ=DSg(uSU4#u<0pR z3Rt7#e)kdDWw{<`eD}f3PpU#=C5xKy4tM_9j#QWjsOLA5N{?-yN8h{hF*y25!l3uu z>3z%~WKsDidrJ7JTl>AcZbkuBy-2w??=VgIL%&W|S=se+Kw^F5dD(;THS*%q`}(m6>N!3b=u%&sx2{=iXfKeO#ug*-O59TT^9Uu$96AMpuJ4 zEj!Df{1C)Hj{wxP!hM9|pLY_Ne9)K0;Qg}|O*)iFMN1MnLhyuscZ?7YF&8~k~LjW<|-gVi@!euKr80pHoyD@XJ>Ul~jEt4Q14(g&wW z((my`?}R79U2gBWK8(EO4Zp$Q8}z?HuL17f#5~F1QJCo&ia)7A;TEPEE? z_VBrLo&$hx6F25nLTXjljD+KAy^PLGum*VWPL}o)F71oHW0RGxiGzAYu;Fjwkd7p3 zhp{6=zGL~M)W6+^9n>KF>7Is@=9ZIYb3LHq6G=0nZ`xpUvKz4#V+3 z8Cr2duFY#7!mgtp#mahfC1tN&rtZ&{POq%irPgH*3xW#+Tm6{ApTak(t{JF{;zhN3 z00oIF%MGUI)Hf*sOYg(`c-H%x@n_K=oq&T(6k0>W4!^hcq~n~nZl+Pgkt9Q4xqW5WhT6`PnOCG%+jWC`EufxfX^S%p!?ttZXa^DqrvtwPg|bK ze1M)W_1lIX?+LS=LX2}P2f$w6vJ8zn)m}p8i21S^^6*Cm9Wd_K4B!y6t2SyYxNhbM zP5kI3DwixHZnVR+r=~EDU38{Sm%wT5Y*jecSsbLs>cA2681Uexa#C)dlC_0YS9b-kwab$_F_Tz*x~ z;3R=6LJ6Y`Na=GzNZ=rdgI0rk(oc(h{NAAP_bX)mhx+$VPfUF;Eb_HIqgcl^5Z3}y zc?#>86=GgN?l8&MicDY~E7!jt;8P!aL3yW0A8cGiZ_b9oU5pY|SCZ1lww%I2AeE&C zH{hf`_8HxBB~>tyI{iEKvPatI593&s$<)geJ0_RA^8HgKg6@&*d2V$OC)`Nt8>^8( zC(0GX{$||mdw9M=q2*G%;48H(-jPFOCi+FLtYAD@b9%gG-qm>i1G>*M6(^wCnpYTh@@^ za?NSfZDyWq)a^SOMIgdI`{gmU9&CChV$OE_Qi>Ax7owaK)SFIF5H{qZW1sopWC)oc zYlif9$G=rZutQAA7^A6K?>&e+uk&9W_UV-Rt3eqEcLEzZLKM?CfodTF#qdA`+Jp!M zVXMg`17Vn8^86L@m!{WOFKCU#9wittjA8iQDd?zD)!@j`VR0fxdF#_<+(5l)bJ@HL zCLAPe_D@x*{r7NqQbxT}_Doe??@2|GiIGiBW9pnd9C=0AGsb;;o;>#Th{Zp@6TM^X z!0Q(dOC8)Ayy5>>qN`||I7N(j?mMke1 zF=8y0Ominx7>vwhWQ>_H`&_@n{ds@h-^b^l-=nLUajxr}>zvo}d_7;UbC%7SGv~R6 z3izBeCj$K22EGp;KY!t5V}n1nNuPNuk)&I^JDUk>Rs9zs$A)Vr#9CzJ@I%YK zeIL(kWj6nj2a+;*@MH1bG)+A7BB3Y!1%K#*o}PJ+5Pw&$Y%BfEe<~F^B&Z%79|7RTh z5(OmnCL`SX3-B}(vU_`}>BtkEDXdz2c`EuKR(S+lxC!NXGY9A1-fTMZUgtcd_WNMF zW_4A9+L7wiGJkCMdK4!w$J?#_rS=^Q{1 z;GD>C!*~R$m47x9m%)1%IIJClM&6D~)u*+Y&NQE#X*Tp3*4Bw@ZJyS3Lnq#r`ez8I zO2FN2d+bNAhj}Oc(GQP4J5;kcH+@A<&fhd_R`|J@UiXj943^@C1`ReM#z7y@@SyePYfR`@T(o)pTgAKDfI$)7^!*_~C_N zwFBoSZXvF@FH&em_2(({vKKoAE~>h!6CyPEt7h-rZfFDhVI6iaQR`E_7AN-P4ib0$ z$rT}Y)8>B`;4&oWn#V<^s~xtuk<#)pf4@-bgdDiPOz3N=VWsJr;c%iR)%Wq=8zO3c zXc1jMAelfmANQ~=Ygbd_0xWlbAfD{XoIw%?#hsG~Ytg z<12MTys*j3ZhfphzBgm$@wm6k(zLE&GiE1{KdR?`H!VL5b$AF{W|^9Ajtb*Q{+{_% zdVv*`saG|;DO+PoO~7UR)(%M+A-bwnxup3%#%}s2+&x$Q_nk8{>l*w0_f4%&#GFBt za8VUOF9e}(<>z_pw3?M4w&S)|wJCMxC%o>vPDst{E8H_?ej+x4Plt7DT&&WGHy@|w zr(=`T@0+HA$&AvROg}T|#&=sxsoONHI9Tj+ue5D`t*QAKYzIm8#42-k@G{NGjYlhQ z;X0aCw`8B2*gjtDV`6d_Owti_h?p5K26cN0YLuw;_1$6DYOSw8G#XZ)v3oBt{tiQ} zDE?0a=k{!KSsqYE*?iQlt+`9w?wSohTKri)GgejBl7}ynZ)!1fZ+a(}8PNXh^0*k_ zP^L)rU4~5vd$)M!i~(%KZc4|l{TR2-l(QmFtNPpau;#ebo8--Y?#o`-shpk>+07>% zPS0m#u1o zMgJM+{4PymS86^2^%Q7vrJ*qYrq&Ie5U-*=>t~FqXgT_?!Ze`ynY*_2LDvF-6R$M= zkLm>XkNjgo{dX{$aPn_+mjlH)`%62nXq9@S9M<10uwVWUIo0?1)wWZ@``BgoU#0FX z{QWQnwE`H~uq?}u{I?reLYE1(^?}wkcNZJd&hPQI1rI!KE1n=wqYt(C`(3^}eQJ$= z(wmlFc)O#d?BLFfBYGh<7VA!o>Y>(}uIc^A&o9()PncQ2D9|H6K5O;N9CE&)L&DEf zLsuFco&ru}LIwI#%IgO;Wrs4pz0)dPf^yh;vi6_4Lk8FmB(Q^=7Z`^vpW~rT7mvKI zy5QgX{KsIgcF2K6Y6tdL=V&d+UG9EhQPsK`y>3!JIiXSzY-Bp)TL)IxSQ16zy+Cu$0txpfNJ`Kezfbtj3 zSS=r{>4Kl2?Q!b(tuqfXSYV)nO+3LmAcMzc2^F8;yb_J*FAM2T19l$fKO-{mQ+QI} z@M!zO3+t#`)~*>zch%F`aAU*2UX7cqI^v))NbXs%ptBlORdVW{?&d1`D$vy zk&N9NsDi%3|5Y|c-{x+M#TxyfrD@~0qDFI-rqn5u^`B@)3rOFKupQwu+TcsNJ4%wC z0+V(E%FHQTLQ0#RVqDqLiR=UONh{N|_(w7%NgiQNjtA4UK7k(lU5I<{=Bit1rsb!L zc59|!1p6~2{Nqm#knhc%(bR44nX8+N?P&IU^!&q?yVtK}sa) zp=zIwYJ1N5w$qGP`9ga8VM)-XHhD3zQduYn6T}EYS>MD>?X|rTnT@zU;_~Y z5T@x`m1`~Fn@~gG4PWy`V1k>dNh@Z&{gieHV&K?|8N{mUO%t>~URjs(BJ7&tw|?EX zR^{F~M|VEMWe8v7oLw1S*tTWu=e4F(fHYn0dqW9_K!gB@J9`mQ1t-LtfVikr{9_%S zlXn7B^J#%NlG)i40QMuVqEV&5BZhTCqSUJ1r1~DiCTuIySnv1xO+a?k0?5WhtqKGI zg()=zdh#Ismhl?)P}slDn;TE!#-iRkom!z2QWOTn@f+>gqZ3j^%yv|Td?x_1L~fD1 z!(fv)pHZ99bvJIgU^8t7PB^hMGR2O+w0MjQLlJL2_ZyFF>oqUMmNC+NA+;*`v0Fzz zvv9K^0$Bb3xWd{~&eDKlRiG-yZS=B`J9;zlN=loJO_7^=Lo79_&>Cu0UsY!`GyPyz zR!pqsi-a^^O?42D|EUk=d>p4Ffj>?!nz=v|`SJHhTEyMFBU!7(9qRz@Gj4&Q9oGGi z3ILoT2KECL%;NGU18l-y(%vHM$C^zBfy<>gy|87TY0(itjw|a{|GdqJ)%cIoq8wI% zPzl`kY9w%FD-x7}K2Ck4k05V`W&;NR0Z;c2vj#TfU#uxM?XT;n7pX1m4g8Na151$q zjC-FC0@Lg|^J&&!*T3AN^Z3Lb^sWmCwQj!4uI%MRAnTR>-=HWbS;TT ze8N4$Rn4mSq-0HIr`GL#c}xzCV^FjS0y9DT>tgW{K9|(o;i;Y0NSaKS6KRnFPja6<_B^f zna|=o2sUzH_5bz+Gdl9(@$9_7xP?zEkXUJjxtefRJ_zlRu#wpP%An1z{(dFUER2kwjk=S zebfT~b56P^2nf#_x1!{>RgKMrWg*^0)(&^VP_0{EK1c`jpzwo<=T2X9g@&;Ch|D9Y zch=V~24$je>V%-VvzexBHq#8ANfDD~b4Jo^bJR@p1?=T?kXy8E4G2(E4$-{7Xs}-uH6)UE$ePU(3v(xlIAx23~WUl>Z#m zy29=}@AR@pW6=`Mb@MBIK9lKz(R$|xgO?rsx!^Ay<64tG0UL4n#y|dlUtu?R-S}sN zx$)lH6CGRE_kTL!b@axan-hvH>z}{g>lWK}rzvH9eXy{bsJ{44$ zLbh&sTyHhN&UjNl^8*{i9l_-AmU&o`$UJK;;cexE^mdlmm&tB$AZ!N|f(BVmet85a zZ|z*#hdA?h#~dY9Yj^pjdhbJTnSRd;kIV zyBxkHNjC)Dv!`(9v-l_2z{*%b*AoCv3E*q@LzdY*?335a9|euWP3h(*o~C2>Ru?YZ zHe<*hPj@Hy8URcDG*@#gc&p&FU(gd)!rITnKPWlK>8Wp*9rovhyw{ha&|-fw9TXLE6_<>a~h7cGtNlZrMO-z+G(H2JA(LF(NYRoBXv4$j1$>T=n# zB}X1S4j-D^6jkM-^6+_!`l8Zu9~Bf$XF+PzbHANos*gApqqGeR5ZTF}!M)fUbDJz{ z1J|%ok2bDT2`;oYcl|vEDpAX7JGHf1%Qr5$!oIs}r+!|i(%=zatnzS_uP$u1-32BZ zR-mHUK6htyImWmwpdi=!aU{xOPwhUHAwB;{4KH~e2opVFb7@(F^ySHy_G*rVC-v&b zh&Qg8+^k-}v3BO}8ZGlG{hUd|e}{&8yqX^I3w5Rv)Z(9~zB`5u*o30x<%GMpe>EK` z)|n#eO!=zCKTbs-!Xnn8N?U#`k__c-cgq-%hNBbwNT`HQg{Xvz`>4{}+cD7YJSrVZZC;{a`SqfTYG`&NVd+FvQ&09vO4BKCN7qyPb++~4q@r4 zIE1xWhpH{2ZsT-nI$gQ_c;7};(e0drZW&>OuVr;h7SnBmonA(68Tse$Fr%tfv|b%g zcQxDM)Dyz92``^+cjh=bm9P8#_Fd|+!`SF;!3oj0r>F$gN2q4^gmO!i-PtY0Gn~Tg zjls;72ZoP4-L~-6)9u9vOxHg@c^$XW*Xd=zc4v{b!TIB^{8-bGD>^UYKCrAz5#`Z& z@5AGh4mTBPIz|jvFLg8+B-f-VKhP6Sv|Tc6X8ieq+SGNyum$2KkOSa1PXb74*EESb z8{L*sJeFT%?A|Oo^YO!}SFar_dRX_niz4v;&*2Rghc^6pSP4z$38f!w9!#-ijKdr_ zctHJEZ#4U-P@074=2SdnI@nc)zmji{{Kg42#;A=rxRtp%mB6Do*l6ltz2hQxbD|C> zLpi|h=GYSgD*}@Vf^}8dY!d%-f?{1)FYg&<_Wqp*@EW#_p%ipCky`G7voTKt;3YBa z10hCqXt6+)njQOqL8jV0!gw>vB-w1rPW@0TTd-ZZ$g-MYb(As!S5$|#$xWwqZHfLo}>Csum9&!j0Q|f z&))rT_FYf_8?)F}|B^gzW)vbvGPF}n(Mn2EQzn82Ib)$zwzJLQ%j`IC3r8qD^M$VW z?-D4j@^iYQmxNZB*}fG*LVbhnXl1Dt1M%mX3btGqbr z6Z(|!pv8Z#&8)&(dQgd(3s+nw-g^%bvpqT@4Tib~rYDECh*{vS^7C?9;H#GAI+s0J z&wE~{8WD9O+;5BfGKIgt`Eo6@^-1sL6EmaGJjC!aLEu~?dn^T~*LZp7EoBO!c%ZO^ zWESxLhuEhqcc=)L>HVEcYGLrIU`{eO!5){Leb z>GIB`)Zz!z6Y!?@*G#h&nZlpCY{v0mX4FUznY!VhgNct$nbcT8*OXWArwyXF$tzA_ znv~i4DS4M@WNOJsTMW!mclL8ETLPOk%LVgB&1R<^7cPVJ<&cJLp{_)xvVr_{Y_{8v|uMls3Z_&Ub|A;U}o=l#AOMKIu-Y2Km34Gsa)uRfOyD zEVJB!4Nwh6LW?>Xae`M=Wm*p*tVww%HYOpurDP=d=c3*~9VKP~JmVF+PvK=F|;9(5}T*VD6JQOv7CbrQ|5uM(?vBf$)Q zLAbWIxuh;m^=cSt3?m%Evo~Gn5IY4R~mK6Mfow;3{wugJNTW!F4qkcoD zl=u?dt(d-uY(77hyyvi!Vs?q0o$#i12pSQe9s!oF>`>A);Mi1RUL!=*k!_VhDy>`} zee$~t9l+&=Ve|!WSEh7Tr)Bng$7r4Vs*^J>E9UEBcEK*=%$i2!jBW)LM8#2Wzh$!5 z%p5W~7efq46Z+pmvp!RphF^d9hQ~!WIV)8|`e;O9OQ~;QTy#HGQo3=lZ%ZX_zI>8B zXPi_jMZ!-_zGm*`Q&nEL&UGU58PUePxmd_etw=HZdp;_#$So3 zhL%ALw^PUt^=_?tPUaB1q+@f$6xH+R5YjgCIm(uXs6PycXYhBcw)%7ljrerU}{XIZ=PSu+aq z^?N~W6G~&5RQX%|)-&Gr8?2xwt)7@HA_b*dv>Jm@;D*H`V^n40{wCN-M^-`BooX{3 z(WJgR@~v>!xM)|~hDs*gM@Pu}w)f3FQAz^-(UGJCyKH31cu}ip>KRdHg)8e@Sg$Vo zlW4W)aEllyaD&XK09k7`TG0~K9~plP6F0v{6aB*^O2K8FJoU=<+|toMzwtkGMf<#4 ztgT1$tb-v7;4#ZHKvbU8vq20 zZnic3Y2t*{S7Ixbd?1wNM$c(c{xI+nW^=Q){GVqu=z*g{ZH)K%$}@o-o{3~aCsq+B z=m4sKj`{TJ${t*>@=ScW-iiXL)TxFpzMsV#qT_V=KMDHCjiF2Hk+LY_+05P&uS)fi zin+AIlg;E$X2V>z{LU(j^`i;BJxP|U9f?!C(e~oj#t8b_Qgy|HCb`vD9pGl@65Din+tB> zkZ$)GV(p(Y6HK@X2C@6cMn4?0ZcS8DqlCY{i}5!Iixc_JDB02;x`MHs*-Pn?%N?bn zBS~WF9UMh9;F}{>>9-lPJs7CzZ6@!+f)Va+`1wbsVY*J~3(`k;p%!7O(tII|uJsEc z{62wyJjI|38Ex$heX6zEFrVG%oP@TVv=~X;IY8#F>9)KO3{w+N|EPsW-Pq-06pKoZ zzv!#5@s2K&d1PInp4C9I4Z3z;2%*l9KRi9j+aeyK$Lq2JTX&vaPKA(TJ!Gz_oV0ve z7PRENW;O+AaRUVZ7Bc7(mb*aK!=|jKYa$%BTfy>VY5x+DS->UcVt_P}o$%)Iz@k0Z zp-gmK^lz%1w>BFS(P1ThPrhI_jZA77NVIUGMQqApQ=lfLt$t`CYAF$M)AvEr+}C-# z73gd!k6oR~vo9BE8W|z;15Q;u(v2$#*{%`dd~(V^;{!D#h#hQW{yI%e)p@onSci2|GYG zu~BYZ#r-*;qal=O-5o3r>tl_r!KY;(}pDH~-#2IGu)^ zw^?bu8|i;dDPj+0D&`T_uXQ3wRyY#_?)73OYh5(EY^;3e#~_`Db2X;ulXufd;|*m1iaWC-)R2CpEzMc@l22 zyek(;?7Z;|{!GW1J%F;E;kf8dXSh$}E4r<63DAI9V@1hyI2RefI5wZp)v!@cvARAecE(38 zmi3=o!m>Zc-~nh7(%%F?fmas@Zt6pUq4N0XxJM1;&2JJH*&fpt{-&4eaKblva_Vy! z;kkiCiz|P;70s_-DU(-?-n;A|Jr|R?<%XL>1h&rWHm>6ZO zTG=PP_fqA8GOmNvB?ONt=df0H(O$MAD@@{}zdI|EQkZ$r5aPzkNyBS6Rc7zw4N#&n z9Gc54Z>Inb^dWXyKJA%BBIZ!;fB?3`bTr&iFKK=VTAP;`{n1(37K1;X#d~EWuQOD} zZ;OEy8X1u~?ZnIPaC#opHd#eYn*3aQqM#>L?*6RepfNOO#KA5cOE|`gJvb6;AdX!i z=byL`qQt973iPKiy9US_X2TJC2(PcmZ{>*CG&M9hU~vbx|T{|rN} zx&y-B#>(+_BYx|rAww4V4TVmIJo?~z`{9N;Q*~gS_NEiGq-6x;C4JBLVgdULRj$}N znl3_N3`QJ&Y}bZOo-uysQfPzeKncGvuL$^MQp;qht`S4rm+Q;0yev8Cp5@sP&LGRo zMj2MVfdtXY0naO{9u9U|+!bY4Ew{r@CP;hx8FE5M(qgBijmFA!Z3>RDx5M+(P6DBw zq8?8iu6+5zBda!lVb2LTjp<8lxbudPXf$#qC<&Rt`$M7PmE0pi3R8%M{5J(LM&WzSBf!w>6RxImlnSU(UGk`%ve z!l%>Hay2gEA>k}e5f=>r_#P zC-uB{6ddj#BmUg$rCbQmzr1_mwvFZp9j_~^=sB14X5M(9x(xB$Z0cSDfhnXa&4fwc z{%-g5_S0pyC7yMr-8koW>m7ktY+e|s!$}YPsgot1pUEqzZIF_b@?NaIp6G$2Y$g~V z2uaG~D0)t23cr2Jlzv~t_wshH4-D%}O|l+|R2`>Sv?xE3@j6UdNQbP=7;ak)80{)q z%?3Dq=Y(-DaUWC3O;fa~KclBtE#;k{IV+CM zeM|m=Ycm1yWlI$ye!k3{RxZD@IhSG*3?q8kDRz_WB%@=L&xP#N@=QtOo^g!z?j-@& z6#2M352|SUh~5?XHQ;?9&G;1~`1J1C5Zz{}fZdZRC8$l+J!r7R;uE7kJHvzw`KFZZ z%OK4zvb)*TYooCXHs@cOPYu%R0cR3cLeqtHU-@x1+sf}FcTn;EwWG>#bTDLVXD2A>PTwtcr_`@~HXU`^`cM zm7@ zc&G#NJ`;p>e5HK;uw3+B-$s|&K{j|eT{;eBNRF?ZM5_Qyu>&wgW-zFd-*3+mevp0E zjEj;P{zGu@j&-lSB_umYIWOB&)GD^qV16PeS-dRqzm<=)lZgdO1cBal2u_}pcJod^ zwai?*kU~>(9}QG4{4l5M4zA6; zgHqtoaC?t@AgVrFgmLY#Bn`g%Fei)Hyx9?5>k|UgWOde!V*ND0`-QrE&c$b(A)E9{ z^G;BViTJx@{qT~Gil0r&b09z3mC2Kswz4dJA&(4U9**YHi|k>RR#$KpSE3Gl^AhPj zX$?H3;Qyscgk_H$OMjH;V+Invg$>?kOaEr!Zw--&o_Kqm%P?HjpN^;K@ zylcR3K7jNk9NJ+xaHzXSoT*eSW_g4)O2r4uhz`=T!}7k>IrrwHLw`I#uPs*(x;Sp# z-6kDfTNa6~bq-O2LqQv*=Qg#z#nCFZWS>cbzYI*mo5WoD5?!Tdy4+*FgankJrrSp1 z2f_S>aidod#HF6SEJ-l;U3sVIX(zYFSQU-4St#MNH8OcsS|jgRQsFze(pjm8ycX zFbXwIM*NboN{@~yUvx=+bvj~l>?l{iM%?0mB4_?Fdq{9>5#Li`(#Y`ME}BXXWtH%? z?HG;xF8pN)bT-9b*?P>6f@w?qSUMsh?B~`;CLsGdGNt{xlJ7`i%&M_ZWJ9DkFsDE- zmZ}$rWD?f&j(Bf>=iTo`Oy-Z{-g0JwLK%*6+*bhK*XDn9(x10+7@dKWt!)ht@9Ftx zvSLhZ6Qf9UG(GDBps3_3_7-p`PnLFvb< z@gL)?T{!-Es``z6yZHt)oemDex;0y$`90}%E#!oC2RFg z8}ukhQbk6WbR>f66C?jgXXv>;_w)kwBO%Wp*JF0g1oAeWR3D4o)ul74ViAl?h7kqp zq6ii-cuS#tLewtPu7p;PC*BmH4-1iuip`6s>;c!(1LcCc&D?lOX&J+BqR%5}v9Tw=(?^Abw)et6yiIQ@~*;bX*E@2R#BN{szT zPx8AN23|CcEaq4fW6#04Qv91I_Hjwp8@qRV4vB+YH$|gsLqqUg--QKs(Cwq79Ya#C z=Nr{z+QT@(WoNl{DCL|^p|*`7jXqOkz(4847+zNPtAvhtb$mtC*QW@D6rpPjJX!zI z`^+&$AcHQm_hK<9CF)Cm+R5bJI1z&%0xOKC8TD20G<&olNjX*rN>nTz8E(8`11s-> zuJGq_&e#4bO$VgR6@aKA@+^`0B2l}!Bu@E(EDHK_%sV8ByM&QDtpy5N&Y9w(G&BPx zKWreV*}&|*XfY*Pb-E*6@{KH7GgY^tdpnt~#t*>{(R*~-L=i#C290SS5Qz|ZHju5p z;-bSiNSr{xy_MVEr^n{>0OFyXqws9rO;~&!B3jD!d?1+pFKS_8Txa+uPBaI&xscc zbvP9(ABHBCX%m`3xs7SsZD}7$ShWz21$NqRUZrGTmAumHj-%D=l5|d%EZdtZ{2Dzd zG34kI_uaF>e3W@sT!Mdsg5$KO7-K))xkyHOx$Et7V4=LkG0Cm$fWYx_h0`g*kyXN; zT$yH=^JR=oo8UA@^foTqr>`#H8-|%ccxx7=kUN$I9&TCnE8tkVfc;oaQo6akle+3S zvMdmtkod?^4~o>Zh=|tE;C`Kv zU9P#dg-7$VQQpyQ!yp_6VKL8hOtBI-EdLlA*ZSOGRGZlFw+-dIUbmioaJK#x#XVf? z-toX#EIu$mkJz4Iita}*cUfn*Pwjuu&3QH&NzQ2$mY zz4&cgb10g&0Sgp^pr9zl4NKnKI(J>D68#bv=xPWPISOb@{vj()8D_dw+B}o@xqRb>O~TGyjoP5{)R4lwTXH)A_861z z4rf4lwGF9Vhel&tsq%Xtp)o~(C5XT=tVlhHWBuI_V#u{z8N~<=&-c;>9Yz>5TpyD?Pb8SSIBw@z3EHSW{TXm z;OuN7MkeFh)x!NtI3i{x0ket|OYF?)g|ax(iE)6Vi3XOPqCM1I4Xn zDjyzbkq{9!@DM##htGIdDYNS~<_{_t5d#XJRopTb=@we$>$8GqYMB6UU7u6npFMiS zP)e_ETM>6q+(mvJJH^9?S6F(9e4tF3k6z2F;rJ0cpp(KDm-3%hSEie#O`!7b#en?+ z#oSllWM|uie0(uq1DY1LKvH=xUD!79refIloe5XpFg-q6N|ni!KZz8t9#3%{Pf@8H zOkC$sdp1Nt&x$D)4S3WO`At;G^_F1Kkx4<5T@%?!mqT2R5^e3)*9}$-(fPVPU@}FD zL)+hm`h_##emY;TzN-AqDv{Zl?5Gj?0AMgK&l&bINt?lbisqnvz|GezPM~yFFy_7e zc5dm^**@e1-7%AscQ45QyhAr^5USwPQ*>C?l7M@nLFTwV4sm8*7Ia@)atv-%eEgGS z>Fv!qf%nXk67+=q^MrrsDfVw04zfs4i=>M39zF=F(bn$cjI&Po@$AGCoo#l#y$22GkBqG6WAN*93gP@o!%W3Z9K+>);9h^owE+41 zgyMN}%m;7%HGV_#N?ab`&{-TIu!fe2>KBlg2wMHlj+GN8AmL(N*$|@T{i>53QCiO) z{Go3&kIGN6?O9l(#ONy^e>Uslx;WeK+92t@UBc+%M&4J0OMh%*_dNfCkrzY}%k$qr zMcHq{7SL2dqI)Dqwn4@jZn*AuU>+yPXpnBAJ6(ZQC1n>nW!_`Y>IZtnl=Z zg5UH!U6Catw->^v%3mA99w04^-N&YEwQ9fxl5Mp_)Wg+6^xRdQr0 zD;&{c*G@Lk5mxVPg11`1FRqL_6~t}x4lGuDQuJaN8MaAI<4APE;SgA2G6n^7aZhwr z;8?C*@KA5X;yJY73>ukZ)=4y6B8%NchyjxLd>(sK80?d3!s_y{QzF5lc`WjEXz5p0cIJ za~|P*`chag}~;`s`$odoweYiHIofQVAar`YHgAs`P?| z5(W5Up3aozPQn?nI2P32U+GLW?|*F{y7aAnynvmYDKulHeHwx>|tqrOQ@l zW%*_KXgmCErhMSpZkZMzik_4YEL7;@58k3cjmp+ZH~}Oc*L9}jk2NekAH7i!7kxKX z@d*W4#7#S6;-VXz6_fQ9#Af(7aN&boVxl1-Qe6@OR^Xw-qg|KqJ+dfowbF4FE*&Jw zBSv1j0vE&}r|3>w@1jI%NQOWy2CUm(i@=y~z;Pmih$e>2hD8H@Peph7b91Rg6Z4y+$Bc&BpT^b7&GQ3(|%H1N}%2~T%X%C0GXn)g!T1u0Z% z1zFaVAYlQI8p+FV|(pE*p_KDNh3%sh}!Ltw-L8vN`w>GqX_=B}6GU3jGV+ zF%RM35R43YMVN%v0b*C1DMq@DM1D$D^lVm+rYn4c8DvI?!QPkO#dRz0rLbn4;%zE? z!Kq<^Bm%n{ZMQZUR&S5I=C~>wD7a5e(#6{~CUQ8)Z&RJ*uB5)U0oyTsXTo-zcJYVA z3vA>ZecI^~78pvHWjeq{DTUNxczpihzWJT52}PiW)75+B^@H%jmqws6-N7%C+KE@_n(;-B` z)~KY-X2XyHkEVh_CwM<1*sX$Z_fqz17`)T$p4l8$Zafg1J}5r5|AwdLp0lM>*2rPx zbukM}xPFs1hD)(qxnt=b7?k-H7wvi(bMa`Mm~r|qT_#CJ+xe`s_FL!hmZ8GAh3XKCaRRP?8P&#t<&BAz< za?b>TnW4<*SLE?_^Q?#ymcw@Ua7BBMF~*6qraePz3znRF)K9iv+e!N8EiZ@3uv&_i z{rS~6qS2wHM(em{vGqY6K>6bjK`6n z6kPDSgkO1PSC;I_mp0E~c{UEdqmL=(+Z!nq(;sw%%_1FW39^VP7P7lCrHpyZHjcHB zCCOCK?AwPb^eMVQ@&rLsYEs~_*!-ocM@USEQ;7QV8>GO!{YX31@I<-UgCH({opu*6>UtZ{qxrbansLC< zBi|7KR(Gl* zW-}o&1;zu6BD(d$D#EX-+s|tP6MRKrNf;Jv%s@Je{%W7D6AdH)tzE%XG$GZ zpZegkC$NSH#kyc<$9Ul3G+5LGj_2%a2gieCFTloz4^`nPg?0$Q{)77ncX_*chMbZm zPmESLE27`a1HXX{CdMJ9mniRPCtRq`8g+(igi87LlKX8nudOQN*&G~mNScc~*p}{Y z4C?-ly>mJ9h~>HMT>ajD4NmignczH@DXW`s9#6uJe}5K9%+6y|qWUpkA52*6Aza); zKud!{6oM6FrP(~j0+6gX|OF@Oq!mE#DG| zP@&Vhqk+WV(DmhTKF%TGe3K&sdZ9ZKkIclyd+xub@$+FrdZ@B@g@O%A-U^Y$NKK<8 zJ=X>v1g0tDM){MB>S2n(lyvb_wau`AEy|SK5v3mk#is48>A1XoBSi)i72j1vtYcSW zUf^Vd13e|m{^k76KW{MM=6xh4of0~J=!53vzFn0V{b`3Iz??{sO>98SZL&3iCZ`7in{T_&{UJ`}fNdzVJc zC>^iE(HpRy+}7HrqmM**C)E`DEm z$+d;SiUup`U_zD&5pg%4;*+kpu$l5FP4XEKhF*%Mg8BUCt3r&Ol~Os*AzN2M_ysc2 zf=bdf+cJ}55LV}c!A#%nkL+A(kJI2x+dArGx-~h{*a6|6BdH#ca_IH z5P&J2f{qbMXXu!F51?|VLUe-fBWT}F_)tH_@#zMe(*|JPTmlmKY&(mwauWTdgF~xN9yvoSpRdX$y;I)R$uZ{N+E&gVcK3PGV zG$b_xmW?cO$q#f+;L<5#QvHcgZ=q?K;3bai z@+sJRq^-{Ku;>#ZFNc+Qy@Y_7TgPydk+nqlXP3Pn;MZ%ziS3Z) znPJba8>>KqqBv`9C*_YCB|W(aIbDtDcdUZg3+pr*l^f4|Nz|DNCo=-l6*UCpa069t zrNtvHktqQ03^yId=qeg4lhE@L(06O0p@b~>eI=v?P6BjO#jFc|JR6l;04?O`D8Oli z@+*qZpp%I%556KcyM%8rYa$oRnj>=j7EXD-+(p_e#w?dY2JL|=@x=0*fNFE|81w54 zg0him1Oa<-rrbzV^0V=)9*FNN=O18{8P5uh7IkQYztoWGnU_0Y)z!wkpa4oLLt)3wR z(nV}~ri8hu*FlZRI+ukcmgn`taI(z)a3hb|40bym@7cgsT1qHO9G;9s;I|CHZ*wqe zJY=@mAt3}yuomM{(i1+0=?T#+XGPikI?Q`Gb2blYvr$zNugKqlm)EPo_N(BF8Ia8| zV+AG>q`HhA^14x##lSfSDcCnk@sKbu?_@$t$4v$EU^!QJV2G}N2Z*XA`5%R zd0JZtU|oJTMZua^Nn;dGfdjM(nCA-_Es-#dx%6-J*WNtMMgnK;NF;uQMlM}D9+@it zJj({XPM5x5Xd09bkltud9sE{n)-8IC!o)VEZyzM#EccDD+&XCK4;oZK@JiS`I%FTG_DRhdmqVTPcEJI9Fqvoru$r10j(XTsPiJzfY=lF zl}x=>9SdLGD_#lsI8B$wo%`1Q3oZieCM4L0l)iv;$0Fxt@eb&xBMMLlpd@IRmmiwl zWcQx@b#1ogiruj23Q-fBHYnJt4a?ePfkSX8P~WGJv(K+W7y-Ppl41#*=aVx`x~xQA zRx)%5ap2?-46=B0RS6Ej%6DD9=v6yIISFqAYgFhkA(brH$4bd+6N}iUnLHXROfwBe zdk)iGb$EP*_E)S@17w7!L*xT#;#jP_SqoG^_EIr=!;l7#mI>_k_-Z9i8&;Wwmw`Oy z+kl0*XeG%h%8_?GEAuPwh|O8Uln9%?*3mNtmf5IC11@yJeIM8H;;%uR-}%qWf3D5u ztoJOs9Wqc87^Qq@p2+l}T5F&Gdb=-oxn131aeVadB#QUx(tqMl?_T^$y}-MQ_?-Py zCF)rG|HssK2PE~q|F_Ltm6}^K-)UxQ?yZ$M%2k@Vr8M^-bD|=dIZDw?)7+V>T;#-o zU@pqN5;yKeir_*N_}xC=e}4aQ(fgd|p6B&?);;IY=-V&9i5vFgj(FO+ZKPKzQNC7C zYM*C4WN_T_pRz2vbiB;d=}vS@DW&vybmv`ep2X9o>oKccR-bB0L%+Yzzv*U)R&;Bb zJ7_0y*FE_Ivjo<7us!V)wFKlvc_mkaPOJ_AzJ$1{i9m?F@6L! z1&g&B9sbRIR8XpRSg4~aVYL-6Mb6-M6WFs+8elxVbkzEOJgIaX3<%*LvGjlXX`09l z`Mz;bN(-~ZzY@MtzcSlNw8V!y8gs&*UU!)8TI1E!OG?P1Xc?VXsSd7_bCvwzC&vEx z7`{~apCuUvNrQ@hVWX%hzR9VNtlCcDc*5=?aI#ue7x=Zu@yybCwB;o3KZTn z8TJ-SlJ(0h*>_HioT~1+``-T~TTFOzis;BS@ra*JQeH)J55+U7L|5}-yH)1MSUwI3 zWlvQ#n?<1rANl$69>%h!oWU)gv7c>j;;9cvjM6XJ)uL#5IGX_?qmO zW!-hp%f84>N@;Af0rnxj9eaHd&s&bZ3L6{eX&4@Q`JIE8k>GT4LEdn?dn|X?9+}1YEk&=KUYu9!(ib$A%J{@Lq;~b? z3)9!CI!dN`T-^t|yU$kG9zJxt!TRD|Z^PU;?~T#hN}nMrv7b*y>#L9}jZbzhzDi)L zP)zb+tJom_K9T!@)16X&weyC$+!@nu<}*6mR;NaTT5ylqAgzk>@HlBT{}vd zy8Mjk@gt#5^+R4*Ozrh;^VBz;Khm$xYrAB@A&x2V{x~aA9H%$%lu_Oo}Mg< zxdhU6HV=Eo!spJA4uA3S4@04q&tH7~iiTLtk)M>Sp5ae#m52Q<+tG>UQWeRw=U*f5 z8NMDn{QX4gRg;*NZ)B^v+DWo2ythF-_0Y`KvyroRU?uc)R!v$_9C$GG=`i8ZtpG6v zj!dNp4~4wx54ctaEXkIl6SXWMFnk<)RpD;M@lv!-Ql0v_goBk+g@VpK(}VqnZ+a`t zv5ZSz<8H|H8e;{HkA?f?G!ncPr~Vb?pYSFx!9!3L=X><(KHsq_4%EwR_mp8ZCnDc+ zqV&F>m|fS)8+6fjjW15m-r6|-j(Eet7txpTOPQRsx*e;gAE@X0zISvtF!3Z~?9flC zCE3~A{p*z&GZSifs`D8^eqXij+K&&0D>TxlD0ceLp`Q1-cSj8OV`Fbr-^)atGiA9! z`tj28CB&%h#xIH5`rkI9pr;QNv2{!tpP3u)8cp1plR04a&hMz6yC$Zi2s>5C_$c7| zB+a8}eKy(V?ueBK7LyjtZtQWrkLevE-><`>toFg{^teO*n;zmSNSEFUB|N^gU`-z` zR3uy!6hZ!;QndN(ovd2Q^>aq(zZ??6av#wweNNs1T#fjya}PhhOA9%1Q$L&yE>ppr zi0Z1hSC`-kZ@=#83srq{w6@UzDx4kPvCs%p0k*Rsn3SxRYUSgNVao3VuEvE3MAWob zKP*hTU`i*SH#~W>#iqOV;3LHIl30Zcoc2CgTjspVd+QWHIXC8hFQvwY>#8ETHzZI-vQ-OP`#`e!hc)ZuL-ch}mp zABmsPByjFu%{YIv?^xvcIW_9#&$GT4o%0uM4-9fIso!&cYOAnvx|bgNJ1rYiJN#MO zmZ|Y%_9qQC%#Jg%P-yZkDVFQd`GI+>^}2(rDfG^YzyDKSE9JBDSNbjvk7q`lV?hZa zUqlvj^=#w@c{=h46ka+gRH{AS^ZqZ9BHmg+Y>npA;#q@f8=8IA;ht5HFwQNPGpUH) z(dwUo<7>iKYR*L0HNHB@6iDfDt{H0|@qahoKG=r*C0U_(XQI4NiF~Es=6S4(ghNAB z;Des-v1ebyvWLUMCMC;Hisg^(UU9dUmS9aD{4BR0fOwi|JHzTTUCDkdfH z2IKMfgnqea_sab(KlI7?oRyWb(>>}`d)}1{?+E8sP`LbS1pZ^bJNx$dy#m-PlT#c^ zyaLYAb)&iem9rbEEORwH2)ThBto%=qLx*=N=TWekX+!dvCM%`qe}%a{$-@P=-={YC&|K50@g#^|FqE?txE~xNEyDS#T zqLclem~v{s^U5oWljx5&IA7j$GM`;-#q(~)sHY3m=KkSk95#KrgO0iCHF87a8p4JV zoHTY=+@SGl;)_b^Z*rxL-aTaP`|ASH#(dYgTGk3as0%1$9H)OY_9qOZl}h-w+@yPk zN5=2KQ?D#DtU8HDt&Aa`LyY2oFZNlE+i{`YLay($vKrg@FN%3+Kbq%Tq+R5^lYg9f z2Bf=qZu(ia$CB)P_lts~i72C`@PaOhSA5UD9KR5Y?H&Eh2nQBMeKE~kHeV4-0-yO% zoeq?aHEEl%&7IG>mh7L~Zbgp%*O+boV1?abzQW3KlJCVz*-c`M{V)sPvpYT>UmIf{ zcwF8=tHI}3;FD`28SeT(f+_A{n)wYbnXG)ARhN(-c*1V=_#q4cgfEk1V*kyyIkc{{b^s$C~=jJ2Hw?jDyVW&to&84`p82{OYAM z;9buv$1fCQSd<2C8EMStT~ZSzyTON6aBarPiaW4~YU8D{SX*4T zT=&DS&%~UugrBa86Pb@~uV8gVmiF1jJ-9+Q)}andiOS z|H=zXKfJ1A5zclTQ~$Oh?$bqw)HwqRV`cxs;}3bk+lOIx_k2EGleM4OBVK4ZFN(In zn!I&g4Yv;M!E#3C&Dr?}RJ+ecIocJYJX)7_lTB zd~lI!13-WaNL)FtlI%O8K)yKMU=*lEg+Q8`#~F_ehsZn|_&gw}iycW12z zX0`V4JanD8N+$6U4oVV!fD3Ub7Z?#@g zc(hv)#9nyJt(ZIZ&>2(DYe!Vy_mW){^^q$z;Ch5kV$rTwhFaV4+bIZ7ICeQt_Wa5s z8$n*&&5dI7p8WNU^1B;~|GX6TiZ%MCYvfI~!qn8_b7C1>^P6fhU7nw_U$oW71UQS; zn8eigK2p%hIG52_+>$Bt275i$yf$nGx+HA%a4>i?ZcE*b)N_3rp^YdKQ-G zb?b2o;KP3fJuP%I2nE0P3O49=IW<)lIqLX+H`*{SemBFA!MC20tbJ*{AX!^*Jt}!t z#3Rogwr2?0OZV9{#Jvlk8Ct!20_MeKeUxQ(s#6hLhSzx$U121t>f{LCb!>73|GHmt z?#1;FhD-*buL`5C3GuGKHgt=N5E5B$H8e}yEi@cR2p}86-jUPwLw!32-qq?{NUyLu z)>a&D5$o?{p3(x;N!qP51SO_Qsn)Na{;nk;$>ZT|cGi568oya}$1~|Z^-&?m{U+O8 zXSgBmeJ!|wVa|np z(Bc8-;z7^CK_n?m8FOMpmbSseflUfJr>8Bf^23C8{eE(;Ad=(%o3+r;Lbv;&u7xMI($R9VBM+%^h$ymfaLG>tw*F~z!w_>G z#-gtem+l3A>vE z2e-L4tACi}Bpq3ij{1_dg-FSyBY)Bnj&x*_j20wWT@$)Q8X_I3kdD3?;u9&BBn{c} z8mNHbW|yH(BIOfsnN$PiG2DzX#Js0)la7!J@w>5xvDnRUPPM7!$kB*+JUEf|6Li6~ zS?j~(da@}m>D{$ufs_dfi>tThq+6C^gpO!Lo@NB`ipPQBVNZa!g}%CFp@o6ENuh$C zmXw~lbKy?c=8m2^tdQk?DM;K}H3IbCu%4L1tzpMx8R7NIz>#gk*To!e4i||DU8Gsd zM1bUmXOkyK8Kchpv;U74E@^pmD8| zoS?%qToBsR+U8)5zqx-*o5SPYqavgxXOKcc1MVdb+Oc|$81Bt2JbQCV9Uz$SKfxUC zu`?Ev9_eN={}aqq*S<9uG`ZaFvb1M6v?aRvL*pADIGq2V;4o{AzwG}cZR!7$Bs|34 zECHyPmnrs7#U#(znMFWFj=BFy!q&ELRc+SxxE=l?AivHyPdz{Q3_~x!2nQB69i-6tHSkNj5#zu+#8(ZU>P!NX)Ft+l8e`B-z z#?D0jQycX0-+Yt+gPk0z>@VZmdkC`FzbFAwktyfsltvF?O6sbc^T%IdPaYXGXDQdu z&t}E=u6uQF?TQlX;67@RG3Xy-%TdMCO^&(Ok3dx0jibBi><+#%>s%mVkyg{A+H`6a@}J}URThz1vARW6@hx_;>7Vf{ zs%`kM4u8HcOrw9^L~r-pMR|Ac+9KL3HzjfHz%`Kxk({_kkEDCp$cVYE;6gUM-)QPYa`;rhSK$1l&h2>wrH!Nr`QzAecWWY1`%Z=fi8RDP15?{5EjPOl;#rRr zdHZCNijawv!Cx@f!eWG^#tK?~feeEg;Dgp!_KD_d3`mXXvrjX{f1`z#pw)92uJ^LL zQ=V1$UdC9sw9O1y~+fO%|KH%jqaq0h9Wk%gy}sw2#PG=;eS+hJlH5D4p^GOYT7m1mkYm`(C??P= zQe|HJ!M9Upxq#+}38;vanTy~ljEr-5!pW5d3WqI|N-98tex&UOE1Uyl=8a1EnWrSB zKkTPkLnaVW+d5!1X3b+M0E2jY6l$s?gbbJt>JON1H#&q!iK2z+>o)GzjjBEUr^Kdx z_`VNC5>R6PpAw%|w-3Cx=Zs`#m0ppyKy$x$lw6R<08y(27Ft$0y2`xRAq?xh1S?!Y zh*s1A_gS46$nQa{C%Sqfw3ryg;W^O~z)h8{EX1_en1}pR;dnq z@H@=Qh%o&)pYmo#g8s~Lt1rBV;PqXWdx!jJI!JA;lugG4X|yRh-T~F#<6f-ayn~Qh zXE`ugW`}!nh^}X;QX49xx^KZyUFd6Dpy4|Gcpgl`3C4$}NjzzS^_cLwa%(M2pgW|u zK4>~fYVF2$OtI=4n85kORF!$2!=@L6-;Xb0M9J&6uvs1YM}q!%|B<2c`(r}du9A!F znkZ44*Z8qR;xEig&0qe}(>EAkub1y-JMtGf4+pZ;PnS{d394%+Gn)2ulbo+mx)ujE z2O+z8tJlYFt_#?$3ZXRVC_!x9Zdr)qy`bi?tuq5FaF2J^UsLCnleDIQ|-9x4|L`n~C&}cAEM6MQQ-x#2=H{vPrpq5Ipb>Tc>#QbJM8C zdnQ`b&#nMg@Eu<|KDa;K1$h}AlMRpq+$O$yN~}qqzk%xwwEK zDtU>KalsS+N0Rhhl}uIE9WQ^+);0 zz5#C4yVHR)Up>Z#nCYQdctUNz{Z)onhj=`miq`FbJIFe&r$g1@A2Rne3?L12}bwVV0oU0TgZ})KLPZ--% z;GZPoNuHcXko}mv1+P*X?@@X5d7c5rp-U1Wmd21|7zCLSfEik zx{f?{`~TW9l$Gc6`1m6-Vc}mA>gH5P(Sg_UB1Q2A*Ifa@hb%$%dDVT>KX}ktFp~*q zx&JwZy`H}nWU`B{VfY@^?shlnTNiX>lwIEhNE&V(fUK!t> z^Y~fAy#{`E?ydqf325d>kMgdyev*Y4vwXo1yn5a!&51%-o zzzBKUALW>p$d?!XKv2I6a*LIB*EMsG`KMygV%KCaP4)T2j<_BKXmT+4Nyj>lW?f=x zJ*=XvF;KeWvg_qiZXvM2PYSBYIWghni3p@tFGq1V% z69;k9dmJR6lB?4VxG~=|H4#UwfiDFqG5OBcW}wIC6yx55y`kque-5<4Yj zloZ(4pDxVtmS8`YvUMcRbNB`$nwU}LK$y<6>%7$U#E9l>=%@3m*sm~^LQ7)7xqL+ffY(ov1%`LUQU~`c~&$AZ>x03iG}>( z04aNaY;Fv0$m6EZPq`I;`2(vK*f+=yJAS&P!R)#do}v^EFk=5|?M5z&>CI|vOcH<^ zQK-@%E#hyXQ^HzOP7vSUV+#iQ1;<6`thv8DiQ4-UW{++P5f($#-$(xP{hW8W5jYY# zd9yQ8?NrlwMnx4HPFScpT!#rl^H;AHs5~-Zo!yfYZe9&IC(?;i($ML(w#MSyW~a~2 zuFU3RbcJ3Xe@i@+8T=9t%L}t=L_cI*MBS2&e5iNI@5zD_+VRWG$SB ztk|2PAzy!NDIw{vb3VJx;`@2jQu4rF*OkyRbz6g-`>gfre7QDDPYPh|nw6n+E>zMy z3%+5}Rz&Zln<|Yewc%2)yenycxECQnjet{^tdam&9Dg_tMY2Jy^NP14mOo{pX1Wk3qUMlvyFn#)u`A5YV{D}uxbTTQwa7B$_X(ZHNd>bZj?q<)@il2 zd;Q2BShFI=nAlzfS!{-fFDhC0xpBO)qIri)PoR1T5lzHA+wp-yATwy`$n;mlvkKHN zGrE0w7ZtGTeg1D5@Fb<8riUcbbV#n-yngR+Y^PGDLvX8|=g%Fdb}Lf!QDNZB^Sj%} zoSO0Kz!3{jH~j+HC^tFPBUAy{cKh#X$Le9k>LaF5*FG}tV-7)6YNG&zyC(u;*1oeM z;sqgoONt;_zJAPlA8@6}As)BW8D~-iXv6;Ekyhajp8j8D_ur!Bc#X^M;U(t&)Cd*J zX2%O%n2&Dtmkr5ff|f1;`KaGP#Nff@dQwK6-re+b(vzLCC)zPP*Qkmi`<)EN%Ux*> zhV{scB9Pl0P0r}~VDFFMfInOYpS9}yh()@QXIn9(+ywlX)SQEo@ z4C$cR4%wId^bAD28ttC!hm7t#dH_14U!LH2Lfbb9l3vbo#~t$^DNSR_XE_GUUSaeZ zd)eQ1{ib(5)n&&vVE#mGqGP~I-djSi=z;a7>Q~R>%0Xg--!PREje|xf{prs!zO%oW zA`8mb~YZAHB58$K0 zqi!udcip?fj6iHI$eo%REZIXI57(d|(1EYHhkuv*DZdbQMnF1_J5D)R=u*}*DLszf z`}vimYC{L}Ey`&NuHNBE;!|mhrQ^>?i}5!VA&h*u6ACPbz$zU+ghd9M5Y$Xpgy4ef zs^8v{4s`?a6l6?FIs$)FWrEFbyJ_imLe1VaViy}9Y2LwiFz_PR9=1MfUJQ|LPwc4T zUzj5uPK*N!Wm{e-7~m}iv5Py-HTKS%D*eXi*-QvH7?JXBo4v*4%YV%P>TGtvzvUYZ$GGbi$zWEyAB?BkyaD zw`vZ@XlsRqoTa$6YDRbf%u4{c$hB8zAwU&RQl&MWU~qWp;twvBfSTtSoPtn6u%@<0 zS8PNDTWDcH(&DDw?gRhn{ouit$w8H~mxJ}D>$TU^eAbSHsrB!sd{51^4;dI9N$+D{ z{K7-7L+3Sr1rHkJ&RpF+O~x{GEii$7l`exiW2Cc#{x93b)c{9BWe(gsRbQ59<~z51C+dX3OID{EqT`$tFmTCwU>O1zAPo{QU^ z0j@c|wKY2qU=REe{4f6X>Qw{0&)j;{Ccjbob5Zq%e;%dfSa|!@Euj-yQ;QUhrjuVa zgZnDu%g?>t>6V;Z=Ge3L+7hB_jGn~#XIfDfW;8)@LI>P;&~Bso$HKFNlFbb{Fk zhU?wmpbF^=x$7tW?FSKy{K~(lrZqZ5$ZgKj@c04&<

V6KU$WLVgi`9;|Xew@jsm zSmMOhP|12f`&rkKUcj_J@9%(04;Ij3SC&&=M~gQ%R3@p0p3pfh(%&=95CNVBP*3O*wz>77Ggi5Q zkzOC3BNJzBWA&G*zsD`o7MO`+Hb2cbn;Wq$cIyJEzw1V=PPpXm_`K9DIc7QfIUx`- zr~U0826ZTo9Z_rvB|t*?MjM`_+X@p7VRzTXYXEo)n}fB8Vaab6EtWF8n9UZ|k~&@c3GFZ6m`3&dqGfV-A3qpl+9^PFt~@7v zhN=_*6r{wgOB~AE3%h`dZC+D+*o)!&r*!ZVHGvO+4gr|6_zN}CPXI3+_TT}?h^Do@ zt%*zYo03y~Ju@_fJFU6Y@}IB973?1#4XWuvZ)qvVh>-W3_h(t`Um z>w*;4_SvQuyt*UyqE|W6T9sGegsOP}yrMxcPsy_jKB#FK#3&Gw2 zx7#m@kfKRP>8cTyc{tS|vzW@x*Z3F?Ldwpr>-A=5LTu}-f9uhLEsrB|6etkk?e z$ugxTJHnW{TLHAu78MgfmCK5XkYC69g&tOhAF&{f z5EU|Qg)r=T@nnHxXb+LNBEHp7&WO!W@wgB2#EzmJOXB<>`JyO|?mhM8!<_`SFUNQX zB?Yp{riNRKX2p*ueXI_ic#Kt#g|NLy1&+mG!G?WA{!_%e=OMzxQWnqwTYDDLwh|#h zVK}vK=YC5gcsbG;t+zFkxJ~BEz`V20f5_W=k78Eip<1qCDGxxtM3!I@HC}9;$uT`U z?ceq9XjlO~)Xs6lF0Nq;iJo6J**>MP?xg7N65`_=x_F{3`DhKL+1)5suD%i!U6kvrDOYwAsatMJA(L)g_~4X#GYN&Iul%ZY{f< z;I+w$intbz0l5{m+StciJPMjepRkKl@_mUxu#g(p5X{8`)T*L5ROl3OnH3D{R=Ga; zKnf&m*86c@=;5KJFZBm`nRKSiQnGtUlKr&`w~irXmLs(%~K1I$G%Xt1rCLEg6@h5|4@2YtfH@=ktSTM7JqTJ zc{w!4DR>0~KC#T0MPwv{OII7vBIMs*u50F6*gaY*)4_mC2)b+fRd}D`bwTVOd=OyZ zn(ygT*4kH6(1KQCjAG1g8V-UQTT?AXfd7ZRo3PUKf;g|M%a2*eg*| z=+4^c+!y*RUJh7y&*`Yt2(o>v3NY^V+~wOQ_Ul-Ym{lcsS0o58^0?Chj{jUKB;N$xBzc!X%eh13-|F zD#y@haC}+`KyXq?A>g~nw6(MuWSbiK4}lTS)QmBeyDjb8m58)h1mi^rVu<6ZTjP-% zbkAHC)4i>kwu@?`)u5?-Fxc;H`=!Qc$#8f!pk*$UcI8UFP4?*)StOsQvJx6Ol19=08wDk3TB)) z7%C6@62dK-E8Yb?qc*z6$m~Uxm1$ZCd;7O<--=zw0N^buydDLydWzasvW+>=q&;&8 zNjy#EPe1aH=-n1Pl8Iea{eqBHwc^)mADImOgxOx6V)LiVlpRMSr4WzS-GD9 zwa|oxJs#u`hfL&wx*SwZrcje6us1vv`fgcZg9DBh|F&b?G&=iTR4k+d5T899#;QPQKK@=zC{zoMYj-wg>0I$ZDkM4!B3k2_&b$_ z?@<521Fq-FWD`7229(24rpIoC+6~P6IFkd9h8R!kRO-a2=2}J6sdGNwI>gc0kG@}D zwU|P3g7SYAXPTKSw1Y}9)idYZbxQxiE7;ExCdrW~CXcfG#@SP)S!FgCqP>k^CF)cE zL~r|EFP0r(5+B&MZmD|*Gn^;TSj~Q)1*SsDB?ft!uB?kvl!HPX{?5oa!u%BI{ZYXZ z|E|jwAJgB3u1gaiPYA})965~$5Q!BT>VstE4GXh3Z34z^SQBW7*zC0T* z*QKm2y~)&YY^9UG0<6k4rqaRDXt$|=c=Hp5Ylp8XB;4#?OUR60)y)<9d>t$C@kS>h z@!(PxJNzg%LteAIu1isOSin7PZfW}ut62_SqHF}GWgn2^!kde+Q(X4~E253AN_%um z4G^0hDiLCyaHD(aTP$R{cnW%qv{Yvbcn^Ac>84MC)b>HF49s5T3%y=^$C6KFwv-%> z?$-#}B+n#!A{ZiAcz{E|C(r3P;M6X0{IVpWen~?hWs@i+n77%T?w4Jiqp#-pY?#4W z*L5!zz^ZV|<})?=8Z2LZpwNh>yti8SypyuCeR0(QLrhI!XlSRT*2#&VmjVCx;qliBe*m8Ub3%{sk30M8qgA z$({(h-EQ|$xyDgg{0drleWA|o;0N@Z!?#2|k8A_92j=3yb>HJy<$z;TUa-ao#NvB; z`nQ(rj->~q!j1^I-*WEV!=yF4LVJWo1ZMGI_4LTse=+drY>&q68IXc*dVP|`Z!3rH zsIWwNRK|AzDYxYCb_73c%Re__xj}ppg5(@Y#|pt?e<6{Yv6Gi_X%-+U1@Lej`U(As ztklnVI%M(Utogr(wk22p^K|mS2t`Sc5;uPb*WFy%tI_auT?=79xvUx;ju3MdcGTG^ zl9Y^2tZFDtSBg8_=f;BY85-8O5%Ti&u^y$4-DOJiy%-elBm6(pd18#o?%m+vd%m|I8 zj)~%~!dnbn?{;zJhKsWkx_UeU8W;l{T`S9Tc_f_{y2%Pw4jJny2J|JRAbf=F6LZIO z=5p?|WSbd-gmo&i=4mHqWwwhsTam~YHk z_cHolWco*<%kIt^8e!+bQ2??15as6{(ZL0oe4EK#q6C*`P2T_?0@odjZ%Spt!ue*w z-+6lcE>s!KHOx4Cn1>p+2r_}goT#swr*5@pG0*yL@BddUi)`MJ*TkC#+(H$^0nx!1 z2rYiYUeP6&M$)Hd)ErQXroyMecK`13+PJY%gj|+865gKf->p;F5r?lCZKh26Jg=?F z$+`U&Y1!ylaxCmq_fIQACf{LkP>ticVgQb_+9+MUhFz+0arY4Feo zSf;EeSQwl!7*mNn&W!+(1H(oca{uT4G>Z3g;$L;_HynLAHrU;?t;9*v*}eJz2blB? zh~J@%%;lNN#AG|bh|QfYNo2TJ$(Y>M<1!qx(16kx)6v<-ozob49Y8xx+-vG0sEV~} zW~c!+Bh{Y8B^&9>6#`=a$XcSiHqH5FrBLtqm79JR17oBO~ISycE{eB+tL+zD5%+h#Y| z@y}VkBSZvU-8ukc48SdLc~-EGVgw`TN+`j{ynCwf7qTRfn}y>|9d`pHq>CGC(dwki@5!VW4qB@vf|68s5CQbjLZP-kCw+pNFGp2UO5+1>(eO zW%?p48LW;ckB|KNxrF4&S&cJBZ|P{7MI?rET*W9a&ooQnbJLDE22$K4K(yu-kx%oF zukS&s=}9HI2ff>)osepDkSM-DK{M&+f~E~fm}6@Q0Pu847w`wo2(kE^dM&$Yn1emK z(}4h0y`bO1V=W~2swQxbJFRQXy#kwh54$73y$Ctbv-H*;s}W`*gxca4-DJC~FUeu^ zu!oFy4yf8ZweD`wPD+hMOi2e}VB;$+H+LRt%$KN-Vyjxqn+hONX08d=mEh#IR<{;N zN1Brsn?9Dki=CSrfnOabD;|3cB+W@1{C%M)EW4ppG#Ge+*qoUfjr=lk5{?Zvx!?dB z%BKevprpQ3&1#|vV|(@Ny8eR8ftcql4!7UBX><|q7vBNe3nnq!!$+gT!Lbp@RI_WZdTbl3Mk3J zh7b_l`(4oWN)De%V4`LXbnCccFOA?#>_t4gxeoW!4(@BbZKACaxaR{eI5eX`pI;_# z%pvXNUex;i=snbPGu~u7T)}SUR#w}-Dcw<)yQsMVt zabWeyxNwaHFLaa8-(s4-sHS(T2IZKi63(mAZl6VRL-Je8;(pq-^f%8#jNjD0aA0#& z3c_NV;tjKS&Z+091X1lf)9&*-Cb7MSj*1sfZ0coCm>A9*d{3TX8= ziI-l2`zc3P#y#B^wcNtK+En#xkbRI5Q5eFrncic4MT7iCrS>ECTzpnyTeyp2F5_0- zJe(OzG=aHR0&j+W2exNpYtErQWe|FHT3mpMowGkp)L#M0m4Qs7e`NzYX7K=yJ}?9M z2|fQ&Im0iI7!={;+e*kix`6vcA~;0A_K* z7k4=_zKXxC zcS1iejzi=%kz#c!^d!7MVU=g0C+v{-P@45%-5CACCh_cwn$Drtnczxe^oG-|LB_RD zW;lUy-;FLZ#{&ijJ9%QX=3~!ymcV)(!FV9>(8&4wK$rZ4PgQWb`tNwG)VlU z^=A`Zx`BTqx=EuX_n4c$0vvxJGVV@0(zD+d>iul_>BG$r#J^4UYQixiodm92e=)Ba zhtB%qX^TM8r1U^z@)e`YVJw?`sf-rZ0V2{qTnAQsH%uNSDX$50HreBjzdV9t-`4)M z?WpT)VSm>cBsB;U@7Z>}-@f1^L|-GMdBfJZ5MTTf(5!IuZ0Tf%Ug0-P5QJD0G*(8= zJO?W(Jmzd->@7a9F+JSH42;xoD&K}ab-Rc%g_!N!$-$)XVQ!AJlBspKEiKYW7OHmU zFwhI&S261mho--n@X?CCF>XRr=#AawW*>C-wzYjdLLCa^3gdjav3|E?Ak@%YZU>2? zVpO(V>L%e86#6w;J-V`wQ|lZOdw+sXW}u<|n`3;%j_2y90!NL)K8NQ2a&ZXfz!Ghb z6g1ykA*T@Y;inNQ?fyuf&0++;^~fv+yYdK`g$_q-A>=iyzfYNfN?stvatF+cmH*J) zckAx$Yo7(Kio)OQf4GUT6Fjd#N9ik&S}(kvNg=H&WhTwbmEqw+#17y3B-6vJ*#|dg zf4$r<(G4Z9hQccyW~a8a3S|D^L|OmRb&A$tId9ryoQB`yDEy^H7=H@5Gu|DQ)%+W2 zF|9{SVwIh(*$hl!yf~Kbs|L+MJHua$k(wR=Mc&p`$6J zvw+<>N0eCjRpLp-F+9rB4$gtJs2Bm75qd+`Ftb)t&SGBvyWj*Bbu?Dom1gn_CDJE& z%s65NUr&RcCt9pfLt_|Njcz6~)n=<|D=%Yrk4vBp$v5lXp*5xj^lkjzk{H8Km6UuX zCG_yBIDR)GAN)+O<52abg(o$Bd5_oY{mqrb#dJPc+JRIEQBH&fzM6E`i@eFDa#?_B z_C+s7pOXj?$p$yqU64y!*Z!uOOEhU!j|$9LFjX9B-Jx@iRFC2@Wr3ODQ_{pk7)!-L zUPA1C&<6M`E0I6VBtGa$SLDDDHM`PG9;IZnFOEv*W7=KzZxa8#t*xsdq)DtA!991^ z1`2G~(9;zMN=U=y{=1Dl(oKo)5KS-t(p zLdkK{1hQAhoQYIG)#Nv~2;GJ31>UXT`wTAmVLCl~jMf8@(7~3cX*LqbucV_ZVmvO8 zb?W*BOYfjv|J!}7spg|1ICJZB)yrY{JY5Fsh!@aI(2_=qjXdZr(bbR`F*eqPRF{fY z?46s$D717dTBrBpZ@g->2l*@X$+OC`+W1hYJL2kp9w{I^zc?z=n3i0F3#X3>P zaFgz?J=-XqQT#~8u9A!^Xl-FH9Ru0P*3Utse+h&3(l8Z%R*Vauz9Ie)G#wNs3moXP zznz;i$~M<*YwL|3<}V)IO@nx^PVbsE)EiN`fT@F~O#~S{5muRF)cZZm_e!ktaXuOy zDR%>$PoyLy7c)_kFx4fggtA_G-edoVX)5Gfzx>*OvVz&-PG@&u%XXMf+IPFU_iDL1 zvz?0v5w{c^2Ev6E6IWTD5ES>OCHLJjTxg#UQ{4N{(r@_hfCEsmaW4C-?|?uT7Qw?G zv%0`>Sb(UR*V1H7DwY<%UW|~Q|74Q}`$|{u%;=cbPIIe7jEji-{A#cgVUYu~NOYt*Sfz{eo3t`n+>6&x2v3CuhJJtoL(S6sI+T%LCkWa-c_lHVp90oP_fY=oQD-VjgS$})SP9Ei8vqCJ8d5#w3Kk%1w z3Bms81)N65v9jWR3NPr6n6+k;f{Q)k~lwhVTR1Ydhpf|d=sw6 z8%O&Lw0-jyy~0I8wR2I9uJ)MIfxfbiYSt-apDr$Fgijj6Qb7#AVzaVuiEFu>3ssU6 z$Z09h$%&@*rpDo z2azT#glt@?92$**L(?Fy{|tas=%v#WBQJ%O^a8(WPjkRTw73i+gd6MUwP-8QWJsN(BnO4&Z62&^Im%V8_xLQExjrf`cGMjE0Tg2x z7qU=Dj#vEqomEz|v^^(vrhX0gejuR->a$%X?o1zf6y{5nAo%nj+`7Bzz;>cO5c51Y zbU{<2{QmCUgsMloP$=~2s@fy$fkL>Twd`WSO#YZM%5MJqk>rIwhFRr5Pl96N^N_nq zuP;;8a0!X6HXco3rIxg1c3|LoftA4w6XKbRzQ8vIH>6EdDg4OwJ#RVpo z;#hvl_KVKv^*GO#Vw9FN%^H?= z{g{|Fe`t4}0Jd?W!g3Fv1MLj*;j3FRzN<-S`XjFZUZ63bm-3x9u?T}g?5BXnyay&_ zi@1x%)WVzq4Aq^2pE z-%f<42QnZ}KnLc+AslL%dh;g6#-M^Zf031_Kvb+?AI)6{s5kRSe6`}BEuJs4S9HBR z!;1#sl_lXpC3|j5-XW{;nH^5Da-n5pV4OQ16FunE=V+CuRMWW;wJ|IEBpp7rK zexKL(hYZ|fEYCw$HA`+YcV4oJKDtggRlFE8ulLW*r(i!iO<^Xk%lXr;PUw5gzKyi?c~gi?%_ZQ@r)39%x2R`iMv24FbISI=Do)Mgh5l4$T0}}D3EcN z;A1d~{eBVgV-Y##+v>|z*#kQbE$?Z`jkM4ol@1X)UUInw5ebL%*E>xieN~Y&oj$KD ztbN5QM^eRvjJkLDer8$uA(Kp#3vxm8$&Kdof4U}oMmfsNy^7M=66;v&3hw`)4 zXN~x*sStR@O@W^8**)OiSss*auf5yH=4b}#jjnU@cH+rzNm-XK28!fH1%5(D?WM`0 zjIo~WG;!0KPxReDl@adtZKo=9+6!AZUSWqHOVNldr_Lr233Xd>%PGRZ7&Jou>6J$H z*(jQ^O*Zocl{$gteeM4x!)0F|hlO&7rP2EM`DWSNef0@`>z3|}U-XqGR)iK2~`~pA_kAj$i_xdL-_I7JIb=<1yf5aK3%a1I`nw>{Kn=qrith30zV& zz5bERWv@b^qY+xxSg8Che+~6|@|u76w7&U;**U*RN8%V z=sbleGuM!@s;Qy}46{Z5TvoFgHf}SJztysgRXMU?SIhf2(F}xE(egN+s?E|w`lnCQ z5x2EQmXmgP6@Amir(mwdYE8#`wP|8oLEroLVV~9;pNH&wq-^SNj{I%kMk4^;Mzexh zHtlI}l2C}k;dxKnz zU*SV;dD|@_f)4?!RU11rH<^a$9nw z_;$pjD`!FzJ|CJs_3L-02UV~M4b(}P1=59o?w-{ysT}hl`=k>^aCsPm5YGMv%JF~g z&7AOW6>%mk*wr56)OqPB{Y@LozvBB~qFcz$597^tpn$Q|Hp`vY>Z}Himd-5;9l{lS}+`F7ED&&3}tE1>5DoKbHW$qGVE~664wHtHWgpli!TiI-7v2t53nd?{! zF>K7R&F1%B-`{`xtRD5=d+*EZ^}KEAK{3wCd&hBE&(W<<)d;)uKvCxL5~3Fq=x1GFrZ^a91{XO|iKNTtr;`Uu`qdq|3kO$8NSyR_Q=U zqw~nfJAx{r!7pyT0@fXNLI&pUflNX9Di)Gj99|upF0{*e&~j|(I%SD&1%DL`)D~0w~PPFT=!rmMssrD&UxN0rTs*{46-R5Bn!n~iW zuNciC;=NT}3}5NcUNAefaBOY{QS-4dD6am%0eL7@4W+Ry;1yth_^a-$0alEl0qU%^ z+Nt*ZEDw1D#&j6>*a?WlLH0Eg*D8C-cG$|`Fv11-b`3Fy#Fm`g1tk(q`D1zx0?&dY|HKUduF3ZI9k-iC$gM*{p zGJU)ndJ~nwDf;=QYzN$7FuYxweR_4)na?#WRMX`vwdN7b_pB&wL9^OMM^p8s14s$Q zTG2;TNNnXBu3_;KiqR&{aEo{;J`^(EM9$VYoIQ8ecU;$` z(aNoRq{(DA&{7}haVB$q>m1UGyo6@VK5A)p*zkjPJ`#D5Y`Q-AtZOzDPyxx*oD1r$ zpKIN8lI_l-Mq(#wHC?BdPiTsOS;Og|HnMSCS5=uiB0J?n|6f0Acx-^#&@XHDurK7i zI`SquKW8bEUzS1h@kM`b2nmDL0Exs2_uTxdWIJ#-LF@eA(dI^?O>q?} zZtyaF_p2Up{hlfg?~Z)x=+H*@z(BcZMVLhxsEk&9cTt>C8m^Ni2Ggm+iyZh`5JCUG zRuD@Y6p#rpdl7Y6IYT+RKvilXs%7+-r))VAca2+fopTksvd+(m?ya#kfKeIQ)7W13 zO~-!5A1V8zeQchv^LS>kRMqC=ez3UZy;&e`>NVzB6z^1A{kkwq=yzK2Vp+2eL16@* z#|uv&)}0B0$>T_o#p^CzMVg(3!D)&<`&vH-{Zkq>j?BYVOhaCFE1VQm=3_yWE*U5)p}BKK(PTLXHAol^IuQ-5~sNZDV9b7USb3 z%bjEzPXYTQu~sLy#i12(OT2BQZCXFm}$f?yObTO`7>T1~2IqX^4&M~>?hVMmFGjAG_m3Dy6c^q;I_Ojq3gv^SE zi%_4#9uVm`{c_0bwVj$QYGJY#G&4*5Q~y?*geYL<-rYLV_p9-WgRv1j{;nDOYIEnO z(X+LbG2SZ@;ypI?NZskek$=giehk`ca~R2;W}W(<-h~m2x&3dA7hz)9<1gw`NZapXZQo~})qak| zOF*EI?MHsb!fv59*n}CH47H1Y8u`Pt{auQCf9H1)ws>L>vrI&|F2_)x4YhNi8%}W{ z*vxC+{ScR=R^e8l11(9U1ev^jsXwN1$~Qs}sy%8<&Q|THYNxyv=IeTddum#RPCH`J*D?Ipa6$r<41)0#}n}bng(4W1Wm!{Mf~N z#r~&`U}^cuG-FE3T77e>4{N2GfYWwO-bWpnpQo9yzt6A2)1B!Gd!L2Gb0MtRG=^C$ zw9Ut4OQ+b{$^%LCX<8@+z?rpBnw+>W?E@{C{ap?`3E3WS(*8e#;Eu@mWM-o!rQP{dxHXe4k8Ud zb5}0HiUGY0xJHCFA#JR17R&nO>4$#xA5A^dgWSRCeCvj#3}}LWj+z+xyq5l2lvMF4 z_?*1f?5?C34PkH8J70ISzPOv{fTd~3NYL#I44eXt;2-Gu=fsB4Ifj*WnPH01_lf9M z7I%zV;nt=-T9!!D%#8@#Lg;v^k}_d15#7NdXthrkuutRXVkX=N`C1C}e|mGNR?w`F zAG9wuLC0dE3kZ;Cc`P+~bO)Abp0vJdcYLLbxzBH<8p?Iio$eD_vsB7~00$^0l6uF4 zSf;$_exr`^%6D?;89O&KWa^let&j4JqR@fP)Cwce<);>`cPttiBRujbmZnZVyR11JADcP1(Yr zf$MJWDP7$qxdT5U=3Wl7r&*;BWV>sfX?E(56Z_ef&GBz34@IBmUuu4D!2Lu<`Ix1D zXdrQhWfy8kuq6?}ETe@9o83pJR3l5nFOXP?=p5+^S* zk91Ku-Z$GK)cn>PMLML75`BuCm6TsLYJ1S^>K@wf+LnK;!Xnz>xdZGG+!RZ7;?hqM zX*_(&sE>VN7_1sM$@bP_>Z_3=o*Lj&#%X=+U+V{`d+(3@7;~guRg5m_n9)+D3_2t^ z(EyO?sT()h1i93Q5Wotm=jeIl8=(`KKj#gDOQ1p}rGv{&_}r-paN|^`EG}=TYG!D( z*0@PpSRW_T?Oq0MhWV%mQ+?8GnCA?&D6MNrTO*fo$#Pg+ZUd9m88MgEnzn=`p42dv z))EA*H0YAK%l4XdG*qA%yUoRf%&mX2G)V>(9K2;7uM5e&;+<^`R1=9k3g{UixiW_* zHaD|YyJ(GMWt_$)s(40x3dZgWd;e~3d3GVw$JP0~C|h*WY3NX)XT1$02nXp4BPE0l zd*G$}YMtpv0-(q`@0S%_{Vg$wm{i(~-C^2A0N|t#$RF?@+VNwmQF6aKdIha<;EKWJ9;ZwN8tBMXz_NwQ=Si6xWB9%)~Ng9(ahQsqjmKwz;nx z7ZEyHM|22kT?4j;rI8x4p>%27r6MQ7+XaMAom%j=(OEA8>eb&Z^4nW?^M*A?hm3Rw zp*m+5*$UA&)LoO~P8pf=jNmP~sDPpb-tHXgTMAs409U6#8J8|@?A$TAK`*+U6KR=C zOCS9eUO+TB&5evJuB9D|76^8T#m^Y(nRyGvxBw0%AMo&;)ri$F8?*gVM+FTf5+Z(3 zBRB0gcMEiUrT6Ov1a>3B3xe5Iad9+;x4x%MUl%z{-Fh*#`UQLUyTk5Tg+P&2tY43L zuy}w^ybJR%IHAb6=Or=nDe{DUPb}-z)>Npt?wv5!ysk#g{IX)4#go|_Ez z0MvTa1BokmTGvCvWwhM6Fz&tD@o7VXGpMh%tVd-fQ>&OcMFWtKsVoe0qpEUpQB|v~ zlhrTCLd#0dh^mumO+TB!bGTc^Y&YDa0TV%ZgX<~{dAiUbYF%f7@%XN zPFx!2D|0=oa~;7oznf=>j0hUJGUi0HK-6WAe?=S)=vB!Hu;s6s9%!btkU>Ri zaJ$WC`ZG)|3#LQxdg5$YDATdgGb)WCl6#I|^6XI>u@g`QEPKOyXaovzM=vxF^mdUG z6-%~^RAOHdcM+(mx3e)d&;ZapIe4Vf!rS1nS;@kUC{bl+&x^BWL2J5@%V(!kXVBj* z%?uiB_`|_MF8g>2h22+BV@dNDJ-y%Wuk}D%r#gCiH@|4}^w03lP=sT$zRB`g=4DzP zX=l$Fgd@1D>4!+Tb>{FxFZxb%&r539ijpTKn8t;u%~^o}tz=b(_;0@yzIP4{M%&6! zq}bJvZ`|AS$ka8?Q1NbtqK(!tj^X=Yf-8;7)gkP_sZ|m+PwSqJTh__)8Z&d@XYKAF z=@Lfs!nV)eOvB0g?0DFKH64$@72W-&b{ytP@xE#oZOZVGZe2K$qumv*;`1U2nhGNp zpRntBFq(BFD|MQngr!&z)kJ=6e{&$V-)Z)> zE!}5`m<5-=i2*1}nca)L=9A+*ev5-$dh~tbzS}ofjcwJDUN@b$SG2(^R&DJ&vLky; zkAAH~Yy#UqETVX6F9Dm*&ssV>s(!3fPk|og`Hr?ASd5UHtdM4()Vp?(!JFdfyTnb_ z?R1Y?2|q~A-iF+ zDaR^DUn#nr&}FYgw`bqiBdkG3V(ewaOWH=2FR%V;<8FPMYUQ}HQA8azn2V=S@Y6U_ z|Fbmt*Mx$ewTaU~o)ypc66gz#q|~Y}BJNAi^s?6S3mDOXlwG17&xG)U^*b0EH!9KC zoxPPyj?-I;zZxbx5VgLpER^F9^c;}DO6>S5^S*r{$HVCDi?@IVEW9;z(*Ue;f8FI~ zM?ErLEtzNXPgQ)EYUiq0IzSsxzH30t%htLH0U)k_l7pb(=@ea>%EIriKY=4&zwLB? zudC$Uhf{O6k=m}YxI$fmU+H`+t3hBA$Hyqp6|TK!rqK|*T2t;_T?j63h!Eg@6*MD8 z!xGVA?mQJxM6U&dAPo3R=^M{_@Y%klIHcGK1}i&*UZ*TK+JVOs=zZJmgPxOdH1$y! z6IpA~Jdf-ON$&%yutVeTV9mUd68_o+1f2muRli-r-^rlzHGiRqL7l}?UM^iJ0F`gD zrXYbPd%f~!-TY$)$;s@C!&?lk?)9h+gyqxrK*|S^FVTUiWLDU5+c5T38h+8HI+HD zU465bO3%mkDsmk{Mc8y1(}r0!tMrS2^k}Yaw4Lp=zp0^i{u0p@E{Ll;iQ84WGUo#6 zw9q!#<0s@lFb}ep$GH$q#Xd-;_N-G-tF_dxA@xzJsX@8YcV#IM4i8HD5WdY!%=e0` zO+5*|<_wQQ3nvRMQ zz(eZK=h}LjzG^xRzVQ+iik`>VRR)nQLEkW`a`iX5w@ct&7a@h1snPy6?p@ zD;qcn-?;j{vG=NImv{(B%&@-#U8MJ#SqUC%w+joIx`eeCC4LZHZD7I)Kuh`unLT^t zaU3g{klf>Qq07Iqf}>PE zXF}Hn9{^)_=(RXov#wRW%*=I~Q~|7f_17S$4Dg0SMgW>2QV?Ww2%TX%S(%x+SjOxo zACn^0ZH*hV8o&F#WMDS2b`(7d@1Z@Hu+Wj_%obh^m~17gL-74#<;fU20wJmS&$lqiMeDr9d%0 zIamZ{lEMBt(z%x83WL4BGNoVmb`n&nBLB%b*dihTQ``!A$HbfE^ zov<_>?##uxslp`?z-*sNZ=&dva4nf%={sapI95pfsWa4XUVHci5R=GQqln(QI{yD( zqY}dre`?edF+^;1K{xFkDFr%T*12s$MM76*Wrgw8OAMUdiEVJp zBKG%k84BwGkiO!1>vA-@lCO*-f-%L@-wS6KlxseJP@`)AAW{tKSMOf58{!m7w09S`LH%TGt2Ga4xi{hGWVlp59(rQ!LQsod9FIRFv1F0R_wbNc8N_h! zWaBet%jwjS`}kmJ2@Wo?OXUv1l-Ky#_m^L`tQkFqM?|cK?ns;DDi(C8-r;WqMgo;c z)K`T3L%7RDuhl|>Y7#QX6Q=DA5Gqc7$8d3W@wWz>HJ{)(LW|ExCOm;M3Y=pz`tAp* zRo&1G)v^!C(8}ab-J{T_9Wv}?Yc6egj8~&sCg3K0Q9Ui((DRbanV~MfA9ebB*4LUF zJU@7dN|09zMqREi`f8X)hOhsqw+h94TSgkl2&a<1EJF8S+$t+0Bb@M8ZwRNLPp8&= zj;#Wl-Ig<&^f;&h_F|!3B9xBAW{MVr-2@F0m&5Xv8!O5f1GXapzgc!b{i{M9d1UqB zoG4uwwsH$qyt~0h`#55c3Y7f;VAs?!e^z(DF2~`6x8jv zo#Qsx+@U&>d-zoJSP7~sp=|tM39v@~ybZ~smD{iW&~z>C-?c{HxQix=x_Gy<7pQf_ z+t~Y&uFDY$og~ju8gK*M)@TYgoHf}#Xw}vJj4`r~b-L(~mjg=U!9=ojz}*`tYf{mb zMTBnFlnx>L)<;_bmU#wMal>az-^Jp7>_S7T>BeMYQ60BeeHu4nl6E)!E-Qf>vb1u@ z&no@w7t-yh&P7eT3!j;TdMAcv+*`HRx6fx3mG@u5^J-4Sd%3mF8{}wZ(5akA|E*tj zF71HdEcylj0NF|Lr92|hO+W*(qvJe%gPwr@?NPx|GIxZYq9hN*)a||8e--F<5;@XA zH$ix8xEQd5wTgx=8LV zgi0WTQ5)^ec|q@hjxQH+vJUH2CAh6Z+U^m zs8$J^LQW+944g#U4}-U>rBjq7z}#A0B2B%o(9YO@b?>cUcZoXa_|bA^i>Eb=rd(14 zs?o#o%n#a7p5dMxr7d0-l!%$J6R~qu-VsTxh?p=hJVD9Nsng8=UUYfpXQtRug1Xt` zMH@nEBz3@C?A>tmimcouK-u8g4}HVT0u~c=z2hdUJBBqIvBkJ6U)Lt31I9#30zu}g z)i+Mj0b30npDCYf$Ta{eQ7{6*R{A+IXIOLm2eH(|doUUNzEiL7+(ar-<{FR-XsH%l z9o9tuTZD`G>JW0FOSQ4$W$A1;s0UigxYvyHsk2%srl`0YVc@}BSav zOUKilX%db&)zoDf$|+~%0q}SUvF80h@R;Lv;_TIoKU-6&9KWjy0mrJVMq8i-)xwJh zndIzeUW))(GVqGV=Jdlk=63~o6I)Uz9j^lj->o1I^TZ2?P{!C5QD=l(dqNfHpGKXh zeEumK1t>#97l0ciFT~2kmQGH{o6|E?+b(bf|Glx_L4p45T7oFdf7%fy^*&k!2w+L; zN)cP57i$&4aV9y6gqwxjEu2Nf$Vp*lK^cIR&caAI?!Pa?SHVWvAiMiWX-V?h=V8D$ z4OZB#W_3>P6~!N$2rQk|xk2+sogWuvHt>&}bFbDJXi?uBz=>)x=V?ohZyTSb2vTaj ztwMdWoj20kdJcXV)Ukn1gTKp}h2Q%#KxPqZfntVvcm0k)OSG~% zveBv-RnP{rWtrY^EmM6O1=*3KKJeD#D`!@!+aKL%-Abc&l?o>XlE$a#*n?hZ1y zzyA#mXf#cv*)0k3M9{k(wyfR;!39nGT+!2lBXeKRe;8rq2pZHn$`$Cwz9g*Fj4kT7 z4}5yQSprQQ8p2U6A&&(=Xj{oiQU}K>wopY`pxoltY{^FrjYsI=V&sLj_^!b}S0?ho z2a}#|P;lA~-39Xg1=qxH3bGQ|{8Hy4MUy@@|H+&u4JQ1g7KZl1&sY`W(Co*|Gtoax zi7}Qip6Qmx-MS)iT+1I}X7Kz6(xx#TYv=1#RbG=v@W>gAdKnE#J!~L9h>IJbLy)d} z=tZ7bOQEN-a@rO$46pwWL^#T~cisNIbNiju0o5f^2cR3TsCBHc9>Uj5(fyI0Oq1!c za0cIarFyL~Wg@VK82{!x16Le5Av$vsN~T@)40w~PaWl+QU>}roFiz8{ubKjiWn9;D zL|CLRq*(aY$w>b0(+{jSyCF-@zd+B9g^k6$a=$dOjQDZUmXEL4svbZ25an6vK|JGN z+|8X|+ricxWUbc|R=dz8TXuB4@p?kxTXgf7vQB%*l<8~pm`SlCjMW$B^x~)$9XT%wbX+0H7yv)nnzMas>E|owA<(-#FMaO#N2=TPP|y#iLM~N}NGZ zE^*|E{;iyuHgtaDy69xwwW1>lMg0*l2VbO?4OFjn}r7B!S8ZI+p!smexw$rV=Q?p5RQ z(6{SkNA)M8+C*u@5U}Lz^UYR>d$2-{Wd}%n95$Ufx~NuJx9-Rh6CE zM5}n^FkpEvJ-*Q~Zt}*v4FvL2mraz(4LN9raUJqzy{tBhfAfKc4unNzHW*4QfQKjV zAeo;5t)HGj{Mm5+*!5Qhb}1--wTOIxk+f&;ZosCym#}fBrLAqF=S3g;;xuQscNS<# zTf?uj^k%#4=Ijh&isx+Q;Mup4^4AEY&yKNCL4uQnOUJN8|1o3)9=vT&;2@??5|WR( zt_I+EuT@K0)K=OR*_fhzfE)xxDnhifloLX91fnOBYCr0pGcszl948w$wM<0M z1tUSB&%29(9}AcsoeH}+`hAa=>6ieCfp@E1t)viR#JAOyGi1s#uS6$BzamG4qiT1k z#dwVVnVAiGOFj1UUaI&4;{#;wz@eoc=9Agf7H(oAD1Bnxpd)D+LtlhsLRiBUWH94O*UTXw9q}%NsA6{HD8pXASFJT<7 z-?HnxmfHdDMB-X|#JZ5;=h^oS8ZKRsEvP^Ih_?m&QmBQ%`fAE={M!?|mgBlS%}srQ z^sGS@D8lc9y8E`r9YxVQeW&t1(8SA&p4<@}(m)l3Fr7{_w8yE)j>0t!B@3?ei0aXDQWd^B%E9g8?gY+V+T#(Jl>kYd%p?T zrEh-owoh1^-Ca+vb~XR6Kx-K%X%1_ZA*0Pk=bHo9!bAVu5lVy~Cs(e@Rg*Lw0eceS za~w(gEL5p7?K%^EDJ;IyE@x{10=agoTFvh`<+K>;caJ=7zrH2@^45Z5c} zJ>`@Lj;0~!hPBoum9!~(0{2$j^172lp?9{3niMP5XzC{gwSznG^P%z(VJ>AY=#xSw%j42E6{gVvE^lRYMN9b_A!9yN?*L-0(k(@| z+GADJ+-aTlpntokGTc=)u9!r9+7Z_hcrX1n@&M&vkrw5%0~ohD&OTHlx`}43fF1qZ zfGW2U=@V~MSzpn@JhEraTEObRd>-1TLTlvvHlA^MxP&~&WWOpE({XOwb#gVC0r92c z!$+1Bv#c^mX$+T5RDm$1U)pmO>yafL;12$ueMMTN;;pz#&`Y9^)8QKX1^@bS|I<)Jx{j?RULcb#+OQ+*PH-G|f_Oz*W>;yvZ#OnQyb^Ngny8a?V^;_t_?YKcl#s>X$V;YGnJdLDYY^ndE+w^y zCRWIt$hBDw&f<6!H}N)-xc#@Sem+n~R5X|4=a;G8o zslSzqZ)&+{eN1ux5-fVm-W~`MbuaKzV`2hEId)x z@p5a-@SMI!72mXqd`{%G-9XNo3@8@GD+)Lz9mlBI!GWe#2)G-Y}P%C}*gCoT@ zUXeBRz(jcV9`M_-)db43n)epR#kKW7D}sc+U^|$rrVxio>1j74VHtkO$rIa=FmSE! zzL%|+uT^X7U4PuOzBgrf*M`v7bCcc2M^S;7-}@_PTzj;Zt_?jygvh?S-yiZksoqCZX}u_iK;VXiBqa)bB0r`}%)W#;RUU2SLaBtxi#xmY zBXXN-L!~^Mzjbz#%lO#vj`R;xti8*T(^^)!w;Px<}Ud*~XDh+!HN1C&T z^CnN#si7)jCnU&Um3Hjwx6P-6l~FE#l+>VFav#cT5|t2BNuGq@mU`zj3-r_|jh=3x+PG$U-)7rLSX z_MO1>xgofna?cXaSi^!oW$!2BcVk6ksenB<=K|Pf$AbY`EWoX)-pPkj?+0%qCBAp^ zuq?oR^Zf$Mp(EXD-F?U9CO5XA9d8@~lEQ7vJ>Y7Bd_@EPg697**PtYOYce$^N1t46 z<`x+xmrN~))&{T7wDzE>1W~KN&R#`Y(*58Bi}`w`i|MBda3wBPAgX2j>|jIjPeMl| zQDU6p%Y-5l?X_{c%v>e6QW)_;<4K&pO%0xI2L zVmsK&Y6;?eT;X>}4kHY8w8aHAV((L)1C)wJ|A0KorNx&mXhl1~@uF7lH0JyV)pm2z zW3rWy(-Y-xrYA`xVBb!T-QqPL>X!K0IFtWVf$sWgn4Z3WX`iBy*Qh|h@?$APN*gtR zbF8@VJfN6kLI4-NBB0H)9)oFX5Hj!4ywNOfS?x4o#~JPf zLT2;-e9!AeyAc7DFC~_-#H&={yZU7VsGL>ooYhP!*Idn)n z$F+boyo1Vo-E*w-yaGLGuj4;h%IRdBf3)*Ywknc)4`l&UmDews50w$m2yL&^3?Z6> zwS6EIqI?6DxE3PEHCYT)h&F68-AM2K5@N|h{05zvJ;QG!8{u~3HLpRa4SYcyn|MPr zP#unlv=m&`2!4#l^VYB^Ee;Kc-;w69FeebZ6Y+2^oUweJQx~(Wsfgk?`n}9|MW)CT znlp>a7ZxIhP&(q_xVe}xijrqcUFu2K&SH!GqrPLm}PV}~1fUUp5R zg|ru_DydLo9_gF)Ov%AfgU#W}Kmo&Af5Tn3&d*{-uLj+%N%;|GMVz|HVAb6B8A_=3 ziL*+ts2Wo@EBcx9jL~5k&A+~bR~OMdAR)xwyEhGC!a*huxYVD|hjR85nJpsr46Eo8 zxa#b^9MycY#ag*xI~{_pS1OyK@}D(Ko;*SXRfqMNh!y|$qNh_n79Yy57fPbkOy05& zbHiT|{Q;7z2ugZKWC1ymb)_vYIovlG;}+FAbi2d!SZ9~yGq~W##WVxQq6MN9w|bfY zF0HB)2N2w_M$E6Uj_W^6Him_M(lzT8|CZLn(sD42k=T~~&0KoL@y>Jwdbj79aQe_n z_d~EKRTSfh>67EhxT|`nX#N$QN1_v1VPR*e)RpXvCV}&CTWP;RyI}?GDM){?Jz0N% zzwfCy6-@SQ_2X z`STxUTcrawAg@HT3i!LSfC!vAc2+dS3h=D#&56M){d3nVxc*4$ zKe@YS6|HGa{LI<87HPJ-$(WVr+NYJO@McG#k$$}L?>nrO8qvR#15sVui#-pM%6HTz zbCJWN{vhD&w5NXuN9Ik6Xm$xYu&#-=01RkB((nUF-S=wVk>?FT_)eWBd99c;Y&*?* z2qZElbhcI4k;ju!-RIOKo`Nw%f`U4mRJNcPL{i}O1J7}zWtgqvJHPhFOLNj}8YkpJ z=BsF^JLV9+b;w_|PODOWbSs>&o}~x)T>}VCK07^*$@dZb8a--dTLyOTTk=b0AIe4C zt#3v<<2vlz{4M{&=Znjk`0tQ|L{CtGIm>sc!g`iRa2l4YnI^V0)h>7=g^i6gL<{u~ z2KAP|iFgYl$cT2Kw(>j9rS`US(2xpiC0Xn3l1f~t;QucSp)OmQcNcl_@>g(NQI=H-1IgkuhBf5~;GP`hI^Xh5P@8Z0{K446E{ZQ2@g! zT(TJ@@y$njwT!4rO%aunxHNWcZkfzEt=@J|SmoAR_Ye!H9Hw5+uO!{-AAmM}MKi@6 z8s!_==%y|!z+KxpCjxr8Gji}gXPL8w0lJMZC^DOcpArX5XpW0zs)HPhg}2 zZX!u_b0+T`fi?gMZUWl0a3{T-nl_lo^mtHhzv-vi$E3 z>z9B`E}ZX0y#w)N%Ny??Rjqv21q4iw*WaVxhF}1VXY}(Qq}63DK~}>2B2ci2e#dcC z0RlKbB@1}7l}0sUZ>K}Ec>y#M$c}c4qhPbusu?dWDU(PAENOJjkO0+jT~+FoU0Ky3 zD{aJ*v{8vD#-@8wv7|s90-M3d^yLoQO?0zmHJ}$Zm|}3+^klM5jdD(4by$t&+v!8&pS1yS%unL2 z9u-@~a^+@qul{)?tP}Ibt8Vd|V!F>U*~WT()g?>dOW&EyE7y!ncBS1WS8F*_anFj0 z=BKz8F7cqgnQ8NeS1x2pcN_(ahflPqr8*3azx72!;Yi>!63hCc4}Ru9oxKlif2_Qv zOfAmxXad&`#5*+#%F6@i<%yPUoK;Z`wNnZ#jRQAY~wr98%$)n z$dIE5ODmsqFdJ{IgLkWvs>H`&?!kO_*jcP|kLnx+B#>BgM=nSETJ`*6z7Z=79O5yZ z-05l1x&j~!*+>;Vn>F#0?7Kg6_2uQ>cH7kpQ%O-&%P{S*7w_ zil_J_b#ITFfN80^p-+phVASAMwj{0Z9|i1WPajwG#r#2#@>=u}vaqUj@+`Qn9r}Cj zAl;j)u`ocwJ~i$PJ1&XG;z5pskcalb&R~#ySd-10nNKEqz{Qpz6mc9Phou+_!c+Lt z3iKdO-JdK|;|!9)D=X;AK?plBSou3mx3)#mPw=_Ulc3cdcnTJzElF#X1eGQw8MHhs zj^}s)Tx9oJEW&%^(Y0kfNEpl(JOn95jn_aRq~PIDJQy=+_A3X1dxup!ytFL`y|Ma$Ml_j9;xl z-|&ii2MM0SAM>kUb^!FUJn{Nh4t<|L^hW){xJaS?4zj0*xD?ZN97fNrx*E6qFGTox4=|x@ z%?+3ao(gVLwOS5nV3artUTOK*2xp&yDm6*gF4@Gk5CqFc6i0Cm`R!zWYL*P#`=ct& z-jk+Odef~g9QefeQSp&xP{m>s*yV`-C1jq^!>Xaa@&9X$VdW}dDNL-I#-*8XqwJF; ziM=BLt2P393V8{l&eSU$=Ra4Ebm?jqjz)+tDzoF(cshLIxhUu7?A&8(uBrHP5J%X@ z_Oiu|h$|M@ybn8c2=ROu=s5qgF&JPio=rrff&~*-dWE z5Wf(doz_z8g1Hfl8bJoHfn;sJ?1rt<18xo0cvwQukkxR_v~$u`zxxXsc6Vqh&^>;6;YBHd8j&iw z3`}av?ChbIT28HV+_I6_w|*lSZy=*zIzU`HB2yY^tU2?XF|w5!V)`P3IGn3I0(j;0 zhm-Rl{C`njqLvBN!&({3{AN8fvHi3dBRM$;Hqa-5x7I+`?NAvgj@nN_Ka7I$noGvZ zJP%Hf38PykIeZ3yxRh550E4Nj;AaVkn%|kHB$IT@A%u(*O0QF+y9F1r9l62($}yj#Z%_L zYQMPpJ-okZ8jFa1S-Lue*hGG0?Mej9eyqZ>V`;Vg+cuVpmzYluZkV5~M+ELyT0T1{+&_JS;3Q(nxGqdrL+ zeQ05aN5Ui_>gLn4j$nG_CDTiMc@Q?#5%{TQ8%@ceBomm)lapH{qfb@(K)-h-E>uB! zsG~+NLN!K8hqtwTDs%IqJvi&PLP9ywIe{^Z>(94 zW_%P4`X9dr7DOfZE3t4qaGtAj5t7N>0w(u*F-|>hN~-$xeB>awVnTbv8YcdC@j=c= zmTx9lzW4^Pd{6ubMScUzw^lN_f$>OR(gl$Uc^WKU2z$$Dl_)Eo}Ns8g>l#^@jK zELFfZ{FY*{T7ZDGF&38=&uCuSL-bdTlc#Ak(GoyDS;N_t27s-qAu$XC2`=Pl{^#Mg zvE<>S85zF`OH;5GyeDZ6fxTw%*ui|`W(;u#H-2F}7f9;+uKoIW970KJaX4zVSSWre zNOPYW3oPs!u@7&Cio6|*9!_C(CSH84w}Z4C8Cqc;n}~p;-Bkr{B{BWQo|a*phyjrvDNi- zI|cfoFJ|d0Py1Kzg}mVe!I4Ws{Y*<>T^OYrmOC=`dO{rZm|VYM2rf*tWj9)M^x(FnuA5zG=eZR!hVRQY?4AY-9D7lNd-O zWbMM*>q^51nPUw6j>s9cn{Rz~-ZBYaS=yo|lnU(CA8^Y!81*^A=m6{(f^e?#(9EsE$uO0ZFPZ^X2;|~?XkYvW=TiemKAm{5 zxLp=zd#Z9n$gWo!o7+Rr$#Xd_>!pQXb&nQQ)}13QZ~{;4O-b91Fx%FiuWFv6F+=`x z#la!*w#`7auk6xo&0bzs?KkDm3^UU)lWtDc9gSP0+8du4jfzL{kJnWbWZVDis~htU zIucWcW(XBC*kw9mXjHuG@t5BXHncP-x6JgeNc!%yPNG1q5rS4@BV7)dH$wIQA(_If>=O7nsf-D zq7-Q&Qj|`l6RJoHO_WFxRHS22K@{l%p&CknC@u63A(R9NkU)S0LV5E3Jb%IWmp!}p z+`F?oXXZ6?XLn~C^-h%o_SmfbN_7KXT}HL7*tCT1RPpLQ>aSnC%@s;OAbO6$q5>t! zP5H4$7n*m0NY+^A(%YMOwwF>v&j8rk*2;H+AtR>A0QvbE|?|{iE?~PIxl;GrMex zLWlYIZ$6Yrv3{hp{GOUyz}IsAQWHEUFP4pM{APUWbt0=~p9`C{<#z4zqdjiSls;}6FqKDcV{r3Sr6sTGxnm74Hd=Qas7fhrz~n8o%@ z-a_=EsrnZ}R5@N$AL0f>B>JW0?I(D~Evtp#>L4f~CY6AF`qeK7x8H{v=U_;ysjldK z>oS;JDuwAwgRck2+B2@KZ~%5yt%7{0DYS9NzTzpjv}HL-VE}Ehsru9JDZhf4t zAqMLT{BL8WuU$9h-&>jH&l)zD2xz`0?-;Q&%WWL(B#7o>rraEQpauOayNBCZp5y!I8-?6aZMn+ZN_da8DzWOx8+1xb46xL%hu~RsTzFM~`pu z4Oj3+;@%Cp1;W(KS^p}N!gXK``Y|vvf*GaaHWTpl+^Qrn@=$n<+et9Qh>4;-ow0^?7A&2{+AqOmu&pP`(934wA9i^H*z1dqh?`ux)=e zMxR@ZUlAa5CylTY0RCFOG#hQfMf@5tQtJ`IGW!ed_>-#EfnE$z0aYgz%4lsnyVN1? zAj@sWncYM}X;B}yuhf6khSxbLATpS-Q@}|5*65C{rmw%7*9Job4>`ivqEug)#MzGn zuf8O5am2nBuM_Qd;K*pP4xdD+p0|s3i9G8%(utK0-Xn{$$*QzvFmU6kIhJ1w${)ui zZYL1O&|N;V7*_r5uO$`M_{J1oS}I8%%1N~78hbN8{kMQ*;2(@?ti9R90>y;w*t3)m z+KAnqWN+P$yrb58%k^aI5b^onQN{9L{gjBS>dF+$&7yYeZPDp*dll+P0Y8&$3W+Ct zXo?>>HS>J{{RW!Lf}*mIhS4VYzL7jpieo4=!b#-4*^A&UvgoV8)x?obs*27gPMBAA zN1AY0WYdM<*dm{7)T=?hNR)*jz)mm`iO#DIa# z*5qxneWIQ)GcvAK-#+9Sx7Ov|R(fC?+OLAr;PpQ(g|#fUq18V@%b!W1g7{OB(FN~= zZnz3^%{7&7+{UIe*q&`XQ$AnJN&*6rsF+C>sOHyoAbCA*hZlgAcY-tRIWSE?R&^#STi;b%$)Qt&tg#Mt(X?k#Xv53 zvjQz&GMPs|qWO&W!p|fM@njhn!FFuR0Zj(4X>hIv1ChbF7VB0t1O2>j#q%+6;*AO! z+c-ZhEUeCJsTn02$)2`pg$iOD+T@e2KWH}^KvyMhbT1neTH8)DYQ^8#?rZS{8bf2*JyNB$pv}HQ zelBkskBK{)hP7U0w_@{aA<+jN7aR*2q#)Q4WdDPTxvR2Zb{iXkg zpuxQQ0EjdhKrXhT>kG=;_;k6J4@}wIl>bNeTH*2o|JsJhm~75liz&;5%Ub>a*&Qc( zxyPE(jF|_PQU+;DFW1DX?rO1vC|@HZB4~TeMXWS|5dIj##X>?D&6gRk#TesAFyGJx zEvQ+0v@~;1)Lr`@SG2}ab!>-JS=xl$Pw$w{N~^~JkULA!RmQg?qhg+6=S1eLnR;Oi zgtCd!7(z1G{P84%!zx4WoE+joT6!N_4<*^IzHy#--tEdFsQ|_W5p~d?@CyF6c-HFDMa*}4VyF9b7)ZB^UCiP= z+I|eht5BWHrm$(aaPGlihLZ=;b?MwygnxvhM@donj+Qwj3FK13)R#ARYKk3U*H;|_ zQwh+lW$yX`3u3t!`~x`iP*yuFgjl{y9`pYQoEegPZ$x<;eaD%fA7woDrljdGT|P(< zC*F5nxZ};#tBWv~Kb$4_$+KZQm|MZ2VyB?J`=zq+8=WCO45|}6uMpd`BcWCe-T;IC zse8RTFBzIM`8pIVd3QJwZNriq1!@U*pB|5q~m4&^;@xJ~AJih|Zd^2u$YaOqKX;bUEU{l{`>O2oRi9Z7TfqH^De<ji*-z`Mr{P(bnF7 z8o|do5ze{4f)DLY#KL1?)4s`7Z%IF-oFBy}MdjlMThF0d?wYoe1UGj)<{08Tl{)Sn z!9er(`YaCT zR}BUESBze5$sYrSp-?R$dMp)Tp;}#7*GECl-1vR*BT4-228D`9HEH=eTq+PkR4?Hm zX`iM&asgY_csefXj`QulPy`Ksfo-8kG4%pvu;7IVof_ctOolmBuv2yujQbib8z-}o z|DnEBAF&IdTiYI*udJz)IS77UpVH!5vWE~W;Bq_Pwr)r!jFH>nu{=Eo(iexXQrKfh z)Vgg~p74d8z2?mk8k#pD1V+>Xxs9v<*hqusF-q}2$IGdVl-JVv@YC@p1O-}@h?F64 zoNqeP6{W}{Ftyxd#So_1eTWcauNlH&q+R*-S3LY&j{bcweBINJN^;q++@eSl|1bo7 zFTASIMnrCGq-Tx4AT&!AP(l52HjLCPaJ@T7UCPOD2@O4l8rqvP9y-&<@|6dwY`2trOP_; z9SGkgq|>zVrgWysCJ7Q7)HYtZER3?gz2AI{3(=MyO(JZ9udH>F`b*E5SEx#la!u)O zT_s$+6G&lEg8lA1jQj2|am*%}t^-2QeBTz@%+BH_nZ{K*ymbhoaDLWtn8&N3{fqO$ zvDE!AZ5%Vrk-Y-#;6O~o?=fo~z2;b5iUA(8KMB1T)rA|=Y2I7>wM+i2*M6b>#YNk& z!<6f1!RJeotKhK$7vP@YhvTP-#V@J$lFjR$AqI$?3q;<&L*L4ZKX!eGbLA;K4$~fe z!Vf&6wAuRV^gZy@Xt0vs1%-0$$IJEITQF>`$u?!P%$TBkE+-^;WJabP1Nc5qs5+Ok z{~4b(F`<2r5_i)hO7XA|&AEFiR6IK0Cz4&M2TIEuy< zqx>RO7**xJxYM7_m<@Lnji2_3!>K}T78bA&@dZ3f!%X;=wxKXMiTZ(NUnKoxc9wo^ z30H><|F)T9(2_=h?D7NGElyXc0VLfMQ&xjn5ip)`mrg<~{bjaNl^I;ko~s8ehI^WuCNx zQw?b-+vQC0{3+LzbrSQM6h4MvXL(Zd=7s7AkpzCD zlPwxw9nz$B2x~PbX~DgjaCZZqBws)H@erOdQVpsZ z0%pE3ubTTMlZB!3oW@UkhC1fEmo7HNCpHqvkqR~Zk%iMlI}~bfirYzK=!=PPvT$qs zA@o}no57afT{zc^-spL!dmxa*+OB{~{f3vDdj8fKH}4CWsSHibS|1Zej~A1}3@3>6 zVNQjr_=l=+k^e%k9n0u8`*J;B&OkjYF@92bDhlLzBde3f>byo;i%ul#;#_Z=G?U$kV!ta2??g>ntv#kc-T0-pME^{a< z`-{#=-UH+>CzQPh-nk|5Bm~L&O93=G@v2yF@{A|^(|G_7_5|0%SOQcwO;nzMH$#2hdL zzS{vQg9T}v3Ua=KIXV}P2n@ZKqmFsv^G5zlRrtLuwyW~80Q4VIPCfgOzg6LCEj}#1 zy(@?>bJzM(Pcu3P#d@rbAzoet*3ey<8F%7>TI1JJu9QfQwAl4Q3D>B#442h-tv2iq zfY8h-@!xPlSl_Baya82j8vV{z2J3@;V&#f9lUSBY=qCxlLdSx>gu#udf&#+BJfldE zm?mhu`3~jQ2HC%VXcVqCGmXPlrvu??&7-(%PD%O>Sv0tpFeE-tm=*ydE?Jjlqq)qI z^QoM)eI^*8JSM;5-N53Qv|f;MR>hT_`s3m*D{jn%6nHgD04-sWV8KfD%nS_018T;j zcrlpRy~Z|NLUDxg;SSfzDsDwkU_h~1aA)Si!0_-OB{c@_oC1u(wvU?u0zyrB$z-a; z5C`~hM(2tO`~42RJ5cFj>LNmvacS6HViB)(J z^_>-AcLEivbUnJ@DP6QF-ZzH%fWYhj$+$N|+U+gnEo6fUe!i?j!ZJ6 zfL6#e?;uFYM-(3dStKTLV|5T!f5CQqF=xXx6-W=ci`;=5If_h8+z~P5tg!}z3v%}E zjg|p)AzlhU`3ZOT*E)}FcK~a_Key8ND1;OMSgBg;U-9%#Xw{of8-~dU<&LU!8#xiN z0?GIv*A)~?+){AJmm%mzIo6H2i7MH$y+ltgWY37ToTkKOUP-pK<&@JU%xJ9mT2#Q5 z?Z231NXLn$O>m3a_$ogaGn$UbA)Hz|4Ir`M_Eukj;@(K6k{&ae>39LB9Rx0DD~6V# zAr%5*@l!p!&YY|X2!~N8p$NdW=iaHDTE0zb1b~_${XJIhCc;`fbFm5G9WYxo-L4&{ zXWJZ4r?!NL?kKK=wG}|xKJDV5@)|z~VR!X86xpbO(NvIJ*5xf$pT9cgWnS{SqP9?~ za^&};#l6o$Be??hA$6qJJN1#0uM>(hcPer;daK)a+3E2m*^~zX*^f(&oVk&zoZ!5) zB^RMy%N|1Djs5`M6!)N@gD6OrlpTi-k#gXD`tPq$KOxQ9}`vlm^v*-X4KJ(rS^8=)IIxRl>luyFl%{ZF&QhS*~C137<_`G-b zI0YcotcEvW9`>_hE>4U^x{IO55-JxL8zu)qYOi3}F4t)fEmJtTqL)h?KPv0cp-Rc66=;b@R_>vy~(bf|3Hhpx0cK zFq!icDzQJb)PSb*`)5Q5R`bre10VJV9BG9 zt}f{O>aVr(uqbwpcMTigr(Za%8O~?3q-Z~^?uq;6VoR=DD3`$#5%YfIwz{^DgnwDu z)dH=9I8loUi;sEObv>m(GR4`!8I9vOjaSo+v!p6FKV-TtVQWue+jLFrT*BUt z=h(wzZ(yf`qC!X*YTWF!79oV1{p6=fv858)g{F$|!mReJNU-=z+k+`OHX85+cv`Ki~MTG9%2VRmhF|%qu{dVNk`tB7kxTwI`amGRPJF?Z?33|zC`X!G@cGy za7S`@2Belnevr({3LnHY`@xso`x`1o7!kb3ZA(U&Gk-6QBnNQUO`Kt@fhoti`<_z5 zH0At|X6)QJ3pznzdwe4LC_lFq!RBE9EJ#IGV|DarCe*W3d_!xH>DRfgnuuh$4R?OS zTBEm?zuAKmCcM6ffC|hD zTiV*CjwPdU;mkYvUGn`&8?NQ5G~3Iwd{cZLQe9>~vn`M-W`MzDr7^w$!(A^aH?=i! zh5RC5SDmlia}$1yxUq>SG9XnU?Z7S>$+0c)$_e6H()K3_6a{{}J$@1JJMr1ID5S1> zblis}cgJXc*`gg>6q6?~jNl-fiq-sf=n~wLf(|^VrE4Db35Is5yldOxZ7b_0$|Sox zu@F||!8JerXs!p`6WMha-g6}sN_ZFoH%@kQkrypqi+Xrue@@Kza6r|ra8pEg?p~fJ zcMluu&CV8gsr~Gn2CMdY_rIN3dF$Vuba%t(3!M{ZoXZ2(F10hc5a(tD!eEk+u8DANC58BR30c=G`364t3ffrnb>N2`l#tdjw0@}M7w@s@Osr{5b1`=j18g*I4ynFB~)a$nLA zV|wNVgm}R?sl*XdplXti( zyN1h3iIJsK6Z89@FkDiT3k^5l(anoH*lQkB&Ya89dA;d%l$MBx9#-eFJ*v3Yr+~4E z9LIe@Y+bOeP%2jyzy#X_CyRS)Xc-F3C5;~k&>#5xc{>c!)-ud$q14`YSG!gGXN7RB zw?t;JXn#n3{0F)VP;MLAzpFJoQZ+bLPW-r#9lZW=fUkpgSU}W9Ozm~U(7IDUc>CKH zm6v)ofG>3~r5!xzMEuQ!(gdJ|VKbq}O^z^~{CRf=BQo4z0Cr<>7ZY4hO@ATl6wzmp z3<+8JlA*NY!vl=cd_;FYyPb4&@h12^mUkqW6nA(#$LNCe;;`o@HSU7|S-23g6xWOKeEIgY`WP z8B-r^jFY=0_6imqu||mdE46m1&!cfc^?J#!cu_ZBbXrlEhrZACF=^yuAb8=J(!Yk z)F5nY%{Tt>5i{P(qn|g3B-qjEToY4F)b~(u5uKit0R*J=^MBK)4|GYXyv0Qf?bdr8 z`N+%M-or1_O;yIeI*+ugES{gyR zJ&$2*u{(t)9T+z^OuvF$oDGRtK6Ec{H2F6u09OLX*_9cllGH!!nRz$yQuojL)p)eW*(OY%M5UJ79+#C2wsX63A&5M77BJjkpIE zRW2<1Q9G)&uXY%QLE{LlLseJ+Zbl(fI9*?V_kH?3B;e=Yq!-ILnEJCc}TI@L<5iN9v^k*!UA? z@4PCupiai3!EyYwL$90{j-5|C_ieUZx5_Y>D^-#|^HJ{aQUw8rp1LAz0_L>Vp^X1W zK<+_=*(23%ol)8(gem|;u$}lm{1xGyLl^yJ4JHB?JbfYpdQYU>OIVA)>IJ9O$(M zp24CH4HU(}8RQytyoadTb%{1x(;S(}Io5WKZkbqgNBpR{ zNv99m1p6?#C=}N)q|TvE&dOq(wf)K3rGKa_9iEbuusRt59rxP%w)ef<4t;XJWN%Ua za*wSaR9>I{1ZFwOFz~WCJ{n#>;?i(g>sMLURFm>_%k5wBUdMY_Ago`$KhVVDmb^@dQ0^R`17Z-J zRF*TCQ~pTqh(-rTr6E3S?2K>;qP7s6MEbNyZLN_(vRdwR(BzBqWqIxeS`AS=TQTKu z0b6fWf4_VYq#+8gI6%)?aaUDw*-=vMdWXu|dv@cS4AQJv=3X$NiCYlxpWj=1u}B^1 z2OQ?B*01Rtaq~?Q&^Z~MmM)Ugp)R&?Rcz{^Q4qJ8RmCdW0ddzGGFs{ev(9gbflfMjf+(&LN2B_-zPTr6$Yb?=+wK1i2%^fAF3nYR^r1 z1Dh^`l>2!21SrGgAFCFL8$SanAY9}}Zf;x}5<#W$71gdX$fvJ57uF(=gC)9*%fm~( zqp`H3Z)n$7tiMp|T3 zTI(aY!X!>0IZO5^Yl(!%>)yStynv%*9fhLB z)nzhSRRl`+&d zV#yB7iMK<=x)0TOCLUAT;Kdy&o%OyfS5&8h17zJ--GV}4iS(#y5>h%IbCb4ypCW>v zxDsUvz&kA^;3KO{rgI49Fv%w&b+h|rg*;jyAMYwyIsJbeLJ7 zJO|w=FHvj;ZoF)E*t<1A1^Jd^qx6$@AGDF_6H3lvdfNNW#F`ZMyy+i&U8QMeb{Bn9eivrYc|Ji zz;oc=|LcR^8-P6VH1XsZX15cObe+HJ73c(@4SUIUP+ye0MHGBe%w>pTqA^m)pS5!@ z&B15L$oSdsk3FLQ5^mT4rLXUup!>$Is{E*F+eg?*d^%4*X>#*!?z;(T2Jc}X?=SWq z3rn4tRG;K@N6)UeeA{+Zrppx{X7*{fQ0Hakay}DgjeSnW*L4cE^Ofml#fLxojxR`^ z7*^}(EvG8e?bA={ROr(`4w zbelMGyxqN)vu;V66-u)CTW4=88c*rtc&(!%`{(Zi_jK;wU+J0izdmO?rsMr{OZmwZcBz)?DM!Z*%#XdY*w(H)1>U;D`Es}E!o|lj zFigo>K+)6*ib+)ko%)H@@}OjUe9|jA!1d&oW%)`VK+CBUX<+(!b?H(FB6ms3k3jh?GGnBEwt6&@{q zf)g&#u%X(T|Bo$Posk8E_1Ce?EH%#&vo z{*OV|-^(p)9GT-V7WCAgM?|H&?Z>sT+n$N4Ohz(I5(7YS*6N#wB3{uiYfqfs{_H+9 z>D#|I?DKV<7&uw+uERu;!^gMZh{(QvrlK%9=bcP%!1iK{Ms>8_JxzeY4Svn9FMi#e zxQ&AfX*g_GWb&jPe|aJEjTUXaykXh!t-2|0Bmi;8Pv9g(p&5!%&MfOazU9_ZGMPWR z?g!b!B-9LdiPXJQ_hsb2ESn@W^*6jwq!aYEGg5vXpA=kKtgFh{Oet;L;3`G~wcheI<>c80=KBttM^O)QhTIEI5i1H^j*({Be;nodSn8?&K*znzD;Mv!x&=l+$XdKbJXpSd6HHTOXeBNb2L;EIh_XJ}hZ62DWu76Rl1wY=GOlrr`*x`1a}TlglUPELzpC)Vqd{{$zCbv>Bk z*F=|uet;VKW$>i?3J9oPx!Z-)9`E-r#pxm%)LWkSZ&5*9VQ&d%sTe3fmvEukbUYCh zwPBElcdSc5d%|0$j!i%8&dHpY+$9YeG>fPf4EGI&;(?980eAC2;}={^yX!TSP_;d78UcbtopxRf{ZuBzV0da z@WyV7vhE)+l+T_8)Wp7>Mtf$v&m27%E;4t2wk4N+qH|}_!DNZyRb(N6+0inTTvzSt zUdN36?%O&~@mG5e!P$PdC`qo`RlQ;v`|W1JIJ2A=rG~D^ie6Ise)H{UYdo?0&@MYw zn&Rg=SJqpR9>%!z$qL`o4YJP0NKq_ZwSV=hrtcTtc6@@5>xPwO8{~Sj25dzrwAw-rTf(x7#ho__TjescgbEO2%_wPjEcp zSz34V>1@Jf3U9gU@^+pTJheOMZW!k|WU25wtp=TI)QBZg4}lY#k*%WIy}zn>^DjTyz^aYZJpVbF)Qm^<=yI5|#_$y>?w^Ek9YHeBJXc;Ul z?Ogo0%dHAJJK-u`vBEzGDEJ?G{Zlpyxbb`M1Fa<-OzXd0vRQL2320Lfe+R+ZC_xCnzNg2s)P(!po0`#IeVmCH5YiT!$G)G0u08sWdDhu}h% z!H;jWp4AI!BOTl~xi9tfPl2tj@H{hWzg#fU=D`iCxlhuQ*C(n|_l9k*{Am;r&V*e{ z{dkKbKY!FTHSX(riAz@JcU-u??g}fvhWDjl(5o$2fH`Tf>iEUM-(wtDFf0G1&7@nN z^UD)jzn>E)j1=J`_j&WYcj7OySZd?=T1 z8;SQeNQ(Gp%Mma^fXjVk=h0|;d_PWxCZF)(td*dC`iT39+1i2IYMrDhlym8%XB;B`Ii8G)!~MQbcp!O0AIl z_>Fl}Pp0!$Agke)tH0MY#^%he)7_T??*#GH1@Mh?n#4Zy$g_RzUC^_*Q6$N)^uRb_ M%T4!)gcOpT|Hk|O?)Q7X$MZzaoISg1}- zWXV)*FSw!|Jdb_ zi~5HzTn+(kXXcmx?qWUcpRS@;{J#DDlezjIS;W$*Sh?&CPH#dQ|{LE3#}r4^h+k5WapROH#CX7bd-L?yx!L$ zrVWkK_~?s_xzw0kdzDdPimWtKC0?9!)gb1~K1)Db#PGu#p@j<@AFUhIj zdmh~?eO5F={3LMb7eG)8tZ|X9>RhxhMYC$g|J)B}F}+=pA1%O_Lmz6zn|{4_F@cWY zAn31NZ`?b0ImySg5Z%0qqlMAovk~MBZHl2w1%GV;esjpwb(mVHL9KUdU`*$Cj^I*Z>xUPwqWizUo7qW zjNQ<5O|g7kbkRq{rih_ea|&j;{H>NYX-tU{L6spalZlZfGI2Z*2f;7#vX!U}uj)9( zoYYLq`TMIs?m3$qIsR!+#YD#EgZT9kM^A3g!J;d)~eR&9#iW4Ez< z)%NU|cYB?tHX1dJtoAqD!Lje9sG;j>eb9{#!5nbq!{y?tRMP?6U-y zvtR>lwka8acPn@i|BUx$E0|Bp_toC-;II2u@4WPG(^YWN$aPG?{-J{M!F+ zkTCjqe0Z7q7n@`Aqzg?CC%rc9H(AUjI&=^&CX5yz&h_8vF*5S~UEDDzYmt*`dlEP8 zDZ8s@tvLQm)J@e?o0Mq%fWoVLs}U;JpWp{k$y^=K>O;tZ zXgzuR22A@xN7}u22`-6OXJ&dwwT*stJxdtv(SG%;gNWT3DYRvh?f;~toqCn|*L|Vb z|M1a2ojw<2@_uN%RyKQebIXqQ3RN&2q2aT?TJ2t+Hh!Eq`uLHV!H2Q+cdIF%{52$X#FOtWP6J4fkip||01sYR}kAWq~v{ZU0 z`&qB!RG_-k?oxi+J+w8k*~ir?zW^;Wj;Mrecw}GcW%rbewka2VBC2m~FSWR2`=`h5 z9};ElvPUQoP^IeL``3Cu(EqacokF>#ayibRso*`*{E660l;E;A;7`lQ^WybQ1^eFJ zjWlqA7umAU_-hlcX*+0I{=5X@jGN70Am+KgON`)LGrfU$6MOiJ4QH+6QJHyO*!uKWeWB%<^{l(ihtgS{N%e;<~QX%YJZ#DyL4V=wLKPu4a8tP3p8Pt zpE}>Y?RRv=T)?fR{!kjvng&obHtcX@Ywxi}n{8nR1G{+&u-|^$8H~2b;3p7^R>=!xr(h#1*+aBYo9&?i^CQ_6`o83KC!h4?A#rt zaxiqDyK}h=L(0<>$Fq*?^0E2r@pd2VvpzKSS+<$MSw#?6!IgE1a}MkHO11_d1cR&D z=o#kH%|ONS_X#fNu~{P{ng$wKs;TxV%{-?|P7E!cf^6b4JJ&nlVhrH$>Mo)8Vc97E zUS_bwGq2rRkee^2F=Wv6`|3+Jvg!bE4Nw^%ch)oU=&3VXHYYbh+zxdxuO5x+ z`z&+iA`64Fp*AnB^soNAG)|@g`LCuOO*=O>_1mZXVvclNF#|JJlEor`K!**UIqceD zpwV3Qd~@H-Zz!A(Kk^yCr)B!l^ESnGGC~RV3A`ths`52yU@ipiHN+#R1 zTS>`SOMq@NH?AXziBYHV8?|?!3Z*6+E(erv=Q0_3BsQUG*IXf*k@Gd$s3= z_n6DyyLA8MW4liN?Q_Z|&3EMPcG0$`XEN&W!uRe!peBoP8(*{3yieI2yYlALv$TH# z?Hq1;>F>sC|FXwpM_`QAzauYD^ZS3*d}tpPv_)hnn?QHU zu_fyD(P4;!EP?QZ~Vv#G{s|5<}8&+o2n)BscLs0Qpb z=m@N0!+*%>R+$!HU0*+b*6~mkFx*o`PJre8Gs#_f&yqoCmw^CK#q;pL&Qj$3@8VY2 z0kQ18tIj~NP#IAecSVhx0S;c_CF9`No<@LS8Q*IGqOgnI*R2s8mXQn)2EJvf>MTv@ zyB+xD|Ij!Yue(}w3fC8$2>yJS{`%gTfYcc!8MTrr@#Mej(NlEcm~2_U$V~2`nZd*I zEYf@@FoHkP1KeRt=m8b4U2ed-=v%>bKrp(d@M({x|0C*~i!xdQ zd6e&v?aHLhUxBV;*mheJ;G{AKPXJsG_{gQnp8rvBa_oNvPybgi^*@5E*Z)hQZNO4j z@MHoRJ5+wO_1@Ur1{r9$`CeSSEpZ3te|Nx}zec8kjOKRy(^vC91RTsmTOG{iUq%iD zm;YDr>VE}W{#Wqne+5&Gzgn*CQ1y;={WBn^;(=<#jwaEY%q=^Y?KW&G#-0Le1S|_^ zlso;mr5_wnrgtV^9sqv<%C??h0=eGNsJ4F{<-`%fFV__I)cH4ufhqo`>Eqv@KLc#$ zKUq@r|F@^7E_ZpJQ$VIld9vhP-kM6(G3J{cI)WHAt&a&G7Ph5C*;+m0=RPQ=hd{>tDODgEMb~V-SC%AWh7y)7e`{~CEKJ98!y^0OZ z-w&r8Np~6nbgX4pnC!*0)3O(%V0i|NhtFJmfC9CvVHBVtTc)9JoJ_;-MfYu$xB!!F z4L0uax|NIpV;_=@P2#U!7vz8Bc2A-f^}7)}uZssSoD1qmZUGB74Xg{oVsnwuY6nV+#FRFFN zJ5&dKiH2Oq@N^x*OojP{gIjbek!1;U?Gr``OIZQxUrARzlVVmO1NMJ(%A48WsTNhZ zcvOWpSp5Rc9~)C}r@7zS_&nMw9UUI_I9JX7WLNdf+TE|PH-mP)LaM()+i!0Rqv+qG zA#x+N?~*$npHxzK^!gSH?pN{L_hwK+(dbwEcZDA+Mq%L&E;cR83Kl(&Rm}K(QadZ) z8f`iIV&<&bEA69}m3vMrdeLd8dp@j%CT22q^T)m)J=I-t<(2cB?PFWnW#>Y2w)Hkz zmYx~;#66SgzqQRbbM)cl@XYC>J=)E^7wRt|@sE}%8c;L;PHE~I!We&kr@w=uI-(GB)@5?po*LHpeEIt6|R*MlCB#n4`gG zqatFT%V9SgggiI)b$H!z?8N!MEGE>v{n@s6-=ry;5wY9YX&EwOPB>+B{hG`WH(2e@ zhdp^1)RxI@3Ysx+yFR}fR`J)S=wSE^c_|_wa;?u#l))u;YR|bTi^jW#*HRI$mb;a_ zOv%n|m)ShP@Lb|iOCQD)RR*o%&VJ+Au3hP4!@~8t4{N2G;Lw<5DAiSwtqkyd^8s%wFtMRnBsWI@{!_^=AyB?9x-^MdHvmLK=uC{S&zpr|9yPHj0#d$ebu)<1% z^S;Q^^CMn}^Uo6;D`tY)pSzr_j=FF7b;R}|KhoJa^D^4{ z*iq*_K?z$!{5PUm`6YSTaQDVGwGy|GJ?mxn###l&%ad5=DFzny0d2hJcZljn8<@iZ zIcunQ9^GVhgl3p7Odl2IQ>**4=C4EohJfmYySKhj9$P<+cCoRV{er>N97{R<18`Oxv%vdoHI8Ww=vX5X-XVNxyZb@ zAI{b@uk_s>zG*OVb5^Yz-c8jC$6|)Rm&Z+=x8^1t{Yo;oU$@i#@Wk`m)GFu9fvs(? z)knvaf=i#zXV z9n$3WIJD%GYWm(J*2)J4M5on#r661C#C$)Or7*ne8BFOdQKau z>GSGeSjL!g?oJm$*lvvwo!BnFr@QhU5R1<|pu^`yJ=fa3Uxl(;(_8u(iy^fp6FmGb z+w`ER$MX;De3EB%(i!EWJl2}8J?#kg6%wtgh$c`_K1f(y^i}mOeQoKUb@DO2ep9Jo zbDPVZC`K{ddC;zmB@^azM1{rqnji$AQI{H8r^@E+y!~ ztff{*i}fVED7s%v9J0;08E({*AyxSajm)uE31&}vPqT<}qVV_(!+biash!Wlls$rGe%Ud0a-lsm=yx|*8z`r%T#Fkm8%~}CWh~L79Q>^ebYv7<5vxZ3=|zJR zEFW`TSNgl5NfenTP>c1uz%?PPzKVvN+8}2w!`3FjxNHmr`?@v==Ts;>jwR{ z@h_4B*Ng@JZmltgUn&qR5&xbQCRL1Ip~tZKX8}H&uS>_r#5Zg782p}=1C3H*i#Vq! zX(u&JaIreYM7xn!6_5R$w{6%YDW^riUe;Mg{W9S#Ad+W-@mF^5O+dh{SiMm~YHP~_ zOtBiVLD7NWA#th`50$yE@n{`NneBtfKH;$X)fg z!7#X(vQ+wd31oS+_yoCX03z2zp)^WupcBJ?H+j`n$iYrJYm>2P2muYB2=UtJN9Ov; z#-3tM;SA*WtMRcey$@|h`LLTsnI5CKLz`N}H3~s7Fb>$7*WR0u#S%mh4_Z6H#1!5% zazw!g?}nbN_>v%|Ch4N$#b9~UBL;-nUZ~LwZd5*nAFiSIN z5HGUZ1pb>l%6+_IM`^apgy=3*r?t+tgatOMqmXC;g)8@Ho?P6%pwZLIvNbn=fxdhx zg9}fDvE8tKmR{O2xK-qY#iT&uEWjJKWc@NDN63`$nuhA;AP>QNQ`wFVaZ&3K@Ns8f zJ!Z#GXrl0rF_%}?$2E+1kF%yAbb+EER#``i6^XHG+ab&7t_}wbLD=U zCG-;3NhlRwBAKc63zk&^+xYgK&`BV`BdzbT6-bTSZ)V~qA8J>c`L8d@laR>3%p zG;!aD?w*a=cvDO<0LvY^wNo);7C6zxe8wf26In=J9xMq+9dqb>70QwKmInCh81KWm zt}$mGOJK~yuE)&Ki1G8zCZru%_3PLe7nE$3A(d|Q;9earGBsGM;-dp_VsvdX@pM;`xn8~^Iz9&X$B#gW;R)@zk>`6BCiDl79alGM37KY%p^07|n z&y(J_n@O`P7_IUy;hoF$2r|o$j7mJr3pM4=qzhm+Vz|*pcX({qGUdLsF4W!_ae>?~ z@*mN^=;Lwh$n2OBaLo+EFKz z!^jID-w^j@@>$1;J?&KLK;EZik%R!94n!zf;xLuU!6U~WpfgF4cjO7HRK#?6C|-R8 zal%ppA&%sd^`YQA3HoOGtXr3RycEOK;UCvF?aa(vfs5pPKet1cia*#8a+ikUdl|i6nS5d3EJF$(V@G~0Mw4N6j}%$yzm=})o@p= zonf7jZic}35Ds$)XI1U(&hVsnltphJ!DzFixrv^!Uuw9$))_mR*g0s@qzT_sIzMrW zC%WuN4EK&*FbdkOF&0VKp>ZCnk>j{$&L-5NH>pe{w`yKB#A_Pj;dL7diJ=BnE4*uU zCqoDUn#IIbhlb1>*RhTPV?fDG_s)o-`}3e7!n(;+sZdQ|S?%aZbS6nIS;x+gn-Gw? z#Z+vAi0?gm-9IfJ?!Li=SoMTB#2AUHDQB$IvuijtVJLK+>?TMy~v<1GY`l9~vTsKv!2Lga68Ohiq0`_ zS|k92+JU06fBBX{Tl&K-!ORFtO9#RlI*rYeS2pdNr5WYdoFEU1Skq#D{Ct(c3izhz zkYCk5%dltCW}kRV4=B$Q(OJqZ$@lcbwZ`aHj`DD;wQn&@8&nz=+W>6=5NM*(i9jae z-pY-={Az}Z+--pm(VFnq7gJWtU?&GU2|=V@x>Orx&(T8Q_|~6Cq)I6I3aZ53sueH*2oT0(TeE< zOE@rFDHRN2dlMq%mEh_HG7K~qoUC1HoSbfQ}qEKX##R5GT}4bdGTxRqr#p57qd zDR-?_#R@J7_k=EUDPM*m?KwJ$PI@rS1Q?d<=36YeWh}UT|yYNk+du5CW z@s@s8b)jTnB5KC5$+=1|2^YMsA8TJpL_=#wO9w@18Ko!U@oRim;t-)DG}2^TBYDf* zcevTp8|-G1VF9-16>D11uLltiAMwd=|e!gjFkc7;9QLsaQ<0tiE(zdeJxybAYrW!}>rp zH{Ua+cK1G{r7q98Y8o!6!s_D>>Qjs-iUM>}1e*0)yd^zoxcl}LY(M$E34#yXp0)^r zW$#aEQXx*$jzU=`!Lv2)Q~gVCM%V6WOWDU3OFQGGIroBa$1$<$5urZi;~Koxo!;l=KXuWB*%b@{3hHCh>Qi zXUWln1jxM$vWpX+)gqoaoD&t&=_&2gmhf?HCJK=R_?otP0n4_Mmf(jXAT^pOx-E&m zW-F+-1N*^x^+OHSIeq3UTB=+#8=2?2oR&d?Fn(`l{N>C|gc&_u)STqmwfIf?vo!g* zh4hwEmQ=(N@ctN6FpEjwXBBlKlYaP4?|xPKy%Z{`LY~n`)xy-y$5~(mE?p4CMzU?$ zVpTmEUA2mHV2_Bpy%4$x3>(Zk&QClV>{`JPH=o{zAEvbqN9RZn=%bcTX7S2#Y?k;Y zzRn#LT|nd64WWHTch?TBSK$j}_U}AR6pBlxh za%O2#@NNziMliwr611AFWZXx;ROU3!M`Yy_N^jvtX_Vy*W4dz`YE%DBhY$ zS;2wFn#@tE=4-Zbs`p%(T08oQ)Vh3rGP{u8dXf^HkFyemI#Oai`L1nLrAWfe>Pl+R z9NxQocCioxc)=)8xHWG@?c~R5kJ@XFC~6+k!c5AsAOXKlqg46CJpF?sPG3$Kg15~} zY?TY39|S1_5H0eTE%eGdcU!5oPZs3Dz0gPvD3H-DAWL-r@7@9v+lLgnaYF zVN^p=upq(a46LQaNmD6~aA z!5#7xzt{+qa$dtc^Q(>2sV$nT(e06guN#CMY$!Rpds-F~EUP2Bpd|er9`RR0@zfR85;oZh zX;`h;Nr?y<&Xa~8j*V4XWaLY&s;@g@Hmw&9RiB=u8BMH{UtDFo$kG06oQWn#tqKWl z`6R?B9a!?L!l-7e=sDsF>v$Rl{>=nmsYDtSO-%DDa3*CBAlQ1WX1)Zvy#UYKc`GP;j13)r*}C~iEhYiWb1*c~D*496bS@m^`E$w&je zHzTG~hwxt!lfCwLh}LZc^rF1*aupsuJ%C_f2KT14X1T=2ri4BQO+TR}^GF4ljn4Vo z3v5|ZadHv%QNYm{%iLl{jRZI+^}}>a2MX|0>Btz>iFy)a1r_#g0M+l0C*y;*bU0My zBO*gu^sU9u%VTLtVt*vi^|adCS(8F~;!gyg^h1{HqNn*=`e!$B>36#DR#7os_~g*V zFB#GSL@aj)7ehF)rkL7e2Yrwa?pvSDw2TVx!e=zsR_>aBw7My1&6Pv=@@*MKKWIRrTrXVti00aR>)}FY}bYPR`fVgZlo_THof7Q|iFqD@$}s)s*4R=Q*FOlk?@(Cn<` z&V9HTHAyOUPMRi;4te1zjzqM==$QWa4Ta*4(?yydz&ROFiCtJI|Q^uU;5 z8cUkwTpr-AdG)7qUMs0)#XK6-p##Hp`*jfJ72xhD7tGrMmz3dm_b_WbV`pf4{t%jb z;{Dq&D?vP6+eS|l{o3W{03vM^#*}<`9vu=}T(bAQNj0*5(rqYMEy`o$Wqo}ajy$|l z7s4g7uO0(FrMJfdN{M8v>e8ofg%+YWDUZ<$CH*;&rt%n(5yuX6>-HTCbJ0hsOjHLj zB;~ed@})_U-K0$`TFsM5&|TjHTs$FHl}kC?HN*cX{a7{&lGjP!=z8dY7am{>fHeV$ zX^gBFjn8T!Rvm_0iH>z4HRaf_NhZOgK|~7hkqo9G-*4kgogAug-h|+l`o+Xp6w>XN zEiU^*$l`~FDffr(8U3Z!&8po;Wzg2dGg_7P!>>-GJ4WDoj9d0ke+pFuSbwZ5FfC zFSebzsnj~ANFPba)#XyP9f|Y;sa-srfLl{caBh5meNWK)t(+;ERoud@maL@>!m7kO z&<9gHR7MzQ^DI<2Acz_Mo`Uyjnx%b-XD80avS%j0N^muDJhj&dlr4_ms#}H1Ftn<^ zoQVl5J(5YpGY|x_UI*6Anmi-XIxXLuT(Xjjo-Dob+A*$c}F@C63T(PO; zy{&0RWJtO`Ip-v*H(%xKN6Sgt^LS~n=19$I&N72p8Y5n$qasaT2BDH6xZZ(%D7MjP zYm!(K@cGm}=PbIB0e`!Q<)@3*U6(_=5-xqL88-PYK3>ZAE+a+`!WfMxQ)EQQJfD8dObUM!duc#2cTi0Cfq%RH5Lb_XIuK6^^Fdw?fVt~++2 z1T}}1y(CeHo>F+uP8SL?Y5w$3bRCa8`yiej=+j1ArX$wVBLt|mp&65Q4FU@-evVaQ za9IUIbQ_ITC_#mIduYKXlO4)&pfp--P_5S8yVfPVE}q96b-_n*gDTx~qz;a~#@^x& za0US_e1Z<;AbwWc$(IJMN5QQwYGLq?4N@po1mb`mK59|iuGAp9b~%lBpkPIYZ4Rha zxyKf3cFzhM#8KDuDW~=Ep86CAeTY7Pnm4WUdUjT6BEAtln3PX>M@B02XHgt>>$X&_ znD{}0f&7i>6=S9D({?r+va~pD3Y}N12{%ZQW>YMqyiB2;xY@eqC?Xp*c{e#$JQ&@% z^eRACxbm{ltr;KLUjl!ASCaxp0$3Ye_y_PNvAWwxxQm=dkWr zOG?rf_(R4e{B%Mh&aG-XQ5;F#!-`CXO(v9MT@V;MCRPJB5q$P3<-H1{^^_LM(&8tD zX>w)Jc{i>ui9M;GhKcqOb6Cc8mN*HmlxWB3{m~%WQ;p;xMVm`$=^25aNsG%F%(59e zO-N!%?>n27WMs@QqyLm1BCOJGVam5Cb;62Oi%Z`lOLYq5S4ggI>3|L7D>yV+vVgNO zzDBZZE@?8v-Tf}fkzATSWw8N2OFleEZHo-pBylsm#J!ofQ@SdQ*(xnO0ERN@x?whmeC+wgBjrqkR*>o!l zhc4(+lVI203~2=B7!t;94t3E z>3Wh*HE40Yt~*R?Xb`t7#z42(^Xt=y@a9*o{i1boqKLJ7+UT@-*0%-$ZuRJ@M%{Pv zqFZQZ6;W?i3f{FTVpoq4p5BRBX-BbK<5PbLlpv{bQf+tWyAtmMVqzXq=s-B3+U;9I zg}$Y${LsuH4k%A!!le?Te+CT7!Avgpwz7z!Zdeo|ET#)0iN+7bTBfsy9av0|Ja(aq zsk85*#d{StrDU|~S1&7}M}#DK#Yi@;Lkw6^qK`UKV(?!MX)#dsff;B(B+SL`x$$PW z*+ljLNnVa&-Wz_}OB%T>%2MQYJw|;Hr8G% z2(ji;`_gho2OrT`xY0OG>Ypsj$sKN>wZ9-onqor zDP=jzQnY{J+jrvfX_Qi-`fvqRW?y ziI&yp%5b+k>C%@(=yoou4q%#o8Z65@W{&mPIu>R#7)3b%YDM4ov*^1DFoble)C0=wz4&CFAZ@tl9famH)8l!QQCjhxt@{`tU1JAsZIdLJUElCD zd5%SB5L8->)-eNkmNNl2#oaD=Uh_I3N#p+FL`y46fK}y84mv+yeBED1x#B`Zv8H)c z!al4-Th#U5MLv?7_fzbF<(Kl8EgI*QyjV)Tnhja?Zz?RE2Ip5c(7GEIeAa^oHccJG zj|`YeD?1;(3D$$4+Tc#lJx!F3(_EK9)TAHUWt9C>(cG^Gkrotz;S6GN7p9J#=< zZVOnW-KUQBeiP`oMN)KL(AO}q_T8W6CBG0O;v+?L3VGvu z@@|VxRHss|uUi-Xn|3Stxv8{crH~2y{}}b{fLkViXrP4u!9*(!Yd#`~l^catfKo6+ zu65R}p{ANozm9QVLKBdU#B{mo;N6{TB0_$6it(BY{F~yNnX)4osDRgdP|zB^4^bbv zoPb=-BFgh9`W!q8)J3+$i9k~(T4I?ZVzXkb7fWFjDjMMmW13i2tHczw!|;epLB%L= z9KclRK~?$ucq%umiCfhg39q}Vg`uRhP;bSH$?jQ}Q%^QzQYpT}bt{)Hu#_7_^I-zK%2eqei*QM_C*)m>C!i(MOHi`1 zcOp$tVc74h!yUe7hKsn*xHhO!hc~l;_7oH8w@P4!d)^9j_GP0;Vyv=cC^ozrF^iH& zYy5DR!(&A&T6#~UmAY$TtfD$i;TRHQ(aa2aEye8T`3?g77dD`WM;R!qn33L9iQ|2u z??Zl+1_0K5vq8k7W@D1&sioUD^S=oZJc0@hRm&{k)pAoBM1C%GNcA=Gtnyf?u_IlX zM4mky8_gZ}I#0)Q`6l?}NPk#&c+n!Y7sRfwEJVAHl&m&ig>F?Do4s5XkiBFwnc&vN zj^{Cs7tT^VSBo}{%(3=0h=Vuwz=mc6CiwegLG^>C$ahc>Z2x2u-~>*Dij{EC6pDX% zH}3_@*4Il4Qr~J(S_#Y}QBb3&LSx5I7K!?q-f88!mE@m z2Igj?bSwz+C!~}~l1N;+xcH`Skdnj`ZLX$_dvS}F77iDck=d*(4I+tW+jRP#4r>?R zh>%x#WZ(K~Kiy&i5^Ih5NUoMPOy2hOQmNR|Nsygd#&lB_nnafjiz%HAmcM9jP!0Lj z7i>4BfJq_E@4|C=UmzYGCX#C{MKGi<6tCm&p&>S%(OW(I%Et+uIQ?<;epUG@Hfyed zJ$XTke&Bse!@g;nohi9gsixO+B}Q&i6VyIjpfr^a+6IufvgqJ-DI@eL#X^-aiv;j! zA%ZybDC3{CR8KDPco*K%f^Ry5qVY&WWc= zagTHdxGxsOT;UT5qE`b^i;`Fxj~GTJP?Op0b!mhm&loQ24StTT_BGsp(aOCyqEC~D zMbe$BBs4mmHo&Ve?0FgOxpLpi&{3KhdnUD7e7Myd8mFS&&uLmN98XzXR!4u`HS2m! z?FXW7Mp8kWjmD=$@~xlRW+RxImZBrqaU-@(f;|@FS}w`a+_^l+j;E#v*-@zFh)yj0 z#t~0U@vK#K%ynF_$Efe}(Vtlw+|i!`PX*41m3a~Kaw#YpwEq;0MhZ~7z?oL?O^J#s zq}o;r0~JoaCW?B0)r^$P5;DFGLmSEC;pHqH?#v$cw1#7fA@!v1=Uh4N_24RP;w*8T=ztdy)kXY<$fe1}hi@}6=iq}Gy5 z)Dj|N7;y3tdq4|AJ}8+<)q)*_TUG~Nhgx5QeaeT3 z@s<(y`OoSPwNIm`#^d*jmIg*}Z{!_urA23ARX5d=}E}A(H}5w z8Fnn;J*sJ4jLlQj0&5QlRq{~Q4G4-uz;lpu_?;!x{dP74w9ZX&7R%hHQK|_dhGzVN z4m=3Ig4(#96@OFm$dPDIN{@v9Anp2Qgjlq*`LU)T9oZyc(8vvfT|0ZKQ29_=f@Ksp zH%~fdD^0SM>TGA-x+y-FQe!fTimOHASGHg?DD*t39a<8}o%DAO8c^j|cqcO&Uz?!hz@zh;`OF-EjEg(A45Jc-9a@yqqn*QyjWUuguP&E_8#BMfkW zQwb<4BPcm`u zzbD2MD)RI!E%s&O-^B$_7o$w-1$WnQUn8Z<3MUS11@ zHV9Ksmf>S6)$qPu0M!`1zx*hBXt=C=iKWHiE*wqx-8P;*eXV=;UYVIZVDY7}3I2+1 zszwSF^1H?$7>I?asteHq${t{+Y1We3WC1K$JOlFmFl+H5NkWZFKc3%8@?F8BAaWtA zB~eEPqsG3NzIXBXswhWBdWGPQ3Lpx(b;<%Yo9wEz@;S%i`ML|Hiboo z-1n4@%@&cD3SR;8kvi<=zI3k6ZIdB1pbx=wmVS7352FzIM8_Jr!U~>an)#CRX&5>H z&QR~ku`zMAr7Aj_jeyUoSJGWFpD1!nD)XvVEF4hfFSt;MH+VH@`Q;4yvlRTk%9G0i zBPtCGiI?wG^_topj|ZQHXs!@4c`vuzAyu90y86c=#q-8@MK&T;U{!6W3bkZXICN)MdkMRPSg3fQrIoS+q5%;3UyiAHEc&zQi z@&y$pQ-qa^sE=ecqLo}N;9C!2o5de?k2w&ARu`j023Tx<`inz)5!}B$#pl%d^q3p- z&TEFn5712I8BFzB-T|c;F`Q9`_Eizl551>whG1n$PrF_sMuuvu#VUuu$=W-4kYOd| z;XDd-JMq&u!?>GgAC*=h28my1ByO6^d5!eMDbMu03`-0DOsGM15|&%zh=vbVg0B~9 zVNCj`JH+ric#l>-OT9t# zgla`hWc!>>L%=1cyC9uHNY50HAP5IY%i={ST`5sPXCNPRVDl4VW+F7%_#}aqD9DjQ z`nbarl2K@@YwY!s3_D#XkmJ_v`TMG1v{9} zYkO!dSy`_v$fy^y%{vfqy>(o=&(9x6%L4WKsU$7wRS8OderE7J6n63levM&k}(;v*Vu3OzbJ5xyfl1bSGN+WQ!(b)@Wly*;XogAYSO;_%5cv%pDuuGR{ zgSrf9-Wlr^B4PRMz8`;6QMhHMCR4s~! zjuxhFGEJi1iu_2by>yEC@kh#Rpa7)_0`Qgw0eM?L&%TWy7fD!9;e@Z42oCIK>VZ_9 ztliB#RJN$u1UUeUzq|}`I1KGyAxWJzTkxag;d!<}b<7s7<@fV9#gk=|k{va;(%3tB z5@fSrCMT;$IN=;GjMW|WUoltP9XAB;fYlA6go`t4@>GORtI(Q-#sO1}e^b~?C+>l> zL9;lK?yBkeoh_7cGifAuw#%LQ@osk23xO(?xxgETHOyZ;8GAyf+ZkKsP{Ux_w?PVp z<(N!gLZ?;}U$fZZ#KBcn=v*rc-!i23ve0si2}lW)%Y9)0c^$KSehQ|p7FSqB;T#cl zgGf9&Flx5>SE%pEWRJSZf&pORs*StB7g5PD5w+OGd{y)OgQ8*KQ9_CC4^$)nd=%em z99P&LFP36wQMIU4ssOqzWzbmS4W;%&32guUX;`@4+R-Y6XmCV}K2t9kqkXzQ<>>5< z=St_7OhAU*dt{agTyIw))LMq$R!Pljt3WdqTdIVnWcM3ve|PW!jQtA6z$q5$o=;4) zJmU$P0_*4FqZhZ`nABnVq_+6u#h97~an zBgQR%2iA6RN8$pH1rDvFqow0bwGoP(3Jnf})*8qA^=Y3Jv%;rsdp6oq30%s(X8j7lo=1f8t{aYxF5aWT4{xZ(6S_oD)?Lrr(J9kRTGYAQhQQmV>)vD!l6-rNG;1kiUO8v|~`(ok3 z%RF&N2ozE?9gLW$m=W>5G`^1|qX(lxNl{T&b6B%V>LWkmmo6mS-PDhGt6b`~ibtD} zW)5`WLfybiTSPCz-5qjv?F-knboxywriNHF>7U{p($qA58xjs1nxD?i=H zwCimaP#0CLL>DrNK;@H7cx~_**&^Kinids>B0)cHEVwS6X3(e6Mner$I8) zuU$!Xb3x4#bf*ZQRA~-AEn7i?ikB>!*Jq%Gi)TV5e8LcZZvqrbDK$NEJ%%GU1NDv5 zT~NoTzVf@wCpljOp#93z73=$%QI#Mh@HcV87Io95R@+6?B48fwJN7}4Rffq>qWA(R zD$Ht^w9iia(YMsk?*-;C0E*EB3YB9l63l^bb4=to^0qVQf6zY|WHee;2PVv07W9i+L&%Xy)zCK!rsRK-Ywhh}%{%}kUYGGHm{|Izf_ zfoy&6|1GtPDn+ZLt)eKZYSf5MYPVHIiBK)IXAmN4w5nEHd)Mx=Ylg(Ay(zI{uOJCQ zgvj^y{rUa=yRUn4&ppp`pVu?aJ@-W2|HUPY>^$bo9yT}-SX1~%Qwa#5&h=v3JecP8BuFm@>8efhBiG(RdPfBaEgUV3tI$^mUx%!Cq1>Sh-e#f=A+TcY zLQY_lk)tMeBIYDrgL-CfWQ6|ilN!%XzfUqye?rdC@f~!J(lQ`Qdt>h$yQ=J)lFK52 z_nl6_1dY&Q%stxXN9xY%dN57+Glpf3egp_c{w^B?Z8^63q$vmpcBvF2xMbAX_e|rT-ME!c zS)5>SsEWF((Y0O$MN`e=c+ zhPrf|I~T6)3#7NFcY_4hF`DbR+;Em1)1V$T1T&g@QN#ZgWWfekCFma>9t{ODb`|d* zE_4tN{qF}l3?%`3pfRe%Sh0fcpPlzyiN8kZA`2|wu0jkZ3XV1T1e z$``){rf9J&3k2euYUai8(0XkU>$>m7#jO52Xdrf6>;YaK(x*R?0vvvaYWK6XWwF>` zQEm_SD^8!D2txj)-|rYibcAZha<2zTF4BcMVu3VQVelCbV$rjkO<^bjpnLYl!e~B@J#iB zdJeF@wpg<`vL5mQ+y*REoFt8>r55pe^v6)L9Pbj?=bbA`Q{$0t9n|~AN1e^UdC9l&<1kr?Q@Dx@dFli_fX^G-p=Y&@X`IdbXBfn()p3f z*(_ku1X+#&1S52~_X$Mg1KI z6}HxVCCx7bZ+p~K-qy{NuwEXgXq|#cE(hFAWU^oro1;!?b1kIH6iKh>gLZlwNfpK{yEPb z@6iME!V1F}r_twoTLyT}{-pe2v$ai>g6UdYqpg!`=F|tpJ^qq1U(@2Dk=h5*QyGe$ zzJE8=z7^2c5#N6{)lt?R9m-M>qpgpVoK6pqFIPUvXsAP*V@TC@!hLuBr&n4cl99%d8v2PgM0; zW%Shvt~>fJruuxVX!lAeT7SKknpzTBBAcZ*I;NIqr;bwa9p1F9H!U_fZKnGw%~THt zdv1z_Z9Z1+!>*~%`tD8Ed6c?d|K>FL&~f5hF*xZ*%EG#*kGla18*T2JcZEDrRV=)| zeDQjq#i-u23N)$zzT?DR--Q5}{NKv^+6GqZj_#yXpAw7Ek=?R7((BGt|L;N->k}oe z8{aJ^|1PQKw+aWWFRqU!!#0z;S}RSJ_Y0p&eWD!-pij}M^>1>P`LA8uuxk@!dI+nE z#Z`3F#GU(*k*a%Q&R+n$5jyeR(j6*p==vkx|E3+3^$p8$uX0F>GmGt9p9{ge6O6N8{8v^1q;W4(lvXnavJ?jXys>94x>&ASd}xh|H*WD zIe+Y&%Wo(YPsMfrL0Cg(RGCzsB+@!m4HGNvn$6eg-SC$&X0lQ@#9?O~SA^4>+_(77`=;(Ny}uvy)WvLOcoFV*Kd7fD9*3@o=*hl~-It(vG5hUAe>tk@!*0Fe7Go41 z2v51L_2=77@tXn$@k7Ul8}#gq!z>-H%4?N5PF1?3OUnXj+fZGP{i6y+ zwNG3!Z)`a1iareQ3S+M_=ZnOS*&PRR#1I-p+V5r7r=4LuIL)BRe`-~^dLuvK_XCb~ zCO$tQ22FueCzga#Jo1aoBR+=St8aa;ne-#-+ByESAw29^n~15K1&~HK25O> zic8Tk6KEVOI(6%gt{M~bUF)gm4C!(o&2t(Z&j?}?PE21hCbgJ;BNd*P7Q1Ix<@Y&- zPG@33xOwUY=g|4g*clL%=5yEDzMApBZ+umBDp{=Vq1`AarvFs1}!Z;8(?NfE-%L3QG0-V@l*KzE{Zn=XAj zn>#g^enaAEo8BznOZVT8&^_mr!je;@Zfa&EM<_GqzkAuK`+?ss{0wx+kV7h>CxqD| zKWwUyPpo7P6j`TDT`ty(+(kWS>$s~08=5({cFBrQeo zgBDQ4wHKFyly2@Abe&EF9mJ+_U#Mq%e3!%R#oSrQ^-6bt>LUmuP? z1R+<&?_~G5^(7AJ*Il%fqQ;iMVV*2Blo;F5hqt#qcGw)*tW7g7C$_bz{?LEhn=5r2uvhsg1+QNiC=oj&HS^82j7T4#I(^E$3(9cWe( zedh|Uy-C8Gi}taKGdM{|U9S5h5c%l5&x(+(83@F5eV?M#lh1y)#l7nFu@l!T?k`BI(~@tfBwr&(CGCRq}#B*}0@Z`7+GL!H<6wKA*x_zbVTw-X&&Nh%A~FK=@Pj z@=fN{Z2roVuH_DGUr*cFt!WM3V!D09Eg}dl_4_9LS~45__=}AKYsOTseb*~jcX#O* z^RfFKzT2;w&RNuTOws7IHW8R)2`2BfGP^BUi6k5M39J~?{@A*?ea>4`qqA2bBF(1f z!W_!t&mb@7UZZE7w^ZgYXAK?wpsT@XdeQj-@}}=M9rL(Rcs41~)pa1@y1#+bc3NuQ zdAgm&^L!}|d4F%_Z%f>N9-SFxFPqqn^^$Z$y?i&RV5wh-WpkU0<>jxk9rc>}-V6J2z8pQ`^W(KU zcb&E*;YGbY7T0Odjtb_U&+p~?77DI4IL%TsK#vkS63 zoqzIF*M-pR1kIRhMQ_W~)XP&-7@*0*R70vAm5x;DD-8FQdnT>WV>G%Ax~j!A{n6@l z(NDgYIiK~}rZ>RZ0zVV!%G#=S*>8e1qq6-v%>sp@SGKQ2b%31p!ar9)<+;huoOX54k?O#Z(g* z{Mli#uzh&Q@Ug||%()vs%~L-p}krhb{}PL zc*^@0;;mQAnQTgzg+i}UjhaT-qk4&c_JB#5bfiL>CHR!_VEr+aQ#biji8cLwh*(`q&ubZIH8oXPayEOXh>7Xu zC$U^q2orl0MVSE7fIxii?hd^JBI_j2`YS5io9CKtb}=qqs#o}V*WNSvhS{6jGN1A; zJ;anzZ?-|B$ri`?gJv=3ky;-P#>n4dtlaaqEmtAI6@|r;qPNW&K(NJ)B%s zNr${txZxJAe?#(BNP6@a#IwxjHKD)#z~Q)x=<&&u7d=;mj#>hW-%SBMRpw)OiQkSJ85Cz4{@e4sD7hf^ri`ZPTQT{k=2hR@I>}Ejvr@Z_ z?7rv^4Nd1E`UFwF)Eu{3jiY?%L#>(-G6z7Ywr8imcKrSOKxR5Ht@=zpqOa#%rAPZq zrnUmD(T%#XyUY*8Wqidq_>$GX52!BYZuhVU4VW7Dy^Bdh08Ta9P=6}#iJVUwyC zl!@_XaoK-W@1s&I%dDTuzY>qsDKxK!b^O&ZVPwBhriSZy_SfuU?wn)Q>(kSf=X#~6 zdq+a62iiFFTH@lohc>$?cSwJBXVS!9K2g`sF%3mx&bYUvf)8i$o9A?BWKiOL(Vv&h z7LQ&zJiYs&Ho4!kKUog zs=zAe9u!0esYl~?<2{69KOdh9w5)FY>ufLAnJXZe7F=3#-1W3cYekY3jp&%|D=LQ= zzMAT66|L4Co9c9~o*IAp<*C5kbP@E6Z(5Fv5<8#NC=YF>p1$c37gaRi=DMlz%=%5D zv~A!SwT??JrhGY)4lmB&I{b{K4V1+VhLlPQBgB2%wDt4+hP4%gy)!Ykci)?HIJ{Bq zhkZl~55IE<2GP{ZC@@l8Du7K&oSqEx2{h;&3JMeHl7_t9O z>VER%Wwi8-Wu>^zml=ADYf%)1yh-N{vzwZ=4_1<1x*ySn5X<+bJH-&a%FKleaW8$w z%n0)>`(kzX>OtS%Jd{OqA)21w`#sCnz={~eNs(`bB~ps+#ol|9f|K&r3`?XJ-SfTo zW=Zw(>tB!DLI+M5eb|)0{32s~AshoLeGyz{9_9QPed^;2l)w^ad?p-=E>a;N8?&2M=d^^)1h353ZP4#uqtZNy_LIfPypZ$^8rBNy*J86A874(KixKu zG5UVUSEBy6LlW(?^-ON#l(ShC)jU13^t-l_y>!+5bISdZi{h7t^h!!s4x zqi%e1yVdz)!?paju9rj#pR7ZyLGgM@UfXo({R`(I9tkle2U=~mBpy+W+koyuCQ~$D zqmW&yLlYK}`<|EhS!;;XLf)qz=cDm@s;n>yMUE%AX}mr{wRfD#dMiBjOtLrCR@Y}O z@ypA@n;b{4Ozthe-1-=`*r{|A)<50HG#nv=9m^oSjQz~Z1>NaUT{Jo#V&Y%@@ijV0`dX2x76dc5sx+h&0Wob0%yP_}fJv=a0^B0{1y z?GvI+)}7D54fnAuV7{6pcspJ$%KNcs5ZoyBHl>GSqp|tzpFnRp!qH8>#SEd& zNG;nN`_`ySgOO(&R0j3VE}<|rki^xc2&>PlCJ|f)A~$yFO;%`u&+ed$d&4%v(w^(n`fsl4`!U5zCLKq+aNpWr640L z>~@vW%ev|sgqmXm(3M)-4FObf5Z^}+kR8MhBSd~#MK{B3ep z#Qb09tXx&S^n^A#Znkzf77-J-nrWW$l{&mnIxDO>$aZ#GnzUAJBcV@u5V4i9w{t0D z61;XevUaE#vHg*;TFrBa^dhI^YL|(4^@`UJ$vMaJk!Qe73E^0YuF5Ob;Xw19oP9BW zqa5|c*(zAhq~0kGh;6{l~STh`4q;TL>xT3 zlr2+j1dIccgOl)wPtg0xv>)V*mB|`NN*ouhZE=?kb9u1%cNXEsf{$0TTMx!3>eErp zl|bCJK#T&ZHy(`>YltAskZI@#LHa6kWu7Q?K$biLqMEn5{VeSYeS-9WdTdNZ2IlmO z9|6zFh+O`go=>JNJqR+Sz#`C}iF(8P)x-NGL;KLP4N8ODL&w~_4Oi!~@(5YtRHx7! z&5@Sq^6l`lP#hdNyVPqq#VlUupW}43&L@XgLM={A6`n(NiPah2-{qwUEFS(g?%2OP^^m)>{_^(x3KuY-e^1RYFFBEjZ4zeG?6l-y2Jf`kAV#b% zAScMkyZ^zyVf;SLV^VXF@vO$RL3|wT{?Pt?z_OprxOce3|MB&v_8scg2q&$wMPw$g z>O>f(EE*c%6tY{}I{A@DSsV?nlSpD!knHG4G6PHd5p>5v9Csv&?%Vhd-lk%LrO=4o z16kJ!hacByqUhpf^P!=wWdRb*?zk}fM=kc4Crh|z0zDrzf0$L>?exkr`!H?%b&Rp` z>tBp|4DJpR`ENg@+VyomqcX8;xg@c!HXAXuaH@ILqE_U(JyREu#}d+rS%pg+*V zt0kC(&6rU}6mD-oHtWs*;2j zUk76PRzV7=jkw`(A1}He;_2UNUzl5L~13&JBOU&nyAr;~{zygL&Hm&bc!rJw7o5JqMXWUY2PE|W}R?N!s%7x|!> zNlwGy1B_wuug0YIGTr7S`a6S+A#94Uv}K(84wStWIQt@Gjm}UrZ=FW@;SnDc@DKsN zSf3WF%AA}-&I05&`dZNTXw}4kE_MkpJT10uY8qwRHW!Yxr@2f#lMRGK>&^1wjoMu- z=I9lv$d4ro0Y_g1%KWCPwAnlPZ%{= z8p4jKfWY!rdkU^lNc1KH5rpKQ0PV{&xw`5P z0pSZS0m5%AlVo?*7N$|(Eva=EB$_1Jx_y@RxDi~AR7rGS%;sAKt15gZB?u$Vjpmzg zs60csES7l%YVV`T3$V&($IpU}EG5Y^CrghEpEOtkq`Sx=vJD78s+&g}+ym|N1t{%)cml8w@@jEcnY2VIrm8JyRQ)$}(-!dsjqkPGz6OdkK45&H z3-D@}Eos(Ulgj(CzJELjPaY<21g+s6Prs9uWwTrTvtWNN1MnKI@uCDhzl)fh;6cS|GEq%PnK1M3~Mv3&YaB=#YRJQ4Ru)lH*s zBn@25n|-qdE)x>#o0+LFS3>a&RE73TYJmUD$VgF<9ixQ^juR#WC2VQx5AsTko-?Ym z^hP5!(&%ZxL#(q(t4u@pmJ?cF&g_l{Qj#r6w8NF#?QXO4X^wY_Ww~1S5dqGKO$@C05 z3ntT>!L66)t*aiQy(4{hO_URuw5OMTY7%MAC4%)5Zu zgu^vk)lMAx8JN?qno6RJH>&&26Qn-)ZWd&wcd!3eK+VNtB9R8%^V9RbZEDZ#(%@~t zqHE7>c}I8^>;GJ9yn7}G@*BdAJ&-mgr8DaPoML_YZKiuib( zTUhEHS)49pA>G6l_P!w_)$RvF!1)-%!x5&7n;>^-i{1U;Tf3%oJUJC#x zVj@b6?{Q@p8X$1??NO%=&+Qon)4jAvyK8y_Y>_SEAHGGb*F z#iE|h0>_M8r3CRH&MS7B2h1Lw+l%w<{!I}1Jz01T2o4$pRf{9Ex3A9k5BjRS6eEmO zF5I;WQpeuW0Fk(>vVzp}bo=vJ;=WxLP1dW9egWCBUAOg)gBV>BUe znUe_DF_Uy#6`uof<83gI2&ihl^5`mUMFDM0ekaE&RZu%HJHl--Ib7ej2CaO#5=>B@ zpNTI{8Q4zp&BYI6S}b5z(5Nt>LMZ<%!Cm<9rDh3>*qX)2?B!`7usHpmB7CpS^TANChK!ypI`okbn+)> zZ5&q}e7kj3&qON-1&%qNe})9vZx%QdOZ|C6WFejR)Is9#RQ<;CeoL@o3p`c^SN1JH zERtG!>rKp(=6!A)*M38;8=v4;mx*jB;*aTmhrn*OLj@@oL3VOpU2u!tMv<0w_;tlv z*02HtNjrQ8b>;ZaFN}udru$QNUes^gZ`~W48QE`lglgP>314$`FOVH=Izj&4pMK<# za&q*#z3u&3sjF7~U-@?B+q=3223ihlG(E`j?QazV$#|wkqyA#P-9gQ79ZFP15E`+# znj7Q@rS5*36oHJVE&WB4e{hK82d1{J z+{pAL%loPZJ;KbmeO|%Z?3CyAAL-sv=x>4PGd|jB*gO7y*3Bvx^70XR?PJh^zv;f& z>UqrV7pt~H?g9M%F{k?DWXNTl9oiTl#(ql~GVOu5Gui10U;ly(IXa#^Sb}=;TS$e< zuhrlVSlQm799Y>WGnWAV+s!!OYcrwDe+=y$tiD8+VHMuV56qgQ<1Yqs-@!EukRDGc z%N}Rp2CGkyWf+7Rhrq?vAaAk;YoNMS4g2BoX_4aUBwzBNrik42;6UzBV8$;fH#hcp znmdrLd#Ke;k5r>Bzem6OdVf-yvcjc}TNv;a_5R=nEVF&X5h(rEA!Ql-8}bmx&AE;` zsp{GUM#GEh9i~=?N!&!@aW<4xD^TB^;I~i6Dq-1y&7;Oj7P`_>mA=F2$M6jZXH2%+ zndU0%p~_drg&R4XPcu#1!07zAc22~8fUG2XPF3XYMc;6bH3K3X=#U)7Xw>xH9uScp{K844G-tROB0VM*7xUw1o#a1UWstn9bBWSZM1; zynZrI({B~a{n({$74gpZz8#sk|VuJ}OPk(GF{>5b*U+Mn{Ai!iQNnR!kL1%|DYZ+e1{WEfFDZ9%x>_G51Y<3h@N zydV1kiV18L9QeEGm~5(z`!&$6La`KVkBlYJAI6|pzQ)3W%qNsj9%B`LB?_cV1cOIu zgPr{CxzZM^C=pekCiF;S3+YYtRpYDm+UMgxPeX>f@PB!Ixa7xVFoRF~7;Q$k~RpWOL17SOak5peep^R+8dJ{uo6 zpES*&LO26Tx+gs85(@pVd=(C-3BH7*jx@3UPI#ZXLW;h4=PPXrvvr(oG<53u?9T#1 zlP?I7Tc%@5qr7XajW_r_$N?TvTboFOWlp?+z)}8Rck)J1X(2}0lP@6S&z?32IPEre zVW6|OFyy4b^w^eyZEVe-u|@}#B<|LOE6&?bG-YjSo_d}ZY&G9CTf)fU)hjDi8)QN2 z%4Qm9FomzKXe0wKwU)Yp$&)DES!--`Kn{Dq-p)og%q)x^JliF{Z*-_}r1C6fBWVfO zw{3O@YHwId2H3r{*^pw%Fygk)D6D#3h+Vo*V~`G)ra&Sm=4i264Kx)QNi4c&86SJF`YD=B`N@G;+6driUbj8DtPD+qDsL%_ z#8#&r-@k&aba;Y+P-Exzi6PLWSi+Id8YT=Een4}K#l0S^Qkk4I%Y(L0moh*VGwvQB zTlSRr*M>Yyd$c73#9y*){Ky)DiT@M0&eMD8=icD@iUTJ?+wRb0$ne+X(y8&1T*kuI z{scGV^qNo2E%yt3t*yms8QkbYp1I))sjhbG#O}GI}p57&)TJ zQ2rRSrGEvUMokdVqM%;}9p_8fsPRWp6C{-8hVy$~kI6FU?(`lQP;Nc<;!|21I#^z0 z%~D!>VXjlRW!+NC1D^BV6ixTusKzbieY$;DK!&`5SmL0W$Z4DK@hd)e2ef+|A`1&*E% zL$BT1g~nKaMplMiMqQT8&jXcNoJ37%tby88ja-VhRNUL!iKqZqTx z5*^44Ar%?fEy^2E6-wBUEB(FK5-9?=g?L=jjC`**eDEQ1+e4sRau$}UCf~d-YzLvI5bHG-Gd z{JwgQGSfbj^3cPWzV`90qGf8|Nt~lI_DJz#+Qd$axtd{%$uMTlSkoTL-)gW4A9Rxp z06z>AuEc$ud@~Anbb{>;ju`Kz{Gy2rG%BkD_UYR1zm$II5C)sQ6z~lO z&pddV53HY)O0(uJW^HGCe`v>x>bgeGUBsb~sI?1&VMc)xV#Ad|yy$A0&#>YTwk+xm z-65C|hDC8MVAw6GSc4LHKa2ocuU$VvT_4K#ak36BN$oS#FwKBwJgoccdixyJ$wTsW zmcE4mYC>|&|I7a;wmXZDQ(@JcS)sU1(@tva&wut=7Cd}Z=ZQZ943ElW*i!2m(BkF` zUIm5IPOnhnx$^_nk^SO`l7r0xCre&*3^2m=;V{BE%(Qm{@7ypiYhCfh&MOdTnN@D1 zX$06vjtd{4%)p7ypBvD`>rRH%K4{J<<-Ii zPn#Mp=UW+smhe`oHGKmqfSdQF5zYs6aWO@^3>-+^I9s4ebr_kDO~;S~h#s~cbP z_c5Qa6&SKwi;{RJi=wnw1*2$OZZ0%XvggpO_$%5hk)A2fKYO`fp^Oc;d!B=^2G86w zpzQ4;3h-s(h+K^aD?xVDGK5YTKMp++EtJuX3n@2#U3_RnVlyD@XXM<;$pK?|-iK z-8Yu%kjZra5~{acaxX*S+>f=7e;KF4lOHrB#af+QI8t4InnTS#JmZ*Tk~QPYT#{Eb zvIaY-VL(-O|5PH@+I~EiKJ+DBJn+D;-8+){4%S7TOsl>MQgsD66Y<9uhR?5n6b2}# z4r(%@CxZhoTgzY)$X=AhcW%BE9~Q6k$(*5DEeRC_c_bHZllXml7}l%DgEpG8;6j4| zXsihfWrYTi0}BM3B_QjCFI;!1&4;1Z6E=bjT6NA~rSRU}??w_Ew==b&a()^)Zp_p$ z$c4bfQ8u&}IPk%@RB{d_j zG~fHNf5?PPngz!%8RNdWce-!+Ki=1jkMDO~47hPvBlF&CWhbCPB*PaZiX)uc{#-!F zagnGpvLA$-(q=~3555&ReToejLo-D7q1N|Rv#3r;z0QyEDO9o}i}wsI>>nGZN%JwH z5CIFd0dz2-1#nS6{LAeOKhaY8ReDR*`pI0A(_GTb03B+g_9rvAx0J(Zr;`fY&I&9o zs3j&e{RGt@0M7YblC4uRj|Vu%-LrH7DjBfnDzM>bnullk&m$c8dfetkRZ4Rx69W?g z#uZ1aEdq=?|BrDs-w;m2H-m@WWo4zHbLrgs8sgRe^9R4v+vP^W2(kdf0)@R75hYKc zKDH<-erQ)3FLniipEIJp+{I!}DS+^9|2f9tEr66St~X0`Yyt;VfItkpU%4mk%O54h z#64t3jQm#EwZ1sH^6Im!u!Pk`>0#5Z%q4szr2U;Yt@WS3F0O}YJkIKmiM)M*g6!H` zfV+);G;pDc0fE)jH6U!0OCtyftUp<*4>tQ)hHU`P&>m>gWpMDA?thmkiHD0K97Ww; zqo~-Sk!C9QsO%DA;&&m=wQf0v$mSUd97q{ZsDK0cIThyi3xGd((1qVv4od@lCM?#T zq8>{TO@@2Fv)GOuD{mHge}@oLUcWw&5%Vu7*Yv4m95VTFJBV$iYjPx1w9G>7agkb3 zW#w_pvCh-ZVxy7RZwB<&N-px4Vv!BuDmC);ySa0g8a17O4{KzOexD0n+R0wz+Iy~`;n7S+@vG#Bu;<{Bu# z_UGF{k8O)EHz4YqYiSt7vD6}CeVRxL3J;d0=<|E}QB z!*Lk%_)p0_&rh+DWI49w_7JFVpm#I?g3jI8b_J|o)0(-ZaKVCV$_*CoOURYR9b0cw zkk!F%gMjI)GRxxW5qBLTfV`}BR7||9{pO$kAuM2+1#^9+O0C}9IjwOC*}$4uA3n6 z3;j-SA+ct%rn3vGpX+_X+}N05GbexI-}hfZG|UAsp-bShw&!ouxxN9--8U=;M-H97 zfj>hqx`JqKIGyD_w5v+8%4W-IYfsR}lEo2q;In<+MSsKkCm4r!pYVb+hFk6Ho;x&G zm)*I#wtgpoewP=l(Jz+n-Eeo>T&B5j1-E%1zLt8|20uJ8A*UJhV@*oR7jWIFCEYfT z9{Gzb6s6a36HnGAR4Bh%6XG7u4)55E#uzgF^z`Xmm)z-m3{|pSc;JHCD$PpUdNS$eyh7_ zr7lH3>{HFH&+O)^!A2$y0z#F-y<9H$zk6b&{gZS_W~o+*BYhbg&2fFxx--c=+S-z^ z2Bh=KS)&3J{%7DldrP;UtWeO63)(M?+J)5@n$$&rY_+Nxt!wq?JNF}3o;g&QAjjeR zYJ>OtovgUB_;wK@tZ|fmv@NMMqnah*8KSykN#ll5@Q9y#=JwG?BT$qjXVkpiLKBx$ zjjrlR{QxC2XY9O>D5*PcP6b;2WK1y-dB}?q0UK3Pi?y>#^-8PqjHYXGw{e%qY=?y_ z3eFmR(Rwm$&$GhECk`uaS2@w7PWz*cb)fQF?E9ayUDKf061AqoXLOsJQ&jdgKkKt*X zNzK_lR&~tGSs(9M{8THRB31F2`5uurOo(glYOjH`er4`y05pxtY`BwF;P#kBmRT*S zTDXTg3Y0LOY7h*j0CudKLyqNFV38Z?l}IHp7r@L)zr4qzp44nh<7GhHf+ z_R)F@b&fJ!t3@|q!pMv(?1rDgpq$8{3vMX@bOv#6S$4ufwQJ~3yVVb zmDjQiPkJdL91HXCe`bw&fDC+Twae7DbJQ>cgixL08Am2K>jz1@{Ls;6GL%-v#z@On zu9X93{_m*yHfT!PwYd;+jdNE$>DHXu*iK6E!WBfjF-!3~!ZYWgk?`GzJm|=8K^ItV zbEJ7k+XmhXBM4G%@q01@wH66^7cd_ycHBu~MvOt7cRk8-t)w+SzJd1!16VkA2*&?k zNx1E?R8R(06ESj`^}?0>$g5i!(D+veomJ_T>zAe0q!V1~N_>50Z&~VjM#OeUj4j^Z z-!+UR3U4lW%2##QJbdvh;fYoFZ*KViA{fF$e zC|u!gR}lg)<76wf3(rx0tlgmOg%bRnhw}HXaTfw((?6BF;_02PgU$c7^LKQ;t36?| z+oG8@k46h|lmE1*0FSyZ zo4+xAF8ay3N?dW8`O5dAk%U<3>UXmh3(h6X=zo^=Z2v2HM#tsCIUrq>Rz?}}`&>-E z3q;J~DLw(4#a!-Wp;V$INcS)xGZ;uHNI(tICXTQLY6y)J1wdhk%rvU2Vo^|PF5Fw< zr-;8)fY8_xOTPknssc)D)oU^Q0?KGoC;_@e<#eif>O*k3h=i%@(kuRR?Zp=%2kYoW z;aJG}QFY}^>Sp`lp1WdSNuV20Moigfg9?sfPAjcH1kCF8;Z{xQAy9%z+kje3hR}cV zqUO2l4K_zz0h|!$@i#!0G;C5j&8)RK3gJO(%no~nVC83ivj}Ez`$iC_xj@FB^I6;t zWFe}c8Q!_cvprM92K~%@yzmXRhm_1yEoWeL;IhPGMF!^ktC(j)Voh&i-WOZv;r+dr zJGUplAbQ)T=Tc8=z5XqyO0eJjGB-u?VVQ`h`e&(~!oBxupL9ioEo#AM=Zr3AJv@M)@wrnf?}iL6`LcBn&U#o;y;eW2uwhH>>YR=bUxt~Vm<+Y{o_UsOdDBvC zlPPN%c92%%!lJd(tA4&%td<)bZPK%~P_VP?b<#R}xTsZ9 zB2*=5O%|JO7e&w-(Ba?yroDxv(yBfZqis;j8RLn%lxyn#;*L*w(FYx~j6@gCe)Pp> zX#$k42+CqW0A<^6Os+c|1vbS!;2+k!J#T8F>&1UfhknJ~SB3)HUAN!9oK6nn-dErO zk2JhHbw!6RO>}uN`_ry}?0EGc?`JM{eeX8{F#IR{cDa2{L%Rr~WZqnIQe4#0iL4B) zYN$y)1dwc+Un8<~5WP?>F4&3^F*N{b8F6oWO77~m_fMV_%_Ak8)kveTqDN%$l!I$P z=DAi%TG6DqY;NmvBIJN6sB+Th>GWjc{t}SbK>~=S>?PtwARzDTDw4Rs$Ef=yQ^bc65kM* zsk)7?6h%qo5&GYx2}mDi8Q>-|j&|u@4a=G-n}9D?Bl} zlQ5+C#m8xv6&FCv;KcQfZ^hY zc-~){r_EYCV!6N?v4?VX&x+Uki-G^|a#IZC2hONT|93`JzXjM#I`T!R zuQCpEh)f>7CnQ|M*?vfOm&CZ0TQzyf^44&g8j3WRlsZ)u@2(<+`VMHlKzfEePeO57 z_RsEXI|F|(^eah<;-WLth%1Pnyf>DIVZ@iD5j8k)57vXp7#6z-U<&aZRDe=v%$?^{ z`Syw7bs)rMG)n@-bzE^!h*7xL(&l=TJuq*URuoJ?0X}&mX4gvAY>vSFuX5Nk^7wAR z!2;Bzz!6X1!K}p?4_fg<#WqRM!<6P^0~h;$WjX z?*`?dFoGh*J9gs|B~K3Vbvrjp9a`MIX=*`$0ec#qtqd4SV9si;s;r}KuG1_4ah=%y zRVBMdYZDu8yWkR|=441x?7+bzn2r2s;xSu#K}mW>Y?#J)`#`Cyi%R?MMVQIHu0=iO=^w&- zcZVINDV9gT9&djLk?w4h-5@+Y4!X-jK8;!OLVv&|%nf-xw%E}=c-8}xs{w;#Ippn6-o%bpN1g_xT*|;3d7EoIzU^#mD)DQ|&JMR%JLL8KqDXK+)9j?X zET(-v$1)C=72?)Xdt`MQ`tGO)!Rs#NtbE(`dL{%Qeqhp0UQ$BF|kX>)d% zR7>bat;8$+=oam-XENNe(19Hnb%s3p($82p*EN1%IyUp;*1K0K?PBPpL9@5-j_Qts z`U9qJ-OT+f_7Be7ql_NiS_Bdh2ON!YbGb0jUJJ_PTf&V-u!2u zn;)E@nd01|nHpn_D=*hF<$tS4??oyT&7IjGIoc@+=y1GH;u7O1S)Lv#7n>0hy{`iZ zk`U+nA)^$l*7Y9qZ_1Z{jA_oS&#RaZpp?#t=D;%e=%DBD@Y=j7jnPI%ZZ{^n{~(Ze zLr~>UIB?^{0Ue_Q~IBj!4pON_iK7~Iu`%H>~iL?~wdr8p}j&2F~!|QnFUu#}F6%*iQsRn+8P+xSKB&OV# zsE*gBJZ~;bN~)iOTg4C!a<7L)2L+4))&1^Cv%sA(vTp>1CgRdn@ntPYY2NqAhBQOZ z?Q+NwXa~RE?R~U-F{?v>m5ZDV@m4fZ>k zIlW%0PEYbcQ9b2$Tl-jiPi4(JJHZYX;EWyq6ow~onB%O%_yj@{U0L0Qf0$T&(upeQ z#*pjr-tE*?VRk!DAl!N8lwFKgxNexK%;Y7O+$)M0F6Aa&$vn9u|5`z^x%w=617f)L zBC~w_X7fHPSkW~bu4aJcx9cBdr${D402h^Ur}t--CFfcO z6WVc4He-fx9OXy2=y#LtNFI~#EPFvC>F3VCG?D!CAMbI`=LmP&?XA%K1_zTm#^cZG+G4>xU?%zEt%N`f|><~_ZD zjB_iL=0yQtmJMW#Ela~aRg&oSbbcNXAg#{w7Z3(=46)SW6>xGZ;rMr(I-{yAA>8kk zv{&YzH{HSv;}2e+K0P_i@QH8Ofw%-4a4)u!fA-~KJLsnomIe1-+J09Tb^~e$`?Qn>Cwh?_cLJ8>`XS4&Il-*U=&tUYic9i2Ux8tTL;8*T zPiS5vCfhGazxE>jwy#)Ux#rjR?Vt2DsBJ+VxEW1d;~#VIcgK-$md7-t{y?iHULmL9 zP}t+q-1`3e0PQ^tHez=;${@>uT-<0t<40^sL!>dTJ@xR16mPmoZzNjTQ*o?!4#Yn< zoX&8^IMD4u-7T=sgD`^I%bebG{A>OobT;rnR9Osz3sR+D)IHyY+be%r%8l_^5q5W5;C3Z5)a{2~7KcUww>jO<{Daw991|-|2XmTsJ?$UhH^;b)u4}Z{JBev93KW6=E_Yaa#CjF#HLN;g_ zhxD~1S&wDxHr$aH<{VJzs&SQY8IcIS-t2q4y($`nvAzkGCeR7}Jf* zx39h4dH-hW`DXPt++kqZy*SW)v+aN{y%ML*;=<+oyb83V`BYA*eW{-c>RQ!3GDTW9!z*xOo_Nrap*D%O*VzYZct&ZW&N+0`+#WL2tATZs-<5N?Cwd3w^R zU!>ZD3yLLM8;UF|@=Spv&V3ra&?DvO*@waHW6>q?8*d>y0{D85i|VGMHt=J)1)tqn z{q^7sWv>4W-XC5C!e;&rNu099L0QQ-$w4>=Au6lP%yVUCbcC!U z$11X~tb-GW#Bm&)!*MwF{r3Ic_x;})kH;DB&wIRH&-K}W)UJVBEeM}`aV>aX2$RxB z%HJ);NgN%}8Xa@_uhuGEDV?Z}utni_1UjT48tz=wi%Fe*-_-jYRSa|BbV}~$ke>94 z`HC%0BA%^$=0#$-w_%$~D`DNf@8zrAH5?~FF{YTEQr5cIkjck~vmOsPgM@y82$kn1 zPwKbf-+QOG)=7Di2mPw@FCv5cH=i)qvr`Z#F1Pzpa1mv!>8PLCU=6b6^4p-$mR4{5fso?VXz-!REd8n#wZ)Q)g9NQc(=M$!>T31kDz=T2Q6F9Oikaxc*{N&bz( zm=uKsT>AJYO5V#Q6~K8Xg?e=?V-nZnG8jf7>}Vbhb;5w2Jo26iLB=z;w?YT1^hayO zmAGT;!wo)6^8|szF)#Fn5>4mJ`r!+@&%MkCx81(*e)-+;2eeJ#cH}*S4o-FM$jI|)S&&Y9iJuzLlpzF`L7xuMoTr|%z zU`AC3+{Bv3gxuwa{J3p|MTAZioRG+>9=nV8R2TrG4&EJg9shI!T_aLNc_ob;^|_P2 z9WNrl{OxxfJhs+yN>PdRlg%O+biVFa8e}*CMDeM_Qczn{iE%)v?dO-!xz9U0_y(Vz1lx zZ4UE{&Q{7^-!Vt2ROuPqs-Qb`^`M3miP>v+#cH;Oirw>Uc|E>sWtPKf0o8Z;3`t>B zM|JyN=~@|^j~+;n5(`_jt9LHbO{X5pF^&dNEZo2RMa&W!>q1PtXEX}JG+1UgOb^rFN{lU2fGUD(09#2_Gxa1K?hJrSZK ze61hptx3Xf%QuIk&o+k{?3VHt^!YiVC04>#%dYZvdLXaZHsA8y;tct30K(8*v3~h$ zo^&B~AGMA?=BTx!p7157$%Lx6Yx$qGQB1YTW(dy)Za8-U0MRMlSZLm+ynDohkbP(r z)R_$ntrGIhrtR39F4o0E>%T%32oC((L6?9Yk+?FaXfE$@fxQ)fI(g8w$bV=J?yz?R~hAmFW z4;36Z|M1J*2F1+-QGC*Zuw@pnQxJ1qA!a48!3F6P_h38s<27X5fX|s;rPjKchgG|G ziA7%ru!bdpu>x^4qfGJ+x453nmU$EuV7=${25V0Cw3#s1ry7$GWZ`s)Od5I5XXYNh ziVtV<8a<^GdU9`2jjfjiR4mudia)Y@6Qij{9s2_imtbzj%`wv{b9*p^y7-wP zdTDILUgCk0@XV0!z9=#{VOQtNujY9-Kx#hu?B1r9 zlZBOS{p0Fgw$~sz6UX%$%L|;pgFP*{^ZsmhDSeH+w1Izy0a>Q?3U=*v+_AZyh^}Wo zUN&1|5z7yl;*Wk+r!(r)mh@!i=0v^9UM{y*fg}5o^4m8_x%$~Hc_fud0XiYctB37u zR;@9jpH{X2fsbgwVj^TWic3o zp}6c?5ehWRs-5*V@ju>~%}c2qjv~6VGa?DzFlEQ;sq<~cRby{%8&T8Bzn@qyWm>(?*In}}TT3W|Zfvua8c<;eNcPU-rvTP{z z=~8!^Ys(#LLy@?ymS{iXFM)t)QqZeed+HIai{|=+C&6Jg=sF~i+g+MBcv`Z)zi5*d z-?Fg7nsu9rxzrpC{VTN17p#B%E7XvQpc;+k)2J|fIjA=J)l3_?M2ni${sd~L+L9J? z7gF|>T6NE^mcir6dia=C`90&~alf;OcQ+=5YlMTR?r%5qKs>?WkigtI3(tD9ci$Yf+$4PKu-y7w`%`MR42~Yz(Bn#< z$TJXC9cR{Kgoih}FttAmvlG2sjPR;@O)&2VlBPlTiRRw1NbSZwH3%LeVVsfS>Zlp0 z!1UilrV?42mV-5^oZmX|Nus>zgEeF8Q-9B=;rJk8v)!>PhPp?r{Fuat)ABOf9j_*P zJ-_7;8_zK1$ggM|jevK*JDlEl5h>FmxrFy8g#`2_&9$dyb@+a5(AeM4%Uuyz-O&yX z2-K08T`k^847|0A;WU^yo*FutJSo{$EJVF<%4pkNMxyREGpezVZiLrYf04B8vGr`P z3^%Ucu{@n|C0Mj!KNUk~wcMh1R#{(1duI7xDJ)o%=z8vV-O<=e02?Ej-5nN|)|5pX z--(nv;8V~5wZ$g8iS+>o`f*;!y>t5M7~_^FifrVcgvh6*fl+GGMXP>fZD}XKWP9c6 z#=j{c%D~c(qj`!0zIXg0{p)Ss3{A^F$3)nd2o~+=1XtYejFu9URJ3gvsT1)bBJFZ{ zJ6Lve-+o+-O8V^STWivpy=PTkEp|hP+%fH9y<|~aC1C-M4d?}2+g{6-i3htf{tUCb zOY6I}$0IrXQKuDNp=H;t&n^Q5V*;NOhQ)cd$WNWNwkaCfr-zE@D8A8r~%&)%@1X*PZaA7aJe)x5H3wO9_zieU!k?O=sV|f47u61 zc^Wx5l5-#dBp=axBj_a#G{QrH_J@ObYr{Hh?JTpPEP(5pk_IlUYkv`{$c9F|x;}XC z-yg3wmuDj7_R{p_tmBi>Lcao4ge;o|W@?`6>E}WBwBD-21aiOGs}uuRUaMlv@Dn*tL6_amVZ>M_M;GtOWI3KG0zrwFF@pD~HQ^)hQ^kCf#7>5Ca8uDU* zp$phL(gKp*`6_H3IuqvTTQBMfx<#( zn>xk|DWRi=f`E7Y-yWa3ewi9v=Q;@?PcB`uiQV=>I=?sIq}OU;<{Ux+F6QI?WFc%dP7gn z*td45y%_5R%9Nd(%hNmj1m(mg?ImZ;`Qi(_=+;2(Ie(!styWRdnXJ3_(juu`-NsnA zhz9kxIwd5!-MYv(8N=BkDl{{+S{kG(uY2nfOolb?Ihrz5{~y=!cPW(T2Lrx7-(I*f zT^i2f2sQ?lpdkK+kHsZUXWe_b{)1$+l$-&A@i+-S=>@V_tfT|5$32?GhR20S}VGB>+yB|;CP+W?`965%8Mtr{4jeoj zy!cxo18V?iI-zWZn`{km1K4NXS;%K(A?0|QkqPtN2PyKzJ6F0iQqJ+H3H~pKW0>;9 zH=eM9GEPRJXB3=E$aTvfOn(K&Tm}a*K=ai_<3WGbgYmB|)_wCgANzs7tmtiaplXQe zwpq?VG>IX*U!@vqWw8st9Ud{v%{W%}+_&pl>XP1FF)THhpgAg+4hn*-kbfBeY%*Ob zEC#MiNvk7uo~7n@tu*{lTk0dntIL+E#BM;`+=BKp-~L_GhqJBUfO10A$GE*XWHYB_m&2`z1D)&0JcjFQF~;9;ntDwmYgcn0e*&-6udh&J#tIHp)-h-6<0~@zSpE$R zv+j`I>v=Ch&rG+I%^Io~1NWOKI3P`%Kbe)6!OxP+ndOsqN6P;IruT!O0BU(AYe?df zcC*|RqyG6Cx9`!k-u2EO9ZnGN3$*xV5R*?mQaRA`tOat#)-5{@)0KM9QDto`s>Wrl zbT_flXIHw|X$vn@qH9`1`M*T_`MoE<2$3E8z#^RoopsVqhoAC7;;$?@BU9H6A1ip3 z4Nz}wcP_U>0QyOP|K#_oEW$LVSZ8lm=^_%|QjF`ca|u2^P>qO!i$y3bJyc`37(_wm zE-ZCSZK!8BO1A~d?K{N4uT8<+G1?k+)}p@1roKzxhc4togc$46$Ov*526$PePgXYRPb7q$#A9 zjqV-=4XW92f()owgGZKotg=)ppIdo~{q|DPeqhyqV7!$Q9V|3EUr z|IRz#DfE09ttl^XPR-`^y`P(Fw5L4-+`a*tfw}MDkM2H8wcWq+H_};Daal!TL(cwe znl0y+lY^-Q$+7Z)d%%8LrSJ{lh*n>GUP^S8^l#1V5zxq3zDCZ2W;>cNVbKx@6y_b%uzLGqdOUT?w zPI>@%+`ss?Kf^DivAdqH*v)R+!fj1hbU@_(&%qJd6x%D9?7mmb zvpC1K<+WIv;<;a&GNI{@$NLEh;nc=y!fsl1&~;oC^|}{lq}WN~A23AmSk<0WUi#sB zJUBR*N{LKP0TjUus~7?A;*gl9p)7_Npl$R=up!^xz!(GI8d<;7)sqL6#n0`dXMbVf(ea(XR2>N9wrIna**7FYvKe*8y;PM zSwxVJDD1S!N;f*(EJw;_UsoWSI;dwaBC+glD)2u=lXa0NGC446^t~q@3*NigiLVS@NW5WioUeFAEGfx6j*WyjA(ZM4ksKYdvEfg!YU64v}M!AUo5?Jr&<6H{vLfye&u~k zNY8!kpD4J0y=n!s38>}D7j~_8@Rf%e!P1S9Z4(*E|F(?a}wT?38~HhHt>>u|&ZR7E7T zG63h7-F=U7yYJoN+oiWP##;RVzn0#zFe{&|5S2;J4YXMLJ2bvrF=LM!r6*U3cACn3 z5&aWHXEwlf?+|Z~DMnC_rOmv=Gan{tS=!#|e550^^hN>Dgbv5@x62V~V|3f-+f408 z)?QJ`m}HxTCvEPP?=f_nX!V)oTdNruK*~ZdKkcwoku%w zF^8$cpgl;%q-uu2%)nW&XEQ?TV@kp#w&>~W^K%cnak1cQ_9GE63FPRX?r{x$zs51 z68OSwVu<~dCEf?oShYpz4P-=kaT z1X$BeXEIE=GY0h>vK%adY|9x4vtsx21zpW)#^a2CZiZg`(CU;BPb1qIXAXR0-!md( zPxcn_K~SBaG*pGsb69{I!Rfh#b2`LON$3mw~;M9p~rWOt!)H72VSU8bFr;Y*>)0H42m{n zVva}#i8$*VTQV%?eM1vFYS1|cG`xk>Nk=gNmqG*f-(gTTJPEYV+~`YHcMCifdTLAn zAR=dEt)Iq{MJwSs>5er3&N3A~Gs~>sDq7ekV`qz!{6(%d`mOrC1xfo>ylwZ^434L&_Uz%UG6-O&3&XuS7C6u`k z-A2^rdvXo&b#m^N02&Dp`!|>ohyS!D%#Q@hbv6%Z>YK%dsb?i|HCIS}{$oPgtIFTj!eoWIvq7^ zJUTD_WiurA&6y1tA8OlepI44SWP@;Bvgdy%Ut2i?l9ark-peNnW{aD)B!fCnoGee|9FUb&FXD{ zPVk1)nKZph#)dAzkQsU`KVTUwTn1~;O+JVo`iZ|Kw$^1FbnF7iq@Qx{F-8jfnKau* zX;@bEI#~G2ndD5+zthi8=!Sy}B|%vM5`j|c&?neXeLbS@w6d9(uc9ka*KFjQcpReX z=b@tbDSSyAN*7$A1Zk^*eat^cBX`=vYoL3{s8)UyfK1yPw|9Jwq90GbHqR(Q`sWm5 zFE&1224nA9RBHxFJ>$7qCSKei4d^vQz>cS;H5$+8{AEmQYFoS4sx*+cwY@7{%RNo` zS>-XU1}rc66$75mSxZ6SM4sB*O3lMKk^X(A{)YBB8dk|?irv3BJ8+vBz*;aS`o;u1 z{}P_hLEIY_H2Nl*g&>Q3RJz4nM>a0f-N5#RI=95l31(VQ&eg3^-*Qk6A_N1!I62KrTs%3%BuvGo6>izsvs;+bn7`|zuVSf7u-)8c9V z7k+9h^0r9BLd<*|!GPQ6y>-}JFYr8dV2cG!Jg(;Z{8DZ%BL3}Yq}dt}JIXI2Q^`-w zDzs0zTpoOei}>BGRl%ORehfi=XaAG_Ny2+BdzKlh@#zzlOS?$(L&@1My zEv-jWa)+~wa>Ll~Ebw-FEa-r5lwpK`Zu}mwG(t$n>I06e@apQQeJ{-RZQN1x{75#X zQgWl|u4?oLMrukpTsnck6JWo8b~lUoEv)cbzz+6>_A9OasuQjP@NsWD@#bvmLG;co z)&jzlGjWDH^)8`7X}R^_?ue34&?degv+a;me$68YmOE)5k#(&bN@F3JU)h6|D4fjU zun%XKj&UzvPx5WtRK*`D+mBS;``w~pT#L@4Z|rLGe7s@{`Mvciy~2+(!tsOa1~64G z2=cT3aF+GbpP{p-HG_h#=8{fp;ks0i@!cm>EB z$z4T5z|X}jzD+`)2F%{jJbVm|&X{5aoHH6vpe-Mex6|elrIBY0JKVAJ@wCrI(m$VG zOVuA#I~9k5LMoK5bm_3#lJeubDiD)L0`@u3Q>dZ&_cSB?sAzignf294)re!3SP1}x z{)(O*99cEnK#ec`$0iBOVnx4ANrh{TpN907lqJShtV+m}M6Hby^Pl-Ijt$d4>6bkh zuuGWTGdOwkoY%fuJW1g+GK;v&w9NRF5Xzq3{ox?#dG_L4<}mU9Jv0)kv3aZ6x0_(D zzh9e+e=oiUI+}FAUk#S+t*)lRB?50OSRWFNV-ga^W<0U&e!Q=m5J0>ePGP=?2wl~n z^|H;!auBCzvIBNWeB^ER-YP!Gq@Y!<+SCalBP}= zxPDx9A!}d!pMh?YNwqvR@nY@%mmc==R70te5a;0e3#!x1fR)VRFNFlCN2(;!u|4nuP^3!$w|12K2U3s!8(0_ENo4oepADo_ zo_`Xx?(g9Cp=nmnd(QeTGjUUJ!Sz5_+x&OD9nmvpsHxM0>IHP~n&}0J-UIgZLH;o- zmPX;82@~eMsSqc$TTnG{t26)V${zqzG4@_X9PRA{xbC0I%^SA0stTsvoJYsPD zi$wFfH625TOj+3&8(-ZTECZIQl@kLsJi3l+gM-^(rPz&f0+?!ecn2yn60@G~WSBj7n6a#WHuUzdW) zH>1XvNEAGulmY{PwL&jGb4sK-^go!Br6cSbkn3v%jcCpM10V8FTJ+RQ2wHxV)6MkI zbRF8d)0t%4QT+afyEUQA`R2}o&C~B5jRL52+s=+lX?+LmkVke+Fq?hj?!85^QqKP_ zJ3@nYtPbgD;Vr%QbBbYmwb~y#L8!*xC-wdAu5_mR4e$|9PSUeEYTa)U-%qDF8oQe- zi6<X)WB%<(%hxv83Jq$Pa~0e}1Aqxk(Aud3MWw2U)%; zzvDw&vH8hPKWHt~e)hU<@+c7nRP)ykB<%0DS9((`n}9Ru)4%tnn=}9c0ogd^2{QE=7s3`tcVC75+&Lt~4z345E&n?t}Mk|jFlCQ4BX&ljeqw+O$B z-)}8$C%>p&-3j|uv|pqkd5(DoDwcY}rMlAQUI2XB-0KRtu469M2R^Nm9iX3hq*U~p z)1-tvuQg6)XHBnV=eG(|U6lHsxuz#X@Xw{EwH$g<&N$+%ohh?H+qapD_v3yQ_-^>- zx_d=YZz;<;##d)yP#2NZhzDD88JMd;_5Z~z$8W(X@8>?ki_sTrJA489#usw)Flv zKGq*W;Yu?GK?)B~=ftL%wg#LTQ%?i#v~$FN9MTk72p!KKL_udowEK^zU{q{PL2=pH zfXL~14$Q+wXV7GA*|tEn^I{}1@O#Y52V!a5()`-}L{Kz*E|0mz3^-mei`2SPNO4yt z0V4nz=5nKR%YFAf=J+v#w^#ZL|9XXGQ+6-H@N9=7)s1DC7%3oB>r{F752;z_edV=} zv%98n^JcR{d{HL(b?jnmH6+@2)$S1cZ}7v_mc&^Wo5mP}Ej&^>4*tos3v(?%mIQWo z+=lQJ2I%Z$d9@WS4*^Ckuq;dWQxkf+aFd$ndfx%T&x3=zovzjs@zpK%C+EL$ILg!` z>>c8kEtD6nbI;6#oWQi(CV<9f(Hg6}P%)Rj8lc=hP2n-XI=JV^0KLAt@}R~qdU^YH zUbvbl{YfyY<73df1kOWUVx|N7nP3b30;6}MWCUoZ$RWbLCupA>Gm5jRmcY0A1-I$C zcQ-~Y+cU=N_l;UU8VtyqNF5dy2jaBay&1i#cf5h1z^>7&HP+jB3xtWn=atB>mY;l~ zRzh^!ibL3*#;kx}7VJRj<{)-@)dk$aZa8ZJJnI~z1afIEA~$k?32^oNlt zo3KqijuEAIs7*FVYTb6DeA4)cZF#RjCCUYu=oqub6M?6YZ)XycQ>6yr!=LrT;hWfESwRzwifTvY9MjRU{C zZNuYfjADn9+{Fe{@&VsH0B{RkD+?|qX%~84PzL?oC|iLIJjw)XbqNx3IQ{HgZZOuh z008n?5h9RomKS6@XFxI{2U{JS=z12{*;Y(1EUVM4IBDezq%*yM4a;a44+)o?UKJUnAF# zaTl-EB-argr^^aL{xD6`eoeeo73k#wWyN!O`^GA+e??c05ApU!g6Nm#-#^y)uP+^+ zzotyM%~aj5;_Qi7uP&rqR^~NiZd~*UY!75rCvPYE4{#8#JRu6>K7w8%E&KtdTvyH` zjJfiXs;r!$csAfpw6plLTE=mW-WOgukGM$y4q%SV%RYm2t_j5&N6(6B*IT$%JSMPW z;%%@X%I0dbr2SpWqb!b%pfs6_NObtiYsUW{+o#KsLu5_C2-->mbw#ZiR=d(`DhhfU z#0W?OWZI-CVD=UmOBvr4z@({VOvKYc_jPZvU4;+FKs8)G620p-Es)d|$nk!Sy&vzN-jQ|uy+kVTFz zN|0npJ7S8AuI3`~9-lqu!x*91ovm+D-z^yb_Tdl*TE%g9+1(j@y z)Mm^ZKVE_vSC@}PL6@VS6;Wn6h$}8P_TtOH1;C^b*Fd))%)VtAHtXay=wGa)ze-{h zrl3d!f$n*sA;U-p#q+0ubR6U8R2>51%b*tL_FKXbiB+Rc$ z;dj))Vl{ai_-tj39vhsl{z9r*oijlHlEI;9XYS7C5IZ zh)=9g5Wy@2cT6Ja05#K-a%o-h{b7S@iKa&YN>7byDc-LxBW9B{Ar?Raz$5eb<{5gB zI3wRu@sN4dXrT=_gcO&WSCz%Vy0;Ksm&v*| zs{yH%gv{A9vsx{mdjFblG;<EEC}qFL}{OZxfODoB?4N9q|eyssA`x@T=>EuPJqzAa*VjnfQ z`GL*<&UL^0xPOv2W%b9`zYQHbj@#b&$A3`m45nu9gm;DF%MOMPw&4ovEFNf_;N ztwhVdiO#M`6#M}IGe$jT1wTPk5);cUXM4vJW}y z3|>f0F?~o}fqUTM_Xb!L?|8|Cd7;vDP|VyAhr~)%;7fqhtJ$W(fxX?r2s`DrReu6K zVGiW3Z-Lm!<0;f1r2wN;<_gT1XVqS{fk_zS%gU{R5ayo-efEtfe=fiphs2RKhuqZt zMD+-&*&lgWorVYRXe2d=Ijr;!W7=B$+-=Uz)FHA$1-U5rbM2yMDmVek3LGEclv?oP zgkk7?fYpDHiCr7nG8r)J-jM&Gb`r^0Ze5&8;6(R=Eb1jW9d^~Se{Zewd7?}3>`g)g&Mkp#)X*$g&5+*S#@#!FOguoNuv zleN-eKtshaiynoL0ba?^94=Fv5#dAwUqFost>kp&+GQDP3!WE}@Ictdtu)}~+^Xn?IP-U! zt9iwR&eePBE^>(tZwRbkG8^|Y0Gy7=u?X6|{#*SeNjo$Upc$P3sh!$mMK5x@0L$O< zN!OuM8b+w}5}(P}tXjLjQb4($X2b`1t1r(xQ{c&-b_HPOx&X8fO_Rx_Ex&@y+_SFZ zAhJ2BTIFy2Lg)$1fT}RpX#|pqS>eMq{G@$$BF*l~zzoe4`Tw__aW8WcE3)qtP}Zaw zw)C(>km#0=V4Q%Ys>lU60l-KxI^x!o~5 zLG$Pjn_1s2>K>Dt?b*@73RsGvjx+=1lBnv8_TmM&H87m~G)Utx#5REycBn$0{P696 zyV(?2MoJe$@ev_JK9{i0L&+LS6LRW(*`L797H1vX(2N*f9A&!f{v#@vCYeK53&L{_xqGcN57IEhH5k9j8lkWtb_T>|IM3av2S5o zhcxseVbFDKXjtcq8h77oc#vOcQmSjUWbc=5@rISzmpxV<&!MQ4u$j{;b-c<|yM1C4e ztMsf-bjD6xL?V*gP}%nB$2PQ?9WZ5l)~4I}ju&jh0|v_74cFt@FZ~{w zDhk+6d5z0Su^nt3>u1dm*!~SVF1T!{{aPFNZ~c^3~y2f47m}Nu_d)8wHOoe7y6J}N4`5ZkoOSy6Ag2Vb zoxeznV$dqq8@GC~%)jLz>ixBz6&QG|b1trE1=;-po+_X4yirVh-u8ukSMb60FzF}wI-Swnje|biCqE0F6 zQPTw{ZqkJP@(I-@ah>PMFIxi>h5~*|qlfC3W1IAQ;tyERwEX`=*tQUT^(P^7p5b+g zR{%(BcOjT{No=Z?gA#fiGNp2fC9~Z{!^^n^Ik26^fnJQAS8m`WK%6XiH{)m}I7AFw z5by-Snu>=l9wu=GE=CUd; zBhro+!8uHUrz?jM8;}U919N4wHp_;(xY@OrmJBxGut0XNy2$Y?#v~t3WX3#f^SW1K zeABK!{IvTp~@66}{cKS28f@FaKeR4+ps1uuX#mP(_I-zctMzx*vX$ zFSDQr(`e5Hf;13&M^(2v9EoHs<>hM}<>-k`TwRH{j|7s0jGQ)qc$RH1|xDK!b$VPfNLtB@eD*sx;1 z$JHOJtMI)6u-My^7j)&Ac~b`Ku$!UO^X)tI8 z8ds-v)-F)FG{#OHd}@}6Ge{9?2L~UFcd@|)WU>ZDB`&7uNl4rOzgnryVFvPp8K!4X zzq5gQ!w7BrHB>qeF0{%|Y@FJ-Ofiw%=8*{v&h_;7N^bS)3%(l#*F*+Sd1+i5J{yOb z1)QMRN%YG2RO{*mSb!L5eSWLy7-X^_?z)93z|XlZN;-USIr;d>E&ln$S?IZF8OEqL^EMJgg34~OX4=O6hRx=N4@zu(sD065O{2G z?fDyU*)@5X-gFL#r}Hy@dVnL{vZ(jpdnnVF$c}`{!nQ7ON55q<_BX&iR%AC~z+sw6jm1@0Nz0R4u5O*-_7f ztN#1&x5#UlLLU6zYZL~G8_K@;V-qMMvW&o(9TV4sHr|PX5`#|{J{v3~d4z%GtnUH# zUzQR)=WXUN`YY22Ut==S90TO)WtU*Qs&CNSVKu(v;;3fzUoyW1&OJLn$+CqsS(gok znmL9>yUVQafq~fF6=*)LJD>};$L7bYJ^rqzna<1gS=K-Qq*I=LZwPuNUefpFdR5J8 z0|}}oVC{(!SZmdhe)h)4hln}hDEBvCYTPR0{NDAD2dlbahRn3%#r409kv+NY8yrNg z?6*~dt7@d8u2Rot@%jO!v`NIlw$uL}KWN7O_K*=7f+a}}$)c43%F zn0}|h|BB8fY~4O_($<8^DhV54kJANKaNU!4ESq?R$l8h^vnP<))hAOgI_B%JbDJAk z?Yi?TAjvkz+;d120QpvCjky_kg5m}9FaYhO@;Bygac3b#Z|>*<;p%egV(aeM{?W1^ zJkZe$JCum;0(HGAb=w|vdr(VW;46pW-xccv`3bsId^g+#%X85nXzGdtvhE1vmFolL zK{b`(vmXJx`Gj`V1V_isUz(!dUMD+zewMZ__RbM3V>36SwmudE;!)f4Z5qd}c{+x& z=LcnlCD6o!mZTj51y#oYZz2;GxdUdMYgd0wB<$|#^4_(87_n#w|4V9lJ;((L}Swi}GScyMP z1m4t=<|5M13DCU7XCXn@QkcnXB^uw4)dAIJWbo)6qeDe&%@2?^(BXfF8VQqj z;_lpY?9Lacll~yghoZN$s>&x8wtVAuYXF$=+WDaEskQW6QZ)zBc+Apq{umrP0TQ5Y zWH0t~JEV7*K;8hIkgx?g#vwgEtNzH}tr0&@?J0pML+mIIqPYXnsX zBYG0q&Di5IShYJHhgfdYh63&OfE{_futIkLR*vVeX}@~Fxs9j@kb#>weB(!oBm`;k z6^GPpI@xi@b-RA%AZjdxh~Ww=o7m@dN8z_Yw)46+4FyO8)00AT9lKV89<-*T=!vsr zY`A|e@Jd*@<#(Gbzg?2~;-KNgo$g5G%r6Mot~tCIJ>(wi4?Ir8rh##^<>{V46)$+W z@bwVdUEmFM#6g{tF?$|V4Io|LkEtmxqJS-ECF~SVp`t;t#PaaUj~pd4KNxWVgl)Q7 zfP~X%R1!;t+gZ!9^eEJvud_A}6(bylnqj%SgB6~NwZP2GUl70dUW4g*C#B518F zFhr;Ia2H8UhW@NrZxTXYmFD|Zm#UQbXsT#un7<7&Uze?WJ?IXA9I%lis6}r{q+N>) zs=d;LwQQF8k%M@Nxe-l01qzng7dsq|j?4~VA5tfudxc}ah@73KuoFR-;dyFXL9S-C z@hx+b3!R`s#$G;@Y*H^kLbdx{v=aQOAe~)G?{_EsN?22A=;(`P=oO-}L~~ue!z5qa zwylmKlV@#JhaD6Vh}=e2VXk(Y#-S4QR!up|9t2(pzdEkTOcb&dCgb(sb3teY|C7 zP;(2yhnk$Wd++*hogOdo!)sjUOlHS(mfBD!9gSagD)#b~T*p)d%2%A{W$}T$_S^I8 zm#M~^S2yDq2&XaJB|GfFSskkti3=g8ae~v00)!*V=Q@?PKNmF3P`E(8uR$c48YHHU z4^%?(&xp(f`M99|X*q{U+K7OQFdc?i^e)ZqV8OJX`wL-;zl6gk=Jr}_aFY(83;IlB zgabLVL7VgckyA62ma4ZB&DITE2@3$Y)37zA)#j#jTJoXHXC7wF9rI#;iK;i+dTLL( z!VGo$GLw1GKII>7^uTMwum1x}9;eW}zB|^(WO=fbd@g`vtw=v}YDF8hQW65UEY9?Cvdap_S0%`TX-pP$PEV;3E#Z3te6UwaLOYG z@jVs))ewC_wdt&+W^wxpr+uOSd*!dGOjml55$|vvH&b)}1Gc|X{mmJB?-`xN-RK|s z9ad0!LJ6Ur?faeU{5pSN=GrrvHTSGGYwww*1l%`7 zB9UP)SkMt4{Epka<~cJOnIUwmJ(HN307Y?VN}2Oz^EVN zr?eo$oyzr0+d?fzc09!6anR-Mgw`0ekllz+yc2Fo3d=|YG2*i)yx62id`fEmE;uK} zv?=mZCFN>4JDwjIFO~FEguXwDut4&G_trIpCzb zP}iu8pYvHs1Ec5X7Izf38x*CR`!`nS1J3Zh4Q3^}>_|fj0)P+QB0n`(7>q>3MHCeh zC9M-pS&4#|eE3s`)%V)-e|5c_RZRRH1EDqMfGU`-3=otpkamhq2@_>cCADu*`(B{N zt>~UOh)a=4SDN8LvY&^GA`428AScujz52raaXYYj?F_ulFK%d*zK2T<2X_W|8dTVZG+BxdV(``v2^n) z{tSs^viiZ1_c)ZFzIPOi?rg_7WQ~UsVb(BVzgT1fUd`9~ zvs$O!9L)Y6JWFQ`7A+#syClh6I32yYk&O#Yz&%Rdc%MhDiYn=m3Pt=mkGMmwza1pL z;QY}eQHs!3I|rK_ROh@LT&1BVc5H&k_Ok^~qk_H8@v-=&Ifx%Ss@LT(4_UVVrS09)6{jA`sy% z2lg}hj#>yPthBN~Ehgw)ZcB?a>8HUj2k|(v^xUpb- z_PMDrHZrT+R){bUw!0RL&TD6Rf8vJ4JiN>iRVx@>VUSG>ozIeERL_ELT`Wf3e zw!bI%R|%q?w0hsdqk7x7SR{e_350Kaw0-{tV<6u+I|rfr(ZXbHC^~&f#@g6u;d;3~ zAk|iLh8SG^p0?`bGzNFbP{twRv#Y7<{|B=#h}h<*ZfWuRv>@#=m=QI=F_3#3Tlucs z!0mW#MkyW)fj`S%AJr;KXTYdHg5iOB^$tU0XbN}_ppEI=YtH;#KBy)9dx zhc+!ur~qo<5Ud^_jG_}y&>Gp5PZo1gU!uopNYSfg(Afh1nP0_wkY@zM$Hr`Kb|FvNO#+DRGUCpi$G;=*%5 z7|;Ct;iaIQY`BmqJw(Iy&u>hf5Nyri-w0R4TS=rEmdK!$>y61|QrwxypqqMc1DEF) z)#zzyTt4#cp6<6nB19RIP_oiGjRn`h3Pulv9P%JGbczUy;wgiOuCP~gCLI6jF6uEk z5w_qX-c({6E-0ii2=`rSc`~1@N14-J3f*C(+(HxC4o8<0G{Kn`*KZx|hAn@h=EE;< zYR$2m>9ywprE4{z0SGX9e~y=ycHH)LF75sDJ23jCO>B_8hNgu#^ngA2?{!~tFFPMC zEosepem+15C@Bre4`CE9EbqvQuA2(L2d6QL8tYYsfUHV=U`c`?h>ZJc)Ctg~xARo# ziWMzzDMLU^NW!t^-IUH}k7PiTbQDJ5@iQYXZ^VuF^>VXLVDmUgV$ht3kjL{U?@PoS zJN*_CSyp-2*@B;vfy^f5ZXygG&hn2Tzafcx{{;312ro!tKkg0s!UK6~kk9}@5Zd^F zYDi=L7jSR@l~3^>m}L?5ee?6s={1LiLKldB5TNt@{yOM=Ex}^;uS>H7+UF${dNrv1 zK(Y7etoR}QdO9ezIWD^W3TP~{CF1`et>*jh_6mK)3{^sXk8wo4wI*%hH>?2u=JvKG zE!2t|pBZtyzKtNh)Yw{o;%(M%tCq_0Tm6j6s27`LAET2<(Yfh5V41b#|2ph32J@qS zfzjvw2kzy@bU1aAUQ5IKqD3%_iemU5BlHpG55fO~b@CEi{uie1)_S`K_@a&l^n+0! zz}octvIl^<*0ohOpj-hd(7mSL&Owjt)^rZulL5VASm7mAiF_%~s}k31sC8-{hO8m~ z!K&L?r)ksLc*Nfo787$OqLt@+=0*HY{Xag?F#Z4dAgCWxM|V;8=tvgUcufSoPda`_ zQ6bCv?1l#OP)Ovu^E;G}sSn2M!0IPF?}APQs@F_AF#82$N}u-l_j=B>x%mviJyW`X z%AsB5a;@ZT5;@>*D??9^=G(x$gG82$_6ABAzyk&;u;x@22ygb;e}LAc*F179cM~AW zf%@-)A8L@|0f3VwHjw*!mqF#{W$piT10qH~w4bmGn7SA=|Kp}~HbC;|bsRmAUrQh; zCr&ZcWENA$B>j;kTM(6?hR_ew-`0Fvwl;rA=L1|H(dqMUjW&p>yR+T{nE;Kmes!?} zOl9Gg@ik$tyBNJB0-W22>T_r zQSYb97yFAEiV~T!G9Z9|$F;|9=KQ}vbK(Kw-vPvr-2#*vk-c^Lb!nHaM9*zsPrT41 zOue7{IP)ZC8TQZYd=ry~h^)eHOu~42eAEz*$$N-J32W0YEiGdq6}8eUpN-Rk?gk{a zx@kn;b@|yvez;SyT6L(5=%7SFFBlD-K4xr^f22!|SiN9$rTlAfAP7&X>>h8Xina2X z7hCyFWiCD}(A|v# z8?&tYgHk2b5YT7+^_#JG)@@H^CNbk;OaM#ch&`BcX5KGr@stE z4u9p`$}MNC;w()2Iq)>ezO6F*b?{w_CbNw2mH(-`FYJIc#vGRRT^dINw*KVtQoAtr zy?AY1rY&!4r%p~Lknf&eI^lne6L7CCvu+rxWbL~{3S@SRHIvOOP_u_c1^|a5MW&`i zl=QhQRN+*A226dGMs9gaC1L_I&dyh>xaTn9qnO+TqiW~G$4=4T%9Y$>2l-QLTfj+Q&bqNOHHwUk;-WaLjmaYq+a!Pwbg$oI+)++wgi3C~q zECX$e4cew-F9O0zxWa<2GZ5$;O;NGT)Wl zjt#b%p6GYX)kxNXQPo2gux01AtrO=P+A(#IovLgEKm2l|3Y_X5dJ`MR<+I7wy9-8# zJ)MrqEoTW)?#AGhWZY)}owvbTzDwG4U+}%qT^MuRAp=%lhfeq3aU7h?d}Dt;F^m8^*f`>A|!H z4G@fmoVO4Spt^_uk8a_!y`5n6wI~1RZg0z6n1{CZ)n|nPFt)zQL3&tm)uH~!F$HjH zVS?x4eGAA|CjNhDr{|)78t_)gQvFn-vomgT&9~zJXL@MH8TbE>y{$d0^F-F%0kmb= z0knyc+3?LpJvH%yiB6c{|ETU7nfk{g^F#);@U@3$Igf8WyzU+tswR+X2}b8; z)*Fn=w=Ds4`C1-prf)74Ri26TxG|ElxheFo0<>u##MN8azpb)Tn!@-cMo&d+^BzXb zg3&JRxO&g8k|^Y!BYpHk59E3LmSv$LLz&fRHZQ0oJXV+;N#_fM)=!4ftmO ziUb46p!{;)4<2j>iAr(seMu%@6+!rVhC~^rTS!~S<(gn7L zRcQQUj9$QB(i>C+QR8*^eX6n>Ixsle2|arAOG0C6g@phhlhx?vT0Z)~kxoQsx&6m_ z`KM^ja*~D8hEX9w+a+nxd`d3(ce697bO*>Fi7xpExX#rI)J0i)c-iu$CfF%kcYa6t zlkv6z9g}~C=r9NDodNlBEg0@-8FiVDWX^=v1Eb65GT0IN?NTE?;#Bvu zq+=#@U%QTlQ3x@L8DY4+wAgSsJeON8IhUj|6zr-2yYrERSo0uN&G7iJ-WgZeby#05 z3n|09$JA%AnR>P|1cBrJWVyRPOK3bQp?ij*dY$YtPrzH+9$t`&G$NSaUfMJsm~mVl^RBvKqRZ{)ojeTgh1@|V={K=^^X{tZF( zlw~`laZih*DkJTul%vQ5!y}>YSA#WLEqrX8L}ljjlTvPwZq@t zK!F1T!eZQ<3_i^;ZG7cp@y$kp?AhFsF77KpngXbAR8lr{1A!$y69NX z0$v<7fU#bCp8CYXilI6ZnXt<8(;XT=pvy=pMiQ^iMFoz3YIz@DUV|iFdXp6nfBC6} z!_`IUWS34PTf!{_;m)}6VX#3jBw-*KdnLQbh3;+qJ}Ha6yhkSZVa6OkOP~v!+Rr@B znrZ}?O*gv0iM}VDh_n#MdO}%(fQ1NP;@LRySMFJY8PJo z0to$ZX9s$ka;6@JnqV(4^j7=yEqE z=VFnL#eAc~`P$}l96o_zcK?RV(T5%`*Lx;Q#V^Tx11%cV6JLaXxFFZIT76 zq?5=Oq-X zd)}&+Xa?O?_Rs{`uUxQ226 zA~0Pn!UhHG`2H7c!yYhT5H?`LaVujUKtJ)IMp4YAOXSQpy(;7Oc{td2MaD2+C)CN= zjUD&^v1smEIcMr-=)o`sXFQ0^bPna`=rsqcW0P@83xxzt>ytg;-5N(iS})gny5RAs z5EwlbdPS5H-kATj^{SuBBenHy;m{oITG{n<*}>BpW{yKdt*qA(6IvifVq3-t#_{2= z9%=jPRSRBow~lF5M%lX6&_68A-hiJpM$1zbm2Zn>*z*8l30r^v1*hnJB|-S0woH)v zim*=~dv7)vtr}v3mL?XueC&J{JCa*YY9=$d+RPdldAkgEj^1o`hp;1hRg<5bsU5S8 zL2Q)xb6Mw$wwXD&v2zlmnT8m$lG2a@jPU3p}Xa$$j*usQ*hJq=Q@qc??E+6Z?P6L?8$O?-%-WpV!9 zJJmW?ikBGDgBf=-@g(u3`2d77t;x;n*cleILvjlvNL#jE5N+fklgeTa>6(KAI9Bg| zB>*f$pd-`D)cV8TH+J&S1?d88c?n*` zmhor31AuQ0rpq2FT1#m}1h`I%cnew=65xO}CVRWxVKaA4krFf$46CTo!H>1oZ|+}Q z+ARSGdS3-8ZH6dSFrv!42)hELoa}qb1v()Psw+E>8PSc9&F=`&H-q5dR^!a~yD$a? z56n^J{2Y;2@rQBy=rVtG{DXHL0a2E&)^!RtoE+^>)@XAkyt@+<7~DG8k!pDdG7vx= zj1vtzm%T=-KoTA2IPV}vI`==S5$2nC%O#P;UU%b5gdU>$kw~pjG(u|q;PZ$$fp#Ngw?1NZh=lME*SbX^DB%G>nU%8bR^Ov7w z&Vm+ILoBx8h}VDsMpk>>XJiFe_d(!&y^&q9_2Y1)2OU)fKrtO(R7Yr4XyZ^sJg~SO ze8DKAnJsooJ<5?dgu~ycuirC<_vR+Fde7ZQxwT>TZ@Uxcr_L;Ys$=ig4n+=#itLiq zK$2h<5x{JA)z@@QH)`|v>QayNV7#twopxq;APtKy&wpcjXa$oG%!Mx}O-ueiKyjS^j#DhVngf=o(i z4m*QU#LJN#zzAIv%Njd$s@OFrVVsF@#AZ|d7-o~b|J80j1w>zP=Y>_|-gQkL$^ zCwjKI_5o@)uI$J$7WWe$GFrXt?9Kq5yl#{XhIEJ*u_Q>!?#{`0=Yu(J{7Mr0#&XHm zOJ=&O@r`dmFqby?3Q|rNe37?T>(gfBfp~AagP?0}aQY9-;e$D7Jlsd7Hyc;=6ycbz z$p|J_uU(NW6h)E=6L(kt^L?bGSMY^pbHNgFidq|@gb!V-UBRj6xe8yhaXIUHEM()- z&S7@MY5l6DJiJWgs;k6Dn-ZPyGIZsxTX3Yb?FXU&u+1TNUP9CDZ)Uvtem zYlgYz>eE%}qZMAJSGoHvyv*W`>r9G`3umT|FoT(EbQszVHBR9^|F$jA`AiM=bCjD65=IHo! zx$(3EeJ}*WF?A2LMsYwyCw{l*Y zaLcxLtxZ>UZ_0Wfp-(YH88^GZOqWRgnr|G_9BtpDf0rhBLgFc^mrW_Mkp(ZBuW!Zj;#pT?#-}4!J=W%B{I0zO-ah*H z59K27bRvJg8B3SAiS$!s@#-C&zWtnHe<2jGAr5M_+(vW_znZT#vA)Ip%&E|*} zy+;Z9knZ<>5CY&M5d$ZwIag>84iaW-iaw3)eSA?SfWPuRW{pIbU|e>8j*M+YL75dNMIVb*Oe z2ioUG5v`HIEbwPz7q~^WDX!Nb#4L$gm1L|~xf9^xTo!+=ZMo7hb3Cuj@ z%KPViGO0qd@U!Hlp`ewPM@8e+H${EiW99rOm^0=)Xg$mG%GZ^Zs?TkMW*y$jY{|q! zSYdjaZ_Hy?is<#-m_fneYRX{+q|H?hRB#)`jCnw4&^w3~Ji_K7hlPJznD?bc%8JI{ zhJmX0WP8pq%FfmrI&nbBs_S|IdA2fA;Waw%n)rl=AIP= zgJ%>u7Emj3dGfda&38zAh>uLVLl8;a;@<{H=cX;aF(I*^09 zvEJ3BcglRrSu2iR)%>;WkwlLMdKTwCC{dSgieZj(y^$^>W)(}#IL2N>5f}p8Ii7SO zb#tEVgiDJLbkKc_j-Ee|dxxuG;td#(HB+uSJKD2sa_QV>+S}=a7OtX05MM90)u)+y zV%Pq2g3XCJZaMm2!KTY9e&syKv3r4al>KSTv~)M^PEc~Eg7d+KsjFkyNE+b+bn z#Li6Unb}# z64-;fADNB2IIvLEY09=g!0NiZs6^Brr~X;Ss8O!490kj9r@ln!E(ZqRTsxFrWF#lW z1bsTXtNNacW+lyaepo|ooG7Gf*tYaBT>YDS8FKOLbB@_CVbXk92czqg87()Y?|sVW z(A2F(>v+)GeA95asP;e>z1g91?H>DtfPhWRVnX*2*Y6|qaEa9KwYEnP2s8foL=9RC7|14I%6%9rNm`B=%axV~NTj)Bm%E2)Yh8a=~0%Jhy0pyA@Iu5`33krm+RTw&o-}_jiNT44!M~pJ)B6+yVS)XrE_2z{MGz1y1EI zQEMFN%82YK(3fg>#zHGhL;&$b+x!KXHyD{9 zQA$V7udExU`hfS|z{f#$q z+RZETL?|2NmSD8T4&|5Oh+msu zn}6zU)iSLPxtU)9^lgNeJZ*cWQLuFdC3_Xt?+vq+!%-8*t&rAZ>PsFo*H_`kKJ4~c zf~(27qR1*V>57kwnS$lo>VaE#DU1x?F`WAi2~bXlqpEMz|5#}f?n^rfT{-vwRqs2} zGicWsgs`rjRqtyNGRpy4XH%8K4=%rBkxO>4bael#T=%E1{fNHr=vC2P0>tb(em!)r z28p4#f7#ksNf+xJW{}w*Ey>PB;yo#>t2ST?3`wuf%Po_sp*%J>=gFrfBd5SyLQN z+mwG*eC3DqoPibAec^{weL`5|B95N4RU3ymlwv4aAr6Mf)d{)*2&!`pR#Rb5Ke&0==pxy242r!@g$tEP4XUpflou*X^vJu9Z}!onI<$Y z`FVM-TzYTh_sPztKKO=oU?!{ubV^-V2D86nr;4r^KPz9sQU@oy=gmYf?3&u}l<>CR z=%cQiZ-%*D+#hVX?-zX=xBw^KlUD_j%^dGppajV=XNm&^z3AZ+8(HkDJn8C^6*xnK zCz@-xQPVwBLD=isO-q?7j2Wf=8=_#TgbK1JHCnni+ambhN0{xOXTI38&Lx0Zq#a~y zX8)zZ`!zK@j$MIFRFg>e6FKeb4CvAUb7PIfer7gbc+Fkl*7x;QA#`iBxm$GO-|06v zg*uL@z4583YQKsHj|)pjyJ)v=Wi&V-iPp_!cA~7{tvuk z%g*OlK*hp6;+3)yp#adWM1po)~xajxDuJa`E^Y!t9hW0K0 zJ@;En=j*D{eIT1G!JzE>`|Y4maY{gzm)4x|ft8MTf#xZtG&gOvq{N>lvJ$rR-+&Q* ze@DYg1wsge@`Chrk*nNqARF@^ptrvK(tI;m-j8`IjJno#H?|*B6_0w>KShw*7zns2 zB$DqY@8|lIVKo6oFxPz2^ssfD&;t*68drPmxM0k@SwX)wV`I@|Wb$$A0imSn2=%V< z-T}r>>d_M|zAY&}xtQ?kv7?5?RX!BFt%|{Z(*gU?wY@XKPsPbB#8|_F9{QsIKJ9sV zAP0Y=06QTAj$#tEeOLR8$FPDal#=CeHP5x>W(UteU|6l!qwk?r1@cKvb0Ut(-pqX% z%EYCx)z9?c01-im6!W?}5vrx%Lh<*0wH~WA zCf=K=daar#C13|Y4ZRYT{-exy;%3!E$5LwT?zbWj25_yQ2uQ&U+$Q!Bnd7@o6U7b; z3u*;L^PZkuV9g7p#RV(DC}ESU2t(Na`C17^DG~2-dAz2E7p4`r)xo$*Q1A~`JR~gd;JYG{PwKH z_xEQq^XJ!}!-?zohg}%Jn+^IrNlGvN0q!(?R5$TU=YvyXfUB z=u04?TRd4vKQAyx$tJ*cRTijY(`0dGfrYm z4q48}6uoZg;{NfOy;bCwvjV)!n|vA6?T92wibphDOnvYnW>TZ9%HqfyqI9Au=?p$` z*OKme7v`o#aa|-KSUD|&olyqEZCXuvFqrUuqiD9Os=pGdx<)j+!h5Guqn@L>oL9l* z^r8EwjzlE%P!5Z|w0ujl`OtK{$H7J0TB+9e{L1t6KfX$1aP74V8Y$m9_xjakb!87{ zpF?D7ZKa!WK`5PpH?B#w!@{QS9i{SqZ3^v!10pu4t#ogg5$g{bs~T`d$yzW>Dq`IF zuG*aP#p}(?!w}(0s~pQY{wVeK@q}}jpyz%x#Ha_I z{XB9qhSQ=PlD(VyY*rJM(d1`5}9;ApQB+ua92^m>f2I+zf)|*7Eh9Hwyyb^z;qlo z=H#w2uG{g{7<_bBRb9lnca+Wv^|=rINb=Fv17+L|7qw&-|2^%4XP|`6xdc8nozUD| z9MA9Z70T|d);=hE-8VP!E^q5IAomja!o!02GQPf_B>vRBD+*?6Ki^13+?Ca1{^EA# zIM!>=No`0$j(N1E_Hw7HI@|e}=pjtNWN+2;S{Q0{%|Ws9!f$WCG(aZ4+%5qem6m5# zv~m^fTP z@f)l8=uxfAm7EqYI834d{sWA)faZ7dWJkPsSMb~i&-?inw_)^y^GO)h+ICOSdY;S} zQt8$AmI3F3UWY2vYC*$whvTqRbAJ)9*F{jS_5Oe$Ncro|tiAt251>5VGJ8!iUv2M- ze9crp;a`<#+)@aBhQ4H9YuLAV5}7jaI+#2%b9}C1sk)YF{Rh6wCR6-muQO|^FnU1g zwRjp3G3-`(WxpP(OiN6EJ7aO$<~kqXYYOreYts03vu|;d%}KrJUhT$&D5+{Ivj*(P z@$w(5fYD0zFB=Ww(IGTz`WK2b5d+R)!wp5{}bbd&eg zJpbYV3v^MvMA$nae9`BGYHPrTA>2fB-G@$3w@FA4J&X~xZx4$vVMn>ts1=hkeAhOJP@hn+<=zrSKEQQW zW-huE7(Mx=k?V!Q3M*)>UToaDah%DX5L&gH-gkH|eqd~~XBBgqS^c#YmCox4)U`Pl zbed9;f$k^C602v{+l@uO#;zid%4^+wZl&9}4c2s0l7-GNFUEVJ>UPq9rCW%6w@BIG zdIHatX`qsS9G&xi^(1h-W1`G*8aiq8q{Y4lYG*cLxSCq7O86WxPt^XA?eN`jT0KD`#bn zCpMyNGUkW|VVewg5dFEpI+IQ$#AuZ->v{SW#Q}0ro}AHArijX#R{v!3rrERPG-$(* zgs=J5ErU8_V^cLW=8^N)3gw{zRhu#O*J&|V$}dHdU-!Gj3L4h3pQt~@%{aK&+nV>K z0l@_Bc3=YtU#C=$h9Oqqw}K5*mPOitY=LFM)@wS}Z<}FirctV{YLPK4CuMHrAZ_}v z@6TrZc);T0%xeL1FWh1TB9M4PQ;vOFjYKm0&DZRS@2$aJJ1>6oWLK;;9?Ch`VDI94 zcl1rFy7C6T|JAXO>IoBqW=of-_00ax{S(K#d$?{V)39S6LzKJy(hr=`l?Qc(lXPxS z63wf_Br-H)e`_>Q3GJuLtDc+Zxw0q|BVU61J0KJ(0s#gZ8yB50e_(~H604qRVHn9+ zoinrPe_u49G0~Ulah)}RQQu)F4Pj~YJ#hKs5|>g|EAMZA6=So5qxbcqDk5V(!Acy5 zGWMB;ey;>hwbZJ6T9jyYbF1bxR(9q-VAIn%P%=f>StVOOvR=Hm7cKH~1GKoPKDC?H zaNR}(>tZil@iq9r{i)!U2T$Vr9uEjp%{clSEsRf7RXRsXo~NEJSM~K8WtB|4*n?+z zxPlf9m3XXwwTf|9@PV!HU%g`qDxj(&)Cym3>08Nm2b|0SL~NH;rlDPlbo?yO>ocF% z=Z?y0KdX0#UMu%|PuIQYpPfF*u0NUgFRJD8&wnNfBAe8bl5&@HL*7o$q%Q1e;4ki9 z)pd%m>VyX9^iJ~ZbDxrC$2U_2UBtBYq0;5S0+_JksYb=f0&}%krfdg-aXln9f;jQw zcHi>$Gs@Kq>2Of+t6w5uLrYC--Gj8n4+=$^hwp!htUD#mYps*x8amy%e~t2i6+zH- z`4$;jUH!cH+rv{D5c?sW%=|iu$@6BX*dXzx?6l9&l)LBM2y&U+Rh=e|*g<2RquuLs}5`K`oS^X;4brJi_f z1j9I`>AZ{fI=w^sQ+)y))~@r&xG8fb#k8(IY8tixxxRKTzZ}`xz7f;#LOhk?&=+NV ze=O#pS}W3i1%&^gBD>g$C~_>0nZzo@S*akuIrm=|O{Xv9?{frJ- zJv2>3QJSW1H zyU3jc{akF+0Fm)=D7PQYYEV%f3v7>SJiU`K7KjG^t7nWIYh3WjRsrfcnllHIT9>$Q zqW3=p6VUy~Sa&GRLEwVf=Y{CMg#YYZw70|8ADpXFF9j^PhxOp)ea{3>M9TNf(ZV}kih#f8!7=&M_z|o@tws# z$tBN^wRe>!sFdVq;`Xmpm*G;lrZtMC5y<`JO7(1uNaIZgAN$jdNwx#g$b#p5b?5pY zs<~Pn#Z|{MLGO!r+Ge-y=dHPDC$r{1PuG&kG9mlZX_U^D9?P~*>lT2N+&dM0I|GCM z+x-o3Zz)cVgd6XIWJ{@P5q~6tC-$kdY@I3#8qdLuRZ-(WIo}>8(`RO8@j)S8GsUeU zBRwq4`!=c=BuK;UUcue+8dIO1Aba29ao50li{K9ij3y+N^-&l|ZID;IYV7!}i7|h3 zGYI-{)~6O++f?hQPaz43voF#_U-&5+6(Or@m*WE$_~h_PawoGEJ0x@wakfY`-XCPG zB|XRZX!l{%GAMpOUL<-D!^Z|he+HCl@1+8P&O)LO zab8w3)2Bs6xt3JlK#Jp!%ds31+P)%x*52u;&xBIn&?wZc?9wZ%*K*Hi_3%~aE3r3k z#vo`Jdx6Cj?=GZRn3=2RLCb1W9&m4>b*hKN74xaD8fUnQdGQA+5%9sP2c;g3JLBz*Sav@V4-& zV1R4j2I>5OiHm(;-j>W}pyym5QPdOuiZSva$E~5tm~%N(9=kjbbLto10y5O@CHW8G z)HSNjrArn(`X_k;rTF3-7D{ExSNP0w79-3mMs5C~zHY+$uiq$U`ep@oBf`zSC3)X^ z%X?e&rlOKUG|*toh5wWH7@v`B;98H2qUBGA8bjKj6pbxUpe^s9_ zGU0~p2RTe!#i{iQeoIdDXrtWdwA}y8dT23AF}U#mr!W`X&ZyWO$7=W|)IP9sGN{Ca z*pVTW>TbBK)k`DiANf|RkBAecdsO?jC99jK#EJ4fhrM@dK3;dAL^9`#vY(3n;!X=DA5Pf^Hcy`9n*>|91!GEEi)&l$$E;pWS;-I< zNA^;BX%y!}cKc(Knq%ealh{f1vC;&CscZ#8*5}rQI|QAQOr6Y+?E zwmH2Q_4~b?*{ODVo1%z>3=MVJ9&d(vgZv}*>J9F;IJsr3wB-i+>9dCF?cxC}ZxqwE zJ^H@u(SI>CJfRc91>Xlg_W^w#Iwhj;czs{HVUGB+UT~E5hMqYY%57;q zz*4IVcRZ+_B-+)Syf+PgS>`gk|KdQ@`8cqqd>>RsywDY+HoDg`LR)YS^r!)UPpLV! zXQCRES!Ejxc*u5(7H^A;G^QTPR9bYOa(p^1uRYBfvkNxq=O;~RIJb7h2)q^@`0fVH2Frt09(}0F)cIqgSw<|PwTHcgM+Je06CT6MOji$0ri;={Q@(qK(jGNfo_td< zFW9Sy8>w*ISTEbFsMm0h4!aR^+oGp%_hGs=CRuEFtDCL$qp8({GL6ZH5hb_L#*JYw zf`lz^4y3cSCYz3{@O0lAry6}iYmGKyduaY2eB%x3vqov|&d0_zl6$n0y`Abysmngj zYA(BMo%=C==GQfkD_&4FK84~(Y1gYyGA1QUjBcy{Q8ze|?y(xB{V1QcUH@!&TuibM z9K5z{($h#6Li|r0$WJn#a)+>bYo;f4vt?xOM&F+Is4p6sVCYe!Hlwn5(yD0f%=vl$ z@V_i%vDZ`Y>S+9leXH5kMHA@J*8}wP!|_{(W@3R;#oO)nr*7xmqZWquULV&!oZjTG z*NsEv@Ynycoe-CLMOZGMO|y~uS@(4tpVQr%bIfUYxC^BEY7K}*W6qtb37l^TdKf93 z^G71l>Untzh%Zn$4tc*0Yiq?OQi{gZy;c+$v{Ve z{lkehh|2R-;bBJ|;vW2UCOYaT>uK1w&b1V-*coV?75cWJPS??(X8TF#3GI~O*eL|- zeloi@bLCF?_eG6u9qhIP20up9NDQrbLEenJp8oo+->qS(VOnm;FYIhGcJ}!3sd{L= zSgJ*qGj%V1BsgQnd-8-jH8G|98+Cj|H*IB0j7uYT&O2kT&c*fEA+d5rdwfgQc>HSU ziQ`b)9<~u0{c!fiHBVi;x#a#^9a-vC-uiZD77P#H?P5KV}812 zhh|jw1{uBe>zd=9)&rgpmL)$N5(T1%kDBxsgVG&*%`|KTj=j9FK{^hf2E;W``ERp| z$7;%D8I~QGYYE2{&+5ehmQHD!g$f+>qp-(b!}V4bPSX|pa$Dmb0{dd)r|*I%b}9lL zNC(jq*%m3h#Y&c?V}fQxNqY1m<5W+L-}8_H7wdqAqn8LL&`#VA1^%J__tMNBwt$G%mODCgNGTi1e?IT4VQ9$j5h3R#;*WERa82YLSc+;8k-=zJs>J4);*u z{8Cbji*}Ia*x(fM)*ZA3HlqjT#V;kf*lyQc7rUBFp1cD#!&>yfT=;n<7EyNQ)v;#D zp1+Ky1<5PN+OK>62%SqM$}Mtp19< z1=j5s^)|oajm5mznV(|48%H<%VobWJ0{q0Qi;rJJqGQJsJq7%mBVr2@Jumqmg~!Gu zlF#3n)x`34)zib#k^?o%2j!6Q&#PJ6=Fd@5-QM@%gAC}`!MCj;F zPhs?*KIuN>HsA|Ma4NDxcY|laMw?f-U;HTg<^EI_mo}89Jf7ywrY!&bWri*7EQ$BxkUjHIz*@+;}i@|4zqB z+P%oDt2cvvFEN@hl+24Z%v+F)==cpZUz3p!?@P>K)@?op$X< zX7s8z&Rqn)wJ-U25B^c7-M0IgixG(ViKFs{Bu^U$karr@628&WF!WaY8vA^X#MasF zuKtAWqJ7$uzVDeylWuqV;e){KGXfp=3aoQNdHNDbhf5 zGp6ue+?GI{iSV9dTj{VlSkAd{Zu~}NT9~H91?-(WEzkNt6u(B&Rs1=DKhc%Y{dTq7 z{KIJZiw}FcAWQY3O!xM%S6}Yy*?T|#Gp(rlzWO*YZD!XGBZii_rD6*V!Xmpq-Wxh$4{Db%ZPVib06-oejTgXKM2 zNx&ojP+pb)LTt!Jm50yR_x}u!o8I;n_hI9=`t_S+`S$h&VExhXYM0jFXIG zMR|n@rZ!wpxptTfSt6{w>d)Ibb1=?1~9X2qI4m&kAiX5bERh>W-cmzV*rke)-#C0GMO0)n6IxQeHQB;81q zldFht?7TC<+o(tn*Gk^DgJBiJ88N#_BZCK8Ph3Omd~GApC$Pc zAC_;$EBV;VR6M=$*D30AVf=^W&;I}QS~KTkraulOPkuY^|DNQ}jlZ%~JdCF{|H^oJ zTk915SpS~%S%1!WpUGdHA3!bXv%EF`=wGkbjo~|gh#3FM^s(#bne`c;`Sxb7Q{*f2 z8*BErbzSpA|1m$tdu4n)o;CV4K1|=3z4tF}Gal_1jNWFQX5wWz^Luag0LXN{xAMOP zlvUO1o&Ize@t3vxyDk0DsaRfP3VYn$nOxrwaXwmXN`L96Ja5rohfzPyL&{@a+XIR9 zYdQFKdWn?h-#fzxs>gRt^6N?tQ5`SvGM))OkSE`$2ir5>lm{NKTgCKzdH&fx&rkFd z_aEyQyy@p};x*?TJo9;Fd#*qGug;g@^@?dj+#k3Lq7fcpq!tg@BNqgih6#q z`q}fT1AjjEv&+Ba``qoHK()h^co9F_bG%3E&-k0i^Zd;E4?M0{)>FS95MQ28P2V5= z8tadizmlK29<-8vG!vgs$_`ZJO1cw$1I{5px=zqIE?=Qsb_DlI^`;kv;2-W*V;{m@u z_FMg}`OdAmUi>|-iPquY_rSY|ctwvh)*SDWePj0J{{!PM$w&XK`aZ@#+w=31`LO<$ zc$H%2YvjAt-&XxC{OWoh%kNZwr`rGT{2*`j`B;kQRDF)O#siZr{-Mu!*!v9t0RR7Z I0h74{M2>K-uK)l5 delta 906 zcmV;519kj`2mJ?-M}OQE00000006fG00000002z@0000000000008|100000004La z+*ny|6hRDiIwK%_goB-o^h$@$0643*-JV{)u|xr>2Ph-l>1073m|d}~}nq3r&Qug{Oku5WxD$M}Z(emERzW^Qk?@1G6-u73kh{m=6w z&L!U>r+zs<Z}>+X{b7Av z-{N6CU2gOn?O){gYomUp|EYh`ytx0F`H}j4)OcT~yg|NInI~gLU*-kly%Z<({nqx# z59N|?YFHHiiSjAuBh*L#$iLX9^?>%AXu7OEgVw9859e$BMtjF?ePR8bpWzW7*KhR8 z=ZVjAa(`jIZ}jOwZa+2iX{@K$n*TR0OX?5u{RH!c_^9#A{*CdG?~(eur}MdF+hT@o1iGTkv-zEPy=zOL1FMl%52gXBvpGUTxJAc&rtU~8f8-y}SGXRK55|k?f%?7tB74LmK2bgLJmvoM%E+hAS4IQz>_4AB&vahW_e;?q g diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index 3ae229c..531fa4b 100644 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,11 +1,95 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1679994334673 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1679994334673 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 28 05:05:34 2023 " "Processing started: Tue Mar 28 05:05:34 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1679994334673 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994334673 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994334673 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1679994335048 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1679994335048 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(103) " "Verilog HDL warning at GR8RAM.v(103): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 103 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1679994351125 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(280) " "Verilog HDL warning at GR8RAM.v(280): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 280 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1679994351125 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1679994351125 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994351125 ""} -{ "Error" "EVRFX_VERI_UNDECLARED_OBJECT" "SetFW GR8RAM.v(1) " "Verilog HDL error at GR8RAM.v(1): object \"SetFW\" is not declared. Verify the object name is correct. If the name is correct, declare the object." { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 0 0 } } } 0 10161 "Verilog HDL error at %2!s!: object \"%1!s!\" is not declared. Verify the object name is correct. If the name is correct, declare the object." 0 0 "Analysis & Synthesis" 0 -1 1679994351125 ""} -{ "Error" "EQEXE_ERROR_COUNT" "Analysis & Synthesis 1 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was unsuccessful. 1 error, 1 warning" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "13076 " "Peak virtual memory: 13076 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1679994351157 ""} { "Error" "EQEXE_END_BANNER_TIME" "Tue Mar 28 05:05:51 2023 " "Processing ended: Tue Mar 28 05:05:51 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1679994351157 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:17 " "Elapsed time: 00:00:17" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1679994351157 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:39 " "Total CPU time (on all processors): 00:00:39" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1679994351157 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994351157 ""} -{ "Error" "EFLOW_ERROR_COUNT" "Full Compilation 3 s 1 " "Quartus Prime Full Compilation was unsuccessful. 3 errors, 1 warning" { } { } 0 293001 "Quartus Prime %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1679994351875 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681444781029 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681444781029 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 13 23:59:40 2023 " "Processing started: Thu Apr 13 23:59:40 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681444781029 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444781029 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444781029 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1681444781402 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1681444781402 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(85) " "Verilog HDL warning at GR8RAM.v(85): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 85 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681444798192 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(261) " "Verilog HDL warning at GR8RAM.v(261): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 261 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681444798192 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1681444798192 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444798192 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1681444798239 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(31) " "Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 31 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444798254 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(36) " "Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 36 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444798254 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(109) " "Verilog HDL assignment warning at GR8RAM.v(109): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 109 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444798254 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444798270 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(124) " "Verilog HDL assignment warning at GR8RAM.v(124): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 124 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444798270 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 -1 1681444798739 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 541 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 544 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 543 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 542 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 545 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 540 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 539 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1681444798911 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1681444799194 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "305 " "Implemented 305 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1681444799208 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1681444799208 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1681444799208 ""} { "Info" "ICUT_CUT_TM_LCELLS" "225 " "Implemented 225 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1681444799208 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1681444799208 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444799270 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13091 " "Peak virtual memory: 13091 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444799301 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 13 23:59:59 2023 " "Processing ended: Thu Apr 13 23:59:59 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444799301 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Elapsed time: 00:00:19" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444799301 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:43 " "Total CPU time (on all processors): 00:00:43" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444799301 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444799301 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1681444800872 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681444800888 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 00:00:00 2023 " "Processing started: Fri Apr 14 00:00:00 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681444800888 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1681444800888 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1681444800888 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1681444800982 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1681444800982 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1681444800982 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1681444801107 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1681444801107 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1681444801107 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681444801153 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681444801169 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1681444801263 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1681444801278 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444801528 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444801528 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444801528 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444801528 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444801528 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1681444801528 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1681444801716 ""} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1681444801747 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444801747 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444801747 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444801747 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444801747 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1681444801747 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681444801747 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681444801747 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681444801747 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681444801779 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 69 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681444801779 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681444801779 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681444801779 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1681444801779 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1681444801810 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1681444801857 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1681444801857 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1681444801857 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1681444801857 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444801919 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1681444801919 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1681444802060 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444802294 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1681444802310 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1681444803044 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444803044 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1681444803092 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "32 " "Router estimated average interconnect usage is 32% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "32 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1681444803327 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1681444803327 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444803607 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.32 " "Total time spent on timing analysis during the Fitter is 0.32 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1681444803622 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444803638 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1681444803685 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1681444803732 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13735 " "Peak virtual memory: 13735 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444803779 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 00:00:03 2023 " "Processing ended: Fri Apr 14 00:00:03 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444803779 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444803779 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444803779 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1681444803779 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1681444805211 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681444805211 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 00:00:05 2023 " "Processing started: Fri Apr 14 00:00:05 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681444805211 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1681444805211 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1681444805211 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1681444805477 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1681444805508 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1681444805524 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13055 " "Peak virtual memory: 13055 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444805748 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 00:00:05 2023 " "Processing ended: Fri Apr 14 00:00:05 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444805748 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444805748 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444805748 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1681444805748 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1681444806469 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1681444807407 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681444807407 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 00:00:07 2023 " "Processing started: Fri Apr 14 00:00:07 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681444807407 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1681444807407 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1681444807407 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1681444807517 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1681444807689 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1681444807689 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444807735 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444807735 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1681444807802 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1681444808204 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1681444808267 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1681444808282 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1681444808314 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 14.271 " "Worst-case setup slack is 14.271" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808314 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808314 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 14.271 0.000 C25M " " 14.271 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808314 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444808314 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.385 " "Worst-case hold slack is 1.385" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.385 0.000 C25M " " 1.385 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444808329 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 32.601 " "Worst-case recovery slack is 32.601" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 32.601 0.000 C25M " " 32.601 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444808329 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.845 " "Worst-case removal slack is 6.845" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.845 0.000 C25M " " 6.845 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444808329 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444808329 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1681444808376 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681444808407 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681444808407 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13053 " "Peak virtual memory: 13053 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444808471 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 00:00:08 2023 " "Processing ended: Fri Apr 14 00:00:08 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444808471 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444808471 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444808471 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681444808471 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 19 s " "Quartus Prime Full Compilation was successful. 0 errors, 19 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681444809260 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 7381f89ddb6d3c36c92316f6ee37f91f7bf0f823..7eebc4975cded25407e092f00947d48a9e9b1425 100755 GIT binary patch literal 2980 zcmV;V3tRN84*>uG0001Zob6p}PutiQ{XW0K%J)mWou?&0qJ^2^7Fvc>GnJ}Q6iRw; z1O#pps;M-Z-+t|wCI*bxSv$@-J`&$rKX$hF*=xVfve~`5TMRERg6ZgHyw~{UynlXh zx^pwS8(v&Ag7nD}T6iOTn{vDi57USUPa$#gLv&c};j@%=8* zW;Xsho{gtp#*N^=lkqG$bGO%ME^o-+5=<@=?Ha3_{xg{`#)RN!R6)bbMz-8vJFI@ei$6z-Y*!D9TP33MXA{ZGJob4 zrUw`LXfR_0_JP1Z&JRC#sV9zs#Ph>La;YT*B98~3Lrx+B%o!~aK_+u?&UpmkW^llo z62T^s$St=Z)FcvxR1$&aWbnDqNkq6wB$7*G0vz(OO_0MaPK1!N^vWJ56JaL{lcb^u zKIyZQdLr;7N$gijAi_@e;M}4|MoEYB&By>hteOZwgEt3c#EcMvlBh16L5^JX-? zq68XYhr?snr1v33nho4YV;am3NHtAn2c$>@oPu%(z&TY&jdN7k|ueFlZaq*#s6967)2Bk-i2&IRATQQ8p>k$tnWjnouD z==|sxa)k^M4>x=2BLJPheaAVb5qcuhl4e~Gw}pfdl-32LNCcn(TU*8mKj{u67dc{t zpA_X>#EcPo5|ndp86)T<5;=s&2s&r%?o14!bI4A}Vg#NOcFQnE$T=STBVk@*a$>Yt z%qAE2i}8GTo!s-|c>c@-n_>9w?BnqG{m0?a$?@rM@bTc|u(ALC=iTP&!j;fwp;Wvr zYqYy0oO?-V)MAwuin;$T85PT8j}JZk#9}s@&NC)Pj%D%p3oMHeLH>BKGhd7r&3P7~^Ly%7S^>%hMzBKYmqb)?1a)Dy9 zf?p${QA(9|6`^vJTpklC^Fo1S0F`(r}7Bo$Y{7c0(mk#nMWW`Cf&v1feOhoG)YJx zS!VgR39l;T%&;UJfs7di3r8SlhNs~Oq|9h|I09)iJby$WZzg?PLb3J;Q9O-AAbp1B zkqD&E@I(@U3>unAB9K8tQ%M9;XtLM0_?3r5n)IDig;=w?k9|GNsEHyIv>B_0EGHqW zhGnY=7A>Ze~AStVy0&xSki) zz=G@9jYk&jZ>Ds$7L0xL!S&3(1{PdT&ponWR3{7eeYBv|$$}dyq`8Js??nl>+V0wQu{BnCePd}8qx_f1|+;1co-QP@eipu)u zvvcboe|GMN#hk0@Pj__u4R4zr*IGNmY@>3>%a_z=lk+2NeM zS10lX`r70{_TxW(WG4*Q%TQRHe;Zxj=a($pZ7vVJbcx_gqUV>G7xuRFd8sETGOHAr z74$sYc?_wUS)c2}m^FH4R$;yMsRFLObzAx{W^EOimGstX9-}&M-IhL#S=-OeD%E={ zy2N&DRq4Z+wNqf$>b=$AF|5W`l|E%=b=p&v5=Sh}v;623Vy67vj-_ICU2C`d)D9@C zvsBLyOSRmiujR*#)V)iEevE$9^T`Tb$`9~G?!gynbBbL{iTl(i%$&$!k)Tzx!Xm+w zfbKk^=UzUkwWV6lEtUIJQLQai%Dl5SL+4`;F9g8N;eRmWte4O09zBi0-~h z==xD5i2KS@UD8?3ea;OP`T@SSdzWha0Y0^w6iHJA?j(f6raiRvuX^~0ysduci+nP{TL*v z-vz3$LiA&hdmfoiD!n(@vI$`0kTb>__PBxDO~DKQ@L+|LBG#OZhQJ zRDblfI!md?tslNN%r{<$tZaduXcc?$7Y}u#WR|(W7JztCIN(UM4 zcjeU%Xt_Oij}!H`DlE6>?ngfA34!hX4(H``q~3C|oTl6tiR$XhvQxPa>FS}cWuJ22 z_V@j0Jk~J9SH&wVsQjos)hk+|Q~3cu zb&jsiQtHpnTJHSZ7wKvhEKd{Mhji+X-n>rTDos=FBZ|69w2Twy0}@fQ+$|T0?(@02 a_jzuqr$^@5rRhzJ;pN5tPyYv%$lok3+}+*) literal 3422 zcmV-k4WaU^4*>uG0001Zob8=kZyPxhh2Q5_H1xifin;?%0L6A@w6Sxsf=z&6A;__^ zE5w$)k{nEc!Tk2^iz9dJb|0P+(`*8MwA3zaz^VRKR@qE1_2AW$7g%%C4cs%*kq71fy!MBr7LK*A;gQN5F)hFpwHoHj2gI7n4y`EJW zxt8ezn}_dC{$T74Y|1#KiZ}!&U(A+Y8GF(K2FGts-<%x1rffo=IXwK-qpB?yPBY*v zBCkmtn>301W_fxv`9eCkAjg@!7{w<4j=yc??Z#ivHk;Mr>}E4xPcP#C{PB7Io7?a_ zef9SJbo~1L^yqkeGM&61y`MIozka#j+&;K9+AOpRYk}PL!|5R_4D!~b0S#voIcywB zNsGu|(-6S$p}o&}T=q zN#sDWNzx+npS0$Nb4r6eC<}pY&M0!C#M8N9w2gcy8KSu4p^bbf9->H#7K0vHZRA16 zY=CPc|A|8;*V=8EDaNf$nzTt4$IH)Da@R)wlZ0U|M{Yy!`EW#q;I_^16Ic9%njIkD zNdpI0^A2*J+kzfbMlJkDxcJtw8iF`C@7N7N`rtKdAci@ayd4ryKFI%B$w z7RejuI9=p8$LyL<7kN!G&m>JEuX%a!>gr}gt@^;cZN$z1dT{P@Fq%*X;k+l#fhj-i z!L^Dw{BUj4LvE8yQMg{-Lw=J5bIzvSG9TIEHklh~5_wNzlB_!No@^?`HGAJ?-op($ zeT#VyH#GK<15HL`0Pb6*ZKO@)K}nO7t%E!$mXhn2eT$KYv}l#G2{$k8!;~!!>ts0U z+pHRJCI`@a=IhPXYJPaNoKtp@3njsr>q-NgxephR23AhQ1&;yF-u{*vuWJu%rdebs zvm5eAoo$9Z(xlB&ADP|_Y9PpLyKXLET)ymk~S?8M{c+u zA|E<=Ga0|3b_#~bhmP4*$)U}Ji!=#mTqAaK7`acPBX7 zkqeF4)jxsgLx!2S&BQ08mP5n@vKH}p%2G!bi}a%WUHkrc)gMi3Sm5`cN0>!qUD&b%%yL%XkMzncL_P$kNcbtU#8A24=+$rXi78fi#T&UJZlGd&meoK- z5~>2h8x5i=5We9VRe|^o52*?SaA-;W=A@oK6Z~@-ZL6Iy7!8kk+AjTYZwSoW?c9Bof=&V4T zhG%C5(lp7*Mn&x{b}|1@n6;Zmk>^_Nr~tCVLav4h1L+DD7B-e-1sSdE$O^LZ zkgVWgVFRfO1uSeJSi!@>267cVENn1T!GgF3G8GyS*Fdb|_Jj@Z`w*_+fm{R83LeNc z5UohiS-$#qH<*-jzJ(ComY16)AZJF>6<~8eKeqkpuh)flRQ~w>_K76tjTX!E`Jc}k zt=yOC*J=Ik=DpXet3SUtp2uaq&RJ>xFth#TX79yv`8mmwr;+eon6dt?R;CTHaD}2Mzp-S zoPNH#SSJ_XZ~uEMT<$mGhi)#Hxq`~w&u8D={rH3b-_O;*%;rBzj6N{2-^|r3osJcB zmBNmKx=CrfY`aKVzrNoj_ZkHD4Z9L_%7Rl_5vo2GTvxgcfr46SJl`6}L>Z6plln#XoBS{!| z?@~tX|J5qh^B<;47dh&qRPM$Je`8CtNT2eb zq~~tltF=;H|1&>zx2|{>+53)P?moX-E0udKfxjd@4EHnMm6B4dtv*VrXY%fp>V=`y zz^} zo_2blBIIuFsI^q;ct+Qen(HM7`PM&i&e9qzUTNoeopaFqBe{(e9Kgy*;l!N~sURx>Kt3 zdae2>r9O%0E>5XWWK_K7?LAG%-Ns*Qr9^!Y*5Tk6MxJ2&4}RS+Lb{rrbElMgf3V`g z&;M$I8lSINDeoaY_j=h{DN%S}XmY0uL> z=I%tW_vx$&Iss=+$W4a)%QN28+Gs|wm8o~e9C}|EN~sU{IZUX+nE0tLRdX1o!oV`s zZzpl+ePK*z{0kMx)|~&Pgg|Zp>iM4_tB)90+@<{I27dX_#)z#OV>qhiteK}pu zF5^$elgzu)XV>ZF(aE3N9(e0^?d;>6-rFy3fB2r{QTF3Meq^uJx@(5QSBHL^UEJiG zthL`vKYHvD!H<}SkJ!I#e`4JdCWJhq8+M-ijWnI<~6H!^qk#kkx%}wWvm=u~k)` zGFkoNj_Q3(XNjc=5pZ~w12PWe|#eQi_4%VhrJlo|}L8jbO;l=>ZARV(E^q?=HrQr|`3PAWYb`m{;0 zbMyNre$-jTQ}gdmJ9`h5G7JMuRllFdVVrcA``-U#ojscT(`I^p_WZa11FPe!^2gHQ AiU0rr diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index f69645f..a7cc2c6 100644 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Tue Mar 28 05:06:37 2023 +Fri Apr 14 00:01:40 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Tue Mar 28 05:06:37 2023 ; +; Assembler Status ; Successful - Fri Apr 14 00:01:40 2023 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -67,8 +67,8 @@ https://fpgasoftware.intel.com/eula. +----------------+-------------------------------------------------------+ ; Option ; Setting ; +----------------+-------------------------------------------------------+ -; JTAG usercode ; 0x001615F8 ; -; Checksum ; 0x001618F8 ; +; JTAG usercode ; 0x00166C15 ; +; Checksum ; 0x00167085 ; +----------------+-------------------------------------------------------+ @@ -78,14 +78,14 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Tue Mar 28 05:06:36 2023 + Info: Processing started: Fri Apr 14 00:01:39 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13057 megabytes - Info: Processing ended: Tue Mar 28 05:06:37 2023 + Info: Peak virtual memory: 13056 megabytes + Info: Processing ended: Fri Apr 14 00:01:40 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 582637b..33d0be5 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Tue Mar 28 05:06:40 2023 +Fri Apr 14 00:01:44 2023 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index 544622d..efa2170 100644 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Tue Mar 28 05:06:35 2023 +Fri Apr 14 00:01:38 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -57,14 +57,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Tue Mar 28 05:06:35 2023 ; +; Fitter Status ; Successful - Fri Apr 14 00:01:38 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 236 / 240 ( 98 % ) ; +; Total logic elements ; 220 / 240 ( 92 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -129,13 +129,13 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.04 ; +; Average used ; 1.03 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 1.6% ; -; Processors 3-4 ; 1.2% ; +; Processor 2 ; 1.2% ; +; Processors 3-4 ; 1.0% ; +----------------------------+-------------+ @@ -150,28 +150,28 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------------------------------------------+-----------------------+ ; Resource ; Usage ; +---------------------------------------------+-----------------------+ -; Total logic elements ; 236 / 240 ( 98 % ) ; -; -- Combinational with no register ; 119 ; +; Total logic elements ; 220 / 240 ( 92 % ) ; +; -- Combinational with no register ; 120 ; ; -- Register only ; 1 ; -; -- Combinational with a register ; 116 ; +; -- Combinational with a register ; 99 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 137 ; -; -- 3 input functions ; 35 ; -; -- 2 input functions ; 63 ; -; -- 1 input functions ; 0 ; +; -- 4 input functions ; 120 ; +; -- 3 input functions ; 32 ; +; -- 2 input functions ; 66 ; +; -- 1 input functions ; 1 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 203 ; +; -- normal mode ; 187 ; ; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 15 ; +; -- qfbk mode ; 3 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 64 ; -; -- asynchronous clear/load mode ; 29 ; +; -- synchronous clear/load mode ; 49 ; +; -- asynchronous clear/load mode ; 30 ; ; ; ; -; Total registers ; 117 / 240 ( 49 % ) ; -; Total LABs ; 24 / 24 ( 100 % ) ; +; Total registers ; 100 / 240 ( 42 % ) ; +; Total LABs ; 23 / 24 ( 96 % ) ; ; Logic elements in carry chains ; 37 ; ; Virtual pins ; 0 ; ; I/O pins ; 80 / 80 ( 100 % ) ; @@ -182,15 +182,15 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; -- Total Fixed Point DSP Blocks ; 0 ; ; -- Total Floating Point DSP Blocks ; 0 ; ; ; ; -; Global signals ; 3 ; -; -- Global clocks ; 3 / 4 ( 75 % ) ; +; Global signals ; 2 ; +; -- Global clocks ; 2 / 4 ( 50 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 38.7% / 42.5% / 34.6% ; -; Peak interconnect usage (total/H/V) ; 38.7% / 42.5% / 34.6% ; -; Maximum fan-out ; 103 ; -; Highest non-global fan-out ; 53 ; -; Total fan-out ; 1101 ; -; Average fan-out ; 3.48 ; +; Average interconnect usage (total/H/V) ; 37.0% / 38.2% / 35.8% ; +; Peak interconnect usage (total/H/V) ; 37.0% / 38.2% / 35.8% ; +; Maximum fan-out ; 99 ; +; Highest non-global fan-out ; 43 ; +; Total fan-out ; 1019 ; +; Average fan-out ; 3.40 ; +---------------------------------------------+-----------------------+ @@ -199,34 +199,34 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; Slow Slew Rate ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ -; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 103 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 99 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 2 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; no ; -; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 15 ; 0 ; yes ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; no ; +; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 0 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 0 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; +; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; +; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 8 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; ; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 12 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; ; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ @@ -238,7 +238,7 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; yes ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; yes ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; @@ -252,7 +252,7 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; @@ -261,14 +261,14 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -279,22 +279,22 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; MOSIOE ; - ; -; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~2 ; - ; +; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; ; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~2 ; - ; +; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; ; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~2 ; - ; +; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; ; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; ; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -438,7 +438,7 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; |GR8RAM ; 236 (236) ; 117 ; 0 ; 80 ; 0 ; 119 (119) ; 1 (1) ; 116 (116) ; 37 (37) ; 20 (20) ; |GR8RAM ; GR8RAM ; work ; +; |GR8RAM ; 220 (220) ; 100 ; 0 ; 80 ; 0 ; 120 (120) ; 1 (1) ; 99 (99) ; 37 (37) ; 4 (4) ; |GR8RAM ; GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -449,8 +449,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Pin Type ; Pad to Core 0 ; +----------+----------+---------------+ ; nRESout ; Output ; -- ; -; SetFW[0] ; Input ; (0) ; -; SetFW[1] ; Input ; (0) ; ; INTout ; Output ; -- ; ; DMAout ; Output ; -- ; ; nNMIout ; Output ; -- ; @@ -506,10 +504,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; DMAin ; Input ; (1) ; ; PHI0 ; Input ; (0) ; ; nWE ; Input ; (1) ; -; RA[0] ; Input ; (1) ; +; SetFW[1] ; Input ; (1) ; +; nDEVSEL ; Input ; (1) ; +; nIOSEL ; Input ; (1) ; +; nIOSTRB ; Input ; (1) ; +; C25M ; Input ; (0) ; ; RA[1] ; Input ; (1) ; ; RA[2] ; Input ; (1) ; ; RA[3] ; Input ; (1) ; +; RA[0] ; Input ; (1) ; ; RA[4] ; Input ; (1) ; ; RA[5] ; Input ; (1) ; ; RA[6] ; Input ; (1) ; @@ -517,39 +520,38 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[8] ; Input ; (1) ; ; RA[9] ; Input ; (1) ; ; RA[10] ; Input ; (1) ; -; nIOSTRB ; Input ; (1) ; -; nIOSEL ; Input ; (1) ; -; nDEVSEL ; Input ; (1) ; -; C25M ; Input ; (0) ; ; RA[11] ; Input ; (1) ; +; nRES ; Input ; (1) ; ; RA[14] ; Input ; (1) ; ; RA[15] ; Input ; (1) ; ; RA[12] ; Input ; (1) ; ; RA[13] ; Input ; (1) ; -; nRES ; Input ; (1) ; ; MISO ; Input ; (1) ; +; SetFW[0] ; Input ; (1) ; +----------+----------+---------------+ -+------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+-----------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+-----------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; C25M ; PIN_64 ; 103 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Equal1~0 ; LC_X7_Y3_N5 ; 19 ; Clock enable ; no ; -- ; -- ; -; Equal22~1 ; LC_X6_Y4_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -; MOSIOE ; LC_X4_Y1_N9 ; 1 ; Output enable ; no ; -- ; -- ; -; PHI0 ; PIN_41 ; 15 ; Clock ; yes ; Global Clock ; GCLK2 ; -; PS[0] ; LC_X6_Y4_N5 ; 52 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X7_Y3_N1 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X7_Y1_N5 ; 8 ; Output enable ; no ; -- ; -- ; -; always8~2 ; LC_X6_Y2_N5 ; 8 ; Sync. load ; no ; -- ; -- ; -; always8~3 ; LC_X6_Y2_N2 ; 9 ; Sync. load ; no ; -- ; -- ; -; always8~4 ; LC_X5_Y2_N4 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~2 ; LC_X5_Y2_N1 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X4_Y2_N9 ; 31 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK1 ; -+-----------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ++-------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +; C25M ; PIN_64 ; 99 ; Clock ; yes ; Global Clock ; GCLK3 ; +; Equal0~0 ; LC_X3_Y2_N7 ; 20 ; Clock enable ; no ; -- ; -- ; +; Equal17~0 ; LC_X6_Y2_N9 ; 8 ; Clock enable ; no ; -- ; -- ; +; FCKOE ; LC_X2_Y2_N6 ; 2 ; Output enable ; no ; -- ; -- ; +; IOROMRES~3 ; LC_X3_Y4_N5 ; 1 ; Async. clear ; no ; -- ; -- ; +; MOSIOE ; LC_X2_Y2_N3 ; 1 ; Output enable ; no ; -- ; -- ; +; PHI0 ; PIN_41 ; 3 ; Clock ; no ; -- ; -- ; +; PS[0] ; LC_X5_Y2_N9 ; 42 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X5_Y2_N7 ; 28 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X7_Y1_N5 ; 8 ; Output enable ; no ; -- ; -- ; +; always8~1 ; LC_X6_Y4_N9 ; 8 ; Sync. load ; no ; -- ; -- ; +; always8~2 ; LC_X6_Y4_N5 ; 9 ; Sync. load ; no ; -- ; -- ; +; always8~3 ; LC_X6_Y3_N9 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~2 ; LC_X5_Y3_N4 ; 9 ; Output enable ; no ; -- ; -- ; +; nRESr ; LC_X6_Y1_N3 ; 30 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK2 ; ++------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +-------------------------------------------------------------------------+ @@ -557,9 +559,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+-------------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+-------------+---------+----------------------+------------------+ -; C25M ; PIN_64 ; 103 ; Global Clock ; GCLK3 ; -; PHI0 ; PIN_41 ; 15 ; Global Clock ; GCLK2 ; -; nRESr ; LC_X4_Y2_N9 ; 31 ; Global Clock ; GCLK1 ; +; C25M ; PIN_64 ; 99 ; Global Clock ; GCLK3 ; +; nRESr ; LC_X6_Y1_N3 ; 30 ; Global Clock ; GCLK2 ; +-------+-------------+---------+----------------------+------------------+ @@ -568,20 +569,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 224 / 784 ( 29 % ) ; -; Direct links ; 55 / 888 ( 6 % ) ; -; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 14 / 32 ( 44 % ) ; -; LUT chains ; 32 / 216 ( 15 % ) ; -; Local interconnects ; 418 / 888 ( 47 % ) ; -; R4s ; 228 / 704 ( 32 % ) ; +; C4s ; 223 / 784 ( 28 % ) ; +; Direct links ; 51 / 888 ( 6 % ) ; +; Global clocks ; 2 / 4 ( 50 % ) ; +; LAB clocks ; 8 / 32 ( 25 % ) ; +; LUT chains ; 27 / 216 ( 13 % ) ; +; Local interconnects ; 392 / 888 ( 44 % ) ; +; R4s ; 197 / 704 ( 28 % ) ; +-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.83) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.57) ; Number of LABs (Total = 23) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; @@ -589,67 +590,63 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 2 ; -; 10 ; 21 ; +; 7 ; 1 ; +; 8 ; 3 ; +; 9 ; 1 ; +; 10 ; 18 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.88) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.74) ; Number of LABs (Total = 23) ; +------------------------------------+------------------------------+ ; 1 Async. clear ; 5 ; -; 1 Clock ; 20 ; -; 1 Clock enable ; 5 ; -; 1 Sync. clear ; 7 ; +; 1 Clock ; 21 ; +; 1 Clock enable ; 4 ; +; 1 Sync. clear ; 5 ; ; 1 Sync. load ; 4 ; -; 2 Clocks ; 4 ; +; 2 Clocks ; 1 ; +------------------------------------+------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.63) ; Number of LABs (Total = 24) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 2 ; -; 10 ; 14 ; -; 11 ; 2 ; -; 12 ; 2 ; -; 13 ; 1 ; -; 14 ; 1 ; -; 15 ; 0 ; -; 16 ; 1 ; -+----------------------------------------------+------------------------------+ ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 9.78) ; Number of LABs (Total = 23) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 2 ; +; 9 ; 2 ; +; 10 ; 15 ; +; 11 ; 2 ; +; 12 ; 1 ; ++---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.21) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.00) ; Number of LABs (Total = 23) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 0 ; +; 2 ; 1 ; ; 3 ; 2 ; -; 4 ; 1 ; -; 5 ; 3 ; -; 6 ; 2 ; +; 4 ; 2 ; +; 5 ; 2 ; +; 6 ; 1 ; ; 7 ; 3 ; -; 8 ; 5 ; -; 9 ; 5 ; +; 8 ; 3 ; +; 9 ; 6 ; ; 10 ; 3 ; +-------------------------------------------------+------------------------------+ @@ -657,7 +654,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 15.50) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 14.87) ; Number of LABs (Total = 23) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -665,24 +662,27 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 3 ; 0 ; ; 4 ; 1 ; ; 5 ; 0 ; -; 6 ; 0 ; +; 6 ; 1 ; ; 7 ; 1 ; ; 8 ; 0 ; ; 9 ; 0 ; -; 10 ; 1 ; -; 11 ; 1 ; -; 12 ; 0 ; -; 13 ; 4 ; -; 14 ; 4 ; -; 15 ; 0 ; -; 16 ; 2 ; +; 10 ; 2 ; +; 11 ; 0 ; +; 12 ; 2 ; +; 13 ; 3 ; +; 14 ; 1 ; +; 15 ; 2 ; +; 16 ; 1 ; ; 17 ; 2 ; -; 18 ; 0 ; -; 19 ; 2 ; +; 18 ; 1 ; +; 19 ; 1 ; ; 20 ; 2 ; -; 21 ; 1 ; -; 22 ; 2 ; +; 21 ; 0 ; +; 22 ; 1 ; ; 23 ; 1 ; +; 24 ; 0 ; +; 25 ; 0 ; +; 26 ; 1 ; +----------------------------------------------+------------------------------+ @@ -725,13 +725,8 @@ Info (332111): Found 2 clocks Info (332111): 978.000 PHI0 Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 64 File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 -Info (186216): Automatically promoted some destinations of signal "PHI0" to use Global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 - Info (186217): Destination "comb~0" may be non-global or may not use global clock - Info (186217): Destination "PHI0r1" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 10 -Info (186228): Pin "PHI0" drives global clock, but is not placed in a dedicated clock pin position File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 -Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 16 - Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 88 - Info (186217): Destination "RestoreDone~0" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 150 +Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 14 + Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 69 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments @@ -745,16 +740,16 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 31% of the available device resources - Info (170196): Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 30% of the available device resources + Info (170196): Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.31 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.27 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 13733 megabytes - Info: Processing ended: Tue Mar 28 05:06:35 2023 + Info: Peak virtual memory: 13732 megabytes + Info: Processing ended: Fri Apr 14 00:01:38 2023 Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index ac928d0..3462760 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Tue Mar 28 05:06:35 2023 +Fitter Status : Successful - Fri Apr 14 00:01:38 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 236 / 240 ( 98 % ) +Total logic elements : 220 / 240 ( 92 % ) Total pins : 80 / 80 ( 100 % ) Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index 13b17f9..8fbca45 100644 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Tue Mar 28 05:06:39 2023 +Fri Apr 14 00:01:43 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -41,14 +41,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Tue Mar 28 05:06:37 2023 ; +; Flow Status ; Successful - Fri Apr 14 00:01:40 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 236 / 240 ( 98 % ) ; +; Total logic elements ; 220 / 240 ( 92 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/28/2023 05:06:13 ; +; Start date & time ; 04/14/2023 00:01:17 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -76,7 +76,7 @@ https://fpgasoftware.intel.com/eula. ; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; ; AUTO_PACKED_REGISTERS_MAX ; Minimize Area ; Auto ; -- ; -- ; ; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 121381084694.167999437303928 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121381084694.168144487708968 ; -- ; -- ; -- ; ; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 1 ; @@ -103,11 +103,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:18 ; 1.0 ; 13094 MB ; 00:00:39 ; -; Fitter ; 00:00:03 ; 1.0 ; 13733 MB ; 00:00:04 ; -; Assembler ; 00:00:01 ; 1.0 ; 13053 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:01 ; 1.0 ; 13052 MB ; 00:00:01 ; -; Total ; 00:00:23 ; -- ; -- ; 00:00:45 ; +; Analysis & Synthesis ; 00:00:18 ; 1.0 ; 13092 MB ; 00:00:39 ; +; Fitter ; 00:00:03 ; 1.0 ; 13732 MB ; 00:00:04 ; +; Assembler ; 00:00:01 ; 1.0 ; 13052 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:02 ; 1.0 ; 13051 MB ; 00:00:01 ; +; Total ; 00:00:24 ; -- ; -- ; 00:00:45 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index b358e9c..017df28 100644 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Tue Mar 28 05:06:31 2023 +Fri Apr 14 00:01:34 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Mar 28 05:06:31 2023 ; +; Analysis & Synthesis Status ; Successful - Fri Apr 14 00:01:34 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 256 ; +; Total logic elements ; 225 ; ; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -159,33 +159,33 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 256 ; -; -- Combinational with no register ; 139 ; -; -- Register only ; 21 ; -; -- Combinational with a register ; 96 ; +; Total logic elements ; 225 ; +; -- Combinational with no register ; 125 ; +; -- Register only ; 6 ; +; -- Combinational with a register ; 94 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 137 ; -; -- 3 input functions ; 35 ; -; -- 2 input functions ; 63 ; -; -- 1 input functions ; 0 ; +; -- 4 input functions ; 120 ; +; -- 3 input functions ; 32 ; +; -- 2 input functions ; 66 ; +; -- 1 input functions ; 1 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 223 ; +; -- normal mode ; 192 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 44 ; -; -- asynchronous clear/load mode ; 29 ; +; -- synchronous clear/load mode ; 45 ; +; -- asynchronous clear/load mode ; 30 ; ; ; ; -; Total registers ; 117 ; +; Total registers ; 100 ; ; Total logic cells in carry chains ; 37 ; ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 103 ; -; Total fan-out ; 1104 ; -; Average fan-out ; 3.29 ; +; Maximum fan-out ; 99 ; +; Total fan-out ; 1009 ; +; Average fan-out ; 3.31 ; +---------------------------------------------+-------+ @@ -194,7 +194,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; |GR8RAM ; 256 (256) ; 117 ; 0 ; 80 ; 0 ; 139 (139) ; 21 (21) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ; +; |GR8RAM ; 225 (225) ; 100 ; 0 ; 80 ; 0 ; 125 (125) ; 6 (6) ; 94 (94) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -214,15 +214,14 @@ Encoding Type: Minimal Bits +--------+----------------+----------------+----------------+ -+--------------------------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+---------------------------------------+----------------------------------------+ -; Register name ; Reason for Removal ; -+---------------------------------------+----------------------------------------+ -; SBA[0]~reg0 ; Stuck at GND due to stuck port data_in ; -; IS~10 ; Lost fanout ; -; Total Number of Removed Registers = 2 ; ; -+---------------------------------------+----------------------------------------+ ++------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++---------------------------------------+--------------------+ +; Register name ; Reason for Removal ; ++---------------------------------------+--------------------+ +; IS~10 ; Lost fanout ; +; Total Number of Removed Registers = 1 ; ; ++---------------------------------------+--------------------+ +------------------------------------------------------+ @@ -230,12 +229,12 @@ Encoding Type: Minimal Bits +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 117 ; -; Number of registers using Synchronous Clear ; 11 ; +; Total registers ; 100 ; +; Number of registers using Synchronous Clear ; 12 ; ; Number of registers using Synchronous Load ; 33 ; -; Number of registers using Asynchronous Clear ; 29 ; +; Number of registers using Asynchronous Clear ; 30 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 22 ; +; Number of registers using Clock Enable ; 24 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -251,9 +250,8 @@ Encoding Type: Minimal Bits ; nSWE~reg0 ; 1 ; ; DQML~reg0 ; 1 ; ; DQMH~reg0 ; 1 ; -; RCKE~reg0 ; 1 ; -; nFCS~reg0 ; 1 ; -; Total number of inverted registers = 8 ; ; +; RCKE~reg0 ; 4 ; +; Total number of inverted registers = 7 ; ; +----------------------------------------+---------+ @@ -262,13 +260,14 @@ Encoding Type: Minimal Bits +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; -; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |GR8RAM|SA[9]~reg0 ; -; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[8]~reg0 ; -; 20:1 ; 2 bits ; 26 LEs ; 12 LEs ; 14 LEs ; Yes ; |GR8RAM|SA[1]~reg0 ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[0] ; -; 5:1 ; 7 bits ; 21 LEs ; 14 LEs ; 7 LEs ; Yes ; |GR8RAM|RDD[0] ; -; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQMH~reg0 ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[1] ; +; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; +; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[6]~reg0 ; +; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[2]~reg0 ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[5] ; +; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |GR8RAM|RDD[2] ; +; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQML~reg0 ; +; 18:1 ; 4 bits ; 48 LEs ; 8 LEs ; 40 LEs ; Yes ; |GR8RAM|RDD[6] ; ; 7:1 ; 5 bits ; 20 LEs ; 20 LEs ; 0 LEs ; No ; |GR8RAM|IS ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -279,41 +278,37 @@ Encoding Type: Minimal Bits Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Tue Mar 28 05:06:13 2023 + Info: Processing started: Fri Apr 14 00:01:16 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 1 Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (4) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 34 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(39): truncated value with size 32 to match size of target (14) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 39 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(128): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 128 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(136): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 136 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(143): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 143 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 31 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 36 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(109): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 109 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 117 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(124): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 124 Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nNMIout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 559 - Warning (13410): Pin "nIRQout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 562 - Warning (13410): Pin "nRDYout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 561 - Warning (13410): Pin "nINHout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 560 - Warning (13410): Pin "RWout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 563 - Warning (13410): Pin "nDMAout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 558 - Warning (13410): Pin "RAdir" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 557 - Warning (13410): Pin "SBA[0]" is stuck at GND File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 442 + Warning (13410): Pin "nNMIout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 541 + Warning (13410): Pin "nIRQout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 544 + Warning (13410): Pin "nRDYout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 543 + Warning (13410): Pin "nINHout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 542 + Warning (13410): Pin "RWout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 545 + Warning (13410): Pin "nDMAout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 540 + Warning (13410): Pin "RAdir" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 539 Info (17049): 1 registers lost all their fanouts during netlist optimizations. -Warning (21074): Design contains 2 input pin(s) that do not drive logic - Warning (15610): No output dependent on input pin "SetFW[0]" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 23 - Warning (15610): No output dependent on input pin "SetFW[1]" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 23 -Info (21057): Implemented 336 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 305 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 256 logic cells + Info (21061): Implemented 225 logic cells Info (144001): Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 18 warnings - Info: Peak virtual memory: 13094 megabytes - Info: Processing ended: Tue Mar 28 05:06:31 2023 +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 14 warnings + Info: Peak virtual memory: 13092 megabytes + Info: Processing ended: Fri Apr 14 00:01:34 2023 Info: Elapsed time: 00:00:18 Info: Total CPU time (on all processors): 00:00:39 diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index c551f98..edaebbb 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(104): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 104 -Warning (10273): Verilog HDL warning at GR8RAM.v(281): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 281 +Warning (10273): Verilog HDL warning at GR8RAM.v(85): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 85 +Warning (10273): Verilog HDL warning at GR8RAM.v(261): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 261 diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 9859dd1..b5b0a7b 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Tue Mar 28 05:06:31 2023 +Analysis & Synthesis Status : Successful - Fri Apr 14 00:01:34 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 256 +Total logic elements : 225 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 46b446e2d88000951e541f79b95d59f6e172781a..e37b5f298f3e3affa0ec22d1458eb90898a7e941 100755 GIT binary patch literal 7861 zcmeHMZE#donr=h_*5GFRV1%)Nth4UFkuPxunb3|O z!7V|o5m|Q%#thTxa;sK%%SobAkO@7v53B}fl_cY=ki~HC84)`RvF|0s?n~dBbKZT< zxt+lL+MoMlh3Y={p7(v9^M0RmI``lAgIFv!B{toB--GW5S{~W3vE`|{`!}p_T!ZiC zbsHXOY+SRUZsnQ{Ppxlms#~<|hDA3lth=>k{ZAjOyXiX%>lQ9suz2x;#S0fLtNZa? zbw66aaZTMFkFDPb-q@E{r4=sapB)ATDb7GrLn28%P{p|)5i51f4b(e*fj9y ze^FjzoNdzdEvlk$ZG)IZLX3ZGrmF z)2pTN?~Ex@N-i0rvY|sB7{C*X`DBw9;Sw=}!zS@bfypKxbZPXOlr|~D&t&R-zw4rIaz!Pw08g zPm)jKYdyFO0YVW8^W)C1>OFPS^6j3d!`7Gsfob{%+?n%um+Kz}SMCPZzuc`lspVet0f(O`XxN zp>*WP;dN^ZeMf#h(6njZQypV%AHBSH`A8-^r>ei}?mpwXMfZkYw~$|!dF{X+C)aa# zM^EG*gRk`$^Zhc%2q{I(?=w&O9r|ni^nBUm52f_bnROE8~hPIjeyRgIJSZ=ttQYW{A!6IEzM;~QRh24-iat* zz@zQ%2m%iMR7QH$b)i?tw0yPxbU*f*5RVY+3AyiNNLkVFsA>t~k5>I+vr94qZU-CbbkAL*;ii>cU_ z^0)6C?$Y&Bii1Au;aKm~kA7D3aAoa|%KTeyh{fKnPs}&EC-du3iGYvU5s#OKenb+h*2pXhHqmdHWZ49N9^0 zfAs8<%-ObA`u8p$wr!h5`ZwwN>o2;0(d)V^mSq0rouBvbX}fL5_U&eUNy79G+sY=* zK0zm>wkygPZ9nMvFJW+b(4}JNhYlgXxt;|b!N(%1%R%w4Y27H8eSd{w%8Ycfl@Vsjx)HL=N&{8TD6^K+yVSx_opU z|+JE0LAfayCAC?4TZ{7~@5*-_MYRIh_~UPED6x z9_kzY#Fn%l&PM%pn|Tq*7cI%L-XKU!H5>E3G<4|X7##3*4~5cIB26Ke#91D&wqOPy zutHH;X?;2=8+Ld_;YcuqRe2^`UH;oYlmbMF)39c*t}i10oA^@3^SCReWryKY?LzVf z=v>u9HyxHj%vUex(BH>;g7kYt9~Xru9{;TV;0aoL16B6yLw(ONss$SI1|sEmEAVgsffdk8!;D&?3}3nmXBXkSTJwfj^zUbhv%douqvZ$<@HO?&fU^x>}!xmP8??G zLhtP_WpBC{_4QfiqnDG(;Y^#Ii2UdF?><>@i%m^O3vNDVzxJCw&UM$_`t2nzMt=5X z*q`IRv~B+;V##`P4DN;c)8|yzw`qiw5M*Pc!FkWbGx9HY&GMbD@70Q`o=s24^Gn01gJ9DKeEa6TcAnR&fGp}sV3gXUv=2=$LRFv#&}Y^H=+ zm+QJjlAUKm;HQT;{;vyN`X`@B^M1sdZFupS63O>MJXXjiG;yV zGfp->m?w)#2@aOQTmSyCX#Ym7%f`5&n>zCQpat?2VCWF7s$Br5T3=XS5$6`LlnXh+ zIwK+S2k#mm9ZAs_%*KhcA79vC!*UAp$-yW-fp(YnUyuVo+Ls{rIQbTpO@1{}fb$-$ zuyR7U10z%lOAK|WWS&zV_?eQ&%Yu)|9;}yc=1a-@wd#VNDm3yqPAa|sSXy5+EyPXt z&(I=o73u`~!uk^QhPPZA2McGb(Q{#M)&6Xk;yF|U77aLu3Fxm1ev*;?H1M}&I+5=9 zhx3&F`v%rQ>q>~XQ>1f--bXifxj$YYQz;sADNr)7;_c@GKgIc~D1TAsUE!OO-cOWy z*V{+sP}sDCDj5}>PgCW=+Ct@$*>_BRZ~DBaw=dj!{?@P8Or_7Jsgkj;fd*>bmTB1k z-V5hFJ!5I_)3+@=eCX`hta-D|`BMhBEH2!)_PY-r0_)qm0cAs<$#iq`Td+N76_P>62j+5Ja_l`Y#jQ>)@73s9%-Xr6mqyMpb z%pxAR$Kf<#{GU|H^La;iC=|Rd!x6`A;Zh>F5nX#5>)48wPk7iR5CL{EYZQsU>rfbX-JiL*&?3EoApzgk6ThwzDU3ql-BcO z0M;X?B=k-d6GEsJIDblFP9F21Mf5E4Nl+0%FY_dmDqd0!_Qxj#&V`y!CY7L}eOX(q zXc2A^`S&`%NPY3e#0{k*Yr zg{9xk{YXgVq5iyxp2E(i*gh)mgMa)3?fZxg?uG{~8R0(|a4LHt9E*4K9N>1R)4Gny zy)2FiOSO=PCp0iHzzx(As89*<6Nr-<*YzbsC+s4Naz_4hmWKaRx}FkB z_c3YVJd|&hURSmLd|jWk8FxbTM}*$sJ{2-8uGUO1jUGJI;q6$R7{NTd!sIng-)PNw1Up#OJszrS|s zSn>OR?1q{+e;%58a_jS3T9zI8T1{&9l~?@NluK@%zVj_PYuy1GP?`#)IRMLSB%LzodkZ zQEpC3av=L8)(gW;dYPKg4{?Lr#P~xbtUxrY6h-I9T|{YzLc8LT_ybB?iV*c8 zhbQi@pFZP>0JfHtAf@*=U^>4Tq8_36CwRts=pX1p6zcj?qtwhEKhP6e-9-`YKS|?< z%|0apLZgGd@QcLy%J>Ji1qq^2#_1e+*?n@LE3Fxx!X^uB#IN%l35KTAtiH&)MN019 zO?%E)sEKL&Bx?>;ci8#)8;tL#GnJ3%KR$eX&YEHS#GsLv+$V>95pG75KHw}~{|RTR zps4;^Ix~nKIIuf@vUPBCW97paYBIIooA%#ZdS4zKl=*AA=KlVLmSw;D zSxxFUmmWWV?exFC^6Jr9Q(jcYz5{=C$f1QbZC9VFz4g&oXWn!E=ed`MhKi}=o?~d) zlxev8^1}SLKHc~6sq7`!roU#LPpvCoIeT=@6)&3pf_U0EZ~CY|Z*4LjZyPd#j>)D>ox>#8CS zOWHn3sT}qf^v5&ki+m6I8@a2Pv}h>uPZSTFj}QK*j zcs^?|c@awapA+&_4v87lp4BrG^tKkPe_Urk&Uro?C3j}b(P~&?=^d?2C zeEZ8ue8e%N3ih}Uh{S0_r-2Ld6F8Inkk?+S&d0OEzZ@V|+Pnhu1H*m#?*pJ|dSusy x_y28vg8tPQ`S1VQ53^%4FP;30P@VpZ5Q6&Ezpp&-l?VQxdSHh6Tk#_Ie*iCUkJkVI literal 7861 zcmeHMeUMbumG2P&Sph*~1S>O65!dV=Sp?B#QHM@e!EzNpqp6KGPNFbKbm$E-)57@r zYVCrU3R>2dz(@^Kx~oYFhe^WX2%YZhR#w>Pd|2x;lVN!Ml#lK(L%#)m~&3b63D*_{@3<9x> z^bnsb3MduwE7<=9oj9~WuNSY%Qy}@5=~a9x;yE;IHSJRZuy7ZNrax|X}gJfw&{@*`5+Y$Tjj`Pio9Os1wv*5l_o8(@+w9>vQsJ@R7pKSCN8m4+sHQ- z?NL<>;+B>t7Eh6&3{hY~R?B1m3B^;=_OJ;(SB~?Z@BpRxHJ%7ZOfq^72Pn2%*?jZ@ zy$n*SzgXr9V2zZwANNK}GMzK~w=KEd_a@F+8}Qyu#EY0LT!vbt-RMpl(yteGAKqp{K}BWeP&roCk_L*P^5D zTI5uJb${5W>v}qGye1X&1hy22H=N9?`72HVhfyQ4B*A2tu2T~S;szh_@qAr(f|`^U zLw*T{cmN@cuPsqFh___6;kSjB*ZAo${tglq>_yM#iV2csVu_fpPa_9Td=nN#L`VK@ivenZDT0+$I6 zd2;iNN#RuS$>4XFCH#>OAirAs8G4gAQeaoL{s0R-M|C~SCz%8vOP7G(7El^|s9(=C&LS6k~yO>^-`EA1R`)IQ)&@h(B3D%8Yuhm_IJd4e@|M zFX(WD9^o!uditEhF&I-a)gJCI3ctli5E4;R$|IS-zgKlRLLRlW-P95!${9!TVlS~> zrJbWiI;#?``-8@B9s9oCrzC)pH*OJiHRF1)6&FKeu}X@#!B6eq#PvcW3-Zt?OR zJtwVFWW9fA+L;-tr+Re#9A?}9A-n98sn(Ld`OD}0;pF5EHRoqenpV8JV?!u^;O_4Z z-F5Tk*S}U_iIq=1w5hW2XEVG0a>72sjJ#~WXN7;)uReeMzdmTH`(fsx&dQrD2Per= zLkBhrd^@o1S5~jbcc;_bhV$$Ai0v+p9`EcdvC#f4TQ<8l%-g!$}# zzIX3E-TQZj{z)d2s=yI99@q8PN)@jSaqf3yNGH$pv2Q&~z=^UVm?DQ#J>mJ6_e5DS zQWhZ|9_K|0dNbgKzjWThf1Zo6qUBYEdRvLOUQgi@(nWy!<`Q|MJcc}$G=!T__UQXi z=L-rAG~f|j-z6#UrJ)b}Re6k_Hy}~}%FW4?au@=OEGnD$O3xv;iDXzGfhPqgmm@zZ zqwT_o1P4dr{eQtYskI*fe(R5>Yf9^d6z@Pjh) zdATj)lT(mGGvnxc&mrX;A-Ps&jQ>f%?_Ajo=jWJ%UC7-kuJNn;XR7Qs z34;H)4<=iOfjU)#z0~Np`M#pt`y2JhZD(VNf9BL!;iuIv|L9w78$XOoXNHHpJCT&=%YEYW0NE^{s)lE1$)MfVS9{a%ut-3Grqx@b}Qj_>T)8kE1a zJonr;CR3d^^P6W2D}M5(sUHh;H8ry1mgDEd!Q5ZW+O)Cum4Qix!qu~14E@s@xc*&t zKC|heu`8ChbrT(C52)en$*}&cOXvAhBU^5{@-sS^n=z}CqOS~eJX@%p{h5(( zl$FZC)y;{{-1~#g+1ult=W84HEWYZv{n|*AsXsX|uD9(` zwq=OWBnQJGD0E5;D_MbswW80pM~}8m|q8ic15B|!aIsM5Sn0c04u#m_dwo;={SGTPlzU!R&HL2An`OWN!JPe z!%IR14d{!EpMU-c9FF^1$>F@&pJaQb;!eR@b;fbuD37Ly-H`4oR$2@18~OgET&;$G z47&$cCs@=vSwVso#|t)RkB0GG`$n6$?`NA}+^)QQr%`l__!|=>?>}VZtwiC2^ke_{ z+2Lo87MiWg>d=3l`TC*(+B&Dk^p7c4_>XMUJD*?u@E=9i`m>1cKfk^pQh7ZRG5vYo ze`b=O+jMHhnUj=#DSE}^iMcdC{(lSjh#v+^!*SF7ga$h7^C%sbo4(Y z&&$yg_&KwP>w4AwX|>NwIr_ORWdW4QY@Ui1^ve`QjeH7s2~HN4f-cC9=gEm?n&2he z^ah{yN%P#N@r1B!bhZiDXoDKkRmRk(mKVacN$|%x^zlJMw_-`)rezM{zTP^kyoCNCF^QEpcK)E3EM%4mQ{=rAObd)*n+rKqa$(QqV)`AmFlk zzLY49`<-0LiDC5miBl#Pld@tVGYE1=>4^7SB7d7;nZI*J7gk8LT@#n7QSDDC;xxtG z{?f;dxxjfV`;1qjaXyAK(&ERRV_Kf^QX8XvDdlp+|6lvXHEZASdN#`Q4LVKe!CR+) z?XAbAyx(wj-_-dpOpi>Ph}7H=x$5YQ$j$F%KyQuCy!{xP@{1V@2S(i&qu;4{4)1ej zP5NzPWPO{KAGvk<^+WXMFVBefUGdJHwUJE|HT5&6pDE4V($2NKj84BX%PxIk#<`DQ z&3-p}NzKG{byKE)R;k_cWJSwMudi?O>eVN7UfW9?qSwpcQI*>jrL=t{4l{ho?cNX<^j&tON&PVmm z2uY^$B0!!P`68-Ju~^~r0Pzcw)QYp*73e?v!uXUB&oU=pw(B|iI^xJPD8NU@S908^ zLtUZM>=@G|K1O6ZfvC{Q+@pU!Ff83Sr?u9SnkK;NyR zURmSE9b;d~hkpIM1l}^`9Qa3OFewoeMnC3AY0P!~Nq;}t{yNb~_P9q!G(JAsZcQ(f zC?h|;4CWHsH~#aP9HXegS4e6=Kc6l&Q7H(dmT$%LpF+!_^<$sKUn3r42t@y2pUIDt zLJ-kq zavJB;sC0DxnCCr=8(6^0w%udlVqKea0JF#7m(YhCKrBm^s7%d1_lK!16GQgE+uu7s?TOk);Q~6z zw*M|`ee#l(`rwM@jdQM__S}PazV-03V)66K(IyaC2k!niMGH3XPn2K1_6J8VZ<**# z4%E*&Q@e8Ku-4zr?wOHgzxq6-4!(NrQ*(CAC@-A1ZBpdy-2dD~I)5bT=f8N+-?w$J zx%v6{{?BZCY;io-*-`C33D19PZ+Pp}>FKXOF|c$|=fCXe7}&e$j_%}6V}A!vCd+~+ zC6DSlQboLOX-nW7vnB2gjbBRR+`)+8@I=r;y~DnP`(G!Jp`#vpP%mLUA-;NjR5oaS zd~i!B<-8lxIrSFNnJMBSo}njWNT+a)T99QguACyJ#07lBnd$qJbUbi>5oJ*yl18ig zLp}1BiZ)U_hxe#5f%=m1>N+ILkk2!TI0g*w2dE9=)qDdE3vqC#!(Kdj1vsxYKEH^s z^APV>kuS(gBChR`_ziwDmT~rB9p@S7=$}wsWE*}>$NMTx$6WKneqJ^l;r$0Wvu>OE z1V0uOC+t65hkfAJ)?U!3*QLgRJsMx%&t^0@EjaJWv{QT`AL2=_^;Y?=!#xI{hjP5v z;Pdc(N*;@fT8sASi}=PKoCo|PBIgv|F(Xd~p|>jk#Rz=oPu8PdxiBYYY)$6|qLHSqzzVg6V9{7Li Mfy>O_ifeZMH_Jaa#{d8T diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index a0539b9..9286281 100644 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for GR8RAM -Tue Mar 28 05:06:39 2023 +Fri Apr 14 00:01:43 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -84,7 +84,7 @@ https://fpgasoftware.intel.com/eula. ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.2% ; +; Processor 2 ; 0.0% ; +----------------------------+-------------+ @@ -93,7 +93,7 @@ https://fpgasoftware.intel.com/eula. +---------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +---------------+--------+--------------------------+ -; GR8RAM.sdc ; OK ; Tue Mar 28 05:06:39 2023 ; +; GR8RAM.sdc ; OK ; Fri Apr 14 00:01:43 2023 ; +---------------+--------+--------------------------+ @@ -112,7 +112,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +-----------+-----------------+------------+------+ -; 66.42 MHz ; 66.42 MHz ; C25M ; ; +; 86.99 MHz ; 86.99 MHz ; C25M ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -122,7 +122,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; 12.472 ; 0.000 ; +; C25M ; 14.252 ; 0.000 ; +-------+--------+---------------+ @@ -131,7 +131,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 1.383 ; 0.000 ; +; C25M ; 1.394 ; 0.000 ; +-------+-------+---------------+ @@ -140,7 +140,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; 33.331 ; 0.000 ; +; C25M ; 33.179 ; 0.000 ; +-------+--------+---------------+ @@ -149,7 +149,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 6.115 ; 0.000 ; +; C25M ; 6.267 ; 0.000 ; +-------+-------+---------------+ @@ -168,106 +168,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 12.472 ; REGEN ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.195 ; -; 12.473 ; REGEN ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.194 ; -; 12.485 ; REGEN ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.182 ; -; 12.571 ; REGEN ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.096 ; -; 12.858 ; REGEN ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.809 ; -; 12.946 ; REGEN ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.721 ; -; 12.947 ; REGEN ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.720 ; -; 13.462 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.205 ; -; 13.543 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.124 ; -; 13.543 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.124 ; -; 13.543 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.124 ; -; 13.649 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.018 ; -; 13.668 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.999 ; -; 13.730 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.937 ; -; 13.730 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.937 ; -; 13.730 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.937 ; -; 13.825 ; REGEN ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.842 ; -; 13.979 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.688 ; -; 14.060 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.607 ; -; 14.060 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.607 ; -; 14.060 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.607 ; -; 14.184 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.483 ; -; 14.184 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.483 ; -; 14.184 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.483 ; -; 14.210 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.457 ; -; 14.328 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.339 ; -; 14.366 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.301 ; -; 14.371 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.296 ; -; 14.371 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.296 ; -; 14.371 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.296 ; -; 14.390 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.277 ; -; 14.409 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.258 ; -; 14.409 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.258 ; -; 14.409 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.258 ; -; 14.445 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.222 ; -; 14.496 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.171 ; -; 14.622 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.045 ; -; 14.701 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.966 ; -; 14.701 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.966 ; -; 14.701 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.966 ; -; 14.707 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.960 ; -; 14.709 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.958 ; -; 14.809 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.858 ; -; 15.050 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.617 ; -; 15.050 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.617 ; -; 15.050 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.617 ; -; 15.061 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.606 ; -; 15.128 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.539 ; -; 15.139 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.528 ; -; 15.199 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.468 ; -; 15.284 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.383 ; -; 15.322 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.345 ; -; 15.488 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.179 ; -; 15.722 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.945 ; -; 16.006 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.661 ; -; 16.012 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.655 ; -; 16.089 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.578 ; -; 16.110 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.557 ; -; 16.112 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.555 ; -; 16.133 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.534 ; -; 16.142 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.525 ; -; 16.144 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.523 ; -; 16.144 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.523 ; -; 16.300 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.367 ; -; 27.034 ; IS.state_bit_0 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.633 ; -; 27.416 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.251 ; -; 27.568 ; Addr[23] ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.099 ; -; 27.654 ; REGEN ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.013 ; -; 27.717 ; Addr[23] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.950 ; -; 27.803 ; REGEN ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.864 ; -; 27.841 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.826 ; -; 28.219 ; Addr[23] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.448 ; -; 28.223 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.444 ; -; 28.238 ; LS[1] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.429 ; -; 28.251 ; Addr[23] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.416 ; -; 28.266 ; Addr[23] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.401 ; -; 28.305 ; REGEN ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.362 ; -; 28.337 ; REGEN ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.330 ; -; 28.352 ; REGEN ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.315 ; -; 28.419 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.248 ; -; 28.423 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.244 ; -; 28.431 ; LS[7] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.236 ; -; 28.447 ; LS[10] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.220 ; -; 28.462 ; LS[3] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.205 ; -; 28.464 ; LS[1] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.203 ; -; 28.566 ; Addr[23] ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.101 ; -; 28.594 ; LS[9] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.073 ; -; 28.605 ; Addr[23] ; nRCS~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.062 ; -; 28.611 ; Addr[23] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.056 ; -; 28.652 ; REGEN ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.015 ; -; 28.657 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.010 ; -; 28.673 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.994 ; -; 28.688 ; LS[3] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.979 ; -; 28.691 ; REGEN ; nRCS~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.976 ; -; 28.696 ; Addr[23] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.971 ; -; 28.697 ; REGEN ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.970 ; -; 28.720 ; Addr[23] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.947 ; -; 28.782 ; REGEN ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.885 ; -; 28.784 ; LS[11] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.883 ; -; 28.806 ; REGEN ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.861 ; +; 14.252 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.415 ; +; 14.252 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.415 ; +; 14.252 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.415 ; +; 14.272 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.395 ; +; 14.272 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.395 ; +; 14.272 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.395 ; +; 14.313 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.354 ; +; 14.640 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.027 ; +; 14.640 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.027 ; +; 14.640 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.027 ; +; 14.716 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.951 ; +; 14.716 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.951 ; +; 14.716 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.951 ; +; 14.716 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.951 ; +; 14.716 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.951 ; +; 14.718 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.949 ; +; 14.736 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.931 ; +; 14.736 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.931 ; +; 14.736 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.931 ; +; 14.736 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.931 ; +; 14.736 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.931 ; +; 14.746 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.921 ; +; 14.746 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.921 ; +; 14.746 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.921 ; +; 14.758 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.909 ; +; 14.841 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.826 ; +; 14.881 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.786 ; +; 14.993 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.674 ; +; 15.104 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.563 ; +; 15.104 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.563 ; +; 15.104 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.563 ; +; 15.104 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.563 ; +; 15.104 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.563 ; +; 15.210 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.457 ; +; 15.210 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.457 ; +; 15.210 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.457 ; +; 15.210 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.457 ; +; 15.210 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.457 ; +; 15.303 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.364 ; +; 15.404 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.263 ; +; 15.629 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.038 ; +; 15.669 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.998 ; +; 15.686 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.981 ; +; 15.695 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.972 ; +; 15.762 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.905 ; +; 15.840 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.827 ; +; 15.967 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.700 ; +; 16.041 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.626 ; +; 16.139 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.528 ; +; 16.203 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.464 ; +; 16.253 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.414 ; +; 16.354 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.313 ; +; 16.389 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.278 ; +; 16.467 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.200 ; +; 16.527 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.140 ; +; 16.563 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.104 ; +; 28.556 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.111 ; +; 28.715 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.952 ; +; 28.895 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.772 ; +; 28.900 ; LS[10] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.767 ; +; 29.059 ; LS[9] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.608 ; +; 29.239 ; LS[11] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.428 ; +; 29.252 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.415 ; +; 29.535 ; PS[3] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.132 ; +; 29.596 ; LS[8] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.071 ; +; 29.602 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.065 ; +; 29.687 ; PS[1] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.980 ; +; 29.698 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.969 ; +; 29.729 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.938 ; +; 29.734 ; LS[3] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.933 ; +; 29.770 ; PS[1] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.897 ; +; 29.811 ; PS[3] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.856 ; +; 29.837 ; PS[1] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; +; 29.837 ; PS[1] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; +; 29.837 ; PS[1] ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; +; 29.837 ; PS[1] ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; +; 29.837 ; PS[1] ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; +; 29.837 ; PS[1] ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; +; 29.837 ; PS[1] ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; +; 29.837 ; PS[1] ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; +; 29.841 ; PS[0] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.826 ; +; 29.878 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.789 ; +; 29.892 ; PS[0] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.775 ; +; 29.926 ; LS[6] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.741 ; +; 29.942 ; LS[10] ; IS.state_bit_2 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.725 ; +; 30.003 ; IS.state_bit_0 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.664 ; +; 30.027 ; PS[3] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.640 ; +; 30.030 ; PS[3] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.637 ; +; 30.058 ; LS[12] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.609 ; +; 30.073 ; LS[7] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.594 ; +; 30.078 ; LS[3] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.589 ; +; 30.094 ; IS.state_bit_1 ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.573 ; +; 30.097 ; IS.state_bit_1 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.570 ; +; 30.099 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.568 ; +; 30.100 ; LS[1] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.567 ; +; 30.101 ; LS[9] ; IS.state_bit_2 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.566 ; +; 30.102 ; PS[0] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.565 ; +; 30.168 ; PS[0] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.499 ; +; 30.226 ; PS[3] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.441 ; +; 30.249 ; PS[1] ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.418 ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -276,106 +276,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.383 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.604 ; -; 1.384 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.605 ; -; 1.396 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.617 ; -; 1.412 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; -; 1.422 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.643 ; -; 1.652 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.873 ; -; 1.654 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.875 ; -; 1.667 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.888 ; -; 1.667 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 1.888 ; -; 1.779 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.000 ; -; 1.780 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.001 ; -; 1.785 ; PS[1] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.006 ; -; 1.787 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.008 ; -; 1.825 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.046 ; -; 1.880 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.101 ; -; 1.920 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.141 ; -; 1.937 ; RestoreDone ; RestoreDone ; C25M ; C25M ; 0.000 ; 0.000 ; 2.158 ; -; 1.942 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.163 ; -; 1.961 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.182 ; -; 1.978 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.199 ; -; 1.996 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.217 ; -; 2.049 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.270 ; -; 2.108 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.329 ; +; 1.394 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.615 ; +; 1.403 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.624 ; +; 1.410 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.631 ; +; 1.411 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.632 ; +; 1.420 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; +; 1.640 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.861 ; +; 1.695 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 1.916 ; +; 1.815 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.036 ; +; 1.835 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.056 ; +; 1.927 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.148 ; +; 1.937 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.158 ; +; 1.941 ; PHI0r1 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.162 ; +; 1.972 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.193 ; +; 2.076 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.297 ; +; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; +; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.120 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.341 ; +; 2.122 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.343 ; ; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; +; 2.125 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; ; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.128 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.349 ; -; 2.129 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.350 ; -; 2.133 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; -; 2.133 ; IS.state_bit_2 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; -; 2.134 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; -; 2.136 ; IS.state_bit_2 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.357 ; -; 2.143 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ; -; 2.144 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; +; 2.126 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.136 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.357 ; ; 2.144 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; ; 2.144 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.148 ; RestoreDone ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.369 ; -; 2.199 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.420 ; -; 2.203 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.424 ; -; 2.210 ; PS[2] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.431 ; -; 2.212 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.212 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.212 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.213 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.434 ; -; 2.214 ; IS.state_bit_0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.435 ; -; 2.221 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; -; 2.221 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; -; 2.221 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; -; 2.222 ; PS[2] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; -; 2.222 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; -; 2.222 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; -; 2.230 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.144 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; +; 2.147 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.368 ; +; 2.153 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.374 ; +; 2.170 ; REGEN ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 2.391 ; +; 2.222 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; ; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.231 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.234 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.455 ; -; 2.237 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.458 ; -; 2.239 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.460 ; -; 2.240 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; -; 2.241 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.241 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.251 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.253 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; -; 2.262 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.276 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.497 ; -; 2.283 ; PS[3] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.504 ; -; 2.290 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.511 ; -; 2.291 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.512 ; -; 2.313 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.534 ; -; 2.364 ; PS[1] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.585 ; -; 2.370 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.591 ; -; 2.448 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.669 ; -; 2.508 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.729 ; -; 2.542 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.763 ; -; 2.547 ; LS[4] ; SA[3]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.768 ; -; 2.554 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.775 ; -; 2.557 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.778 ; -; 2.582 ; PS[3] ; nRCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.803 ; -; 2.595 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.816 ; -; 2.638 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.859 ; -; 2.652 ; IS.state_bit_0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.873 ; -; 2.655 ; IS.state_bit_0 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.876 ; -; 2.656 ; PHI0r2 ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.877 ; -; 2.676 ; PS[2] ; nRCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.897 ; -; 2.719 ; LS[7] ; SA[6]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.940 ; -; 2.720 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.941 ; -; 2.838 ; IS.state_bit_1 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.059 ; -; 2.848 ; IS.state_bit_1 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.069 ; -; 2.928 ; Addr[14] ; SA[4]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.149 ; +; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.234 ; RCKE~reg0 ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.455 ; +; 2.241 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.241 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.246 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.467 ; +; 2.248 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; +; 2.249 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.250 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; +; 2.250 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; +; 2.250 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; +; 2.250 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; +; 2.251 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.251 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.253 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; +; 2.254 ; PHI0r2 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.475 ; +; 2.254 ; Addr[19] ; SA[9]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.475 ; +; 2.261 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.261 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.261 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.262 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; +; 2.428 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.649 ; +; 2.500 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.721 ; +; 2.548 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.769 ; +; 2.558 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.779 ; +; 2.569 ; IS.state_bit_0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.790 ; +; 2.574 ; IS.state_bit_0 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 2.795 ; +; 2.584 ; IS.state_bit_0 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.805 ; +; 2.622 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.843 ; +; 2.702 ; IS.state_bit_1 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.923 ; +; 2.717 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.938 ; +; 2.718 ; IS.state_bit_1 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 2.939 ; +; 2.719 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.940 ; +; 2.721 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.942 ; +; 2.782 ; IS.state_bit_0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.003 ; +; 2.849 ; IS.state_bit_2 ; IS.state_bit_2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.070 ; +; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; +; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.954 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.175 ; +; 2.955 ; IS.state_bit_2 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.176 ; +; 2.956 ; PS[1] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; ; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.958 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.965 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.186 ; +; 2.957 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; +; 2.957 ; IS.state_bit_2 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; +; 2.958 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.958 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.959 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; +; 2.976 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; +; 2.976 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; +; 2.976 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; +; 2.985 ; PS[1] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.206 ; +; 3.037 ; Addr[0] ; DQMH~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.258 ; +; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; +; 3.060 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[18] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[9] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[17] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -384,35 +384,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 33.331 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; -; 33.331 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.336 ; +; 33.179 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.179 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -421,57 +421,57 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 6.115 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; -; 6.115 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.336 ; +; 6.267 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.267 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -+-------------------------------------------------------------------------+ -; Setup Transfers ; -+------------+----------+------------+------------+------------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+------------+------------+------------+----------+ -; C25M ; C25M ; 1405 ; 0 ; 95 ; 0 ; -; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; -+------------+----------+------------+------------+------------+----------+ ++-----------------------------------------------------------------------+ +; Setup Transfers ; ++------------+----------+------------+------------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+------------+------------+----------+----------+ +; C25M ; C25M ; 1285 ; 0 ; 56 ; 0 ; +; PHI0 ; C25M ; false path ; false path ; 0 ; 0 ; ++------------+----------+------------+------------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. -+-------------------------------------------------------------------------+ -; Hold Transfers ; -+------------+----------+------------+------------+------------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+------------+------------+------------+----------+ -; C25M ; C25M ; 1405 ; 0 ; 95 ; 0 ; -; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; -+------------+----------+------------+------------+------------+----------+ ++-----------------------------------------------------------------------+ +; Hold Transfers ; ++------------+----------+------------+------------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+------------+------------+----------+----------+ +; C25M ; C25M ; 1285 ; 0 ; 56 ; 0 ; +; PHI0 ; C25M ; false path ; false path ; 0 ; 0 ; ++------------+----------+------------+------------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -514,10 +514,10 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 42 ; 42 ; -; Unconstrained Input Port Paths ; 251 ; 251 ; -; Unconstrained Output Ports ; 44 ; 44 ; -; Unconstrained Output Port Paths ; 214 ; 214 ; +; Unconstrained Input Ports ; 44 ; 44 ; +; Unconstrained Input Port Paths ; 390 ; 390 ; +; Unconstrained Output Ports ; 45 ; 45 ; +; Unconstrained Output Port Paths ; 136 ; 136 ; +---------------------------------+-------+------+ @@ -573,6 +573,8 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; SD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nDEVSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nIOSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nIOSTRB ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -615,6 +617,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -675,6 +678,8 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; SD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nDEVSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nIOSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nIOSTRB ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -717,6 +722,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -741,7 +747,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Tue Mar 28 05:06:38 2023 + Info: Processing started: Fri Apr 14 00:01:41 2023 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -753,22 +759,22 @@ Info (334004): Delay annotation completed successfully Info (332104): Reading SDC File: 'GR8RAM.sdc' Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. -Info (332146): Worst-case setup slack is 12.472 +Info (332146): Worst-case setup slack is 14.252 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 12.472 0.000 C25M -Info (332146): Worst-case hold slack is 1.383 + Info (332119): 14.252 0.000 C25M +Info (332146): Worst-case hold slack is 1.394 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 1.383 0.000 C25M -Info (332146): Worst-case recovery slack is 33.331 + Info (332119): 1.394 0.000 C25M +Info (332146): Worst-case recovery slack is 33.179 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 33.331 0.000 C25M -Info (332146): Worst-case removal slack is 6.115 + Info (332119): 33.179 0.000 C25M +Info (332146): Worst-case removal slack is 6.267 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 6.115 0.000 C25M + Info (332119): 6.267 0.000 C25M Info (332146): Worst-case minimum pulse width slack is 19.734 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== @@ -778,9 +784,9 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13052 megabytes - Info: Processing ended: Tue Mar 28 05:06:39 2023 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 13051 megabytes + Info: Processing ended: Fri Apr 14 00:01:43 2023 + Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 4d1c4a1..1e79f4d 100644 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,19 +3,19 @@ Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : 12.472 +Slack : 14.252 TNS : 0.000 Type : Hold 'C25M' -Slack : 1.383 +Slack : 1.394 TNS : 0.000 Type : Recovery 'C25M' -Slack : 33.331 +Slack : 33.179 TNS : 0.000 Type : Removal 'C25M' -Slack : 6.115 +Slack : 6.267 TNS : 0.000 Type : Minimum Pulse Width 'C25M'