From 9f456e8d0f3d93d6b931f185f95ce98470b83778 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 16 Feb 2024 20:41:52 -0500 Subject: [PATCH] Delete old quartus stuff --- cpld/GR8RAM.qpf | 31 - cpld/db/.cmp.kpt | Bin 205 -> 0 bytes cpld/db/GR8RAM.(0).cnf.cdb | Bin 26072 -> 0 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 5124 -> 0 bytes cpld/db/GR8RAM.asm.qmsg | 7 - cpld/db/GR8RAM.asm.rdb | Bin 787 -> 0 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3158 -> 0 bytes cpld/db/GR8RAM.cmp.cdb | Bin 60744 -> 0 bytes cpld/db/GR8RAM.cmp.hdb | Bin 22808 -> 0 bytes cpld/db/GR8RAM.cmp.idb | Bin 2988 -> 0 bytes cpld/db/GR8RAM.cmp.logdb | 1 - cpld/db/GR8RAM.cmp.rdb | Bin 15775 -> 0 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 103149 -> 0 bytes cpld/db/GR8RAM.eda.qmsg | 6 - cpld/db/GR8RAM.fit.qmsg | 44 - cpld/db/GR8RAM.hier_info | 220 - cpld/db/GR8RAM.hif | Bin 427 -> 0 bytes cpld/db/GR8RAM.lpc.html | 18 - cpld/db/GR8RAM.lpc.rdb | Bin 408 -> 0 bytes cpld/db/GR8RAM.lpc.txt | 5 - cpld/db/GR8RAM.map.cdb | Bin 23492 -> 0 bytes cpld/db/GR8RAM.map.hdb | Bin 21398 -> 0 bytes cpld/db/GR8RAM.map.logdb | 1 - cpld/db/GR8RAM.map.qmsg | 19 - cpld/db/GR8RAM.map.rdb | Bin 1260 -> 0 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 19076 -> 0 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 396 -> 0 bytes cpld/db/GR8RAM.routing.rdb | Bin 1545 -> 0 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 19020 -> 0 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 30258 -> 0 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 207 -> 0 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 226 -> 0 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 226 -> 0 bytes cpld/db/GR8RAM.smart_action.txt | 1 - cpld/db/GR8RAM.smp_dump.txt | 9 - cpld/db/GR8RAM.sta.qmsg | 22 - cpld/db/GR8RAM.sta.rdb | Bin 9880 -> 0 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 69378 -> 0 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 241 -> 0 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 1048 -> 0 bytes cpld/incremental_db/README | 11 - .../compiled_partitions/GR8RAM.db_info | 3 - .../GR8RAM.root_partition.map.kpt | Bin 3623 -> 0 bytes cpld/simulation/questa/GR8RAM.sft | 1 - cpld/simulation/questa/GR8RAM.vo | 9751 ----------------- 45 files changed, 10150 deletions(-) delete mode 100644 cpld/GR8RAM.qpf delete mode 100644 cpld/db/.cmp.kpt delete mode 100644 cpld/db/GR8RAM.(0).cnf.cdb delete mode 100644 cpld/db/GR8RAM.(0).cnf.hdb delete mode 100644 cpld/db/GR8RAM.asm.qmsg delete mode 100644 cpld/db/GR8RAM.asm.rdb delete mode 100644 cpld/db/GR8RAM.asm_labs.ddb delete mode 100644 cpld/db/GR8RAM.cmp.cdb delete mode 100644 cpld/db/GR8RAM.cmp.hdb delete mode 100644 cpld/db/GR8RAM.cmp.idb delete mode 100644 cpld/db/GR8RAM.cmp.logdb delete mode 100644 cpld/db/GR8RAM.cmp.rdb delete mode 100644 cpld/db/GR8RAM.cmp0.ddb delete mode 100644 cpld/db/GR8RAM.eda.qmsg delete mode 100644 cpld/db/GR8RAM.fit.qmsg delete mode 100644 cpld/db/GR8RAM.hier_info delete mode 100644 cpld/db/GR8RAM.hif delete mode 100644 cpld/db/GR8RAM.lpc.html delete mode 100644 cpld/db/GR8RAM.lpc.rdb delete mode 100644 cpld/db/GR8RAM.lpc.txt delete mode 100644 cpld/db/GR8RAM.map.cdb delete mode 100644 cpld/db/GR8RAM.map.hdb delete mode 100644 cpld/db/GR8RAM.map.logdb delete mode 100644 cpld/db/GR8RAM.map.qmsg delete mode 100644 cpld/db/GR8RAM.map.rdb delete mode 100644 cpld/db/GR8RAM.pre_map.hdb delete mode 100644 cpld/db/GR8RAM.root_partition.map.reg_db.cdb delete mode 100644 cpld/db/GR8RAM.routing.rdb delete mode 100644 cpld/db/GR8RAM.rtlv.hdb delete mode 100644 cpld/db/GR8RAM.rtlv_sg.cdb delete mode 100644 cpld/db/GR8RAM.rtlv_sg_swap.cdb delete mode 100644 cpld/db/GR8RAM.sld_design_entry.sci delete mode 100644 cpld/db/GR8RAM.sld_design_entry_dsc.sci delete mode 100644 cpld/db/GR8RAM.smart_action.txt delete mode 100644 cpld/db/GR8RAM.smp_dump.txt delete mode 100644 cpld/db/GR8RAM.sta.qmsg delete mode 100644 cpld/db/GR8RAM.sta.rdb delete mode 100644 cpld/db/GR8RAM.sta_cmp.5_slow.tdb delete mode 100644 cpld/db/GR8RAM.tis_db_list.ddb delete mode 100644 cpld/db/GR8RAM.vpr.ammdb delete mode 100644 cpld/incremental_db/README delete mode 100644 cpld/incremental_db/compiled_partitions/GR8RAM.db_info delete mode 100644 cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt delete mode 100644 cpld/simulation/questa/GR8RAM.sft delete mode 100644 cpld/simulation/questa/GR8RAM.vo diff --git a/cpld/GR8RAM.qpf b/cpld/GR8RAM.qpf deleted file mode 100644 index c72b9e0..0000000 --- a/cpld/GR8RAM.qpf +++ /dev/null @@ -1,31 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2022 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition -# Date created = 11:15:44 February 28, 2023 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "22.1" -DATE = "11:15:44 February 28, 2023" - -# Revisions - -PROJECT_REVISION = "GR8RAM" diff --git a/cpld/db/.cmp.kpt b/cpld/db/.cmp.kpt deleted file mode 100644 index eaedafd34f7f1fed787f63c3e4d2a1b47c73ee7d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 205 zcmV;;05boq4*>uG0001ZoTZUX3c@fDh4($hkOQ<$Ku~B4D&j^#E4VGyOfhKFm`nx1 z!&?m~qJrS|{d{j=lD(lqZa|kcrfiz`^Wi+))`r2&IH7f_(JA90XK-vwORamVOE18} zsv2SKWe_6dj$|0as8t6E1KtB3N1_*rSO{2+VWtRSA{BLUmIVOG9?ykolqOd=B%rhD zuCZ95MZHA^JB7A$Ov*045WP0OL&%oe`K5m;Rby+EKVlw@^0w_+@5K+PEa-Umr)0qu H^Gc0w+JaqO diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb deleted file mode 100644 index 2b5b8696b3b477c8cca6ffd81ef893ec245b9b02..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 26072 zcmV*EKx@Ag000233jqKC0001P0B!&`00011WpZ@6CZdKX>000000HR|6000000Jj4G00000 z000000N7;!000000C)lHy$`TeNq*n=2Fc^qc$c-1ge_#@(Nj!TNG1b2%g&+=nt224 zzz)plF=AFsi7nm?NQ+i)yic=8qROvQluW587vaNE32dY5w!sL-LJmaYa5CG`9lip;J;1vJNLb}<;}OA z`w52th={-yHAJx1BLJigf~VDf>y9_RH0x;=W@gcrx`%8g%QTArU1zk?u zi&Lk2XS*HSw;DVUZ8YcLw@&})Q1H{6etanStvg=n3Xk%q-|PzQq))V8)ZSpvchq0% z>h=M82%n#R>8*9$dyJqzITZBsLqUIPDCqS=L4SHE=of~9{!BM$qLeJQHpJMXt8!Hz zqz$~Iw;6axcQf#g{$}7E9nQczdK^J~_RY;s#inL|F*v-tKEBB!1XGAM(K$eOv{``e zXtDs^(P9C*qrn1nM|%b6VIst)w}y%k?|f;_IY@Cb;C-fUH20hvH0`6e3?7Ye^VzMh z?cDsTqtuqT9}G9|GWa5ShtjcAHT(5biPv_%F+JrQ>u+R#a94r-om0Kh6wnCnF>+l+ z-oS_GEIWI|A=idDh7v+eND0KMhq&wH;bxXR#}2ZXn8-T9gWE)pI*?mOVuc5ZdRev@sYu$A^u z35Ou4aFD*F<8S;h?o7$1sV{DS=?h#?1QQ*FIH)i zAfN8L<&^#_QSa|Kp2Kv@&ER&0KK92Ia0%%NVA6!7WwiQs*aT$s42LJ1ckqdc2pfBH z4(oa7hoxO%UyXt*4<0@=GgSfla{t#Dw6ZE8X&3j=bV0#0I*eGAglf`DO^NjYl*wUy zUB5QQFkV;Mg@~IFC@<}p-dO$0qaE89z0HptuuAIzFb*Uw0pl3b5-?7QTLQ+}a7(~A z5pD?>=fEui`>7>?UP=-ej%d*;&8Gw6jrIU3}z5}W0+I}QP*wtmSSmYpA^RD`~3iC zPd1Kpm3vTCR4>^xY6Ys@s${z;2kj!`*9BBIg-Z2M)VtO6>&0p~k733-82f?m5#zoP zBjif2lCZNfIEFAT7xg!WX%ery=q;GWow|%A9=_i`h3$@D&y+t8(GQpMgAb%IWwiEw znsN89=m;AeTXz)pH@?HyWThSxt8|~UzqX9d{g3+Ux?g>tr<=ZAhj`fPwpKR1^u|kH z+`6NJx)U4e?CB_FC$>9DCLBja@29q!dR?98%y&F+T2BOH9lacmEryuvS*|iIJvw!D z^3~~VT<0x4Dj!@3edhj2KO$22RP@0oq0S_W^7+VVF~nr|KJTO2sO(p!>&Q>PuE%v~ zV5}FkVE#$or*?WVzb@0~lTc?`DU;9Y>c+9MzG-^-lZR}1eOyOxR`T+zuf6>FiaCiR z_wVTMZMQpM@e~|B)=dct_948@NQfVxn~8~U)zLq} z@qR*`@1VCdVW6xCrvfE9JzW`m)cc81?DXeU#&JSN8f4M{bkI#mz~Ykybffue@00Vq zVB7OLptnQEAG?X3GD`4rO7gANxs7~RYUE?UO6B`_uQyFhz{`w8g%-Llu!jC3YYR}aAkgGb>O4^NrOYC z$3ZWQqh0vK_qxD6>2@E>S3a(c^)lAWV#N7_9pl;9Kga&L82)K~#$4*Y#?Y#H54`-O z>OZ3M*IYaQL_hPT8-M=rA?K=t)ySM*lDM&5mlJnvno=QLy7y5B&Gx;_ZaP_!V4|F!vFmfN%Uuqn19D4ok^tVf)Ebf+wcC&%>1(s z{*cPy&Xh+UgmV3RjT_WQ@^O}I$&Yi^S#UIVa9 z#Fj}vZRKKO=RVFd=cyH>a3ubM87mNk8rw`y4@WINtsU_C)88rat|cnl(m|2_A?+Yo zfr`7}_Rya>yLs8{{PV?B=h0b?=wgu6J97u8^&&7n4K0 zJlrPeZ*%?UTU{ITZnM~4?!xvVlt4_F_E(EuiV}38pWI2TNtrk z8DB~nNb0Oe4HE$3Yb*mv@m$>MAru&2d>KgUwn#1QK#I6A{nA|F{qU3+N>39V>w#!} zptBjK>|AE79<7RaF)O@j45j@Fg)9|6WG{?!PAjEju@I+lvG9fJP^cyo>-m%PXPgTB z3}Y!?(*bys839uy)RE5!E~q4DGrdkxn;&zBM=#aPfvqq7AA-ndF4I95AY(rapzjWl zPS3oGt*MKu3ZpOtnYO?Xuzvp82fKC%*mXm|esT!d=ZAp()DW=ihk*SwurJ85Wr|)h z8#VAlOvrX@4^|m-W4a6V7Bk6{K)d(LKezW6e)iKMPO8GtfC0e2B+O+UVW~Jt9Y4>f z%)vAXJiH#HH+9N8!!Jv$yfc9KL(L*ngeGm)Y42J&#FR|e)*ohkaiK5m9HK7?wsT(+ zZ0Eit*v@@Pu$}voU_19E!FKLTf^~iApUOSr9a?`k6WgXS&pHHmgh|xBIlW1p;j{9N zuP2=;_YOuOl0{maYr8%tAkf*++g!c=;AbG_Yy_2c>0|mk?VL)VU)uNfdlMA&Qs$ve zFv-P6gh>uJB203>5n+-ujtG-nb3~Zrs3XE8w=KhjYo(rmE1DyxKIK<&egP<-a-9HI zTBRc$7l5hrM|B`g7|J;LgKUSO0j3Ind>h7+)Bw|n{87@4$RCAiME)pDBl1UK8j(K= zQ-weNJjO~=o$WrVb}lTIxf6HanW3iBW^-)LRR;u*g{cmmffRNa zF3uPmfUix*-BK%Cu7q!u=Va^89&(tvMZ$0;@ zyR9Au&?eKPoE$Su|5ej!x4AUpnV-93yDsvdKCQ8(}g@RQVl41g0vx#R*s9y-1?;hyK+2I~w8KAki-z_h@^RlxaikYJfFOI?K6_ zN}E#_P&+dJIwjVUUbW?1oFA=lrIwR$(JlLhlRgTCrpzWid`D1>DH9ld2KN=O%Ko3X znV9&k5%zDSr4!7BR|5hw;q-%h)Ku-78Vr64?Nx%^ugal3+^!WX)$ zz5eSmac~rOY7?sR&K&$uQ{@86fd2EDT8E*;lv#IRs-7DAN2x^04@>IRoo}tJnC$$6 z5$eyRa-(y<3V&+E3Ph@kSZcdLRBjWsU#R~mqdrWq2tv6>zB05D_Xih1D0ge2U#Q>8 zsE_sCp^c7cR)q!vXer@nrnzHfwEr3vNKG84K$`Se=3*o7~vp?NWMI|p~r6cH075mx$?FcEs6i{&JX&yCc~)$;X6}4Hd*QQ_FUZKR>d93RIC!Kb zB{vK$GuT_}Dh8A9N+~Hn46&YI6=D7l7eufMVtj@tO(PMkLW%blM6e3?;Dg3#8i`;P z^QS*r5Wy-&7hZZx(?|rXnC#}ys1HL6SQn?(R=i=u=#!>li1qqd#ZzN{x)2syTk)0> z&pV}QB!X4Ed{uS7m!-y_yC(U_5-nCij0R~MiC`5^M!s5bL>O zh1>I@>ZoW%!74g5GvqW4BUmI>5vj^vsm2F~^Tmo7oW6g6grkwHVsO!#WdUqfF>Gcl z<`GF&F(2TL>0a~))u)JDAIOZ{0%>xnnS07}#xf4WCD{gzH8~9MUFi30%EeV3MebOY z9~5`^lO4)t9MI~X{-o5cRX-ITHThU1JaI^TTU-R{1NRhc_Fdx5FHM(!&Je!ijU;!W zKi}nE94~xZ@^!7cHosAh#Dm$bY(ugo`G9d=my#^6D`#vlTsbf4M?^71zrxDtc}0}t z%;htjepf6?6?3K;O3LYVmCwWZ9-lfI6#vot3>Kaqb!loNUkW#v&(X@~W$+w)bIRal z$8!iXq*Lw%97??17sJnnvx8&X1wVMb(;K25CmHH=9p&>m`-^;|?GJf>H%k5-Jt5|- zMJ$QAZ;rZg9DFoJ7 zckM<7n^{l7yeHRZaZ55<4cQ-;I(`$tM;rb|8Q8{RFTpm$(>mm{I%S_o+uA+~@|DT% z%b$$8|N4D)feRaL?nhi+s@rJ830OSiAfz93JD+7gWU_PftD9dQxM^n&1-{kE55kzU zIU@R#{vgaMT^ApH=xv2gtX5rWM86|h9@HL}4XDM~KwPO9a8`ER=2RI2Ld=;ZC@I%x z+F{D!JdV6Bmv5amqm9~=U_Y;yI6#_z`aTr3fzR8ANOMh5$2S+O-=+P5x~B^PJ@yCc zjGnS#hrZl?Z@-1LZSjrpalb|LxgFZrmd_77Dz+{D2L0H!!`gP^BV^la zmMQ8-Wc0?F$3xiPIGc;Pv`z!CQhA+k*QPGtr}Y^8-|_scT%V8f`2J+}KW~Tf`#|M3 zdR+V8*nZxgWBbMXcwQs+H%9lEOY0VPe0Vbbv;V#}8Xb>+-mh{$8;^hGdRdIU-*Nx* zx^FW+ptHNZTbI$BW6t9o+po^A%j@#>!$SDyEg5P`2hGbm#`Z%KkKg=kY(L*u9@p_#_=<1DAh~3&K6^Q zH!gAx%K04IuUs#=_WbqOe&znsX8ni0FY6f3KhZ?FJ|E@r;Zd_6_uo0j`-4(~681?` zzCZY=+0S?#+s|uaY(Mh|&QTjNXZ4hf<7c^EypHm^eExD&AKj{Ye(8)*oRoTJw9nUO zd{6frpG5oJkWSFqwnu#bb4_?Q?WA~ZV?XaX_BVP^%%yb;+y9Ib=TVIh_^0{&qwJsP z`?7rOu+C4S{g7|U^`Un1(eEAy`(2cL*ly-AwqF{{^ZtkZZp#)=s(cc!mmb&tH^_dE zdwdxr-=r*fSmWT6YQIShzlSB`6DuF~Q;d0{rbv}-4Z8SCSQ$SJn#Pfh+JoUD*~KT{7GhW z&|9tdVc`ETKc435Jdqy?S8zbRm%-a;rLH%I`?r^s_uoxcMhTrA5)d$JD9Cipy+KM> z=*acCCBX6B;~VcsJD{(p-H$YR8FIKQVtG%HBey&Hgcq>Y**(XJ+~^e8lo#Z~?pBw> z?GASL+=Im@bbUDZ*>YDzo!2$GNa=YQysn{Vx`t*hgX!AUWxh10_9lC7_p8ziJW^k# zGZi}-b9!lC$z@MvXrr^6fsd#&uNUy8I7h7)bXKMpvu!Q|{%rc}D*KIx#kgd$L-P%1 zzkb=_vP^c$o!zrO*2E|3WCw4R>>kcrigp$wDMvSXe^2|$Z$IQC?LO_&DV05sAs5t- zz(?QDb!~nP&79BsNq^6INqs4=tKt*+;FAQsGCr*?$C>h(%V2z#$M0 z$YIp~SJK#%jyZP)V|CE`7V-6Gj@+NSztpV= zV<#Ni{)p#xVuGK(ef!;?nVEl9nTd&yTe-XPs0ky8S_aO~OYi;i&+Yw%pI!T0KygGI z1Za1HgE|yQ>`}ZBF#KK#2Gcc6U>B0{n#u50RrS6G5c0+VXTbObJBc5KA%Wthcix#3 z2QRyV_y<&g844gxiv|vNZ%%I#G}5LCXq#W&lw9@DKdjbYPqa5kcd$J>{qjx`2Jw;- z#g(xggwKutH-sWroar4I%6P|mTVI=gZTsdOV&bR7KpFqJ^Sq{K)U{s6Y8|Ue z;NkFu4iYhr#L8v+SAYIF_q+721TT0oIVk)QarZ5WifMC72b|qggaLEjFTlJN@0?O5 zO#qununaKllB`n|UF&yMQ|4L*ZKTZviEcr1D~0b1K^b*^lFi&^0~nOd?upy{d{C-y;QP*$E&xU0DGvtTbDI^a&6#iE zHvfJ`yS>}|qPQ#*zKjXu9_{*2^NMmE*+(tk-GO*7)ckXGWx@9nQW)3!m9N$NPxZij z#(>WxHs|qVYEA6K=6^5KyMY`1TH1egIGg`SMmx8;ML2jM^@ob#Z2mJIZSOC#FBLIl z|4_usWXEH6PBB2-&$w@@IXAlePZcJIG-8&K?sc{I)u7H-uLaIbZ%o(}Ctlke!UVq} z3AdJ-a2|Wgy9O4{O`%7iwg0k2YcPh^IBK=_J%L|4HQ~Fb@{bx+IJW}+CjzRoSH7}C zq&OGo;^V#0GL)14FD2?m%`0@=laJM^d&;~|jY+A->O96U+O2epCl-**%7U#x#%J#x4?4J(9_N|gI*tky~sBw}yg$-}2x&T~9*_mqFH;nB% z-(FZJ`G+M=(%8;lek2uEXJ_YOG)Pn0C98lb_e0(PSJE?Vq^g4M1@11Sd%$DL#qnlg zwO!XIt0+@Gf(cO<(mgL(utBy;=rQFam^6tcJ%ug0omnAn`qAyLYQ^x4qW#LSwAmhE z`_h!&Wn(#w*Os?4(_qH6*+9cKmT`Y=EU*1!{m$~&1eWhC8|u2*wHukT@wDCSx(>Li z?W#d12w< zv^_eX)_H@@JXH6L&H{DF-qm$n19QQqei>^Ys8E1|S>w4Bw0H5(A3mH??@ocp-&OhY zl5%S&&vv`vTyONMoToJV_Son#z|x2?)+K`KQx-iOFYtdOO6r;!TXAKJUa! z0mKV%7HJmi>SXYg?QevOxyP}NPUbZ<71%%PY#gN`$3c%^F_Y@EX`KUeSiJiH_d)PM zZ(mA$B8QDAAEqw%v(&Z^-BW^I_M$&ne~5ghaAp4Kx#n+_0h}pZmwtOhIP!iwEgM*W z$onm~l1S9&e6D0(6O!j;c-il3Jp;-~hpJ!pbAi`3vM<;0LL3Ee`V?!f&s@G#*Q2(> zGmhS)FHO16r?RJd0d6V>GwS>VJJLg%RG+!*2h_lD=KiuR83S@&t_d#**qoOmif4w?!?_@oL|_Nn z2j@%uUk?}K{4<&-8BRa%@i=pR`X`Meoau$WnEQ*>4boXI-}2}peKtyE$aTFksmyiF zOxUVNrL)_K&T<*nBr;57{cpK3qVuSDVV-OD%X!(A@Z#xt29jb|ki#Wx_SxY=pjYOf zb@VpMt=7mECw1iXZiKN9x`X*F_fHQO{qsx>pEHR9a(XjDAL9Z2y_{a&ALs|ft@Aqa z{&1#GK94hDLs0$w-4%vExqPQ;0Pow8NCaw`1HCaPAnHM#%oURkSg$% zGJiST$7h{hBE5^6his7y;LPb=77C-6uj7nI&gq*IuyIAPb+sqoXTNKGdhwVN_FX~l z*XP1qv5e1437R+!4Dx|H zm+xwye4a6i=aaE9hqH%k%k+7nhThGN^mvQId@1*{^ELGD)zCXsBj4TNXJzu8tD*N{ zSm(^EPqeY$ZVirTzKq`4K#wO?b3068r;7f!CzOLThr3xL-=-nvM3;Ntxq0eJA@>#qtlka4p$9yuk1N5rm=cyWcHjdTNyHY`KM}>Un z`sj&qCF0pB=@VL{y#FDet^K`xF)lIhWQ;50^HM_3&m$u}N2vEFcH)?`%2*O}j&np=QZET`-8!$NtoMsUGrAq<*;sCEx3F?WM$aXL3io8iW^85b(l``w}Ch4 zRs{Njr}S+o2N&iATU3|Yk2>tck=t*n%AiH^XIlBhbrqaKguBy0%Y}l=W#1iS$BwB! z(e;O64+&m!eG;!I&t?CF%617Sx+LZFh-bi*(YsYc@3LTwUXF81LNB!+ys!_ZQ+W3s z&3hlDF117c=KMO^)HqZ2m=ZM_h!&FwKqO}9Tfaq zL+k~SsLy4vJso67*Et(>&9C&DjdERsi^MsNFUY4hQi_ksX zUm<%PFUY_e&-2kw&(?T3J!B)+0Z+>Lw6$6e$J}L7?Tub4`zn?12{QP4sQAQnl|JEt zb)4bMd9igI^{HPE;$^l2FW8SY9<<7Q$<}x|FThplMd%*Rci;tltpBQbvGrfh3%*>% z%MI~ytRpY14Xb#uwP9OcPIcskb>pacIoE*~eAm{L@RRlj;vfCp$ChFpcDU4m9)5<- z@Xd{&biK-D+^@h?^|3>0V*1MYJSu#T3LN9+iNLFu3eJ3TeKW|O%W$(shSdUJqr=01 zE8=9_yH$bn$^e|$7o6U{MV$8nPPwVZiC9;M6ZvR~ZNZt&uMY^1t+}9?t`VS7wdUgG z`-^H140uzV%o%LWMSVJFhZpw5;RCo-hWolQx$`>sZ(5i0VrwAaQ~9{AS_4f=zDt2- znS8ThUFGzdeDw&~tJXQpD=#NFz3g!gwp=vn_t4AdV76X?=X9*X&#vg8Ji_Th30|gz zlk$8{3*1#52AA$L0C!9WaMb7X>+^C9>fqJ$5@j$usY;0}KaXVYc1_11dgwE~-;bZ! zIsn*w9Wa@!ozi=l$Y4GOT$eiF{W{Lehws{)9gJRQUOwpAoV|>m$sKWmLGw}_%)Kcm z<#tHt-szf>7{UCy3ND>rr*K$=Idm0VI)_f-kexX+aM(WWx8US{hnKrwhIF2s;yf-m z=E;ULm;H?D&CgOe=rO0Qf;$o5yo~W{bJ$4R1pb5p%8F`!+3o;<^e>-K#f;Tnsqmo6&)M-sdBq z=(38>4WWG*+|>nugHL!dJEY_EEh%l#JVc<*9_jdZTYO#&<={?2~V$>YB_$;3u8i9Fh#oL&|WTMAv~9I`gvoF^)Y5 z<{>6u**pXY>Qg&3nTJ%tT@CmmFSPV~NXLBE(aYEG%tNToc_E%%(?MUzac+>9DbCbJ zH-wM51__+$ymgJ>m}^*F>M!_&?{^+9t;6Sy4{)Y+lvlx}IDH#Rd?M#@*|}K97)Q%8 zxGr_bz}OGXT%SttHDS5k$O z@tsr#$M{|bhYacXp2|Rb#&=Q~&QldQ(P28ir#O*;@tstLlkvTbPjIH=dybRwom7VN zQVmWU-{~7wIN5*8>ll;D_(Y$K?<<7wUIvFxFus?;?NZxQ!dd1^-~_e|E-lYxXa1bl zr!s62J;S#caOh%2GLUk5PuKAIKybcKE)3i%(N6VZx)#}ocl0NXt;ckoj_+w~Wv+1} z*%L_NYz#NqQ@8^vWG}&Nk@8};8@4Xx<+SLfV|dC7AN#vdA;V$m$@UB2CxtsMy_`zm zybMmU6Zu%|>s)Ae_pj~?7xv9#GGj62Wp#{=l$Xtu&#U~54iY$0eV)}7dkL&Nb9(5y ziXJh-a3Xt(bC1NVit~oEj8Cg8laKgc6_aVdQ8cUIs^Tu~x7EN^#a7^URlu1HZROjI zzevVW#yZyL@PmDF+wKrOE6?q>5ODV;+l=@qvyth{>e9YRe7PF#7qi!u_OT1XNqjLm zQXiaFTlSa?XIf`EDT8ZMm-5M6zAA<+6(7V9qnE-Rk&UWi2(lAH49?3S0HrjBxB_SC zVhkz5`BoM~%IYkJl+~>i**ig>kzUN9XW)E7i!nzXhfn==b#P^M*tIGa;3LF>D!8gx z035NP3a%;^07on+gG16mdMSe=ww{uVSCK{M)OT$x1}^W@=DSx^M@&!Q&WT5>&*7M7 zf$y>o)&p(f@cnHq;LfYqzA@ zodAEJq7GZkR@9wT-JI%DK5y!pSU@_V`jpS7bzKz;j>$&E0#YvD5y{>k3w&Ga)95X? zt<`}qudD0t(`tWRG-=}#nuC>W0_Rhd zfx1&chMX7E3+KF!{tmt}Ug~sRS7-FfY=q93M?*K~i9Ih zl+mk`y{@i~p6R8G9(!bzm({h=i~m(YAyWFR4#VjR;@2`<9r~i)rx2uc0o+2@ZBKp~ zs!lJ=@lA%@m)hth?eEaLFaHF0j?-vy&g+JySB7&;uf5Nk{k|FWDK}KY^L$GE#h1jo z)ISH+{eb*GpmZmCKmNY|-}=wwC>%}L`;aA{9DYsJdMdt$;yVL4D5{QUG)*9^*l}0O zgnOdHHaJuD)2ch6gJFEN4p{J0wD`e)#OJ$A1HSnGzD9(q?=r2>vK-XB*+(+zc>eZv zzWA@5b$!A+%EnFGGMV7TWZ}##2&Czu%fgQp=$AU4qs%8rzF2ZlyBZ#o)I`Jg#C6J1 z8P{nUB(?+^bSe@&NyF>nJJ`6!i^-wv#D617WeUC`lv7eZn2*y?$rPM?v6`j z#&zCHa=N3!23C80mplo*BtM1ns#C42aequVydAm1y}=?uq+%*dbn}A|vH*^1Zwm!f znZS@rz`Lk+O{Uu0685I(pxWg+Otl+C(o{QJuG$ysRm+N}%CmrdkW9&h?;{Ku;vBll&&c_qxLPN20snfO-MhLV;2R_&!x(P)lZ9!0+h- zu)8uc^(H{lZ@O5=b`~JP!CNZVMF;CF(}8v9s;PD6%dPY2dh6ieRo0mqY@O}0&Q}6q z@#7NufoTl9P6zri3z>e1SniX)Kdjm^)7}u$6p%bA>3Ccoa_p1yDYeZZ1$V$!hB~7? zq-_XDW*5$f6qE>|*k-AYGTR&rD$0V=`g+@ZyRetpW=?iNxd@jl7LKMj@!L@LhP4V@ zO3iXZpk>fKvusvvZkBZlU^!WkkP@>{^tWov@?kKG-{~mrGQT14oH`5X52fmqZKIxq z3mlSk)cuVUf+j=pHK{1I>>AA~E{Vo^9Z%~hv+SvI%YLffvVY%k7ymTj4%L?(M}jvn z9m6;6(B;I*j60-bD)xLmd;fvJ${df4n%o1dS4GGBS863Xy@cbz6p(inYGEvQ+n(cn zH?>K!4!u$Vb};D-*oAGNU~ZwI|F)DSCVQgu*-YpnI(KR8On#dV26T9;J5p6y?D&Xa zHh<--d%wK)g$Zc5gqmJV4hnx!N0}85iplQEfc@^!<_D=@{T}o^PL;^ulMUEFr;uiD zqE8s_DY~Nrg;+8lYUTxhEwdx~=1GhLa%+TNrX#mm%9Pm&rktHVQ*Wl7sZf#t=LgW6v2 z;X;X^gtS|v5;)$a;~80@N*ZQgYjjwbXsapO*Luz;Ba3An{4)YB^PH89Jg2IYAq`yF z7s|+!l1|2@kKi%fp)fXz&7AXcEfmK!b@LkbaSe{!8pqox3l{iLf=)eC$1wP*dM#ZQ zP??s}FvwjSkoSiolb%|V#S%m_`{B8y=Flj`pwTy}IW+1tXfTobp;t(zv~Qy@^JFj> zmedePYeTcev8d?h>J|O_0xnbZ)x;gE+5JMst_`2YDreZY4%ej9)r<4#dU5`7g*b;B ziBsh_rgiG8l`$Oj_X&4u`C(?4<{guPUwGz#!!0^U<|%Y-W|sr@q5iQH=-|R1x)j#5 z1Bb&2>kd`-$g~d&R0#4I3SqMgMc3c7978;a* zzo4poF?m~5K?^85AV8BRv6#$$Wcr_}2~;hm9Bh!k0D3S~mT(~GK8J_;bs6JY0M{bU zwJ8DrxRDKKsBaV~C`^dlu@de7Q3Z9>w@bKSzJ@wOW3Ijrq`u4I08pcxsxR5PQ;Uhe zt|M0;uG3He!wf1CsB-YLp;3wY%D|C5rOr%_R094lOVpQxn+{6An^3O-|8+4XMfcn% zrJUx?57wwLf>`uIPdoR0dEeXby{q8Jl}o7b#pIyy6_Lq1c}fCFYB(KI&@%Ac$StXl z82pNQDyhWCJ6s=$R)SG1GOdGA?X2oK!%=!BKdEDNs7pCE_iTDj&12Rl3b-Zc^Yug5 zuc}>c`Z@7zw86bb2dPB--&Ipz>Hn5>(8aagkbaxmA=PV%?EiygPdg~n1v4||P<7&4wz<431M!l+nKFeDm&#d<*h_qs?&t2bMX7Y9Xf*7Y}fmJ;pl8AZMV85 z3g;WC)fsm$Y6f~u)XM|3bVqR>N!(4TMPQELn3>GLpEkA)mdKfj% zR=z6a&z1O673X<5CcQ6uB;&ppiw7(_e^dPD{oC*lTt+-E%4sxe;;$ySosC%48R52N z{u)d(;I_gqRfxu#*p?A<=uD|3cs#4(d?sqK2d21vO$sOHOMW8%nfYg3&Hv>Xa9Q_< z=!KYw{*1tY%+)YaJA zf^XL$bWY_V-%;zRA*4F3|F4|VcP+p;fiAAolGA#k;?~tvlpZA6kt>!)fctMAm zc2{eH19t3p#(v}|Hi^%<#Q8PaF@`xqf;TrIV1p0#WIi$Aw)CC=1}Mqgenxq73G0k0 zNh@0w+Rc>cEoZyxy3C+Gw_jgx&)*W=s<9I_rMN9Xd(}9wy8xl*i(HHe&-2so2_*bcoegA;!dV!jN{753nTJ#I}?=FCV z+eFcX;|z&A*}WbUaKN)n#<(!JGz$Ii5GKgm0S((N%X`;=2jLciy~%_%Sgd1jz$1_g z!5(Wl)7${|)(v<(_{SBSmh^nndoL)>doQW)>(V{Z%b8fdbJJVT{lxsUT)GLS%Y;Al z<`kYhi8T}{z^^rUp)X7c8p5v|68@7z!aqMG{HF|_7yD#_o(@LQxzKrf;DW1BzEak? zboYgor%S}C7n6g+h49{#HS*A?==2tt3 z;#;!*^Nr9ab0?E=v29efFx!xI_qZ?{@Jw8?OqWR`|Q0RWKew*+a z!I%1gzowc^Zj@a=cM`W_8zDo9&*k!!Gw84$d)_dUlo zj6H>2Rw|P47!=E`>pHK|`KHdRbY>$BU$e87bK{*r%~_`k4f3pFnQ?ixAlFkVYp2BI zX-U3E67$R+9~;`D^F^Kc0nBZx=k^5eAw47G-j~8|2=i)Td+@b7))2UiwRM7Q#M(NM zG?w^o%^9)8d*f{Mo)Ak1RR2IMEfGuiPm5rI3ktkJ*9SOZc73Vx%aeuJ(T z=^bjxXVh2g%nKE4Sw5rk13I73`MOwJBi62nwGEqVrN|kqhZ|VouT$U!Zyt-js?|X|;b)G<9%*vr7v^9?vITi<|?Z+b)^e40KQ~ z05|9*KlmmPWz=s#fl5VA!ItWxP2RBe^yYK{M&8~u{+gcACQep5juegHn3fR$;kcn= zI2G_HQybB(ZJ!D#aIQ1c=Q`ZYofX%UvbDh8z%V($nGG{% z!_YGj1&+HrqFdA{4!B(0r7lG4A_ufOEJtcaWtEy2so;aF23W42Dp030swoHcvJ#-+ zJD-Q#`?I2xLbo)^9T#bkaA2IA^rXsfsT|d9R33Ls+o;H?q2COL8HZK-C7RHCo|4y0 z+z?~X;h=&RJy_SrPzd2Z<;rG5L=(!3isx!l$888GaXn+1r?@&I9n~xH8Szu5$jjn4 zm$y`rhr~BbpsX%uaM_F($y-bx~QZvvFl-268$! zXUdpZSsmwGEx7d>9i|p#`+XlbT&DeDLxg@&3D&$D2q{}3^14t{><=p?@-E2;&RYQo zd1HjL(X;b28q!FpX2voL)F1dVVXiE$SBP)phcj%H`p~o#HmS;6YSiTnVg&8^00l0d z@OTicJDq%659wVe&BUo6IKYgKlx6syQO-Dg|U<8LOG!8&%B zwK#Lkig6OyqDWvH{ctcTtYyZ45~(N=Ha{!2jdMH0HHzYFP?2+>E_F5r9F`fu${HF2 zAx~$l_p?f?^BJqws^=#ZxDE zM#B_e8lf&rAdt`~C0Ukdv}59!*6Gp-(9hcHzEuNWiieyIIBq30Q)Ugn@kA6Qq0Sl0 zEKtb5C2f`|bV<@zYZZDdC={hi);^_9syr3kgtfED*RN21=dFyj>87l0Trk$qNEvJU zn+}vK7M(S;W;*AL-b;JPnnh=ALsQnaEIMnGO<6m*=&apTZ);@GGmFj|L9Y>OHx`UF zLQz={J=B!7r?n0urj0(X@x%h5Jx*7j)z!9)u{qICb-2)Zv5*ZoaCJ||LQ}58FY*<` zEe|U6Yw_&ADSFX$BWms=d(R85vRSS;<<_3kz4N3 z2S$r^yJd4K{F1#`$JH=C;RzOTuFx8Va+Z3JgP+y)2cAmGeUL{oV$y6aBy7vQYrD?- z=Kb)D4!fm~yIznW)eAb@QE={}UQ*x9^@zsZ_Qk9eF7+31 zZqaHR7*cA7oL;W$E$s6vO}f9ue#_M`v7oLWEkqq6)XARfnkRH(q7#?LWIuLz^`cLE zRTbDedbzIIDg)+8)%Cn?VIdzW{VjOZjPDXVxt;2N@3xt)d0NU+Q(fa*TLRD-r}JoN zavYDFUwf|0b(Y40C}~hYGW!_UnNB!IZN!|_Q{r8j+!`x(=h&LuiKH&~PkztIs`LKv zK!N0@d~;BLc=(WU)1x8wED3W4@a8y|=q@N*I*gmY?ciwTcW3O8QLb~irv<0s!x72h z(lIB8vp&r{vhW*N5Gkah&I(ln?ciHS3{2cRKPX};w=Ey`!k1R5fycRcvB&cneV#7>SMkZylRRlKsRp^u=4#-M z3l3c$`v%B2IQDpH7sIa~Jzl)22g!as#6k9nQyE;0l=>LompCN+@xEJ*vl%^a5&Ii4 z#oRZtu1-GBS2>>xA$vJ5d7r+M>{;cp=a^9NnL{|w<5?PabGw@ba*4}j&s!OEY#nn? zPF+3*9IAo>2L+b#%Jssd*^E_FYOvURrhTQ2d|lykz3}^{ReG71UT#V+W%H$@HE@S& z{OouQ++2WzpTTpRg@}<}6N_PouJ#)aP6}2+zG=-rS@-)CB_{WQn%(zJbycimkEIHZ z_a2E6J^|-#l*Xi3m*@O-?w>ps>uZ=T#J!-%m9NeBf({2i+vCo$H8~CyKUViwayu*u ze-b z$dK#octq3ZFZ_;~{+HV5L=D_*4IFQ=TFUu6Py=_W29CF5;d6%&=kulGD(CIm58VDR zT8v|k@!`+Z`EEt7m|{uHS&LW_bKl6iI{7?b<$Nxl?78nQ!+}G}eS+UOd`1C}zLNXy z*&3e!4wSn};Lg;*?FqibyrB)f-8FFMYUu3>aFe1pIKHTn(x~j0+u?i-pVQ*g_Rn&? zT&RKDQKOfOHE`Q%;CS8KQtmI@-_(ESFT?56Qz+N<;JU=61FI2J%$XFiB<8-6bsmD^xe4cbx&jaUm)HA=C)}OqNxjt~09DLLiIfL6>LXUL-=|T;?Z9RIv zaho+l&Znnle~WxtsXq@>S7#$H1K5|UGe9fOH9Q>txk}CW7K~5B@RDN~9myD%uN!yOtQ*tkg6h_d9;c5JkM~#= z{o3d3FJ4|3BO{zWcNk-2tg~@on7X`AUrYM**bZLT_}~eRRqT^pa6=J);yC78+27{2 zH3)xph+Q`3tVJw|xyP}ttIq1+ydJ(e<3!gOSr>a2Ti1hmDYtDMocD>xyRSTcGVrmd zn4WnUE`9+F)I1G zu5%tQxY$?H`zyH&>&-mw95qaCRYe{BcD?Jb)_~Sy+eYoBdhz+u)4na!jCKC{x@11)!9AvzpnP1 zG}m-zI3L^Z8)3go9p_nm3O1&({m{kY+0Sc!OfPz1s{OHE#(K$n$k->oIej9o1Wo?v z2{AVTL?yf0t{cbCJYtM}V(b%RpLlX(WL(2qPtUDATG_|z+tKPXx0YEkQi-{7-8hzy z{bk%AEDmsv+K4%;k0mj;&~u)e@K zY9r>XK9mdXqXX3x;<>|KdcE&ndlo z#XIGsoKL=Q37^2{d`{|pF6wBL+8sXOjDvLJeS70*Vgg?7eE9I;L07|{VjWl0p)P&b z`G-`tU%bzSI(E6C_l(2f5V&t~ zY}38SV-g;m-19*KdARpM>TU(rmMQQ4@iuaT@>2L6Bh+TLHlQ@)o`pp=47zx?^lfjSzF@yX{6+yuBEv}dBj!Ol&u zf#MVvERmg{0!2QaqLcegJI)``v2)W~pZ&x~dgq-v zq4UP}W9o>!b@uI7B=+wIt*X=|&>4${!iKdH6x)U3MWK*iJ`5O~fs6w~ zl}f{iKtlPOzw*_+Uw&?a-vV|C@-HR_g@02=-Xmd+5f!`_vK|>6W4$@XuZMOzxdaV< z?-$<8xpr4OhAH0zd%xo0Zot>;pk5p}@l8XCUzLGh58%X`0pR=8Bu%M(=rDj!h6Y9* z<@k8=f^xZ2Td;QXpJ8FZ?(^P!DFmssk35$RM{7*2S0FHlv36uz*Bv#4&|mE`e-tC(tIyX zsDFy9)8gj_B}!b+SjN?YnuA1b|F4`*t2ljv{HpL>NWO%BKbh@(Eudu1zm>cK1FQ?VkFl|AmKgf63w2 z!9qyhCnDSx^#|j{^BL(Gj6WA;NbAy76+U?1oXIr`1H1AR7pjsKNnYpWa|j&F$)w-r zeREw+-@K>J2bTK|RZ#|8*`lBP&0NKFbC*Is8u*{t72IAG~Z zgzv?Kn=GMsQe~EMeXdUQS?6c@m#U`}2a#>Jj{M8tMvHR}hh7d#FOg5*x?G>W4j7K2 zKHI@D2hHtp%A;_9bG=L^ddXv}O~5=jocV+d_oWy57_0w$N5{qh`c1lX!5rP}gj1Ayk?-7oiFSGNwPAYN!hh8@7U~b{# zpzrVOln^J-^*Pn~T@)WDsJkmb?6XQoYIkf4oK9i?E9#oM^HeBJ>!|W2cuH}aFX1nK zE)sFFBSCxW;3vnqE|epqaYPOou7$63<2Nb2+maW*1}CWuC*{zMts+iJsUs#ZZMQ@o z9y#z|G`(DBoeERo*LTi8JMllNHeD2h5J1lRL*9^q$Y%VuIEMM1`@X#I?f2fDTh|lC zEka&Yl^x1X{1eB6w>#Hp_pHk3YmUb-1X>Hi$n4HH*>j;tt(*wTx}@K-bzH&$+%`SH z<<0Bo(7H_4lIt9;|3tLPR0<8W0glUoA6p>62ODhfe)TOQ)(2jfWbbYWf*#k zn=|6CY=vQf_MJ13kkC|EB2dKENt#f!*_8U(>IDj5M=FF*Q5+2@Qq~9hlW8yYCprN{ z%lv6=y+0xKw>U+DAnxO(eBRBB)Kl>bQn3f~f6MGcrzZ6Y`Oi%8zP@zHePbBPs{-Oa z%BtDxZ~~O>la8-eIGpo+D4s%aHS#(gW^df-|pU zbc`udA$KHPGH|5&%;|YPqdu=_)HIy=D(6rnp>BXPmdlsJ89vTAz4;K)sLSC#Y)B7S z{CjqK^G-huP@=R%dzV-Kh5w;@U&3F{bi6L+R!ZTkM0i(Fyl-6(gHq3{iNSb`W0*m@ z?xHuQnX|Ibso*$%)zhzQeuhH3rEfiF`~13Ire@1@Howx!N6uaiyEcKf`HsN~jZ#1C zM^w7ANOn%Hgs2TcQ>sfupatlcT=!E z=nLH${!9Ye)SH|azx3AH)Qho96YCgk>Q8OyrViB<)-52WUuKyT63eqD9DB%@5=iDv zjUiv|4C$9Ufm`*~#xytm==N8mocG&7a)ULbHgYES)CJ9Kxrv6166b3YahoE`rIuq{ z3ghwh$G?;ge2rn6>A?B^mbBM=R@zG~E9WX5t!T65<PG&m16%?& zb@N!yRd}qr5?f2fgN<%gY+8HHu*`aK7o&ME^>YZd*I(KCoF1SE3CO8``S9V*f8*z= z9;P3X;KzJ$za{`F?0N}$O#Rf#%7$=sv2)*hTi%?@fX{2jy^R6Bm--1Oz-tSXPpj>E zXF_`(tsmHF_obZdMy(H-wvaUIDRly0>;IZ|mxpz%Htb4aj9QV;YyV158-hZ~pQ`y> zSCH_G2<;A#9=;m#lLbibpX_f4`FsKLm>@q4WHj5amGe^t$PcB;_)C58Tg~nI0^|ch zJ}5LUTsYB9x3Qlf-aT<>VtSZr$QKGU?~7*q{fl~TKU095(TeUwVMW_d6Q7=z-)WxV zxYr-p+#%3&O+kxZbXz0Z3YLgURg(Hz{}*yA|1d7qn)>-_HMGd%G4)Fa8?$e2p7Zry zwA0_I;V(R%;Vse+XYDz!(m}*J@$FpMhE6>UcxM!=_JlQcl^zHg(=TnG-uII`H@^yU z62No%W5UUEN}byUx~;G6d}Dg5ARx@%h1KX3X=M6bNkdf_2wSgPN8!*T9=R*Y**WCRXOlP@Z zR>|Spz}%HrPPK%&DwqQ;VfXqwVE6($leVJy-|K-`mQwx&zE|J<2(VjvKTzxr@Ucz4iVd4~C@Y zy!?ePe4#G{Sp@Qm=vRjyC%0#T@0P%fS=mm`+Yc#cc8`{u%^`F3=V3{ZU;ennf+fZ z#_tOcf-%|ROcQE6B{&E&r?$KWwK$i7+B%VHOYK^Nd5OsuCtXlum%S~uBMqpf`hX6; zf4AxSizww1J8d7{klnZstmHk||MeyQZ(M&B{e-P`ldh^~N7$m(pOFQZhqc>axav@J}4NsES3tpfS#&bQV^^+1XzKR8I5 zmRCYTGl~i1q=vy;Ve#)54*mKuno+YrUe!EjeOPN$jWQ-@5pqU%D9#3&Rb!gbEOL88 zG*>sIS=7)G(LB||r^uLDbpC_pDcG3RHVke1|F}9|QY6D3B~}8{CnP-w95u7+CAH zMaV4*AvZ%u89=hg>+*lCJ+=WP(O!7;#>u2Vu+M~QRTv%y&@7r{N;Izxpa}tOb{2yr zLm3CDYP}K^TlBka3IUe_MIUVYErP+2vPkmC$IXV-djWxDv3p&YEzbv%G$?a*99mn! zu2z3&hIoet>^%f}#SpSzN@Wk8r5dUYMaV&FL`Y*)g50#lnZox+H{*yft(58PkT9>KCOF{ld8 z3G8-*g$~Ej(7U{d{enVcdh_Qt?=V0L=I&@L37Z)j1WP4Nh!!@5E@>9>AOtQSO!|pZ zjj-9UdE)=o+#u*lR7=SMy7jf`*R~fqJ|?h}f#a$!)}vZrc7w>C3uMK4W#4n2T;zCO(jIFpZBbLaWp=5lv_<>y z9{S#qowTM?e-AbE?y=9XaB41kSzHFK42&*_$+z+9?Y#-uP8)WIfIU@*R}KMtobdsNMe z@>G~#R}D5P4E=as!{XIYSYcf@CV(w-eGx+`b@G}{oA@O3p^*r0wDo(z$=;1{2Db?HwOQfnzC6Cqry`V0?=7ZSft9Fu7m@5srOkp-i zh*c_RqYZ8@HPJ>&XGI4(A9SFzv;&<79q90wW?Q{IEzfJKw-2Snwse+upmVPSo!f$G zE6=hHyge;lPl?tN9Wkk}Ceg#&!Z5#V3o|cy+QPUgi}-6J&rL1CCR@U6(=gr^=3obT zmUOTw{j@D_$0dJTn3ElNo9RGjvO^5H(Lo27JJ6ZzK<9w^LR(#*?Vz^<;%$W%*7w8L z^}a(m294nEu8>+Sacw6iwD~k})bHXuhdcPrVe!;fZznp?ne9O5YzI2b{@TiOxdWZ4 z4s`BxfLYmrw;dhmTjl5JQ;J-_VxARmr7nc*#_VYSI$bozdj(24{NPpxKVS!@EpNQt+!m(Gy8b~2 z9q^2QTi%v+prc{25gqPRy}onjdwb`4cX#4OJz1JD(g4U?B490EZZT?)g ziD!}_9jWSWkgxTB4QAPQ`|G9}y!v}l>mG8jWE<4bEY%bS{@TE>sdB9Y%v=YU+q&V? zhBsunpbd{UFvxRHZeU*2ZHHuN%iBqP*0&7|yzzm|w)R;n`|JqzY1-#LOzfio(a1h* zgcH3;P2vIaoY7%AXi8^U2R}F|BN-j|D~oi#-2cU6*BE!h)|uSl<`W^?ZXjARMaj2OV(J!Fcn7*Otz`4mRC2rZdq+Z_7IP!L|!nB(fQZD9_I zud9;xZg>WuY8wW#>NN#6=B76(?-GJ>MLaKjTXdK_9(_F>U{KB=7<_7a-~Q=+UwOx))jJQ$G_jXGe*H?~kKC7N0&BE5 zpYPxwM+C>ag7#E9VhmFKMYVW3S(3_X1E(u52xhtVac;V{%}nf`L{8{5HE|Pjp<6vo z`sTFGF7fbO6NQ_x(vK{B(7{;S8qzcVIPcf7S{C9JSgxBG>jE%|5}O!{&z3dO1w2@4 z+D}Y>uO`lZOGug+&1i9+(b0Bq^{(VTy?jkX^o{m6kdfH^8dwxP3Hh*=M>?)^+3l%FQFwo)Yn#F)Q z)pG8FJZ<9+Fc*`3o+fbz9bE08gT>M{Iyj18y)@WIXOnx@9&9iSZ_l^!1N<7^ZmO@w zR8I#nc57b6z8Wx1<0vojoeD5I4V)(;2Y$@~9WPfsZ?r+Cb)i{PI_K1om;fDoV$hfc zotrug2DmZIH>VDGVRA|EohIvgV%5!L?%yQ7(dP~)<0+aR5*z$mNKZ#Q4={~i;}2g(kTErnqp?6~BywV0>S zPX|5gCwDgQSi64vlp&x~gTM%i{=kI~6N%kwXD}XDKS5va|DuSS9bgcuEuHz6FdN0& zZ5@N?@P?IpK&1GwA^h>|0PytZNGH|ym>fu_kRa^U2QK1YOw{?N)WK>@5e}1J%9tV? zIn*B$B|fdwX;;YmbF2d&SNO4SQmpHz=Uc%iG0W1gy&tLb()6KFf!n2=K_{j6!2M3O z*9+yu>X_JZ@N9t{-?sGq$hA1Q}N!^t?EI)L%w%O@t%pP{`bKBGhv6F>Ip zNZlKPepdOIIg#Ib143$_qHFbWNStqaiNxlEUS@)X7i>m(ojRV2@^15(Vn$ z+0S#qwcSfM3GzC#5%noOB%O>v%0=0+t?6@*YeSu9&h}%?ulQj2mP4=sjHaWcy zR0ka8p5JGb^9k8^>!2iuOZ8F)cTO;Km-CtW5_{O_#dMy^&KwKbsavfh z^`!?vcFwnTq;)2H8Jx*p1&8cy;bsJb>}7E9hK-EgFDw7`Fv2M7?7m8E&^0~w=`dDW zXyIYSx8MDlnfYgxnV9&wfX-d$52zsxdO+rNko>ls{{gMp_#=>p)i?6scnF^BsD}yz zN22&kkZqHw{f}%p0H`3ipTSqRxz!CAj|gAv7KYy!Ti)vZW?P|_^@{(^ z-t#D4bt&`51&z$mBN_c3^WxL#+gBGQ$7%qt43({b&2XmqKHz^(QdJ2}r>GbDU(^VV zGr%`kNPVQ~MOCEHR6XfBeP0l(AQNyC){~g*^y|ho;04FG6pF~8_9ZT%~^(k9**|q z8l@dhBtW`8rG2(UX-66>?Kf&jPY)t3*n-Z|!N>*!bJae+1=|OFP-QskfkBkZfa#t9 zvz#%TE8DNJ!pCORARWVO?o-J&eI-pPaO!Qe>62NTc6~C#G6&(XmhGV^=gyz9o%U`q z(a$!G)06@alvxilSIRsPcqq#GBr#<>4~2cE!W3yrfd{hf-ztjWt$>H39BKL-gDrE@ zqWyCcMJU8OFSxcac=7cDs=;)CfnH35VVLK3;mzhNp4MPGU3gn880LOmbTAbat=wQ8 zobEtpgD|({&DPbCy}`V7fno02mbWf2GaYo$zZw{r-KgW7D=9y9e88!wyjN% zE`U7gKG=Hkggs-591@3Ye&Oq59kH-!UAjlj?171orKcvCE_nZm*sV5BC5o|kVj{iQ zlk<|&qb`l7b@U!SWQpJ>0mHzhlk7TrZDe44G_81?{wmj*$LZ?^>7^fMxgAnICxx26 zY`mlqG^h6~??>AfeGF*oJeuPEyVZGK%He2}kH?f$`%7J2Zuc#q3aQS1IUMp^Y6I8Q z4mmyM7hUMBHXxnb@Yz+qTOIlAs+Xxg`Fug%SG+!b9kO+mk8#&Xw$Uf^f+C#1%K1$D z^b2ajIAU zUMH81CV4B1=bpCGNe0#ChHIa*#vos*qiC-l0&CWTloCV2Nno`pqQgCLC(X98jqK2w;xnMrjia~Cb~OFe+Nz09JSR`WWFJgT4& zu(rF$7Cc5AEKMoo>#BH)X0h-KxsLz}g$3PXOIj=Zew8a!p?i&cgUmhk;M zvZB#GCOKEBY_+Dclj`@Ub>5>r|C=)ERayCx4(8tnbS$S#u!IMK3-gI*w52yEWG97( zkLB-H;9IW!@8!Y+jX9Oy(D{@edIpYzc^1Eoc}V9osynN*niY9?+DkY17q6Z)|p_RA_eE4_dVoo$eA&w)UR(-(Ez7cYBc zgL8sCrZYc^NPbcA>?~>J}UgD z)NV#NjtdT&(?S2+C|CZ53g@LHKG=he@Qu@IPtZ9b-ub!fX_dLKsx}vtKd8EsIxg$j zp|YcrAKWKZcUjMYz#Dw>`?Y+VcZc}8EgIllEu8Z@)7}njYkAH$b?gCNhrr!=R_9eZ zkaxZ6`JUZD<@qoX{2f=>GVuzZmsNH~XK>}Xt?Wb9T^D>xgS^>J)e{G$L)wpzavfkt z>{E5@R=w%Bs?DT)XHxAh3eQwHZ_)Xjt~t|(59oYCXS0JYC)TNt@I8}_%FoCbW|V(M zcE|n~bXeP4f+Mz2Ze<%)M$EB;K2I#zrFQVVMdt@P<2y|b@FHiMLnK`LB|2|WY+a`~ za9RE3iq5=$OFvsJyhn80QU1E*y{2}^ay|56qX(}0I=D8xH>8VI!bKT+IU)Et;YDWp zCdW-3mv!7#-Ad6W27IWtCq?s?^5`Vj(T4#i4cZ zUxKF{f<@+K;&qvr-zfiZa*3Z0R6eOT;7)O@%&u2UKfBfLl8&@pob-t%E?0E)%lh8+Xrk$EUMD|0fqwJ#U_@0)@zFhFD)owBz z%XMC%1K#14Gqls|!@}959q{=37snMYHe&f=UF%3ZXbNc}XTDGwIBWGzfh~TG~9Q_dxblN;wC+k> z*^Nv8fP#X!5UMM|jpsh59Y!6voSA#iJNLdjCruqRLqx}}o>}Sub|OX)e#udekX754 zN;uMMc2W=0&t6{312nAC`jEIt4CiPGpr0pm9y8zv*3pnXFYYDo8x5C%sZ`(m-02p4WP%QRpN_!%= zQVA&0%UEvB?4#$XZC|+%zZh^vBQkCsn~|=U)Qg#aw6r!H@c2iB?WAWbv*Gve(cTBN z=v1}F)Brs|Y5CkrH4{)+F;p@e#iH;A_3R&0w|?+EyyPg$cG0dlL}gTr%db9c0N)_S z5TO=Nuj;NB*kqV?P^Qez({2EZMpy1jm|=v{s3g8+?=u0vMO#j5a#__3t86=Bl*Uoo zIP`rTptW`vw`V408oo%;0(9C(KHvLCPFpYV@qWrdW^C){^sd|qyiCd}uIX51QBdsm ze0Vi>V)oS8TyEk3jmL;SCG#f}IMR#w`zUk&d-R~T7kA``2V^TRx2`YFx8`Oui>(`J jN^>T5{xCo@jsn9m;Gw!9ZL=zrCrTJmc>W&%00960`ukPy diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb deleted file mode 100644 index 81444619e17df202bc55404410244b1b41c9b6ed..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5124 zcmV+f6#MHG000233jqKC0001P0B!&`00011WpZ@6CZYov)0000004@>$000000Mr5i00000 z0000000a~O000000C)lHTuY3kRTb`0R0Kp66k{OPEC!jxVEunpzl@8inW~wt(2wD- zae7RrGq%$;O^2ZdYHENXhK2DFA3J-}1#Zw7V~Am4Vi0055e*{a z`OdlLzUs7e&oCn-{U~xUiaK{AAiF&6B85fq2CS0@3uV?6E_ik_qQ8^-5l7> zfvb@NAEbJ7%Vqqi>%=Qmw?0Pi-QR8uc5`4i2X=E{HwSie;GLHPx6vA2qaU7q^!wD? z@Ha8BXJXGaWZ0o{a-m6YJhcCqm;mwUT(>?(98{fNLVO=_f_V1)Na^o_1f0uy{n6p& z8DR9VTu{4<$2JGb%hdM@)e_NOXc^2z&6(Mb#f7d(?^gGi^s4=NlippN%jvMZTF$Ag z)vyL3s9K5Z?r@{%tn;|zkhFHMA zE?&F{a!j-2yq5A^Z-}zG1=_z;0ij`=5M~342HvO>NIATRg@;PCp)$PRy-%++Rs&o{ za1p`vRnBVaHv}`6kQHGNxW0Ow(udR#A@x;U1TokA*|qilx@)VJ7FK+(&SEjOa4>2q zSmDtgSqIGolnVH!`s%gZ)Eli0NAPgIw^B4#6)HVccu4#pzFC$=z>EUZ3QQ?5sX$W! zF@}1HG0>+?VvMV~FrnMKt6t|JV2Fo+pu*FNx~CP&R}@T>@&WG#ZLSy$N|Au=_F0>pU&#CZb5c>=_F0>pU&>Z?4#mpibt zYEcFhJT?s0oq0pjE5;8=u|m@xn(~mkHYDZBr>+f2z0%aRAsN7Vz1$fhTMnH#abk#M zq8#fz&_7kRF&C@O5g=55k&p-12KPA>bG~XVRQ(M{VD1)oCGHPUb8CHlIOkdw&)er+ zJ7U1nJM(OVNbbyw)e9sMHj={S3Uf(BcjgragTnI!LtJ->Jn1ZQzs`})BHEE7v}W*p zvjNqPf8#$PErbZg2>4gF}!T z9D-clVWCvVR!XTlaDJtfsw1!z6@lwqffz1kBX}l)rz3bOf+r)m8NrcWnk3#HO4tC) zTxPwqoW~5#1aLZlQvsX|U^9S?0G0ui`N497m)*fBKlB7Is6_V3H^GSFa*f-CqSk@0W$pwkm*l=On(Ao z`V$}tvs`Jy0Fs0OBnbmZ5(bbY3?NAuK$0+kWH$HOVlws@>^1FjgB` zX}94zQVYZ$6Dg`_Bk!5kf<)dKl&Y7NbRImvcBYO3$HV&=&Dj1aURt@OaDwY@p(fK@ zFu9rc7Noa;Oj@xe&fL^MPoystGo=YgGS#Ir2$HB#f_nZ*4^06=nn-m5B+15dr=gq{ z=K890qg-`vIJ}YJ%?zK+@Tm-+R@@sj0|-mIEZ%I!tQsjrfoVk@jtN) z5)jyC99vTh*lxKGm4EAUuR)b%LWXEoL#S)O!udydvxs3Uc-V--6LULt5(D#Q#St3Y z{ZnVx`$N`5wJa%=kU=`Ra`-g|Oj5R~iDx^#n~rtTy{Fup(}>zRPv||1IZN-N4uC{O zrPpUw$;Ke%eT9zdabV->Lo#FqgH)yfV3VmyR_Eo-_5RXORmw6gG@hISmQw1@P#HYy zdBY~hnR?9^w*d~>2N&zl!Xqr)q2CrmKsHs$cOI25@ zx{^l(3oEw3D7H~;8_UXpKsF#5WaG zNySH-ly$xn1kjzwbMa-7&o->@&QH(nFK>jx7IF z1tL~eMyj&Fs5J#xqQEeoZ?(bWXns2$(fpPgG|19zG;j<|w95y{iSj%3h~{_e5zX(= zBbwhcMl^pCP@3Bo`(UwYyuXw=!#RVQA|Hj&EwD(H3AmIDn9jG2?ht}L5^-FT(PjvQ z&`GMQV~`I_V1VN2@*gDd_)i5&pcRT}PAXC+d_MpSQ~Wmq?c_cqbaz6biUKGBb4+6cN|ESYNe31EwqP$mUgM+p>gGOibw z56?G+URbtJ0Nh5zH%_7v7#f#4is5!eqKP)SosHWh60(2tdjz(z2{t&!_rBVPn;O7i zB4%CEGM!*Ez#xLowK62$+*xImTUKE3OrpbX2h>M|_5vK?(8Qq&DBBDja9ajRv9Qe% z9X?)QmHbfFuqzW*b{B3?z340+AOITOEi6!U#O<8~Q^iOUX`2XTjBYa|8o_Xmn;Ud? zH#G66tCXYDruhB0f%b9cFjM)lKAEO;Zj zRYf|pn(5yLOCn><_i>4 z3o{)sRw$a<9&9lcEAV^|M9AT*0w7Q!*AWp$TR&jva+JG3Bu!8d+w^;eu6XDsRG9&kQt zd33YoQPLF%x_`$q=}gWF&W9}GH?8hDBP;r{W#@0K?mx4P|Gve!WD!4ZbvI2qpHt!H zw=9`CBYX6;<ViGh`Wc ziFhXuIDcvd*s;`z^5Gh~7DCIImR;$1NT zFo@=Sf6kI=cE_$Ic_7oQpJt&pqhxwy+G%biOzn9%*>`Nmk_X1Wlu!r((Tsc}7Yfd? z=SmSvYmBa%_IHY+pIcGFgiRd47`;VyX zyvYONj}i(YAfB@9G&lJVTiw5Ib-!S7e#PQ^+#>$XBAO*=-BR?V)%^yG^Dh?XCoIlC zTb#FBoMS@*!r!g#<`|jP=2=ToPUF!$U@7`V&N^lz7(g_OfEhZ{GoJG=T8jQ)aemU` ze8XCgS{A3N{jZjy-&@_MEzX>g1A_kpL-HU>&mTX8q#};Q!W8*KAXeO+`X6w5F$nV51ERT&8RH%!Ko*E*rTq|E;|H8q;)D|GV0cSAuNvnARtYk< zh32Y#Yi=k!GKVusH%FIyR6YJbJjeuvdPXWUx*_Bk))F2VPa70;V1Y?cufIU~{lhTN~As`_5*$|1-7G@ciJ^+Ni%?duZ*<`F`!;&C$KJbDNL$YmKrt zI$OJcZFI^J&YrH(e<-}sAJy(`?%$rSeT}{6_Wmy=`-;8md$-oJucYSvA11%Znr32p zjffMk(qg!u-pj|U!zWJ8mnV;Rs_x0oiKFz_0rXvd@#L}UbBl*s$2#qk$CgeW?jC#m z_2+)@HJ286&C`!Fw@i6TAn<=`S6rX6UmCji)BhCQ)}1 z>gh`kb#ZlLjjBkoF5+NM@2?S+pB)7|o_;$HwrZsJ4uf4ZnwK2ynX8)EF4!|6v0-~n zUEfi#<8pB|gMArQOM|70-vNvqJ$tSM z5ev%ov{uB1^C}b1QC1I5^UD~_N*-`xDN3II0ssL2|9AmhlFw@sK@`VdYFb;H+NP-( zOAA%0i1p@03es-Z$z~+kS!PC>J&lNjLtNP~X2Qj0qy)L~M0BN=v}n z>7AWvME>Op#AQTUJx-iWlO8!n<5>Cfd3XOO%zi-&Ju(s6pj7xdW3`N}9%*wYBixEA9^OIAjB@gY*nL?RQ`5obp7_ z4Zw4V+|j{Un6NCW!HhRezrAi<2gIh=dY~0Gd!Ekw=4BeKER*cb?Pgo~5Pg#+^kdc! m(}R^K@3G_^`=gd9V+(#>-yNR*^}ei0RR6xVwQUV diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg deleted file mode 100644 index 1a146da..0000000 --- a/cpld/db/GR8RAM.asm.qmsg +++ /dev/null @@ -1,7 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1677601285636 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1677601285638 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 28 11:21:25 2023 " "Processing started: Tue Feb 28 11:21:25 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1677601285638 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1677601285638 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1677601285639 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1677601285947 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1677601286047 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1677601286058 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13100 " "Peak virtual memory: 13100 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1677601286355 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 28 11:21:26 2023 " "Processing ended: Tue Feb 28 11:21:26 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1677601286355 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1677601286355 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1677601286355 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1677601286355 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb deleted file mode 100644 index 33b1b52c93f96917355f577a716e46852d0fe131..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 787 zcmV+u1MK`1000233jqKC0001P0B!&`00011WpZ@6CZifT_00000073!)0000006PHy00000 z00000022cM000000C)kMRNqhAFc5C|HQ0bLG);`Bd)iyuGzG+Xi%MI8l(DrH;tiSf zTB12kR@(^vdN}6{v=ys>Q!iic?ECJEed=yag3E!dj1#)8fLh!@_U6ZC_d`Gz#tswJkB{+XiQ1o^D_he66wkbKG1+`8_L$yTN zKVdg=6;nVTKx~WjfC;D9DRZCtos!S=Z5-iPXzW8Pk*F-k|C+dZZc?;_DSi&zu9hWw zycRgdn<87%=-lYbfz{zwDtR`TC&{k8^6Y1& zI-S#p9idWUi1QEoGf}bdico3gU#f<7y4Yq0NegWhulykBnmDEw10$gfJ%iA~D+msu zRyzvlcL0GO)Oq_RL5Ge_(TTw?ks_x*5O8yjzY+AZye#nsB{WAm(RXvU+wzu36X}NA z#!+|yCnrp2W4ue2&9>Sm>YOf%zb|iU!Cofm$5M~Amp!}m}@0&pSL0AZgLHgbNeOz3F;vvdd7!rVTc^tn$s=>-6 zp~`|oeSIB+BI83GoqSxOs#zH#fXcRW{F%oLRV@frjjW1|K?A5NZThBAn3*C_Rj$5H zt}ZUFE>Ppx86HEK31yT%RKn!yu#5h+US6^4Z5Do?fpp;BV{!vjN4KfqvMu@WD RkRVS#_jssk00000|NokJR!#r_ diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb deleted file mode 100644 index 5fb602ff5f3f8eaa60a3951daeb4e139a3a8728b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3158 zcmV-c45{-J000233jqKC0001P0B!&`00011WpZ@6CZa5ME000000PYI_000000FM9w00000 z0000009FhD000000C)k^S6yse#TEW$JokF;hU|_vj@JZ=X4m_ds6`=FB@{tA-kQkH z16;c)Nl}DuOyX=KNTZ5I#xl$7%1P}Yg>_0As48@0C61E^#6v+5YSA|R5m1R5RH=xk zB}yze4^h=83kB12*A7C+@ZOPTXYS72Gv7Jqn{&<;0J^D1O;Tt7rz2m*elLypug+&M z0}l{@3g|Bysr3S;3}||?q-@}M%>f=;pp^|kaXp&5I9`XN$QYw>9matp12{^{ZPZIZ zjfOtp&%lSNa?~W%9g_M54x0d$>Y4BYuxxdV)UtIim;ugMpa6E%n($CJUf_`v zqt;9d?>jLVni8tWw_MYR2t*J+z+aQFv$Rw~vmL0UVQF{{@PER?V+`hufX^}S%3v9& zCZ=qe^JZMKv6!)mSNT#));AzeL5vTpCP9Aags!pB62pSL5DQY-JeT9Wt+nx8uw>rD zLeow$zD*$q%=IH|Bb(C;bV7ujJQWSpBIF48FimHHO~3&Lo+L|TK z=?;`_yN;ODY!`AFn`}Geb5N167W&rY%lQoEdyE+yVBtkW%w{&uW8*b6Q_PH4G2d>@ zIN0of!F^SROdT14z@P9O#^x0#J?fV_m~+d1iJSySz^RkX`@6UraSntlI<_n+&NK?N zt`H=^Kj}l*-+}c^G|wXVuMQf8Jf7z;T>=ZjrT09tO{=Zg`K$98XmUq)+G_guKIo8X zG^GrQlNDH6L$~MCntLC(rr5GoM9daDpyskr{RSazIRYKvOUShq*AephvwfVRiTy__ zMl>!8P96ved7xliLH-CLbpkrl1K&iq+tnC`-)u9)b0*pZwy{X^+BU~y%)1RNCZ@Z{ z*fzceV@x&^qJCSw`nVf_-V9S73mjJr7B)=LVXRKJD-I)_XSH{9?@^M{ zMx9#3mRJ-9(Ku`T3T6x$b5!Clg#j#MzwTF%9b&#vM`a53v0D8>&iOtWv3-`O`dyxh_}gK19fw-rfW%5OF0w79kY*lcH^A$8Lh=({?NDCwv&l9 zM*0D#>e~@uEQaPq?u?V|>3|G%g3XCP)QBy+$z_#VU?YC$$a!@abTOmmHFwFGEgZyp z@ylsd)=brGOl!`*18aJ^@qswsh;wiBTaXVXvejoXnNQW_F{`E$=f%FX`ZVR+@j;yY zO%S_nabr&sw<*HJ8MZjNk^EEgcTn@h`Uj1k*}#wg5X=x7LLIeepk5-lokg5hFE=*8 zdw2@-Da9&cvX)?$b~Fka6$+IphnFJ-M7fgGHdQ@et|f0?lo;bgQZD~!zd4@cq%Z$t z)xv3k?{PkzRCX?qmpZ4hU}1xfQknG!r+|YsrykS2bN)oJ=itT#3cqm|0mJ2WOwMW* zu_c1MIwWOe^G|c-;a%dxOwryS^KE{w zXlUiz?o>RORmiIYDM85RQ@ue2+;SNdDP|Y4_>6G2DLh;fCJ&tI$9qXBtWt~n zt=d%LjrKa82i|>5XO=ZgA|^)pW)it}Y*FilQ=}W3m5Lnm1`8-E>M%X*Qhxb?YbYwR zq86L(qlmZ~6?+VJ=;=4I6tGP_?BdQ5u)yP_N~=Ytr`}6C=6l1pZQXA0aE17>XTo0w z4-<|oGu>ttGsywlz?`{_MnuAmsXh{UnmpzXk;Wi+- zCF*qESK@ix@DCG3RA?i|VgVi$-|v<1Qp$pY&|!6s_%V?9oG&-d0pB=YsMG zlAl>;QnIwHT9Uf9&5_ZrKP-Z?C9|6|o3R)@nJ#t(id$`^*cHT_Y@F#^#zS^XeGzgt zHiY|Q+WjY0NR(m%q0i7|B=)A+Dcc{3PYHr7)wl zyznkAg$Zm63|hlA)J$!xUg(!oPUEs5 zc2X2_Ry?@!8|iRIiy6=jMKN8^bS6)9L0n-*Hzf zX4e_M!}h+}x}iGE3#waKKoZ@RvXj%4dM~l1V8UBPoKG-L8KtpDcoptmO$b}|F4GNV zyk@9Am-j$@%3$ceT2Hg5q;0lQeO?XG)=4*%G@!N?a=Q!eHHCwxUdFwQOk*wW3)_JT zc{huJ;X(S&kT{TpOa|$ryX*p$+nX(6tL$824AO#;x)XhHY&}fSqD7%0M4b-*015C{V*fF9_4|-Vk|mj?fTp%=IF}; zi={x*mxWy~WBcGVa}xW&>oN2e2WzwYl{Zoq^`tO!numkL-YQc*+f`&ZpMyTOQwQD} z$cMYk#Ba0OCIvR95$v)4Cx%(_4BJQ%6KwmS5xF#dnW$#m!P{`Kp4M`)@>#L@3BnTX zq<^Bemd%L?=+59=;q=r<4CI>(hY9i%xS^QsalMb}YpjQ=Jf&+ru3ZAf&m!JY(&hx7 zpo%odNk@E*=b2Uxopz0RjW|$X7%frAW2cXlV4s2<&FJ|Tqc2_9L#U5tU?hWEgCVSD zIQkUfJ8DiNde2#*;9AJ7oD`F)Be;v>j2M!!SJI)flvu*Q3WwOjOqbPE>F;!L{8w58 zymKZI+R8Le_He${F+oD&c}nf1e?;)rfu_WkX0k6h?83e%!%-IcaSV;*09|K+v{zGz zq+Bf+dnL=LOb_VkPkHn$Wz{+NmD*v#+Qr$ZV_QPkLas$u#9q5fW|3 zfd}Z=ZZD^`2=9$@Xh>fcdTxWz4*|c=BHM3Nn3vAepd8u?1<@&y0@O={e9-vA^rTwn zQgJ26m`2`&qw-vX?8?(o4h`>t9H1Si^_?90ew)O0`lqdrZYab500030|9AmnVPIqs zU|?Wi{J)c#5lAxuu^K+FroNUDOF w8CZZKFaRRw?O z(=Em)Zj=0XKBx=bmVCzl;`(e^57b_=A?O3s_1k>UBd?@NYDZjZvS=N*8giKKdUZaar*7ly9* z(E-jowqsDiOaSrb@Z0rs@49Zu*9wdMwCXUot)|%BpZ0T!ok00kV%-sIsAU^W-tK-LZXMu5M|PH zvs-(o_r_EG8hW9--p8=w2u!i)Ii6pD-xiE;6gzA z$i+o-E6D?H;V7jzS8W1WVi_OifS9_R)p)A)1!Ge8YCLdCjdMrw-vZ3jtH6 zYu{!}y5*pf`v-1VG=xx=$)P3Q@&;ELh{&O@QC-E z>%)3xn!0$V*3T$OBsr(CB%uLn4i%CMD&lZ&&vxiIn&g43S6f%+T9CZAzMoJ$KC<9U zmv+IwK_Zw;;8q(0w zAR{*zAb7!|cWOc~sUF(GeQ!=`&Owg?+y_-Wb|E+=1scGWZG!!SA5YFpdV5s(n{z9V zPEToJ}HiD#X0`c;Cl^#nbNo~637VQ<&q{AG2QRu8UI=Bz4{_@_G;5{|3 zLG!bw)oG5xJK@@)C$5``X)O*z1op=CJG94N!YK1~?jdION}tko=&)m92@Ynn;1LX* zBe5dkaAP|ufoH)CyY6Qjb~H@IG|@s*+`*xcZHcHr+Qn%24BRCA=VH+JXydv>x%d(a zgGfb4FGuf2!^RnunnL3cpCCx_ZbsD&>Y1CrMYOD}?}iVI=PQ3=4)_2zx8?RDww+Wf zo>HDWx7Otf2P%^W@IFMreNM_Hx$K~@-UK+e@=<#3IZk{6jhD&>Z0;<5asa&q4^p^h zKq{v$hfT&I@eGnk!FnM`11koN(hJ-1&PKXGKR^-$No^Nq!m*M_O3k`y1@O?xVIbcm zbdD{NlC~bGvYs%FIW2~pHF1+IxhPZj&g8hh%y`{pS>O*22Sebocn+=1<1o-Uu6!Dm zO))vp0ojl(h~IFtw3u888*U!&x;+O!M;UP4g$!AIHZmB>p6ziSWJX}f$&NmQnw-S& z<89#Kk;%lNJ=39!1X`kd2PI_sz6}|wL>7O2Ka+ukADJJ~_zXb=V)hVZ+xJBG@fm_; znyvUcw2x;5(vO(#oE5KIaWFf*kPZ7GS)PcvGiP@2iMWa??*ZO)=kTr#wu)*a#*!+D+iaq0>#v;mwr5Pc<2 zP4W~Izqpk6n7ex)aAB6KTs8_&M+8-oDyP{k-5W~Xf~w)g$S8;WSN9rL{r*f?---b9dxVum)${`BN1ixwSUWTRTt{s$e zl2#Y(`7Xr{hn6q1P2&kR<3gc`ds9j4 z?$z+^?HNOJkhrew!L_h?E3WX4b=PLL@U?aH{as1N6V!eMOYMT$!8MSTq!||mjpO^r z#;ZicU>A8AZsFrmTg`D%*Q_{!M(d?c7WFG-@ zf!WzV{N9Ivrk5bMf3+SYb)~=!)kRZW2I|nWh+=X1(Ypze5@%oiO|2 z*mE@d;Sl-Z{RPqmfAHQRLrtzDsh9;v3W+|wK<~Pdb@60k9(A7$aBY2C2TS=0)35;} zz7s$sJ3KqX1p3q&O0K!3Krhv7>8EJ8OEJ_n^nTpuAg@$c- zo7pv-giYY9fKv7LK?}awVBEz&5PP-Q*Ybt^84^%0Mbi}4QjJ4p&WI0ul!RM2fbxJ@~0 zk_Cyw z?{$)W-u~e*V-i#lyg<|?=nINY#%${ZSb4X8<(>hXXnKpD`)MH%;DYmA@xhrZ_e?a8 z9V`pZdGCJ`-^GOmsa)XDGg&#NLm?BV!YJ@lb@*W~xD4gclx^>fD)P>R!rG{LezY7Q z8&+o#zKiGQai?qHWke!Le|`pPTB!j7w?&*0Np<_V=_)|zdzBoPFxWOMH9M&^nj4D9 z1RL0b0U;`Q=NSSyq#HAao}pm_lF4$LZP{U;?Q+MamjUAPTSRYwZm#8vnk$A;o6{VI0}6_Zd-1Z6lQ78Fb)8K4cp7XMj^{xXHq!y$wFzEWd{V03f2*w z`QTl+uTi%HH^z}jMf|unU@*9>5D0~MQA75dme>A~B)&oE5kknpJlZ*_OD&P_)6&GxO7c?!X^vSYE4?qoN z?AnTGn2}y4gjTEzGt5Mqylf9?;8uz!NnQ{S_2|CE+!H@t0U)H&fNn|X@{Dh5kNh#x z7qV?mwxWwEL`d_#2C2zz`X_U9_zgkR)Bf<89LhN3S@E?U*k z6*}?V;!pLh)@04=G~n00^bezWsr$^MQKHluN_E|H?QiL)+mOt@rLi{{vMo{HW$Q{CDd5H}VhF%W$c?D7Tlb z|Ao99dcA5b!vp?}Y&Ekw{{yuou(ynRVdljDL*jn~|0mM_Et-Ey@;|ls z|JBmO85}c?>&T^Le^LRN{nSQugF!#X-bEM}vdEzCSGD;-UNe(1;i&n#fCH5+uR>PX zn)HlzA3qW(5&9#zM&nv(K7B&66K;W*9v*-!WK4 zW~p)roNx9{j1&UtnI%m}m3N{yCgJQn6Obq-V7#~vKeeUMjkxsAV;%QXpm?<109GSL zmqp4n!hoiLm!5FaM7!I+k47?=&jxpCwQ}@%P|Rg>e13TszoZ*m3!E%hDx~%lnJ#1! z3(f7ZnY$(A`7}28b8l%@@>vDn}>Ja@GsLFHT2A-8DPX)rP!t1K3{ z)L?{vA(8gt=VVje+Ihujy=zjHg;!`-2g2Q0pA$rxmxC?|zs2j!-_1*<-vh{{=PC)f z8Eo5gI%5i>#pt`(dJ^wcC59)$3;g2^f!XtiG=F)tdW1!&u5k{mN%bEQ)}L^*@Wuaa zxT;7Jp=L0dEKSLNLjR1m@~>HULt|gs;kT$svcgF*L-ixwVm@kL`&{S=T7tFM)W|+* zbA6Uw0MQVVt9DLLnAW)x=*+^R{zrk8FD2#k;q>)>*oChq&QR&C?;xf(f|F5QD3w1) z@{Fi;cw_u|%D$bek@%B*=J*JiI@<8Qn*H_n5AEfSSJUp0E&4WAeQ94x9`SO=N3a@J zP1ycss-6D|yC<{iVqN!!;B>ejemuf_I)Am+Ob^Gc;N^W)SYk16kA~eP z>_Uk=IVK{0htUTHCKg!*`0$vYtsPEkG4Y}24pBBD{vtLHg zodR{*ewr*C@<-U{^57$!ZF@`9F8OiE*o(of*HaGT!#$#UK9I(IA2RnjHyw^gwQ_fW z3z77cP2`m1gZ;awN>_zFigZl04qCzu!W7?n!4zE)P6az3nDiW}wE3w8a^kUeqH(Ja zG*vP+Pc0D6sUHpq*C{`R!g*8t|kz{_G|;3ZKp440Ci9h*@T<55V{JUhh7dY+j&8N5 zw*a_*H;r)Zxn5zdW6;b)DKs%Bii)mTJeYHnt$lt5ikJY=DBChwSa~cRfoPYNC z8J}!-NMN+_^tA$F`YSU}@2dlxXMRjK3h|r|X56$3J+4|udR639aqsjqLj^F>c$PGg z)tA0%;c@NX1G(Sb<Nz)#-_c?j9I903}M-16>>oBYfkcYc3idm4~?m&~j&wxF7> zSK?f|=k&JnWf!g3Y;h;2|8)aEC{1BO#LzPUTE$-Fu%JMN?be7_;$S6nNe{wZDZtOu zx6Ham^zHG+(-dV(E^69_N3Ze@Ch>dlYkOM@wNunFSE58OdPerX1siaGNb%O0i+!*w zzOb%efKBf(u{B95Wr{>x4+lklc+D0W)0ItBqFxf3x-V+AZ%^2^y6Oy*le$P8wJZ49 z@D6v0q&~Kg<*NC5(fL_J=I`oJY?}tc9R0Ca;dyB#9=T@$ z>I8A)nvUNs_FMi9b;}4>&P_Ff#3EaW?i`k>`@R>u0d;v}lV2k=?XdGLT)3ao;zrO8 z3S(VM*QTg`xy^vDN-cxjRdz02@#X2c-x5{q9GdIxuE4JqOu)41d_CTk*&$@*9CH|V zl((0a9%~pQ^{!+p6F}I7n&rVgcPzMIFVnMltK!ePP3B3?(k)iz%Dtjla#Ww8d#{64 zuoKE`c;#E*CyfEPwE*{#0U!5#WM9&ukMebHxUn=hROruo@*3*gcx_c7mS$iB$KdO{ zs{CsPRC~oHCUWLD9rYNpTHhZtn`U|nQ$+Wfs-9CUsB9WO0-y$`lS89ZW-=8)B-PX) z{EL6DMmh`nJ&`OLA9N+cs8imSs7Gz zDao#99d6p;U`6`ic)GT!rVZqflm&-BNA=gAJFx3IPLT7v2hpZ?0Mm&2o}e!wG0ae& z7ikBR@fufkJMB}}l#pM|e-Ki_u6q`ES}Ya`giyW~NWR1K@)%=PRYDT!XS+ZW|Xu ztb;8GnODzF9;ET;z0|$*od%sRkumjm zq|guXSKGl;yO%cl_mppD@tD9y12E0WJpEJAG%Pz|CZrVs?_cc2g-sP3ZPqDv#5cKi}p`bTzfp=4>ogHlX#o}m!5@A z>7DhY$4OTdT1t(9_I$)B1Sr+Swna7hNAU_|*i99<3iVs7Ls#6`IjDQ3wo~ zw=tZ`PH@Pk$#C?XSTLhP^#b;t9r@Mc4qab6tX*XazttJ96OXM6Va`*^9>~~iA2kw$ z$MR#bPm!Y`f!eI*kpkHs!Pz1q;WxAP(bbt%-%9ET}OLZ=1NU+f4K&j>@dFWoYrx z1pG(@$c<3pA z@O;;%?g&!LuyJp(h_EOYW}^I7|9R2Lui}X|nW)yIql%290=)&a1O4drl_ge>=TF8& zDjI)fDKB)hz?d6GKYEm!omM<&NZnlhZgue5@B7~G-nm!WZH(EknIoOOW zHoD;`HtSqpdn6b9dmWZ+^Qpk2dBvlKRXQe^H9Y%}=O2Q;z_RnXEK6scuOK*c^!f3$ z7@XE3So6r>lR4f{1bIt_S6F0TJ4KVbr*9CDy1^d%;#(lHTo$3tn8!6iOw;C{^X1+M z@8)Q5TW}jEf8S$J%kIM2<^@}r>)V zO|xQC5yJmXfa*i(%g530eygaC zyj6Co%4;{>gs1b@#VUFm4!P?Y6x0xRcm2Mu77l`oryV=VJ|j{mdSBxNIH)?dGxtK zi?o%sf#v?)zo2bZ6_H(>NHWMu8YFuU@jUsC=Bcv31%Ag>1FX3VR_kNM?KIGZ)v})n z&%eDTdxzP=^%9etTi|Gr@+B=H(pR@Z_WQ?kWpYfzY#g7})rYs=hbdZ&=0;c4rLD26 zwzr{dpI9`ODqb597ku>H;8G~<&%)!KazA= zMK`(#b&@Z&q;i%Gld>OJi}ie&^s4&LM(iNJ;B&ph-WU zJ94!lYx5(2)i3xA&cp|NPhvCdO>Z>jh7@QRn?-hc@R`c&2KxkDD>&Ey=CNZgVcW#c zq(_q(O5Y(LZ7ALp+m##F5zwB8l3(7?O8wPd*p!ua_A70Yk;hpu1z~7t7PZ{Vj z8-*%95dM&-!=I>>uo;5RZQhy%6 zG`9{(bU6C+*g$|pOe>`AO8@0B?8BqjcIncUPXjp|b^T|p(%k~^e}=YFDs$l&YTV5bwlx_Ih3X7}qSb z<7b6fDP5p68X(OHz-O3XGiShZA%3wWmIO5GAqQMDaiq)`RZ$dALhMJd5Hdr@zV9d* z0H0M!f*N%UZ7uLCvy`pi{?G;;MyX=Sf?Z{>OOh>_m=cj75^T@1mK?4e`9dK=PZoK) zyg+j6UB4fhh~P;R6pMKq6PxLVQ_aQ;r8bf`=MqzgLJ$WK8L%TntO^~;a+I^N+rooC zsxU{|GCnunVm&l!EGarJ%Oa8RQ%4K!C4=~l6^beG8o2x1m;BQ)Eg@CviOTGDCSVdB=EuAna|(Z2fR+r>;!6O(EWge1ab?1ssoG zjAk;lJX$Gso8BG}4u44lugFnvD)|Y}^TP*$RiJp~T8I}{YX@MAbp zG=c%)r@*UFQ+Y5p=#_{Kw0s3YHCEi>OekLeyRd{EQm?Es93ih$V}bej*0LyI(o={a zQSk4!=ntkFH2{C&a&ZfYz49Co>|A95JtqTkY{c5vx!5WaR- z=p-y?gzROSC<;v%b}uv-0WMpt9N&-Kj>m`I$<}jYJW>l<{2jzvN73^{bnQPl!TT24 zl8AY#cC+TK&U7dD3|avOPGp|nk16oNE8H#-efe%!h%JSo!=dU@(&e6YDP!VxhB0xy z&UpS%__H!afvz=(=WAgzC0?tK%LWK~iJqBPPln?onLUR%$IEe|;W0_#0l=p{<&DDa z`!ejkb1<2k63!(KUn6b>Kv&h(JdGFTdhN|m*^^D)!540-X*1}HYcU8eJIzlzaB?k| zuB+*2cd!uNG!z+>Z^Ex-%P&c=y7iCUkL}&&m=`g4SxQ}Ar(8RzTg}9{r>E&_m*u-s zKW#9q=FGljDyoqq`mMOKt5N9%Akb9wL75BosN>Q1PkmF`WRFh$)ACt`&CZ{subrdU zEm5G`7PtkEr{g(kRJF=?Ly0F|^RIL4w!;He56kyKY(`qUn9W9A1ng{#FYN5G^-73E zp*;uWM@wu&{fWe{)bJ2w%8vwM4sTSZXNUW-&EBW$pS~gIceEG6S}zNi3=c1@Y-^P}0FT##l9g|LE2?udz@Y~Z; zG4-W-gUrc=YNR&VKHg>MmC>z%XWgZ zmAu!P^r>@~*FomSmnGfh<_vceS`H`6?+?YJonF_sKY4@Oef4=>(`$b_xo&AB^4IRq z*$Nhkc82pf|8If=f34&@hmOxH#4Y>q_7aadW=n-K?yE4vkDIEN8~%|@+yCpdZzUwH zla>q}sxS1v(S?C1t2n_tqJA8&>q|+rFJa@F`tPdP&TkAnEcQ$=DD;#{pP|7|c(L~j zWzg34tH&E>By~>FD!`GqJe!vCN^rrsiP;ZVJthN0bHwnM z*7@OfbEwHqTLuWbeXFZd>+d|N{7NJk6IWl@l^gri6gzCh{_w5yG%3zoUvoF+p;Prx z*J}*2j{EJrAJ;_myr!R6i*{a5DKvR+HS8qsqkp^0|Dlz7^ECAnt+<)xS?3ux(`s*p zJ1wi)dyneD_m5aB3$kgMd~eZWT&fVL3bv20gyvAzzq7_=os)#Q7#uzw-}50#zt`#r z051D9sx9=el(D&qFHT#;>f;@GQ2>EjLl&^fn6mkeB=C52pl< z^RyX%^ukbjmIV;Rl`=&qVb*FZIl4K^#Fv9vH9xwI-(e!|Wn^=FK0d7e@l@+(#mncW zS3N`;Ub*J)%wOjC@nGS8bT?c0N2lW3%<$oc1#C~mm?`Tm_Moeu=Wznk@<3?x;AE;) zXX6S$qCE|KcWZTiGzi6Be>dwh&V7_iv1GP1YL!_WStI-vt4{_`ySrL@-rS z#m9l&Ct~)fu$$?-{l^L(Pv?ZKds=veUUIUUoQ15`Y81ZmJz}&)al=q0FJ`#2z5wH2 z^O9c71UFXX+I)d|i>BoP-@}TFa0$pVd_uF`Vq=!6u+7II^uZ5JJ4PQ%cj2_PtPUtS zlTo{|DvC3$wlM9L6zj6i%h9c$RS4vw0=-JEvqCoF?L1Couhl>3@MF!Qu|!@)9m^zZ=^z+dWA*r~p=kL6d)W`s{tCXlE;AeC+`=cVgucBxq zC`@AKuR}NOtK5X(uaAfAj&R4xvX4Qk5%n6{xQ9|+3Dl#*V~0N_g}6+`HZU_1A3_V> zYSy2>jdzlkck<8}&Cqo6G5XXwjrMo^U>`RqJxP;VX_hic(%>lbif!uu<#q+l`U-nl zbZ_{19Q_)42p{+GpwI8Nhqm>_usxh>q4>@tCVLg=Val&*!32)Wj~29M+LLrFU#BxG z$DKS^L2A`n_0Z+LG<;uL!sIS|j@!u2Q;X4W@O&jUR`MfG{v*yHFYdov2(=fTm}hv6 zp;dRz=~hgZ>dWNPd%&lEOTP_Lt;x*CB9+G$Tg+$T<{3Yk;7sFxn;lSl5o<@AIvVtm zAd10m(_R-pwbI@E5RXBr9)mNQmiDLlG2w!I(LIzK;r2AZVOD;V zbl!B+lks^_cUTnY`cyDL29d1!qT!x)b;{{vFQ3NxFH6&VTWOhUv7mk@@rMcbUpHEc zMVoOBeTZl9mSja$)-GjaHl)WDaAyFiW4U<;&A&+v`Q^pr`DvXM0XbDU z#0k=792OZ*l{PumIm9~xU}RgW>ichb#ztG0JL_Ry&ezH!L|bY<^mg7VoZu-S4qA>M z`@JYM@ED(d^m017kP*S8`|PGkc2q6b*EM-85PA&G>5lt)`e1%GMzgAN0y74xMcNjb z9IR^TPbFszPQN=XP)9-cl-2T+*7?cki5Uil?RN4B3B8+??$B8&a+A@r8#Wm^mur;~6D|*`j8Bjx4QMqzN}= z-U+;pzhzGp)w~*Q6Lhn3;K&L-J~Vgs=HLx%mvG17(XiI__xlee7njY#m@?AI4~VRi zW`AzoYcWxMA+#yk$a$b|;mRx-_E}r&@heCg8hC_e)pVuK;2?$7wORBxB`?}CQZk}g zG`>ckzu}X;yjv38#-7?9aHBrQXzAjIWUCosP~mTo_{a=A&w{0HA#|svz5S{!F9o>{ zOhK<8Y$K0TyCyEZR=k=AZBY%2Yf;;I(D9-#-k*6Nq`j}jL9Yhv|S3h5`?bRK^5QTNk#_0P)=9Lfkier?OI63UWH zm~;S&VKeXP=Lxu12!#ch`C6724i~_8)g|}BbcNL|)P{iX4)345tsiF?&26K)E_D6b zkV-?GGiN8@tW2d;AUs-{Q8u??Uv>b9^)jDMlGL(UF&hmlek7f-tPE9Vu(iwF6u)xD znAIl4>UTpnlKkf~MyBq{ejhI+%Hp1?l95nC&1HdSbHsjmozP86kI+zq)Mbya@kWY# z)P+t>w4a+VkHDCFvyz`zcC~5#_(WN+DzvMfX?z{>c1+UNa`%hM{ssFJB8J7 z>m?i{^dEEur&@+69zM6}m2@xjXM0b5STP+*XtZ{UAspQgOd6gUvr;_oDIf{W6RK$O zRI)j*$-x*pZaw=OOCJyYo_Lqr14V(D@TNGkt>;Fm+kT0ZyfsxE=_0Q2Qa{%3;Ulcl zr3fyY?hMui3fG6K8}7eg+V1yiFe_PZXZ7$g1;8Qo`kMxob|Lo&!%}vEy)IF9$){Je z)haAd3Pq139l%i*rT6MSU8(h3;?%NPSX4^GNX7G3|?|SSoW#Sw$GJ8ha;Yu zc4h8Ql$s-F%!2VjsQ6C02j#PRpvh2xBTxMTP8!D`MA9G2_DsdX-@EX#uFl@SC#Oz% z%1lOztmxegq}tTCHoh^K@>v|);q|I;_SlI&D9NptbBC0d#08&Y_kwmpAT-v};R!7L_475p0eT-IPYOQ>Zu4#ax;jjEJrB+%r%uh;su%XVL zeWCRL9ipa?89p2fF%@;1sr;}ffX(Kv%+ga8d}U&no&VC?L~Fah6vO~G=RBi?0S*%Mw?|unBEed}qh2`cfkA{!E#LWR>I>P^`55#bz8$AbQL0E^x z^^nm9M8xIb8mpf31?(U7=~2OsEhhK+ze!E?6ypRB)G77kj~Ksyn<@646p!g=v8%8f zepd2-;1Xe&FQUn|e${U7-ieWZ)RHh;(#q0jvB3hI9JT1>Js*~AD`IAM%vk>PKljCh z*RHlv7O=7P$nCjho8EC_4XmPVy;ttJv}e0sCsg8k)|%@blBm- zYSX`zOTBxAYAbSi-)|(gxMDePBO4 z^Pu&8rrGW>GxfA}K-mYtV$AB#JAzT06S=-Uv0XluzVgML`os@tnDytVsfy^6+ko zhf?_4eCpGJ)&sT>SPx*fqJ$}Q4i|xlOQo&&3ZCQ?*3^+eD2{Aq1GkH)aJekNN= z)LY}~yq=Q%-Xh(Wi1i*vsiPS?*sE18Yn9jT+^A>*g9daa(r;}|BbyY>EVUPsv0&(^ zdwlf4!Am@r{$_nBo+k3t+=$!IGQVju(p#%^KLuNBFZTWs#UZq19nQl};JtEsY_$Cd z8krWJlzWRLj9(BPcHi*-{&;w>VL!WYLalbL?#6p@uBb=zZBMR~Z&#lIQz;57Fw66~ zz}r$U$lFiI*@;-#o|Feq2hNeBKH$}RWni3G&aOQ%?xKLDK8I;(pf5zh`Er8c z*QFy4gLb|;p1yI`lAq}a!uHF!o%0#pcHMp#pIW`0CdWj z{@l{|VrcApdvEjk&W{63%7>Gr%jG7Z`HJ7YV_<5BYT>M?NO@3KMc&JRdIo!$o`AA- zG9W!UFp_?s<;2dsH|B9P6*2#}$GP7(Z)85%-9>N`axSDm7P(oS$jsFTAKXpiD-?Yz zO?lIzGM739fsJRF{5szwW&v7OG_k+ym|TJjB>Rb=Ddlpu+r5(!wZg*RF8BhsyAi*g zdlYCtK2JIm%2H2r_`5GN^ijwcZqiBifD2x;gSlGQe;QKppkz-74#E^YrGL^qgWo!Y z(Qi7J#}UTVL!8wJ{_#$e!MO6aoziAyJyOmd!jEOCprK3bu?7<0&F{wV{6 zbgHPT;+Pd@9K)UR)Lw@)#W4dq!ml`J9m_K+Y!ZdJBrOi$!sBm3Yj+;PCTy=+cY5tv zhJ-oD=!gD(5>26VJNKI17kSLM;5*M;umu1!SJHVNc+~Gr$5+QTmy5d=36@pVup>r0 zD!*2xk#w|rTdume+?}NX)pjypFb+xe|2Y`JHW-C^9Pifa3L1; zEGe5y>YAX>r}N)NDZ2JoEe}2{{nYmDjbZf zmgvsQk9Nj%Ivu#oaB%{M!9wPex!f(0-I+`Q18NohRz-Xbb!qnEJj|N2cSn8&vMlaJ zqwi6N#V8q`wJ#?r&3nk%=2l=YN8iCL*_A(-B@3(cdb!5Gs2jdi_+fVD?2cPS2K=w$ z2h&IITASTwV?xs|;<^t!+MgH;Ofv|_&Y7)n;UvZs%5Mr$nCsSr%j2(ADe{fp6@i;| zSiWIoaue?{xzxH6A3hutC)1VohrFap6B7N(xi0FJ}uzc z5H`I;cMhe@<3LcN>rLv~oFivvo-jnOf5}a~JR5r`*Er>rO@^9Cj;^? z|Hjfha{`qge51bOH>hSVX970$x8ZXe7H_eZc=q$gbsw@z_njUF=C{GzevF%KT6K%R zL}xABg{QCBKYcjF>?%QK#kj2+4R5+f#w~5$VyCisNyD#R-%BWR{+JhE&Fb}Bp@w@P zBf*a-$D~s!Ws?3KDYn7+wEUPxozs?SoWY&Wnfj<{PXH<=@l5Re z(#YC9m))id=BAikiAvrC>mU0Of1oey#^BfIClg#|Hz@3V5P%i}i1G?z2Mv-0M-if>w!#!(@b@h)vJyQev6w(m%bQ3(%}<>$CC1S!S5AvNP^ z`Y>+^kbO3M--G#oQD`FE+^M%I4sSXQX47){v);!{c|a?a>U8T}X(5xwGZ!|H#h0-- z>}s%DzLw-Fn&sRu#T;2!W`E?@reUrtO3N=`X{HqP-6PoQpGSX66-h35$9F}8*>s{# zj+@8C(j~k^?^q$BX>JH|9Pc2k^HcgB&(JIaPrE>3-fG1Xn7j9$$6ZY5HJT}WIHO8l zU7lsORv~7@rLMot{AhLH(0=APC8-C%;vD*rVROXTQ%ZsR`DsJL=dh9a#L7b4rX!}7 z=OXKBF4B~^Dh&7KP;=iN@;u zc?LLXDC3S3|12>4A%@v@u|*4g|Ro8rkH?iUYO%!d#?p62&? zt`%Mtpq>37^A4{4O6M^_>*sVu=KO;0AkS?U8K*fv&nlCAg;semPs(>BctP0?5T_%a&v&?0K-2S>?3D~y2T z=!2zWE}0^vE)f2!omv@=t}EXh)-Jey6Rr0vl4g87nkxkmF)* zr~xT4VvlD1u?bU`_8jhzZ^YQ$E!v~fIWA2~?!7W-Hz*Tpjr-+@ zmd$ZQhujn3?;ihWlu~rBg@In&KxI!|`xShLv1;%&{u*a|-_XSdZr;!6hgdA^soix> zBJKxY^XK@otG<~utrd0B^*>YjVOj&i&rnP9$lfIXY`!9oq z7A^G$^PY0;pfo1a8O62y3AHIoU3%AEvGmHoi~o@BpDd!Ue^%{J3K;p>YC67LV&fUS z{)+ka8;I;wRPacj%pJZFLFV#;AWGUH_6ZZ_3wt-ittTr$aJV2EhhK}aJ^+G1h@NGMGv5(mzvuDz_|WiZIMZ} z7r(@L(vrgs3HtT_0$_9xnO0NJTbHmtckrK1b$9s#W^OYq$E;lXWUy7;U8Hq5_iDOw zZ*e>+1I0A@;h`_)@(oEQELSgv&^}y_BZ`b;!V)AZX~W*%8OT4$WXY4< zgj$+AfowCM@UiBn2}2?d1|-anxyQ`?)a^FPn{sL!&Hqe=4-y%(WYVj>1Dskma~ew1 z%7@&+QXK!v1-H-e2tq2*PZP!;!ZveJA(-#;Zy5YLh>HeX>g?L z13VKIphPuSc$ng?>vwkh^yJ3I4t3+0xuC7!wEc$+&hW}8);mbJkAh+xPv*RJBG!Fo zj%A?Zl_Iyb4%d)YBKH`UwdQj4DMP>41^l8KSL8Rs9w_?YffzN^d(^c+yL+ml0*z@g-Wn zN(Uhw+{yuTP-V(4HL>XhO>2Ab7z)E|mjCh#flQ~0m`9cHtX~`C$=~*57QGF+FJ9b4 z)9wS}7Ad2cpY^xgmMq5bia^z%OvLVwmJ)f)DNriqsG6A-ECiw{CcNQ*+gigIgN&ovaa0Db?C6U?*luQ&SG9(b<zs4WIB8k5+hF}TDe^i!PF=xEIh84)uqF46!=zj%`fK-%VKC* zEqrDq>M-O}+a+X<Qt{C&Q z)@otIJ8$E#(+k5-(xu>WZHmUC*xDv=mj9GsX-P*9zwAl57F6{wCe$yf(SPyd)^7^b zZMX_#4nyJjcmARX|GFc}nG1{| z1FrchFOY&@NCfkW^at3ZMDS-u$FK?x-$@=S$$GGfzfBK_n-r*oJDtxoYA**>+_Rne z1(tOWtKk1M3+W@qsAbbZfnBi^qM1NlNs6L=}nl&7x;bVoF~(nz*zq-L>C*WdGqIuXW|+ zpO!v|XRd=Hms4}2@9zuuxagT(d%7hj!s?t+xVaH*s{P7_;jh?twYk;<#1lHE;MGqd zd`_ciMpcEliSCL{#KHl2a>#oTZ8IYURU)K< zQ6OvZkvp6@VgMD;5OINT_yM`bLU*xfD&=Gg;Ol>Pd))qF_V|Diu1xqzsv#m4MTn$^ zN%lg53?uGVq20}9c-#cHr_vWq-+Lv(16{)Y zxlF%r{A!3H(3q4i1=#25o-z3rua1JNyv)th({<=?a(Cal zHx_p-Bgb(e<_Q^O*e4Cleutt#eR%n=&hppP@#kJ-)n6!Apio6^hxfpI{z;`byen)3LkL3ZrY=qs&AYv%>*EJV35(>GN5t)9gI6)7nc)@mr_?{$Lw@Ox?YG zZ~7s)0IT6w!XWzgFU3`Ui-y%WN+sD?BvfKG;EJWYcu$#;*tGsbzoyq|hpYVAR1crA z_MQUHAIPKf!qsWFeoBX^`_U-nj+urmHCW8Q?kl=W-L!JGQWJG^t%6p*fSehqVka9e4*=3*8A?3tS$6N3{;1 zj(z;H(vRaOz_Ez~gMEQN1D?ta2^7HJcG@kJT$DFk1-x{!YiJv!P>(u~xD7qKx+Glg zD}-bZP+tXjzUTCG7M*UZe%dY^p~2JE5Y=lX7jsF_(}>1`lOix8V@?|F0<&unjJ6)6 znYXOHid=Uqluz3f!wG0d2-&>8VeZd4_sL*B_Pam!TC+j{J3tQ+prdK^?R4QbE2jCV zyj~A>m)5kPB8}`bTwFfqc+6wd+kVbJ)c9dALKQs^FF1o83jTp}IUEcy?#*m3dOXJv zthWWY{tp0!KzhHfy%Tgv`tMy7^}07_OzIiK6AHZG0=|zzJbe`G0#A?(WdU?a|Lu-H zpP#hCJHTvzpjHrHdY_fUD&PCq3FUV|*@<78P%nS9W=W3s*Om6F{(rCIX`dyxA~=EB zg-svV@gISn9)J2`H-sEUwqdz3NNf3Dh&~hb-@o?FYgaHZdFS23HZV^)tyeVOPwQG@ z8KXQW^MseEq*+U|oyaV^cFw1$3g`7OLeS(}+KyAD6n)Bk1ez?Ur`;H$Pdf*#l3&>r zV`DN1)IX2^Gj3*r%Z2_wrL#qrQ#-hj?uV4qw}C{D^NBNx`MWh6t!5-OBz{`Y!t#(H z{f8_41DWv$mHz>?^Vh)N?#Q8QcruOSA6bDvS26E&{B0FO3-B!QI4XWe`S+lXmK;Yt zODjGX@UfLA-*R>aD=hjg>6)dcbY>yVJWu$b@;CbE7D_8tsY}?inU!w^ovb_}{8Dv+c)0yd6e-vEoCvoQ&%el=SxMRhEw`{;V_l+@A*jZfvm${aNSRxh|(nmc|{-sBKF9+VwIAmq*_O ziPvrlRpDQs-qo|mYd-^ic}U;xvR7WaeD`JZ^@fXjK5E~6swAm^X~JRgS6Y=vje?~7 z9W3hn0ku<+q>n*z;ec#RPU0yG4z(*E)g>|XDanSr#xbOlJh_S_uP=~fTqXIkj;}5W z7P>*FtR#H92#E|n=}Bsry$^r;BdC1K>TP?FtDWm- zBuMRLOuQt#nng-ORU{c!NvJ@cN55X_XZ^^oK-zsK<`%}Pl{sDI*uBd~!>v92Can4o zqVsZjJ!K=gO~3mA#ySUpzpY9`Yn*>*rJGdd;#XW_5As_6>MhV9-T2sZwjkm zvfJgdaNaENVoj}Cq!vM!8rVDIcz)i76_aIRW;C{6)ZCICA9$nvwJIOmp-Rw|ZHI93 zg+yO^sJ4^-6t3?YefA;!rGjfkZ5YpX`wleq=c>WVCB0T`tCUqVcuN}nzbVk)+U<^g zPiFjCq`E!SheDvdAFH$_cmB%t>I~xI1$s6j=ZEaf7W-hgrjmbtcw^~i{T2BQ^&%4M zcl-Q~7+I>dB9v?`m$mW;=E7Et->p_;JlU!q)unS7K>82XwIcWX z)S_%Zi8+;Z056mx{`V`e(rKhJj<+l3pVay;_uhe zh9=vv@uPXEIM31tHj&c2lIo60|%Pk2YEAMMvPpYXR3`3}IV7)u%!Wj9t>>y!TN z1#2nuVtdZ0mG`n&Wh*k?69is&0Y+4$pi7piVgN0R=6 zEi6%g30P9b=d1rMV?S>ec%Isy`g;9NDt-Ul#fX~)_zRZg{{q#C{8!z&xCtj_wB;vM zKc4G&`*-U2Sej$Hc-ayOd=MoQV z{C)v;Vxj+D)G<6Z`~H*qZx(+(v4HN^?2X{$Z+EXa{yg-jd|!97u*cWv@1l?>S^Cy) z&t)D!A3vVwJ*59g{zKul0{&Kn75jeX!7B0lyej-#)jzWQ`5j=g{wc?wZ#A=WTJ?WG z75*bt_&=b4|6cfdmj9^6GG!Q5{tvCf-~77>|F#1D=av6Eoc?Vt{yJfIHa)%ye|xU0 zi2n~1@IQ+DNBW-sdF@O6_bY#Xn~2A&_;~*@|GHBZ{O#%Z1DWyjIsA_}{u_vU%J&%M zznmFw{O#LlMf#h5MeRcc_#A^?T;f zY_ju_HORM5a}A#Y^7b z+5QOrLt*?4DJ`zk=?iRnjg!y&#~S2g`+HPAuJ37&T^LJ@4r>1K-~XQj0XqjU`Rxsv z+4z`L`JU|J98JDAXnYVqFW(8x|5^ElFqZONQ29=&e$<8U>oXgfeD75QXXTqx z`F=y?dnoW5)O$T^aCEMJTQT;so@J6g@8ew7^^(z_DWHEz>HmVGzpC{6K!f)JN%LEd zK7Wbvn4?b~A5{9w*k{aQ6V}eeisS8G12JJ8+gZ*iKEJLG_j%Ou4y^g#n)0__j%5Do z1c5LZ|1RU|1N*+s*$2ZzJLTfKiu~;^IqCDa5{&*HjlXerLLt6;bUfd>y`kg3==7)m zUD0z`!}om!_*#B>lJhTq7skpY?i-NxEqZ>kihYld(Wf7=0FK=DFRJ|6`0G*q9-?cK zus+092`jC7y~}=)CF|eD|Bpe3C7Zvyl>WyMr!2aW10erQ?D50p^| zybpH>7T5CzPzGU(z=penef5XA0(p?B9vEAady6DgR~c=h6Rjg*Z#U zT|M;;N|gEy<4%T^A=U4K%S5NF5!(^f&p*4E$KT#0!l8NX4$|EAN2`6a@?TYa9^vpI zrdFC2pFdZ8R?(2h|A3CqMoJIriLYI6B>p4n3*^DKY_h$*3jNPLD z>y^lVcPo`HjOSPu{(?t8wzg7X-K4uOwT|aR$$m0!)I!;?(T3S2ZqSx_W-H)*d_g?heinUu~br%yss z?`ekQ>zsA++HcVDMV|E30#9QGBmetrJ0o$`A$=Zlv;70^oa(ewm*1vf`-Jje#(tiB zPh%&PBKdx+pxu4}+15mq&%BQG2C+Wj&_fz&-1l#nZPjnFyhY_(MSGrn_f?`rGv&Pt zX3AOhWPab5h4QtkEIgi3{9f$p4!Tr5qVm5<{e$v%DHa@m2APhB|E&tXFH@UjXNncQ z+i2I!n92DYTUL6orlIN<{n>LKKKV%gZ%|L?HOH%%{mcgDpZ}<9ye$8H7*F4~>*VC0 zdh%5Dd$!_}%@mha{9=8h$##2>QJCo* zeZD=G?M3n()$y;^F&w|wji1u-0|ojW&l!Kz`A5IT*I2>$HTc~U@O{7Xr2ie)_+3Ia zK844dd0*>*&ZMt|F6+p8{H`L|bm>asgH#fQ&&(|%Ksc7i?8DFhK^>17KM*DCo2@zY z7Ilbhd|y@h9_?~QyE|t&qW<+-5=W6I)ns2RlRT;R4?%{zX=A0H5-d*GHn&#i4incXCjhv1^b)=|hb!qx2@BU7+Tm$u-5Sl%<1@ZAju@D3LMqAV4eWF3 zOV@ro^x(MNP~;*`N5+w>O;R*Ti8=@ zT>BTutY=s&!E1#tpKM@%k(sV@8F@S{A)iNBs_n6@{C^Ss1ouU zUL9`!HA(m^!x>02Ri67e6Ci&>k^#_OE$2)*Gn+;|=`aSQ%#eb5v)t$9yC}xWHPm<% zHEAux5)_q6_^8Q??eI$DqZ$dt%76IE3$y@GXK@poP#8EslWBD(uB` zIH?ycI#EwL0k{&cC$@vaTv_H;$kl;9m&!HNf}@JGxB@MvAn|xO^As&+(SImM3(jqW zWxPRHy1}z7E`DvokWW>pwLs6nOa;xpWbo}wU|Lo zT8p({aZ=%x)^U74r8%7ceJ9kPK>s~RJ{N%3T+mKzL7SczN5x1M@$FuuAHIo#x{-tv53O z1x?pV7?UgCFuehZCW01XQ$CS%A!R#}uLsC?!*(Zu)MV%{wmXgf`yummc^(k$+Ht13 zggGcFU17Hk^((1*LA4e2d8PrQgVR#B7I?p*;fQkjN!EKOnk zpTymYO*ElZ`0@9jyPzF&)!7iINqkW|mUBVtB)(3kv=Hrvp#^^%E-9kj5bEc^S8^NU zksPbVl7#FL5764o8-&}2`@;^H)||BCD(Ux!k`M5GhI~w|nUHDF{Gth7Yjw1CU3Ezh`mcn1`G6?+7rs@TOfEEWgvDCUM%&3*a+%dp85o~;&cewU4nMIopv+m&tLjXifFfpoUj|(bwUE^ zFWT{$x#Q4o1=>lyXmP+C(C&QMGi41)Tc1UA*fl^~Lox=} zQ&A%-?MBp$L8^n$_*5{%zX$qUp(O}$Pu7r(qFJmIIG1`)9t7X3pf5o|?RJ53SBj&| zr_-pv7_zz1m&okI>`$%asQ&r12WWHw+&1UQM$rN5xp ziT;xSrU`bIda*U%oWQpLCPi%B4Ue5p)r%){g-O2RPK?tb%s7|ImZd1n^Yi5zjyr;y zB2PXH?b@N;L8l$FN3X`|OmHhne^)*QUSQ3qaHPLzH-!G}(C!GjNxf)C+l{8Q5baJv zixa7O(T;1jqu`r(GM_OzTh@iz@jVISRo_q{BcCoArwL1u! zOXV7lBbT&xNu2V!@+h>Exl*LvkN)Qo9ro69(~c{&ob%8U&qMj^(k!O~DiWvrKu2or ze-fvs5T{(F2wy>CH~P<~;`AUWx8}s@3hK|~#3}D9ZzWFm0F4Epam3kr1$W-;`ikfw zo_rR5H3>r;MRt<@f*$YG?Sic@qnp%=cKr3?jg(Er*1KTSCfF+}V(TWEG(1ml0 z)Qc`NsOK6rDWZ#=IVSa@3s+_2E4qMDX&2YkASQX8x*WlCjYYa##Xx>H;c6f(b7d>M zWkq9frG*Wm%MrxjNw64#F4A9gp*J3bE)(b`^`gsO)bCE=FS@Lweov}ibh)a06J7Qr z2Ctx}tbC~pzr&W+C5gBd4CL?Rbp&!E+eP5H4-rI+W<$N`atQTy-ghuCgaRlXsOOb~ zq)5b_KwKns+)E;k&&n*opMwnP>UHv({Nd4YH=EUM#?qRg4EJlVCj!SDts1v7FzhV(+TunK$mGq zE5BJFTJW4DeZD5H&+|3@zFkrzzAjXVFJ6-zf}Q=^Y!G~pgHEE|Sx}hCiLaxGug;wK zy12#oIsw*u!B5^=AfDO1gfl_)Owmx#ScCuUgH2B&zNAQe4Itx<0MUb(QKY~aDE+9v zf>~fnD)C=z+K2k{YHMkFdf4=${z9rg1ZAlQ^^`|YVmw}kBzrN_CGoh1jJmH}!*N$P z<=ABIqt?s_GP{V@m(YJw=Zixv6iBpghbQx`WW35J{WT|}{{pmL1udx;t!Gis`GQ(Y zy=cwn@-L>e6Ro*!pG(z?)?7nU9?{y?a$RK|>G{*3*q!xNor9)*sd};3BFVVoba&$#BlVP{{3}8fE{!DI7lq?^*R=__&meEuHMBjr z<~E>6hK`ElIOLxLk|T({+0b8*lrczR8chdgf_V?D!)HXN%9ay5v7Vatz+1Wk+&Y^u(o^0gwzvqJrsr0X zgmdf3l#K-CQ6M}4WEKJ{lu>MP8VHP~Y%zqMyz_S+an%#9$qVH*^gNrgvS@o8RvriC zLy)}JUBh2Nf39~1;O9~=D)UbG1TeQ>eKSAjdGu-uTfv;?%a>F2A`j0vC{Kd!nNQVA_CADqC9Inydmo4P z#8-+SunT-iKarf2kESTgdBF_o2U7K7&2iLov>cIpTJQWT+Y$>LcMQu~dfSC?cKiJx z{SrLwM9R|y=M(U>qv*d>w!PG^EaL37JO@#dN%-DqN?OtIB&0o(su#IuQ(6$A%~~)z z(zS^el1A2)Tdl5&xPm&aowhdYwLVp%nr$~MKrzDOe-rm#*oTO0+pd-Tec@DpjTB9re$|0@t_I(VSeABF9@Qy#@D#)Bzo z#iPa`?VePNtS3+`n-~D{ZE4qXImM~N$Vssb2=LcxFH+lps|rcBR@-QMuS&a zBsYyh(X+5qKP&L7P5e)(DD67n8e@fKu^!ZK20cA73k$Ct3(3mTuAwDw&>q_~6pfL?J z_$xQQqguv5j57Joqfkk+C+w|_pOQLJMpfvXLx1}X5cw9!IJ8U8ID!UeobD7#LSd@LNa=$@GcC5Z{(6vd z@sqH%C|bG{E?S9r2XQ4zvX$u~+NE<(T08caF|;Wcxar<91*fTU-m`I{4gaUdaXmoM z(zU2SNmH{L)>fjnId5>}^-}Y)4d9HNzaq~6ldvpT%l)B_HDWy0^G@PHJY6xyYJHyJ z1nN6dxmOnVb9lPoc<5*UWaV}?%sy7fZQ^OZ*3K7*$PhjWGG{IByCEONI~eDxA!?v-l&FRA1_bez`ya{eTE&Y*v)dkuK@Kpycyj^*!C^`SZ74bIJQB;UNOFO)bs1}=zkjZ>#bU?v&QI0aZlN*!d9#>0@}Rp zniR1@dw9w&sr3^2TJP2N4GdXR z2->G0^8}8XLq=r1L~ak>g~_9&2pzF^QtM@F2PV?au?s-yaJhzv&Z7o5ysoW6wpna( zrWbe&LN-3H&vN!w{!e7PfFu5*gcOmj?>b}~C`&@V--2v> zkKk0wcH$d+7r>nMl#STB1A5sTye{3w7P0l^f6d~Vcw>r;UhyD~)8L!n*+q`1No3|P zyjsL{2aE*I1w0voziaDd+=Rm(R1T<)m&4@@%_^wx$CS06IdeRRdby5o5{iyXU@GSi zRxdhs14FBkZFLp}5EflV zz-wKzv|NJ_U4~I_z9e#p?@hrc2e3PqqsyAok^W+ryA)u3!j^v1BIlBE*+r5C$W zOH(S2nm}PsDvqwgH!xXTBc4YBak0x0;tw(TM6?uHrSJ{*hs8xpNAAxSk?r)y>X+>( zd@jM6cl~-!$e2tJY2+pf83ZEcNir{=4akP?DVoz{x1?|aH zf6>Lie@%u}$C;6v=)%aIE7wp8zRexC8m}!tmtJfw|MNX&7ty|!!I^UquLp9z!YK@j z$aeKQaNds-i$u0nKG#>Sp=>LtS;Ka&EQ8px8!|LOhC{*5i461CA;WIiE0KY}hPSs| zLm951hHjPgvFuL1JS)KYcG!A9OP=2E7gLA6c5P<@B!;wpGOWtvU=4bm!R~xnU#XwK zYhKS{S7hLSekZ&S>*R~KLIR{>`Bpt_hn|#nA65?Bng_0c@_5Rlgz^Ba&!=%%mcrB4 zQqO2Qj@?s`?qH~r`pcj%z3qSEf#*~7Dd!+|eSIQGs2qVw zdghgS&b-V>VjWVLMopqMYfNMAi_cU#2bA-9>g@)-n4b13&EJvI# z@yZ*|J`$gqLeFYaalx7N;n>ZRGwQ4LIaoszJ`cP8S4!h;Tai&F|v+pSECHWM0teqWKP~V}W zeLe5#ccMPgZmtIHYO!4{eQNO&v+sJ)rVK!dXFaPjF>0mQeLuo~4)q%bgGO{G`gaqy zauJ=U@EyQos80~!uZyQKms3y<-j9ny<1Lb_?TQDlGEM9*lf@1a3Q9k6OV}y@OR`+K zD(uKTDN4X!J{L*Ya3y>{F?7K{`Xkv;4(UVsX-SEJAtrMII^#VO{Ld?vS1^!w{-nqi zo*~rlM%GPoAUR+bz&tpq2zQ4>ED_O+NFib@yMtAP&IImRla9y+ph_F5yj@vZQkxC& zDCl*83p4plsAH?3$@+5%M5vd8WB6{`KzYt(-2~=b{_e$k`981Yz_A6)kE+*LkY6Y) zLH-TZi~KA%^7lY^S1w_C%3Ti`3>1X&Ss-~5l=qgufgod!LuS5}GNEu7i_)~^gmH>J zY%8my9t=E<6Xdl3jog>)z#N*Mi)Ae5V!qAbIOO7!-KNFTCs3c7Tg95Zs=!`JNxXCl zYlEbSQr&otf}BJbTG{+9>6zTWNa~0X$8}=h49*H>L&S(Kv}s35HZji}WIL0p7n%8s zvjb#}GID_brM~TxxDu%SkKLNox1*j%NlA1ZRUPGNbkXrJ_Ovb@I9r}gqpQ_+#KC4IS<=+X~e+7aDdp@ZnszDbw* z?6n)>j6xToDY{Sw@kNtFd~YvgUPF0_f)g=a=-yVR%4 z6|kLvE<8`fJEH@RLwIk>aO%ujbUBSP>%LUI$UKR9+AI0?uhb7z(B%+x87^mCu}j|; zby)}7zFTpn;CqQK`#`g4x7mbS@v$bzd^$&$VbovAxxU(r>@i5%Y}cxLQHS733Vgy+z~^9T(6)?UVKCH~}&F+`yHPw?xP0O)`k{Ff)v%bdj^> zlfj?8Ws;m=zY;F302AfPrW{V9>HH>=NGyaw_-8r%0%X3DvC9?6d@08+oaq=xyia^K z5E8pgqkqvE*;q8*?06PBc0)&zM||(n7IhqfnElXkA81=XY+lCI*OY7$ zUlWjRGNrrd*azMDJ>{fG{XF`&0h2M>-Nn}hV3PFZUZUeD7(p8;3q#jOWoDoM&prxjvaGEAtZ9 zG8VJEUdL;mNf8B>aOIQhghTKzJ{e{{GY_BXgpVz@+6cjU4eR8l6dkE=s#%xKb6+L= z7r_27=8@we%mn{4!2b+n+joC_5azaqIRcrRB^*l=!7d^jP)2|I%%^;vB>Z|4PSz zKi@9R;_X6vO+%N1=-(aMrT@YwYPAa|h;;t1j@Zjd;Nyis-U}csZoG^rZ7K-gOG87(LJP z0;rx_^`L$@C-CZX09rZ{HTDTH6 zj#EKyu9;wE^jwE77s|TG0M_%p5&TuOi$Nlxd>HliwDxJou>Q7+q>q3{MR?N+;Hh)Gehr{x}L<{yu zcNF?F?|YiuyKZHzaBPNzm~gMnl<{O6t{?$V^MO-AE0Mf&0ap=mT{kI0hu<3O!WGKP z=(azEiquabJFS+_3^+>a_v7kTLW6sWE0FFeD@sC< z>$;55~?77Wk5y>gxg&d7~ z!m7#oa+^rb_aYE)FZl(?Gn10M3zFmSS{o#93u`u&9M=N}QrDbC@^P#o)7RESLS9?z zgr@vP3!e)z%x5ZS>gD#54?^l$e545G3y_@ePm?3IbVBSI9P*9TQUDyv9(<>X@3=^c zNH|pC%E>`UolstJ-`?VNH`ApP>(Z{WT&x#Nrr~e=1vQrD1N<)>FJdh>j8MH8>XQ07 z)Z5$gPP_gysOR+$_U3nptO>bP%vqQgeOz8s-){t zSiD;$r+oJO$rP@P$+7)U{K{%%D_7Ep-D#!IcV5^%!5+xd3;KdBX`TmVUY{4aDD?zt z7&{~Iz-|sL3GXh9T@Zl7lkSH05YHNN>`MbR9**F zo*|K1Y;j0ZG?*PP!q*IaAw-~Rp1dZEoX zzl?*AYs6ucosf@<)p714zav_=&zW6Q^Pt)?4e$@k3(xj9rNv`x3$07@vZvwIbmy9I z&gktQhi@0F6=Ss&XZDQ=S~{iq3$pM3S=-B`e`Tt#xnG|xy8Ke{kFHAMdH2qA-1Bk0 zW!B*5$P}Mnm#I7Mq;R+^Pplo9SR$SGDh~DF5a;K_-J0~jPZp>Tq>b4CI-5K<@5Xz) zo0fMg^%Txx%v!xIJuB4oX?eCgX)`n~iGNjX)@0tTkv-QMeDiL#_}F76eM~l9(b{kM zDt(79so=@n4(TM$>Ag*fYrb3;pPUa}3G*^{h{pAO-BVNYgP&nD|>mFI8# zHlOK%qh6C9ehrP7+L<_J?U}6Kw1VUYY2LajT6weR_ZbqEc)}D~Hhh?qlF>8-W&r(^tQbW-AX6;e&*Qw2#EKV+qzrMk@I3cdqY97~g zBf`6j3W>dvw06|Q*b&L>t2oqy!}~W|#Eqk1zxaHU8d{$s$GltHkF~l- zdX}i^jq=X4nRn;J2j`m1yHnzCZ}82#Y4MLWyyL0PxmQN-Hff}suQ=3$!#k6pusr2P z8yCkk>v={p3oPtdz1y|#>%4tT-qqiWHt$Zzs#|I?-87~u=G`K-yJVH?;Ar06l{`^j zlX-VXd}712(s#RLiEgp)AJ)D2Nj;ky8#BxAYNcJ*=FG0CdAl(~nXYAjq|v8=X;;Wk zYOSIKexGj@ZBMH()4)^ltFi%FoW9?dv$|}%mHAd`HuGf0pXAuL{fxgz^4B}$$9u3{ zs+CD?A^&+#3F`hq#ohkIHqC3L`GSSF8Iu0Xx?)Rfs?5j-djq-F=%w|Fg6A-G`8wHl zMJ?W3H^2IdH#h0dLo?pp@WZ&|qvCeE;FO<%Te`~yH`3k)Sv{RNq zmR0O#;JEbg+g#B3@Eqo*!qvFGuSX^Frh_uxtJg5U531{vutm;QY2baN`tHnPdyBH! zHfcCj4d6P4Q}t?B=Ww^2Um5fm;<>>dsjMB{BQrJ#i{nWHbM$)2Fh_&X#>6$R&WX?O zlR)QH=JU()8JRZc7D?<7dS})C2E=n5pO&8SRNs9!`BPcH0n7f9=IHH`wD`y7X~|4h zoF_7eIeMMAn|1A09hc-SS$lC+Vpe>RbdDWsYbRwbXqg^s^@#MWlx~1GzR4dJAKYrP z%z07#jSc=2N#ug~tU#8fD>CPDdADDZwReXkbFku24-RuW_rh`3+bjOod}oU19$N?h zL)*JL9=6N7+wv~Xdb^}&h3XT7#y9VFiVw~;nRip-?`ZI!NFpb*J@lH*>C>Olye!Gu zyB(65syNhx!<@cP-00m_@%fEx#$eYZy5U65q$-A2h=tT@zz!<-HZw%)dxah>=Z^6GK#D`~*= zZkrLAb>D53cN5jvw^sK^4|hC=l8x*Pjospdb4}*mr1;Yf{u4=LyZCEl&$4ut)?NNXnHD8uf*UZoFe_BsnR_0`#!0#2DPb_O|seI%+M>jR6GjsYK z+-=G4mOM|jqx|9-nA90{Ig;UbQ?}AwtHJffozP_ua?m?}5crIb( zXoUkhthS@gyp_tYDe_-$#uMsh^D^1IPa5i9ATnL_&LP>nJK2kUX7e)f-C8@=HlLIp z5Vc-5Jr~q2Pd4m|x_f2e(TamsIIK+8jw^12xv%%OXmPkww(?71(dL!1`Dn&-L%?%` zY}+Is&+s{&GNjwgti)`xQ(?L^J*_cc7)>Q#PRPQ2sSlAb>*=rh8#?gsY5HJ=b6qX& z(a|lxF3XXu$yPXgqo?L^HhP7#ORGU^ANdnn2lBjv&%8FJE;J3iHGS3G%HKq?{=NI# z@?HGBU!%UiN9$UKil+X*wk35xqKEi5TCG~V&3{n6vo^7;nIme^pM9;>Dz?hB;`5xR zCX?T%UIvpIRpTF&d@aja{fim5WJc}#3axxD$dY5SWPPe)8um&9?|CjyR#0HRPl{!4Sr~m^ zEB+09d}ULf$YH*zN5MJS#vAF=sZWruBj>!XHpynAMd<)~8>PXnU|o?0j}VhIn`vV_ zyT3$IGgYRU6n2liJ6l(%`7>NPe1T{_h(xX*}AsI#c5FJF)eDk1$M5 zicbcBc0JABE>4IKR?yYJKQ2B`Dr!qkWwbZT3X2=F7cY&UPM}?oO~_E-10Pz%w}ej%XrtyLhH!kW^7ht7w6;-9+5lurWiCn`WdG+ zN~?tu8csZ|`6#ii#jEo1j&$;d&Q-~HoxAd}IYNCLU9Nnwp536>NIpsnInHUL__zHc z9nG3$vX@^(scl=Tb}v1tsH^ThvUi`o|Z>=^*ofy2c3gMy8XcNML?`80g z7WbpxlhRY;c~&jD&HTQG zqp?gH_(U(Og`3j6OZw6(ZgKB~*^U)R%; zjVYc?1OJujWW#sk?~w1+H%XHolAgTKMm^)6B4*@wr3vcS5X=@p%(tV`J94 zA`b67*JLeTk|ld&81GCNnFjF37c4xaRvaaG7aAGNQrD2OY;e56FGBtN} zH;N5MU!~qjEc0UL@924totj{djkOZy&SG(;$AoT5&$`Cjj8~Po(9E69xDasL_+KRc z%z`|4 zY;5say z&(}0 z1#~(*tDn?+(Vzz%4nC4;?}}IZI4PUGMZRtBql5o1K!otk!QCmlSc^O-xrtas#$O>l z6XKmtIw&;$GU-{N)A36Fnws+#k5#>^Pl%-X#FLenPR#e6pSRB`ZdWQyGtUrqMRA`s zWC@>Osw*??o`I?rfNC?hSU-|m?x+#_wi&Y4BL7|MhMk&%jAMG1D}IgY#^7TXO$S;$ z+0bA!4i{jVlZI&c0?r3FH{&&?4jnKtf)f-te{C}B?Vw`Ku z#rwtIDopH6E^3(kZRLbLjo7;vV((zcLWd-2-$1bTT*EEpgdL`A%>Mh9U2K*--+SHH zvLyW{%|?W*2oYjy2#fYbioGkjvCSb8Zs?}2w5}_y51Z?~Te%;1r~4uIM{jZ{J8jLr z@LoeT?lh;Luf=p`Ld-HZb?V=UaQ+e9(&kA6YHmih|ji<+6WsW29S)XB7`88~wFA_m{n=k1Er= zPVeR3Y~&W>uTXBe)!>`;%f-Jfe(j6jpB~9M&duDXvCn#dcUg>V6dY1aZj+hzbcYhN zng8*qIy}k!M{>SA;jV;Sk76~haKi|GPqQ06+N=@@h z@qHy@I?Sup(!IQa-jbdcS%K7KKHijhd!?Z!6FQ<^xLGrGX8aXuk*DZ2s&}Q~kjnZ0 z=sR#MuLE~}+(8+*w=} zziyE{<}FS=YD9_~U7OzXgbt}wzti^HhFEsde!AfU{L-h5fzN7?HE`YHdTG#Kh*fKmz+WlNww}TmYjKA(>{70v#fw4n3Gt6*o9$ro zyCuVa!l_&2(|lUA-|R=$T0g5Go@!{YQ8OvoWztZSX}&EzWUZR4jdkL$ZnStctWQkZ zTExdYje8d3FV*_wh-R7EX8r@FqjYU0I?RT(%I(C`)xf9Bd|JPGXZqGLG|Dlb{+DZPWQZ1&UjOP~=b@Hw*+AhD`3)5imfy1~l^4{v$ z-0cPQ21}8q1}jAaWi?sf=@CeXEJ}}SL)uzk-_%Dva^WbMWeZG(02pQSrWxMa+kn(# ztI47TWLDQqof-d{_+V6%@tH5yi@78ffbCTkpxMxdJrnJ-;?^?VJu*$z23g3~dcCme zhjXI^&imq%{5GNeF1h!`wEf)xBAtWL9ZD+Q4UTRYNK41)E&=I=(cRL`NNHi@xA*t`y*g#Z$)?){p0as#T#^zfml*sG`9~_bJFC{UJ(M$dW+q?cXwO(@gfc&n=fam)N zH;L3m)6{{jYtvV}bSoX?x1Zbmq6j$#sC82p^T!8XG(m^N@T$d;w{xb_WfFKRv4j>; z=P$(8SbKlJj|T|^EN5uOwpsh4`(#@`a*rB3%PJeE_BQ=n_EzjMHSKjg`I#N^E<^fez~6e6nSk^9w0t^0db18g{RGM> zzH1ErXsca!)fp1e{vyPc8_5RvqHp)w6y4wyRfMA}e9cufwL|57-TfC&5tY}XCEZCp zvdFFQmQIAL((mNzuG2A-M3r4#Ye|Z!6BpzcXsUOwHZoQ)k3TAlGj>@o8FiAiYk{$h zW*$rrj|OJ166sv_Sre`qXm&J+MFvcIHughSRr(*QgH<<6UfhP4bfvy(-x8Wjs)~5QB3Dr^O#`-` ziK{JzS&)~DwJ9@Wu+PB+puTrR-z|2Y&wag*ASS-32gKQ4{r)2?+H%5iwrrU$fYgAe z7wW*dTt$eaGQ2^SPq{9D$4!>}kK4sPW}$b;0%sDW{S^=K*|CKC`#)|r&Jr`?jMa_B zT)&DG<5h{CqVARe>-p>XYt=QpP+bo=F@K}F<-`rBQn*g$1cP9n*i8hLV<`;xOs*F)r=&eST4 zu-(iHiD`32^bOudAvIVS=3z5_-T5~@8j8vJqCfzhcJDo^GJe(#wbaaA7Gz-a8XqgE zlQ5lQ7xfXM{RTmEIQ4fRFAAjqXv=yBvW)@88kW`p){ z0MBZmMn0rpwe+u+$;9h+5W)4`+RXO8zer;s_skKatf?tJ?csI*@%CAIuWl~jQs7)TIkL3oY4!6%k`LA-yG{BHjl74#^)a}gFw>D6&Y_gk*4 za1uZooHsJYbJd}&{mknww0DP~UqLNjH`dKxZ}}yRx`Fz~vR;GfwqoC`@B>y#41N8uz+HH1k(4MxT9r1B6XX z0xD;p6J-Pq85a+FGrv4L-Y;+1Jvx$pdgFZ8hH={A_K`RO7SlRUOkjMEAXFcx6<^YB zr*?)1Z&cfO%QRi0Vk^$)$3tA2FtKPpJrbS(qr&WwTY>h;aLsB}>2oZ?!vF-ad~oJl zLZbpytFH++q|mrbc*48MP3Nn41`Bj69~>Ojc;_^pe~?#(~i zoIrU(+$u?|4^Cx#3ULuGxO_#W_BH41j`2Bz0&`T(WzuyrXbglAkeC!_yBP{ofER*b ziiTs4h#ZWFo9xPbPac&B3c(nIf&SEyvfyLmA~$f|%6&`ZGEOuJb~$V@pkPrHtCk}4 z+#dhA6cMF);#=@}28aJg%*Y19V(LrI`6_nyV(w;K|82lRhlil}IISZAdAveRJkzcM zUw^;bVBYdw-9Bg0uQW=sDO>RYy#Mc>Y&5bR+`lEh=7v(i7tHUbQf2Je&f;H?aWf@O z(i8)m1#=`{#8TgJ1h6fAEROs1=dQM7q-lkKPl{D0lio*SptWhBjM^AtlUACiLlVIUC#pGF%pl2>Vt}OOit3gz>Dh8j?TCD6H z>EM^D(eruJp4cLjVJ}~5#YzE&W%xu&>(;<2{3YgPu_TWIKO-$Z73U1ApP4H9 z!grBxn|pUh#Y0A|M*QrBXC?6B{Lg{k4?z@MrM!Haei19K7Z1%Z+xWYs8HfA++$N;x zs2|%a z9+mp^*&|vr-HnfKl+2;vzQ{NhXQ5q%8W>(jg@cNKJ&e2 z>0pI_CS!5dj-kj>rjoyKR1qus^ZwrRO(gP3QGTMYb(xwXx-iqiCb$`%XYe10U~UM; zrE;Qe&UuD~rpq-s@q0t7GfIpOC9Y*sek9h2(rC$`O?J_X1fH$; z7m6F$9bvj2d$-scHW)uw3(LCiLcX{av)z46TYr;$em!=35gGpN{@dAj zun3!}5UV@-H`3j+OxvB}@77EvaSr$E^~9vbOMvlmb|xarZh9xB3o#h6Km8G{u2itg zx&$gEv`akAfRFE@wZhP5M@{A=I89bG#Eu5G zUY_OmtSZwxB@qhP7|3b3A>jif>chpDbp4D~GYGm}w}Qrs%ZbQN+HzS-JK!{1FA`~n zUwoTODYXM_T?5V&jSvo<7{|=FQ`)YEbfCNdjO3@p!~UkPCgHIP1T3_Y&FgAB8k6cn z)RUpi?5=VOU$UKNpw&j}bN|k@W@9nl(2*HP#{hve&OhR3RFbK$Za~)D&BxQ) zeUvvlDNb}RqJ^{PRm?JNCbsK4IT{{Cc8(_@%(u-SpiE?`v$6o;pLZQd0JyiJOQs_; z#T;#d{*lPEIVXqt;?tPgA?LRhu3p=%=e+<8{|a&07NV(v77&^#Nogi&j%Veg=KlKj z=?{c+bjA#r%lS4gddCWAB*3)PDRT3{Zt|=xFdVEGi91Yz9b@#2!bG=4R~+?^8MUdm z>{7o}Pn<|z_lO~)IR}+UjW$^0w}OXg7BPxZa6o=QxUU?r`Te__+S8mGW_Bivo!2mb z>Av-%?LUyT>(UrRh#nQOUzEuB@{Y5*1ezoF0r58rUjovr(08K*li-2ndg6K|G~9+n z9oZboIgjxGB)oE82sqAQ@ezEl6L1_r9sKQS=o0eQ%SUYJN7WAN#3t?G%e+@O4YbC! zxq9Td1Q2o_HDJHMwI2#uY6uenG#CYdLZ|pIH}Ixo4PwnZaE55ODi*Nu8gZ zuS7hhDF+%$GLCbl(o9*$3=M?bh(a5&sdm-z(&)Vd>N2T%BUcD@r+zLamn`~+tn4@4 zCT`KKJ|iGbd?hC$;x|0vJ>jxZL_o2e6Kyhv&yh?}P9G!rwFqi#s%-UcSHVMX3j1}H zh+OV#$(A)UX)>9I`})VvtcMe%st2t?+`)NEqSpYC5?_0RWp4u#7YqREPZNV*hb}Is z4@`W@-|{>P(9d~UgGYM+tFvmFt#|(vK3t?qmj0!>=Psx4bmDszL_a=( zMWhsbM#N`w1)7Kt+!*snEQoB#7i^%GPkD5X2fF;*Ss^?#Wu^W_9vET^k(+F!ksSS% z6qmy`oQt#A35$TFer?7@g2Bv+rS>ytao(m})6I!#;b*2q_o)D%^H{Tm57>BwPy*td zz+$TN7CQnL!%M6|H4h1LbTZK!M%B`TSo-cwE1I=%poZ=rT*wdVgyn@^o0Tai$MY)X zGYa+;X^batJSPjewxiV`X`~ma8vNqkeAR+qm*gT-Mj{LO;0%25nsr7YD(PawQWZHQ z23$U@#17UZqu|1wL&VQOR8}qxAK25K&>yfIvBprbWnVJhg?+aV0qmD-&=gVo!Oz64gZ^5*+w9S#tfxJ=x z$Ny>{%v{bk|E{B7PF!1@{~wOYW9YBp3-{1!=vh^J-r?3Ji#zlE24?-p723LSK4B2r zxvK3A4t7br^LcGe+y@BezGQ!&@4Y?7nufK%&<5cI;pq~3Y%0WsF6AM?MDa?7Mu zceo4vV{ix_*LOarokL)l$;Kwg8mRTQUjf?GLl|O!`uqbg6-%F|)0Kz795MfOhZ0aQ z1<_Jn$Gf#<_z;sfIC4R_@drG~ixN>IAf+}ncY1bTf*+xVUq>Ty)iE&Ap`DzMTrDR) z;5(eD>gF%IC5QF=1wg0{R^tvlNTVYH$A0hVVD3ZbQODCBT+7@W-HY4ZWfI^UQe7P5 zXQZMfin7=VR~~UiLh?D{NYQlW%U=Y_p-$y6z^(ZvU7AF=L1fH$oppV3vPx8sO`ufNx`@ACc3DHB&GCak^now6x7uDdW8Cv5249~81mS`eb zP@*g1@&3fFt9@`r-erf`(*V(9snto&NxPXgvwQYxF}9;?anK!Z{3db%0|wKKby!6( z)u!)>uHW_q&; z#14)u?tW6O<;UaKZT{@&&$+Mxi!@FXi>O6zWCXY#EA#X{FFeegXt)Rki4ADj5#Xzj zSKe-BYcfnh+~M$9`VCx(JnnLh-l;R!n7GUBDFuwNvgby#>{rf`1=4=#5xEmW5iC23 z6GGZMupASwyYEXFIa7k=UP`xwtAsDbZ}_*FSw_oJL#*wB_ zQ}$ECACSh>U|K!5h6J6)f_p}|4|%i>h79`8UkSLJ&932X*_*hA&SN~mP~8mrb5uYf zI6S!we+73i$9OViLiq(*`viOa7xFVCgv{wAx~2uH`Y)6`rE3+7b3nb^j$U^V?@av& z2ex<*dSYY0DQrduE*(Y1wo8;&wisP|U_+23)%v3Gx3}`vRX$<1BkedAL|=pZ>%zNt zWh#)1Koua`#2=q|wp>ZiM9riSgM$IPHrxp3yD_PAM~1k&__+Pdi_rYSy9kV(AFV__ z8gXB#jJ7FvKw#<1&;fO83*2r=*JqX1?1IYBx-C5=c*#$$;i<2Qw>ptH1TLi1+WX-6 zEGt;#QL@Htm!*D|vt*~V-<4}DrZ^+*2?nf>Q$7mRP4(`IoiF8MSalOd6|7TZY)Mr& zih?29v*4tiRK#mNh4X@JTX?SXho19hSB~EO0mQ&rH!%nFgVx4sQ^tN8~xVpS#ju#$` zW8hL81?6oqyEM2Ea-YMfy zy+!v3;M4+=m~>)ZXBGR(g}h3|;8u|6)>ZdK^q33K6-$>r_kaZJ=H`WsaPf5Z>`Nds zA6^`Mh$CFT5DZm#DLy0D>s z8#MJaS2P&W$N>R5`r9@d{x%-;L|M+8j15y( z17Rb$5F8_#X5y_L-Oc3b*Ob(#z9L&TS_VyDgy{TqG@Jr?k5Lp|MNjuUvMgD z?Y6(dARPLMyi+AVB+XsIZuC7v&GS+J-2_Gy(UUNKHA6lY-+R)_G!XProiBP6+Q~g| z9AN|MnGlp3j|F{5OB2naj(Jvs`xoS#HJ!y1)L9a!7Sqf>!2<5Y6nyGs#`h5&i;UWc zIaKHKSwIt0JWBzmlGhgq4oLDC2wr0ZN1kB>Vj#k5TSXX&0{gc(r&M4cd5}S&)#71% zzC?Zc2}t< z#AA;MajA+JGNInba0*)ZKz`CF1&ec5yg3*4%#sy2DnQm-%k8}6)OD&W=~n1E>~Vi* zaPeB*eDaittlBC%@iye$#4>uUz<-RQo7bbC*>i?>DWZ*yn$id3utYtfOaz)FkdMx} z_?{BU_XU+?{}s|2KR62-KYSQtFjmZ(`cQQUrI!_h$5B6u7%>I5{Xo3XaSfULWGY^K zg74n{63WGMHi=D@^(hkMdq^jKHny-}6>|3P)^0NHUnE6ZB==Tm77}hLq)(F5VtbW$u>?KFbc2-N(Y+zCJvgID_~;&7gs7DNE>UHo~$ z9L}Lec1y8cQ43$s9p67*6jTtQdVmzY$M?gdwi%Eag?c6`DISZLYl?CpfB5qT%~0ep z7|*9d}XJbm=(zhB^0GiX*o2Ox2yw9p-!GCmYI6K-K@5xE7CLQR^kNs+ zf1F}PWF<_kFs_@6Nys&Jfv&|b=eu6tsm*5-M%%q({H8}9-5f;%nq0`{o4TY2|M1$@ zwDFsji%+%@lQbX2&crY5c%8mLs&6)4-XllLZ(_j~O=6apnZb7^e-@r7c~d0xm=C0j zeto#s7+YeKzr&MwJyzlQh@g!y)Kmi-fY-+vI7EVz=SeGH-|_eLQIB(ToN;&!Uo(>t zyj%a^+QAPCEk}PdfjWL^8ui8mP$l`)hhqX6gg!K(?p$9?VKNM$0(J;1xNEM_>vN1_CFl6%ki;U2(|F1V6$BYCb*TH&8f(AxNZ-hkAQ6k;W`1 za$eywVXkm!N{o4^I)`PWJy$;Q?yK(H!*ggOCER&3i_^Mrl!$ zRMY&^6>?Wd89xvGg0z)H6}WtKQ-*bR6FY0ylv;DM9{WEN%>7PZ3IjN{s?)dp7*+iB zoWFhNz-QuUV;9Ful`f*cr#2(s6C~2gRy2sSo>EVjp3I2Y3z?gQL!*9#M){4A`^&svqv(w;=CvIi`o2pv7w9$tZs!iMl?NzqfT2UjJRGvR*`!Dpwz$> zivWMQgEukWghVixPxPNtMVUvd{lCXarie>klkTByx{J1oqd!y(>}j)-Jn&C7$|R;= z4Hl3H!D723bKuUF)yZ5ZWr>wA?9Mua0??wKv!j>|_H{ z*Kt26S~W$D$ggbC-6%!=crvD`TgUv&CAT3Nh2uXxkU1TWz8i&?B8^}2=mL$B*g~8M z3ng0S2{!n%#@9@hSK5TAiS|qPQ~Z-7BOugCkyLOzK5JabB#$d!h^YMMeh?+)Xd-xT zfQV=iDA*?TZ;ySPjWPK$6of2Pw*$IK`1!-9HY2HAlmuR^8DL|}h73q0`l>d>roKPV zi1tsA(EG(L*@K3wqvq=wbis^Cmg7M~kj%o_+~C19v+-29`q}F2NVw(XP7F9(9w(7#z^%nP;i9D(wvvB zXugh0Nm?K|)nGFu4}fV_T7Kq3bI*;}=MS7;Gwp%L>Tt(D26>g(y3r{3B%08bF@vta^I;uEn~cYA~;%)g1>9g{+?N61VdubF{53hFV*_{{XV2U$cbd-6(ZpE5&sL!_K22&eoRwa(9oJv=hx! z)YcYK9*lRM0x$HmU*&7z<{_z}XCF*o+F&CqHm;*dEy;$SHe3|D87*@x3TL}WRPL9r zX$x5dY+KJ-km#?qvBB-=Fkw0aQI9IhI39NTIe0@L5d(%^woiklD%7r6c#S6*=kmJ^ z{V5R5qi=uzi}B=6oTD9+dF5$dk1L-BB4D*gcB{@6f(`o0e~zN&DiJibdHI5MAI{%x zN=djImnNfhR=LtCk@Y1iX1_iAo>2Kb^GJ>c^}0eZg;_;BE*vyutEq9?x}&yvde}@4 zfKBLwV2gXLdq8_+Ra^6|H42%-+qsrDiec&4YQfbIR4c6NFXrR>mD%_47Te7kn**V- zVS%DPR1s@;&D76s`I26DpAQA3w=Lbr2L*FZVo&{Z$;>!sh&xn8x1W1uL(}5y{f13> z>9B~)UgkkCuT%>!(v%y^aqWb($tU^71_%k;{RWjU|0v;Om3QA_W%S$XgGF7s97nb% zL?x<4fBUI%AUs>QU8YnIKi|ciD_%aE<&}xaocLVE@i51H-*!92H0#VSJJ8W*wJ9ST zmLBl3AH4S&G&lpka)4{K5Iz&Wxm30C%_8V^jM)~oCZ8lE9)8m*_4-WXXK}g)D2IGw z8^aN6icoZfSj#6{XVWedZOg;HgTOS<=nzX*J#ogo%<*8<;qd(qfqCFQdIPmw+omSL z7U9eB@M#o!wa_mFMs*uK(hhseBe*rhw4g7E`6y4QD!>CB#?8qH^vCCG?1DwwcsE-( zO$f@`7<;D;09EIGvX5;B(5Z6r@FO57F8iM=arss#CXvFM+_4;_ z7kUyN6NA$7u#(~Wcw!63m-x^9&aWwFHw-g20wsXxlm?m}8v!19iGu6OI9XPinv@o5 z+P7T#naae%fA#PK735&7C$6CUG5iJLT^(TXs9?7GMNwm_OWZAd1{+emCXA9(o18JXNscxfm((J63$lSqtfO7Ai6rjtypBYbBzpf1;x2_q&_b*6g}0e$lp zaQw3lNEZ_ccEJ62N=m7wy0=mlAdnpVq8fe|M9%vD%l@re7#d$kO6(gM6OdG91>#(b zPlSW+#kt5KN7jFB;dwvwvw8288v&qBB3P-pYqF~V5?0+oN;~?dP@M=w%fS1VOfXbXl zz0qdjXTHI07VO8^Yz&Z%q_EDZk?rsEQIpE&bZonw_!(=h;)#o|ErXN+12Bb^g>fuZ zx(nWumz!IRVlwdEUG%d}{wjJmDrcSFefY!gJ5a$&jcRvG4zVTKYxx<&&3G**^VX@E z)(ZT(jJ4Rd{t>y?gvaqVlC9MK1f${;*H9%r-XQsvaQayT2|3j}4y2a+gk_-r!{Oe7 zh0vKY0dwo&xkt0q$^-CHJI>ok&pr*Qlm*D%r9?JkKx1u9tDIPKQ8m;#^3?Ba%JVr^ zGyUqd+63#7A|Tx>zrTA8)manHNC{|1XG@UxxHl3REPy{z$iyWnF4{)g?Wql`FCT@d z{$I)6BLSCu<4ZI_gXK{OLEh{r0%T-VAd1osUfnQR`vgxZJ0K@D51B`BW6so9Xu#bb z$rjD<7&kw-(FApj<>b%T0wAYi%{j{C25m0x^h;v$dU<{giEYA|#Fxo3%Qcc~U|>!6 z2>yE6Kk8YzZ(@NDR=U@IU711hZ>!#t+q#YUYyxumG$7f`?=JhjFK)=28N3%#Y?*pW z<_~fBXXfpuH?8eGl#%B4V-^V!7 z`Io<%*J`0`zK7&gwN;}!^t(H7{RfW~%9+??yfrc9)MfX}EoyC_K1Xp_qKs!L083xh zeo%5SIk`)|%Y&x--#cW{btk$he`BD)q{{(?$f_GDkv!Z>g5{SErF-7_LDv$FQMHK* zokS$MmN)numV}AWItlZO|HST!cV7_D7Ud8T(Y)C`bABuLYx17)aCB=^gJ{8_;as9U zko((_>Sf4Nw7Rj>7HU+CV;AfT-6m4xD}Dcq90K%qgFSK3ZbCc_zK*e(1Tj_@1lE1M zMCUD6-J;Qu>LGLjT123KyWJF|lhlO4?5w^j!KS|1zP3dYmPwb3DRi5eH2MCOB3zed zA9|{$m+5i~IIN8SAtetZwB}lr%ZW7Q@-F*UHFI&L#^$Af8y)Jccv|FJ5+ZI!3hdaY z>HRbHO&4(Nv1TR&5&>P1g8VR)cao%?O5$#>R+JM7NadRR-=9THFPcIO)TDxV_*3%z z_9Dlw*uTT8e3hZNi2j%IuI`?1fqJ5sNr!0+8f zgor{hhrzCL7lhavF(6?$1O1j;D20%RB_cMY={DKLz(>-A8kvlz@0W9;m4`;&iByO5 zMC22GJ}1e)8OnrU2-5xgjvejU|58~j+wLIt2mQsqgEt*flrQ!3l`l5-WTZ=KrkSp@ zMYG8=TtM;A#JMxQ4d4w2q*9>zhq zDbCUPpy_bx{Bh72aTMM}5WeT%+rv;wVw&##Gi@DfHyUE367uEoLX}QumbQZRM}(cXx3#76y3LcX4M1M4Kqr3o31oxQ;%(^QWHotJ$fT1x2-dOQ=B0;PcN~VH35=>J{~BOEC87@XuLHYGIWbJWG9^ zp&L3?`n1Z4cyh#0^swwpvN_aK>B;FG)iA$@ubr(bJUAktQbnMrF%4Tq`Nqa*?h_vV z4q0LEZwn0P)-?_n^R;b+>OW6R%ce7@6ol3}vPSo2`K914kG%bTNRKdt4|NNzPnBLm z-KrcG5l`Jh2=l5m52}>Y@aL$-6ORtA&+r(PtmUg^>=Y^gycNu78k2=|+*DBVRWHE46`QoP+%^`KnNiFxr z!zw#IGlEr$)tf@6c=HGZ>BQ-;pIkOa4@BHyi--gjVMJF&-wqtR&$Xw{AaMc$BlYQR`313LvdDx=Te9N!B=7bqPp1R!^UsO z)A2W5(^G8AFK*`Ho%u|@fT#R!`m9K-$s-$9vf*C=6NIFIlr!6kF4?M#gjw@TG74Yv z_exnp3ndpFI(bZUx6x46h&Juk+B0|IW?Z+9MX(m})>E>|g_fq`Wm)Nrdxp_N2UC_& zFktyP-@fQ{WG+#0T3k*^F&8gz(o+um%C2EXJV&3YV}ak9{-)&G{7Z<&-Jb5B2&G8h zx;5rl2kgC{l1%kA^`(WL9~Iyp2O>8C?heW0%K>rr-UJ>h`{BncyfJp-H1Lde`TT{D zGrzy#GDh3Qvl+_roZQXo%#?GOLi$u(3dNz*Q}gKJ>uW2OGQDA#c5wH_11o2<<*5jf zAHg%Z?!OoU$1xG?zt{9$jK3>n(0k!9cw~8F)ge?pgy2^=-3Q7CtaN6`wD&hgz~MPM zpen2IB|o`o+878i|RNs0Up6 zdd4;V!R!7AKF{E_A4?a*tLQTi{G=63w^j(xKs!!-^KSYGqZ`3+>yO`CGB+0)79YF1Shu>qRyjJ#ATMLqfDY^YM#@Y9NqQxUG z#<^C4Li-AdI*ezAZ7QX7i>;#+_Me2^JBVdv>{q(}Y(HA{zHoC$xQ#>}wVM^~fBz`H z|Cuc--FY^VeabYrKRD`DQ2YBDhsrh`Tqg|`rDzq55n zJK|dH|LqyTR0T&d~hF?7=!1pCw8Rm?hukiK6|Gf zInZwe4EXwl$HJ$xWgEUD8oFAlw!nsb~)mkRY;u8#IRhexw z=co0o{l&JDyn+XI%*Z!ZnZsq_-lxPO_zbEib`igeIfu-?!E)e3wY>9X5t+Q**uO$8 zg(H7XUbl4YQt6KhoOBXwC)rb{azvNHdfd-~^5ap6%0m*zQDT7V4Fkm;Rs@G|D|0)i34&La1h+2^_}bL^#J)${WK=c$SHlV8insm9-Cj;r1SUW#_uZFcvtpa6>rR`F^V&XXW1$NMYJ}gqbe&qpAbAkfij{W;aiCuA+7< z*4-kbTnd_D1xasd>(mf($MVo{(I`3{t8_j?l#sg1{e9Jbus3zXlT-LpaAuvwtz;p;8iNZ z_&AM~m1_@E<7+DvIsq}$#$-{`&-06Sk$8zuI!p@pAsR6)d?SLd z`y=6#D10jsDI>-mZ59F{ra5t8C%ql^S~hE;zB!@YLf56Z0!HrVd!7as`62U~s_+b! zghj{cB)Tk%ytOpEolg0ey?2jtb=C*@*V{G>@3csd2ZZ9OtM5xLUR-5_jJF=M4siuK2LXt6eMiO79I{Jc#Jo)l}Q(>8nKvvu47f!~vK|!Dp~zg06rJ z)Jbs0RmfM%7^Mt4P-cBUpW$CQGoIo8RN-|Q%zIpL=y^Rbb({;LJmvdXht{EL1E;2i z|D^`_^D|6&mdln(K|jrgd0vI7#Lj>4Uhj^YJQWtce@qmcb$$~A|Neuv7-OgK6iH%O zJovl{#%bR`IY+yQhx&%IFs*fVzOB@T z7ZI+!dZ3HMi)qHP2m|dpCKkzyNGI0_u-#I`&MQPm`|ka{IW#$`i0CzYE2!mj)H7(4R-#mBj7?dieatfKngZl+gHqSE%SirM2XX56)(pP2)uJWZ9#4NM#_3x6Wb1JB0Yzo;DaVLq&W-@mCL|45eR)6CTK|Q_cW) z7E2LV9R`95<93foLi$ND`{=@yimjOe@3)U6^Xkcakg~N~<`KlKKR&@+f=d2sPp91Z zs%2D7ov}d0!)(d;Fv_gp@%p{)ODSIU7Dn%WS8{cpx(ig_kVZw=_Ndqv`3v)6PJL(AT$a|8I9L~BQ&I=@gIku!zi;U9HyGsIL zBkl>*I2c3GtZBo_f)|}dC8kEhCPF!vsTDW#hHzdX^;$dmgvUI3uk^JpNhYw-hSbi- zxT{O8IC}iyoI=4$FO~%5c6rP98R{A;^~Y_6cMC2)EvGBzW3$aFmB#o9f94W{q>nx@ zcv{BMSIe~cu4U{erm+hbGwdZ$#G^DbHix)Q^$~2PlZ}58<{VV5?v5YhE@3e25quO{ zepIoy`8CHUoCV(aC{R1~^KuHob-a>j->cfFc}h7tGHyQY&RYF>pS&S^^v-<{I6I{Q zluxU8BC-*28$50KUh!7=orX`D>Xte_KIo>Sk@G*v- z&()4Gkxw3$^d>>@x4>A)jn;Z~Cu|t+W0jZKu#zk$fGGtVT z(;6ovw&YZ+)*>`avUi-aVs%`;-yXldU$AkFYUWRjIl}V_HZ0179dKG_Aihj6r1_T= zUY7S|G+PMJXU(t=S*T9G^8GEH0M}1gWwuY=DU?h=u1fHZ+j%8#WF^nTlm7NAoKfD$ zR-V6bCBHtwEm2g=Undkfjce-}GBm1O6)wXRU4S znRfC)52K%jzMMf2`HOdChW$D$*GEP4unvH9e= z7#|mJzsy=hO@lIEE~|ca3i8a?d!!%XM1IG~E5dS2Li{1`EF->NwF4;&q-l4Gzq_PKuG#{IxDd~NLn4AAM6tUNZ9); zz=~?Kyj^80P)b|hN-X7QnOt+7ycwx9QBwe zn$+J92V=$TSyoT5aU_OM1L*0R zIjC6M{GmH$U}HEf(miZvy!Yn!ZtQ(+-0mTsy-yZK>u0#sOMCK+qrpUk@NdKSMPuSv z^^89nvZ#yfXSkNfac3GlQyc1ff7_E5os4qX>xWwlXVnX~g^H~v*H?~w>Iwy49_$tU z4e+Le_3_`>0<2UNXCUCqml2&8M!%v5cjX7L?4M}XI{7pvix>_cgY)Y>O+ojfyPl_2 zQ%g-_fe+mZ-Tb0*t)1?_`5mfh{BJcT`&+ljWo<9MZMRh%;Y*UlOX|nT6`KV=obY8ltUt`NPx!)@ji19TaaPaa8Dv5%9~q0E>p>`UOM(aM(n*5r)KbvSLRFzlas z%@0A9?R%HHaKvx2zz4X4)5eE-ZNT7HzrWXg0?Oj-YReH!_FERIx0Q)S>T9hIfQ5r^ z;kJ4I>~U49IKv!jpuHz%sL}WIJL1&9#@W4G8uo3utIX^nuD6r_=Cap6$o?+n=xLZc zP~xoFQ=>CW$6wLN*Ur-=E0FExcT8Lu$t7&aN)a)kY~Vg>wzqVR;rO!`ePJ*8`;FbD z=apRl@2}*%)OwkD_Q>_EmlZI)QuAl_+93PCLAD?MG_a(stBGy$Exn}!I`a+l*G5;1 z*G?zO3g3}v-JGc?{Jpc%w<6KcVHXsZU9O;)8GM>*nA%{s_c;T99L@LnJUwg{US}cx zm3&TrW=(QVr2J_m8T7fEzw^7ZeT^z_we8gaIyEe&OufToOts#ViB& z!j}m@3Kh!6n!nqNT~>ZMCBw_!jf~@H1{`y+ zWraCf@)C2Wmd}C9oIygz>`HZ)#PIzW!vHN*YjzZ@_*Pl#N%AalnyFCGi$ODwk(AbwN zpToJ#8q8}D+a9t@B2vgE1%Pb@)8C3eC??Mv__uzHI-5P6u6?ytsT>+V_qJTLGKkFH z$1zFbyToU`FA}=*ZXbsYp6|>0?JbG~7FrvmXtF5Y$~~|#XYCpqzYiE#3G!h>pRP1> zU>EL`&|_S|8UYER6o+kkC^vCuezLU0X;Pm1Tu}GI;lx=;e1)Sf&vT>6ez02)^6A-~ z@_SQU6aR0z_JC!#51ntEOl?{#m<1mt7!`<~@RSpoYVog~Jc^e_nqjA3erozj@w>2X zcrc^Yl93VyaE&fUDC&*x*KBoDK!I{N!}Epc{ry5j?}lTs*0vk#4;7^PK-LvnO!bCC zCP>_h|Dn2z#d39UksKH*X1@*|_hs8SaPVx=T3)gPvw8V}Kq1-`Td%LgBgD{QP#0N<`K$*E@p^#pfA~qwM-O207i2F0_pZ z6ob#4+J5+kPMco*tK{skWir$rf8M4^YDaLx7wL4}%-o=2Oa16^&NcqQD%+mFwfto4 z;Nig_l$WgF;bLKufnTF*U17hdCjQA?y??FVt@&+gl3!f4COQlQ9Eq4|KBrQZ|EO

7_)5_=`=318s1u!GS{K7=Ih| zF(a)u3J@!daRK8%IUH9PCMGof*boU_t$Dc1NDH;|8@2lVB?4bTjglKqd~{3KHJ>Rh zXS7G#*|6md1}=LM&EBsP|9B|J;y1zrKmT6A(Z7w!{PouVir(`1*XY27pgbM)Gx?g~GMq*oagbFUuSv*~#U!nQCz$eP5Oj&6F zE>|(X%(kR|0Ad^69&Kyoi`?tEIXe_?dFU)Y(!P@G5FvmRh!Sw(yCYX}AQd8nn(K6{ z=pRG$zB8461lDhH|69&*Zb#L;X^du+K2o;D+YOZcK}-Sj|=|9EHs*`g88gK2+#mV{7w)#k?jv#Gu!4wR#9y61<%uo?+dLC_C>HwE;|#o@LRgZxHfnA# zAp@kNPw(aOV;CiRB!HYE$YtNk+#3Zz_yM>`1wgh+TNEn*vPKcIuN5I3fLxENbpA*H z@p*JA_dTBef$R;ofQ1~rzgFY4>l5}Yir8+6@n4qiX8dAC3h{P2Xy+79eR$;Ij5*8+ z=e6BIMnq?MMoJ93@$|*dp&UrDvx1mMZDy6C7f%rx&vMa)%yK}ubo`O z6Ho_DSTVhLs65lS7DJatLe7^?5q>k;P@mAr}PiNsX?fl#6_1 zaUQfyhDn{G*r!~J@N`)^t9OMyWzkp*6+LZhn?nu>o}SH9?$vz6g&fFk3ofkHc18v| zui%2$JeQZCh0gLW&t2Nc{i#`%33|Yg$MzV?RixBHx4|%wW2pGsSX_E8(pK{ej_(xL*IPQpKJQ?iCL+5Q)qYdATA#DC3RLpU z<*-(qd-DjUr{5>1!uX=~!{M6Rg`NgYik%3vj-I~Bl0!vXvfM*Yj<_(Mz_+XQUwaDfotBeAJmRZ%LR!|WNii8z>U#$GK&>#JAx){hq72&U$qReV;Wd$U+!mffGjaZ}P|Z+7#{rPXLItqxUEtzSPPklI9^v#GNx zL5#coilGzCx2Z52Tb>Fl0jm!_jL#d==(rJdvNP8NkPw6Zd12_1AxI8M6<3X&@ zr=-Et+D_!^g+4u*ixK6tkOs3Rxb8aY5423lnN|kxce~c1HBRf2Iq&#k#I%F6(j|z>Cd+=uC){{eaO6*7$!=)B(G)OnE(6%U-6>Qs( zwfLbY_ad@2%N1-prUvh5-a3gX>%dub^-;Lk_)Uv#kien+%%N@@i(!Wp~ z3*os2i=RjZ7tX8EyWwsh7dq153N?Bf+Za+JTzKeDsP_oom6`!PkO1U{cwt#U*I&>E zkxMv6K)4pA2yxu9SOE~LQ15YA__T-2o-A=FA3Jgg9mFe)x0P7^>!a8f%%?K8ozr$! zjV#TbTClB^%S!Q&&2FCOe0oHHFuK;#!6I<$$mFbQR!VGh z5-R2p5GPyS$YO;YLT5q#VOSx*ZIGrDvX5VIhYIn=h{CpMlPbmAZWUjXm}@K8hNnFE z91(Bs;l|u(VK`$x63cUt6K@@lP|vG)yKK9fwH4xx_1kWV@$eIRB=P2tvaHGZuHT4` z_1d_5gBZ^5({)HU^!9DaM3+>=u>dbck-)8$e$cj2y9pgHW!a82h!{($JGsMODcw!!$bG#h)xyYHEU`(6 z@n#%U7+IUFwAvHBxrXzwVY_S_*A%h`HKM}EI->?J-LCKJVNkW|uI?(2c%j2zMED%KJ?#DfTPK zcjq80#2Zf;BNgHeZOgO~DNu|z<0RWyG_F*bRu25|Cw_vvOD>+Z?w?lF8d&6{{0hHK^$hls| zS+FCE;b|-0(6%n~E=v^2f~OQkDx|@C(gw80vut5Wa54Akmi$1nkOo)CrscYq*^+Gp zUO;K3LB_&viTZbgBfQxT0J)+@`EL~dKllKIn>%m|oki|Arm>J~HUJ5ob;Qf_F?M}s z0q;FEdQ+{qbRoa(SEDO)tRebHCdxf(h)Hq+qeOIl$NMq+gwdo@bdu4o;OUf?=VM1N z0LU$AI~GJcf;@GWdH@Y^64w;gUeJ1Es9PUE^j=plu=u%>?JGntllVb3xKrX#NA%+9 zrc_w(>cmy?5DUGY_=S0)>)}<$6I!%Q$~OO}&xOu9Coi`sEGM!Hh0fY9ZD%E#4AZXA zS^L%CSru>3a)l+qq#B!4qZ^nvM1@qt_cyMoLr>*|hHV{l)_G~0(DFE@L0{&Damtc_ z6(Nm7k7Ul8lnd6J?dz`3R@nM&VAtPL53s6nPrlx0x^}8{A%9Cdjw}l1|NfYm} z?as_XXYJ0G@@+h*r?V(NlHUO=bQX6Vw`JR5WJNs-j7t(D9YXW#tlha6unDTiY1In= za!q2qO0!p>Acn(0$lwg~!h|mU*PL{kCUJikmTM6;E^6DR7fU?HJ(5g*S&d!_F;~ds z8`Sl9%(pC|LUJIPZq|0jq#DbW%t8SHbVH3^&*QX^99XLGqQGRXT8KA)Xythh+px8D zzmSzw2Lg#nykb}{Osj{ls!u(NdouZTiTP@}j*v12DH$ z9SZRJ(_@#b;jP9gy)Y2oDSvqwpIheZ3EuQDq~{XS~YlH z22N$U!dUQEgEnO`Y|{v;wCfUW{LBiya5QJ~f^E2PMB%YMr|5!hu%W#bXLbCPKrj%Z7d{Jrd?>I9ZHjUF0Bsq zf~TF#1Q2Fr=7ptMYfn<8PhG5rw`u@w=c_9_nIF7+)gT`kMnF1}>bY#Xq*HGclIj&j zFD@L-&=;Z?czOD>H@i}ZUXtpTfE(j>?w@CI8%ulG1l90n-z-zo+oUvlaww#lP0}=}Y_!vAmQu~7+`@^F z29;ymO9DFUitbO>Wif0cn4qwJBXO~cK4>L6bG zxWMgdwrMRgqWLWvw@KS3HR7K3*Ry>EK+bB&tdz*okl#9n46`#4d?L5dliwIJonu6 z#*I4b%9Z`mOL8FL@6WasqL=ST@Em_#3c9a*dpy(@Cpj|ba`oYs?{?Sl4_3_Kf>YR8;)Igk2-xzR$`GwN9$U&~_i>-wyu zI@DcXv2b#S0I3){sk^=c88IJGE?Rhw#G59YHGW-;&N?ZV{8~q}oe}G%puLs(h0dbu zcW8^_wBrL8e{?vWwH3x`F0BrQRKrS(2=VCcNi~dm7c0Q)>CaoO;dRbzZrJZ=$n0qW z(s4(5F;7C5bFUSqHs0pIit*fGrP*0QzEO?(*OBPcjv!}hJ1YD3X2%La&SR4!YS?e2 z<{soYw@;e($u|Gb(RgZOu@Sul(oIFqo(xRE)7^^At@73v+l5rKSY9%T`--a&y`&n3 z^Ue%NA=PYRK#0!g98!qh^|I@bmU+8TBP#U5MfrVC{W)NPL7%z{bMJ+0y&0AFg|To* zfJC`^EHpZ6V5(tS+aOPm};%w<{80DRnKcq7K#K*+(F{moVMeyxrjd0w!(7OgylQ(v+A?gVyEd|A?Eao?xm~IbW_5IZ z$6W6(d0v%~NEW6xx_(N5bT;2R)Ab#nK%H0DZ&%lQqlK<#Va7OR=s=I8>-}EFnw;;# zjJKPXYrB;Dw5RLWNz8vR3*2%Iw}Zaik+Y=p(56VOLP3|*;*km86`$&#c27v`tfsc} z{l9&{k4l9zlC00pg&;qYAxA4-6oUMoQZo-rxAq4)AG7XOkb9#&L4H^by4WKLaz5zB zL#KNBs6Ymbm_HCL+J?qmSv#D zZA857ljtIe?WUfn;OV4nBSDx@u}=XYQo&Q~gO1ywwC+`|PYtfjVg=hK07If{vRI)P z&^D#*L>4R976Tkfw5e9*xi0DTT^cfH#NMEDsG#kZM7AN8`!vp3RxxS2lsnM>Q951E zI;52bS7tkQ>z^%Cy8y^RtwZ)J$j_rfgbPgMP?#ur3VKAM>vQ9UbqJsGERmRh_A&Q` z8Qs2FxNXzs_cC~DDLNx$@bXD9dE_iMiwd6ZRM+p)>~kXAR#bYdCY_~xntmx|ov})t zg(#`T1F0|ocS&ro#O`Em1>25DWM|MuFLc<(z&kFnEqPEB0tt~znzN4PMhmu~WQ%;b zoLiz%+zNyUW8qk^4Q+TH#Hd9tTo8MG)>iP8Ubw94$3vqXp3)0@BU2W=FqmjPU8;0*$uJ@=AP@)y0)ZIO z0)arV3E7Yr1Y*R@e!r@7y3ebv(>=RAk9U*m>biC6-0ytn+`m;_ozw-^Xx1fmY+~?M z)3q&BEKPW0C;;qx6Hj=vvU)qZHjN>BVX8x#!Fff;qq?>k6T}1>t}thCLKq|Yz>Go} zv{riOOUe2#Gj+DEm#MIgR5AUpnLshBq22WXMuH7`&xGyHR44S(88I9GP!yT=r@gze z##KV^qBK28WZAUUM9BYODe-o%%^&x|1iTXx+Q7m)TgL|1dah(4X5YjT6F#fJV~OE3 zQjH0PCW+0-6YWweYw+hS99Qgjo@ir8Qi%{#(%aR{oq+=(7rNYMNHw!v#E3P&ACAfi zZJ1>ik;T^rUqTvfNrynXr=$?rug|?)Hg$~9d zq|6H`8UUQDr~pIv8@Q(tCz&afaOHk zo`mhf7)gBUeEA+{$@6h7l;}T`W1FGPq<&dr>{sG?{y2GCSKnHtj`b_dL7EcnD&Q_? zLX=|t3eihXf2L*dNzN-J4G#U_qH61g)z+l$?+V+LYAg$iq=>D|DH`foeVDTjF6b6mO`ba(Cf$A!`mley9n8dHkQ?xi?{6Z#L@++#0yJ!W~pmSu<h4QWKK zW_U(OveMO+h>usXREKqza(Da$RZ0UaeP;zCHo#H}_cXet6yzzfl>W&@*keNQCt1)N zagmqA8^hR`0ARu5*=W;vKypA;z^O*zQ!|ltiygT~bfyD}GL* zms!KBfGsiMUQYcYb0@+;)LkF?EN*BP??$U>21B34Z(1AD$fL3(ug(E7M9|oGwapho z<(NoLD+%h1r#vv(|)bUxdt?cntDjDbMt`Rj6x=&I*<`rD;W) z+=S6AZ3KcSII(m_g-(e#zju-Nlt6ma0K-j)mRcShklmF(7cI!6r9tdj+F0$ftU*4- zx88jaC^bGEQ{J33j+@1arF7yC!3&9{JJQ5z&5*SzI&mJI(XL2|rGDD??o&V39^Ts8 zxJBCJKq+aW>5TSuI<6)O?$rKKKLRU1k7EJwkeDi$YiXR~2FjA?rGc2c`?5 zv_)DH{2LAPd?q*=A%0JGM;23VLdcmsaBU7lj0mYAgqJ9-ixlsa5<(7jDOx-wgj~x~ z{+07~@a?KIHC#W+3!5;ZG#Vk>s!^=5xa&tJgqy+CS5iU$i z2V8{xXoS$H9(=b5iHl)iO9)Yn7bbWhC4}%aC|W#4LPAG*sUSa38r9HIx)$FjjViCX zm{QIEJ`oZpofI4i2`22SuV>2Rg+@Yx35z1m|3M3Fkr0lRs4~|wA*RtHgc1Ila67iq z+K`U|7)^+T7-mdhy>V6N8kQFc31Ze&Sx!pGW+jj!A;AkhHTES&NbmwHs?k}a5#qno z@WK;9wkjq>LV^ix@iu2SBG4EkB$)85D)Z56pTr^|1_UouQzXRBA;vt*J4vz!5W>K0 z5gDakw_6_ai8dxqvucqZ4MC3(1j@vj4b=rdW}gtklPjmXvA*%gQW&HCd6+;5^fIU| zg-SyFc6^}MPy0R+611_v@gy0Rok)afWxdzV6sP?I-u_iIjXfOjMH79d%}4ls-g@;M zZIjv_#T$J-2}^74RCXl0mb8||b)^^VYi}1VwM?)rtH4;!|Nnj3ky*gJ^C#d*7w30`Fq-rk6}&T!s%hs(Zrdm7H$&UfQ&?Dyb}_3>}0r+eb; z%W&SdY2T9HYlPl;LzZ?#tw+dc@kaahyVX;_EuX|2FOXsN$7@znPq)Nd!yYR}G#MjN zPvOneXuPo`{NnYr8O9};k?=-a8&l-X^6Az>kY}u6NnvXw8hhAD^@tX)rgfB z^gomSR4y%^c%Lyz9P($r_f=9T8=||MQetfR0Ny@gA6u>}``CT~(jSKuSMhCiD~7m%^<9fWnuXe}v$V!(3C9uixDz?kMiwm&? z8|z!sD)h4znqccx2HOcXMl{tvtA@8JiSfv|rM2!jjGtZ=Mot(-nGa2!XB&m05%^W8)zKFEmC- zn4dOve!SuZKeVck7Y?i?+P8p8ywDP7yMd6z(rqi@!6?owmD?RY`{)HT0c;z>v>;3G z4P&%4bmH>**Eb^N!Nd}5V7U#vW!R*RMt{u+iP)4)0viuMj!D#KyAfL?&l(bZ5Vk4P7O@3w z_woQs>YgGt%Y!%8Q+c{^#_}RI%RnT*_#~7s0YdLEnWG97gNOVq{r5+^auN;I`MjE;p%+knsvO2=V3g(0%NP4BpD+2||47H8iSz+V{zn$4~p7P^ei> zZ;HH~r@jfj<@7#gyzrwKZ^MEmOdvnZjPSx$|0+&qUU-l{FM>31 zXfeHSNO*%Yrme0m!Nx##RboZ%#P7^_DN#jFy(nG4Fvg&h#@7|?sSTHD`fPMV4IeRp)Vv8Y-pm|xVFTn zh&&6Ni`8KJ4*&oF|NnRae3V;A6j2z*kGImiu9q~ssF^6XN-uU9H+6RQ?CjCGtTVIe z5-~)E2(fs5QKHN@gDC2$2zoHepqHSc-UP#X=qZB89-@~DDkK64Ec(vp9NEpqWe;ce zoZtEXzw>?HYy-(4C4`WSKXthoFlWLjhM|OlE_|usSd7}4qzcw<+-x@mQ|d8Fghd4y z&qArjx1W9stkT0Gj9Rj#MB*E4Hu(Zx-+Cse{%2DpU8YC19FhwWww&B_M^0URQ&(i+QXSb7V@VU})|Ef6aPwSiREC!y70>|}(HCqd$ z3mgUVF#3BBMgm}r#mVOpsIqGYyQ$*rAWfiL9hp1D4fyc!eW;x5n7V6FM`N~=Pnux$ zQRs2MphSr+Dwu*4r}+XxiaaG?*3Ak@7c8~seJ(f#I$Vl(4mSftWg+w|A`w`mOLHqL zkUS10>2QcC#RSyJj5JyY6C$FUFlYva&|MZP!Kfcjbp8}r1w8bsnk0oyi`q_LC^7Db z(c#0uew4IMaz8$*Ver7BeU|Gmjk-2<9A>D{B4M+X)WWv-aGb|8Ha;LpW8X|}xtbh; z{ps0}=2u>|L@9vr3IQ+RZY)Lp-^R8la94iaB+Oi|7hrp^4m16~UUOHDmBa8ZhCQyB zh5>E6m)wDkOH1E-U?Tjj7EQ9=ws6ILv|qYe?@<>wzj0XQ&~+qmv;JKV7!^LhH+xwXt3Lb70pzCac;T94)TpP%>fWIlzF!eBZNU5 zR`!>y9o<>U**W8aF0Djbx+2}DuVZHWbc91=L4t{x-wCh#F4BzT#JWIY-+%sN z2>YG)dvn`)Nnh%xl$0C(2iy<(8rs2m$0+*J-aS;0AVb&Ov)Q#d{W%|dkD-6|o@LN~ zj}!c^kfpiUHrq;#Hljfkl0kz%&z=+fM?yh{CaKRa5_%c2E=q+q{BotM{yL3w)$1EkTm;kTMX5+*?JLT z4uot7uwmkE;7cfA{&@9tICteDP$_wE#PztVXA(Wf^{vztzjL0l9mo+>#crLERl3t~ z(tt_~!eWm8JIuO0UFWK^+iYS&6GoO(>L`)Omt9@EYfWpVt$u?P!XqcZ+O+fsILooC z=df#z8C5h}%b5F&+M~&XK9%@JFU)r2{|!UY(`W`>#Sp{c%=E!4YINeb@&eHS_0>K& zY!I2mYd(h@6HwZSc@Asy(oj6AV$f0mb~#-xwj^~KfrKaDPE5q4{x~_iJE=ON<3(KM zE-z(hdw=-6Wa1$?>2&wxMvKeI^i`4#7-u;%U$C1bsleyozTWR~BhG${>HSMFBnf(MWHYktu0V=o4}A1MW){t<|!uBo#2N1R>!wfZA?fTiYzH&u?GJgT+E;^#9{c`HMZfPcvhcI z$ORxHTIE1txqt9k17n)j4X?lF7$;I+q`gOSEupD@tWO#@U1 zytc@mbjdh??eFc!K2V7fKXN=2t+6Ute^Yzr;}$mqlSIN_IWSZBW2l5FhR@cWBbx)V zHl^>3^KJ4u@8W&D7@n#}?8r&>>X|T-`y73wvXZcdb>a=*kREs6if1HI1C#WhN3LBc zln#@wJ^V>bhU>X;j5o6`1KF6NXVBSo-O;RiaRRJJmVf=g1lHDJI*$@s@-yDZ)2NtE z8xc*995mldQXTYJ6ctv1He)n4HxuA}^eZq1Di)^HnoDiYeD$V=x8RknE&7i7KR08OlBm|0TMuhSQ$d^0go#&y>;bQF zA{1a_p`%>-bdG@_}--#D5wS|7EdEEIO>i~xX(T8|S zC&H&8xqH_|4{yYtTD#U>lu^cETVI#zZSSHJ6gE$8ECx}&^reK82M;ulAe~zh; zdp(R&Tkca#?^YENQeXo}o37)`i1f(&4~G8!@N*aZjlTBVv+YdhjQ7}s<~#_CApGmA z`&K{MmF=g;BLF_cDDIWkl+;>RLUgY#>gf2_*=!hEtoDG&W#q-~Fr~hS2dTwuG0=PW z;VLdx{Q2XpYP#ItC5ep1%t1*g4MvC2J>`-4%exu>;og@qNXEv7h+kgl9mjhQB=r`$ z&%V$n$}Te|sAB53!!T^K`(VNi_40iFgoINNS-Lyz!($dc;yNmFt1#RvLJQREta}>< zm-MkB5%wu}C}IYI&CPjL*|Vs|B0$f349E*ey#u9f2+J#|%Jj;ildyYG zG}yHRCn%3J1N!x5@D;wJ%!=N#I;z(~wt*hN54pSAr)csowi1V!~xfhp8 z4$FArf#fMUIe$-e$KkQ0ZR~)80JH1^ZLVX+;Gjix>P<=l^_8olzL1$O<1bMo!C2c0 z{k?$SigKxIgOh}m6h(VhWVw#%p5`LcTHn+}2E!#K;Y`W+A+3$kLe&BJ_EyA_s)RP)QO6hBTNfMs&*nP*Sh5(NW8rOWXuqR}_==1E zv_uvGdHa(!=`&nTy7yL3o$FKd``HiwE|;D&cdv8c7f3kmI^NR0GgI+R+U*o0<|@8) zNObNh@HJV~7vb)bDCdbq{T9A8{fSuHd$qI0GIbmSia&a11S_)fx(pmEd=)Q$rs#y( z*nS|1BG;4T)EaSTdE%~iH~2MPEqmAb@7Eh$VCe~bcx<$OBZo8u-0lpSS!IM0+;+}ss+%#Nvk5%_&n{1j+-sX7yfW`~=EQr79?Oz1O?(7@9#&gN| z4eRke45P^J+{$?OL|pPA%g09x?WQ+`)7ZVX;SBNzciG)jb&5Clq54$TE_;mUFB;xP zqNAWfZqb@tK(E*7UHUD4ol;HGf0i2$z4Ki%lM}+dNQ-^%y?fxN+wo&euXQSh75y`hnz z@B%pFNc0}w{$AyxV%4szx*mdYvSaC4UB8cbw-(w?^>jDd$V!mwi}cLDISd6w)aLGt zI&N-pzF{v`w{RGb)!sg)nbkdXiDo@TLe3Gp-Y`6DJepzy9ovzFmY$7H#fjr=hpRJR zuZ4Gg##uJlMOy~W^WGd@H%sGe?;Ov}2^VFru7-QRbHA~NzH02+qqQ}Qm}E8D&$ckF z81q?ZkK#8>w#D5mF^XJ}*E!7(ii@1SWvTm4iN-YorDLA+vgdzg_152sV(NDa1;~#z zo%<9#J}t3PMPfkR{Xt4^j(fA6xpm8$g0ZHWu^x5$S4&|2kGsRA zHp^C{2g@NqHRr2z&7UIkN20#i3`Lp?H zrpbs5xoy+khLLw1I|buLGWj9Bi2aL>-fNf0)hdA5knIhB(Q>~!z`Oo+bP_{Eulx3r z&t?e9YDJ7e{TBG)u_2c-()~6!545|pbEn$?2C=xTRI|c8=Ni4GR^Ihrb(>g_+N z>h@5swC^;o9`(Lk`agTW+2}Y6b37^^FglIUyQ&cKJgp?F@az5nkNT#*s_Z{#j^t{B zPDc(1bau&8eXA~YyW4i#u5#n=?3XPQ|Gk|%J+u07R=<1$`+)e!M4J(f%MH5^8bQMc zLj2^tXds&>xWI6QfS2DyZB82#%{GDB1|~p%)x+ zM`LUCaT>>aM#7?X4Mi-FN(-!HZaPWJT>AIzv#1mvD0JlH%HPB-67%{~;!97O^$g*t zs%%DR7N>;!Du2X@DiJ4#Y5Y2@=9~DI{cZ|Pj$o?p9(?n#5l@cl}3er$el)OWn zqutx|`twgVE)Ic1w9WB+i;ajmKnK>(=@Ze`Ei|K+4EXhvfdYRHJk@Ti+`n)fF%y>u zyxT9Qr64-!lT61cyI;!-E$nz5Q3fs71r8U^_`qSt?ePe%>5bN#Tes7_`#8bZVq+@@ zm!i)`ThHC-_I}o7ugrl;t}c76ErXtnY%!!(Ok}nXv9NkrN~keO{si29QQQX}nHCw8 zkX2%_flsl}SK0@H-+A`^5se%ODqEW%I5#`(E@q%u`zP5g<@BO{hWUqp=^+{eL=x0Q z4gVkgG+3henI;MIWq1Q+hcT6Tkolyq{-in71xxtaqulp_X^&-EW$Xo4BHj`5GbY@C zmL+^mBKjq2qdV9y^^HQhI=ea9nic-PwN?V|J$^qhn`AQNhYtamsG^tPzok1-|;qOP?U;T2P`@Y-RUzSR(OL^Cz`M+;emk8f@68RVNsx9a1*iO2^Tz zasfjeWcGMAKlgeU3N2#KO?6TY3##DsANVo*Y)(H@G0SqTljUx|43HTP5Q3xDz)`b4 zgl!d3dY7fhruWoq)V^lS1#9hJH$<(K+X5F;f)ZH_<1~54KFg@)oR4r>f>~#?9w!u? z_r27dO{^xZZBIxu63-g`x}|73^B{VYswlVZECtPSygYwP_kE=)UCUjakjz&!8ZS7! z;~BK9?;Ep?--qt2>$~N*%CT2>WSq3S-LC*o?{t8Imb!vD+3p&j4BXEnpQn!OKC)N0 zQTDZ;m0(_{{Qf(EeLJsRur9E6JK#9c!0Fy7U2&!pskN4jSKE5bWa6r+-3O_v4V%+9 z#wu?EQ)#%nN>|)1Z*y#>@)7XyIpsMX<14p$j?8e>N{kW4aMPc!A!ZR@%#x6fB^c?J zPa)K;L`oN>Q3MDYBr>LHBKO!K^cuC{a|EDSRv8Jig&X~yjq1l-O}DRAswripXzs$* zsnKq^?HE}HHqtlekkS^WQcKj{#n@p9G8!_GKg{C}rf-~myo29DMXSLe$Ah=nCpL0S z_4!>JcKU^OUW7@zZ-U`n25I-XrD6lf&zMX~Q?ZZFl8n_(M({_~TN@AvhfZu|su_EE zcFx-KVRL+VKLZx*9W})#lL1l%3_R{wHpUH4b3Inonka=G`q~7ir^`K7=bCsjUY+v` zvL(xo{KnNv5sF-x4*P-()YxEX zkBO|Z;!bC~Dl{p4oOGehO@^7%%w@r1=&))mZdyN;vas!|q>Q^#NYq&bD&g8K0Y__j zJZTvE-&oz{ot~pA;w=}=7>8v*sOXP8;*UIkR!6j**jimfquk~^f2n3#yWM&o)iD3d zj0R`TMc!GB0a5`6uBl8Z0M@K^Yl`Y6M&=rPCc3_MH?Jeatz!2~V5BFWqQsC@s+I8= ztFL@P#|d8eqp%1qd*@&&!L^rn#QzT{)K4V8O7aFv`PW>jIo6V?v(A>Wl-+NUN;`ZX z2=-WescLI$-LEDTKJOMJAs83Qt@rD%;MP*c4=#DUK#NN+oIj~fWl8MDyj^jZvLreW zWT+hTV86JkxDOYd@hi|P-)&`fIm5DutW$S$QnB!~PJa$od6Mqdup$}?m7}7gvGGnq z>i3ZA>L*M)Wcu{s$E92^Z5$(+ljmW~h;T10*Y=5{;|y`2K|di$_WV-%3JK@9O_Ktr zy#UWsNCu+{iLmXSlMv1(+{MTz7!^AW;j^QIyKmDnZ>++5W5t%)A_{U*wv|B@fz;#i z`2#hPb7P{>Ks%WcQ~^&r_3*02AEowxKZFpM2jYx|B1|l5eC-$PM5wNB-A>>iFaA6A zvYH*7JVM{3=jP-n4PJerLyn^Z=pg5Oxxx~F1!GG*2?gIJV@4p>wKILl?JV|K9#&v@ zXb;{7N?1}GOUGA7eYa#p3!b>g6KHrvo6u(eHK9M>Vd<$t^f{ALsufYe*yXEbxQu2_ zozr70Hy|6blp~9{n*9yMHRd>D$+{{DAixwJV0(fkb0EbMHIc4D;4C}qLVLMTQTW}g z(Ag7n&Y+hxGpn9XGF*RR8B1m(|Ze8MmMGqc4WTg)kqj(YnTj!+mXr&ic2DT3nO zE3haup!v&rlh1Izd+U*`g30$<3q!{AkKrSbHt0iBc}&zVk(BfPq0&A5sEXUoFDCew z--)iH>hJ+{HYxOQbTg#Dprfj1pBC`biakHtiu~MsOd!V+lQA^A5czM}?siGNZXUmSKu?qgn z0{6(RX03N~%#cfZam}dZ^Mb5l*cmXlP;GR3`#(|c$!c!~$>))j@io>q-QY;a)kcda z_Rvg$y|hYwO4|^*Cb#$93gA9u+T?~bKE_H&%QLy!KPHhCytllLnpCWJ);&gR*PeXP zB;0?_m9>_vxw&RH%?|kVXCfoESm0P+t8~S74`Kl4E;fFh;4LxwHO-J7#}0WwO_Lz3 zV!uxEn~^l)J>WDEcAZErY;O(_V}E-^+0^x`!pf4QUS|dD>muSpfO=8MUZbNQ*EA*U~HS* zw0zirVWEdC7nUtUU6Js(Bx#Ia>bjUcaGMo0g`=gnd@S)mAe#g8*QZUb69u2x*j7Zx zVQ86u#g4U}Ht5bI7*LI}WlFMya>fEsP%spB;OAH|Ak}fOsdgn>{dPIPElXW79!g$> z7bKTsevhEQN_m5TcX9VIU-P0?3!s0(#DO*VQS!>=SgxN{4@H7zvVqt}yL0oKgVzpL zd{ki>{Jl&0_>uJIa=Vfah?{D{&bDe@qv|2~Y0~!XVx%l5ZP2a%!NE1}SupQcvgT4< z;$UBLE}kApxW4q)?PiZ88&U?}!e6mGh?z`FN99$09K)x@(;_D}u;l8XzTVr<8`{xG za$#$nbv?sPncLAPXFUZiE6Why%A&!9%8E8!TGPgVwyt?OgeH<~Pwu)agVjltB=X~@ z76-l>eb$Xygf<3S>>QP&#^Yb?F0{O*AeCfO64c7aixztgt>2snLIf1Z>r)uO_1`Pz z57#R?_#qmYw<=9CfHx`Pu;o^~b!+^pY;nYEP7yohIpJ*ISs9N8M92F6^;RA{YZesz z7(0fIbHR@47XjxK_vM5|6H8lJ-)o1UZC5DY_HA?8k9PB20b(B-Udx|zERh~wtG3ac zt~HiCEBdzY>tXF`YRw(BZ55kN>UjqH*9DiSpxoYLk}0Yd{uMf%Dgr>ZDR<#ra{b;f zi_#Vj`7KzE*lwEcQ=5cOc9yt|XO!-03J$5YWSFi+Ehm@k{qKzSL}i~(9}Hk` z(}T5Wz2)c+V1E*)99aI@SSYbFrYsd!3mq*OwD}lBP`V-^ypJr{r`1*>jd9)|;8O=_Gs+86horCh zV_H-)p2i~0o3rFgF1oB~2d|Y?j_S#WvH_xxNH?BF`TgqPYhtq;b*f8|1`HHUJk_?IS~tGcxy7g^OsC&v^{(=0z*y699Fv! zQ;^9}yc0}R?H&w)fiSF1W%p=_G}6md zfOTc}PPR0rFonZ6%=TWrRk0gVIrfU?o<=OnM*uz_dx}uVK2XgW z_y-o;s15?xDLV&EBCpxNHw|deEb9@hjB3R#oGg`!+lQ-2QLfIZnc970ovS~ZGr(Gm zShURfF9*BDiewUri!zBq+cc**kxX3$4%Ey0c3Xri`3>X49!?o)S#)$yy&I!^UBEl< zipElkeXU`m?52fE5!c(k@G%i`jOeA3QxwXOZ4YzGUZF=?8yLIEiy$Fud~7c)Gm;Rk z3r~jXKo&$LAEZ#!^9&WMBdrJNrrk)z0SQy41MEbL*~05|i%o6rYg`qp95Jvxg$9kL%G zUaedSqsUn{cdqn8S>F6X4z1(POxaXhG($TwypqXZpd(X8BXR6K^BdNql6*84tz=}O zK`yqea;jP%h^>j?Z-vwu6HPDGFA(jSA$2FE6X_}N!wgK4gs|NH4{phqL`cbx!8~)( zArBj$rbcarLfVy|tcNz|DyudM8nEzQ1<9^}80r2T%jzug&bC_MKXLkl`7Z`^%zN>z z#wR_cz%PM3hcyIy2Y3STwx8SRcZ}MNSK3)BB&~B@r~2*{XRD!lGZeDwTlU<_JwM>(aPG( zcvnL$7m1fyi2!P;36mvJlI-Ac%Q#6E|;eTkXM z6E-cR)SL7O|AvuI3KUEepsT6Dyqs>8`9txgI8;v1T?5-+ee85libC~FUz(7nDr}-h ziY1FMT&#z-6@xvsZ-Fx(K71bgNcI@jFALi7C(I-4+aJ*G?!zBB|GU2SZapVRXcSsN zpCZMjwDs3;LObk=hze$@vsdW?=PjpR>TYY-Fm*xMMx+r0+zu}BPvP@;SA@j$tlw}( z7!vLC*ri~wtt~?mS(({s`2IFI*0HnE@IAidM~yjLC_7nr%lB}Q5T-N#+L+Z!ubW-o zcg#;g<@$o-6mGaMK@pWj{%yy3%X+|uk#2B<{@Ju?v@3V%`HyIYiBMB9NrF|#Ht_2x zm;G}3CSB_5{C6|U00pxQy_Z;rV}d&O)(S#rsSU!!4UtRIi@gf9rtq3aZEBJ z`3c4WkrMn_R@5pxjCcD`I>Q*|2*)vM%NyzP2gxACi|o)F@=UMXEYFB2(UCsp+eeOj z>1SV(f6hqT?mGlx8C!BihM}gPCJv|#LQJt{1}S{GW9ViEsSTn`5v(EY984Q*Gyj~i zO_n*yk5IM}IUS$zb-G2;lsw9>S&9VXz@$(SMBPx;bWG!CFX3&ayi*h~2qydPI_0{$ ztA>7NhyxMPvg8frCQ$qh%OvIeukkZt-;Po9Ck}%EEeFwFAilD1^uv8vC$b25@#gfq z=-=J55TDR)FhKn2ON@FRrF>BAc9c0z3-Gcnu3KnA$4e3)pTV2#2!(>|1Mw^A<%gOl znFolVTyF49YTPUAOtmaDQqEo?L*xZ+rc=yQWpnY>0C(m!>V~SwUhH)vj!YbSEeC=- z0>pvIj)>z#h?=V@eJ$ml@E0#llWu#5WX(>z(XQSQy<1-bem;pVzFO13M2`p|gYW(6 zI5{5a#JsSuMP=IsO=fl^R#S5IL^7&em+S7R&qY}Cr9p^=~9hv;h z&DV)=P)f0mVf%o-c!9m>6ji5tG8w6Y26UHP8g_<@c@u{!C#4>cGF(qOPF!@v-EmCO zcoTC$G2{7-7f@6K+cD8ck zR7Bg+*u>R?1#>S zNuFtAbYTc!E<@s2UiWMtGV?ND)V$wxFR(>126?9lN_v6B@BclsX7Ogw5uAN2t%edakeICtoj2AN+4bNHhcQIEca> z1Y%?_m-t3XlvFInWSw&qUsT*4>2Fo$JMG>M(Mv#gc_08ZaZZ`;>A>vC`)fllefdl2 zZ5@bXivGy&Xw^pdEF#U`TnIWdn3@<0V6GzE&43OqJJ&pK{n8H=P`0Q(Nf$1!_p)}M zEL$p1nbZQ8m6{%%6f?G!OhR(NF2F0(=7uO(oF|LBnfIGP4`?CQrPW%#W~bF!0+-1j z$gm-D6?)U`&UYi`oNXE|H)Drs+&*`86YBMr)rUIkjV^O_XG?26qgrI5c{yS{qt6N? zdNNrp7N^5coY5Z!)K2}h>82)bFmf)n-DYe>9b{Th5y!REwpg1T`Dy*njOH?`k&)ur zc@NU!AE)cr#ES&u{i}l(&9p)PqT)7X+h;IMwJ!?I=PNd4M=G?tV-vLN1IQ^AENi9q zWd~;n2awu)TvY2Z-U|Gz$Mu{`eSbwsbB(R8g!csIQ)fPRvsb44rHc}N_Gix)=Q3Xc zeP^oq2ZcAG8+{ElKAA6chBgrSI;WS(;QaiGzWiO3^;1FDI^on4wu?NQ%X3rte(d1` zP=h$TvnE3_*S_$&)Ops$)Td|ZnJ%vOW9La_3(z@~rHd8%tB%0>>4+<0YZ4}27H(Du zPcnLngB{mlw*hf~=33o(&vAh%Cjm&AGEUi_J=$c2(Fb8oMc6CPZE|@WX4L$bTBYB1 zQxT}FEnHi-zO;n3c10ByJ&bTRHpl0rC8j@%L!fv5QJRfFU?wDeE)j+nch9f2tqq5Q zRcURF6PqGkb?h1hl!m0Ich&5r8%6ZhH!`ZAeiLt7O*nX&ZsZk}>gg&o=FD-D@y$-O z=MYj7?n2&2L$`aa1=JikUgz8dI*|AUs!ygq@lEJQ5JnTX&c=bUUe49{L~#$QB|WLF zvMy#2Lo@yiNj3nHWB%@RS<_X7l3dC0;fG&$xdvlmI`@Y8^IVyh2_1-MC!`P*g=x^{ zPNx5uM>2s1S`RE*M(|W~>0iY@SNpy11ZT!U~k4k%q4HUIrZC;1}WLMFN zdC7|DeEnh>W*GHQ8g?)K472TnwGo@fE9o2cV7wWBBe0I-b8@f5P~uidEes(Wh~Vo$NZ$Vvb^XxXU^Rd3~@GQEDMU*rj3t~UnG`W z&6o!peU6q|Rk?mLIs6ZyMZn1e%0BX*T2vRPvB->Y*pVRA-*ovdOmj=ji9^wIlkn6Z zWy<{w9pt-8^W`*|u*MJa z1d&{=67HjjK3!0eAX2?)Q}~iUr&7E)kyQ7KgJVO|l>02Vp0!AxC-@i8UD@J7dGYu+ z*5L&PRnI=fPndqRI-uBBQm^86lNlS2k;DRFTs~nrlhQobi?8@OPl!Gv2rejkQCZt_>mk~wh(YN?W0G=;MUpG2{ovOvF?B#;5cvKQ zx?77gL5@5t1QMB`RWanPMz};igpC9qJa}Y@hB?P<<-+buWq~jcG-@P zh(pYXO}Q$;bslOuHha+MqgehM8yK9&bp&0YTEdYbOm7%A6#65b}oy2h=r#oC#y;0A?WXFK)~x3*Ed z8up!(c8a5>5RsSgt1m^&F8?I4nY4$`1{mCZ8xWEaqn|XTBpV+7-%EyxWF9)6egL{E zl}YI_#E&fl%YF~cVUyzCSlgVK&M$5PCL(z*L?%^FeD1z5?DxYKRQowYTVX)`QQ;(z zgGijheHdT^)zP!eh56gbt#d=~_+$D*d*4_1 z)970;>i4RGgB#{U;xl{HKK|h(mg)(91j4xyFDvuihLNFOzXm=CMI0^LFr{Dc%+|$- zydgRbEl1IQdKM`uj!#bTbcM2R9Qx9naF5 z{Zs$fouKcI7X;xWax^$r+-Dv0m1!1rW((b7rcU-u=_==fCv;})Eb~+zQB{}5t91_M z&z7*I58Lc9QWp=;lN6%LX$dPJS8e_k0|B{gym8fXdXOp;{;U6N9Y zuEpvyfj(P3&ox_r{;-z)#Z!=g%%7TNwI41)P^XuAJRkhw_BB2~I1mLo@bS7_x#%`e zmZP%QfWo68v#r+NvAHXm{O&Svj8S4?k0`i8Sy;nhNzPu&Z5} zvd9z;DGTuB0&B?37p$|tjvPqMH9<>qBsI%c@I^Y5pMnqaMb#jf6eAx+rWxyfl%^Ko zMvU9-rwMpNDk%L=qqQF(gdGG8vuQbsv{PRJ+P7CM82Q<=?epUD*0$2Fhw@_D1ftnx zD3^1!5!`~R*vrJJw{3n`0wxYc;2L|ISE~+tk311BJ|VsatKT9`4itF<&mLud#VC>n zm22j_a+2M~8U5WeEmCybZKn?X#?W=2Lvk#=_ZyOe{6gPOKQ$-3_?U5YHUkdw-*CQb zYJQpVRS7#k2jEpT{mylAd4NS?YPOF&kM0&lNZO7-8TS>M`(b|cJvuLSeo4SzB{>?o z$==EX38^;=nnflZSp6=s$dh5x+{1bmcs*xI?Cl7+Fx3rYb?iOjAm6Wl?kJUp_H&tI6W1tA{>a z?Uerv8c=CO?9)Lhh&l5s^N*JBk)2;N(++-yf@^7hqDd3WOC;yABHZxv+4Dg0P-9Hk zG=Sk|2I`%St!IDLL%|&aHwBO>_jmPrfgAm~eByG+4SiB*4rD5_T$1$=0mh$x85wYZs6;r6QSikDDTP}wu25(l*wq3q8zWg-`Ofh)~ z5qw}|NL)>b)a>^NLBE0AH@t|1^;1iipWl!L3TYa62S#|d@>>`$rL;|rY%NgCv(@p+ zy_o^y1nT=F+G;^D|t=Vg(-%(N@6&a)uqr>vh&qm{tr zG(QQSO~n*`0xIg=4(s7|qh^wwwZgIth?Z0dACW0Y15FGJvwx$%fadZKG(%raT@OKU z5u&Rcxjd#I^`-a8PbtF>I24>_WqXm^x>do@Gn)Z|Wf10ZTa~agwJvlKP@ROdL>R)w7#H&REZ_8J7?$EJ z>h2WpRQnb4=gfv-KN^LdWaNj1n@N<8Ji40i+WS>3@{ml4&X8jb6^23WWk5;Gjs#|x2 zGI;`}BYp+T)p<4b;Tv0Qh!c;__SWi;V>GvUyr>&l-tNa9gqsCCboAK!i*ZaGtuHX? z_O=(K=iuq-ZeVZ4&qUH!YJBpG#OdI#S7z>$$l@WHMB}0C5y6S$vL2Q+S?il!c;4*= zT2ONjmMK{%s>qlX+@MhFhg?9KAyeig1#~C}H`+Xjc*Nj4)02nFQuZ__&JDHgAR>8$ zw2E{xG;MAC9-x?4|7G2quvn|v-quaJT!M$;D-yWs2h$73#bes~@Peh{<{hQ*#JT?G z(ftFG4l~)t;hbM)JDG(2b>s-8u_tGT*ojvRndI$XQhX|V?Vu^7ot(a?S3ru|f()N( zh=)?`4Sd(WDbSLu;^K_ZRl=iGq17IcPWEj>{M)(9;u*7W+4KS9k^X4{Sz|wG^3BmZ zMC%HEIa>P-zri+l$-1x^$0|dK4qTVd4q%OmDo~soEc4@o¬f=iH zjNy^+G>k({!Wj|l!wYSlnau zw%{_HiqF^8Gfl0&&{hQqG2xvNwRs0mM#;c5jZ8Vc>o5!binQU$|BpJeP}z5$%2p3<5)kdc6zYIPCsw!MX^+*;T={scY?Mkt&454!IXOO~J&t>n4=gXYMlJ{R_OhOBIRP;sy2;$_h^$zC7gEYYeftG3vfxtnqzRMlH58a(zlRe ze%bra2(oY%aUIVvHE*Csw;4Tq+52Ut3p;?TB z05&l^paBmo)!@Bkt0Srish-WF$>g4kCYLW-*j~5hpU8fUz?LDWrY{D*O0oOV9~nrN z0x@Lp6>=e8Is}Q3_Th|waX-|#)ILOm8;J--S+O^S2DHn;^#+>%3=bk7Hc@u^=iPxi z8#w%ynA=L_)~&6H=P&U&zvoDBhus>7Lc5P<%~}g^7pJ#VHgO>Rc9$LBAu%CF>xLMs zGY&2#fm)H$`WNcR0I%$71X261Wx6!hf2_k<$K*)#7p+jECINS71e~!j0eMypuHRP4QJtYO z#5*KMGI-IohtZeuTbI+|`~kgZ87WgC2DlH|Aqmsl0h+x-bbA~4N1MGLb|ORYqkAUy z6E1yM2ZTMJ7vuM*eUv-`sNGH>PEIYC&6v@3(|W6z!wOJ*-odAXdo&E*F;04N_ee#- zRIiw53+Eswq8dlLddIPX0f#roo%emsk-GYuS|@r7Q|_B-x>~m|R~XUu=+^I-t?62e zTx-Pf0X}*5y5CD11jQ$To4O-+-oC}W4c+BMjueA$?#Co*)$um&Cy-h`00w z)JEm|)odUBtL>%@3=G_nv}%kK3F7^Qc!0`6Kq4691j5Lt%|by?Qa8b8B0A3G6p6V1 zizpMbx2f($K&6l|vbOc;Gw%NyA3WIoFPM6;pl6DSNWn4fO?$SNvkN4h886h4)S2yL)#WmMq+Pi{Bz`(YQAP)NN)5kHUJ5Ai{siCC^RRRbJB z_ljYI)D0%q6n6<|Y+!UR8c+OLVLkJ%Zrm?>%J#hpTrA@2N;wDf#g#~vTBU4& z$&QPw1UbAsAn#^JrlCgL@1XWtMtkQ_?=cfU790k7spDh?lmh-g99GkVvpy%-X-Vqu<3(noLt_Oo@_j^(QRE@Q)e4bQQ zwQMNmzLy{ktoq$}dbIxHzZ+!L;XErLyLC$(*wm`DL&~~A&~T{WupUxEb53YI4V5qb z5SoS?R4e!}*b>YfRA%>Bwh)-M{8d>&xLN1;6s_;_EpqznQILo5QHCo*rgSS^vNuho z+0;CQpL(cK`#1ypD!vL!l>CTjiaR0OKp%P7HJ{}-Sr#{!Hgi=iFZpnOviR}8UaL5O zb5%>mpCGPnwM~b6F zFYV$Xfyn2eQ6%JL#PK+@c6P_ZZD>Hhsp&pknl_0VK?7IE;7{cYzy$;SICoxB;s7?s zVS+*F{)*F#$A-VSg5bAG$jG;PG*eN37ujs5s9jyQ+v(Rw$v{)n+uHrjc3`xHkAufn z0ei-v*n4Szc+1Uo`PIIp6za^@I<j$!}fd z56jOn~?MJn9`L z2!iMU1MWRF47b_r?^y}|ll6aqgK5fO_A1eKgU4Je53CmQVcCBASLXj#@jrF_Kj354L>Mw!R%6!Yfb;arL=tC9``@b#gb>ydKgcfWa|6kP(PIv%qFGG>LIgPFHCke{!Ali#sg{X-1x8MGc*yx6a69-GR&IqX%jd;(P6=wHi|hszRBS0|{nAm}EAmr60t%TAT~W6rnaF3K!9dT#mEE%+y)+i10as`E0A3-5b-+#_T8FZCOfy znSthu*)2Ax?A@v2fz;FHv)A3J%;hh@LE*xB1khFPcLp4N^1@4(YT+{Rg?+2Jq=$pf ze`-D-KuVrb@*c{kbY5|5n#RHx-n(_MOnV4*`vmoFLs)|mZ$n@n5VGz%Ku7P-@Tz#m z@b<0sDFFYg+Fn~^5jV6m+_L+Xs$}S&cc<^LhHCv@l5r=pRzLq<3S_EUz3ILQBHyV> z>gi?fb^bf**}~PiQ(gP$FC(?RG~;&6*OKZRqo1N~4mQ3oi!vM2x4{p5C;5?Pvv?=N zacQGJ=ikKnn98TXC!D(K$eA6F3zrppKS0EX=aH2E7-??H!LahGF{UQkxA{8c$WKCTsyHWTPc5wQMx~OIq27C zSX?<*uG3|Jb`mqy=5Tp45mN<~t6wL8oJ1LJYX)-;2fci~-ihl~(RD!(-=j$YUVv=S ze3bwfDh(gs%-%)g$2S+i#pHX8X>(PcTQr=Hjc-}Bu6dg4oPr)AEHqsH3JUnV@46^f zv9c+Evl`m=D_cL zx&i$`7SS+lt3@_gdNbb~RrHa+Rr1r&)$u8Aoyjbh?9?IkRQUG0Y_l3x$9k*T(e`^*zQKm(v0K45=ekvy^{*L+mFr|&u&s*@BJAN#XC(eKC zqi7xPs@(qxM;N&00Mtnyc3!Afy*i{&CYc9aSRMMWfYM_ADp^{coad?K6W;Xu@JMsW zbB!P(5r3{F^z}fO=!#130E$biUopI9Vx{`MKE;A$UYUo1uDBQ;0koRQ3q$18sYxT_ zvpjQ;scvqwI&`!;bWurYZ|sA`C827FJgy{EX+6FqG`6?)s*+GOFuxj5K1Xo+>QmRB zvUJ_*H4}%ue*MbR*DY1z6UjsaVJi&7YpUCD0-*S-b=FTiUBsp1gj(hBaRB-33$|zm z7mUoS&mZs`eU#;|Eor$jZE1DrGC(;m4UdeC^FxqIY9g5^xXM{w1>YMBn5b?#C-Z9K z&+AJnRTX`DNoZWs+Im2z;T#|St2dVPHx`c7@h!wTgTvf#?K9fF*&l2A1jp-LvSN@KYP*q3o458f%yJAz4DKR*` zXg&wS1JLGUElEUtCRj5Ps~PEyC9p=X5fI4zDhNC+zjty=W#rc?BX6yY{I|-;f3J-E zkIKl~DkHyM8TpOM$lEI;?*OvmlKz{Om42%-^4pb>cUCXxcPcBrt1|NL%E<3kMt&bi z&$a;0M0m0W&o5Oj?2VO?U#^V22}n-|s|mt5`YY9;H+$O)#>5U7FH5*&>gt--IXX5_ z{ukr<8Yv%SrGV4dt(ewPU$A=J%6enPYF@P=!x|E^tl}6(n(vW!b{^h2Xtn|BLzct z5WgERuQA-bJ9ePn{M*D{R1?jh*fi zty$T74v>y!LBSlBZuo2bg)x!vMmLQ8Hg{DLf-XRgvM zksrq=t;CMJy6|kE+j2@g#_WIA2Pf98Jf%K&;k>^3L5o+eU46=$6JLs4Ye*D}eIX2U zA+n@F0!2KpCG`B3(1R_Zk7@~hba`}d?6w8v(P~jJL28x75+)0Flgc8DlVH6FuqJN}cFzoSuvzN01dH(Nq~t30|FkT853 zv12UB6kJu~eHGxM8u9(D!8cWa_W~1!2N1VV_McUNi)zG=;Hci>9&Jte6pW;0i>_?n zssdasZ=Ve~{_>yM%``csds`rxKPz`(kwL3f@pd6Pf1=NzmG0-Zgnk~-bb41u?kb~5 z`5qy>V7Xfae?e<-ej%%c7W1Ok;Clnk!j%}Fd*gcB$F5wzT#@md8szGBcq~7#EpKYs z*J^vAawVES(MrD;{8V4Yb_IV4;P^{+wL;y!F?zq!;22xmKQuhmTnlw8ryp#GD+Q)O z(CPR5B|D#Af#smN?c2cTqZ-A}6m@~oEOAxQd)(^5VPJH>4hxXMi}&zW`8c|J=~vu_ zA%i;zi+O3?IeWI>CQ)x}#t*97|NH9D#{i`#&F}O%uWO9sRF$(rNt@uRJlPif$fd6XO}cMM%E5@L&0I( z^xz+}%jOQ4M5~KF#6|qxc-n(_rfbSyUBEkBoq_jKMi5q2Q7>S`Cst6e;I9Lm=H=IR z#(0HZ-4dE#G%o8orTk>TS#dM)nw%mhl10X!5~}Z-Q3-x(`Le54Gf^I`3bv*t^l3ns zZt=ab`n4@-KfOF!`E4E0W!sE0eSLZ5akU$gP+%u?Qd!ceSS;m3tB7qn?pE$u2)rMB3U#*zXfov8}Y5u zfkplJ(WORHK53hf!IL667q(``TU&#_tu^@DTZ3N&IG6pn+toMRpZ~OHk^kP&1{v>c zgN%2zLB__aGJ4s{g7EIDGSpn~9>~D0hno4#coCeAiz?;fszxX!mq3OYl$(t{MNTA( zYr_)Dwcdt>w74?OKFv3ONg@WJxvSanT4Ro*>I5fjNG&s`Dfo}PP6ScLviOXv@W z=n|Iq#s#}FM9=hJG%KHfq&zxSUHd4|_{+aRSmd;ig{nJyl*B}`2z^zkx;ueTCmC>W zoOyMqx>`H^czJZJy7rId(aQ2`%A=L;Pk5vMEEm=a{dq6+&bTbMp>Jpj{e_m$Uj*7` zv74}6^^z}D!K+%kvAp$4`%OT5T;(K;SIWOqUb(9Dn=2?+wtuw(T-pA;^0q7G-)~L% z4=ccx_8(V(E63m08vOp&;G06YV^crT=9KZj0h|MH@c^5L{zGfF|Ir`5mE34oZSE02 z_%;~lwiCFj_Gh8o9=-K(+!l!7%5&QQ?lV;7;kOOdJ1Q;#SA~C0sNS`Qm%yviZ&wAc z^glN=KXp$=ImooDgnE_vyejGye)~{;8Sg3hE`a0D(d-k(E8p!JDz8}f-2gA$a(ZLy zU)Y-ZJ*%i!rGF9d`1A1H4$|vl+iNcdl=pRp8Uuri3O6MlMaSD+B=p82_Vu*?VU3UB zwFA8DPoP!l4=ImUx(@}~W6Vh?SMUyhxb4ZLUO#x=-RJpUMbASYRY7@gT=q{_fXC?C zXZ+!ZOPf=*{*WL1PBghA1g?yKG3wl-+V9YCW&GY1;L7;@0EhjK4x3P}Y`6TZtuoCd zDLesJ43^)yQn^m}fvpw&rGVr4=i0G@17q{Y3opF$3UF`*3sebT2Dr~)pHSZ$3pk_- zURC~~z?ZE2Sb1$)OX$O_==5V7iIOYb9YEu+=p)lb4X?8`cvoxi>8-)LTZ7MN4c-H| zUz<6>GT2?>zm7?d*ep)7H{-2u{;LX?g!eZuU-S&R|#I({yQ)2S824; z{t(dkbG7#byf?=GsRCTtz7+~yR(q_xwv#{nB=MYr-|2>fdx}{&_ARLo4id||GjT{| z#u-dKb2D*`T)SiQ=FPj&3pmjDYJ1{f|7My8-%*r2x>(ZBSLy9lnzt6ogEuiY^A!-L zA4Qrot)zEUX}&uqbUtU#+cyt`pSsWZ-R8}6P?JaCkP5{GyWzhTPvh+urVmHv{zy-S zq6>jRvi2taqrof;1C^8{5MB&H(@b(?2u8iOg9R=YYTo115c;|pQlW5kWUI|%HE ziPB~Yw=B)k4z+F>?wWJUXa`5e4l_jQe(To45ij9n^N43T8E!3Z4vx+VJKUoK2j~RE zt!0aFw4)lfh+$8XQ1@_Q-h0$-EYsC9re{XnOoQPXAkiG$?IR;JGs0x-s6fcHj|wu} zkj$u^fox1Wh%2Rp#}=juZqqX|%##ngZZm6#Ol7|$t=4RXXc?KZR3KCs9Jfew$e7N8 zCaPh1nvj9;m5BtzT~Qes`=(`NI3j}SNnrog->|g37Cq0H48n1bfza-Xiqx7yK?}bp z*{YUIRqpB(ni*j-hGklEhND8gxFxMqd_Hs&3swj&br;%5-x%yGqG8np&<^90qc{;>cV9XKF6fZ!?=2Muyg68lBei z1=?}2x_z}P)npp(8R)FyayCkHYp=cXJ+6G0tFr=NYXy=Et z3l(icNV`bU&JJlC6^%dObti1TMA7*BWh~oeiZ&6_E?2aZLfVyzwko7urD)4S+BJ%H z0%*5E$8Cys1ZdYP+7L(1%+PuQh%!8T4y`}Oz8wq1z=7g$DB_#ocZACAinL}=MO_fS zU7*J(;ukvkjfA@k6%7MXvP5g4h>l=jiTGoH)1YV?Colwii7L!Wdtz|XCE~*&mqS5M zp`umbC|RPPq+G|JuB4(P+O6o;lp_92=?zdcw@}gX_Fn50(>iPinZ^9bzc<;ZO{{ zx-)1GV%-0;qU{j!J*sHifwntLcwEu80S%UJ-U@msi-C`<(UIFI+T*y`{yF%zQ?y4x zy9l%$6z$KTtp#>xMSB>u9?*7IwBLe8cL>e1a`sGB&Vvxcv2xE)blb+ti&Bby4n;dd z(cbM=^mt0qPoQWRil&B&JRNgqO3Zx_vlwCyC@1E@l$d)%YYr|c2JE`=-vcr1L(|HM z;m|=S!|q6%md{?yfWie`A(z1KV3|)T3&*i($kH@{V|qf%)HETZ*1Z>ck5fiQsGY!J zUM7dD(eY{PPZh6U_VgW4@?FTOp5YI&_!h!mtOx5tKsdg@i%ctrQ3trYDl-p6 zETu8!gl4q7hx)Y)v!d3745S@|d?$-PDs+!_7*;_B?tLU(m|0z9gl3BGCS+u^gMfRR zNz>aQw1f<{Glt)#FU}$v!qJaim_$2`IHbWm!wH!dRKg$Pcu291eYe<4lKU?Pc< zDxeh4IXic{kCwzqZtix@H|Lyt&bjB_YHS>v#29=3gB|rSvFh=R&4W1>4!MTu2t#*; z?rrj{#Q$JjsVI+#jw1c(M;S-5*{H@Dv}G;Tyw^+RD0ysXh$ryPwbN zK=U*<3!rD~ZnVQh@NAedF<#VdS2g0(N#(80w@Z*l0_QXep&1Nf&7RwIayv|p%x&Sx+3YJ2bhyv2jO8;XV9fMETSRq@>&_fj4B=fr zbh=0ePL{K6BrKJKS z-G>+sxs#ow$pKhMOZa75?{^?0hbF^}VL@OKdma9|`QG3Y*dy?BKUJ2cB#Bwfu*ece ze%>-Mi-{Xip%Sx%m4Zw@(v7a}_F%B9duK=I)}^$ZLvNhuK_Lzq$?SY%d6!Zo_xJY} zN_q)=LB*U^9Z0(&Bb;2ungEdxezpPzd9ZzR$IkjRUny_?X5Q%0@# z^9y~4r9Ig)QyR-jN&MiM?@p6VRhOm|an0cD{#Ft@ejM zm&wq`SSz+{RBq~|dziI>y)QSOSOpU;W}#;v`lD)bhi7i^M_3HxKki?5Px5m6X7qt7 zTGsBH_X;E?n^p!!&3rZ62T)m2`JMrY76wdiA?%eS=4~~`HKm%Oe>iaN2x`W(OIlM* z*NBYpn9ntIkZ&74?r+aU@CAlYwV)ZjU*K7YT1Fzr{TfQm zr~Us78y+qX8>k(HEnUKR*KT7iu%BQcsM%51x0nKhwDjb!FLnSN3HHUFKy2qo3xF+oE=%s-6;fRRfRs;D4ujxS!t4~oQZmVJm zB?SG3P7%CMG?KnAj@G|~x{#H-nfj8@BSursQa1oL`cl(+&zS2~=;#VQ{%=Vc=qZOG zTeAr#V2Dn-{+)pU2*{x+Frzg~(W6|YU?nc~QEQQFLvhCWNmqw3W%SbJ>!wsCTcp?J zadkYW+sbB<-ERUB3PeFc&t0ico~i;j8*IIZ5zPr!&~rbxF+FA{wPxxsCtuxr2X_!&Bjlxs?sstEPvFhXZpra{^t&h4k^E?+ qjxz6_@tmOIJ&3ZD`bQ+7Q66tJp6LQXM%|f;1;K=Wdj10d0RR8`X`z+? diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb deleted file mode 100644 index 608e6ab64864d051317409553fa77cf3495c1179..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2988 zcmV;d3sdwI000233jqKC0001P0B!&`00011WpZ@6CZsjQe0000003Hee000000ILE300000 z000000IUlD000000C)lH+6hn;R~iTK4;)8=AQ%ro!5spN28bjmp6E29n3#AVp6ezG zGAeQm!e|sVAR>~8XDmfDDB^_*BB=460Xz_oc#9?|DRnjCdJz>+dE0N8aXbG~61P?> zm9ne4-uu1pdq=A&{Jb1mH;j|V@6GMQv%fwSIp1jUWZeu$yjde>&y^*AD zCaJ$8shdmc4GndBu~dksvv{@e-vu`TPd5GS1vQ0uGjMaT4R{KSXA5yVa0_q@^v{F%RETedcrJJv=34{(%^*Jw@>haWAb%Ca)4{93 z8Q`t3o^=p6f%!5az7f0$yczrm=BotfK>lus=RtfMI0y1gVg0)xe>eCs^sfT%hx}a- z-vV}p`RjoX!gzO~|6zz%L%bN`J0X4?;@J?t2R;S)Payt1_&nra0B1q|C5S(T_zv(D z$lna{2jFMm?cf_Q-c9f($S;R@4aB#B??L`Xh*vor5SM}F;Pue|9f+@k_*!sd$oGfO$3nS z?}7Ec2XQB`GuQ>(2D}Qc-xcC+V0Z8b;16NEAg~8mfc`Rw`$D`YxEI(D+#75T<2M9b zfGxo-!L7i51KWcgz*gXu;6~s9a6SIu0PrC2VDNGnKNUO-@`r=}frkD=!C!!vfiJ@I zz7Md}Fk5OsvYR~-?pi3$vf&_qwJE9kE9nW#}KdWWfl z0>cymA!8%NVnCEST+N4ZR|G}`D|)JeL&RZK!G<)CfvT}$P)t-uDf|MXHHzmAzG3Ro zp^8r;A}4AT141IAB{!tMxD3AjXN>8;$MCYoP#2qDc<}DVod2cd{akb6DS5thK5pYWcv)XO`5O6nyyS=U zdU#)H+?Z!9dA>Bx*Ce$u?`0*=m0kz`2LWk+KE8B4+|vE!eWh{!Y)G$<&nq?0m+l9D z)}(RFf03pAdHnY*y*_@wrR(SY>oQ-PG|ubBXONeC?7BV=VoII)JYLuO`TWxTRv2;^YOr6|0 zk@vjjIbPf1-wymncEC@Z_g z%pT%Nwhy|J<*kS0F=9BA_2^2wvpUm1%QtJ?O00yS8W}y#QL|6O%_zS5FpC_1kg_ta zGn)p}sjqSpE7%IgNIOl|7W&$ znkyA`acA1hOlp6~Tz*meezjFpJGN+PqRuR7in8*{MBU9yYoXZZ0qb}#m$?laN^u#x zSXi6Rx@t{3K|bf0Ruw#7Im@vbHIEsg-^ZYx)1iT8AU`kM+na-Qkuu#JgRHoL*tnY38l z2Cr=8u;SX><3(mdyN-{w-3nush2C-c{+7i;Os*?uf#yot$9MPmv`c4bLU9Vw~13(?dl_B0@$K3sZ& z)l)_?^O>_KE8c^09geZlSKCpIs~Mf4Q%rD3W+(bjppVa4(4{Wb%rABnd*mdepy;VO z+1zv?`P-J{+I(dF#!>OrgQmBlwIvGnX;=&`ar}XWFEnM%N7d+lvcKjG=VtE}i&H0>{VpG9?8OLl$&3oX3H)_b?0 zabCUYzHdIOo@quYv(0F|lRu@;?5F=D1A+M)ny>X zzzf9uKnznJ9~|Nz;TfH<4W~n$-9nia;&R~}TRoi6dmDq7GXaMED#Bcu&3L21lb*Ne&e}C`L zfOudS`MAc1xcUb80K>?pxFp5Os<@;mGcVna6GN{qUcG@KK2}yvPW}<`MlN8>?YJ;p zDgiYC)ul=K`8jsn7>eOxiQ=uaoczQRJ07rV@02upE7 zU;zppoomwwQ^E>l1O`X?@_|#q>Sa2MVKRas8JPQRjC4R@#}Br`{MC{=m?|NdssMja izYwT00kAbEl`ZchD+9$UP>pMlpQ8^r2><{90RR8tccOm) diff --git a/cpld/db/GR8RAM.cmp.logdb b/cpld/db/GR8RAM.cmp.logdb deleted file mode 100644 index 626799f..0000000 --- a/cpld/db/GR8RAM.cmp.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb deleted file mode 100644 index efc33c39ff831f9d6740955c6aca361e3209287d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15775 zcmb6=gKsCm(zR_~ZM#?7wr$(Cd$n!b=C8JG`)Ypo-unx_Y%)k@CbP)yW`G6+1XKeH z{0{;F+5H z8A}&aLQxY-mw)0rUjMVQ#r=g-2=3&M4JmyY*h^iN$y6j-Q(E^i-;7WE4mnq>_ra1O@Z0UR{)`t>LM zHNRI4Grqqb%Dq%6@q7#1#mCZrnV9xY`Mf;wMTj+`u+y<_s{)Me*JF!`at%31KgH9-|FvS zj-W(?{tRB07cnAe@$tcK9~eF9qYUi0qUq?2URYkEs_N0DrEJ`e`iUD7H!4#CvLF}YcDyqx% z*lvY6l;&6Zy5sRX740?p175azsaKVYIilO2ek7mxm}g}m6cXId5wYw!cmHw015@Y1 zwHMO8?1}Y;X*k!TAfF4dt!6$~+IQUtZmPln!$5p{5hX}82UQEvTH|7tS8U32|Nd2v z?$NNTc3scb{?OH6sag!%NqIcs(}?k{&WlvB+oAR~u_r~Btw(%50eUQ|%NN#Tk3!8i4*VrGW)%?Aht{{4a!ruLK65avC^ zg)!foVz$carx+;Wi6eIZ$tx%SILFl|RG;{MSUOtP;nKqjK=sD3(zJf9!H(7HeI7B#d4J8S zsm*v}<{Hl*2jm!cpzGDV8l)amoM{&xUb_yuS2DGCJ``RK>V@}@_>cUgTTFlReut;x z^@rWGIV1~n#pIUm#;=R!dI!Vy)fvNlYwLWzZaobi?hT*Ln0sIvMF#E)|q zb%Y)6+rIM43v1UqffIyom*D?w;kp<-b))7Q^4Q1>h8CS4+#c|+G9BOY7)8)F5khN` z9NKXELk4CJxN+;%A@1)E@1+5w5k&XJ$7>RL$D2Yuwydsv#NQQM`fjCj&M08^h{xP8 zRUxN*fU0){-w`7o^kQOeM#IAPF>}qHr>rVVODEj6$bYoD=UHuEmnpQTrS6CdULlTT z=T)6P)p$KA(6vH_y&Z!0_Ix0`a!NL1?9Id6xH zy`V0)-w3G(>Cc+t*vIK?j_rraUP!o;p-G9l>{Yeh#0nD;7cR z65U3bC|d>C7v%+}H|mNEinsN{%{iE{d{9ZRn)i&F>MJYkM+64P9-P^0Ub!Dk9gJR> zdsdUWLS=a1!nYllj8TB1cZt@|qBo|wL(SLRdNI@qI5(5m_P)S+1uTf3x*5mv$rDE} z>cPO!W5Gu4U`LI1%?Zz z!@_9^o$a6NhlwscY2f3xnD2txd1tKEd-2&YXfcc#_{!adnK85!4eM9&%&EU*s3I@a z350;&ko^$U*0vW*7Q=ejPZ}8hlKh~QoIp4$(P9e&uWUZ%O5!!!gfrMpyo6#SXPpp@9lL=ZW|W zvzS)^MbRZ*F2OODPL0w6T`n=@vF5<3s9Q+yZq7^`pWW14l+%`AlQT9zniq`&44`8_ z?-O+q^wmK{x}C>RoQGiaqOk2p5u`Q(*L`MP=(5AoID%6vrXPt`6%oH!P|h&&3yTR8 zwG6W-5U)ibVFQpPFekG+gOn(_%f$nXAQ_EDeG&E59?fGAtt7ujDS`Ud?dvIqknVjy zuiUf?c8*p;EYDa<;<~;Uq8Vq7#%Z4fCV$qJioRKw$|b`5Nq_>(M>T){0E1k9gUdQ4 z5ZmsT9u*42#j{a4` zadxh6_9w%COZW8OlmEyzNjMvk>RCZ^?cNcI(e$Tbp zLO07acvNbMa|UNxWOAgZxwyp!vmt)AS;RN804D#ie^S_f*61ut&f;V4y48%5b82SO zk}UW==c{LY=1aL}JkF}H9S+B>;@@9SvS*6@Oot)1x0-VZg^{hixl)?N_#dUbCR(qa zC!xhTNv3kC=iJ-b+9z8Nvw_;o#@KvU4x=?(A2fiAjMXot^W}NRs=^rUqt^9LBsO0{ z6!Ywn;LR-$s+q{u_e66)Cs=cPBwK_~LJ-U(5wkX3{e2W1tb0D!w3WT#F>J_F`A5wu zmM!%lZpT0UHfgZloOlIwI|AgKN5~ab_16s2J{Z0}=U;bk)NvoV6jgw^MZ@j8 zzf(pP0VC5DN0dxA?s6rLOOB4t)W4--K5~>T@mzJbvZdls4*gD5aQ?q4yO7k9G`L?Y zcYsO8g&dCwT_!IiFI!*Wn2uvyvu?9%jdb!KmPG8}J?V{mhaA@0(G%I3ZqhLI;_j!S zh_;43CA6(STkITZ2RO!hTZOXz&qkO>pDUMe4#6SX{C8= z_zwo>tH)Eg3gev8w!erS|cpxQ+8PJnQBw8l(@Za21>jThZ+K&)O z42RWX{Vc|8Tk5!>?Q{5C$*b7j1_>`A^6p}9<^e8eC;qMo&OpNBFL?-iAu&zWfK90@ zWe|QvfWi_<%jy363Dw#kZ=})Pyl)l(xCVR%feuBmJ(d}x?=q<2oQrn!#M|;EM^z+- z#$2(K6GhKo&bw5vO&q8Sfl{~rg0&|j;rD564g`SJD~HGAGobsJCeo8-o*7$Vf59K5hNo%y zA8ZHxYLJ0Z9kdy!+aKdfU#TA7=i5|wq+>@5q*}6U4I5P8eR+Mnv$vJx!(ct1^y+<+ zSEkUo;`FX|!5@`0Sh2;M=227XxTi;vhnQv&>Uf5ttLF$B=zMMDe|;H^tmYtLW4w*} zpt0|UL)l(%U{4iQ^r8>oyb|31{1nHth=PW~27JJ2z9M=o{RQ!VS2aR?VefBh@Yp5_ zd?ScB&6E3ax>d+=9b8Ex=M61(GiDMv*!@NwfMGOn2<~u3!VUyBya^ll5kf$e11+@7 z^=4-<5^Tf8Vy629Lyi@!3I(*!K;m!Bui@=A;QYv>w5e1)YIZzomJFMx(r`tCUx2Q^ zcMn^5TMd0J(QH*Gg5<96zCdX*m~#t@_-Pj?{X}trZu| zRUX1YJ1dFjdSfRPrF1Ya1T;LFt!ZDp+TY8})p|0TuyQ8dda4To_-rR{hb}O!{C7NREz4$>t}U&0LXla%lGjLOnp~+4ONB2W>CW(IY{dyNy?4MylPOVJ zieoyws+(CCfQgIQB~GWQI!!xk^u5 zpWpQ_kZj>l>|?U}skHS845d=Go441|>e>N>(=)ctNCVBn@C|U|Ceu+?eYOiplU9Im ziVw)Hr^V6lzeQGmO?_Wn^2kLw^{6zc)2OvemTOvKuUn26Mo&>tz^E)u?OgwBDT~ z^t6aS2L~zpgz)T=_vt`f-v&Wy8UUxK8~n^1 zd>-N6CGxXESKORz_8EB(C8;-|lI3^K{v%&qg$FZ(z-_!bdC%Ip=+H0P7l_eP$De@9 z?1z#|*lrmM?~gAG9f|Glr)5nGa3BUzGFRK7oZi}7jsboELly-?RvGt)>+nzmL&Y71oHHH2_J9zYXQEx#Kd|mAF>h?FuKf4Q}=A znjm&c9*&xMosu6tD(P_5;A@*9vn)1^f&$WBP9L9uwQAOVfUE3j`_!b^c#~kQGv#=K z=CeO`LM6=E_N>_N^Y6A6i{aN7S8v>r> zvT`|}k56@)iCIQ`rRcn~8dTT^#CJxebGL-&QfhuGx+&7 z_q+I1)K41g^Fv=Njis2k)U|QTaILwVh-L#`QtW{-chpx%H1B(1umJHdst|x%;_*U; zv^>8sjSUZd^9U1vl2X_yOf5Qwy3KFtT8{ikUm-+u?N-QoUfIt-_`>$ftp-zO6P~z& zNqn0CNIdU_1ivn2e|m?8X&>Wgx0sr-IUB|qos1VkcsNLpP2}hWBsJAm1h zjJWQKzyMkO#EIO50dY_FyK&Mx~IJ9xd0qkEWEf+wcpQ6p^p6ZkKvSN6BwZ5B` zQ!QK(LnXX3b(9^kN`21xE^p-H`upal;IdsPOI-W;x~~^%t;xxA!~H!6291XiC6e}h z_H*dvAdT?(hQ_u25G2t0^FhaQ{0y7$_k2~F&uqUh3p%@VFpL+;qpJ*8H61u5Qm+}u z-0*ax(r7}c+G=`}R=A#gqdc0VOk|TehXs}qY|?ryz3&>K$@BNI=Nv2__(#%2&87p& z{{mkv`S8jFTNx@+Z~Bq<(~Rs5w;7oR1<=Qb?KH>G=Sz>wT{#bF*2O`za;a)9!Hn11NG^@MGp!MY62m4>Vo;b_0`tn8GSvBWI}r!4SyGdK+!ojO zaq)jB2}DXYeyqXapH`$oqJpnXDdC9(#V>$;UD{nT!*uQE*JxhAe8@C@5NsUIVJA0-Q;z)%M@7o)ogXK|1 zJ&$*QP(>AQMb^!G!eg>Kcg)(67l9Cjo(0KY_c*f!a}?$8cPY3?X_`eKiD-V0h=H+$ zD|u@3j`*`frYh|Sv_1x_zq4 z{z&acvqxN|Q+mlz-?(~JdC5!EdFSCfEx=JW54=|504R}8Sq~N1df~i8X0jo4+4K%z zd0&4n>TdV_DcdUjN1k%j{uB4q(v>z$N`WMo;j4UIyY@1lbM@8>83$22LWz#wY0YU` zDsNraWLJTxa<);2EzWCF)&^Ph(0JmAH_jX?hzOnBOMZI=HtH~k?%JUv&j`OnY!54M zVoV~hNksEUO-PDcUFZs5y7_%I+|a0f%J`fvLd)IIY06jrM^nTuTWxlZ`?mYCx3QS< zR*x4qJI{EZjgHGQ4Gw+`wRMGWN=PG?t(ft=J*3IM`jqVCv*vL3WIPVK2OICHx|mfq zkIaGjImKLRnlSw>-|oQ&$ZQY|jyt3v*I{BwouRv}_g$MGCW-oWVrX)RXVL(M(L?Ga z!OyJ*b;^_^Wgn;uH8ohYQS2F5AU?*8Yq;$2fHR0fHI4OGnuQKt26!Ws4b^}d-Br!!J_!|iHk4?5V&~Qo@ zh-eTfET)F?jpN;R)<)7u*6LEsZEM(%T$FJTP`Wel4@LNvlzm*}`&&{Di&K0U8LG$G zYc``>7%ZfMZG{Eu=&fHy8whtp2{lPxcZeDWxa?|rwCx^frI zvVO!b&`!}Um@#mN>G7+{ySwSo5?5aVEI9cMWTpE`7FC+E_<%2n`f z0Dk2h7U_D=vKj3$dnl!dG5kiPhv+`UF>2ZE9F7qXrg!+{*(duwhk7!U&dOG@dj#KAqGpe#v@YV5 zMO9Nq0w-w8{$#^5I%25ca-^}^Qew+8H*&HKJJ7hPHWZS zVx!1f>u~$@FYWv`$(R~MOD%9Y34RXQt~-cG#ZiBgfk3sWgXg2?z4T2Q&OW-;H!y|H znW~K!s##jB!ob*noEOvfgE*gpJni^M|I9eKIa{Y{am)doLXlj_VRYd}krcQ2Xc=v} zTAhga>@;+@)X5d8?6zx~^5GGcX_-Dg_w%oaOsN1SCl@0N#c16PRgI`xeY)5OGir*r zaDcCxDY}FC+v`rwFg!5sNAz_Rhid*;x|D@$e2rBcAp4X}>&bkkPtQBlIr_?~b3W&W zJA>^$cmrU3@tBFAMo#q0M4!?$SAE&siiBnywEbDywG^*kl|_c}#8ZC#OGcLx zcgbjzbI01uF(sDrE17y!PNhU9-=VkPU{F%Lq&gSej)`wEfrWsyjy0^Gc(?NkV$C}i zs@;D7He+>Pr>h1OMriD>0Uws`6-v%-j{~kq#C7R)^+KM^oX8**r-VFoytkjbCB;Mi zDXFegvPpuUUAqIz^Qt}0N8K(@czfC7!U_$+Upu`;EEyBO&={Bd*vY+Y2;nXz=*fa6 ze^3y+tvx|0l@3pdW2fJbB)s|FHI!FF)z+)FpyvK~X;c8y*o@p`>Z&%xeXVy$S;Fkn1_!zs1kMH_c*f>H>G~bxRrN#}cxj6DiB1Xr)5un3;xapeN&at355L+~ z2b7t4qG#Rww%P&amI)t)ZVhJ^aTuHZ0E82}4MDu@VZnD%C1(+hY=^u#@0hC-J3o}t z$t;fD1vBlg$L)^(gb_cmWLoU4=3mdfCB`yj4b|vtlk#jQ#+j+S^Y@~6)T*ZBeYg;_HK6&X76+L zo^=r^$k@=@0PvJ8YYz*jJW7w&gp%#Dh}`2FYDdo|G;gtBOg%1;H3ibpNou|II+%mS zE!^8rJsWd$_sN$gnO@O~v1YWWC-@_L< zwCMr~)*bsK$iRnSwC-ia$tf5sjun0rDkK0HJPJ0UwhaOzngl#KHGy}2A)WnilSwsd z^G@E!&4E2B^NI`&KW9_OGQd+%%OOpD8FoTt8&-lus*5u3$C#gS#}WGg#cr$Rc3ajx z+3xzQiI~MGOLT8c06P;eD;%9k;_}z){|N#&LKs@^=3&( zskW2G4P3CHQkzfB{;P-7ou91TjH*yQ%U(A1B)NJLI?mLn_+GYEc!g|9&Fq_cwlv}$ zHqNq3cEFe=O3lYsR+r)LXsHxo-8eB4j;9?fd-{)GZk`m7U#pYn(r&v9Ojyp#0c05&u8wW>AUs=b_W-Nf z<9TvOn$H1fhD*NV28;wL57EEaI5*(>f_*&DOizm3v8ZHix6C={RRlxUmj;W5Kdrs8 zE=EdDdi$1Bx+^pcYI^Hr407x=?ZtZl%7(_??gIbGS5Z#9^Gf-O z9brAv*eq_-XM0>^d+m@UR=cu(V&LkB?UHCYk1$*046tOR8w2!ngG_o*p(j{vz_r9= zA--x@KlP-w>F|)LD?19_D7q*c>dX^7-?FvPT261kJx9z2&+tK6fqj@z$KOov>1#)1 zP^km+@P1yFGS+#5Jpg=(!HEpsGRzPUL@HbJhi&y!ku7lqyBpxF$B{h5g5tw=X;Keo zq872J(=T%{BvI8+l95G~-ayYKaD2TblB^2D7M2))kp#$w&OJG)rynItkH$xIH$Tk{ zt{qOBamxv6D5*()svGx!pYxnTq0u^G7(n$(im*OIx)k?RqGyZ;GeT55Km-k1M}$a%f{l?J;VX%e^Uk<9OTyC z(hZ-6<_(O$n@PRFlb+6i^w>MXvi00?UBkA!~&HHNa>GAME(}LfC81CmF&(0wQkUjUT zMk>wbcGJCz?UDY$SP%n>fY|}^Pd%G)+OhRZTp?%K!ywVt!Bqs`_f6h#IQ7BITF32L z;S?0AiL|3eLQ_QpDzpsw(5|GO1>F{rp?m>u4|5Bz5%0Mh|5V-VsLJ*_$s?J(L@nV* zTT{7{3v6PV8rCh-`1fre7Ik21B~*#RD_tQ?r2IM-1={U4Qq3t(;aGxgJOib+Nwg~Ogd0uQpLtyS4Z1^|**01uOZA{96H{7SJ?L~^&y&832PP@q6RL;YF zjMDze+Wt#L-PK|R%I{$E6>FrcuA=8KOcMX*+&V@Cd1&Sty$MPGCcB2!~1$<0jz6?8l-=3l)b1bd=oQgJOqM>V7QOX7_sY+s6$+7sC)18L13&VNW4+?r zf^Kgf5bsZe&CH#@U(z-&Lx-b$|3XC~C6ODo23|xcA#Da|$ydKs+s1%+RrL3G(pva; zOs}UiG`hwkpX1-<%w```6@tOw!Foc}{|JZ3<;N5+Q2tCoEe_&>`7>N(kj1dV+o01x z7w!zwIH4_;zJxPoh!!3IizowQWr6`yo`I0#d@)EPI%p8kte?}j`+ff>nzIUCQtz}S#2xFZxolzNic9- z$y&O3dAg2fO}cH_@ma#2wCcMnxp%o=kt(p(rvq!&m`6!4m9L(2m5N@g)e332E9h`HL10 zP=Um>Rv1*zVJ=^PD09Ha&*!+ucA;g>$f8E=Jvdh-$X3U92y(~?O|i8|Ovg#U;O5KA zD9mpYdNlL5w9iMWV#YfRkU^;Bj}ENj$UBa1xSaXJW(q$lVBp6%Sope zxN;L4(BC<~9qr#|&FZR?R8@@i6?{W4}lK>m;CGeB8Z|qF+NID$4i;xl-zEu9~{+L)} zbuMQ6&7;w`heJ&KD>V~e&n^pRa~>Z4clYop`vF^)n~S$LtKN{a6fsD5@i!AmcSyH8 z!)AgW``Q87a{T%I!J79Wn;goR?d9Asim)jLRma3{*y0dcebl5eS|ulFdkl%ljQ-4Y zZqtOs_h;HaC`~{V%i*3x z!;;ZY&+ll?lFLDZhG14(BR+IfTiCB)WdE~WDqb3{{kq!)WPh-BOom9^eWxl7*=^3X zM54fHBUPMWe9a^@-?CffE}W;BvqBYKY|6>wv5dey7u?0hg%+))+HUr6g)3cCxe&XZ zZf>wvNE=*`ax#2bdy+lO@JL7-aL=73TrY390yBunNF4`GBnt%`BkVHqU{CxHOD9Y%N)aq|VmmIIu=9Qky%YefzhmrFNX&2N;d) z^gM-~wxOAbjyc4p_AC2boZB^t$w_;ZvBRiNP8^#=Y$R^wTtmZGJ=($^Z3WSk1nWd# z5}pXP`nrB;HT{ivv+*i;gu?}FZ&m+0#rM@3oh4olX?`cIlh(r_`%2AdXqe{x8Dt~H zgap4YelHHwA}2Nacoc+Au3O~PW*Fk={e}-7wrh%?JN?LyK;!#gb@k3$5aJulZZ_D z4LD+mM$~U6cIjbSeak3xSU-iSbEbduh}jRd;HeI@*<_ZlER2W~FZhk>YN#FY5Ja=$ z2{PSnL=&3C_`$Qr4(=4ab$YBfv#i6)gH;;QNd=~Tr-+1Z^>K2yC(&HrA`zt$cnoUi zxq(r*Q&AaoNUKMZ`9^e)lDI=v=h^M%cW#C%;tUbY9`1}MHS*(N^1H0(gh~3AdiH)3 zRI&Q?4;5SOqwe(WVb!^~y5MSbaDBA8_=h0>b0-}EvO{P&vhV#3>Fm?5(1yz1r=U3Z zl?F*oo)ywmy^3IUHavj=iY*sQ=+GvvixfHMV3CsJnoZJ9L&-&n#&hb4Y!6CFHmx*u zLA)xnf7SDQKDT~eM{e)g4!XvOviaH%qI=?cOP$?n;)dGICxRZx%_`HkF7ILOHf6zD z4?ETHB?uq?3UYWaLuwdou{0sgvqU@91b=%P6yK}EzUM~zKT##}cxE-|gK5kmBg+p!Px^PJ?;fmKI!-&)GT#pLE z8IcBd?hIf@kP3Ia-evu_Li!ICCdOk!gOn&QojP@1I^p)=q)CgUqnwrnH zyAk7y^KqwVqhI#%!m6+&`kF_PknXakt=3sxJjkHpk*5eGNWq_PS&sE@EKEfPY$$Jk zp^XFodhY^2PG9cg!DsSBvB0OuYt7x2;z5>|vr;j*cCQAi6yL1ot~^^6^KClB>}-uu zs&RiZ+|Xzh14M_Zw3GvO2-VB5WD}~gzGQ(fPK=cUIK9qDj#b=5ozPk#7IeY*kAcnx zWvO|)HcfSM*U1hX|Ez1HiwQc_Ph_wC0=Fm@T~K{{qvfTPLE)qGExZFYH`Lvf2ff_z z!_ipPE(>(scKt`_|0D3-{t?C$gC}mnaV7S4kXNK@73a}DWu^9ZX-A}ZrKJoHIhnly zIZ?ewb63A#8qQAGr(k@&)0dsI{KN;DO`kOcf4M9_5xPxIrM~f)wAPgfQ+nE4Nx=c?m zQhYXW_xDAOZ^DwMQ$?{8nYp1UTWn*&rp4|nIYBV zT2<+x-33FkmdB6J=0y`!WXDK_CYbbg`g59L9<@R#iyV|=^xIH}#O60*6apgw5F<79eO8xoQ33GYszp%68JMHYlZ`t!>}X6THee(`P0x^(9JTp=C+wn&%$=~pPXk+{Bt9)8NKj)e(ugp_Q_0!s<3GN zDRwjUp)Ir|fJ_myq1y$Z0Zn`%wwt`DF#0VaVMnaJQC|1$5d*dVx3 z=?usAv1e@6NEj%15j#AGgOFkV7#4K&lH}y$-W;%7!#Mog++5&kc5 zssWKo^**rNPap5{)gGKeuZ++Yt1a*?{hEcmz-UWxrB^;_LRNA*lIA8FoSnR-2vE%y zt}6?Y1M~^}W^hALxm<|uR=W}xh4u>p^X?~o?z*s#Vd)miy#mY&1P>v;zGLePjBq__E6zp#Yjvvd`kSB5=9;Q`!P6%TjA^h(Cj60n z3(Ne|I@VqD929rR?Szzi>}A;3ZM2f2rqv_Y)tzY8_CT|ZZu|JKId>$Vkym}1P)Po- zKjEc^ZzY2b{Ilw=Cd*u5=7!^YCyu~N0s139c~z7o{Rm??jwX~)<>F6tmL}Su0S-GWa1yiG{L!*XXMsm6pG%&$=xPFmCet?{2+M*SR=`{cvLn|C6UL1d8wFu@{$e zcC0&T@GG^*Vw&w)6&^<=* zpaHmZYx-?V1GP2M1E*Fvli53*$YWd^Y|p#dtcf`qA)09=Db6Lf#cvJGPS4JCUsK7? z1(dOq4kdl4@Y3h%dMTlH5kme14OxY8pY+jAuRZj=EXR%ex^#+mralcRIt?k;&0Mq) zS!gIq)~r(JFYQJwEo26zylqY;sbG<=6$e?Q1j~i~q!;fv-jX?y>EN}J7dwcc;|CYq z=h|Yl^h1J;oVs~PLQ{1P-jdPQub$>c(MWn+DywO)|S|dm;yn zXP5JsqvB1L6GJbeFECb>a*cPqpG!<43VLKGvo5o6j%cmQD{ZZ2C2-uT9V&xOs9D<9 z9`1$R8GPCU>&U-?112g6dAFY{ZwXy|(V<&gU*|@|VC0!hD17J|2%=+k_q#9xc68(i zB=dqVSkhA$dbFpyMfP9_1~h1n^Yz((8%nyERI>Uw7RA^>oS~%?UjQv#7?1{*Zo_ox zE(xi0GCi>wJ5Uoam)VQ+75*M@Kn}S{o zWM#5JS*q^P7OOfbOVmKaw2TJ$hY+Z&PF>=-u~S5_pPO3K1Ln_wKu7!{349=`LFM~;t^)m&>$mPtlJ_6@GmI2T*}M-6j}nN+py5OWvXoH>r(7DEvO*3E9V#D#*SLu)&;bG zkK&5&ax(o%jQMjoED+UAUUV!RcRqTquxar_v5C|=uTvG^N+vQSsJ59I6T z$t|npRet2_7d6*_^szHh}T30w4Hr4W_17ulYcVLqgMnF-EhL zxrGIXv^<*!-<4QI4qhGAgl7>QCk|Y_qodD#x!(aXt8AfE<)@b7R|GD@F8jjN#cAn? vlr?vtS*|Y4!n0tQo6h1Rv!!#_p9U0x*#ajn&vIlb#x#AGpMM?GKR^EmnbP&p diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb deleted file mode 100644 index 86282424c882e5771a771c87a6aa7319d775da2f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 103149 zcmV)MK)AmY000233jqKC0001P0B!&`00011WpZ@6CZnZfK0000003wh9000000J{YM00000 z000000PT_i000000C)k^y>*loM-w+Z0}H_=xCiIX!q(uDKycRtcXxN+MHX1xCAd2T zcUydMcLIUnA?P9t%v-p}Bi&s=B6l=go=t8aW;$HDzEeD3#!eif+iH~;s~G5>>(FP7p>^{c*D zz5Fk(y3U$;KXQ80lxIR!tLE$RS z6<4Z#FIbA&7ssm^#hbcE(M)8-61^deOyfIOhe~fs&q^nXpKn4<^{b>)pT9YvpgzYI zJ{A2pJ{nLoef6g7qIC66?o24S&*Za`S79lh)tyS-4|0$C=7rDaI6^^j@>srCHd0nl z(6{ov`mDHpE#D*(T*Zm{{I`6cT)tPIl~&a~N#uLQ&l9;bjeMi@_LqF4BvN-O3Mu6t zr5{DdSHr4bMd77TP*ziVPA~1mCQp=qSx7E35l`qelBA z@}c@(aiYFg{i?`Py(n!fzfx9H-}`!|(w>r9_5Q!Dx?jnndQmjhXT_)b>>Ha3OMRng zDhj^3GDS1$8>J0jI*NkARhISDyrQgnR~q{${-ZRh==f#^1y$4(9n~sar7J~K=~Gcw z`cWJzA5eTMe$<`5xGKujH;S_2M`0A6y@*#Cq`7iibG%RDV-?kG*{`_A96+h zv-y8qvDJTWn}R=8@iPqk5C18^|I%|ZeB-D-@b_i@948W9g#Ry-&}5aI zvnt{XT#GRrRDrIhCm2T0SL~*q8Gf+ZXyrBd#B?8iWa`(G6`(ZVk0-xgjjiUo?;!M@ z7utN^peMzvc1rs0885Q$ZwxkbK`<@%(hN;3>wP5Wrx{@M26)efiR8(wu)BX3iQV@z zce{xDc9oiKrk{WRulxnBAc(w#8>8Eg@$Gr#bK47M53{ao&I`egM;s^6c%5o29r&`3 z4t#55jc3j`Kx=U!6Aynu=8!kTXllAJ;k<7GBj^`sZ72{~_CQD5d-J{CUVHN$k=Mh9 zNvLqgB=+r!N&L@(8C;i5Bgjb07BD{Ck7qCLTGam7G^W2lB*m5c8xw!@nVUKX6WGNs zc8ZqoIVXZg2gBY|LbUuq4BVbJeyTtHfHk7(wn`9>R z(}exp=y+~2JtHMpxL+i8Wgs46&6<~*C$dwfC}wA?UEZp|U&_@M*y-4yjy^1>q?NiDo)EzQ%zkrwQuSe~??G`Ql{yv{%Ld}H+jb{& zzyj)W-}Eo8)zX#K;*5Z9zFhc94aZn^*msq^zV;1DT^*B~KFPXJ?Hh`CQ?{6>$BE*C=2G?f$C!1o=V;0UMHyK7v1+Mh_D&=WX?O7V)(1@ zAFf02GD^JM{LgGsA)Z}sX>R*&(xz5g?Q0#NwQSs#Gvo064*?_{Th4`e)JlST>O3n zg;dBRj$A`qYz?|yi{{0=ZnW&VN_)w4eAk7eBxk`)|Ie}Q4C``>ukF#;S&-=+p0~%xI9=ub$XaRh zbly)3)*SY3#*LG5L43Q^nA~=y$rG&oOwxO0=Tu@=&NhY87CAwSl4Y`*=)UEiTOS#_ zd-AF@chp4s@-Ck>Lfbn&k0r);XdWzRM8{^S5bUl)kPu@X$Kc4ESOX0` z%w6x7)_<&4i;WR(0Lx)cJDJ2T*r|lQvsMGEi&%>JI*$=kDBVJE66f4x`{ID7DgvF%<8%iqH#MYk#qmI^*G~4JxO#t2M1+ zH|{#tx~++CLpoiF#2T_>1x@d|pZ1UHYwblQ!&L<`HQ>hC`er!osJ)YRj!k2A(Ms(L zFFedTH?}1$Lu2NQr@l*?SdBGng}4{>EStSm!irDG_}?A$b%G9!Q-o z?2(i^Q`@;KvMTdt;FN6ImYD8#rkT{G|t~&~;y| zI6%S`kL7l*0eeE(H*|EizimyNY#|TIl?L|Cjpj@6a=LlpDCKx?!qZoC9(fo4ccgC$ z`Z%(Zl#=zdQcFPRpJBEsV@Feo?^ISys?~BE=TWn&uWn|lygg_QurZy8zYg7B}cT*m%xvfA_NES8%^ahtFfe)E~%O#Gc^IW z_7-G^2ijYvBS2n&eVrYi?f+E1~WUx8)N4un)x!LeY474>!7g2%?UWuFZe5K z+;f!jbbNcNUu`Rg<~$UTB^ZGxy=zCi^URg(a7J_90nsNUDpwyvf%TO^|Iigzde|l} zNF1VbllRxzC&2EZgSAYJ~CFR5ROj8YU`XX5KIH@MH*HA*14C2KR5Zp6GK zK~#*(*k3U>$b@ks6n?I;cZTeC?d@pd?ZS3j&9zz_bbA_{UwXfQ0)p<*oK?Lnf6gt!`5^S26`3=1 z_Z+Ho>!oncB%SZMS0f#GJj5n({=7biLH`JNv#uRFg6>eh**v`A6&*dC(MCBpg*lgU zt|*8Mms*Oo`$v zci76T$!zWg^X!agWVh0UBd6A^RX`AB=f=^jogeR_dSMtF^_)Y9<*9Y6xrvwkE$3~b#%L)iJS9drzfjK zIK4Ts%_^+>UdB2%uQLhfRQL6?ZOmWvuF_X4g$6eUc1c#MS!wbIYCP3n78a5*Yhtm# z;mAB<7*5HyJ!!*dH5we!7R$$UjgcItE^EIJ{@wEd-{QItwJb)c^!%KXc0PSe_Qx3h zaka%-B5%w>!ag1vL6c_q+b658w+d*BEkNGj=>^vgCpXaRoe!c~tZ-aLEQIFSfx#5e zP8CJFwbo9CGh!GTtu@RIdTG|~K(Iz=^xU9WD#JgGu+2>+WSz4x$%O77>D}KM?fSi6 zS>-k7D=_`N24{~*>`;+`8SP{<4_du7=QkM9=?v+DM{K34&pydm@|}(`rs5d8xp5-m zPp9KyDkJY_&Lukt_y<29U(3C$j_10z_iA7_!ALabc0|CPLMP}$sgu?TFpMi7!k{|L zoBHN{nz}linw0hP$KWE@11KO15vzxJ{Fd z7FG=Ad@b_YdHC=HG%r_;pgiMMl)xS8Ag^6y9?c9Ne01J=avjet&3QOPUJZXddp9DW zJ@-6t?$VAgK*nP@~tdt|$YR(u^qQohSrpT2k>Ds^84(MV=Z zM&QrTPYoXQ+(Gi66)QapwAOe+V;G`^A95z6zFpqX=0#JiKzxgfwdR;`Pk@AV7-Y{we?P^9o%BD1Iw+7 zl=y+eXu>d+nJ_aJ&KI*YIgb#)^@pFP&=zxO-Q-dhGZiE`^)H}y;eY0m{#~eD&tWoH zWaj%Nr^aDVHM8?7&4)`+^`{vHyXG4wa0^^9 zA&6h${+)lq{i#&h0IV7rC0VJ};ELPfg%jb;+TKXm1K|BpFP*U4+>PNM03X7&6zNnx zl|TB4CItA~x2Ny49w8s#+K$=W7?eIsCNHA=zrG`DP8DmFo=Nf=SD?`!nw>hL8Re)p zn+gRRRzWxkE*?gLj4K#o|MVkT*L9f)R{U+GU@?(Jcy7lN&0RkPY#mZsz%{gBJunb# z#dJ*zhP;+S3sx4^7>OQqUc0>Kqt#do)*TFNVD62$&%-IWb4Gh}>s{7G^oFZFBy53H z@*wRN3eIp}I42E$hzRY1NXqnAacVMrLR8L$gftaH3J1g(lt;joHRn9M2VvbjD9RYR z5h05!91Rw8+%YuX=a*I&&3SHs*TX2g-+iQ)ewpkibuU_P@GY+C(0P0IdULY5n}!zp zLJtq^vWDucxEaYf0`6L6-CFwi*JrXehFQTnO1d(@Sphm*OI)JfA5z;>u8y>9o%YtE zBJBZJe0ppKJuPL*69WF*Ne-NXP|E^toWAvE&?tWews>fgb;Z)0H_Wl{mSp~WXvE)N zM5z&4sZGFD4)l<)VSb94Z;EK`S6BjT7f9xrTrkY zHhjVtJf2O`5K+Pb;y7nP#}`~vV>bsR6&hb~GJJvSDkB?xVG>_3f2lZM4DD{@zf4~+ z+f#x2G1TnMjpGZB%U{U#J6OAnkG|j;n)5u+&;00Gvo+_t0sa$>FSZ_^jbEU!TTq=Z z7(GhgJU!=8hP4)jbL}if7()9PV@Kf}W)y61<4fEvEvjHF>4qMB!LC|li^r1af~jQ0 zl&5ny#_IpkxmHUECWY64?VBCC0SVV`L{ri@{7GkrrajYGMRklhxGy39Wybrp5}k`N zohHV-Yt_?g8;pcq3(GMd|JX&bFMntMRi?33M32B0XC~E6o=wAOXYYsj%?!OygEXGWFShHWbze>06Iu zQ^IRo%uwW$9$0=U>&6^%pfA;aIa;vU`vV1g8AD~#<7n*I*=Gy3)Jz*dd(?m^Ke*$*9Q#a*N+3~Rt8n&&e8605E}4@@EUu2 z&K_1r?G9-fYK8$^=HnQu8m!8>!z{Pt)BqO2xq}|G+!zIu=&{5>Xitam+Rs;H`oX%b z?d{)J?mk-X^vEsokhrwmh5Q1nWl$roH{7?Gg+DkMxG;I~_Z%wy z`X6hPmYXNAtWo1G^OW>L~wYVMDuDWg%aA47OH zXBZg<&7!5<6*yFLz832aYtU%U{mhk~9lFXr>{eW@-hEF!D!idb8;@9nbeI(9a!$$6 z@^~1%Y#Y-K%l@~;qq@4j!w6R!j{N7DTU2Om5_?aI@>XN!TxPMK;1281ck^4rDNZ4k zTUztDEM@Ewx9_Vp_tCj!G3_L0UwGGg@*)D_LbDBe-J%$CGTQJ|7*rA$ufzm8Jmn2E zJmzE?zE}BqO`W*b!xQ$P(N%8nqG6ucI>?&AZSb(jJhr(!C3%;P&i}sMGPPid(KkkF z=hfLgv^K^U@{8HfnxqB$3xa`yc`Wv58Zkvh$!*rT2*v=z*bk4=I!BZw(B9GsTI+|A zNPElmCP}Ooy6;+SS+g@@=5X_Jlyt#lIvo~lt<~-@hE46{M-QDSn6}ja+s$j@4l=wy z1AkwFXVLzp3XH@h0o(`aUM|gA@P+f98rmK5vf-UUFzBK&S?EouVF#yNYPqx6&ETph zH)hD-bu@G1ecBLy+DfFA`Zu~w#&xRGpu~C_J~^Bg7MNlEt}S*G)_B5=*=_A=TG#89 zaQ>@aeJ==wHie&Wxww{|CAv?g_7${NGPcZW62c@rlXT2eS^CiZoEruEE(<23K_Un> zj8*6Q`S8^%J-f7Ehz}z^|8pjLl2Hww&CO`I%sQu&2iBR4q8P+)4p~X*ADpLyQ=41A zao>_W5-`AQiG1Gw%M3c?sO$iPN%EKnlN82c)3Eb1DCr#qzN&SON1NtJ%pbJQztr63 zsi;?DTQQH$pTNGEAPrU9`;Km%d2Q9whT>U6ppgP{kNJF;n#D_N51ew+>Y^jG2mI(g z*e`$d0^JKvU}H_Qk9H==Z6=3FE;hYH`!;{2b+sy3>sT;}(1{2lzJ(VTZv;`Yg6fe* z7B-1E@>6)~L-f!j_#)*#nZQ1takzC-8+5cEBUXk_^t(5O^4EMVCaJ1Ja4baNipyI& z46YmEX{2>N3E&<~!MSPGpvj9YdR+1!OCHIAq0eY`fq25|AE@hqv{Ln))$VWwURVhv zo@VGvUmmF3@>074mx+#SesgsWci5oap*8fg0qkpcm_28S9 zPEzbKTdX9$N;NLx<{p`j)1FO!l<5AYrf7oY%*!hH(u;C+wmr_F3aK=MG1SGYA%Yv*-lP z%=b4@q+)n zvW60WizVPEnsYB$bDl2jyyqG(KM7>vH4d{(BL%ey`H~YGzFa zXfFrf2Th~uN0qm54pt`lhB{F0(dGN>&@vX&4iZ-^$NF)qLFdg5cBcZCTEu$FN|gc} zt5xO}m`y7cL#(Vrg63vcpK}lnr-#^X1yfmnX{G)Qci72)nF*#>q&P{ZQOt1@tf|bo zWW@uZ`v>kx^LREj>ZqKFwW2Z#IxtqlBqe9fre&GEV1d*^^wkCDSMScIo9z_%m3D`X zh`u$xoMY<16`InykdQ{Nkc~ea+rNW$MK5@CuLwGO$lqRayNQ+Sf8AkGv2oO>ys|gM z7V`XVfMHH?XiNphex{{_x8;QR!-wMh}j zpsDrBITg;-toj5>Sx12@a+l(31A({{VX9}6V|R}96w#>7AR({G1RCX6SEVIoJalZr z0xN~`5MGsp_D4dqv(n8bGiewt{bQq*SgU0qj5VDpm}PgbrZIc}psqj1_RpumOTi>R z1v8u>nd8~j&lRyBrr+#oqWeCAMazxoJ4;Aus+mcxuT9`Zjcq3wsTmYD5UTS9_hy4u zL5Ya%rp6ygW4>S)dkOlMrD$KUi+vn@hobQXyV%Xq?X~EA|H1(*eJ_F^dC*k&Z4E7M zc#qyxjOkxO%RPfRH?u)&YlFVfUx{*y+|RXAFEVFNUW9Y8A_0`W#5ftK+`fg1-0KU* zmLusKWs8vvRg5p##TG<1OH{Bc_X05e8=8N`ws>w}5E@_bXU;{^_jrWM8$74h?l9Ps z*gpom0M{@ce9fh7UT0kFr1s6%KiXI*9;g#nD>jL9(~obw9oUQV=AADqgSqepE^uzP zgh`H6%uCPCMO!Ft!w}WYyfuXb7JMrvTHDFXjq=B-|d?9B6vi_Xt$U+WE9 zro&^T^d|#oeD=vvb$%XxB-`|S!C9EN$o(;bCB!0I6ylY&y^p|$zekw*V!I|0)}-+T zk7bU8_!y|07d1G(BiDM;o!aYh_d-uW3DO((&N;0E)f@gJRee{`I<3cVd48}E)T_^G zMBy(7i&C>|UmJlBJYO`NjZO2@&Aw_u#a(^B4M*YWnqjP+QaE5)yRxE|w9J|)713|3 zWdoUd{N7unoQL<6sw)l~)O>-(`N95$sZK;1D!(m`)kK5W0lgMtVR*G-^lZprx|jZ> zHBE#0kpZumfVI_aFH_B|acn#{NUb^NC1GB#L^J%`k5tQCF08qnN3y{GHQkdXz#Nua zzzHlv*4;XnW6yQO1*7vnE?? zW3bMR???Se8~3N9?Yj}=Ay{1$jG@DeQj8AMMXAR%=T{lq8~_qGOXj9e5o$%$bwzB1a*#aCrxS7ooS3GR zx<+%(Th{`O-yyeF>dKe{J)<>ihaea~zzi~``d6f`8FSK*+q0}Rev$exVbEMCUOv8F zMQ88brfT_3D?nq{jtrt8V_m{h)ThX3;hdLa)YTOuf%>D8KaKfqL{yy*25U{wG(1{3 z;B*`H2&*JZDmtIftQpl|P_6UiO9y#6Vp@)?6$;Tp_!PfPIV#&cs}Oe|Sx$o121D)~ z9kS7H!RnFaR;`vr7$>e^{;i2OA3xZ0NDCGo!N$75jhQBXIXZeNEA<>d!g33;TPT>VWxMan50kkwA2HiZd$hxUnn+>&WXIjQj zb>`EMji>1Si*Qd)4d!Q(flg&eSFcn~`saKb;hgJQb^Qje&ZF5dIR|CDHzuk<+k!P4 zP0h1bz~YL^6&GmEBZ=^TTIb=x2R*!}H2O7bXnRW2r3mG@-P)=m`GW7*4$kGyZSA|! zxUm~#sL7yN!${=t*190$=(`e>c44&f8@HK~Och(6s3h*2U|S7Qx~r&1**biQJP?SnR|z@ zmPNA`c^Cgz_GErKoGT5+XUbbXlMr`9kj=#lNj%IM)1LU#!zwBSUAg&Hr$8e;);u)vpB7K>6xYO00(&=_ zmmjLq{&BgY5-$ww@@UeuDNPUmCfSnkRr}g}bUTi*qeO{2j{OAv>}8!hgCnEl%9RwB zOh=%SGzhO<(D{Z*dFjKh#zghMS);Vh6CthK1Mw20KGWUDIi+^U7e(jtN6)i`s9n)$ zqu_qX1h7*pN9KTs=atSI^S9y-za!WDX(qESLMS`(iS&UqVoRGnAT#38%7{#EB1$O`h&Ig6q=pN6qyBXW!8ylC1D zo<~}1T`)-UI(H!Ner-W(>*k|etwvY}yhQb38ILq^eD!uPEw@$pN3e~=rg$D<9LD5ihTl+{+)aVwuv+AiMpFrYj0RdQ_s*X3bkeif z!kFwGg*P8L*MrLBDnW?`EVTF)MP-QOu=h6PzD+~sQ-`frsl=ObYn{e!#$7ufpoPL| zuG7fiEcWkC73-p2>*)r_VpR8sj*g@zMbz?oX5Mft%Wu4%8fdWn4D(y5n>0BzwVkc> zXe+nIW?bGhgr%SypJ&tBX6nI!9=?rZoEVh;+uoQ(#U`i~vfDh#72+J7Lr=yt6L1p+tmD_X!8bbmtj4=l8rX0y z{BPufUr3SeOI)6s0W2>YUuNtmtTD2}4neLCh2nj&UGNGh^)nh@uxk<#frXFCnkyJS zmJM1-pHVZQ|iiU$CpSzUX%zLeLlNVrK$zsr7xq;00Y9%@LswqPv5OE%#(Z z>x=DjJ{{@)Q*^=HjGGXB%gw&nF7amEx5IlPqFT&lP5OSse@5xM49A#kj{6OMR2;tL zOMHcn5-?#>bgj941K7#ICW|7si#-hEM0@<{OI+{rG6LaD zx!E71U`OS=B1T|eY3STfU4Jcgt)D2ck6wY0p4@BDImn{OL5O=|G5&r%xT@>Pa zgf(_@*T&C?a^Jq+LKIy5mL;#BM;FZXRQb>rH~M0`;I44wDh!R%w+jZIQ4!6*Vgq1A zdF2ORM8!r%j)Lv#JToG6H+xGIu#5c%Sd#~szJ0sSGzZvgqsxurRxW-EOTFq8g}6J8 z$w2{^qkF2`cT?`hEQbQzw{I7m%B@pv=Ga{s%%YajwrvFkkfNSQt@(H zRIP2%Ixh>ROGHn5KWUxwFcM_!0{j2U`3017?C1aCOz*K8atsXkVsoxhoL`2>zp`Ea zoj43;*#D`Px`3O|jW2QhE!SPR`1a_2?iPdkwy4;DYSsk&0y8RK;x2YAj0TTkeqS*E zsRS#Gfglf3qc51VnF4Qval)ggdl47?;?ca(n6(rI8(+%R0ub2>=1bh|yAGHXMt#Aq zS{iYD^Vw$69Qmwv2kD`E^nog+b_ad~Bgm`^;eCm_oa0a&rz<%11-pK}7^`2C7#u~Z zE|}lS2{N&-Fp5%La8d3?O$+~(_>Tx+d7JoO!7JgUMWPQ>ZV-vw52M@L&1+9!tQoMM zFLC5pK{Vl{bHIQv*yTJG`sVj)qN>GB_vtZ64u%1JvGKPM|G>n}VHgU1!Lp|Z@L#~i z|JWOy$;GeDau9(PUu>7PAHdop3`f3TcN}X7d(Vt+Z+_cGu_o)trO|^gw##`CAToja zS8y8iofeHRm|0VW$rhxZrdTG^D+l9tE|Zl5*=tb^sb>k6$>ulWHRRI!WrpLrVp}lH z>jOdNfkN|WLAV0Dto?>=`DKPcXJplRl+RIMS8Ek`luMoz%RS4z5JrHXl)Y%99FzzY%H*wjBJ@@gBtg!)?2vmK%5j7XgvRGCq3Kz zgMi^(-@tn)l)4&99Uo^ml}@3sK~gl=nQt+JS#QqmY`f`HMg;~h4B&6k$m7pg?RL?h zcNOv5tS4D_xP%1@-YCyIH6jJ8-tiCbDpU&&K{E>mlv6{V)1Z<`>?P9&S?RUhy(74r z5C|4lZ!8_EdyS67ZEii&a#u#*|A3S6Lr2oWmTI*om0qp64|m`LqIgg6!t0bJQ(8NI z+9lQt#+I#h7ZLtk0&w}!UQYm14J1;PZMp#$pMbSbVp+VfksQkTJDgGvi#VqZaHUyh^IQ zE>G9du5W(An(|#{>k8zSrK+n}*HNPn3Jj%5|DOOpfM!UG_0%hu+JTmr6Ox#u3c9_4 zq82*o<*d-&Cy>6E_Q zpEUUO2J4bmDkf7#4#?fU=T^FvH@R@`rrZYT+wo)J8_e8Fhbt*>amUcdV4xce8aI3k zA7hkEuu%+(ryc@p)0w#WBxEan`$-XBtnDp_Yt#jC&H0hu`>o;3nygClBWlN72Dy8* z+e^!A<;Z-yy2PUsz#U?j*-webM)PwK;T_ze{{FpmsGJupW+}$4(*@JiF4Ol?>Yt(! ze+`YuUde9eK6+P2fvfTyN=&j6Tx5mns>aJ;k1Jir_FpvC`o>Ft!Z|@B5wJj~$$Y@s zezmUXa()R)R%mz(YCh$?mssBseUfxof#8 zZ*R4jxO%IWw^=xjtk}*PHG%#dx>7PS48a(@T^V)iCp3R0UqHi$92T(7nZ|6Sm00L| zj_tB0O#ct(@c!rEy%Q!h0xo!MAw9~a)WT3DSbbzBJ`#zS{~s=- zM#U7kBb!9V&~)si&UW0R$I!5Xmpzm8YnJH%ksWALuJ@ut)BDre+QY0TI(Y;$lg1k~ zllD!g;u)Wa&JXCZWH@B%gGOVgF8$m>sU-ZSW|uX(p~?N=76lzmYlkmsWG&H&s}G`N z7nImBMSm)JU>A+r9cuOCzGVe`3us)2;ysggQ_v@snOsN4d6fn~c?>dMx7$Pci>d&2 zEtZ#&9lUdC9xt+oviU2po7Y0&;@6nC({$)=YX43VcijP98)aCjrl92DXTTHf4)L5{!n1PUG>e!@$pm<27T1zGNm1&z@a=?h*HafX@4*< z=<))}7UMB}?f9F8Q|rVX79sqvz+%NV&7e?!^-2H)6V@u>Q$HlP5;14el=2F!EyB|A zeSD-BpYL#O2DK}qz;4py#T+ikKzv&I88q*6EK%wK9u0)GGSEl^jKKTq#<9bm7LgBEh+M;%xCA%#51(Iu!yCCd+bX6$j z9-w^9U2jC7brOA^N z*tHloIyx1QxGEexOqZ`Ha5a`xA!kB-ADH|H!q;r|njW07>?Q#m28=Bwv>eCB z3o0!4`aKl4rZNd|B<=E3MZ+iwwHyiGO^?s2!URt66%Mf(oDWB!U8=O39tJ6J4>qV| z#pCGq5)8U$;X$g|Fs_|r#9m9tF|u(6jR$FO(AphTZ(mArhflAaz?B$@I>V9upq6oz znzAKcErP{OWCS&kM{>Y^z{E{o><^5+57^-tEMhTn#}}LbbY6q=8YqfVgLsFwVf1dK z>KkB?^;sH?lQWE#^io0Ojzq4*8)Z`Oq{1H*w)-uV=J0WfLB=a%C(VAQz>D|`F-ZWc z*ZdLA^!LVt)U0V-`)d5}{rL?+b%n6p&Ie8wX6pwD>}S1-+nL_&vSRQVJ7VwY@T0Ft zv5$WVrM};kGxMKI7F=IP>5eMwIQr{UNVzo--|6hb z^iw^B?S}tT(A~s6I9B_^RHdr||G|zgf@vz=lImlwjTWm#l~i8vmo4*Y@wQMgD0D7a zkq^TRGI()5$h_EKK3$*Y1q-4Co5aDFNAqZC2L;wjGeiLb{_f~1N}5BJR8dS~{D2I6 zedQ{$lPmB`W=)F08bF6nxEol8k6Gfud8=}jg^O=z;hi-<)uYr01E}1-jNa3JZomuW z#@mB4*xy=~v?o;^Y4IaDjf=aF! zS4K|Llh*?3=a?!wSIjbEG3cBRhUL`-A24^Ou457)n8o^nUF_0u@%#|X7woPhi-gHw z0$(s59+yD?4}em4qq+K5YTK_$IXnKY64vs_u6_P1Tdq3Iy1tMWoL%5uSn$Hl{Ih7- z&a0I4-YIL0{(3Mn{SNTgCe9(cdLyn~WLp-iBpX8R7>V3c34IT~QJp4Q{ix;eD z)rLejh#E5384Ex61v5p(S|Q}rzKAhju#1iU)#VF*#U3b170|C>%{qRN-Y<-x1OWrB zOxjxnydJD|NHB&9XTMAV&*oTnO0xd3~19Y=OJ8=bcMg0u;C{^D1+}EYLs*uv7RQqNms5 z+TV9?XXVzMW8J~b&Wbn2ZW&Ii8||X8>v~wzH0N>@c2n3a=b{kW{?9++=cBpm5#sgX z$RE(0cyo{%hec4Y`}?es8haw--hx=je{m-*=^HJl@+;7RPBmcPPr92rJy2lqE28tH zF$gQcL1wuOyQ$G*1>V9((o5f0VbC5R5fHMA%5GEOirQQJfXG|_c->)a8v1#>8s;GO zE07=0|FCetXXYf^MV<)?n@>4X#MLuiu%=T_`!j2;7g}qTxo^d!r`R%D^!85*E6~&X zekjPQD|zN&wD`aQx>{h2)lD1xtDD!p9$iAq%$K6na?H6*6?=1}8~wrgf&PyJiuO@c z#YQ~(mqdJTfVZ^g#u(i&qg^?5Df?;M5mr1N$7C6*FK0Ys1d?0Gym@rsog(fkwG(6t zVq`P(hIw?|QDBx!$(;mF%7=~`?r9*We;I+ISiMg83TZC^;=6qNv9veUT;Uw$oM`42 zuz9sD$cXc0Ecv%nV0e#|HQ9mv5M$ks>&Md2k_wEsQ>3in+afPL|MtIj(6bei;_3(D zU6>Q&K<*ASI&KjfbK;W~p+|!d5TAxxvHF+KRQI=B_PP#tt!6s)+yx@Pvc>zjj_jlU zhxw#oel5O`uJ-Ui#9RkXFMAr$#X~CHSJBn|87A&@0O#it@28-<@ok*`f*&vtoeO`` zY-rY)zO+){i%SFnoRmYc0aJ(eM2f*sZYV!jE_y3o*-%;+ zuE0B4sZv@@z+i!6LruF(0z1>U;+raC zfs;k;u!Q@pZrYJMF}9*J@B1B;A-iF}Uf*2adlz@$vk-at8qNH9AvCn9I+0^9PdtQp zbvTNRro+?iv^9xgFIce3>dKBRCV7Ucg6s1@qwIvuRKQegn(kon5MgjZ1k1CPK0Hn; za|2f`xuAio%&6Hq&Q@xFM}b}Jx9FD7jpYp&4YpF@cM2Sbp9_jfit-}0aRYa2xikL$ zht*5_IlouK$E!kXJ-FH$)vbW^4XuDIvQDJdGGxC;^S~OgWH?Hsd2i4xJ zz!3}(cee@-?qYi>OWY4-5$O7{OR1I zz$THL>-m^~P5#T&si%CK4OT9lkp=AVUrydSd-;`k<;dK1^uF)6-b+i$D_`^T_NhO4 zO6{dsH~$m+c;&s+FYTNp7Kx1a`u*$MW@^xu7tIMj!>dE-`U+4j*}^} zB+aJmD8^F^7J-vj(V(fSw15MOV)#*x;Yh82D*h@O{!I;3!}PMmUbei!C!O(;UXjEv z&+BdV=P(fBlbDP-4B`KV_ac8AuXeY(ex4E;PxQL2^dxFCNP)jGaZ!ubIPa)fxRw@u zy-(OW8=#4M!PSz+8GN*4QWcWM_uD`|gu?E_mi?RDR0D({t$N zX4x+MBP1m#>C@xZE52W@P^3Mc`tkbO?k&`j4~I!md%pJ+QXb)Wr4=SgGh`WEtH$}| z#|l;v?a1+9+SCY@i9c7OYD0gMUwzr3hni5>CKa?ct58o`6rwge@8^L^X76Re+65+V z^y{;n-sQbVYnH9CT4r$=m}46_s%&cfdtMi~Dy z&!LAxi#Jj7&e5>3)xr75Iy8TrxrsKlRp0^~@RG1W!Hk1-5v{c%r}|s&tBmqfI@jP# z_lJ(4yKy$lLBL-$=PyxYHo$1mci#xgyiSDy4%!iC!m+Z>P8P&{G`~HWB_~tJK(&th zHpYR!v`JdggB3l+9dHzuu$BUzIst;|DEU%rvFC!05+JLqLP#@uUn3v2Ils=kwx@x- z+SO(!g}1mvr{m7Fwy+~hao`09hHs*=vDB1_w^FM0mMN$-yTUGdQ^DC$CHX*1BS?a% z8!FAIFzA>SgJ{i&K;ax^tjOJ+ZK=$s%UHas%)6Y_#dBcZtO?@!Rpn{+Anze52QZ1; z#QKy7T=Y_a$DGvDOK!S|D@KoJ*-%l01TKfb~ z<$}>MCT1=|IcuergMf!3=i>5!?JBTX?n3;NE(AvY4KKP!EFmun8qt285Tq zz^hHN>|#HQ#ZgrcAMC^rQW5^44aQQ|m^j>B&OTDk#OF#znnbf!;O7mV#aixu&?gX# zjeobIcW(+&NQ~juLv1mENK>QNa2m8>m#kX2udddDxA6N0ybQi>D8tLAN{;68^#_;S7d2X3UgM7THM1~y?6P7lX$<@pM1ep zc$?Sq6B;7_`-0^ifhbVJ7rc;b#YULL7KXw&dj&-S57NX>La;(?-Y8%dR#B+?5_iF4 zpfC@5_*d|*2sTL`c+|gwKlyPFS3vAv!Q~iWN>{#Mx0K{fSb+}jwfGOt6R^l;Ky=pJ zAbH0kI~Sv457%1ritgJtHuZ~1*e`hg9i6z#n)v%PjCH=)`eCXOiyhvqh5X|Sc9j|d zh%oT4;49Gi_tE=yvFif!f3)U;%L4k}V7!&C-h2PozVWKT|6;px2cgK2gQM*U9XTORTL^n%DBQMbh`6MD~TS*91Jx}(cB4GMx#=i zGSLL9f@5NsYl5c#@ud{^gCXGG*}bC;bDFgtm#+X*K&-!c=S1GVPoBO){@YX8n;Y)1 zux1zSn$iAux;Z|A!rry8I_Xi+dr!Ubv#C`YHTR#wrKAk+Y%%`P2xJg@!hT9sBbALG zyE@DTnUqv_p^>pz8S*4;O7Rk@;$Q}IE+v%^e~(tP*3xf>wIT{@FCthA^PB%pH~8ID z{B8>l#FeUtYiV8z;@ZJ!S|XTEUQwfeYz`u+dgKhL@~D`%9X~){7pJnD-<@Ff)wLpT ze&y|gJQ5k(U$3D2{%W$v#FWl?Fy%LT(R4RgQi381e2u#l;_cg zPc-X1ot{&~I>|a0;#@2T8GmtO7W&Yas^8flqd$J_Mu-z!JRLXAl#=tQ+t%}RIc;;R zH)D%h_~ectqXlzfHo85XVkcCrxfbIWa`?yMz<#Eu(`jxx1s={GC~BF@h{ij_lujPE z|M>;`G=s!b+n@%Oqou8$y(w%^u(0N*#n}zZ{sR-Vyfd86R2?N?ew?lx`B&U0N3iO0 z?(N;jo+;Xqi!mLuIQTpHc>vYeP?k0f*k{d_(T@?mCm}Dh&r#}HactvmMQfnW4tSl% zISBR(R2e~~EHy$++3V=qA@hcniPSZaDw6n?-{MyeB)&A*-ymcWV~G;e9BM zkv7Q@3Ml!Re;}os<<7?vfjIv@QJ|6W{a9L-N*$PaglS3;=}ruHZh*rZKWVLb!TRvV zfz^9jgSFPY;GZ{*qIOl!(VQ21lRZ8{l(FHi+Mt*^B-fRR9685x9K+O82dv%i*L|mf$YC7h&dJyVsOog}q=%KJ zEH)OlOzk_HyOgc2EK5jU>kI5BND-y(_N80l>g*Wad!@9-o2Y{foWAFdf^)Kt^3G!A ze9}2^*8)2xQR6K0#8V@*y$8S~na~_QHi7oPROgt0HE%{1&FUz*`8dSOnpfu|tO<1f z?R+8b4pec$+ARq7B=saZaC?D(D>1KP?+=LY><~;R&H9T^d$wz(dWlyb*o7*D{YclY zHIaAECH!l{k@?I7-b6Ock3O77 zMM@~v#%O0cgn~!tXp2=JR6?GQN#8%i3k95bSx0JfIY_j2mrWv^A9k^|NlH{&?Onv; zL&W%GNS?i$Coj~aI#cse-*Y#tK8o+ime1bhpSrBIgAz`SW8(malX?^!2xCoUMvW>V zdo84K5?(xab(rmT5Y0ewN6ZRsA z-Zb7$Ph)>)r-|LnYQ>yO@{qozdADmMO-!%qH9ky6ErAInCLRoEZ&{Zlz~Zsb2b-5J z_)U&xZRtVmJ|cG=&ADL9VK3TS`WM>nIjdRwnN4lhLN+)T(TesgEl9U7_P6*1Y<2Mo zCxOl;a2_XO5arsjk;ZJCZ}oRW5Nc_PFnBj`4Skpqt?J8Y(eCf%&&L zq9?Ai9S2eJ$s4J4=vix)W^Fl=62I`kzhznP5`A2iz^**Dq18n9-3VMAX8!mMmeI7O zqq3N`NF@LGZ@?@*CpfDDyAS>ffbJ?*lCeMj6&kW6r4+&VJ!lERS#WV#0eP@uAicVJ zQk0sW6PJWwMhu_15i?qAW%FJ376+*yaskW*@6EYM8NSAsUq<}O#G~0e&(1Lv5_zsg zPHnN|@Iv8V`x?&M`%_Ey0Vy!5X3d-R-64+sCI{!EV3~^f;l<* zY76=M#kRj66WhO`2J^AZj?onvB>%Zs_OB_5+MfoGvs!C|&P0G<7oT~j$y~~iS0!x7 zEOMWLr#3|5s+W5%-TNb!s3k}z4`DwsRQF~^9Q)$l-1hy$^Q}RecqxoB$lpfF*UKpQ ztJ;~vJu0o`<1S2|Sp+(_KQ5zRO+~yE6BlPfYIer3_07&Pj!+DGOD|V%u{LVrT_Knp z?z4UD7Aj<_)px8M2M`ME*OJ*ldRYr zoKHp==9@GkBDirr);>|*TvgYu+KXy3~* z-pZ!OQ2y-#!$58^?)3PLsy$V6V7Lc3!!Lk32Jfvg%tVWRqb#o#7!yws3@=dQeSgQ9 z*lrHRj-%c$>CEG|aQ+pk{4_i&-P)TJz9g=FBHL`s9SzWdx}Ij=L}oU%*%{R2Ti#L_ zWXwY|$lOdrrzW{_P)i;rCU|>8MV6->Tu%j`*jMA9OzuWfbfP&U+6YNex%4l-q_S^=1cQVCkVtl(%IXd(G6- z-rvE_09)>XQvdjNohmnbq3TQ+j^LsF8yznwWqYo{tO>?uaWPop{QFk1cd_W?RyU4az>-vg*Q2cuQ3 zKM&A`$_l(dJMwhUz?Lzi^|;G)yH{d+%d&}9AFY;Pb_cb-R_y(3ig>M(hZ{sEz;qaL zswc$;>iIYA_z4?S(w=M=9DwZgxyL1% z7M8-k-|@M%QilQWoafaVc=O}~A1FZy{&it~io7d|uL%Q7{YTTl3aZkq$T?N~ybgD( z44|=bH2XHjmJxWZ8==X69!FdHM?Se{twqz@%&@UE*HOz7kC~=$?v-0}UcK>9i!|qf zC@rR;XEfB79gUm(AcTvK~v1B&O?TwOgknUKq2&#U=vY%v|VRh4EiI?*UQy0f@2ndA0SaI#qblbE>I9R|XqUZ?m( zb&^z@m%mq`>G~Yew$ibNljtCQoYxhC#g8azntuN95_Fz$i?- z*getr>D3=8;j3!@()UF4-MjlIitX=ZEwWGjUy<04soFRNb^};|??Alvvqv;|xB?^P zdizcQ;$;VYpe_S`mA;ed(V#DsYO&Y5bN_p#N?N=zPibu|lzJ6|S*oF3=aR6uXDm6W0 zo4I-%r|R*N3+GXl`Vjtj>he*lUptF{-93X|)=D=xPPH6GJd2i_f8svKn1IZwr!A11 zhZ6O^0l!)jX!JtG6}V#%Ro|;7xlB`yp{&l^``rHfNRHQY%59uxS`5nfV z2wmsqHC@)cYB%0H>8TB4CB{8NV^yJ^-+QS4u#Mgo(J`2&wm|kDzF-seYjBtFQ?^(U zmAOHGPD*&{z-w^)LwXlGsl0ZPzlfF{H0ON^6!%WT??}OQl-xLpUa+e}VZ)$0 zT{~2}Fw*l#m)Q8tH=_=7X4I`*jSfA^MakP&vV!zrF_K3E=ZYVPbGkF#=uHdtZYoYL z_GX7V5MHY#*MwD`FoYvxvtJyuP7bJ=HZ z2DY|X>R-CcGP9I5ZC;fAex<3sl}BGPxzfm z7;37$9Yg6yD{usxu^IY(3>W7U9MwqZ<%DVCk7Z!GIe&U(EiI#En4Oa!36@ zNlk6<`tbAb;0{{n?T@VTG|_^u!ak`_aEG4d8_=!_dFlI*z1ABY27HEKkZ~3vxUt7s z%9ZFl`}*i%Ru>%xrO|gjgkaxzQ>l7tH7A;?OG%Mi8jX$Tf|wI|>+=e5nZ;YjQo{=> zLJMoo`6mE^jO|do_SA!mf<3Qw_@80@87!S)JlR%_KIHV!gE6ZudG>@Z^Mk9k@a9T) zwo|MXFDX;#IIE}TdLU+8e1-fCYH znWWJs$sQQ&eGpU_#IHehuXs~n+llIxfL1J+BC3D9)5a_YK3E zd|bA7{Kh%|a;`A?&51>Vn(%Xd&VR0ItE~sxTllq9LoF=SE4GP}rF<=W=Mer0ZbUD>W9#oqR}FhhXsB|6S*b9 z#t>RLNP(Ynj7gMm{P3QCJAJM>FcfG%5xxpR3x5d9fWlyBCk@j$&hv@tl3}J#^GWHniwL}&FG1v>Djlh+6 z+@w+M(%EC0p0W5vI(02`iSH=3jlS;xNO=BzUmLWsOFVUf&Xj0_nung_;D|Pg7`%IkaNluOhdE!Qb$zPQVQ4*qbtz86$G9Z|nt96#WQS+=1++``&wXmp2TK z!WAn)@lT(fp<=(ru@{V3B%^<{0DiK52Zg6qO9RZf&sq<}cD45CW{rO#1!eF+eS8H-*sD zWh%Gm0QDjH*TwdwVibar=r5sVK$ zQ0_1qh^xV$PFz^>%%|xA=u8m=+D)@ya zt|wPsq-6I~*x`egTCWAh&1lFJ6VvfhTc%Qmopf_CeexOL&vdRi+OQ!4xd1)yQoL=u$UyL(xAH!(v{0Jk?4>D=hlwAA9Tw=I_=2q zKQ{N=(s|8GJVnS)^kd|lt&~P{ZAv$~ zm}|B88gEGVnFD`7-qn7N1(iKg$uQk#5G*e8u3k`Cw|Sg(orz0)FF|Ji08gFs+aelv zT5T}rE$=Fba3r3Q7{X}cnC#8d$s-GD&_pb>JK0P7Xu0)Ld+I%?1tYNYwb(}L^7@%f zu#f26@&l}K070I**hotBOjSKN4PF8my{b$5THEd=WE+TgHrEY-HYr&r>V99XJNPr_ z-m+#20!=#;_WVcuZ%WpC{!7;ju?A_ubkBxybpCX@n&bqHyx-XxpuNQlrhcPnd?_{Y zIL@5^ANJllN{Xb31FXh%7iY1-r3=X}Y*^fVad-Dc2DhDN1{g-LVbI0h-5nO)UEJMu zareIXMUquLJ$!fX{}k8^d27gv`s& zixl@>%edt;M2$q-Ljye}AeQ2*=72jSX;^}DqEEiWu3xlEdL|mEa1T5NOmvS!Wjr2yQJfoB zkY~uCBV4Q>O6h=K*Qy*68!8oIu&MM@;rItpMYJ*cA+f2r1cMseFgA}n`hvvyP8Y?# z5J~(zH6e#J>Csp&n5p7?f^)T=2DTGDmCC^ZYoTCm z8v5_}U|{I)E5*s?Z$!L9E3GfaWk$U}!USi`+Ase5_*ua998tl4HPg48xH3u%e|c6erE3Gxpv+Zp?~~%m+OPbb z`-`gH4B;$u>(OHMHEBfTx1<55faB^25284a7VSNQ)c!=-#|i|9L0@FFw>X;lGjZj( z;1z#SF4rnsc}09=+b^1ZZ$X0={Uun4M{=eJApy%gC!|I%EP6b9hEEipND0QS^p+re z1vWR@nSs^bB8w7b19+r2XQ)vkd88=N#e&1CH8T8 zo}FeT_=pE!@ZU6RMS90e5o^U6oi-9n73zu$h}iLE*acC&Qfimp?U_!yD`eu&Y(6B~ zTH=Ohh0!yKtFEId?f@36!CzylPzueogj_~C|p3Q$>L_h1*|Q29DmvZaNZZSo4s|iDBM|s z&3czZu{bc(GwJ8s~ONK<|ET=|v+cgj1b#I(># z_lt_zwbO|msVnPklrmW*_Dd5Y3ip=_19&f%m8mv3$AH;%T=;mQx%FlpxWa~Uhx#mA zs*h{+Ov-UF@rKC5Zf_As>Yf!R>+aQyDR2)W?udfQbgsQk%!>b2oXj4f|3g;7@mdtj zfJsbGv|I$Hf6g-BOD)FTTuV&rwLp)dTI}aYMI6%EhnUCL+e#Gl^>du^#I zK0%SP?~;e7IY%nixLD4T&Tc4%m2-*t8@F4>H(B7e_cw~ZcVCOQ>4)ekqG87+g>z)D z9U>a#+QHlMW0B0Q;rVAWf6v!eq2lFVvZD%6=3f~yZ$cGwxbLhNbq2o@Uq%hq8!GJW zkoi)WV6`c8Mea#bET*nmtX&S7D@wX0xGEVD`3)~13^Y0%iU zzL!WkO)fgp0p1cj9^| zO*-@{Q?u>5`TcL^97iHKx*gakBA0w*O+6H?n@a{;c$IpDcvx1tJ&eFuQ;!j%Msw1Z zI+W}%+1d>G7H;nxoI&OEQ^oL}(gfpBnLN!L2{UdCaNqiqMY_S(6j*DpMW37WXq9l~ zn^^9>A(4)R9jz6{lOQY${T1!4G!?T{^sId0_jgE*=}s@*Pt-3ddtl6%u%1tX)EKO= zqYdsYhJHA>x4_uwxn^T%=T9L~`cbM#T7NseXtJE^p`5bS%WBUEp0lAdVlf5Evje`lw8&c>=2%z?(5 z0QT=6Yb~zlp-&$!f362AMYc!|sQ6g;KTqqzn=vC)_ilk}?GF?y7t2WvtSYithCrUz zyfw9FiwNt@x6v@|?9iy?f#XHiPII{4=sYaCeAOXzoEY&!Zp$A?6=UK8bT=bY6&qb^ zf%O^&&C}8sol%c%dD@7$sS1ht>0apOIP@xvvjXb9KiNmoY)X3G3mu!Esl5ak|YW_yLZApYhH3F6}?}XeGd!clxYMFbGC;5UeT3HKfT@=X9m# z&pCXjhaIDq))n(2^NO3zDznRFRs0KzqEqPOIk7)Tlnwcdo8+Q$J+(mhCOH^$+Hv~m z{5-(so`_B`cWW&_Qv-fk(_VDUC#M~Ykkq&*`Y#R4mo{aZj}5|vJE64{1JFsj2oH6yfzX4~A_m-d^*g$)vn z={Ux|3vD@p4&`93&7$*c2{suIqPBF9Sm@CEkDJ7y2nk+JGUs~#fd>7Vgk<*q%O?!u zc#S!4Xll#$8(|__effSUHIa1DE6|M$!!t)Nhl?6tB^WlwR@Rju8oxU`K7E9!mq)%= zlY(T zWR>`vHs;k{Jw>NO8D;thIy@HFxf{+CO~0HGQ7x+I^~p-OV#@$=gU?nq{}9y+Nw2sR zzX74owR#*+DZ`IwiQAEmFQs4$ta+!I%1Uyg?A&NE)zvtgjj)2?D?q%27HhsSRJ0l| zr51-sajSg+c4uTII){muuOxUONsYb33F<=~`%$iv8a`y7OVyj+sGv_>VmU>9$o_WQ ziF$K%+em&W`zGAe;Ca(Twy2Y$Vv`%z87k$#GzFscZFHJrYJEO|Yv=08dUNHt_JHXq z_?FCZXNV+cwCAX(csn!q{+~(tn0{MwI}RPP`8s7A9p;yX!5H*#;m=Kht=_Rs z#K|SW%7(O*a31uE9x2oHqvFw1N!*m$IOxSzWckk?73m&JFcFqsaV1Kjz3iH)$N>o} zSyAo=a8(dG5uCs+0%pi4H!WdvAIki3rp%yV>u}2qEbv}2PV5;bdtlUA$@Tja`45Z} zu0vJ_&1PU>tDXq&Ne)(KV1dn1JUCUcMod+yQkix=a+7e8e`s_#)13qh4>*RkeU6u4Kc zKlGM;D!c>x)YH^%jy6=bXJ}Qo__Md%o{p}D-91lfcUZ0vE0F!5@k?19aC#RAhap-lbgUF7`fq|}y>)L75If$0uVCMC6F$J;uil#S6i zoi^TrM5&XdMeX~3BIL|})o|QGD(>;*p)NstlJv01ojIXv&$s6K zD;hd5b`H?JhyZ-Vz)>Q(E@hsB=<#;O*gxz`P#PC`9!%4T3vS#o(+*N(9`xjfnl*!1 zl*};>B^(*u{{1Mt8zz%v{Sko>~pDof|-zFAhYN;<$6~lCl;~=odcNrxz zB$ImHOjV5cTF`sVnmM9+@_vY=YbBv;L*N>3P6`u^3dl&)99f`LnYI|=qQ?RWcG9ia z(!v$k`@ghVx-$rlVJg(sI}yJUcMN<>SG0?FGO@jHTG#&IK~= z);MP?D=C0_UjqXt$95E(*Ax|a?|mx?mHRQPy!pl5qU4TaY$ZNH2_A|y+byyrIL_dI zNCDVFEo?=+L5>KYIZb{hqSr)mtNaC#D|X{wh`x!H*l;`qXvj{YvtjMfy(Vw zAv&CQsSJu8k$3*Zv>$``gkfxBrYt~0n4kcQDj+kK??y=t3|Na#H zyL0H3xLwlEgb6 zArdvW_aKsrrvVjZIxf7OLVU@CX_}#JXd;kaUH!GK7*Mtmn;JMK)?G`cIGsOlBY_Yw7?0NZj64NfR6&z`1wA343h7TT$f%@O`Si zamE79k><1w(Ntkn{<20ozq7FwB9BgMuSvO#IAD$V}{xT*oEDd!Q*fDLf<3H*7V$U!H)%Lb+6Z|IEy@Ta<1 ztoui*7K_i=@Lp=&e~K|q&l}Ux?VJ`Vx>UX*TGd#kYYN*BRn7<_+Bar_7#ey}%m|&N zSEq_`liWsFa~CReJ;8Dsm&k>nW#o~4+`S@>*C>}LkIbUGiID1a%i-cy4msE}=dc-^ z1@1|S1)(HdF6$bDIolo@L}zNqC5=7=w&IpnXmo`g^G&Gv5NfO>-Nd>$a!1ldCDeUz z9-C2bCDbRa(&_V*+baVttd4p=8yqRB-5ew$T5Qp2D@!f1%#qX#mOGrx#R46jpJ8le%r+G`4UX@gYtj@hs6bJyy)p%F|+J zXxuceMz7}Ro|xD}jg{hX?Av0%{FZuaRk1KK0|#x6pfA#{9VMdvmEPfvQilNu4pI>x zW*~|w5jsyv#*fFUok*c5iTCc@d1c*Ket7%c)Lqx!!6QW(-$8pwPTw^$eum(j!gOFdFiwO9hg4oM&z#agrhS3(o3#o++4 z?Di<`O9GUYPzOy@+*G#Nm$h znNgzNk{$ed8G-{A>MDqvM@T=73l?4T$_A}Q!2$c0c2FBW-$4<7nIS+AQR*<5kR8FO z{Jn~^#JMFhPF<>cqNm{e16+^79#wjs9-U04Gq*S z%lBeWLSRFX`9-uB7t+fuX7H&Tc~~SBc1)kj&v?IGYWh?QTX~1&yCa>nNGX#8E^RP4 zZyT?Hh&M35XwqBDJ3z@il-kBagEx;>{qMKp)vgS#ou`)Rv~DcZ05H9ZD(0A2Xu8O^ z=@t*}(~wX(q~eFRL8H#lkrzAb$Q~FYFs|5Y*v~5}%A?9YU2d)3Pq9`H#Lpq~9GxXr z9lgi?e3NRi?<~;2f;~co@%`kuS@gR#Ku2NUUH_+r`oq4bg1C3;tt`~Ksd^&pjV-O} zZGqL^*vh9)>gFDkzLu_yKO!D9PsnQ)4V0CvK|e7T0mOtXt3~ho(s9w4S&sd$qkHd* z07A8Q)jkb$60+}C+t%$25+%OKaS0}$cx`S2d@4;N(kDpo^%GlqN^l9~Jm|d|Iz9!% zHSdZQ``;D&w12{njz`aRYMQt?OnT&|R55lQ!4UTvbP1*Yo+OHXlok6&dF0^`cU^C{ z*C(FtwV1Tf#n~c6vUqZ9JnGE@kI7VT2TiuS@ocoj z7TTTa?FsR1?~1CuYXb2$M5kmP&BitY(GQ~Yj$8UFp<2Z$8$0b><$PdvCMMj~ql38c zw1_y_YpgyZrXnYsGe=Av_n6)NQj!J-Ciq^qbUg}td(pdACwanjd%M0q6ob|#^6W|r|_e?myQ1D8DATME=*Y*R=SR)b-)$ zcI!9*3;gSD`nJNtTii*eR6@1DDd}MM&^S^oO-Pxzlcckh+}dMe)C_{7?d$mfuF_Dw zJuNE?60a+Ta(lx9xg(qh?A=65JOB4!F>am&e^z=EB2-r$+IXi4zX!KD&YZB|H6lJVgixQX>=m_Fn%NG|* z(q$0Mir&%bAX(ou;>dYXJYxbEwqgv8DO7FM2=Vrt9G9FV6=R`xhri4Uv9wKnUi7&g z-&OEzcRfnQ4xLbY>dfd&tTB8#_Cg)$;rgUn*x$-}yzD*GiQl8uV?jI4KFJeiQdwZ8lay$cPv zxEK5xWtTv<_s%3S`+*#;;W%BN?)}#c2SxgL30>prwd6DJ7<)Gn=c2W!RH5Q-q~siT z*xi>Wy3#9dE)y!2Es)^#F+G!V_YaHAa&C)OF(O5A^lDmsyOm$`{=84$p{!)1>3TBU zIUz1SjqeJ`ltu5ZuxpyG=Rg^k2pZp?r?^{Dy{)0*Ca_=YKr2!7m~2pU_Zt^m1MDl8 z+rrs{djlWW^R%p6Wc()IxxXB(#h8RL|9_J%bT(8?vJl16*vhR{JJ4EWI#)<+Je5tS z*&Uf$px6a4qS7^m7))=FU;XW!-dbUQe~SKP?D|^#dOV}++uI<0uxd~XoO9t+vE;05 z(9$ZbvB0YApc@->8ZpR3T19KT(B@NZSBa$+??<0*tqetph2Foyi$JlWp={84gv(Rh zdw~4~cIchpX!&5~FSCt8&&=G1fS$PxK~Z`KdhvgzgwKIIF6g-T1+cZ z=HKYhEoW_|ij7Gk+9fE{jJAEnKjnvsxYNJtZB&yiqt?)FllykOIP_jruI}VBEjugw zPK9s@r%HP+g@=ovq*ACMbeDy?2*Sa)x*UpldFOV$j4HbdYbu0V>gjUv{Kyl2k>WKG z_c8xFXX%WE?EI6)84wm~T-d{BUn?0$ip;%WQ7YR*8!oK5+}b1M$<9{U z$6*V({RfI|>tqN`v}I>OFR!@iTT`S=s!4Fl5!F`4=Q&}!f<%u=!Vi!SR1yF)A;J$?>INK}Mn!~M}MhQtC)Dw%M@`)Vf zL-gWgW0usIe7`ocMDu-*SQRn4^C|n)tXblA+{X-VMDD`sf%!p2eDUQ!_0LLMED}$y zPZoLU3k6uC8cAha15JLWf4o6r|76+K;G|feE>^XeT6ARWI;K!3(Bk%>Qu2i%SOIsj zYfYg}y%{13%#mQ)gCwPP%EVSu!>91pDkJ)2vaUXbwAAYHRzEx4fK^tk#n2cqFv}FVqc#jx|Rsj;ZxbZFPXaL*NEOm z+99_jM6Vdw(>`{dES~)>!Svqr|9Y$Tw`Se=bp#(P$&>yMacY&91td}v!Bsde@Wh0_9r|9cT)PUya2eD+yFA9L618A(_m{?FtmY?%%)Gw%d(`G}rmKcI> zxp<|>^!AbH)GSgoaLdZRw?7%phd(j#e?fN{+;WCn;o_CQoHPjqr zsB(U=ul#w`(Hzc=%4sUTX_hLX5V4LpM59Z=dRC=O3{D80c(i+rsQf^BMbkSl_&s!@ zWz-Duxs?Rt00-7Ji?}K~!HOx)+p25x~zlO7TG}#mJk~w-oYB67rTxIs}qSN{x^@7a4 z;=I+?7C-6*v&<+ZioDDu0vrSN`^33VS5r6XXpyttE#@3kLCP_Hm(1#klSKcO^5s*i zs8p_|d!(loPMQ&QVlY`orI*;ri& zXEvPrf9)5Dk4a?j4;(%j@)jC2HudqI((4w8{skr2eEr0#nCj+2QwKZKD_u)w4iz_# zttoPs&Lf6~ALZADxy81a=>2va5&N2C;;x2@mOat0W-oYY&=FDhN?r!%A|wu>znS$m z$$YNz&w;T)E!~zt40!JZ&c&83I_DNbjXj zy$G?;=fSO4K=67vQS(|4t%-21)Qk?IS2Otv$R=XOve2?%-+R6Vr=qiG$_pC{LWkm= z%y+oXq3qI#k(HHfE;Q6h2k`l(qz@{Jg@dw-Ixn*84^=;AJya%R74hxrNKtWM?7Ze1 zK^A!S#V;bHy6&nzb%5SGrj7mkI8v-@D!UqJix2&IStp5WQzaNuv+B(yD4Aoo1f<5@ zTw2uIiR|^UK4QMB56gUylKCUl`vNkBjrB3Aw#2qLKf7{-IQZ8n#)d~`E2#@rj056I zYU8Rew7lXP=-L+$FE+oi*f3WJyx5L6xUJBFOQ_srgg6{mIuF#_r2Z_PMB>0-* z++s~}4sC&V*mHznH|Rp6K9Sx7nbbja+S!;n&q;U7`4hM)dP`iG^^Uf=y)B7XqYWt@ z%}G-tezm1%+Dp2|z6HZNM<-x=>smRbYrml0hfr^y^uN5~shfe^Vm>Z+PpdEy?}7}1 z_o%Whl0Pil0()QK2nG%<=(S?nLW&kszNN%p^PL0mMj+B1^fLRvd9M;}#e`%9S!#9Y zLzx>O)U8Cuu?~{mbs>+4=u@x&kV?~y-yqAX)Ha^ll$pfw9u;qR!E;tp?@`@)R#U&> zqvZ6|tBZ}r$~Y56#_L)0zE$AgN$DKb!MUiA$#u`D#^2FAuPn46dh*TSe63bX=>N)) zYAk&ra1%IhYq~ve@LJN}8mt8-ZxJC**MiKAnD}8uyaI~tLkwu)yDLygHJ-&{65oYl z$79Uq4bDemVdAuf-mUG&_(941#)osxGH{bfZJ=w5s8e**3JDf(CzKRh>-gn0RN1#c zcRH2eac20%b>TooW-WUhiHwgj&}ly)-m6Dj(S62ZW~~&}n_CQGaX6sJiPrcRm~x{$ zgE7y>P2Uzr>Q`;pr#aX^B);ZZ+ao1mB2dN#V3Rlxq#qe3SjWH*4swNyL7A9HB%Jeri ziO*z?!p2!(31Zs!^btcusYw!>w$wxGFm zu2?0s1_jiXN5&TM0m?$_pyBbVrMX2Mn<3+>_U;a*(<1A)=%mPzE}<*nU>iNXYVSv= zcL&tF6U|}2EF#^W*0 zB?k%7NyvF<71^gr za=uw3syF+en)=tswc^cE2{!9(aS-uvjR>v(Ke4+ET`Q(7kYGacYVX^yL)G4U)0gKs z)e0s3NGzV?DSL@24P?A#ejzX&qMiseiNe18B9yT?;wl1b;?{jycAWTkoSd~lJ7Ghe zwjNHi4`jZw%{bwnBf)+oa}Fh~iYb}nbf=$`o?Bpby3_jhRrPZ*O)&TPQKI*$1FYv% zw46^Jhbl7(#d*~hLs`!`$_+r38JsEB7;)sej1}pIFKY^W&g(H8BeT>ZA(3!obBc`> zr|McSVWt4ho~UwvWQiA!6|+Z5@c#*M_l-I{xcAYPU0M5y)DAhdA1kSMgQ65OgkFEM zpYtkBpz}>DyW1?8@b8w)74OAco!(vc1y4`=MfCWX*L5NIi*>%Dg`MV^M+|!7#~;Ii zZ(*rf;8_`aiUPCb!k#kXSfiIKW!dupX#v42)^^2tW36bmHk%}N+;?>H zOePnOt-{*772PiYi7wY7#N)WKqqhl%ZqB=G+EDAOYpU!mu2lNGn5rl`RS`q{B1Nl@9&3-NRra{T zpT(Fj8C}@MIgD8IQN`LNB1PTdD@;=w| z{txHFnJ?4VOjlPAx_YYTuDXw=8rbrlk}A^D_R&TRgrCR#T(2#wcjO0}TL3L?8Sw+l z0g+M2t|38<_oGz?BD7raM^`X3oJx7AN>@O!c(va7IYS3^k^Fe%s5~A6(AW! zA;?lFX28{7b6+plXzisRY`8l;|C)eTmFT~8A2{>ROJiQOgCn)gCc)8<)ysOsDjU=n02pJ({WU&8kt#48b5ZSJ>-u}rKZP(5tO7YM?iVjXX zor0{tdl%^a`LuX8s1wstDz6l9DcFAnj?9?=dO}cD>gwZ*TmP2pT@&XUHz;#MRTV5< zP=C^4b{3(c9|NKq15HQ&u5Q6_6bfNE?Cl~Ook4+OBDY{IjA3~UMalc;bdDe7UcG7d zw;og(t79{O;Hqdc>n@AYD0%^>qyf+V={?EC;CeEE=x#!RCw~53E{y0pZ|+;;zSb>= ziI5JPb1c(N4Fy5Lh-~$FFpqwYx&GqF2N7132M+c6ZCox0$-1^pn^voh2L~Jw+Iulm zn?DZAo6ugk>MQM&8Rfy}K~O!U@khaFv5!*x04+Qre^`m(h|!9MN_XijEuI@0=FEiGOpPI@*fWbN559_3 z5zwQ!EKgmZ-0J$?q0la>S%)WiG?-ydw4mC~K0bK}+Z%+74QyDEqnHR@GC7D2p__4g zu;}#b&I)jzQ2JI|gg!dDHT92%7Qe7(^3gZ1x}lwgL8Sc_IN!(|!|^kSWO{^ zX75Eu*9@O$F|;uwF^N+!&B0YJ+Bqt<5BphTGLn} zm&0XJYr_GF*e7x-FI%pn}sg2G)6A%o)|HgDdiq&Js=|niH7x78q zvzF?-%NT|@qF087hd%I_k&B~IW(tiJmpSNOd!!<0?&1`ANujV8JB|)po6(d6p(7s% zji_J8YP2u=b+2jeuc>aggtFm!_peT}iAALEwA8x%et&}cabuF5D^EZmH%7PyB~eIf zqEkn1n~A5ZxBW2bi(Txp9eXksA&LDtSPKnaSzAzu@d5h-e#qqe$@}sMn@A{T=Q{od zuFKbnScj$Qtw6C}2wU;}F2B?WdW#2&V@h)pi&zov}pNn5yAdEU?fWcBWSfVoe; zQwMy7#24y^iip0j{h_1`&UfqU?fPX6A~Z{$uPm~NXHJSM6Yc8$ok3Lgh_YI)8F%;Vyb0<AB6|7bt{YH1WzW3j%{R?YEVR674M&SfY$RQ zDs2Q*%boC9zM&ucYcI>myU4cGsiE|7cnxUG1wY|HwOkg`8V(L4gOL?Bo%#VPm-{y< z4>^S0JydHGq*dwsS^z=n=9A1S?TuMVXhx4{){fJ(kCTUMFZ-}5eMR!0C|f0rQ}1ZX zY(>-%1kKj0JXWkK?rY6?-B`2fFq^%lX$+zBaij!3rvl%1-akgY-prrV*boNa=K$V! zMSDRfPpu#dJUz#35J(p$Ov+Q|dT?o3C={dw$0u%nq8{JWk=9ffH1a``J0?~Dq6{-A zUn{$K`#1D3*j3Odn!_vKAwsk3rp8%o=8;`eK%TBRfN)8t%zKvS>wlR|NzYzmU`KyzdSpdbXOg@Bakx`@`uLOKjfVzbFAExO4dsU~qs#Z{Y;g$&VNGW*KDe6p+U z(=2i@pO}7c9^rT%Pip8GVa4PfRR+!N?Mtq-H#7|_bT1{t!#}UL(g>Hs%c0t)!e7D* zZ1j3hSmt#$_d0@AAlAuU1%G4{PHr~@Q8L7tB&Cg|?B^X zLMzO}BG2UvEcKeb@gT@hoWfz0D9-h-`NZRQVh$M@`_Sc^f!9zz^}Xku;M7r>jtg<= zi>BP0vZIg?9Y30Y#rE#;B64TGenGi(O?>9iT)x_%Z7>e+?`6kI*=i+0W)9>@<7Y)< z*C6fg!*~`By2k4! zd*~h_09+A#O+(Oe&h*u42URqQb=gC37L9?8G(66V*~=U7XQis!uPcqiFz#(Vly|kH ze#%`q*7^>IS9JeCo<2al^Sx)ehNJ*3P5;+*d3|+owXEbwsqlf!_EAo z!`QG8wk%_iaq`n3mE|2ORimp}<)|_jJ}@PA(+%?Azuz!O&`6tH{9iRs!u1&1!a;Y4 zR35?|P;Xo0wmDG9naqCq5A~nzTu}`TP1E42qry=1{6*d`Q9>w_x|#W%VfCdTH&~^! zvG-@!#BmL!Ip3r4d`v)ETu13~c%{g-%;S#;`o!v)<-G4mZB6J%m8*=L?X6=1NR{P7 zXB0D?q2d7CQ}UkyRr89}EZ~V?#C5nQN2hCo1nN{JJ*{vSyoZMpFq;uOy~;9EkyQQZ zlyQ5RJl$Co*b6Hi%J96|i#c5M80=+EkdB#I93qD%Cv7<8F0Ed{Qjqu1$0N#-GS^jv zGu)Qg)%f|+?I>|EC=JI9Uv7#C$Bbn~`0H%-jl$B=XI)xzD}_fq&=Sn5v(JUq`f0R^ z?VHwhIdY=0hKzz;QT$Nc5YESfNv3=u_xi_VJ=xy3qq0ojRF~4rnPCoJ5N5W(Nkf!nKQlYvtR!k%td;_3SS>x*8cu7;gZ_X%f>xA2M{KXfBolZWR8}|RT#CsK>O~0Dn=Ryl}ro?Q^ z*)Ge0vL#qLnwur2&G<l4k4ub_#7c`jkmWBX;R9l@LOD8Ysue<%KY8NaD!W} zNRTks%2`B``E$NMnTFP0UJjI7PNUVQR)xVRzRB(!S51;^Fcl^g_YN73${N9>aUK%! zD5P5G?tZ&Op;5TvWV9JzYOMIPRxg&*HEjR|Wa*Zg#XHORcxOtk9I<#S`)VTnbHI7D;eV<{q)goVK;I7vIrT3D^FOk7G$k(^BwW+C~ zNeXE~nMdFi#cU&pQ7)XR%N$1Ro9D{|#PsbDS_xwI)NoP>4y<=FN% z99BLh3#~Q=S8>godCfnL;~IwwYrt+jgO)T{-#rNYIWz7-CMVn~twWVBRe}+2WiG)^ zKa)|q{B^4Q;rA6CAoeF1%+Xbx8i$Knlp=CWKT|05IR3Gk)&+$#^70|-csPqdp|%1i zRa-vmPp`NGT!52tK&6(7L&nZ&Jw}z(&Yaa9M|LY7S0SE~LbD(#6^!eKOTlW1l?Mj^ zlP8#rk`B!a>o+_OX9;?Q#&wcCTVzs*cA=y&JDD^#(&Q zMu#Sz2hju>baAQ)coF-tr-Abe9$^L>#%A?tb!(DW0|#A#o>Ve4O!sXI-1uu1&kvx6 zgQYRagLReYk0T5m*D7bW_1^0k30;IodSy>ND9P>=R-~4Z^pr&SXFxmPU|s7 zhJ(>R7&f?O$YekjYLI6H)473vLmPU1w0#}OUx!}U>4I%O&_BVi$HZ2#Z0se55u#at zpWJQ9 zDsOI%KWI)1g%W~ikBHu);#LlL$Qu>el-Mya5f=vzweXj8+oth_SQQbq6Dq63?KE|| zd=zF5K2-y-d(S3pR4Dai!Rv7zs56KcxioRMA?yw^0s1|gW)u6fStDkx!{fd)h-*w& z`c{4~m-C5rwkCXIhnPezUQqCaGlOWFP}K^c1`69iwr;#?#1*)R6MTq~9s`XRZ|QFi z4$r(&Shi@iXy!f%wtu&Y>eZ#NUPlw8?|q%Eh#>mVo;0G?rr~l3Qex9avcCD#+nF=S z!Ff~llqbbBP)IV#wZA3lHPg?%0VX&27HY+d!arbG(8NWfKoc-HPMsxARKo{VeQ%2c zJc6p&r50C!L=21m@`*Jk1&c@aY~fOfvWi~7c|%cN6}&TILl!~bK~4H-cQL0nu-a?+ zoQ%k}jy-#1@O#2$+$*MDsy9C2SK4pWi0R=AsU$V8<(eF(NQ?Sg<%qvXN4_DvF%9SV ze7gOT6*#QfAi{HiNj|w7b|k8F?m;_%h<3_=NsP+SK6?tgxy|TG_ePHd;hVBMX@TzK zg8O%&hD^8Fs%7|#-Ch#;W=+Ait~=RzJj0My1SVT)IG+^UoZ}#&KO@tjXl)(aDn&f6P0q-HgDKhvDQ5mUw!^Q=B{3nJVWf}kl0*UXGU~& znr+cGE1BEsoj;LziHgBwC1yK^wkNDgmAK4)tAj~0`AO^at)_s5{&I?1u0*?(ICKsl z6$0Y>*{FEpc*1%De2Ogo*^;z%Uxy`zgad+CBzT{q62ghTff0T=&C3t}7I`^y_5+Nu z;!||9dWi&}D?u|d`VzmUG#XRT6I>2WQ)}B_Lb(cq>?@4!*>9n(P`5j{54z*1C0_NHP4edn zf7*+adQJ;l$e@9H$>L2%Mb~ZjH)wH$Rv!5+Vp`err_$h7bta7O62YS9iFk*%I!(xs z#}LTHIzwRJRg0NIUJUH$K8j4Po_un2;*X~avMjD;lv)N4(atw`lf!0fcImu~qlTn`Ewvi~J+){tJySZ&tI6^fJ!9 z&|u>RL^?|q%B!1^NayYz+H`uwHq_K={!yCZ{*1a0@Q%}Ux1Qt7__f*Il*~xGVn4foMeo5e+_u21lmzGMh>R<8yeVm3BOX zeU|W6W5b_daPGNq`eVGISCpujM8SrbWR}74(>9S7iQD^q48bcfC4Hw4tc0#@K}Vf4 z)j$;m%rcdx<+t)9Ngwrq;w?maU?;kQT%Hq`R!!FOsa1P`Cg1E-ppT0!N2sN^4HOyA z6Hokr*^%8NIc;ANe7DK0ZNgDIy=saz__vtCg}V`->%6wgn>hUwP95e;p*l3TxkW?h z0IISIa;YJ_S686o5Yi9z5e$jdSL$5s9K(o>^<%W%Egi0C5NCS50quj~Ghw?T7m@IO zA~MdxTXR_V9#JuE-}@NTYg5|Ur@$tx z!CX@*=y#p~)2Bepc^s(NJaS4b6y>u%D`%P5}=O6CN2p&p}M6$RR}Fy zt6H;IlCG-W|CF8U3`IOg53Rxesx@^LwD7J9L3p0*79;%S{EzgO#Cmp7D(Zh*dJ><< zlkm{;zeKgJJ*h@`n(f2mbUXb~@t@kR2`;}+jlupa$&=6|mawg==P9y5<#8U!<5U+4 zulx3&4*k@~F4~gt(D47SWGu;Ld{GPPs_xuV#P*vW0`5hAU@Gr_mAd>kcTLb${r{50 z5}qWd|JU9Bg?D%FdoODl!4qgUdMo%Bq`qZF4W22)VXZQTxRf^37y>Wk$y@q+;%-PrQM$W&k_)b?OXL z^*oA_aYs2J4`DnUpFGbf6b^>y43lz)CV`;0#Np!bEUj)ZY*wU#xaJGzTz%89;)Q;j zSCw*UFJmEAL4Bx6v0>V7N=0G2VVEP*_S>OS8MW0@T~Zga=`h{P{knrVIqFOlZH9)3 zGIt7vk_GJ(AICA=u4VojV_pdhJ&qeEn23c{WP`3{wU~QkN_v75AGDu|AJo# z!81G=E5abxYa-m;H9Y#B88zsH8~Mdd3_n&zRChP6Y2=j4GqAhyYwJzgRihgEGTjLF znsNA7u&o|1guZ!?V0{Z*rtQOrB~~B?$_)FH?-QuS63Pry0$i@iCh?iD#KPZsosX5K zJ6PaP`5r9J2vK5 zTU!-%l>4coY4|otw@2;`pZOCkN^X9S}il z+g2nBd9^{iVS#_3E!lZSbY$%ls*Z2iD(JOonzGgV|YvO4r=TD^6 zH|?AJXUp}sVm!6JoMI6rYA-Y5@()cmWX< z(Q1a-!^sbJN>NsK=lTiqZS!ma%`1JeCUhBz04K}9?2#y;>t_sO$VglTXF+=_u)a#v z7|dyaXSDaj1^xrAbsTWIFMgMY}4mVpBGkeylGT0d5zXPkqt0P+KRq{F8S;4wCVWS;UPEZYN3W- z4_xstjh)xwSLcN&{h*=tdIZSyU2EX!7`6%k466kSnpzt*Vue68+Beg-xCZSifgGVR z2EI&kJmburYp!-19xrK&1b5+HivXgpAc@9j2?p1GECV!f2s4ruweVBjHo(v^pJCXu zpx3xqpi9#))zniBTk3rJU^f1IX>qY^zj(Z@f`oFwxc-EbUvw*8hXPF>R+A?Rm%3$N z+D;x>S*;|7{;Qf}Q%??4+I)We($TNu+;E);`2N`OjF z*ziH()w0VJU-(BoejqA{~OmVq`2B3FUF zULm7#3@Vc(#%trd)|QMZ#=F%xHv_-^Ax_inC;-wc->MqF&Vx`2lM<@H|JPi}K|nnq z>>q^uZzH$aeEt(kbp%T#26$o490OcSY&B#R7RCjHniUiJ6$oSFs+?gnJC^hzFY>z9 zT9rJl2t5)f;z#rZ(LGd&s9>rdjcnUrMGT;8-Jh>f2$&5TpdLXughg>rFu|%$*Pt=p z-%RWILHy{!%YZN|+uJWJ^%(HMg9P|5l}NbOR_M@{?exHisP36V6^?VA(8w{=iQ_&W zcyso4jv7U75CBZT>PVU24ojI`L%?A9Y5aQCuyXvo^P7@@k3>I;(~Uwt>d_fyYzxLu;8jOKdi;7r&yYx zfqt{t8e1`7(OHk#XeS5+()=#%J{DNbq)q(!{1`#qPUhc=qVeJbWx^59NEI@mEHp{d z{F(o7uFllG{VW-_^iZQg%gAUKR9^=EwX?1|hdji+|0Arsx$A{?L}ku`fYG@9FeIN9 zeshdkJ+_thP@JTXCdbdW#PJzWms+7TSuFgSGBMTNex2z&X7?!6*eV#(#n&kc4Tc_T zp)<*9(~QqGl$WeSGeXS7K>8AIVfqGQtqdp_GgUMM65+PER9?`or1XHdwc^NA%lPKB zyp;1>S^cuFVu5;8BxuYk<00!s+_%_CgEPZoOKCb|8{DBvt#k;@iJc7mNYA)psZgmLVtu zVFmipl9aAa*4MEZ5i{_>WTK%)sH@CDh88h@=f-4<`~iz9JjMVu91OkvLWnI*-@(?` zEoqIRjM0`5f_cV7p2|i}xTC0nW{b#)L1o-qO!VRl%;+2lK4e3~LYV%@SnuGk;v*0PP0v0wdbtG6mlVivSGc=32nE^z=nB6?^y zucqaYq2Ra)$*O3ecpvc|40b#!@^IT^8VF49RiV}nP*x{xE)5jQsh@6@#yAP4>@b10 zjP=34UrxU2A#I){#86DZ1eKwp8~N)WhO&CSet^sV8Hq93@-JnGa1YDa<&h3uZYQv? zBSl~qH^{_14P(D%t5Ic*xyQH-ZU0Gz3yUx|D#D+(=k-)MY*f{c$UA5Oenw{H(cxg= zO|bNg!7Aal3V-p8b&AK+jngN;qbTp)H1zFHd#M9UI>pe*1W=SghjvqcuB&TM=c^df zgda&fmBIKPG9B3Z@I8q%xLxhRWg-}G!qPbFHw;Z>gOf1aa|k}^p`xZvW6y^9^ZHRVedWxS z36)c$Oe76kB|Qdd4i7S-<{^OE&va0uBq69_&*nr3p(w+|lz=jVwO6DaYfe57uNU)o z=tJe{Hy~|o(7TJw8s+4as-tdI0jI~DP-QqYyDDYic~aEPdYEXKDBU^X%kXGM{5&D< zSR}AVk8Nng!Dov1fJi~Uq&*%z!V`K9W^ZNOGCf(_D$R;2|HClmN&Yl-2o;S|f;BUb zliE7GgMC&!<#~GJFirL&PquLk5qfMLHy5`?ffdF_U{%zLhB5FF(qG8rv-e{gVdJxg zJGYB`Lm?7%G%OLs{TnF)S;e`jcmVIB8vAT{F$(k~;4 zqRUgsFb~4PH%6p)efs`&b1Mv2qbN*rr`n~};iN$=Zkxm~D&og5>aA$gWiTw9MDSO` zKQr~i!_%K=jCm;$orL|m4`r1=3}XtFx|P>eP7m=D9r_52mW8H@%CYwnuAfn(WvJse z0XOk+S`b=5#T$u{k&5c(NyRFmIoE@)J~p4T47XosPWqjlCdBR~Mh?koLBq()s@`Ae zuWgL$4rjOOeoq3@e-?WjXXBUhD|EU^VR%f(QX#yB;m<55K2ZruDaTy6ujJ|M6l}G_ao60bQpSidY?J?1a#&d%Y z4|d1B$z$b+BF1mPGJWAS<`YPGzSVpC1+^}~r`}0jpSnx_7{@@HvEVpI9Z1rOxOGTt zbo&x#mz9Cz${yN8ABZq3~C|cBYs1? zs{SPuz#V3A#{juqvH`E2Ikxvl`OPz|1fiP!;-|J{L^O0>w1lY$SS6&1bu<>r0}hf1*v)k-gYDardcGOu zv@1(a#Pz=9zhxJ;lWVGryDS9Zr4HY|Bm%TzTS?#k@ddI92eh9?QW}2nu|xtbE;0!8 zyk2m1CVFH8-Sfb<`scrhU6;E>O$~C+ok6WSLta{HP7SS~&n=}@L8biA+5j!86gqx$ zPZEC3%Q*qw(LNPb0P-WahS@M)(ULxIxK{d3^}H!rlYWVB1ckNQhCT+W zoNT!OyG`Iw|5!>)W^A*xcp<6;!pZ;;46s-=)-P2nl3&1p>Bb%rQeyMZ@#O+OWhqM` zM6vamWQ++MFlC@ObioBiOwy=q2&smVBX`y+S4${D!{@en(H+o#X>d-;ol?F2RC4*3 z#!;tz|5_C=&JpZd;bgWf-6;$URF~6)XT1FZW08t-ffvr#fZ;{}pCQ&CslW?vL{&ni zJVxa=DGE<+zoa%bO3f81{nL=nXsan`P}U z$D~%+Bxx!IrfOnDI8%*)H{JOWvP4CI`7*^&QU}at0H8s^Ar125^54aUa4xoYMQ+tX z_^T93>qUFO0w_71lPdWu;!`S>gIKK1zZ7Z`M*?(^mT8#4RG&z{3WPyzF|RA9N)GO}u$>E?$-j zf4DNbY`TX;QHd_qA#R6%(FlMr@iFjwv0*`Sz5vT{_34{59T#9LMb(ig!7>GOL9kK+ zUs_d_53@2183gKZtD58ZzR9t$vgR6;!&PTOSkMq;;gy$QIZwzi34H;bl!Q8){aG%|z=_Z2om4x4q^)u56m{YWd<_DdQw6g0n{j<1DOZax7@a{TPSsVv zqxmlsDbq?^Z%*XZj(77n2^m#IP+)R?60^-;;HXD%$yA|CLl2jl8nd?=vz`H0avQJP z-dA9FepIU0G;n^ruv=J&6#%Qi`N`}^ZBQ_W!fcrxSg1t(rK8eH_ye_d0vDiedjDP+ z;UAOpm;+K9dV-p%>wtaj(ox--I#|j<-d_yQa1TlR5Sgh%8fnTgm>Te%i(me&JP9bO1-^ALQcc$ z*2dwOCNM!Gim!sU-~m}-dH0QsbF7tgDVF5~x7L7e7~Qco?8r8*LK}Soy}WmV z&OP_?CRJ3gsvivofqQ%YvJoz-E?+b!pS*;=&VkZnz>{sJm!1;7w>I88f7;LVxglJr z;70Q7K^=S9Tc9tNw_KdRvHG}feOP>~j)jn)-K2Q53=a#*NQ;N1uS|?c#g7@s3#AMx zael%2SE(;bBvJtH5~z-m2bwYF9n7AJNfl5x4@5OLV`tTHD+W2SkfRbFXGCCGy(9)^NQ7-8;vL{%xQ^+v}dm%!@zMa?TJ2#AsMOfo0 zziGKo$|$7IJBOkXFC8I`-o+Ri`lz9qd#s}-CXosM9E&ueeg}Mh^e|4oi8VAQ19fOx z$K%LzbZ0&;(0WKDt49cAW=(w^5NtMubsiAqXql>X2^Y!}@TCewS!QCOrch-LC4l3Jpw zO3K@`_gO81LJ)^(h~2!gi&_up>!A~%d<2NDDfK=*b{Nn=cNa?}eUza;n4_S>U)By`!?L>Ke=URbiAAtW02H=7?OZGIN zCLXg1RR|cZ+sXUhQL0ki&}l6A+ioVKJuVN#Jmvh(hMtmZKk~awJcWVQ`ts$hpZp|0 z)n#_3h`2vWEF9wQC+@@Ic(49d(to!37H*4~2drTkSH8F>PXRi~Pl4Pr2uA*aT%KrC zpr1O$3IvRCboXDn}MsKoA?O;YPLW>;H^M2lw;lU>d2XIyD; zFL(@KOBoZTH5fQ}7-kfxkglYVkw>0=Thj`$UtF-|KQC@MTq6QP>&-Zb9#RAen=2f% z<5`L%?N^dURcQMyQqeZdTYCom8hu<>;Dv%rV2! z^E`9v=;C7H(cba4vGo5o?|U{#ywsTj*gtVtFR4qk0Pb6*?p**Nul z_6}cw@M-qfoqrHA2ol9cCk@lshu*hY3r7s!dGP|A<-H$NP#^Nb5i6#jSod~ADiz|E z8Z#5m+q|@s@KmUHrYBoiDnVDhv&V)bXvY#ljd$jZ%0*o_W+Gsv+QqZO5p)h~<=_Xc zRfVL1%#tC`sE_UMa14)Q!p-f%W|R-Q*N(J(+aptTSFxEvo0eT~3wSHQ*U=*Pql3TY z6T$C?}e=#(@+=2?~-?lthRSMX6`_3#{*d- z$Y=z*Y%+hA8~!l-nH8?6GBQij&8WgLf6B!$!T<)ut^#|rE4TB&Q9e~O zD7TbkOy?u;`huR=$|S1>PH1NdD7{R3jr$&!qXS-92Q0#(GJ}YE9`Hp1UrA@+uf_vD zTwx!kGXKFZM}4hW%?31R(|-PdP+J{?PQ|Y%XvpyYVWx2TwFP4~h>nhrTek&rEjWl^ zRUNrgYCcm>ERt*9Ts&w4eU)px$`^Ly`J@!Y zH|v6#B{{r1lr!Zdu4e4AZ$<+Pg^19|qvX}0sn|T2rRKR6)3y)i8;u%Rwm+vU(|XnD zrt)us1^y;Yz7vH&E>fsXTxbV-YQ314v@{4Akc3-&O0PC3V9{4Mk)lzAYzQ6Us{c(?1aAm#K@ehJk zi+)&oOik5gcA21*4(RE=QyseVIa!Xy0A6M$$DOiysMd8kq$AFhmxN$5DR+R&xSQW< z9+cqCPmB*^GosP@K&hoew({z!vsso$+%C}_YIX7`m62cT5v;5t9VM!Vw4_DNu|gT8 zA5Y1+z`E#_sScF0u`E@Ry{!A)E1uFeG$pKv`^}13jLEq2ye&8`>r0Qhq`GYui3(bn z;Q$rMtH9{yrWro!ktgw!-QSJEev}SV#9{OmDo3)+u-*p=i>X)%aOk zp-!EvX{H}XJTaGhqNFH51&%SIs*Na4MiNN&SV4m^H^td`kF52qo*GluuipNX=T;Y{ zUh@zVb748-aYPl9><%e-;DiF-*0WFgIpfuW63j7-O{%h) z$o7Gv{kMHZ)(5lu66{j_wWs=GNMgbn1Mf!=DEfGCG1@?GCd!&^@UnI)_LrX;ll1Re zin!bP<({c1h4(4mF?p2T-h+vKcMrFFo%5;Bm1@;sCE{y44i{`b$xtid;*i@L4J@yh z(~r3lVgEUkHX}DC`yGXUCTkD^q=iHnDsfug`-bYu=gw$=r^M2_BT|{>F6}N^rHwZy z8I9kJ>WDEDrbrucvDCDOXqAQNdf=)imXMeYd(`E~zTcxgoP{gOI>PMlT4Rq3uit4= z4!@JulB`33f=ph{Ykf1Lk8vV*t)+GM#Z&fbxt*KM`L3WH1xIIXA48Us?S{@rCbK+z zMW9PFip_bhZ<9^IwuZm0H=lx{<@4Y*c1LnE|611c1Tw@N@<+{$<(JIqHVzBiyc?i2 z$?}%yN2sJ7af)4UyHD5;qw5eUlIV(JjdEBG#v(vB@^n z78waQCvLsd`mK>n`kaAn1rOGyfdKL#yxNob%t>rm{HnWcLG=v`wh#L6b!lg&GLyw8 zQF$EOJAaqF!S%Vq3uv9Au}IuYLU5+za>PL3pBgm8Qpo%wlgqCwNb zo0$1mkh3G*Sit^ACQyVmqHsqUv{8xNinWxea~yia@TH*Z3r3g2(D{J#!Z~%gB5aG| z#yt{z8pEERstM|=fWyGm(5#T@dG}tEzJ$&Ihq6ACmE+CHRG(q&7RF7zLD=hP;@7bV zHR%qe4qTs|Ic11+1nR(;e4d6-NY#A$)m;&PK5VcWVk`{Q(NO8XU;vS4#Mn9M7z_8Y zUG{G6AB(d&ppmc)1kvaD99E|$Hdo+5b+dZ?Vxv+ovp-?SQ+~xJJw5Vb1tj`|JW!ZS zKAI+~9$}mx9ULaziLj^xs=Zn`jA-~+=IboDRsfPa58VB4zbF`(*S^&m zSuAa?Cf!R3l_;#y_Oe&Sx5g)3#bzXf50D><8aV8%vH3)Eqi(9XJ;8C#T2qeZGsF2g zJ1Cjp%Mnk@Ik3K6-wBb4zSsDBy@Qo3AAvec-?rU2uTp0%Vcq@x-^;cd0`sv&ZXTl~sJ=+jB@yDB#yrAZ zN`WaXNKxP=4R9jd0#vaHpkvFgU$?R*MB8j zyrPH#bK^;;!zDhzj67vlX z?Z0a)8~Mf;)v+{zWN^DzJJo2ty>1I22i?LL&O$;oSjBa)UOx&s9HW0V0^wqO9zhg4ebz(nbVArIlnyR*IsJS+KyVjEUe|8EH z`V1FVGABmord?D6CtM+O+GFeln^ane#XNLpxoCP8Z7Ph)ZMRUcdf|@Wk5llg21XWL z;}Z~^n-9R_hxo~vfloImi>u~;_iiXgoJ_l+f%VNdN!uA{m zqB2955uD&|p`JB&|w)QVCXoV;tQ5+>%%M6w$J0OB;E4x|&%dh-Tt~x(T~u@L$`971X;I zJRV8uw(c>Q$BU)TjL5Hz%r)Ewek)z4;Ee^tvcUmTrDiMzlyu($@ukn+YG0R1*7que zHH7D28YnK+DTZrpY&^^bG-&^xN5{2D>H{J-=w$DX$Em8-0M4FM{Xl|f(2d0vZz>17 zgRO6zs%L2p*+@@18H_CCC6Aa>*mI1m+|+}XwLcj5w*cjPH8p65E)~LzS?9c~9S477 zbsSssMD%#i z)RX)e#9S-h@czN-C|vJIEa2qc$~{Zas;tt4y?XY6hH38vNeUyh%WMcNbwv%N$ypMI z^njPQWaU<8?%4tldJWw&8j;Svpe-c^5`_f8S2BPIQ6L-EpkgA9jYa&f;i2R`w9b^) z{d%U)oj*YmRvc!eE|vuWwH8&Q0$!m+S5|qQ&DE+py+iyGH5UP(KHMe4E(W>Q_BrrE zM!810@_X>aX||K>dEAOr;4ijOMD={tIrnVSv;??Wo_bs$vTu;h2M|94o9WlmY6h|@bkcU>~x{2b*%{PoBq;-|8Q zIy(!c=@ezT`SF>4t1V0_dufkuhhROwP8H}m?yi53tMAVUOda26wSl!J?BG!RO)Ytb zd%GVCua8N|Ry<%l&})(SVenz5K-ag*uwy^QOC|(NkwSPc>TsBYpV3ZOpjo*p9yakM z?hEqfhcfZGofg(Er~!?&48L=w#D!k5TLqfW4`@2SYF_FdlpM|Yf7pBLpSptXd$>rU zxVyW%Q{3I%-Q8Ww#oeXY#hr_Lad#-i^#a9RioHC~^Z6&<T9(|fVTRj!^`D)y(kNnr)=Y?#PjyF-o`OgHd(cmohK^!^#H$q81civOY; zfBZU5AwLIC2<(wKP3$DrchjH>0(3i-^bk*f3v)hNRudL1z0zXuj7OyIarX*Y#6lNw zCt=alAVY8meP&aH?X>uu!JAt|X4dbET!@rwt(NJSSWMkUSzCFi0qo zIE<}%^2LpCl24_DKV@bc!@NMeR;>0{SCa?jI`3(FV@ED|D!J#Ys12Vsk=L_3SqLQ< z+nd#C%o^E_ar8S!by=(oh4VR`__U)$+4P>brQDVfyw7_AT6|6Sa_bY?@@d?b8ql!m zuiV864-*b@Un`ozzH3gX!LO96?SNF^ol~5<@hY$O{+86n*&S#eFi5OS77uG>`YX1; zc6Nop0Qt1cSf``}fYoQpY%9qqyO3mq>x|v|`#zwyQj%*JE0Vqli>U8)$h|m|1hqG` zqXaecK;oT}qJ=V{0G&j6I~Djg@eO2VZUbtbRiD8D1et6Fdhh3BW`{@v{R_{Z0(OA& zzmuNNS1E}I(5b<*B#hYXoXar(Zq^O1WS@IYLGaNIiV4&3Z*W1K+)4)dsm*EU9krR-Yy zn1lDI+Tb`$uaNe7b-EH3hDRyO^L4GID;^mmOY<*;5f25tHdR(dE){9uS!Q>mhANrW zor=;cQbyW!*@LvnM9*_D2onT7A{JXONqab##bvK{OS$-J? zLI&t$$JUTSFJ>54Dy`_%3pVWV7}WekBH=if2!^;EUP8d3uyWilksyK`+L!j?v7*s} z>k4ZP=1GTmVl-1#l%RnW*Val~w%<;NC-&{l;zyv1Ael2FaeD@N_nW+)KSTazaHbws zp5xPrj%Sy-3810y`}(C|y4s#d~s-&c$NSfaLsk;?psc+e^UTsBRWXzx8| zW-Y@edk{G*E5+tMPih@&*}BpP%)~o8M1)_XI#)yGz(0@&(W{CW1MA~l=B{!gFP>@RO-0dTM2M8 zskFlyNjTL)ZR)>EVOxxd3HZFv(~N3!87*JTrCcru_C0WFGpOqB$ER<${>+X*gAU5; z)^c{~)oQ_RSJ8JS?>CJnl7*H0^7O_DCg{O=#v{<)HMm4enGT%G_>?k+S;=n+E3f>t z_>dM|GM(OHy*JV5&W$F~l0c;UDl%o$S++LVd*aL5-UL1Hc}furpli-QSZuTKpti*W zKs!9%ODF+ggqx_FUiAz=iu?_aERQS)7&#rzkJV)Nv%J(vF-gZ5{27?}9K8S3T&T7i zjI=3LO*qW6;iIKe{7cwMW(K75@z3L0^DzI|-rw5u%M-b7j)v|_;iCZ%>(JtMR8640 zB9l6x;c~HTE?gdoU0gj{RDv+|_^^7Ng^O@;ys9~fp*j$fNd&FM^ui3QA!dZG_xb07 zPC;7&MwJF*`HJ8;xK=VT2R1>-OlNFtq(^auiOVgnL5nY!o;(*H39#uvSNJVm+TeLw zqeJ<3S{weEg@u9=qH}%cJfiTw7`d~G8c`3$PNG=6Q%CLyJW-Mo`-hFjX^rZeIyx#< zJ+Qcp!`nq$tPofAx&zNQ_w+~-$ZaA6wQw~ZSW;}4#$7|%Qn}$Tv~z7f0Xu8`;l0VC zOm}{%yOLD=mDOMBx$K071jPVexarPK>rpzXIj!uz@CE4Gk)VI6yDy^4CZ*bRg^YAV zx7ew>2J9C3ZLJ0vw_jX!p{yED;oCG4igHKUzqR~~6PNeO5kit&vZO^lB~{}%A~o}u3_n_JoyKD0He(bVj)%= z1{kS;U7~k1$@VSSi7?S7w3vw)`|5PQ;H?(l^y*UjIE#{T^-~FZX%m10P>>12&G)hNTiJ_0?@nqw3OIv& zv+d4k-u(LB4MyK}#mfs1@74Wt&i z2J!Fkui!|m0~ba<4XZiF$Eu;yZ;V3-+RX1;mx5D}He zO!&Q@z=mVcTxh=M;cLaJqQN4?+15+ojc;1V#c;>q=J}`%)Lb5Y z)yz;t`S-_9(iOJg8`3FZ&*v;4XQsyk6`GA_rF^!cE>l&nBwKr5?FR`UFPoS<^^%dc z=-v{t7`|UCD;wH0fMgm?b6yI{rtM&SW-h>-L`+H zVO?eJ&crt$g_Cg*&TpsujJk&m&NL9)m~C|F1pOZ9c{3&G_Bdow&abSV&mD8Y!l`y) z$5RzuN`YQ+*_DZQ6uhl&8BNJ}?)&wJxfA7@CYPQEYS7zathKC3O}7 zalEez7e@?8e$(N@Q_je;(8n;(vaesGG$%d_407EHv0Pg}Fw!kf50?rTJ0~g$J+b}`p|hWX+=07E z!1EMpg6lpZT>+iIP8-hKh*k@eM3icescDd?fw#?8&5`*!=M4f&`VI7-zL~jbxn3Wo zhJy*rdr~>B(-(9I>?@9vwPvzDKj}QOm?@#B!0qe_Gj}BYv)QDR0%lFmrdL-wq1=Uz zr;JLgi5c0L?u2x-2p?&a_c0oq_Cp0JAkxnuA>XjJiEUHXUj++B??3K`mjxK2QwB_V zDHv@4o;)}RdMxARW&YFB?wY{Zc(=-C;|hTa&lS-o{|D{lZ5%6T6#aN>paDG>#h8{Z zUj#QtdaRg7><~_)BhbU_8xe>TI|ec>WtYvj+KftgN<)F!G#$!0#~a3B>Av1UMA7*z zhVTA<(i#%JT(7mbAPKRFEcjHtKIb>WiY;LEj+T0d@ggjs_1!^PR9GC)v@NI(Fgu;N zy1d|AYY55Y5-;#`#r>}Mmt8=qzB+~q3I(H8d|^Nj3|V-MMNM?ZeH>}MgCt+%kbqi_ z+F`>R>l%_CGo(J~fg7Jzx)=xb{Audawk%#2qM`ey<{-A}bu9*aJ6G(47NLrXpqh;aZ+qei=YoaDlks14F-q$AkPHTdS5%p?Z`&qyv;SZ-1MqsIC7o@ zzOZLM3)Kjxq$q|<7Kx0h5uHn@_Vfn%*7JRgp&a6fQm{AK2yi6m6GR+B`i9M`L%yI? ze5wDDE$EDh{_H@u^&;df&Q<<>+7$kzpN(v5aQpB=>BA=7d5?_yDn)-nZwRD-+no@P2r6d%Bf9D!s&bfmCL`~yp=eOSr8 zak(n1X1ZPGf%x>5Chc6J*5zNzKdgzrVyqnTm(SXiCVJ|6lom9M$A>>&tHFmW;)&UU zDRO;G49)+Dl0!F*PaU%MI{n^6b&EG9%uZ`p@H0e6$@F`UspRdvwK%M}*|W4~s=Qj% zvf_aUyj+5(;UJJ}eTy_&X(fHADiREKmHqr2sP`+7r3h8t?q31Qrwc26Ay!&o?<|a= zQGf!C&}wlF&Lf`JoWPriEf@bA^_az}AMSW=BH_-Z#D!az4E_ef8|-F~k7E$@6nV*#>4#uc*N73Ge*i zXHnT}A`?C+&#=0E9|6E=w0dMMfFsYzh2q?p{tX3CSjX9Ev?3BfC+5I25Oa5dPaRZ` zHm~wm17DHC=VEUbx@LA?4$J5D$s?M`Q)(IG7aSLmudlZ4G_1k@TU9CDz$MD>MG}vlieOroaj2xTcepDjOe$xROn&m9Jlydiy+B5W?}(m{nvaSI-w$p7&P)6G9$xZ)NHt$lDGDiy-~ShajOV{F z7Z(9kZ&+AKMnbisPuwR`oE~M!=5yryUx)+h|G==36JYl)b_)OV9`k=l8E`0WJZ`*> z`~N~jZ2T8C(0?tmK}3kz=pqwA{y$`;0P25IvH!miNxA=p@$wQ!b%lqMVq1V|NrZXk+d=S7g&~^O{L=oY8`4XKYysvUVoN%tTH_9ta^p;%Y@q$x0KSI2c83X zpSnWJWLGQ8z^g`5IAnvlAN7>Sj5r@NsXST0PeW&J`KsxX6PWn)gW1Vk?g0fRfoYAI zbIWE@&4R_09YH#VGoD)D^J!*I8PPTx_hB-~Y4+6jy;K~TW{XK@^DdbO|KuH`%-{V3 zd2x_wT#qgX8GNt8*ajM zWq1Jtx*uPdERmrxCTqoTYBift^fEKD=;>3z~SKcHsRJiX!{nu<-1_C zyM7tZ6%_yX&_~az>VfrFE@Vk?yMl6RwY9lBA0_HP$V1;0P6OUuk-cINPIfgD09@!| z=OlW1xfqGje8(8OJKTITf{~K;u;%Yf!oh8fF76C7)Y5?0d#g*eIHdKA=hMsihw*%6 z*#OiN>gv#x4n6$bu>PcKC0XmQsBDW_m1jR|O9tHPa~q$hEr64Hf2)jl>o_-7jBqMa z9heTkMF99>D66yX7P>h5{Fnf51<}qszHUc*Ge+QlZ0e@i=PKi86O;4E;{U_4yKCHKA^zvosr^ar&x|-4(+y7F8ypWt2kr!RtE!};g+tS)hWci=r!G9F z|I~y5GC)#CxBFBnxX~0&XVVd6R> zYv-87tQtKtj#>GYDb3B^>P&u4 zkNfsgfxbb2>{k@^TI5z9Kgb|YbWVqJvF9mhmG=TSkv zQ&8(r-?zPCRYxD6s6&*F5ls^EL2>EU{rfE1gzjPKU(Rf?$*+{FAwjNCT zm*~3?iE7Aj7h31jh{K)q)QY+KWaAgm7|22gdL1+D`f-MTRONgRQ#6b5{${(xh-aDY zFkL`%%3$3HMIK-(>_((#m!%tEQ-VjOspa@gbEAjw8pz+e()-$73p&*Mx`iuL9^?Cz zkA27#ySv^HL5qO*o>$JUFltiVv2I7lP72sE%VL9t3P8S=r<(&5FhX@Bb{&O@IvBsN zKY$&{S6}%XTx$Dkr;dy@*__)Cu12^7;x}9yD}80v)rZlyl~#!wUYdpTMaF_mqNS|> zFT1T~Oc!i8Li|H#jqnQMIU?mu_f8d+6sqRE6dn;zg5*5i=1nXd{??rAU6g}IswIm_ z+-+{Y&)e73vkm@==BkkOSognPa4rlchRgJ|72o<`-veM+XQ zk}(w7CX0XW47#a>&RO}y*4{Y9_`)%6jwN6(~zfF(Tvjsg6nRRb&1NMG2Rwg2QR$5KKyBNA*(D? z1XgGQ>7X%SMImQFU-;Cmw4sn{9~Cq0DC~^M<8$S+8uv+l@Pow5fU0OK+!3{D+=V^F z{V`d%5 z{6oxfVu002cAU=w-sdk0#&m8g9g67g_`Y@V6=T7w!dYGJ3#Gw|I%n*S(J z>wBN$gg+Wy{lc`dPisQ5U9Mj%-6*-u+QRsp+T4+$`ojbZoYTJAX`O|7uN|8Kq-$b+ z@<2Fh8)f=H7gGfJmEa|8L*q%Cf?dikJajy0I_x1cJ7t(5t2N^ZOy2nBc^92n)yBRp zyfyyGQy&Eq;Y_hxV3$E5y_V7XeaY0Eza}%89u!83qL{z{*^0A-A-wZ}$(Lo7(NF+V z7bBE_lo5+s{ltn;>Qm`$=h}K<_FQzn8^is?a&^+3+-%;geHcBGkfy0#DCKlRH(Rt> zPz+Djdb7vY)Em=@A+?s+ zcJSTj(@5@b)<*Wh5!uM$P@}a|>|9Bf-^+HK=t&UsFu(Mds@h%rP`6jMl;7RARVxn@ zixocWtZoke>G-n3(hQ18EvG@@_AXOoPj&p@M?kb+wBe(D%~D#3rz;<_^I0RBQi&CM=dU9o+0>iE&nILfCB_Hs3Mub$6cF zIv4IVRyt=(q0&ta^Wra;8rIA@H2yN-!tUAYF~L6ILh*_Q)3V}h8bKU6Nw}cw6_jR8 zFXNfiV-$E69SLA5>2}fn z=NRoiJtg7Ze?|Y~LXEri72)WY3p`RcS4+Ay!gNY1?v~9CwLuMQy<^vNlKZUsX+J!EA}bzz9h3~_!>5v)`+hNnZTxioV4^utt28y5TAKZ| zB_q_FY86GVmBsZE)|iHW&ChW9*A*#8CEr-Y84#oi1z6G#C zA2{Q1Kjsp(kJUUsqjQAjp!SN87hpOJJYY;_lVliMSbUL#!7CZKvc15w<9|d;Uxn|H zo)f4CPFw{{ZhiJc(#UgW_{D}$adVlds1S^&b`g8n)@ zjx~%p{H8alDoHg`t?)b5$#>%5@mi6iwa79=88}fl9tbNv=k!n~0~~H;roR$KqE9{mxE{zaE&PKl=Bj zlYxy8d_4IbcbQo6d@_72vH|;0dG4boV`0oIGRfI(GPSQ}@G^Qc_$|48i<}D?LZ9FT zrtI5azp^4ChT9k!S*v&|Pw+L{AyFf7h0eQ*luj^?#UG7(%5>%&g;K#EVra<9NE{w; zrfHP3D5#_Z*|usX)BN7lPcLY0aO?_WXJ7p!Ddb8%bg9{fQ(U<=Z<(JF7>omdc2r2v zs4K#2u`h*i5NF$sV$umz&Sn;?;>h>BJzkF66C3+xJgXIRE~}#hZ_`-0(&<^NZK|&4 z)b?xKr)p<0*|oTRZrJZmlJ5-E=L9^1$bim1*M#voqH2r_$7Q!q%%>@BBPD>ev z;Pi=NCqMbJag)g0)B`14GOA}aFybW$&2gIOQp5ewNEz{;(G$Z0+G+p7I~VzjDb*b6 zy?$Y)med|1=;{LYPIIWwRWx{zeqgXQ-CN{ocxkKS!Nr$6n4 zN@3U#tK+K*$XlB!v0qd91MmJqzPGj6I)T3|4-hIS%}8YC&&oRXV|10@QO~HdLt(L$ zQgiwJOODiP3d-whGc1GL%YkhhzK>$=GRg1nly+oaFe!#;LOKRwOv-NJ4R`kaD9Hve z=UKXSf&_TbGeaev9*;O~#K6pr*rw?O*g)N+9&96ep!-5~ma6xp38pp>-j@mM<7%C_ z()*K#;{4K@T^DzUwwLa2oZ1$|Bjh0L*1me87%f%YVVhzHVatkC}lOandIepNFF5o=zE86f*emX-Kx7dFY(0KzhOh)I{pM=&P| z_?7o5@YcloXnsQNUagnCMO!mLF{Z&F6I{B6B5irKLyhP*alr9k)gGh9`%XyGf8w#0XNff%bDCLBxGE?@ zXw>M+M2d{)>>5s(DVgt<9`20fjKYIA^gQ{6s-3`&!AQ=jOl)7op)H6t0oa>9cFiEF z^Z~EmPpe3KZWNALD4M(5N47YwkmRn&AUNcFR=?~ZND;i_h3wAMM$_sBp%r(V&USvTrJDXp_X0y z_4WKw>9^)zhOe5-{?;NVtaPh?zwqe!Sfg=CsEJ91UGOZb`Jkg4kqtVf)^Rq>L}ozr zhRo)qVlJAkc&1JjWI#wH!Vkt$TFwkdWQuy#8l3^S_rB#JYLSf$jIQs(Y zyYE_)=wY2}LZ4>UeD`(bUv*3om}b$07tu-Nl;KDs5VBhPR)jKL4}0krCbk?6>iE?( zdxW;I_dFFsV=R7QOS-4@#9$Ka`g-@VO$X#ycRo*}lSqO|dpWJZr^goDX9CRWl-(l! zwNR=IYErr#u8KL$dvHWVdKq)RVD2K7u20w7T!lmn{{XO{>dH%2*#;dB$q^C25fNb` z66cq~o2~M9=)8si9ZU=3NFEDAPBK3Yv(K%5Xvzl3*J~Xj0>&}NGCiSyq_Vt$uRJO8 zk%(M<`a*Z5+JKJZ9KN|@8RHCHeVF@|t283W-!{e>-o1v1|HipXgLpCE|9UaH37X8y zSPfvkn6^QwE9%|JU08JR4jOBCrojK{i_rJj6cz4>=yjURf#Up;`4^64Y`E`jnz=LS zQ-4uKbgqi?)V85Ff{42?CqQ9wyi+ZEA0|FMqx6{5R9Y4j@O8BKE03bW70t6HZBt81 zXJoht&`5dqQ>p4~R$x*Qb4S5B5pLjS;=>c~rcDn>)J0r@H!G?WSTnuDi8aN*-7)mN zX)HB)@so+tE_$RLj(Slzj)=TqtC>f@EmEXacTAENetjx4#V^IeD$3Z62O#2}zrPJm zkxhQ#_^Zdx#t(H}Fz{thGHDl8-!{4)2}(eO{yReZ;7!rXpUF2@`xXt2$?-29e~i17 z)QLn0nxN5NFu#IELa$TNv?89JZ6PI`;G6N3(hyrzXT*9k8p#Kx*$51Gj0V5q7P*o* z49a1v75-e3;Io5++ba54?JyG0mB5Y`tY?eC3zUaRl!z`FL(e4^5k^YVfzWjoUeUr! z{O(*=a;MDQH)m25%D<>N9Q zk1$x$!*7D63ys<%A|zd9r0~o6$Sho5GjX{z1~ZFdnMAGDr9rX(!@dN^Mt7f%8U^Q{ z>!K%EQC+{Drlj(9QWUDZMUr4WXPZf7iN!$~Nk~9z=-|27L!&;>B6tp7iTFxr0d!H8 z6_%7uvE0*8xI@Wj1*2WxSb{%JC0)h*#Z{ahpGH#6M)z@Gq^|+jJ{LVg;WnSt<7HL` zORQ%5i7&2^+%kmI@<}`=08mQ)0Zv!oBL22s$n<5)bdv#hs%)m27jexwQWz#)lNS?eNCyB9)zc?E}rWe=A1waoc?b4stA{4otrgIS{MjQh%erW8mnpuVE~Q7&!VbH(M~0(Px^Bk(P?o zwpu8J3|^MNGWs>u=r&zC0E5bx{wgax8na4pVsBdQM1`T@J<1ksO&T!bftm0IW%(0Q znDCORz6q_17X0BT41iSAs3Z>s$cAOe%}YHasVL6;)1a1$yaEd_4fJ%s0xFraQbEWrL+8UJR^3SRb+t>5up?*MgW@{^rWX(+S4tU`D*oG`F}!+`dBru;g2; zasU>&m!WgU0nj3?$S7TOGR4&t;wI^e*D_t=I66e}N_!!rl$(^T89*k-xi_hacX-c2 zA!td&d}|1~tUHN*fu+suSITs1$*_7t+ItAWLV*^%&xD_Dig1%E`4JBH1T3Z!-#+=; zLyak4NI?;^@PN)IyqH2}kAat8lP0yHzw8xhjheoBjN$Q{(Y{wX3=sDVFW>Oi^S%@A z>HYJB(T}SU?_IRYxx>U=gd`q2SynST)<=fA%-A&;a$f^9SS(YYqLJYLGp{eMa}t`| zW25?ndAasm*XJ!WR|;c!18pC9vzTF*oaUG|Ty~>}dNv)?6CK1Zgs)`wu0mpoY*VIB zP;gI5-E6_~&1dh5#gW~r@Y=wGS2_PRdSl0G1A4_9HE|W>eBb$vxq# zG0d+VyUpO9hH0?EgKOuzonPpfYY(EsE&Rhy0MmE;nzqmgZ&=*-(XT$bvtCzPg|u%o z1K;P1Rl|c+zbi6(DRj)+rN7{M3j;-9jMy+JXF&IUUoHe7BfgR6aeYdirVs8hm2uB; z-A>jK5hmrGft;4@+bm2osX-FEsc8`~haBi+CP#Ykk7MzD0I8;{hn7IyhXD&O9s6xm zk_d~e7-COc&KW*~QI-5U6Lh{D5PbiakR?m+-Ke;!p&JzTR;~~J!$eW_iV zIEV(M?siUj4L(2!j@A(ygOM<{E+nvomwH#vc#zu?Q&PQnwyP|z*g-vrjB7ssrdeg@ zZAxOf4rXE$&khz9Wqj^Mf_ND+JU>vb;kOr>J%Cr~i(uSHiiA)lh?(W#AiN-{xOJkK zf=b+#x(5_YMYJ*m9nAHdkW|>?MW$WVSD;<%KD(;%M#-N>q*@#sGfBVkQu#AC_OsJ4 zMDxVw;j1BMCFxccu0pr^*mqml+3gQ!hD}A4#j+Hg*<7+~vdKV}n*3r8zrdH4CBbMK z{jDp-O(qPI=_`)if(FQ*-znD-Eqn%(XI3aZtxDq_gYc;pqvL+Ib$R)teyldp-Ch?)HkuBm%G+oyV2k-y`@@K6cQ?6D?64BaUW4YdxLn zMSR!%z|R*XWnG}olP8%yW{@AVMLejcW}ctX^^tzz5U7p~;cIT0&l6L}BS1w>F7C>l zl+!0JZV0hahaBTlkWM|AsQxvML7OoYclNMI7O8K znj)A&a2zIDR!AX32~!IK{WEvL2#20cLAmaG!E3)?Up(h$96tT3jDE>j0P`?3gwf*i zX(92rN7V15%L}M_aLVLDIA4-^`6J)m+(p>eN*M4dbeE>~aNHcb(`06e{x?}%|V|xMJ#td8gtpfWeEnLnm zjU_%lGAmvf*N<0N+yobEEK{sZ`stuW zf;mgL@nMO|rSoU-Qb5)ZyMeE6`>}2Eo$?Qv@p^Jd)iKa6rCkIqggss(AZpP$gVCv* zLMaiVu3eC0lvJHxXeiBGZ?rs~lnvfwUfJ~#|C420yCS?-WzlxazF*elLqwLWk5=XN(!>fxoKdJ*fa^%nN-s<^vZz^wpMH- z+Lj(d<$R=LloG4sgY=6F-X`Z0RSEFL68(48)r?T6;VG`HE}XXfxq>lN)9`y2vD<*>g3i7cgmj`DnHLW!fl^uoc4&y{gz$BTq>x>c!NVE zt6oN-twT#WQACD)Gi4-g^#>9e9*_Schh>P$-sUKsWvw@~Jda0$Ip}Zn3JtN|5|gSb zZ^*yKU^NGk9e}^Wzg}*u)ZWa=OPN%Gq5bmFgn=%``^?*Ea}S$`oXl*uMmi^8T{3i# z`&w#xjI>e)4}oQ7K`|LI0w_M!fWdO392w@$zjmEF=?!|<<+;Nhao%Mxc#f9gW-k&} z#hIs58K-7kO8q|5##oD5P&XxuLF(xxe&49}Cv(xp!#*K}zQB}IW#0^gw)rt%$XwIV z_k0Z2lDb|~rSlOs3OPkPb$nzhi(p+_fRkI7LTL{JjI~qC!_Zq*1)l2Qv*B za5ipY(raCa=wWYuPgzWjKZBr3AJF##TaEVGUbDZ#mu}=L5hL)=XmRE}b%uh54tF9h z5q~-!W_Hhh`cATZMJ`sY>om>y>#~c;WkxIA1BWM0ciJ^uRuQ=Gx^8r$LfMtwGpEKY zJEmeki$A_rAk&lZ&_0_)=VPZ*CqI`~{xHQU-0I7^Cr)*bk%OMpyTvXK#M~QkL$c4M?1)3Gu(kHY`!3VShV3jon9Qv(5+9`LYU;zD>l4&J{@d^r3#NhITo4! z@E=o!^UOzSydW5ry}aDI{DNTYpIEZFD0HW4QO*yGyy8pHXmls{*Cde86o95c+1+tL z-Q~(Gy^cR);o~SOVENhgXySXS%tX6Pb`E2P(su{^d;CqE1bTEL(J5zLwdn6Ae(eZ_ ztPCJ6i_*+81tV7H)RdMlTxF83zvFyc4d0`RC4{If)IEwzL3Q1kOg?y91s>$MNXEt0 zmiB)L)+wv-#A<1Wa16Y~i+31iV|ST23{0F2(nvFFZd@*-q>BP@nH*Qy_o0nCl07`jHc+;J#I4|9aE|(|=cfSa{?Jc5iV$JCq%d zR>v9h`P1Fw8i;RlZ8`}KoXE^jnF===*2EtA$2a}kU00|JZ6Q>L!&p4b} zmRo(+RnJnyX(+Ie1!Cr>o?T35v^??=84Y_VIJg2!vyl7)Kt!=fI+YR2!L@L`iYUDa z(j~-c$dBXEOsXlE_35I~V2tU#X*>7f1tI;-C)tCR!k&*WgViKC4F9CmQ0b_^5IwvU zXHop_LxKOYcZfvZaLs=N!-=szuVxe+cFlIgdG0O?Q)L9w!T}rM=J{;wG8Q4F@Nz+4R)y zIH3)ML!gl;#nv5vyP5W5HANx%u9!!fLZtk63qJ>4Sa5TSK}}=)Sl)4z48m&LuU1a= zD4{D9UGp-q%ElNC8LuqmKI{cA@ZFm^&t&MDdS?2`TjWyAfA_faV&pk_`qkGa@bjPg zth(KHH!NzPDD57No-O=!Y6V+D(KmJIsnoVU7gm{vX*-{UEHPZkhh`u&G+#qoR0NZwcd4F!hTWOoW7`-c!b^7!I~ zLjqO-MW8~Bo>68JCxYxj&p4P*LhkLwnVnJS?N&SMofEx_XUa=ZaXM`L@U5Qu2Iq#| z$plVhkdIt0F!$s~iQi9+Cwt$I`BO*w4y2E;fmX!3(Xk}5+&ZPS!}Os=@!KIlo7D3?|&W{=Ct!GGJ4fnV)hoenG#=Pd(LIHC8M`v4DSE`tQZvFCUqBbY=_vm8zvGoL5Ss&RfA6!nn_ z`jhzSUw!?}=zKD!kvBPeJ%|yzKUXRNe|U|SuIbmQrge?UBP>6xzNALzUm5nbMJ94% zi_tXri7>SemAkp2Y42s__Tj>&9o&gq-aSOeXYB7Xjr)S#1iSt0gmux5cj6s3F<9gQ z0o~`k?7(EEQ(0OcV;i{P%8?x*s()JS-4i@t!Q;{+P#RRKl4+9Fz=%8sU?6QdLJY$U zMlwD5d$rk!MO1@oiZ8nW9F$DDrO9}{+)Vb=Ri{{Yyc+<&R+5dhMRhWQN^d^86LJZX zIZvJJ-Tl)lS(WbN5bKq$KggEHH*U{mbePQ}C$I(6_J*sO)%EOM%5u>_WciQ&-$_kc z`&*ViYMpXp2R4C|A)E`81|(@z8yTRg6p$^Htb2aLj8+IEUl=Ps+Hbn*USc4gZj;K9 z7No0X%MPT>q{L&7mV2y=IC4yrPuDGZZBmUaF=tT0=OW(P#by1hdg$u{FN)}{$$uz5 z>RDEDVUCrNwHj`e?`PI#Xse8@9fWjRZ3hB?UJDM_G|F(5f$dS|nWAI-@LjS@ulmm^ zx-8{acUUANoexOl9BT*nRdc89kalB#p2D zbRugIu{-d53>K?mm5RcN$;;}`F|Hv1o$m)`{Hd$M9l|v|-S#^Nn2_k!zeVuZwoCct zwBf?5-jnG4GuV^D3&~mR+XAM;jt~3uTzYnPRfUZCe%hRf4C6;$i4cVO;Hw}w!*1fa zodR!AshVj{HF2!=6!6=Eb%o@e3ZK3tgAx(C@}I?Z7xa$GJ~+(@f2|D?Tj?1@o~hrF zWOGguM+`h8hN0A(5P`s{Qzl2orxkFfXcmZ>DLuvVdel$fElX{TY1>gY4@c`$HG5aR zZYd~fp;cTkBPF;R^kN*Y(+x9KhIiev^!Pt(XQdXn6Ng`}Q`2wrY*-Q=G!fIWdm0GB z-wrjI9);l71)xV)HM*$MPq>{+-~xJVb-0b#X!+B95Xp%*n!lU4n~c;J;SY|lBwas- z$XYD|{w9~TMbk&~m;n-*W6sNpzSQBimeBQ)S5gf+8Z(7tEa0j7UczqP#}#sk6)8O= zBBc7v0)+^l>^h%q2VOrHgH&=0cUnk@TF}GQSJjnCMVfzbMPt3W7$h^XRy-ea^u}dQ ze|MqW%W~_e4s$cV(h^D4|2WrMU?*gK8EZs7v?3GNOwJs4!BAX1TJ{GwWOk?mu(5QR z43gr)=F@-=s-;*W172eU&Ox*b{_XP5o3y0QO-3<f+7J^Wq&W|X)+R3GGZL9iX9{;X-<*h zoplktrKvEkhvj~sI!#78!+}iQNlpFquOp>Bu|>3u1lQ!{H!oQ@*_-JARc49{C{csd zIXd?;2U$y75D^(Gm+v&1a7!9FnMS<47Ax;m);A0NVV;U>3oGQB@`zw)*W)OX(%5 zcmJ*wc76&vDbD`3)C9<$=O#}fT_nLcvLSe{Jm4f=);OWP>dSPbDCp2qQc*b;!0S9- zgoM8TN>9=#`>Bk=K8epDe>p~vWZW|*SM&SAa-<35D_O6ghK&8dyz*jFU2q{b5Jy;o zkm|{BagJQ3!8n@=7>@x9V#g<;p&a!odezi?!KW;8Dg1dbBCQy7Li$;I9bbp1jOY}1& z9I)=ihCpo!%*vujf%SW}r+bC5JcfZWeyA{mFs3>$MZ7Y|nWOMG6%h8^u7JNi`l5b^ zbd7^bqsV>e-Wi$Vic5O&!)LOmK^?{I{q1+?US6SoE{=mD6al!Fj4NoMdJ%P?0s-AM~>hxT35=-W<9XB6?lafjq z;5mUW$*-0S=PlO-jFc4o%w;QeLN)|t4=m5J(c5j48Af{)gF=q=Ws*?Z&Qt!Cf!>== z4on_P7JoePpnRv3$Bh_zdc%jdtZi0q^p#X$Ph=Dn;>CU1Mh!&vB8dE(``&6eV)E~_ zR00=LgDcvV)^q2s1)r?Jo)YHVnWAXx0@2|}n7#~)S^_*LlZ zIVk)j^{vLb6xr@HO7K=EDH;4;kmnkPptRvP!9-?^vO(l5e_XGB9iR^5kLGOU^9Da%83 zdCFR4mqkU1$_mst;TG*FHIV*a!!}lYNxLVf?Xr0!gI}OXZe3v#E)*wKkxi1d{|rDf zh2g~2u2vP1?S8VpEHQ5J&i!6MPu?y@q9fJB4I&{Ywal5LSk3qDJVO&Bh$E5S86rt_4Q!)(qldH|Jza>7i zdh(C$;rK|sCm3pmM=2&BJ>{0AeRb)Xi3aBD@5TLXVlT=z|adF7-CQHda zM{{v5p+o+B;OzyOoO4gqB&*JC0*wRkxt%)*P|2M^-O-a)(WTg+#D3T`OZ3~c&Oso> z(8})Mj>0Xcm1F6(qr6%F-}*SD=SrkFibJ zR9=TaL1xi~1J(A$+)CT)2hb=7yf(v$$xJ-&LJ}N;g*oDM-?pMgx3m-eJqhGQ3ORgE z6lo6HLh@@^L@WOxWQLq^i(Ry}ZLhIHYN$J&FpA?k<@EXA$?z>MEYEVl(OZ&_GPcdw zbGqn17L6#%?obO^eVK|{S>0>5`Hl!y(oFj{p9Ao7s z2;w!B;a7sKY@-ynqpxV5UMRY=$1&Y{DCH(wmMqStdr!k`{M<>2bFX5xJ&FADzOqa+1Rq$znn0y2^s%EJnPG>!f+m%w%6xWITyrRLA1dF z6=ldgk3aUBY1fnGPC1wwk@Eb28}w&fCRmXowe@`YIUPN7MpG@bt$d+tFAnI1;Z9}| z=31cLp=J|#BOu-beoVpYT4&8H&7|_aY?Vy+_(h|Xm8Y+(OR z;MMl})upnQc4t@+`=R{q3w8iIjEdPkB}|4)ez}f?C%0E&37{{PlI2%CjwYDLZn8-A z0(4l#$CQqph(SsPtY#~xtRyFo7=s)dpG$`R7vy^4tpVDX{}!56_NL26p@?=l##?+U z(NxOXvUJsT!6{)_21Jem8D@EdDV0B#R2VQObscA#SR+O?CN>u{R>A;`UrwYbh38^* zq?^+)r7VjsrtN>jgkUH8`>aSoo%07vCvu0&4YCwAq7@&ODtnmVSiS8f6zV{)W$A@h z#!FH*PAAO~`ZfU>wv|Orr=%)~*yezZpUa(PIPWYHdIu@lw(^ITjMmarzAXF5Ca-c$ zbl3BbR>0;3qX(dnQyJJWKgxE8UGjZ65f;UU&Gt*zUf&`Y(y3g<%cm$%MTCd42?y3; z$#j7wW1HhccA6s0Z?a`Ige|n*-_{taha6gT^=0ycOBiR|xy6Nsu;6w9K+pU{v*h>M zwCinv1$9QtXpVYtz6b}U@B)NhJr#PHXt)9Ks0gAkzwo>_esy!hPw$kc_}9;*oE3KBWL*YTYk?AZ)i`s3()nOYo5GMEoQWx zCY%T88ifbf@PF=))^7A|T`*<^23wn(SBk^EER9LM)!P{}w-d`3(P1~!o`*UlGb|>u zF5;+eWN0beVw{R_mn~e(9pZlWojcn_+K;fF7X5tjm*lR>(mhc4B4yd3O;pTe;KY9aDyB=Aw>b~inK9erU2&1HjYls*O}$8XLm7K4 zrFNzU{MHTKk7m^z%b${))ztgKLKAi2iRDElUX2)HI1fWywfU9O{xW_kgZP>vSJ(Dh~f55zAenPDqRoB|m(eOt+0hwSY_xBnVE zfAG1d6a3YcF05o^IL5Zh(z6!d{PeGv7=dMimEdoPWwwW@@)f8L@P-&$&1u_-egT)W zNs6tK8HbzfkEXWA=$a_EoTU6FpCH4W9+}(Bh&nlfqM;D;RP zNRF}PC@@ipkx{sx;hRDcia~T?HFe3uGtJeKy8N_k_N>nSYxvBnHg+3?_KNhaZYC7*2LvZYWx zT__#((tC^xXJTD{^?A`R@|+`;_hl(YMtj-c!%vajW68J+d8fo95AoD-?WJ!QQUkW* z?A!K`St#|2xc7EyfaeUybPtjYBU_3l1$9!U$&-T65YRt{*|2TgUURnTW;5IdTS(Vt zwLOn|_`9FP)x4g93-%0HYQ9{vP=_03Y>nyx7qIMX-5_m~X{;P_bLcU3Xaw9Sl}0uQ z9u<$fg*x~~sUD%MBd;f;kb+UF-jv01`eSD=P%<*hu>UL>t`lj*g$SZ~BOf(av|Fg+ zD=O=Iq)pFH9x+9qm~V<%Df%!#;nM3Yf#Q6UlmPtz>P3V-OQ!rJ(_40svP6ifD)ZM9 zn%VhRHS+3$NU;;uZ>7Cdr0gQE=MWzJE*v{RV1TH~vL8Z@KpQmPu%(3AO+@^g@DBPl z9m)B?L}W0VCuK!juanekHPXmhIAs(~`isgj-RWK@G>6W2=F#I#B%kD%5@l#p$qVK>gr{0nyH}EGc7e0V7#{ z6yI+#0?{)+6*98*N~`Kae75eugl_Sn6NzEcUYbgN3o^rh3P|i&`Kwj+Y9r#W6Dr)g zd4?6Rw~7=E#R0+d*{p#(4#z@8y|MDHe)S{8J585kY#99~}aSbOZvq|L^zAJ@?ML z^EP?=*q(DgpLh4})H7#Jo0&5+%%#tbfcZ1dJYiIVAt!SwZ6JrAGapSvt-)NJ1mZ8F z+;@o$+=-02OdQO^Umr9_B=(gQm7lSfm5H*|z=@>JjmZu?kBHXZOKJoPTsZt-O2QdV zQt;DCHhVAw+?1LfPy9upQc16$d zpX`xNIf1|pN0_Gqd|(9KES(|=-y}A)Q&3=FNBtdOipK&O76)lg5zJpjxJe*cSE62@ z3zu$S;T9FjRc@Sl9IX9yEa~w3Y`3!c{@SopV3a4%kN}2WvXMA=W9HgFud=R>$PT=z ziR+_dl|(UX52tIg17#r^P(D}9o-{N5XfE2=xqWyjyP6l<(c0TcAzYcV_g3<j-D)(^Ai-p2iV#zp;N?nj$36Ymc_Q&jIEspo#N=g zL;pFhMHXa~b_zK~C~6-T_!Ut?&(Ezdp0_*#z)P;*vcS2C5(PfucwrHG3n%z1DQ}S!sx4Yv2%EtW3Iol<0Y4(L>^2!)N?pr*Ivfd zVR{P{4QXzrChLib#icQK2Qg1mgbqWe02?AIS7Eyj5jY0~`wVUegP}i}+Svp03y!L&ANWA|-jR2|EhcYLeS_q>AiCG0CIbvYSwz z&-B+KYiumHuW~=E^w(+>@sg9%ES$(_Ko1srnRjw>ukWvv*dEIY&i&TSg*d2Wj=?Dz~gYmLNX)oiSqOn93%B`M6?~j;xb%5c% zBpBf%*ngIA&qFZuSr7$^Cc;ew@FZl`5c2{dt`fky9PWPV&NY^uNY?M5_p`X0TRYYiaWRIAvvuyODJr+N(h>-z1_$xV_2(hf*j~d{HJIjwVZi_mb;y zxIs?6V(8$1_Y500g{-O6ySHKki;_vQE;)siOQ*(1RYu`1zbWn(zIcz%J#QXV{-??) zY!k*!X# z{Zb~$X>C?tjR)j=p!InlMSI`k@FXHZ;glqK8S~+j<^%m?0q!`@kk!vEP z@tDsN3wr6^Nz9877{#()VGB6>LYKicmDqm6vKrX})kH6<+{g>$LD6*MJ6EH=ro`Te zrE+nc4svig@J}yF?7y+pziqR&?D2VAv2h1-37NVJZ<`=y4~K!D5dqs!>!l_5KRzM8 zN3fcM@XA7X$(e}CE7|wE0HcX=%GqiXKh_IbWi3uk;)4K&NYORO#!K@xX1!tMi`O1f zhBIO?CR&*Ta5Z4=&_UJ`lx#0?0Iq}L=TM;Vm)tkMEWsRq_2}~VzZ_YHi=!uO9&h3n zVT-iDixg1_Ib~rqDjOjDS1D>PQEg~k!h4r^IO_e%%imCLT*R!TC@RIDfi{GRSc`vD zRHA@j6mTvH|3@43!T|isrZoUzuCm9G@Ra~&#G*}oIJq=mczD`656(SWdpVEi9gkdv zc?e+SbxFKJh<`lw9s}wuO($2v~Y%(_}(`H))z>5ta@wjucIXgM| zAq9RJ_QwO18#~^3OcSj0rg;_kml0YbD<|AgPA7xq(XS%sPh+Krk#@Khg8Ngb^`x2= zSTYzETdc6m!YQ4MAaOERk{ktqTDlE*&Lc5O~Nlr(g=;QCi)>i?Fojvyj=(;(+6GaRl!^j%Cua{iD8 z?v228fov2}{S;AmkPdlLOXa zg5HJLiqlw!681LAUk4KS$&^tXAaE6CeQomYDc$rt! zPz?$Ww3&j9mM7wz@ZE+hc1-S8s#+c3%& z2>>7IDao6}2Dc_qcJx(5{h9@SLZ09h94~0+<=P^M;1G=I3Iaow%|kZkqt4}XAU}w< z5`7QoBej8&%?L(KM|qBG+4Mc2XPWf#FpxrNZNkE-J}^?5xBH1|`+_<{iq@B4o&WS9 z+!`u>v;STuYgI(y>P) zEpwkq2qvP>OPJl13nP|S;UER>!S~&_&L<-dZncuCW` z6zKbaD_J~(;a*@~WK=V!QKnGJD&|3A-l%BiG|CB}C|rr#AosQ(0B{QuTlwo`1tWz9 zf4BkVlqc41!V+!q;l5E?vEQkP>IVWTk?nZgc>{2mv-xviy@d7#w?s}*)*DS69!sNw zv){a@cn{6>E8-9bjKNm~8z_03S4JB-FpQ$2+8(qdy!=xZxD{V2=Rraq47qD|OXj6_ zBu#xB^yhQEPU>uCg(~NH__92U5;&Mxel*G}Ew0q44+-4I93b%SMegy*?*Edk%aS$e z=RmRYV1){Ji@nTa+c+n6I3N^?`X5c=C5l}s1n^(VjivZy-Y0lIS6FuU`RGI zM4pGyE)hiI*i|?>Ah+kUtItbB`auMf`@iTUKP85czK678Pf*pCR)9aaBSRL{xLP4i zzL+?|5%IZjKzY7XMv!_Pseoj|3pgok^RncNo$|eJ<}3dgz)OKc*`(+BQn``2$YSFa z#wmoC$D0uNY@G5u;Bm{aUHjZIq)eZ-xzJxi*gTZLVYq;kYQV?b(ft_&no!i$=qlHn z5NfW9og40FY#bdKcsS2rlmGe}q~oq_+!DU?wgpbC-L@AX1~vZY&Eh}YJz#YJWR2P9YO!(erfp#>|s?jX6}fvnkR zbRq%E9w9IKm{Id((-9K=AhXga<5-XWhtjScIkJ^_`bP06MnWrP-ogwLb^Dkzol>$mRPCz^l<8B^>jKJB9vq21xW5XPBr2`S5|!q!(2-O>GVDhLURQjUuCR}%u?6HW+EH7n5%^!y*%>U5CeQsBu29}h z(R&?3n+emq{~KTa`B8_Kuf?}Wn<4lpy^j}@WRJ8IR|!l>wkBEW!wDmy-tAe}4xt1< z5-$O6XNFq|Jhzkb*9&oi{S}$v=gdm};vS!?fDPeF+}NFzrm-HyMqh0gyk1@MET@8X zu)i&t}OBD(`--iNY-^0UL{WI(y3-&U_*q%Ma*>sUUEPLeS4Nu{|zZs zURvNHnrmOey$|V>5@6!sqsz-7;im-TYBu?|8J!};Qwl!TjD$lL^%S)=lH|_r$Ea%Dy~JSMn=W1;cJ zJ`N58cUH2I71dv(YGERJNX`JENSTL%#Y^+B-8`R_QxYU{sqDTC5%+v>CT<%%L#F#A z3XUAjZUA5OjvRO7>ACfF3j6d1zR0kQLJr@q-?L=+FuK_KI-3wI!v17`@wW1%kxO)n zR4A`mSPrU**e1nQnvruG^dg{=5)#b|RCDfVCDA-e_i;3e2t@ckKzeC1G0)3i=s=lS zut$@y&m|%`D5lf(j<9qE__f%iCCK!e>uwbeQWx$Uos#eXF&^r5y^?4S1|5^RPEREz zqnni@I%Hy@#k|!_d33mqJFRK`hcT0td!z3@!spmYG9WCOJec? z{E|QKyOiwyxJQ9+W|Q7WwIyN#a~#5zB49bYTiOD3C3`F|u6)hOhl^MiQHk~blu@Ft zlx@-iHzf_{dr6`aa4{(or}#9>xd)WJY^cD093j|=bKA_0_cq}bgitAP;GYPkLDZ<>w)dWEp(Wm%&MrlNPc8i8Lh*RQA|)CTygHaUaYU3S^N zj3LYm~eH0pWz8+UX4 zQNj2r%pUhA_Gk0*KQ8m?Zmu8{WUrzZ#T18uyegTlIRA$zq2Bk1jl*b|DSBU12x<1X z6DT3nnIT(2c!T(o>2@l_KmNE>&f2vMv!Ba&E`4x1;%Wt+&UU2-SSsD!>8-nu0xxT$ z*#G1*%Nzyxm0}-h%%!KoM?3zW_J z04|>geGhAxIMyf2r2+ZhSw!KuId7%l_>N|`Eh|);?GmNl-Pyrhy_73Ex!Qg^5GCwz z8_>cFf~O>$2IhIeR2PM3@bDkW9=b=+dBQ1qJSR(7VC5W<#PhOr9{9##pa_S51HuyS zf%Hda(dB)Lij-A=`Q#m9LvvjV2t_QvO>*CaVw)nFKQ74(V-?#`k-e{SPAbJqko5#P z`~~7zA5OxHNYQ}YfC1qJvse<(iO;P@&Xupx_sztY3L@r`cD+EkAi1dVm}@oEB@$pD z$sPN$^RYS7d1CvVIete7X*SY_6HC}0y?@IJ)UF(*y>dCSyVF>pUSCMxCwYdkE01D< zSOJ$SJF}g4X$t(I<3GItndLc1IbpaM*`<#-vM<(4&gCM00Um z*Z~d%iQ5U$*VcdYcIqLX9x{#!W2Oh4#V-!&ZOxt$hADnlmpf60imdXUjy@8 zZN~}v*jz(3`Xay;+4}+4!eykZ1>ULV+)V`IRD#JZxtsu945~!f{8(cCK)$f;y?m70 zpCsxhxI8e$xAfOx=*7}b}tjDqn7IK>TT<4B_Hv+pZ5e#~|~ z0_V&USIy>rdYSU7XtJ>R)udioMc@62L&{V+;61N6J|>QE+XYrsaf>p4fYvk7!j#w; zjZVQ?+(PIB~}@;$w0Sxg$Ryv#%cwp#W1tx zpB>nw{w$T=5j>)62LLbVy_G8auPl|@f4R5fI!LyHsMG?lQOPF7EYlq(OE}ZcZG(#`r(6ksEImqUrRd^sAAHLo3(l)a z(ny{NA0h08*{;jjE`5#!4hz~r1<_x$$(lBw{A?(e4$rjjZC`$9!PU!2oQoq4dczM> z;Qv5D)J)8C5`(LOpK4d`Muo^bkuzfngBJfBLJi7hBs#o3LiuZJ2H(uy!vdF_AH``F zA<@T~`De5Nh$MO;<01pUBylnk@i5~fvN@5o!4bclFif!mI`q%+#NnOH$|ZGj3XLV1 z#hS_{jpHkP1oHwNlxSEjrV6p; zQM#)olTmq;svAt7`DYc13>;d}ERN7rIdZ-+BYy@wCoueF!;2g_!oz;$*^R*A%nVoJ zA{UQ+UJ8g3^FZRKkqS6xL&v0Ff#U@Df03r&K^JAKOOq6gCKh-zU!28yW@%TxMKSMd zmh5_GnTvGVHId zwZFzQLvNxt0^=NJm_hU^%}-QB32^dKn#U1}#fRfB?v*+rCbnNLIHLUN&=KWp(Na;q z`(|vexiZCZbtY2umK?H!p!Zag%@Eo?93XIB^dyysM+5le1`-JDC^=u0OGqMZayYql zh5JSpLy*w?3K!dP7mvaT%0{Eh za0Iv&3#7E6Q_Y)--n>96s0)@_pnPnMD9$YtS&xH61yN^`NmgN#IVDE1a=uD{gV?%0 z{Ew5z+=-kWtG*y1z&T7DwH;hur0>Y`Ou(EQoJRm{nW&VjF*4``WlvnzN2W|y(7LWB zMV(GL&ubs3WeA%Eso(?>mHOnrheL3SVo}0=+7RGV@>65YfY5m`9 zqWA2N0AW6?c#dH5L>$VF%a&aMZL@yy$Itup$XW9||q`UV#~2C4uPU`yBs$mZ=+! z!YtMlSzcTqQ#UHvpl$+FL^5#Jk!C8HQHbq#1Y-qiSViv-6j6H+jC*^59k?=rnf<1H zHA>1_iBv#I)BBMRn`X{PfmgWvf;Cw$b7;(?co+r8vGB(CWOF@x@oQ2X{fIAK{kZb( z2$9ifBk_zQwJL}0LN*%`LL74F_hoYlgaC^2&FkVRVO*6adSyWOIdJH(MXxzegD*kx z{4|!9XQ{zc9MH{zdZ~O#y)VVot5(XcN;W5;weD*qbezt?+4@bx6}?k{S;xblO1GS~ zU77A~2P=PV#IE``+rrII+`M)wLIhEeHWOMh%1=gdt z9evE`aX5_mFh`V18()fl3!-LHJFE~=@0lPr(GH8Ft^}JkT(-;&V1v4TM>^#WB${(5 z(hJy`l-M7jQ?guWjkgaQXx2cA_&tx*%RFf=7nQwPp-Q%wb+Xz6%eOjezJVr-DY9SI zE*=GTrhOks+q7e$l##C)LuvEJI za5L(rv28=o>Qm<)o;&~N74qcdE1n---V<6s*F+Mp1)K*iRT(D z$Cg;XXlWk@(s|5J%n-$e4DvE5RMgEG8KP*|lJ&5b@3Ao>%ca=3Q3)H4Qt6}D7SLLj zXZ}p5@KRQKE#l~a;={e1cipr>`2;O+8#2)qs8E*FDbQDj9Vvu8h#l99CGg(BE&qIJ z?&q*1L*?T7C0_hZdHDQSh&KxZ-Pz=yW0-ZGg)hOztE(iJH2Eb^Wby?Q@syhD9=cf8 zI7Hg~k{QnC>&@GKQ(ouo%Y~vO*P+ZXp071GKUeOpco0Ugbmd26^9CT&?eLR9Wn9fz z-Vng&YIJQ5_Gu}T%~5zjhfg43!YKOqZiDuV1bC8&z@2y4D~;PixFR@1z(eJi5`q(f zw$f`auP&z;y{@u%EbY}z**Gq6aa?&Rm{AJs!|~O0La3ecdnL9VvRN*=5NzOpSsoz5 z`5ozHX_CBDe?b6vcG!z$VM|VPHsY9pCQE_Wv8^Yua-eLY z6xzrO)Vf~Nx`wjJ^q9^zl|QAl@kcfp_Mt2BM9rt38k)=ACg%DC$VnJA`OKl^7nL^t z#a#c7{iWCd=8KYzbU}xqO6?$EDuh+E14nI`gl~Ea5Ec~T{%h@5#)ViiY*)%jTg|1+ zL%-TvHV)^SNFo(NkZ7?$+J;2h&4q9oTa64U3Tg3uHZ*1Md< zG(V|8R|9cOo~Ogj*ues!nj%ZjpSI>!4pB~Ifr^dEO7lb5@JlEZr{8^OdHFRD79}Mo z@Z8N#c?HCl@G4RcmonGiv|RjA6|k(*#-`b@f|nk{5NzUBBf-nmu7w(!`Pg~^aBpXN*T=A(nTmC6!VxA@l!f?vPQ}O zw;dYf+#b-Rmr=K{$>*Wa%t}EC!FtN-G)3rr#7z{ppyHfUMr7zm>DvKoA^~l=j2TD z89$X?E|f_Z5}w0FY~<~dQWb~9hS1@rY}ZbFaUGjS#onVhe30$>Ghdnu&GNZ&2w z+7Mo*KY!^U=F$hZBzxL;pE~|Zwo6^LktDEtC4=ISm@kMgF0=4=kJhC%tG0PT2&S zEMcEJp7~9O;WKbk!!3kxBU)d-BJld$2pZc_dQd|g*EgD1+OhnQ7MR7v1?ExuwI-S@ z1-?d!{XUA%n%o~9CeJ>k4SF?Vg>J_t>>6uYN9($uV}~OFVKQ>5ZDc7^<{`Co|CcjM zyxMXLw98|joN_R+!FzR*w_a@GJb=eMIb|xFtW$6|DH!)Lmz0RJ`PK6#0p>1}@5ezP4^01c%(8e{y{MpQ`$rv52$v);Yh5=$1i6U_e8A8$JM!snWrl|+Xz!{@}-rdtdw z^Av;SK%$~yxoqK@SRvgYslVv=C6N7)aQ}-H(hZWQp!ZU2v@St7@^CIS%i^m9` zsGd%FE(QM2@c^6~l-?h=OZo3WZ^3lbT=T~K#p!_oefBKRr#NgNHZEi1R3RR$Ce}=1 zV>lb93h`cmA$mE7kYG@!9%uebKsb_DKy3SiDHbB=yl?XLydu2b1A&gkVC^2H&=yj$%mwymNR0L1TUiagf{?k z;h)4-Krhd$nPRr&d-n@9kXRUu@QSYNvW0?&k8j^NTW(iOqbT%bOWja%5- zKk}vbA(Ak0$s(?WW0P?EF^eSI}lnmj1o}mphcHSF)pT^7uhN*t&f1 zX}gxm=7Oj^io05Q%eDiA?5|lt_*Vk=j}StfL*>EXgg|Ni5W;LdWn%&_6@Gu_#+Vjc zURLOGTlDX2H}}HuR-V^z(-7_6hG`7lkXa){ZJ8CQ&A_NOz{aD@%H0?^2z%{!v_a>A zAmtqGWa!k_n?C!+^rrKH4H*Tj1sglLX$H!WEuNVCd?CH?2tpL?I)P?v1jZ&27@Jjq zA;2jZKT^r2Aj5wO))#k4oDs`jo>t58@*4nSc4ULA_izCLU;5xYCXbD+0ioM~FD08y zjcQu&sY|E)^J3QH6gMxnc^P0(9ySaI%eWUnrK>V&R>(b{)1w#W+KuW!r$J9Ih z?suEkT=(7lVxT-1k5%VB-=DbomgDtSPoe)BTHu0C; zG=!6&rtt2nDLepEd@6W8Th5hI0Pn5fH0D>pYGFP~3%pc;Z=m5dl@-zk;5n^pY1B+= zhl5!mXUlkw$>_K)UWdzT3#yo@lu?YD6oa7!W=9ArzP)QA-GA?n2m3VIIk)@;P$M%H zGl-+l_);AHNpaYlI9h-&9r$E!#?#nUqu6A+)Nzd?6Q8nqZ4eQ_9Z$S9Y+n%Dy&T;< zMrksXFB;Og`5G==q4<&(XjBz!bg`(F(60l)4sLF8-Q1*t(B2S=`ifll6{&!$a}WG& zMiYc>IKvrQDX_5oY|j^D2SEU5DYzmyFM3F3d*&rOBs5^Ozq0X{pif>Lq|(}(U+J(f zIec!G%0qLxqkDBQwy1y?$l+hH)M+>ZpKA|QtS?%WR8lA}n7EU=w8^~?CBuq&*d&S4 zN%*f2B~~SalkX5)I?=kk65AI<)PMQn`WJth$AXk4FC9G2qrm4;^k?T?bl8REr{Mb0 z^8S)dRtTZdyxH>{vb%ZMWZG-oQu0YfyS8Qv)Mm@(0i-TLsG|1}MQ<-Q>HksA@nQv{ zcz#qNcJJ40(jeN-Tt4Ee&%1z7x>5?fm0hV2CMvP>cJcN<+K9;+C2^+`BEsmzLdzW%pZu$8q z;$iZDA}WvK;XHJ?n5RM$Njw&In{a19(;46>0VB_)U>u}mlSiqG(f2uJT={TSpZ4e_ zaV{PzLznl5ASw&v6rNSZ^TqB`XS{Vu7E&^u)~Gz*R}<@>>_v&9?&3D!wN>clpkR`< z>50oOFKAea?~JG_vbP2>u*14pgkIh*rQX|P>ctscvioB|j173|;(+uEs$rvxMO8tR zLU=1UMX>P_nFJ^6`AQV4}5Vz#}(DRV^f57FISfuQJ0uKPqJNm@TKzb zDCOm~$o#+KOZ~nw=l`5GC}6p3jN3^HCKgvz>27ft_^V)IX@N%~SAi>efEcdPEFTC6 zb15nnDmJF+DEpT{MCnxmuTvk{F>#P=F4>E2XMu`C9FtdkaKlRzf2%=p6b7n)KYCc1 za{*Vu=qgMsXfEEkS9q4iF(4drmSSDWu^!Ky;SjIz?C|!31wG+l{mN`8I{l^(nldo1l4^j_ z5?vEHD9*r;0;eKAA#FUp&~r__6^z%34T)DUdTFP?;Uh_gvqE)2&yKKIoU_}PvBEVs zy1QxfBOlDckh0`OH{%!#iBd4;RxtKqH8?XZ@05v(-rusC^(Y2(@h)rf0z||Yn0hR6 z0h9*Q1Vz+m9D2=*;Zj0r!$H=H8kIxHP5E@v%iR_#T3Fkmm+GiLYPM>gnx*m zHvCm@*+z{UIEoKl>gYe8Z4DboQ8<<;Az^d2CxcKH9pNOOIK|=pP)kBl7f?zr1G!YO zzcQDHgGm5j^YTAGTTB+L|5It}ab!b>y!+5((J7abHl`BC%CNhNza-$_f~y^a*%103 z(&ZvsqP?CF;AjCSb}x?fX}VKcZ!AU1zW8OVmwDVRL~Y)OE#RhC+N0~m{YA5pv#Hn} zU3_?Z9cK{U^u!!SlO5R0*%Iwkwku2_E~&DjdN6S$Q9SpX+qNr@&6Fr!56XehcrD}< zcGYQo$=$)YiZrY1SW@p%eCaa*6G2kflnjh07w3yBAKob`xrS6gNb5ZFemt8H{G2c9 zF8a@BU~qej!v?^7W8)+RV;F(Mnaxv@DA!+E)}4ImljWMmUR(lH5Z5L8`JPZeX1OM_ zt|R!0>becpC_a5{;}ya|S(Bq&lJdGREBzD&Q4+Nmvns>ht+tJ}CSTU!-EcXlNIXrE zyMBK_HBNbB@qNpyow`r?Rq$!S`ckuJUM11vi9TpEO!@5ac@xFTdMW{4AP!HZ9Yq4* z+;Ya|*Ahp&Q-&#<4_8679V+#R(stdU?ZRH@Bv};P#xpQRUnYFvO5P4=Z$~YnV%g!~QB9#zH$J zdo!2%5oN*wM=)VIn7QB&*n~?Kn|9ZBZNk=$XRfGSd4FlUey;7xqv%Cg(JqPN-tJ5u za*jx*StLppY$=whJZ{r=T|wX^O53%cwu{os@dz|=@2n7d!wL5`cqQBXV^kA`-i0cb zuV#Uh`BL;YV z4kQE;6=7aN<)xVCnFhHjB2fw17+Q&SjHs=SvYaN@;!^f#04l&X?1n zd~Y=>&SM5l@nWOueRnrCUH5Pk4-pmC8_Nt&a)y&LJ@gA)3SJ)tqg@NkC@OCkJ%VsS zaMS)uQF)X?n7OU4jV>tdj92DLno-m~y)e4@33-%miDdI76bxMEh!b6Crs+XI85jcy z{0@8(47jW`{iV5#cv@_``TNTyDSIjo zwHSZc3%6d<6TYBRIE_h0+*Z!2v z>Ts2Lv*ci?Es9;?#fOt6ytbS7>*exLQc>&iRDoSkS7E|L>pCOu6t&qf$}T>_J z$H3+?i7|lfT8^0K%^GNS`E@i|)aYI;`BKQk3f}{P@D|G6|A+X5yW!;eB8BkJ9E_Y! z`%z~&v-7cYV03sJ(;5yPx~u82)$eN>$SNuydYF=eaW{GK;r&D$kc}@+|H=#G89^LdW|sowQCyGW1Xs4ku^xw%i;--!u2EXoqa0Fx zK(f)gX2xtj&wM+`QG1inuEL}f_rr3HV|7q(ZuT^=LZw~|89nuGK|#~R5hVMHW3b;M zmr(D06f}>qzm%e~dA7V=IP0qk_dGVe8$(D@NoVMLVmjoi8GkQmuH{K*U-Bh~U*Z^x zb#%`Bb#nMTd~xQMzH_4A3Bq z*a=cNxtKm^Bs5;uLmm?3<8BXE8bOi6Ls2-KX0Ytmxr%TLm^_(*qwG^50fbY=lLUv6 zqLeE?P~^RJSR2h7_xn_FZ_xt9t+;!U;!;|QySqDqw8b^JOK~e6TvFVjcyMTd;I1LS zNuTF;&UKyt-v8h0b0w4Ao$u^Do86gvW_M-_?%xR9x_wGI`q4s_U&rM>@(kCPVY=eI zri6PNTiDY@jztIGs?1*&7)@lZ9}PnO@lj+*jY9`~D@7NYxHIEaYimGL8^0c z^c1d2&`E_{qpoqEI%2+L_&OLPNXGi=*GRID;1jR+J`TSssF)rwil^rP!B6nm(wmvn zPRO4z&$?&GC?%nRW^z_WsS+>T@$(w=kcbA~UuwSd|FXDlXZMJF$C)R z!MsqZHU}$ydBi00LheOd-G;y>>uGx&wDi~N`(@m5;+-Jjx_q_t#dEGRi?J6xVhVeue2?NG;j)vSm3mNv_={A9g{pqgNe^dnJ^%t)OJQ#>}B*PlX+-k z9^3Aeq)?|A-Y^SPKyUuburZh0r`Nw|-ZEkOHfIf%rhbf(2d}FBMr-9kGpfmJ5s0~q zk`B+zyNaYSa7Es3B_`DOnTs9c-R|9;a*o{LifT9dMq0pLL!G$#mij)c54^6h-fqI_ zR1xTmhu+vj&TCE29bCCt4~7eg7E;=>jf~VH*sDKA97^}eq3$9E#wlfrMNWls%s}5! zr|A$@^WmLCVKvknMsb|0GrurCs(~?ayKp}*mjGBu7eWYp4Gq@TL4r81--%c4pQ>?; z2f|ZwEM}i_PI)=L7fEDR`X^S-*Cp{)c>SwsqX)bC?BNT(t(cde*53}Fj<7i9KXs<7 z#w`F(Za8`+nBt0+tj`%bHJzz3*Sr_dTNlTqxq@x{{6MS3RN>1S%Nz?T9i(Q;x5B+m zK4APPH&pSRbF+G+;-Qb|>+`i=b=ziG0+LtKF2lkt)|dDxmpJ-dH}Pe^W#OB4e4M9o z{1YkWCzHt^YXSCK@ANn~IMrce8ADbRRwv=;(N}{t< zKU8VfN#Q!DU0MMhyPiDVdg%-u9nB#-sJ;_=|KkDP`+3w?$PGvnFCY~3Gu+qFw0MmF zErfUz`$Aia$_}`(nD?jW;Sbc!WSOn~Q=I)1W!Bm)-^a$D2(E~USED3J1bBG*+mdjt z{a0@>JJ+m-8<*SP-TImPzOfYFI5=xb3+Ai|lJ;St*Y6@;Ii42pbAw`bAT=x{A)eDu zFn}R`H`O0P6u2Eo1)@LmkaKwre*A{l6KdKMEF<@rU{E%;0h;_{wShvM{vV*F2&=7O$)5;>qk+h2d>BQyn1%)_u>-~4CDf12)K znQ+YiELC{+SFVdohC?c9QTi+FKSTc0Fusx2{LfNp^1t#?w?Ug{|6W(t`f3w@~;Dpl(LlvMtz z=Sw87mNLJ(fmU*K-^(Yp#w6syKhUc_y$aUs`$NE|{Un^#GFgd(WhNXmiuva!u}@#h zYQuNz`1^6B%O4rAeh9Mg^{gG;p!}r6ur(KCceCdSaQB~fFG&kcm=tUvn(Pra3Bz@I zBVK#@wO>)5TEegQi8;A^EV=uy$?z;OCkxn(YfNGs;gFoFjTrJPMk4tDH9ZYoe>AnL z4y+KPK+bVa$Z4iDzCez4;CY0I%|)SN0ppL@gR+;F=&vF2f8+=8x}1%WzuSigFn3Rd z@`UKvqq{&J@BQ8yqQ)dNV@=CeNI83k3gkU%%`fg@uRI^sY8v7B`owx9E|j6?6IR`# zLV>D+xouM2v_Q-f?y_x(RGY)RknM#Ixr_NgkDZLl75-AWbeTkt@t1QYUM?DCOx*yU z2apHnvosrhxv8q9ZC%LxmveT>1 zIreHpBmeA%k-^WW4v~*a_UjGjI}vnpF=Xz)nl)fd>NAYp2r-ibW}OtGzNzJaCUGya z=JU72ToKh53)nzq$$tACJe%rJ;aD+~ZkrTAzc-j<+=0u@8gz{OYRJ<`lPruz8Ji%Um}G}Vfx@KHn>i7J!?`6 z6Hmkyav3fC>XycLAdsi=@(hD+uJ4gxa7^X3n96UdY?tTdk?R<3@pNEaMxi>r@3etS zRYZ->mP{_=cmYfc{n92sDX}mMYb1E&()rW9MQ!=fcp@(+pYN#?6sIay zh!lO{3~ydJh212k1V(8|{8@gFR9fr7D%ij%z@-u=C}{1kEs$3sg=k5fn0IIVyhw~c z;X|rfzFYnPJj8c64y8TO0;%f9-!SE zl`{d@$bqu{B^8E9%_WOvl-Jo?u9bf`@}%4Mr|wcsix>MlEYtZPxpDSX9b(w{EnhV6 zx=zDTi9OV@jT*Zhvt*6nQG@OW?Nz@|i%6b{N278{DYvs&B-?Cp{Xp`A0141>Sb3(=x8BCp&=w%NO6d;28|a4GvGj*GQuiP0k;H;qxzU5uC!*P9Cz^*Ya+-(F z<-q2X`vo`H1T|x0dMJ9l{^;j$Nl^z|ge$-pen{Y&U>rgn4|TvA&s$^n zW|_asMh`GQRp5%m*E``XKraYiR-;!X{qUDKqD}4*o)5i+7o3l2(f<&R#+HWA>b;@E zlRa`sBSt-9OP62%Ouu`E*0*Z@Q#J+oRjsKqLH1--ISwQ4KE;BU-1x^Vfzal@CNb0R z66iw;^f68GfI&7n0+xuGfhUD!8GWizZ;Ts=7F%|RKNv)P#8u(`@QZbO++OZ|)S&}G zniGdJPO9`fp8$XIG9|I>yl;Eeyqc;p@0AKOx}OJ>Gn7J{lC=DpzzR)YMDwzvoHT^M z=v%+=J@Xpxt~8;vT#RK3FUM}Oqm7#fXKBYSG!3*IUGB4%$b@C2X2Kunv0tmF{lGKJ ze|{-5=4WR$Lu~neR?P;UuTQ^2ocD$_f1LhxO`bB0{VI1OL36akijmvEFSsaH3ZcGq zmN{AUXLg4$ZSa+uf@QW8UABgGROIiU7Cef)f$r_e4jzi1*3Hid6}Ws^z+bgXxm1h@ z*~V_t+n*Db^F+kZd`q934Bw^7j|S~hz0G6Q_k0=SA(w4cfkg+#;3Vb1No9HIFs`bY zM|nt;m>Ov@4f_?m>&k#eA;Zde;mj-HfQ><~X_?@aG-*Y|f)VIX=Ge?)#zdZlfLL8L4A{;j*{D(2zYamDMl`obh0LlZXjF zA$?Qnd^MjNx~eAs*1A|mmnxU5%i(xKoRG{)w;N=gYSMi`sFG#)J-%M~V^zwJa9BCq z>#g}^5IfXru8k>BqgO@i(|h01WH(z`V`jI`f7(*&O2&aK1j9-H@fxxkp0JRG0F%-=L;P01JhFuMQf zt1nSbN>Xab@9VFw3hx~cA>7Gv@V(DercigMj;pM`eAzFBFzrt)&~nvB@1Rp1hKrPY z^}PH4BG1jQ$L{3DmKJpQgD;_#|3>v=PA{NCl+f*Qk()T5*@`oY_vtBk) z5gmT8#w2+3f{XakLcrR<;AT52M3p1e1T$k~TgK#z4HaO2Htv zPW@qr@h%~AMekV92&ks1efQ0%l8%yi<#kEbPUCa`- zHu8G7TF(q|?1w+>p||dbi-qb?#Aj8KCoE0-wElb6n+dIxc;PE+CCoeR^Hs211XaoSP1S zC0cCpHMe{;-k+ZNq|I*2LDvJD)Z%O2;Eg&#dZe3Wn6Fl)Fgkn)(%;WE%TU+QPAlGQ zeC&tm*>kq^(K@Io+{sMyX?BTC2QvsZe@jC{m$71;wa1%ITE1st1XrcFg^=1~Y}afG zWd3(_xtuzhOHu@p9XPsrg zqZtIBGZBYgz?L&##2haRua&9r^0ov%DPyf6N&yyqg3p;f(koU6CNrcizkFm#aVM76 z$!x6Xay0mT+yK>3bhAG;Gh|{nAmicP9c&dL45QSY?>Z*AV{4>Qk31NKVQQw*SIgfw zSkD+Uowbi)E<&yR;sdRms~LyL*D4Bw6ZCJQ%!CiWXeSt)X;~WL9Ic>J%)gS(TBIrCAwn|Q6eQewUybGHhW{?5%{004E z#ZTZ><)b_Xk@70~g^I7aRcj=QXVRwhvzMkv3W!>XLtmaQ1lSw_79A!ITCnNS7p+|} z1Dm3lY%xbe`SSnb_5_$!R%$ukwHZ2ulSsiRsN%#-;!!DVnm9p8mzxa(a8l3aFf?h1 zoLAHES`v$^e2&Uh8G3CEQ9f#ABTn%HTa2C_pKJ7GeE&+zsruQ?_~)nc!7T&GEZe+L zT;84^!^m&96JM<@KTG8nd=5Ov2>KS_y5I|Rw6|jKS~khC+_%5h)a3kGjtnulX~O5> zZE;-~PRJH5itBGF!L%& zP&kHcEKJM>X%rDddpxSL7`bK~eRF@f;8x`>FN+MEb8v6S{%Ox9Ea}jaKZ7{9Tu@|( zKRkVWeu%f%oUr87*s{IZC_1mHGoYR@LU$a_15O^Om?vS-zKC^k(v&J-7~&~odgm24^x$Ez)J9Gz;;kw>(N97n zPT{x-Xe8&*@_h{N+=#j zWW>O}3i`7lixak)m)E}PI;CcPWH)8zlt8Pxn@20HgSTEsAQr|~6ysysLU_Hx@3daI z-E-;zAp)!=G#R|Pq(#2sm}S*jdCJ;XZXJgr=*o9Ajx+jd`Wq!BjTM!M4*TRF&knNN z)~HJOa0G z?vA!g!3<4JTPB`loD`g42ETLK0fNO{J*`SdJ#bd}d&RoTu|AEZ3{Ve?cmPtz=Oh3D z`E+amv)0Udn??`BVx�*zD9kDUde|2{2)}X0~EwrJ2bf-LvN$*W6?`(aUAbIc0w2 zR3G5G1^QNP?CJ>NxmKJlNp^0&_*RQA4e$5jPIKlkS#1g6qOjwlaQqre=VwHh4>xQj z6+ix%R^j2Kw-G&CH4rr7g5`qnX*u0hHq1t|#^XI=RG8xLb3b}K*-j_`DxsSuy!njo>g4hy$IdUkWT_4{ zuzAQeu%T`<=vyooJ;`{+NK5RDP3kvqbY5yuF86vhes|S?D)%VU>p6DiBenx1uD)r~ z5S12r6w7oAZ7zAgRZK1YZeY6up=c7u_?VFyg)x}eeB~QwpvG@khHF<-%GJWPpGCQ+ zAM9_txvWUf1-$NJIHUXj(z;l>);#quJeyCm;>pIiy)GA&6U|NW77wHt1QxAAmu-F$ zRtU%>Pv|wxvPMs)O{OiFTY*9hOs;gc0LS^eb~LWNo*Ox}4^9b^g}(JBY_+Du4o>!- zh1$`smJE~`W4Y6L3rHGAQteRa4kq!tN=AuQIX_-+xN^tt_P3@y^^IvEL7K$?<0wwQ z0IGxbFnMa}on>+Yu>s?bnqK^Rl^_c9&O6&K@1x`=4~$u2F&2u(orhx-B*%L#uIEbB z?auX(w+G&X!P@r^-{0gQyg4*e00RVl3Dr?zGM!JgdJGtvyf%XK=odQHBlO(VUnA8L zEyl>F-qh$@8jd^OePqzez8gyE<2&C7-$k7dq3wFu!YmoUK+=2NbbFV*$>G?m3tp>B z@N|6~{|0xJsl-?|K@5)Z=g&66%Yu2P67#o{Rl#c)Rl#UY3Z8bx26|u7M3XU3gs#!} z$_c|LWPJh)T;#&s)n#qH!iQV<_o7NZDN2j^y{Xb~9_npr=9GwWqfE|;O-wZp*zlh; zpGD9P$ngtX@v!!)!-q>z)fka6vr?dVHe0G>ZRdI?egFBX!H_|6!ra9NwXkMx&M6Y} zojG9uKP3sqFS0kDm{Q)J>y`5 zk5Q^i@d33Sd|o=}E7~jr#O%>n37cpHoR4i>87@bp(c(RBO1RoZ#Ppj|8bhZK&3E|; z*AXU129)!&2QJu+m|}z32MC|4=&kbp^J?{;Y;S}IiO~@vz||;twsz7-zIGf!b-t`o z3c?fSAaC%LHwt_iQ=UY~2y_e3SjtkFFS)%+l$1LcZb%!)VNe_x59kv~^+#Ns5{go3 z+lf6Q_-5U|G>+JGn%i`W?lJzYvXv8jmM#8QWxJE~2mOaIiRL*u&&r{^T+U|^H>ZV7 zceV6G;an3R0kKRfKJhenDP_Np@IkSL<)GPL!}#|gcMBDw=JsM5U)X8lV=hfg45-ibO%sIT3U?}nYd_;%)iTL{zfb>sPQ03hTK;*3&gu%QmZi1+Sl zo$>nBG@slxpp&T50FvJL-v4(?`ioqBct43vPs=pz<7gCC#U|9BaQ5;G_^Ddz(6Cn} zMKUiJtF`f{g7)^FflnYm7+oEHHGfRIwmlwFQZWg-OfAvo9Ee_%aqy78oxVMb^NRzO zFn7=i;>8bMY)zl!Dv@=rBKzVHUQePaKfNpI%%+5ZjutCD1*I(8a-#M8J{3-u`%iyK zIhT^!_e563fS(nmCR(WAtV(1A0nY>Hf9r;5Hm=yvC21^+YewWADN!U>>X>!X!ZQp> zuG$tfXasDUG?fTfZw<)uA5W`O1wb#PBrFVFKKncayXRgn9sjh=6`zOjT%g?F?TTjh z#iFJU+N(5&%fF>a1h2ENOdgdGavz>j%jh;yn}^w+`KS_o&D?f7x_xo}TX*QA>g=j5 z$2~awS|dKVn^DUbY{)QB8D+X;&3G+OH(`&7^6a)|o(XZne|4)UB^V_CNq>!KuY)la^tU)dp><31=3$q0Yl9@X+H-YR)~Gafze-?%}yGAZu02 zZ?q=D9GuS2!PW{MbNx7zIBP0&ChL8RiG{6e)|Q4P>`tCr9S%aHErhH2;zfmYK7w2ytKj)yRlA_TEhC*5Y4AEtyJ1WC2(RTFDDk&uMndIK=nWM?m*5g!>whW zU4)6+1QxNDRpRF1Ad`7W*VDTHv6+!1np`CqXnV`+SO{s*M%AA6)UaHZp8K?TAf=#IeAnSx~mZjiF-_zu&?I)F55;FzOFJ zf!z6UNC~np)`A1h5sTR0VctwZKfiuG{>}|od;PWFZDe@hTWHK>Fo&GMKLi%5_4OkO@h$8cvDf|K@y z(u`-5#o!++T_@KJ=%^(eOsI?Lxdq(?IFbCF9p_nC1b+tZ%$+tIIN{ z^9iK;9ekp~5iOT!!LzQISY+-_k-JT*OgMd%v@9CAIVEyVY@6c@nCr<2@X*f=zWFb{Dm`XAkV0Yyno0|X=@npD9Lvr8325=i<)!B9j4sx>~ zBu}Mr(mu*9ETA(jZiAdE(R6dXsCPQ|#Y#T))6Dr$giEq zA_(XW1_eg(Ms7JEKGkN)W4X(Y-+!*?H(tB)Eufqe{qT^kOag#qXA&G1P!lD$12ol+ zzgvg9JAcc+I6V;~ncRbxX7$7sCFJKY-+)E02QJ>cOgU115MqUU69gKCFY;^eEu^Ko zdu!f3)fhA<5aawHaRriX84jh+7e1^emGqVam?F1?yFT9thvt4oRpkB$dITA2;58qI52Y2DGE_?U{R2z#^RVd6 zkFXbs*S6$}1~uGd#M`J5;QhC)0M^GVs0c@CEX}y7VsTBQyB7Uq&{oP!mGD2pY#Zr> z$@K=B^Q=-*omWu>eAlw}Z_(r#C`P9|ZH-BDWE@BxgP~lD=(VO`0<#_)x?WT%9*!!| z@ljw|Z!Evt2*B%n&D zKHxT>FHY*5SUC`zgv$GFM`O-_ z(rwn3hY(LNy)AlhLMnTMO&m!+ufLY8VR9v@-q+tPf}ecc(65#4Cujg1D@}zoANGSNo=GM*4Z}i}a$h~DzpO?^ zVcC{n&Tp{2Yc2ITH84j3g=dg zW|RS=RrZj{;K~O$U#RYY$8mxNB{%-(?4i~;J(ZqN=*LytyGdF7LnQ>qLs2(g0vX?lPB{H+GJyM3|40{IL4HNjyPvFIV#F;xD*99!#Mw|V2l`noighhz z4q7Zhhj!ejK573BO zjewJ)NtbJPFSq!?EhqAI+mw#tN{bqHvbXZ*8x+ohi z&8c@ta4-?RNe)P9eE>MWi+><#xJdeU zY5B*c?Vz!Efx7t~KQ#zo@Nul^_YL3X_wmc6h_a@V;Z;xC06(40ycyn$T`vt~5J+#4 z*TPwUce={?y76^xW0A48iC7$G#Fn z;CNo;jmJ3Ih)2MJC>*N5=+zu;b?1!>aLh>Oz1E)&drEL;Nj2LA$%)9b_#@LH#(kBvnIa>an4qJQ$Dr0rT|S zYvDu&yKu(rOn$J-yny)D9JzH{!hqm@$lwoDfrR~aBh3D;zETh8M%C&R4ZkO9m#rGb-^o5}xvxhjo1u{>lDzhAqH*X|)gT{HlF}$LzqrHIYX^w6S1;;$ zk*|xMsgBOl)i3%QT60?Wqo;r5a^jk53q#2vg5LLPxTh)Yae?WMH%Zd0v5T^;m%7RS zGOdDDdfMTr=85TII>u*?+L5`}c?|R3O{>~DZM$m-dyX}8ww$xoxFry^Ui@53a_24J zjE&^JBJK*+FL1f!T&)oTF3GN&Nc8S}07)-i-XLuuPkyTEYq-%Bi$VG(B>~O(uN9^7 zwVEvz@|N?0IGm0|54$44RjgDAg(6;jd=9Qd;;&Y;^G=cbwNsZeUO4YBxT>jlD<2C* zlg_-d6A?Oa8m(CQ!hyUECX^GQ9*zU6#z(awr5)0iQQn0c%Ci_kc@>vKF_~UhmM4e& zm-!X1Wh4MqJ7p)ss(o<~4z1DT$7%*|3x&r=VwwX=T~|rGf6g3%EOq+=QEruJ6BvF^ zPT}s9Bt@W}HdkhOZ7x?78ZR`{7bFt|NaUxS{a)3ny&@bL zm6Wwr3#Ts}8jV}Ck56Z$k%{)?#}J0woD0iKXM7=ZGnj8;Rh16Cwj8$*2s{#*_4Los2(&JWZKh1B%qQ8XIG)Fu;&~m374B};aH?>ILAg5K z{2RGARmLIB2)A}}_QGvKhZ@YE8rScTEz&F3zC9JVKO+}LvmJwr^6n@@p*Czl42qIq zokKg=tHdxHBnja}*=H_c$^>{L| z6%!JCBG|4w5Nk>vrfE}eFy0s)cOg87Tg_JT?)Rpt0y`s~j6?4}%OMjUJoca`FkU_6 zkP_YBT5WCwwMA*ij%v|;T&Qm|Z!(V>;=L)cld}P|IS->bh6*vDZ0&S_CE-gx_|MT; zQHS2_A|9ZOcs&n1zNiDTiE5CYH(}IHw!k-08NKTUPKa2HPmWLut8t5D@z3@p3B!Mz zih&$asWm{6sMw+qj^6$(K;Q~ebnDaf#M!L3gawSl@$-1(WF&NJvdj`BXFDF@#U_~^ zl$f)9{XWU+BbbWhn6HIhMEE3buw);$^Snh$qY7%ifv3UN7%}Y2VCS!|c&Sb65FN#J zWjhn8r^S>D8g7v&-_I*Oyf0|Hjt(?tveRa1b!{xUy7Sanf+fDT-@Ue^vs)1Pv%H=G zOIo`IP4yxJ9h0m;;ccR+YfE;Q?AzDc1&#CG33;b&BtAy|8CiI>-0(r)eeZp5&L=2$ zge8yo-suVuUW?E=HT(D17m9%p=+2<)a_S_hmz%}J^~~v=*W{qL(u^1EI*!M2l@Zk+ zL~x&$g?DOx?nxuy(8z&)au2ifW>kxlG4^d+HFvLa5OlPHC`dZxXyo2oZ)yGNqKE%}6q3^Z~!B zy;g70pXt>UriDx-dx~2DIKX>9V5=qbq4MKV$mdg}BS^Z1P{dQhmRp%_JVDk~PVB^% z48owz?r8MXoh^`}4vf78v$t1;*y`mP)9By8=*zIlULCdw@n$$f*p+x`l+3q$+Wj!O zkf`<<0;ARx`5JXmpxS1MsCF5uKMu;(LSR-P(W0@!T2AFR>@VYFyb(?J@r5)<61A zHs5rt{M_a)Rb^>{FS4HOyCi(COIO^JN4*-X)~%?3KGF2eT`j19>WyB`ONEHJ>6|U@ zb`33ZN{@gy(Q22&-ca2#qumhBS)kFea8qXCxgVT&=Lh|173fFM6tPbb?J;%07v^58<=lY@0*G_sq6gc{y;^^Cci+9P7Y(v-i?cLxv+*26FM=VK4& zk65j-oeC2599Rp8P$X6fj&pVL{mX?r-CE#k_h!TpQ6dwgx=N1ALnG>uz6|6M_O0q# zngb4jaUo@Bt>BGeCSJU{KP7LW{g=CZ>~Sdwo#pLiMIXalH8l_AJQqIuZccYoXk`SK z;o5Jm{<@pRImv(p5`~q9?Ni5{FeQ)dM9;dwxjU6ss=C*mvyh!5Fd)>nRj(%v^@^#t;r`f)BO$gWvhBQ%xO z!_vofe5XhR7n?JbWO&`q;be;$RX@c* z(+I%VO35Tf9H5!fSikl1PTx)uzdv@g(O>jdgUpep|FYr8xfN0+CTRg<=d5>Z|9I!~ zO@gik@7s0Jx!eO?_){rCsEJ37!L*x7I-j$dM1-IH46S*0abv*bjq;)mQ{ z(DM`6U<#*`i$LTfjW#X*|cH2UZYsExH1{kZh(CCf_X*5sSMXpX!ZsB z#5bQ70X&ZBfxy)$i{(z@lYU$80DO(*NfcwC#aGq-zxLsf{U(Q0~8>JLyO zt3EJMNM2}C^xfoLB29#NvxMg#U}y!rDC(<(8wFSS{^fwhJ(+PrB_~~s*VpRoXM?-B zxOK;{$JgC|rk@l)Q$b6^t+_NqBz~kG4Gb8)DBe|_&MX#GbspdKJCFJ`p>$8iLb1We zA`yF`UD#*>ZCY|a7-^Qnyk66z9w_iHph75hvR(Q+8887Ejpim%kY4To!jZz2F z7vOZiJ%D|AR(+Z|V9bB(fV4u&-A<+W{k|EyQFTn#b0D8~E?%3Vs-9K*$)CO3qyy2A z$W4u9z314IR|-@aFa5k@0yY}mltf8Z*Ppx zJ5u`Z+m+r#CbdNOOgFnT@5x5(bl30*MduoGqm61c5m@I>IbX^ zR!i<%F8IkknRvBMMvHw1nmL?ij}Hxa6-=O3Tu@B|DSXrZ>T!`V)O}oT+J#DXcav?? zQf!}nmE`!`Cui#XS?M)6tC}o)dGt8DJqWQ$7cGjPsM);%c6TA@%@m4jHOMIEo zrXxkP&b1x+9|1n17-EMLw>Rm5{`xEDi0>?qz`IM435a`8mu}^m1Z3ztQk3n!&$D?q zj!A_VFYw(rQ)Dhg4$0@Bj4FPrnYDXjm3uqmb4ns&9hTe4(KRpCfCs5{Ls`L+P+>uBc+utbz+fWVU> zC_bn?6F5#=s*@v;Be62}30w!{RDRy9>)Pqw$?t5Cq5wgWA!Ek>e#N~cFO&PUhF;Gp z#?jnqeT9-QkO<>^)JkhV5$X9WICCHLW;?PdCrEAOc>=-_EN2>PNj+A0~70``u ztkTjLD)H`}?|dd#s6EE;agKI!-O(038Gi9Ve#+Zg3XjHfHr5n1&+V-1;dYfqc7~h_ z_)y{XY?G+Kkg@9qu}#xltLBCGzx=U;1=~Ex`<(&9>2!y_RRsIKPvl;~0XldaRyz8* zxxHsi--ECooq}_7v@e>#F_~|pNllP4wott9HEmNhLEmezrnyo|eEr>gAC;EwSRd^C z?|y9cE=)^7U)n&;x9`(E0Z~V_x6ZXc)Cvg{8 zm2%!__*!B(MjlmKWJ27ka&FCFYlz!a59_O_1*&d=-HH%cLJK%YQ&*8!`LD+&RsyF z>DKH_ni_dCe{iR}d){K;yl!*p;pm3*Hd;tj{o&emqR^G3@J5XKQ8er57vND1Hm(BW zK>uT;1`E_+IBMWK0<*e07rQc*xI(%g_0}%1y#@w|s%TDIX z4Wj;hmU(W581q!U&k?-2vi=8ganG>4CVHmfCqD>$!AN~Y$O`4)k zIzOO3AMn`wmCwM`;-65l@vWLyXT?sAQxkeiu2x(uJI;#mCu<`5bhc zTQFV2`@JT@7HY;rdhK-;wu>Aal4{K@+zliMR^`~V47e+)(Ohz_;rKIq49jH>+r6iH zevFpq|2p)=Zk56`{|i$;M&@e?8{gJz)B3ju-}sI}-)lyuxq>E+DY=nbd=?k*tkW>} z%OMg;;I(B9h&5~CPYzS|GzLWY+L&D|%iUOE%4gwVFJu4Ozj`(7zIoku z{jG`2d`4dF_o>=%CW;hamO+lj@60Zmu_|%_z??(tb8<9U zKy|NzE%meuB>N)SL=63#$$d$=Ps7kbMnKzw;KDVQ%BsT`?Fkj{%SYoC-p_Okjvub% z2c0b(XV-1kKcJb5*X0u7~U+<8c&cpiD7Yk zk6O>?GN3pI7)$PCKH6s$ip^7ii~lM4Bf3jcQ7;#8s}&ULT>B>glOWJJ; zSKk`q0|(**h#DnjMJsaJ9H*V{$0N7DBv7(%owbRT(@ktuF$=O^`W`KxE_PP0?SQs! zsqbssuk?3Mm4Uyh|NK8?lzBW8jvL1v`Hmd>CO=0Sr9(yJ?2EOfMClNU z$TQDZ+WDeCt9YZX%FY2GPzU8plG4KP1REtD;75KY510pN=#lu}7zI=a#EP<#93mIjrwcVjD)lo9}xoT8q@+d0W6&}S8PwVm)O^p)3F?mNNa>E#M~ z;&lbNFgyJFc`4i852x1^X|ng^_;ws0t%647e;WCzZyWD!WPXxoCiMmcmkvvRySrP)YrPwO^HGHL9M=sM&~;9Rpct2(><#@aa7pH=JQ6v*5=HUb^G%~=O)Ig003+U7o}PS#jw%57t1bAQq` z+N92VEV?I!i>cSh@NDOjjo5E=ZkTw|A*=hfot$&uVl>`8*LZj4tX+zR{b3YeiIa#v zog9+itgK^DYes~i$ZATE`UiO@?>+aI?2_Q{b3a0pZUtC+&jXP1=`r$iJzu@uIeogx zJymSLK5xfIRq~jOXhO3|*WU4l`2A23ea`b}*ZvPX2813c6Ud393Z$uC(6$+Xuz8~n z>;QIwB(p&%*T}=GZm_5}s&8k~Rm_GWJ#JzJwNu<)Pm@@HI+9t}{>*C* zVoDJjhd$yyf~y|1`MLIyRS3Nc2<7*{-;umgHSLfbR+|;fCDPV|4>^!%1$EvFs15nN z*X5rNKDw=7p%9%yrb17ZrqD*iGMy-kj;1qhMf1mcH>;Q1n^xNQUN%(cVjqf0PCIA# zzX*DBnY~zhct4F27v#p^`pb}1+24}PnaJ@k--N#W<#1drH7m~=;oJSIe2i6Z(d(3A z3PkY2K1#h)#Mliu`AE$O1b5mV2lGN&j#D3Pn3&YyrG2!R>yhRC7yVfwT48o}bybawfSTjKWu@26Pk(&79>XKNdt~o0TK}fF2 z7AAB$AY0=KMz!W!azwCA*MpmlSat|Pc@2Kz;4*{5=n@^ve-oE#ZxcM1+_5=g*oo_w z_%nf4R9>a*iQvUT3eJPOsbG6$cC`Qx>ZuIsi!SsCDK~PdxW{rp3>er(mty2hbPOI) zQ`zr&(xJCl5u8>umD-AvkfJAzRElMewNqX7@9E6};le)l3{p&wOg7_FJc~6Mz4Ncj zu75mqe0}i-@&l^Y;L9N`yPE`3>Rs^SEiC+u`q{FzYwOI}no3TV4Yss&fEcS`ZplbX zOlMX8h?s1f@dumtQCl@4# zyABr;Z@i?aY1`v&@OqV?AE=?{@K<`ywyS9Pb-WO5 zcU?65bVSi0yv&p_1uur1s!W#WKW#hF z9%X)gim~wYTfEc%K*!f$DtI>xA_;KwG}!Vy`VzPZy|sob(0Ex5(mrLp7uNkA+!-Xe zMea)}o)i&@7D_u(jN8edII>P-ar_{DFx(Qn`2 WcGk!W0B7e>UFxyaqM43gtNd@$&(6*O diff --git a/cpld/db/GR8RAM.eda.qmsg b/cpld/db/GR8RAM.eda.qmsg deleted file mode 100644 index cdd2c87..0000000 --- a/cpld/db/GR8RAM.eda.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1677601290645 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1677601290647 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 28 11:21:30 2023 " "Processing started: Tue Feb 28 11:21:30 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1677601290647 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1677601290647 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_eda --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1677601290647 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1677601291072 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "GR8RAM.vo /Repos2/GR8RAM/cpld2/simulation/questa/ simulation " "Generated file GR8RAM.vo in folder \"/Repos2/GR8RAM/cpld2/simulation/questa/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1677601291254 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13024 " "Peak virtual memory: 13024 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1677601291299 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 28 11:21:31 2023 " "Processing ended: Tue Feb 28 11:21:31 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1677601291299 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1677601291299 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1677601291299 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1677601291299 ""} diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg deleted file mode 100644 index efe5afc..0000000 --- a/cpld/db/GR8RAM.fit.qmsg +++ /dev/null @@ -1,44 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1677601279685 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1677601279686 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1677601279701 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1677601279826 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1677601279827 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1677601280022 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1677601280063 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1677601280624 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "1 80 " "No exact pin location assignment(s) for 1 pins of 80 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1677601280753 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1677601280874 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1677601280923 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1677601280925 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1677601280945 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1677601280946 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1677601280959 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1677601280992 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1677601280992 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1677601280992 ""} } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1677601280992 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/22.1std/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/22.1std/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/intelfpga_lite/22.1std/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/22.1std/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 9 -1 0 } } { "temporary_test_loc" "" { Generic "//mac/iCloud/Repos2/GR8RAM/cpld2/" { { 0 { 0 ""} 0 418 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1677601280992 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 94 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1677601280993 ""} } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1677601280993 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1677601280994 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1677601281004 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1677601281114 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1677601281203 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1677601281204 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1677601281205 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1677601281205 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "1 unused 3.3V 1 0 0 " "Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 1 input, 0 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1677601281234 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1677601281234 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1677601281234 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 38 0 " "I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1677601281236 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 41 1 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 41 total pin(s) used -- 1 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1677601281236 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1677601281236 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1677601281236 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601281325 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1677601281341 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1677601281559 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601281888 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1677601281913 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1677601282469 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601282469 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1677601282537 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "30 " "Router estimated average interconnect usage is 30% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "30 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "//mac/iCloud/Repos2/GR8RAM/cpld2/" { { 1 { 0 "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1677601282887 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1677601282887 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1677601282982 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1677601282982 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1677601282982 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601282986 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1677601283019 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601283056 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1677601283164 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/Repos2/GR8RAM/cpld2/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file /Repos2/GR8RAM/cpld2/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1677601283280 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13746 " "Peak virtual memory: 13746 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1677601283368 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 28 11:21:23 2023 " "Processing ended: Tue Feb 28 11:21:23 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1677601283368 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1677601283368 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1677601283368 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1677601283368 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info deleted file mode 100644 index 554e4da..0000000 --- a/cpld/db/GR8RAM.hier_info +++ /dev/null @@ -1,220 +0,0 @@ -|GR8RAM -C25M => SA[0]~reg0.CLK -C25M => SA[1]~reg0.CLK -C25M => SA[2]~reg0.CLK -C25M => SA[3]~reg0.CLK -C25M => SA[4]~reg0.CLK -C25M => SA[5]~reg0.CLK -C25M => SA[6]~reg0.CLK -C25M => SA[7]~reg0.CLK -C25M => SA[8]~reg0.CLK -C25M => SA[9]~reg0.CLK -C25M => SA[10]~reg0.CLK -C25M => SA[11]~reg0.CLK -C25M => SA[12]~reg0.CLK -C25M => SBA[0]~reg0.CLK -C25M => SBA[1]~reg0.CLK -C25M => DQMH~reg0.CLK -C25M => DQML~reg0.CLK -C25M => SDOE.CLK -C25M => nSWE~reg0.CLK -C25M => nCAS~reg0.CLK -C25M => nRAS~reg0.CLK -C25M => nRCS~reg0.CLK -C25M => RCKE~reg0.CLK -C25M => WRD[0].CLK -C25M => WRD[1].CLK -C25M => WRD[2].CLK -C25M => WRD[3].CLK -C25M => WRD[4].CLK -C25M => WRD[5].CLK -C25M => WRD[6].CLK -C25M => WRD[7].CLK -C25M => MOSIout.CLK -C25M => FCKOE.CLK -C25M => MOSIOE.CLK -C25M => FCS.CLK -C25M => FCKout.CLK -C25M => Bank.CLK -C25M => AddrIncH.CLK -C25M => AddrIncM.CLK -C25M => AddrIncL.CLK -C25M => Addr[0].CLK -C25M => Addr[1].CLK -C25M => Addr[2].CLK -C25M => Addr[3].CLK -C25M => Addr[4].CLK -C25M => Addr[5].CLK -C25M => Addr[6].CLK -C25M => Addr[7].CLK -C25M => Addr[8].CLK -C25M => Addr[9].CLK -C25M => Addr[10].CLK -C25M => Addr[11].CLK -C25M => Addr[12].CLK -C25M => Addr[13].CLK -C25M => Addr[14].CLK -C25M => Addr[15].CLK -C25M => Addr[16].CLK -C25M => Addr[17].CLK -C25M => Addr[18].CLK -C25M => Addr[19].CLK -C25M => Addr[20].CLK -C25M => Addr[21].CLK -C25M => Addr[22].CLK -C25M => Addr[23].CLK -C25M => IOROMEN.CLK -C25M => nIOSTRBr.CLK -C25M => REGEN.CLK -C25M => nRESout~reg0.CLK -C25M => LS[0].CLK -C25M => LS[1].CLK -C25M => LS[2].CLK -C25M => LS[3].CLK -C25M => LS[4].CLK -C25M => LS[5].CLK -C25M => LS[6].CLK -C25M => LS[7].CLK -C25M => LS[8].CLK -C25M => LS[9].CLK -C25M => LS[10].CLK -C25M => LS[11].CLK -C25M => LS[12].CLK -C25M => LS[13].CLK -C25M => PS[0].CLK -C25M => PS[1].CLK -C25M => PS[2].CLK -C25M => PS[3].CLK -C25M => SetFWr[0].CLK -C25M => SetFWr[1].CLK -C25M => SetFWLoaded.CLK -C25M => nRESr.CLK -C25M => nRESf[0].CLK -C25M => nRESf[1].CLK -C25M => nRESf[2].CLK -C25M => nRESf[3].CLK -C25M => PHI0r2.CLK -C25M => PHI0r1.CLK -C25M => IS~7.DATAIN -C25M => RDD[0].CLK -C25M => RDD[1].CLK -C25M => RDD[2].CLK -C25M => RDD[3].CLK -C25M => RDD[4].CLK -C25M => RDD[5].CLK -C25M => RDD[6].CLK -C25M => RDD[7].CLK -PHI0 => comb.IN1 -PHI0 => nWEr.CLK -PHI0 => RAr[0].CLK -PHI0 => RAr[1].CLK -PHI0 => RAr[2].CLK -PHI0 => RAr[3].CLK -PHI0 => RAr[4].CLK -PHI0 => RAr[5].CLK -PHI0 => RAr[6].CLK -PHI0 => RAr[7].CLK -PHI0 => RAr[8].CLK -PHI0 => RAr[9].CLK -PHI0 => RAr[10].CLK -PHI0 => RAr[11].CLK -PHI0 => CXXXr.CLK -PHI0 => PHI0r1.DATAIN -nRES => nRESf[0].DATAIN -nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SetFW[0] => SetFWr[0].DATAIN -SetFW[1] => SetFWr[1].DATAIN -INTin => INTout.DATAIN -INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE -DMAin => DMAout.DATAIN -DMAout <= DMAin.DB_MAX_OUTPUT_PORT_TYPE -nNMIout <= -nIRQout <= -nRDYout <= -nINHout <= -RWout <= -nDMAout <= -RA[0] => RAr[0].DATAIN -RA[0] => Equal16.IN10 -RA[1] => RAr[1].DATAIN -RA[1] => Equal16.IN9 -RA[2] => RAr[2].DATAIN -RA[2] => Equal16.IN8 -RA[3] => RAr[3].DATAIN -RA[3] => Equal16.IN7 -RA[4] => RAr[4].DATAIN -RA[4] => Equal16.IN6 -RA[5] => RAr[5].DATAIN -RA[5] => Equal16.IN5 -RA[6] => RAr[6].DATAIN -RA[6] => Equal16.IN4 -RA[7] => RAr[7].DATAIN -RA[7] => Equal16.IN3 -RA[8] => RAr[8].DATAIN -RA[8] => Equal16.IN2 -RA[9] => RAr[9].DATAIN -RA[9] => Equal16.IN1 -RA[10] => RAr[10].DATAIN -RA[10] => Equal16.IN0 -RA[11] => RAr[11].DATAIN -RA[12] => Equal8.IN1 -RA[13] => Equal8.IN0 -RA[14] => Equal8.IN3 -RA[15] => Equal8.IN2 -nWE => comb.IN1 -nWE => nWEr.DATAIN -RD[0] <> RD[0] -RD[1] <> RD[1] -RD[2] <> RD[2] -RD[3] <> RD[3] -RD[4] <> RD[4] -RD[5] <> RD[5] -RD[6] <> RD[6] -RD[7] <> RD[7] -RAdir <= -RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE -nIOSEL => comb.IN0 -nIOSEL => always7.IN1 -nDEVSEL => comb.IN1 -nDEVSEL => RAMSEL.IN1 -nDEVSEL => comb.IN1 -nDEVSEL => RAMRegSEL.IN1 -nIOSTRB => nIOSTRBr.DATAIN -nIOSTRB => comb.IN1 -nIOSTRB => comb.IN1 -SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[1] <= SA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[2] <= SA[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[3] <= SA[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[4] <= SA[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[5] <= SA[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[6] <= SA[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[7] <= SA[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[8] <= SA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[9] <= SA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[10] <= SA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[11] <= SA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[12] <= SA[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nCAS <= nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nSWE <= nSWE~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQML <= DQML~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQMH <= DQMH~reg0.DB_MAX_OUTPUT_PORT_TYPE -RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE -SD[0] <> SD[0] -SD[1] <> SD[1] -SD[2] <> SD[2] -SD[3] <> SD[3] -SD[4] <> SD[4] -SD[5] <> SD[5] -SD[6] <> SD[6] -SD[7] <> SD[7] -nFCS <= nFCS.DB_MAX_OUTPUT_PORT_TYPE -FCK <= FCK.DB_MAX_OUTPUT_PORT_TYPE -MISO => WRD.DATAB -MOSI <> MOSI - - diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif deleted file mode 100644 index 078099c5cec49e756cb6549a347d4fd4b74c1fb5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 427 zcmV;c0aX614*>uG0001ZoNZCTZlf>|y<6IUu+&TU5Nezd!I7mTK_WN{gsbJkkXRzn zHBO|e-G5&L*|t(5jBLO6#xwKg_gN@??(t5^6QBZAFS`~~YT3Q6EP{WXWm93#cCbyJ zsPjY#r8o<4EH&V;lG@0T<05-Gw<%())z_bl%bZpPAGR1S&`?CKUy){8ZWP64+6kJ? zaHdT;=J6LL)EnLjeeCgUHZWmZ-NmPfV)GndX$jv$ZixpBt(N)?ty4Gkx8~mKPKg7c z>g8j?tVi - -Hierarchy -Input -Constant Input -Unused Input -Floating Input -Output -Constant Output -Unused Output -Floating Output -Bidir -Constant Bidir -Unused Bidir -Input only Bidir -Output only Bidir - - diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb deleted file mode 100644 index d6f342bfc2d0020287356556f43ce9b7dcc403f6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 408 zcmWe(U|?9w%?KnJ81jJ797ugBZe~2BqhM&4ds$pkft_bNqd|4;k>h)zfO4@9zIs zm(RuVLbtub4I^e{+1Roh>#vu{DnB~w@cYvDN!L>ni$q#2J<7g{Uirp1aiZY_-9{O! zg!_G^_6ohnM6!2otC!34mvi2uTC`2XVsXE~{PlLh#*N&jvd^EpE#SFgBoKXceM^17 z^{VAJLuyws8w4%=x%0#JKK1Kcjy_q{y5&*E>X#w*KeH5lt6kay10H2Z9bKI%x_fe8 zqN?jrNlY{ht^!CnQdC=m`*$5qk3Sxg38eFEGgd{|5kKOrRqG diff --git a/cpld/db/GR8RAM.lpc.txt b/cpld/db/GR8RAM.lpc.txt deleted file mode 100644 index a463804..0000000 --- a/cpld/db/GR8RAM.lpc.txt +++ /dev/null @@ -1,5 +0,0 @@ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb deleted file mode 100644 index 174f70214bc94e76ee589e794f5eb8773c2a8f88..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 23492 zcmeFYWmh9j+ci84?(XjH?(XgmgF6fk!5Q3PaMyvs-GjTk%i!(=mzVQ;e#HCbURg&5+b)Ut4KwQ+JJVPj)rb#*gmVj&T8x3M=T z;br9{VP#=v<78%IVPhjv7bj7$akC(iG`Dg4x?PY6;(xVR>;CWXP3Zq!{_kQy|NoVF zft>@96EN5Pwt259D9CZ;WyrXcY6UvvR~MM-65)rF;ZAPuBSOvZLnurOFvuu|xK_!S zY_ThTF2d~5$w?Y$h%Kt&+nacsqhFZEn%ZLf+~AE5L=$Qa*{KZVbu$@HNm z&Y(AJD?dJi1Y2I;ULGcZ2zN|Joy(7Ic^{yw>Bq;T?8|jm@5O&Eu7(Vpciv$Wp@%X_tTYP71&EX9fI&=6=6EY}bhUENE7?HOr%qp?dr-!MK;; zJmSnEDA5GH`C6odX_gY>p9!b5dF6Sy-U?dlj++n*>d~MolW&(o7R!u=ERx7 zS!VjaUZS03HRfI#cH2^Hp0aDzR1@Fwsio18-tqv&a@2oNobJw%Cdaq0O5Oj1a^LYS zw^LEIY5fNsd^(Vmgz+Mco8w#3Og+C=srbcmVRr3zm-?@%x2Kj>uVR8w`N zY&GV8P*#8MM7zzWXO895|DcYC?$z#!3jgu^g5Hcr8=MVIm;T4|3(8*OT4iUN^dHYQ ztr%mYeLZe}p~?Sv8dPL?=low6|8ErkZ=(KREdKu?H{bKBXCBPtt{=;ZOD{@Ba$o#? z?YTU0+40WWQs1fD7{g+y{{|eso9~$=Kj|?o_?R=4@DP+R^j3{OU)tV(+_@bL(rn{O+il;{{taS`mtiTIe+fv&>#->?wyh3d9(@aX`C&cOpy5GK~hLWSaHxO3&SHwJLTVDi8F#z(W>INq( zxiofN*N*PjE9!c+;&t4VD;W6RA!xycf?)!pOdd|+ycLn+R+f?Ta*v&S0F;ta;m||T zMr!rPqVUD2(ogCTZ*TL_*LgOm?*PA76U83^@ekeCgfICKYnDe_@D+WMwxdoLlK zp5E-+-F%N#D)9ID>N38%41lL(`*i$=&?*92;ho7t4y8#eJ>0tEyow%cJ;LF@0WMRL zW@`#o6}C6Xzvh8E-I{d-7e1^r;&q+hi0r<353+&){ z`9&X}YOLToBfPCg11pyBk%A;cWc{{i!#aral9zH zhuqqWTR&DpOteIJ`n%@(wcN$gVGoYchA2f%` zsBajhz-q)Yl;JYuHOswFcfCg7%2Pp2oAtyIB{!>meh`ZIz{6hdd#k$$c#4{J&roHw zZauQt-xZdZ>FH!`4C|-qh_G11kQf|9W-b(qr8?pk)B@H#>=FupR#Wg4qk=jUXVn^P z4+8##cchHnK`7^0Ed0Mm(o)^G2WqHn2Rp8gn>bwRY0 zGQamR1q<83x^XdPW&P%W9sB&o8IHp=lpQQrR9)!wtb!cdo#{OLgYGUsznNp54+(fOTxeBY}#!gwd{*eLUH!Mvr zyc#Su+IZ#4nuL*ZYK`C%&EZ_8L%=-W%_1t&y_t404)T{7+62 zyRBW&Av?*=FEBFtOk%{eDO!;@9_sd5oW`=d7aF)}YJE$ZLl+P`w#w;c0x@D;Rl!4+ zPYifDx?9WijfLX|?k_wdqK%gz?S8$4Nlf%d!#Av0vm#>@T=C>}Zdd0>8~pIze0gym zY;KXjh?^L||I%}Fo^4$$SYo0OrfGFs@KXgAb? z6$q6!fXTj9v%HQliHuQfXpjpYm>6qh#h{j&mJlMxw)6;=uUMww1& zoTzt}tCXt4bC`ii!G`Dj$DD%=&j@p}4s{qRK-9ST(hl+1;ljr62V3nKOGkC`k)>S& zeM5=EL^^0l=N%H(8g9+(Jf*>I9Ix@a=}G0#?Ak&fnt0Dk%z#)T4^*S=Mp{Vsg+f)U z5|XpAX@-d(ZS8wQe?v?Q9Z6S9^DZ04amNsZ^I+bH*eA~l65e?9aP}zZXj+efiA9{k z#;8iX>M5AuK2)NLg_j7gazK>camJsllOF<&c&}x4!4&TTTnutRAdyAuE)ur-BoM;< zkCuJYpp*aLA1QXjnJtYb)g%F=a7zSSmGRnyupZQ1tT3*W%8-*pIzQbNj zsJwldh->b4iDDM?Aucu+@{%?m!paE>_BPe_yEmCQ)LEb zF$dqkAasegAX6pOjE}R)Hl7KkTe{Wsz*V@u=Zwxpx7YLcWvr@Ky79`I$DzMfSAkG; z8O(JXU96c-20i^VJ`OXm99=}gso?B%gXHj;SN+V|keK;)T~Wu?2v z5_<#o+6b;POP0I=xAR{c8&<3Ii8>Ez$dN9MPY@w+5hdz^vy=au5CCSTPR^kLRvx6v zTq+k+-^8abvfS)A#y8FZP4d{e1peI^Ti{#(T&%WdgGriCr_|0Mn*IjJfv) z@x_pgXJ~l_DuoDa?C&hz!&^5;e2V)x%W(QZbuUninFV+N6)&iSr@^n}@=HzEefmnjlCVXqn|LH8g||OYAAblU|CoQLx6Z7}#;K_Jqh@9>&6)iQGG3Op z7QTrGSWEPRJulO_L1|46!Hplno+%pWZ)?#XjvZ{j7TdoG85_{A9VWaGcKp3*Vovo5 z8K^%l^`jq`|0m35Zjj!}hexnHoF8j7?}s44I55cecjVRAI!c+5>0FU(NJr7xVjXAM zl?b5kZRfeW_~+Myo%2lwTu$gPBw2eilC!AyYe3N|M;!dX4?`fUlx9ftR@dk2IO^^$ ztN@5J&*!72!fe<<1}7G9hm4i!9u^TE;)9I#y<#Mx1{SGF2BRZ_JrRAcurOq zufiTGO+s}uoiTNiDMFt7_!%l{hZ@#^H;>S%zQg!gt;xbnpcd*|iS-B0p(8>^3n6oo zX`EgiZ+T|QK?$}N5-N5?omcJFkU)sN(l)hNb8DzOr0@l>9Sb{w(HzJ-?LNq&d@m4f z27mVI&|stEbh~$G9J$+PsXCtAyt-g*R`+?ImnuC-aGS~|wR^WlEdelLV-ar!u;yx$ z3@`NAHcSDKVXq>$(mvqg4(_73yV}A-MrUX}jFJ9%>S!}85w3Qp>TRnv` zRt60*@gFyj+4_S2NE-$Pvoiavol5=-l`9*PLO^gOHeGhyW|Ass+Q|FBVvFWtk>7Y# z-kn{9~o20A>gwz)q>-8(t4t*u#Bsry5QRT%YR$)AlX@W{dUMc7R@*{?*LxlQ3H=v4MO!lqMx}J8g`$9^?I3-X9~aKc zPfriga0aFs40wm8Mi^(-w)e?3ni-NpcotD!6IA=G6g)xDf&;O)J53q8LZW5%`1RjC^=4EfQ~ znaC2xhAzNYa1!Rdt=Sb5&gfKv20KEB?Cd~&TH6kHGPaO$S>0G424UXj8Ca+sLq(SV z(!_IicT;qjg+SCLK_qoo&~PMh6fD9V9!`wFlqDybsv3Fjh3KxNPFve?6sHmpt(tUftF^9;A`{Si-$M731r-}UL)sqlaxhdvmmGl^zVfzJ z9jFuI4UwYafT3%8HzLoRF8-;xCJcR`JfA*X?tnsqFu8K_A(wBLgZn3Je>BOdoI( zawWhsxnKWYAz;9^h@PSnizr|DeMnbrI->8h7vpOg>}cMj^PNx7HO16O;%{nZfK`6p zBCps=9zm@n+!Cke?^?jXWUSx-N8?lKL<|}$`xt4dp@h3-gswPd-8ni} ztfQl^JMZeeJ~SDbP#O}v2bQo2wRh6!SojyC6RZ0WWzWP9Bq+%QrqE;;B;46g;Yfzay+R^z9RuQq)_>V8YvphXxM5dBWK0udII#G4FU_qmx?-UX zl&q-(DG7c-7|sto{nt zmC@zYP2a~I?&>Kt1y%R>Kbi6l)*23AufKHg^jTQutUEz{ueB#BRAXAyE=CWu!6{*o zPv)TEj9PxQt!~iC85PZgzw)@-SCLDY_pKZ7HFdu4PXCYOdF#VhgP<5Qvbfl0zBw(y zozgHl^AVc*f%4KS!5w1Z*}oQ}Q>699lePnY^z=apy7lVTZ&pnVbz`fklt!TQCx|C@ z`5J(~6wDM=y?OfSNfoQD^Gzb;Q0Vi9X@49vh;Vmz7!&(b5(9f^&a}TGS4tW)bZM>o zBN=L;mEZ1JP&=vKK1WNxX242+I5>!8_Lg2vQwG6546J`ptZ$+36AOOHhc&Srb7sm= z^vD;@p$iVh7IG<*&yZzpFuseAvfU8hX)DH~NNvdiw>isaN*E!J_UouGwIQLTf zX34BF=p002`jy69dAf8x(N;6;k$q^c>lygEoD2?n+q?(F(J+05_#`Q$!~t}V&aDDM z96S@=iF%jcPkXNa2FkQYOCCN$^+Vj$K5|6Ohg)e56Bhu5^msRL0%~82X7~Mzx|@3RULnEFndHH|2n_r< z+Lg9LY$;$QKsX`?8LyT_qSC5T-Yz=&=eyNGJ`Yob+iovc4FJ$k{y1b;%tYeb=>ysy zqhpGRC1veveLC7D91e|SlMtYYiBkaMoY=!Vj^-=PUDO)yrh09M9FQElebIT>$;7A< zPt8xV;T&->%PRa8zKC5xkzZGt&SpQrgFzPlp2k(X*3gNDap5+S6hLwGaiKC+o5VZ7 zHc%POgVizPt$TZLY`o^xfBad3_>}^++ip2SQ?zo#MdO5qlHBl{*iup%#rO--sG&Mj zxxi{psgl>hblckH$MNv1$)uMxr{|jvxt;&!WH(7jU#W`yO3{3zOn0~5=YAyUpaa`1 zS;~kZ(NH^-I>Z-X_wq97aT;_Nj*oEz`aZc5HRaCCZX904Nla4a#rv}O<0M^&>#P8m zPrv}x_ONrWk8he}y43zc3LAGB{A$iBHJKo@Xmr!y=9(u3|F@+2hX7<(ybt8xKP1lv zB~FRVfz`oXdx9g(5OsH=MRW4}wC1~apm!wPTXdJ1cnIpjR9DYMu7LEiR?DC722V#F z5z!XLOnrsv!gS-~<-8N<**Kv*NW0GJ(=R+s534^GvB%!ykUnL62!6migMDAZiLSB` z;2Z)OjJL&IUA>!Q>VxO`QRkgE=`u0mRS7b|1psN5fr3%dCR&>#pTp2{j1kdJ0WJ+E z)`?|-^xq|aHOU+(0?1*&D>MX?e91hk|KQ)xOhZpQrK3= zjf9^i-E_Q%ks+i%fPY!boTlSWZPnrB5x~v_DLT`i;=48KDG9o`UrOHOba0WWT)J3I zV04J<95(&nWElE3+cFUF4E3cc7;G4+Vg#Lc1|f7&=j)Iiy3pAvgwU(n1Ku)>+?nSD zPteQJLFcu$tEq%WgPkHj%T!sP5fSxx)%=jyqw&7q{Y8Z_`jNvz@ndHZ_H_zF&u)f@ zUyCScpf3KGI9lXUpQ#e|n^9ZJ=k+;stagC0AgG3X785lg|AJoVH?c4YvLTXa5M}c& z7kmd9ShV6=e!xVYep%4atpy~`-`2oRdwB8wY9dm+GK?M?hB|&0^n(J_n)3Y6a0`v$ zfTGj*iUeI8JP;0R3b0GuK3@$EaU26V766QxP4_YUi&9s2Ug2opS*K^|E)71!3TL<% ze8#sBBb@U4(@5qALp=MSFJeWjsJ8U4J4lx=_p-s9tTSq$j+DsbGyj!4l>F0G5_me`!iw9Tkg>*h&kdz_ahB>zZ@Y6r!mf4gvOm|UIltJE zYK=l12DW;ysxE$rAVfE<yU7A(njT0~5w^>7}PpO=P%{Q@|ZB_W4TZi{d^5zA#6lX#5QVe)E7W!_p zdPmSI*oOD&jW50P4KH~a73dWu%hIA{D6d3`TI^=-x+}Ol20!%}CUK26Yoe8Bd(9fS zrgSwhJvTn|!#|4$QI&bNTQt#q*lz`;1A`SOme`j{+;uA7!8d2>dXZ4HzfuD$wS4x& z>-pBWs?Wvo-4j8BpZ(#A83hzm72SV15#?jK86wtZ-btV(2Yg6mgJZ2{6inYBCz6 zi850<8Y|36zu#A0yo8+C9hR5_{s|IAq3b8)EO54a~z+8joI6Sq{s!xZf(b3-3Gx9 z2IPmoh7x2N2Sd1{yFSHf49IR)GpJJu!(?dfuaDG4c6DZKdl+621|)`4Fl!J~)7__$ zqJIH|dC122z&3^Qyy81usk|ZtjE}M9YHJ5StiBTr490&_bBiCk*3e!qRw)z0#&vM8 zh5S$W@{>a8t*_sL@J#T(at|G#R!_gJyfg+7#~54e*m*}uk-mD+DulXx*0pdymrhssAWFHd&yrZsXE$dzG+jzys|QGe?H9ErEEgL=e6QDHgSZ@sD0S6 z4Yg&l8G%KZa987<>R@8MvbeQ2KlPu%y-SRPc&}p54em1rIg>UVSz2@6{RED4PfF1#)+B{5+<{{1sI>l z^Es%xuJ>~sB>1D$vsF&@YN$8d*1u5S2EJrj!0mZG=b$hw)VPziVT$D!04rg8$&v`K ztBeDu{Ns|P2?18zgw%D|N*J2TLZS1M&{07Eyun&3n1`l*&-MHuT_xwq08B!c`tAIQ zU#}`HWODHV-QU2nyCI8C)ggXd&OJ}3E)M&JYHTc7yE2x^-yX_gIKZ;6+!PMhj1W{a zFl_Nqn!Isqu#;ljG(zDaYNA77%K%Np^z%D4QKdI4>adtX7I8r^RGFWU!sNgJ@nY=AvV zA`X@;D2n&7%1D7hKi7p}a)h1#vQ? z-e0gkuZfO3n*Hwmn1N2q(84cs@%~TXSN=QCkN)>1N86yopS$A3z9-^*{U^x&PbJvT z`XkCNCdTs4VLe@^$EZHZKx!?iGgYpTN8m>9qLc~H`P`4wiu~&&mRWO*I%p0b&wH1Y zIABzl>_D~p3=H={VC+)BCxFUfBK&C8@MXS)KzKc1GFu0f%iS^jMjx7FyjDHI6tLqb{HO1;0GTg^=mj}~u_XwoHH_1?KNL_M@AP03 zt@!(8@z>(EmeFL2{bw4JN!KN3{E_rvLo0Q)%ubs+cYKSjKq>#-M%sRx8lbxB=529G z;Mt-6Pww!bE1yE3tvv)&|rg%WMkN;8LkA|A>^2@H9kPaT9H*Xd<3!kd&aQM5GE0e%5WKMi$_l$)C zLlXgF_rqtGy=Ou|l9_vM&5{VWpmE9q`{12^4#*&P-&=QW8MF_1=m@~d=ejoEs<|^* zT74Ln6;Kz*JDXs?D8iV&6ap;hPA|JH-DxKYWxmgCY?%Lq*`DoG)z|Fy1U`A{k8$q{ zqqm87*g_l|Mn>gG=&>jvE3U@$#m#;vC4-fE8PP2O*l#ok7ggs@xjS1MGD zLt0D__=^i-tMRUI#(MWR5)8OqwtHVr339}8?q4YiIZ{fgO{doGHqsYP`zZ3y9qQPJ zpN8MH(Vl5|*LQfQ5%o5Dsf@A@-xZedpD(~mPF|DESN%oY{K-UYJNV;eY zXyk<#g<&{4#*F{ibAg-KoU)XFbkN(^IQoAVm}CPq`!UI-k)7n>a0})0+j;+4vRaQd1U3Vz11t(eV@5k zyEz_}xV(#ZWaS9)=`5_!r#kx@x3T;F-*;?|cP(jHdPDF02X?JBi?DFG&C?ORQ?)D* zgs7A6jW6J9fT}or9DU2|B}_p%udPM4ccVrjt_+R2{xqI zmG)AM%YpIqE_W+9QlaWCi2S0<=N(-S5a82-}Ix|o!T-?=`Vj_S-H#FwyntgXBM*W81ZTbd%Ry{g(!wg+a-E)?%;=6I=) zA3{Cn{Y}o;z{ppmRxvrNFX85m@a9~#4w`a(wrAe={yX(69z?_La{|5z=kJf~Vs1vg z3bLR*u571Go1BU^)u@i%Bi}q4v@fAIZ4)W0>LOXwdlgJ$c9I=xrLOz| z+l)b}eUB&bJnWCOMDRkyYVW_@PLY9kZ;WR~i#rk`)g~T4hiEV>f70Qq0|d zqLMsvSX3hqU>AFk%bV{h#CoMcNTG&1sn~ym@R%k81?n6iH zG%6(i12|z@=q7d3Mpd69@M1dmKn}aVOzCh$j9*YccZ~4-N0MOy+~k0M#%am`8M0?q zM!C$95@bMh)A;np{2O3&d|q^LJ6(=<`#Tt@+lxez@F|AoP1IwA0J%~=c~LC6jE?1J zJV&;L8F9n052>{fQNmbnT_1Kt%v~(rCYXfe^jENjm-fAUN&q22hsdu*g)LSNlZOv; z^FAZ~LyZO`%{CV~4dhJzXe^)>;FfB}pC(o~^%fOSqy`X|^rI?w1dp6K9eXQz8AaZQ zL86c*dxy9+mLpU4UF(p=$_rQ#AzFVt z`xrYfUJiA2T8@jbtH{@%yHi0dc@hjKlB*zFM=T*$EOaC9Lq8Fc^Q7=ILo8XQR$U|V zo+=hrD3quw;+jRE{77YqpjF0by71z_$D8(~ROMS&R<=Vs)iUT+BtFR62T@Im~oOdH=ELdqX4{feJlDI807 znKf5xK5IT%XsoE$$Ye4rjB-acos&Zz@_4qiP#o|@0bKS3K*WxHXFhn#oFuR(t#DZM zOEx#2qh*)}jnrd9oOpk=IYb?c^a?}b{7Y8_ELZh?P=?34yT5)qEg(8wy1W-=ik>N4 z&d*jwTqW{KA6P!oDYQ*_2y<&EVv;gM#fTU2d0Y8KePx$fwL~6FpHGXQOkbR!s|rUp!4)ijqc`^gMJ z+pOC@)XlH5LzcU-s>RV z=w0J$RT58LCd-W}rEQU9uY4wy`>Q+O@Bs;iL9)C(p@kosW}tPw3y04PqUbw^190`{ zOWR;@%}t@dUcl{*V%h^OF}Tj~CVVP4J{r#IivfUilkS*wl zRMk;Vg-eyMup{!RM)cn-v2;w2tLr^Q`IwO|EflA~bR-~CenFwdl1Q{xTfhmTB!*3a za&YkgI=S=h$1%>qIw#W(=LtRsfH47wQp3YN{>TF;V^$>ftfZ=!{Xnyq&OP?Q{8=D5 zjX^mlZ}f1Y`q146-Xy)6bF`~Aw0%?XDdiBxI$R{;S5Y@wS#a5TK% z)26ZwZG|$I+b;P%cVF*%gKW%)bBsgoccB5%7CejKd@{XcTQm9rxa4MkrkhJy9y6dC zby_pWOGruLwsf#y6us*(-Xr?*%xIqGWXHl?F1!FYlu(@?0rbbsNWO*sc-3D!?OvO} zEe6y`|MFKtL*>RHT2&|SPv_rxDb=eTt>$Oh_`Gx6>Bf86I_@ZdQ@wn2GbPQW_Iq;W zM0IFEx>W$;U}27nltQoM@oF~WSp_`Jh1|n9)bwSt^uQ14);X_P?xUjj+r!JRtr?fu zNue1kyW)?0M}L4vOBw%xhYjj_j3dyPVn4zX<3RNUUpU^fh`u!`HV^MSUci34fOOBn zPdFt4F1cDJ`#)YA>lsH1R=E`*(^A9^s-bSw64YWEicb6Zx6ZYXXL%a4kGfu~_aA#=aX zo;vzHv4z2JtB-`A-lVsI?43)X%N)OMIX-A^{qk@W z;6I=p{pPr#GpiK_O{^4hT^vJAOIe3c`rAY39*G;CqR8Xt`d^anDnKhcL$9ufnVaUE zvDekBk(Y9N^4Z59HLWxiO=21rC!2Z4S+5__iNSbbhiu*)K93Rz|cIcUr0EIdvLC z4UX!=NLc6=@p%0)YP}S<5dE2zn18J$N{3(XFnv1bHEnTjbjt&b&6m6wOl$lW*=lv4 z-FDS?MQc)3;y6bvSj#c=WkjG1>r&u@nLwQ5nd0LNf4f6PBCimqy!U1%J(>_?2>bi$ z?vABJk2!xkX)-ZG9r49aH+HKF7&$7py$MkV`Vt|hZ1Qxup%%RJa49B*bZq*IN zGF5&mf`q^dIRB4tC~9uvatpTMgSf5KbjbbK0}f9Aqz+C#&tCcNg7I@{qr`81gAbwv z)_S!UI5^FG6LF&vtgt_b5iHs>RL%sCV9b;w<5qU~-iX_Cb0T_Drx^eKL~p9sS9&*a zk2`|cDM+=dGE~8~?2}wc_4qprk&GK<~^b&E5 z+DrXWjxJ+YQA>9M_00uHn4pi=D)%FOW(O=%Q3pfBV+uI|NUD(yL!0YwZPSePv={~* z(tW(9$Ciadgd!K~>`FG^Z$UP4FE`n&(i=MgHo!KnHcZQ@6&bVsv*a*l3?bDKAJ=i2 z+!4tU|Fyg1{^wqnd_&S!uY=b2Xm8RPzE<5`+~J*{{A3$XT?=I9s=KinypBqeQL-!0 z3Ag$sr!m~Pn<=uGs%EFmQ}+y2G&{}uf&XB^L)O=>J4O!rS8tj2fLA_SZN0QtIdt&q zcuBYcy4E_8aoA8)Ymx1fn}wJ5U;0Qb2onf|q@f&1xjn$4T1boQm)yh(>O5X;FTr=j z?%44#NFSu)Sp`t_*lzx8t@0ylb%6cw*mbbu-f(p5j}@-|H@k#X{Fk@YkZxKluYc!3 zrrmJ962NqQcHmFhGp=q0Htk-F1pI|>+~}lf(;$53Ql72&+Y0oX?Pveo^;@X8o?Od7 z6&zIt(705U|NQjkRgQ zC67PFx8)_9oA@m|jFR0*sbsakN`AKE{IsicYiX_f=+hZ4)d8q}Y@m*WwcH1_BG$Ua z-WQZ@iLr7Hm-5)vG@m4m-JQ(pCGe-_s@ywbw#)~uU#EIRY^l#V00jo7_ZoF+PB@1fo8O>eWH+wlkokzaGlzik_-fiXF77( zUmX&ZNZM2Pm;Lc;L@UI*w=TI>fIR6=kB4lbE_oNFB~(9Z$rlk|fc(P~s+2diI{78R z5t*K8cut4;AyFh7*O} zl-jka1Md&-n35LBW=5ST*l(DeF%%6tlFDJu!w|b??IRwL^*ahZy4+2K$QuM!sv62m zZofEe(*qsf0EIw!)@(-&isnVt?^Hj{tQ+0{u0Q|kQg3oW013TzJfu-wsDH|*e}i?3 zpO7nCG)g}$=Q#rl(Y$x?n=GxiR^nT?Im^KdZdigvXq_XlLKWebrb_;1EUZIg#w_Wb`J~sjKl|zy{AQY88$e_ph#f=R3i-?hamyXL zZa4{Yj#Sz|@kqR7xAZvq=?#z!Bp}FULh9jd>p58@M+dOOGz_1Dy98-sYct46nzF&2 zViLC7h{X)2gH^q4qIfidweN6bsx$I$z>uJjJRLM_s!}Q+TW++Hm-4pjUeH7uY|>26 zC!XcV2~l#<$+;ftmnLl^@)3e*bC#0fc2>yIO^5JhfY&5KT8eMM*rV-JHwCVvWT^c9 zfyzd2V>O8#Ie(WMhMy>1-mhfu)fk3<80rMu_3Bm9zfy4UDPB%C zaQZw1-yjg#4Y=-wm9LKZY=VYPa2Ne6U*P$Tmk~H6+r1a`rNK)b@e)WS;3M8SLgg2t zKY?a_sEGuy@y|P9j=eyFIiAjvi$WPIF+T8`?^H~jfzC!kkXz(n>ZKCG2q`k!7?Z4E zq|UAiWxsii%6?OJs<3w^T@F>1rh|hN^3ePFsqJFTtI}6)^5u4w8M#U8f@52j?CFLm?8+ilpR%#BK2#rGY9zxcJCZsWMl%DD{lhFI?_~ zKT@Tgm1b6Ao}ubWXw|e{_qn~ivM@@{u5RRqmt|k}Id6Mn#kk~ncb|f*8~E5FSqJtA z!6s5<44dCKE!#Lpb+R4j&9x8YmK@i^>$kuU2(AqIK+%h}3U$GB%{`3RJ z5_a?`#6N1mbl76k-?~1#N%TALHi9N zq^f#e3Ax2~;b#Z^Iai1(bPGJ6pESY6w!7RvC~ld{6PwI7B1gO^OwkrvM6e;ti}|mo zWr~JKhZ>~Fs%(^)0)N}~Z;S?yOscn%KFN^Crw6K#nMaRYLamke?k+C{5>jSQFVy1wD=DSzl+6K(KLnkXAjc^tRzCyh$KpSgo$B$!Z0TXQTePzq#dpvI9ycFV%& zru{9(QRR>bmJUk$4qyv@^8a-xkx6sSKa_xJimjP)D0KVN98URr*OPLU5QX#+ybYby zGjzEz#0bt@DWtaNpZozi*wzkNh;~|VXQ3;ZdFi*+ek^M+3RPR8@c9NZi)Anh6-~la zHc?IRgAkc-4s$DmEV(qCt%=-KMfAWn|1^keP}<2c1h3K>mD(%!E!vILJz6Sz`!Fvi zCN$z;RDPC4$4S~hDBSTf>GnaPf29cKFjQhO6OmhpWcrM8kBNW6O8UyG*OSAVt!+Et z^uNS~kk4Iqi?u+>QkM_A@_y&|LFTmP_rLd`sZoYY4;vo|C1C>+L#!6`T^DpMTP2cJ z@xr7LLk_egXV>qr8l&^k>1BsK;{wyddK={2&(O1&ED+cTo8i*;Fs`hI?7k);gGOLu zPc(N$D*Km+n`>=cj@BGPLZi?$wA?E z0hywlLZDSFh^V?LkvPQIG{!qdiu9plK)nvD5qf#kH5v7G3+SBpjQfflR?ugHUl}E4 zWA(;~9QMek2vk-Qvsm3QzB)j*y$|H77hXlJ3u+d(hVitRO_VC6H}1$a0y&Luqp)#; z{4(f}A($!gRIK9~luj0sWjw=HalP!e7j~w>ckfkFlMFeajr^rExc))HciD#8=b!~{ z1wE#=R;B$DpD9DNgZ0V)(~ht|llceSbbvCT45IFvo{Eau785{-%>=I@VDXgE zKd+S7A@ggAT>4;{7-bkkFn^dT`K|kOYhoV!Ti335*m#0aje46UBq#vh;@yBhXd;liJKVBy)z4a z2tg88oEHKxn6R5@ZGkhOsI9$hZM)gndAmY2b3f+NtXIe}z10{2R)Ap?mosRE;->n#Z? z^uX$l$U2n4n^K?GzRm@fP8ob4GV*jwAaiD!(?NxGfy{w7oUJ-=ODwkeKK!7B5}q`t z)Xcbml$YrfKu(K{MeVjQ>XaH*__P6~=8>jcTyO7SI1$1`$*t^xlBpLVlp3PfEFEqg zvfc$G*j56vRys{d=@kG?fJ%-LGyH>ZS^IdVepoQ7>aJf@zNaw90HL{j;J|ePs zUP!FT&j*8+ha7g|?XjG-S-QnPKbLrhe@)!*=>TVu&V=RBAbdDy`L~LF&N?Zdp4Da% zf~5&(vASOotsD}ymt43a^$*JJTY|I5g+u~g2r7&wo!5!mQ3*B^oOHe+D}!1^*Q;;U zC?*^dS%;OwrC5o3V!@|PI${3lG&b3G_ypUKqu%Zbt7F{BG z@y}HW6Ds#Ze|r0@c|&aMAl*Da66bVVCBG{4Q`cg8^WCBlo}?Z z-SWczph`=rDOL`+L~Y@&hrt0CkjnJ{vR2!aqQvgAT1pLH9GnVa>E(jJ;M;X`mXpq` z96FR5ZUj249PR{zE(hJ5bVmKVS~=WpzoUF8Zus<|fT20gB2Nj+YbDi?&N|c0Stmqx zR+D>>={*m*CcQ2SjO&4JxOBcB;2ljmQ({;-m~KuwuM&w_N$;#2HVTjq>AW|%{3sEx z=0pgKGEPtF*(JRbQ}B6=cmP&vP9U)ay)MD_Tx8){k8$V3X-c~B zC&)CX;G@fZnQ=A%;#hi8oF)Z^Ijbd|w~ExroY}c!I@=2$huhPczslsEehL%SoZ3tD z?orC@FR45)SUQ^t3-!*6$vAq`MVk9I_bPYBtfmB>j6@Y5{WzySt-4@g;7jMJ<EGS6Co7*X?dYTo?_|B5SGJ5$V|5gSt9_p$c!7oVq$$|04H>uVTgC}cekfA^Oe1l z$<;0C92h~>_Ly#~P9H9a+;1x;gX%{$^+M^?-u<$2TE4!I&($1f-IcSL0Zk~DC+^xv zXL4bt;5F=0>Sjl0iR?692o_@kxf(Uj5Vhw;wm*}9DTz_8@9_yc+SrNS8=!Re!J2w@|89q(uMi3nq-CgxGM>hbO)MhiF zqZ`~dh#QX*X^w6W#EI3zp_0mbdb~NHuUKje%iRh~UL~1s4@U}VwtifTZpoMn!Rj7OZ?qd-ExsDT9!OIb=yhWh3>YnQn3wf;H0q=pv)l*O?= zaMYAI9o3w$Jq!Y0;#P56xO9Jeao8pGsck#ky>Lq=T$dM2Xp0w^Q;lpmFRT!!U}Vub zUf@qxZi#en1W00P+Ytca5hs*RwFHp!0))wuhBFdRL3zf-zty@T1VjrU%Of*}17vl? z3Edn(E(?$?R3uDPqf3P4IdMCxf9mKofu=?m0=YQWhj5rUEV9D^Gsc~GL%`|U^N@rW z;1D-16O6H+5qe6n9@IG&K{QNYJZiX;D_3f>cQBmHx)PjZSGSZwNNm<~%HRdTdt5?y z1$GV~d!^t8DR?iSfY1V};HInUxbcPUUe%FPfee8) z<2`O-7ITAar^4ZiO3khS{VI*sE-#!Vp(kYN=|G0FLaEbU(YWe_6xk!)%%_gC%*`|5 zQpZ{RF2NCTn+jwJ+~CAAj~Q@fKmpx!W!+MW!ye(eJ9xp-4FG620|!U9OF_4XC3VWD z=ypKc{;;}-($S44W?Mv0q|hAQcw)9r>0A=I>yX{zwgKs(Ik@pmHExb>E9HUJ+Kd!# zi5jv&!sZPwqgNxLj&55OAw2!z zo`#mt4MA{QFF;lWGPvog3LqVl3-+pkc8iwa2H84sdZf*sY`0i?Iug=iDLUG1tYaxf z6>gVG)l!zC+W|h*HkF;X?xj%C9t8ay-A)L%)gn1lQhT$Et+aUdK*NNE%`#|@ZYL$! zzMgjK+YM#bVUg_+ISnsZP!$8EPY(q^!jPBsJQBVxORokxXZqM5(r82bvl^cD!f2E5 zrFQ~pRx|TzN!lAtM$Ut^DLb2{7avfMh7RC zLa&HqMx++Kj-{x4N{gSF!v{T{u6bJ0RYs z#Vu}*Zv3lDOd)&Pbt5dNMYb`JIl8eh<8FLKD1(j{cE*Zn-_eZ%A2$cLgEE010<(B? zbi?)MwCxWp9o--!WegBUHvqXJG85|P2HAy(TS7NT?ulfLHd|~{4Yky)azlr>^PIM$ z8lF~9L$}0ydL7!RwdjWMYay}|y44sJJjsjN6AZYVl?PU7TVD{BH&4}!P>#V3c*!nX z?l~r~T$z<$12eBU*lFw0t%e)AO^ef3DPxln@FsL4-cCus!|G{{Zl`q$*W0sb2VOh9 zHJIVRJ0r|+zZHi>SbAPa+)+YGI-d{MU;+q4jWZ1K!|3*p(>o?WwodxlH&BiV%rdM) zcC=?bWS2yCJSc+~YJr4qhT96!L+N;dQ*p!fSRReRjd)ul7TY;c!^dH_I1Y#Qii?f) zp4He$f!TR+yD8kb!K0xYf};lWL)tb5^*QChdl1qn4jp*ci|hz&LQ6yl>fD#92jnax zLpP~f9qSO?wrRVm&6=az1D)R#O?i%PlOj7{tkgTYt(P9_LLNZv=r$dJ0hyy)03V8? zC3J)2tN^*Aep@J!2qhefYswD*S^tX2lG^m61+C}EnIJ~$P(L}p>!4#gTN%>s?) z6xF+uyggdg3@4Nzx+oR{gx-_Tts@hySsv%oL)nz(_+YW6!**@8>+cnXiRPav)^Aafow+B+6uW)99 z-i~gw(&L86bVbn|-I%ks8I5|l)shW$*v@In+Zar6xNVofqY^wNa+>1>YRE~Eu^yp0 zmLAusBO)7c*S1+gw~6dp!OQi+UY))g0pjSkUSwEn&C!iT8B?20NRAhnv=KTT*g3cz z45v-7quV-Jc}p8Q(hw^D#SIP&N#@-7aKaIalXi1jXwj0 zo8^L|8^wWDv^^o81i8>Ne-O+7UoHmN=Vj;4u*5XaI};&e}&k+oQgej5Uv zk&$(*|B=OfWQ?hT&IJxm;l1A_KF4x$#+{X2+M5DpG6;46A?qX6yjkWV@IKHV)PuF^Yz z;HVqYZvY^?Ilo#3;!ryv`vu4ynXwv)Y7QVgB`68XPbWf_OZB~4Y>(!biXTh2E8=LD z4hP6`aoZz6*0uw(ts5XS-2mAv^_M?Xgn+Bsa1p{>&zvlq5ptm$AUx{7C_wH7FAN6=#gw8t8bEk&@U9}nEOh`m6O}+n9Y8jQ`QN@jby9}f z7PsL5VZq39Wi)`03;c`Grk?}I>283m7a%8Pp6TWQ!gLY0;Q+ZiQb71JX#2$LHP z`r)urb#U7%T7KoA&|e=8Zg$#wEUi5~MmOG-;!TrSw@Rz>T68-spI(k`#~j_xhJs&S z=<3F;|7ER_F1P!1rF1(h(p`bw@IJj9aU0&J*TrpH(9QAbQQ@|KFm7c1)(AVtr{v|8 zFY8vZ9k&(2jm4SiHau>zrHg{wapA^a99SSZ@dj=y2jfQUpAl~N+Hqs){tCzKq;TVJ z1P0*7-`m{{4~HOi;tkxUgxhkyI8}3MFF#uH3dilVaN|!2N;}=hbgP8hY&YBv2)DKE zxbbHzuW;P>Ha7k;$jMW3)V_%Y;+A;ZDcsBpPP{!7Znu@nui*MNE!?iD+?b^fZYzY_ zy=Xda!EN(k+;{-~K)9U?9EYD5c)`NFuqgAw>L~fZ&cThs6}J}LVlrp3{ZOl|UBb?8 zW5F$Q3LKWlY{EWD)E=ipCdlUYYg-@4cyRWe?DtqgW~BBN33LBFT^9Y5c(%4Y#BgZ6 zb%>1=oDOP+{^kZ~tDBeH{>S95&2L8sQqI}eejmhdUM zZIm9@b@y>6(oO2tb>oGPJ+ky{K*7=NLeIs8P)D~Kl%8(a<%OfQ8j{fMmP8$j$P&6C zkVme(U}4Si!g`%QD@*O+Re~E#Ae$1rX9B#ArQGuHhgaL$y})Ds4Uq{@CoIMFaJ1&= zb}_ONQb)IrUf8L~y8?O> zJcw{CJrFH?pmcJ9bUrLI_^O2F=vJ5!S?cHp885b72^KrLDU;`RsQwz6quXY2xhrnw zen&T+9CyHNlW^NE{p_aL(d|$-+)ir}Cr=0Hc3A4$A2tE6gB$;F=s}&HghtKL?MOG> zPD-~EgLUI8x$9B!a&+UH&Xdw<0B*b%ROlW)9G~u&K;EO>6(Yp(DS^ZbsP>bIj&3_d zb|-pl;^4-&v2a`&ggUy}Gn#b@Pf>fhz;kk5Te5%I1fd}(xr)Z&Rd5rhjm3zYfOo`= zS2Y5aCzQt}8;nN11ISHDKBdzZmJT3%sWGd~I68nZ!%(K}=L;NXZI!?WgNwrj>2^k> zliFx4y76?|$Q<3yNVoMOvu_t2-H6&-gLOMC-KIrqLWk3hN`6FsUEUt#nU5jo6xwQmtD|K#z1o9pR*3#gn!%7JqN?!4c9|tr%t9@F68^UgATcZTL z)@~^QPQ2}gm6~JeBb_=b{mfd&QXb^;w6S2N4@WoNUEzIDqc_km<@x(HAa`r6>3&UhQTncSbv< z-`&8@v6M2ylTiE7WyexY3v-+GF{??5=2&`Nr&xy+H2QEXy(EDLb$T+;IhKm5_-A>I zo1@!loo9V%+#KDe#O>l>-LRBWXxtp#z>Rx8E76W_aJ!|AB0+O>d!SQ?L{`w~!@-T4 zyQ4bYLASW}I=Zb?Ad!S7)YDBu4@W{B-GCQBMxz_J#qc`1ZITJQquT4}wo@jsI2)aA zF}#j$r1Sp4x^0qfC#9RUmUxQ_QmVc!7YlHU$sFAdNjF|cGocP{8>HJNRm(%LQFC-d z;C6jybTw2jC*GJg9*W~?38y)_P0NJ4A}fj9TtJrHib2|RV|9Am>lTBz7K@`U)wbf|9l785ji}lokv3RM<8e=!fWHWX@(%p$|5E+C@2}r0W zy|f7GRS*SFR>6bf2Y3-Y=uJ@kxC=r(h$j!~!AlWZ@ION)rY1D(E^LrIN&`u0a-BXum# zsBik2e5t{9lJD^PF}=LB9Ln+hgR{~=MpW)&awhj2;Zkn1fOnH|tUk}anA4OVu}?MAqnKw) zCw~J~O2f!AmTee0&!+)TmMgUcBGpT#u=6W2v8>hNc$S`pSzmBwQxQUPV?NYh2(60`u8R*5sQl(m6sGOf4g=K^+V&&o5;<}`ygzi6^D+n}K zw0X2eMa~Yz1LQt#uD$y?jYM2j_aXl~A}>n^$$KnKzTUW1K$iML%?J;QE?y|Xydg4$ z-PNxXM>p%>U^7^xF;oB=ZB4?Xc zve9gs@j$I7CXb{>$YE5R`+Q;J1ODnqggzBDdxrPfiIHPq5|^JuSJB8_#1_Vr%s%zi o7GmpHfT~X9fTX8g&Ur-~Ux$1c?9B|B)%m|HJO!=RV)F z2*jE|h@`}D<1wY~f6s-}(q$>W|C%&DqQFUu=1D{m#G$~0?1!ZIUco^6i}V-VFL^~& z#W`T0yy!wWEH4qmYGHWi;!Z(tU8 z)1zZY3w5$Ik;uj-s$K>agI0``8M?2O&g~PDgA_l2ExMjOLGC3gBlZw?fY&1Gz{D~# zLu~?GN2={LjrmD_bm?$1ky2{Hi%m2bwmd=2TCm#`0jyIAPqnL0;(ao3Q zQhu!Jq&^HsIIkce_nrn#N!-ld;Zlz?LFLX-bIMQ<7GQ*1B zjxDIj`9kkbWG>Arf0pnv9tKb&%X;jr?gh{(C=MTndnM^&tG+ct12+BXa@m{DlQ1SG zjL^`G%&vMo7oQ^>t*j=%(K{;IT5U`fEWul*PDX#(+S$#}=Oh=k8@VcsYssR~$Z3Zi z9JbPkmq@N)roVpBDtUCNI583dIeo2elcm)Jn7O3p5DrMQPgL^cMLbDJ=ea#)@Uz=Y z_mZA8Wk&JLb16EL1mTpwXSkY3{zSe@>P1;49AuYL~rFY2v*6pu=g`Wg_4_HKhap#ty5 zH;0b{{G_Zf&>K-=;=-H!Q0xG4_?DWDa;9G^R$Q;vCaE%@@SI0v6_?UI-ba#3>sZ#u zcpGzkSdM<>;(2d$=|wl*B+_ok%dtBJ=@V(t>i@% z$>LyD3Bz&fS<0Q(+YR*b7dV>HAaoN!-yD(@XJaBYORd#-!EUKO1N81O8HA>pnw-A%@uM3gfn>du!V+A|EFa&kmvwjPO;_zslH z7yB$g>I}5Qe52l80CMU{;q?vvA#lMvdjT8-Q5GQmGm`deEQuKoe-1rK^2@j)Y737) z)SJiNZ>KYgx*!dBS%sG_vLilR=|H7^NnjpzTUR?}w#SBwCvi-F9hHo6S<_H-=;u zyKtu%L($S$?p5PhUJv#mTeam~vgx5_>Hz>9%VNspI|At7W}tH^ea5+g1n_%|${h~) zKV5#VN00m%1j>tdD2`-XZa4{KH6}kYj=uN5v&4tFvPj~c_`s}}s%p}tKF+%2{HpQr z2Th#ij+DI9Y*HTkd3<06#8dD2VdfCekfmnMhzzj{ ztK6$1nD5`Q8L0>ft@xCtlN_;mWQrCK;z1GC=%QHiVD;{SOt9bw21F5%!8mh6BDcp( zc=e(W?G}!=de2u%sN>#eAuSwT%$gw)? zZm*3{-axC^s@SoWLVV@CDJ8wzV~1bwN;PsL8^SuwTTl}VX0nQ5QEpm9M_lJ2`TE6; z%UZ7Pwjvsk7iGBOc1yUlT5162CVN-b7b^12Ys*9R$gfy~am`;sikLpeUyzE2(Lg=Ll ziI{7cylWy0%~bkCZ&bo~YUVVG!{?RCn}ls`N6~J%vPi|F%}S6+mYr4{2TsC+bjls) z*#5p55m&~DnQpPJhCHk4ZDZoyU>`{UwGUaqVHCN`rpoxw-%BdTvMr(uUKq}Z>a%}mCNeS;D{Qh2i78xqggFXIJO{I@xOz3+uo^_X4&ZU|}bXOV0# z_2E_YvZ#Dh{R&7BBYhiWEgCxF<4vCse?fSYP{wDUhEZ<3!)9VK7EG%6pi+ zfkufuKHyD<4SdL^&tXUwVv|=y0&jVqw{JMF4et|Oa91{+eF1(=1HR2}svkMihn@5< zOcEbNu1QW&#*0MPEAP4ZMDiwFXMRmXzI7}WpWifJD++uM#%^bhXn~%6XY^tZ5Zq&D zc3Cx$VqncLV{pU&zwfFaQheov~_{k-qxwl&CztH1`7@*3+uvcSJE14Q0VR@^fG zXmiaqm7^1;tPGUZ zp@0vm%yoE|nz6rAo80hW&$X;B_o3}&{i-oNs-HMRWNG?x)e@I`*hAniFl9(|HuG`U z!E*0pGX?mh2?J&O=hhyV_`P`FxuiJ09{DD%zDs!HFimvpf#n|N>iFxK&B?!bmz_4H zIIg)djMty9RGE_J1YQV&xYIzjA5;+~) ziGosUv)=@YDc&?%L{Nan3s-VUJ|lrx>)LPo44MZr>kKWUW?T@<*Nq=dps8Dlx$t7p z?>Fq0;U3m*RSFj>O}S4{*j%^LUnjK`?^mK(eSFNtT=HC#^X)7M>sv+i74u)ftx)D- zvW#(!l%m{=k%ue(NIz}&luxJ?@o9Ok?&e_p%nxg_rFqrqhpH^a92LJyI_=knYx>XG z9&5cvq0Eoy@l^hd6ahL;x8Tz;AC%wwv5$42dHvw;^6SS1Qv1(URRT$UaVb~7YNBcO zY~GfwKbMZMZa0i7b7lMr4x5%0?LP(J@BpBS=&mpYP(YO9+Km1HM***u-;A5+$}~gi z+g0tS71CR;;l(zsQSBn~zp6L*GB3=W8K@@HQ7jxyfj8%iUz1+)xrzbQ z0=$`TeKU;EoYL~cu$#>IXp)Azj@m0bu*N%FxAP{G_NwV&ztMoGI1gF5YP3XnI_;*` zhA+pr7*=Z8oH0QU`x7G+(}X%5*4Fq4LeU;ZYJ=MGTL`!b$JFZh(AdQY zS&AtzU;Ckz*T6=lGQcy_!$E-=fJvKNDNnWh~AIUOE7#yG*(aDrN)JM=j z*A|#ASA{E`9@}noUWDaSJcb?EnaahDDKhQpm=P)lf|~~o@%}ZhA4ssX=i`3H-EFzH zg%4cc*G*24ttQkG2@yM|=*H5-ed^WarJTvT(XU6pt7F~t0SK7g=HX3)XKbu(8Nx$x zR{6~7tLE-B+J{b0bxfA@x<{$M3=#h!(EYjG<;UBe6c=5q= z&7>f-e0zwN)r?c@a3nyK$VJo2MV8ET9x21xgui9@!*pfOXCPjx6NMWrQ8iF$@F%JO zff_A&jSAwT${fL)@FLxJm2c~dr2p11G$s(A9@SqW)XSOvIg(8~{bW#WNUqR%5Z@>5 zKsUY0WYn5qITX7z)N1nlXDQ@q0RiF*U-&6)PI~JdG}?Qf(>j?*qFkGhgMXSPINnXX ziyJhlPg3fY%VEPV^s|MKyB_((Xbe8PoLt*PL1ey;U+gk(4Mvy`x1*ql2YJM*i!$#I z9h+S72K8UA7Hm=RMD5AEDg_#8!^5A}%+5r&kjlngFm?R%1`9eUr8?aOLs$}O`xqI> zm8DC``P3Ywr*NBgabs`}4*S^1{j5ksVGcgOWgr+GConm84ux!Nc2aWoHTAIIzW*=) zyE?e)|EAkg;jz<-NyhRLoURlWN*9&2NFID@hDWaC6IeHp>X5=<)WNVXt2fL}R-pY? zKcrtX^F#6}oM<)Sm}6x1Bk_QhC)`Jhv#fJwiJcZ*T+!CeBw_w?;%CWbx|Cc82ODLv ztBl?L@obiJNn1-pcSgh7{+YXD2Ehz{R?-Pje*FH9l4}Zd&}8}N7p%3$pUn-R`@ZJn z2DHbeU5phA{Gv+vT}I~Eq?Kz=`m%LRe2Yu9!%n&f@Le5yL=;9X4UDH9c z;NjG$WfkLUH8p2jb?Lg#i*?W9)*x1SsT!%i8I4)jzD{fC!l5yEYFih+xT%j?(a}Y! z>gFU?d9<|0zT*~-kcH1R*ZKfoqQPfkk-kErS!^^lhKI*Cjp&Z&0U!A@?eQ0D!1<9S zDm6v;PRg0L_?`pB$L zJ!Vs(QlqC&2Zu~;8ujl#^)rfDpjXV-|+?M6GK$y!`iNa8J*adVZL40NZ485&(%+}02eeIfi4mttOx z(^e@~M=r~i^vl{@K1BhYeaZa@UVT5lLcXf|D&&5$S#F~|6CnkQGxY;8>hYBFXU3Ur zoH^0W_rH&*x%!Q<&yWQ^gOu18Wc+*2C)`>-V)F2VDgy!{ro zMEoVM9ktTu*7(_-cR!%GsL6$}sT15BDA!2Yjjgki=a*xvj_jlg^0-1qX+RT8S?zyf z(k=O`c;b$0yozNgTxRg_1ct)w@MoY}pWS<4XTn=oj)(Jpc`q=)6-&Rr_1pK1oT~W$9LfwlLW=5*B6G+?h)D)-Fy})zW3!si~7r zhR1x}+M=G0!``(8P0DUM7?!`89GJUf!-<3ZHaCSB-iJW*>ttQS@-*rXWn~5ut1Eq% z+8*W|L&T-r@oyYtCQH!mO=Y5Ln@S~iE#RS8s$L_h5Aa!KWvUTw21vSQPK#DYtf^c5 z*G|Zo?7wnX99PGtFkUK`GpPjIt!I_5W#eOK9j*^i)()u~X~GnE;|@)zpWo?*=H+yS z`+#)lGicmA-|-^6J%YKtEU29GTV_EIW?X7Rr93S-HGK14fc%wg5&)kDT!Au|6>F#A zLxjoMk}T(9g8=eVD@-EhY1T4Z!@PFcvaI?KsQC@DsOb=G>};A`MoqJMW3s6j1W}3! zao{a+c~>!yE0>u}=#TZRDzd0y02WH35ALkrd z^gpICOJYgo^wR0Jn6)&sn7Mp{XY$)S2RnX~R4gN4ZXoS7WcpYQr_`ul{*V`dq$H7x zbs*g}s-pjUP#{XguR1w2vbDj(SZ}#8gw1-=8$Pm>PU`jA@h%!TCt^JN58{I#@5#TZ z#WSg9!E%PFyRr)co=;ul<8+QNDxk>s0ho@$zEaH&_vfz8n?anP?#j@(VmXJ@)nUmJ zjkn-@NHcR-yYl?f+kJhQ()soQ$*#5qllJ&E`I&x0n-5sid7?%u>G8)5zOWx@PN z%d`4;<3@TsDe<$woG)ggw9R6_UR0f@`l4RrR)p(N|vc+M>mE5HNhYt`Acw$BBS0W=>~dKNi`!X&eWlQ zLT_mGW(^wyw6~T2b?9TWhId5^vI~6FaD-^Fi>lG~a_san;+IElZB8WZs`foF#dqu6u%$cJN{Ld8GpOAnpBPZLidUhNa$}X1yD<$e}LwIq!#< z>xeO%qrXBcX-gVio9m{o4Dy;kgNF@g464&Ws2-c&3jCT@N=1}v<9WDNEF045qT84y zOIx7G@j4jvwn@(o9V^z+j6+aStavl$GskBwR(#UWDAv-&bBJ5&JQ+Dm?WSOjYFL}Z zD?FI@RRx7NEm-@h(yxMlxH@P?u3Bu&NWt<2Ock}!1;<((e7DbJa68@ZUyNpSC8Far zw#l|?P96Fo>{U0w))I2f6nzfmmRVOqK10|_kZxs*MAvFt<3O+>bhD%tBaYpHyuCyX z8_zp({(VVT`1rf^&J=<0)y|n=v`t;XsHi3fc7hqM*{^PpG770j^Q!&;2ueOMlP5OZ z7?+Kp)eP50&i3DmbHmi{mc#_@8bWeBo)FN#^glHN+I$(*B-Y5h0(L$244sM(y?pa4 z)c03HXX4m=bq$hYn*V7`86i-?(614s)8EZwssX>1y=(+{GNMY+>KA z>cK~|$EsP0faKecHp*%n##YkWXLN^J#^EUlAI%xyNOfjXwv7qtBZ54CMLo;L= zeL0oUQE&-0_iSv(8Y6!nP+DWPTV=YthU3hrx4(b%XJGz>!zNh54}}BooX*N99@uPe z?k)my*tX=CU)(!0b#G}l=_o7`_r0?Z-g9~7+gdpn;)x~yIMPX*S}!vxtK^C|!`mCF$6I@_CcH^& zX0^`MA6QsQs5avYTw*5e9{9x%9*&T)AH8GP|BJKPgjMX@S#K`v-5 zAkdmHxgtyPkZ`nk}c@&oIT2E$hC(z<+xAfkOK%-Qx;>nCs+abj<<3{p=i|gZz z>66|i5-n69nBZ&{^T24LZZhybk;1!Yx=SKs)l2WEUH>s!t2o=?k0U%I&9_rT|E=R z9d0k4Eo^Q?YM^xJCdxeg^J)pbPD92}*q$k6stt7iAcmVD!jJ=3ss-UHl`~k2gu5tV zpOo~u4u5f_mdk1R4H|XXl;QX$rn0%M{Ury4V4J)_!yzFo7bI9&q^|0Co=o97D2hrZ z`Up*v8N)Z8?vOOGY&LDYuCOru{@C#dMR!@rD7>6(KFAHz>2zxF`2&& zexnOVhmG@UbGe{m3z=l2>X?4Ml9wkk_@vfkqHu9ZbUFQ=r!j&q71%x`wd*yjLSOmPL`!O#ag;@q3?4?))L1bShA zbsU5I`bzO$7;(_D`yhX@SB23LIVoNU0m+-#F6zycWn$O>_wTSt=6}sJ^1848R;Cw1 z&~;F;Mbw|z#^K!i~c8bJ}DitoDxCT?1+~AD(gHBXpWPJ3CZQ1Jo|Io zZzR+3tX^D@VlY5{qVb#nruU&B(%@IZ{2K2Tz>?3;PYp}arBhHM=hjh~x+kVWQX z#x*&?w5*YS=ZrI|xbp1|Go_bUAw|Zn;83g2_VKERq*^4353DyZ`jnps$qI|>UZfT^ zUavV4>e8ZQh>DZ=Mi6aolq;_A2Vq0}KS^!N`b44v3K8^5ikpUoI>Bjy%pLC?I?PdO zt?5$#!QXR=X(UV-k{esb?;=vFG`wTjoP&}F%K=7ENiRK2a7dCHGorG9^N4pYvrO6B zFnNbx$gKHcR2EI=M+qKUN{K3SIPB9aX&9XC%3YE0HyXWdQ5X{8Swro9oZN6MGo)eK zf9@nUNHPl;bVkjC@5ew3TYt5lx$r%n3*@S<|Hnu6Mx0( z0uRr61z!kvSBO*o936X6j<<|3JcE`QEA#8ZB9@ee`k|Lln2@$d(N{XUB61*Z_;U>| z^$mkbu0SMejjKXT!L;<)ADjFquGgrUY!+`pICX(6|M&z7xMX2AwH*J)7|Q+zU~p`@ z7iB*Q*km|pe;eae>@D4|G?+9A-E@Vjo?bh@0z92+!{Q}iV4dx zP2yEA8kWRG`*W_8>Ms&!bj1A`_BS8Zba659RuG%ePbV1V4gu^td-Vc2G~m;3Ii%n- zzcSB;xItxBth+1|`K&Y+CfCy_7WQ3?JJ#T+D80Tu&%z$@4LHaYCjL;5xQRvAh-TQv zWKIwmK%%#iEovKgBzDK$tk6$zeE6Ll@DUHfwx9v<#4+C!f3h9C#p_1i+r`~@kLGPj zICP=`1V}gGPr4+LgL6gKG>jIWn7!w-dm=&cp#O zVFvDNBqjRnA&fh}QG4L{Menm4gZ%FqBzwTSk!E|aPJ!gCw>m-ldL-4Ngi^HHf611@ zyftpJsf@YQ()jYjGQab`-I<`o`h)C9eGmaxWHutNXoWDuUC1Z8l75lS$c28w?PP$k z`1))@iFR^;JSiXM$%AC9s3(h(>%nJmjFWyrWl9ai$EV=34{RYoz+k>4K4ATyCqmGb zPu^I$WVgetZs4R{++{=(>)#%j3vGOb<;*y}AYPacv2bev-_Ep=RAPRtk;agbD+%MC zL$_g%sGsVSIWTLeBo~Q!b=_h6X)Uw@VXb^IW_xMxQ43yp=I@g&#hZw0^u*!FY5b75 zAd2nqR_u5mbBKRC#RI58*JCY$T0h3$(7_thdC^AU?c(n@;~aRtX6QsQyqj4L(ZelZ zY7js6*>7|k+{AMc0D5TC*1W&5Ka{P_J$&kRU)9~XL!M6D6? zro(O}LEjpQGthhH-BY9@(;b&B8_pPq+76MQW|Dp660?OZx5%Rz}$*3hPQ6{qHB#Pg^gDrF; zh4P}kZcN(kQP}#<3o^W+0DCUGITLArPHrKv^_PpGzIh4SA@>C*T^>aY2a?G1=zIQk z!UwATOynR*z#^p(2tv4vI!Js+Ihc#pPP`NWRh38ap6|=fNOV9GX zzZ7(JTW4d-i9cmZUDO-ZCH#T+-8JB@wrQ7MGmIJOsQNgKr=-cJvdidjBYDD}i;QHS(j#VlH558G$tUTd2+yc7MDz~M| zP!k>Bu+fk4bSnzE)i94gM<3C&=_VQLs5GBA^78my6W3)Kwar$5rmQA#RT;5zqM3^ z1hGoR>%%}}A^qT0{`S(f5I@CUM~tj9if%RHfs*kv0!4RXXX9i&x>-vP4gT6NJ?dE~ zQRS4E3P?7YXgw0xh)F#Hccg<|h(}Gtnka=u`zYz5CjNyf8y|GeSIhfq?Cc-kxcO0v zUdXt+Z;b!lvHZq-6-LY6-j)VHoEc3Y!E-@aU3&;lP2u4=gY&}|j~N!8yuqUnxcyC( z_;we?n~tUVtLPhub`oD*dIpQ`kWBYTaEw=kPIJ8594V=CdsVwa@1?QMq@+?^moPI8 zo5$;Ov0_*)NlQ!X-#Q;;9Sc-|TAOqh7eZchI+Lt(CR6WhJjG;I3^rBu=r>C{yV!tE z)~1%3hyY`;?>RmVDrH8l2m?7-!FkfW8R`y(lI0H*Qyv=x*)n%igb3ESuKO12E9+U_ z%DXtH;Oy7TgJR+MxcDB9sNQ}DPh!UNcWxG0K>k<^8^}DIQM*C(+u!(V4&Fa*v4V!7 z7;v~2WhHPDq;zLriAj-^chyHpVuLw|od{b;83M5UvCa3b;wW5BjBZc%jki=l@W*-G zLWTEecd{OJ(nRol>9>ktx}p<%hm*oR%;ei{@r2p zP70+TpE3S79C+0Drcf@bbi}@%P`X^GD-X_Yo`v7rNLj5~|BgRULehhrv~N+p@#TDvhr&%Av<7u{fw)w_`phVdo_I0;9z_uW|{ zGVdTUhR zJ0Vlz2#=SSFn=y9izy41Qi1Z-9>^k&G}5)*Z+rJ5bTz6u&*p z4)DrbB9GkYIZSfBpY7}~2AtV@lf;w(d8DV+;(LU~gdKJjz;CVcD_=$4_jVL_ns*`W)$Q^a=h{8 zD9db;#CK;q8f5ZI)c$QAx zQ`zUloeb$tFxmdH9Zq=r5B~Uvx=G2xzEpzecAAC(o4Tn4>QB8ZFMBry6T z?GU|JI+^>W1`}zj^`7>-TY)IgV>L?+*r9S zMMt1&^24nyZoZtz{2M#fbo z@7Fa04w4bWd7{2#9dAh%25p>Ajb3VgFQmfTUfZH)!WKjO1)h>X{)12@Jif=;J|k~v z{&mxoOV~`ZMkP?}yZK>_MCV-Qpkt92+UzsU4J1FDC+Gb2g@HTdWz>rFC#0hUJ`#fJ zTgU78X3&R7myZrv*JCl7g$;K6w#K(@CMXQpY>%a+Re`4qr z;x~&&OSG|WG-96k?*shAwUsKcV@Y7&fH=RrvEejq)g}O12KK?jb-@GK=D6alMaPWD zkPz%HnV78hpbyo=D}FlQGKC@Gk(WIngVRXLXG*Um^D}RC~Mq7 zSkqB`#V#HW$8dtw>@9L2+H(Dg7_2Z5n8H@c2>~s#OEfJ$y&K|v(wi5I=Nr~Tszj)# z<(~^)K7rMDw~|$2L@0?_y2s?cBIga0P?{M1^Uc^BgTOl&1|)f<4nzQw*^c0(->_7~zzjC3Wl_QUTLSt=YG;9Ie7su@3K|{YnL|CH6S?b@tCMCa#L( z;%){U({BrlDR~u$8P?s+=`7-K4CT*{j8UrE{^}XVgi|p-Sl?XiYvr(s_0^z?^Zwu7 zYztOccLC>p_E0|77pmaA-yF(MMGw=}#D68^_;XZ#d>f97e+9MC#eBU7*XH~js8wgP zp`(TW+9T&x)QlXGwRZHDWuDPlk>A{NFPxFmClN5H7mt%}r7m>rYFo-V?%Za_!I71- zx7csovqGTon%@JM?~4>JN_Zvkvmr`o{@~Urcsl04u;fxIcVdOy(y>z zJQymkhzt8Rp*qon=dHqDcnhcqpj}DUgP_t$dsCV-0Nblk(BtN1P<=^FWrkmB>fpSe z-kZ&Z(5w`}f8W4$RJ|PL`YAd+8Es7+<;@lcg=gBydA}VYGQ)uMA?k4a2^Jx+!JNxv zMN~MfpSf^p3;Ay3AL%lf3)aSlK(O=j4~VF)Qj_~lx7O?(V8LQFC>B=2J$Yu|qs2sm zWsuL-=XV&|kPj5M9h2E3^AQ~-bZ!f+Nx1151;u&jKuV-1o)+Yl22 z@9?t_B=t5aO9gjK+2uRPA@P2Y_4?cHrL_RDV@9ZCdZc{17rW!AqlGmMw`=S?@9EH3 z#;8XmXEmCSSlJWjCuSfXoiXJRceLzrh-oQa)&`J2O__m7_NnLf-V~q zj4=1(Qb%5)I|_JK^@f;&lR`aV#Ce|0y-s~1_rv|p;wrN9G+vf!$`+b zrV=)%b8DaiHWsiu$w{<0SG|K<#QRsDH0IYb5$cQdLc>~p3THA91B7-4#aeb+-ryTd z1a_#sxkn>a_}Bh>j)JV)Q=EB6DIW9vq~2{NjEQjHXOI-Fl40XgX=dM`KYLPxyjm@z<{x z+=-4CYh$0zVNXaEX4tiE{Wt}noq+EQGkV#ZZ?2V=+Y zV`}@;hf)%m$G8F4#r7aA`>Y?&W?o;^kXCHdA+`NnTm_#uC|aHNW6|n3qZ33}?t2*))Y;$c8fP zJ7K83#=AzC@|9(46C-)2yVx?*YLLfMy2607S!iQNHIxtoURhQ{ytS`UZ(NE_w{>L- z?hV5qS*3h>x>#Gstyrluf7cqczhE!cu2TWsoUY66RK^%koG0?cWj9)mCjM|P>mj23 zS{#@x>3(q6b=I$gHlfoE!Fn3;Cfc73aZf)Z#LALk`-HJ8v2WwJO6J@RJkBMs(R_pt zLGEyKdUDs~=c)Jhg9WxD?@n*x(>`fFnMn|QfRS&qfj>)Mg;Rim(zLDJroi5JTbDO5 zq6^f%_3=y3aHhcP%>zaEkMr8?QxCWL3w}@Zx$IWAO{hJen|I}riL|sH(d+Fx{o8Vv z)M>T4yv5+>$pBxW5t-0&N^Q9=WvE{d?*AVYTD1}0t=5VEDy0Fu zB~AZ=6mib+y1ixR!~K^>aVi$$h}TyJoM~jwuoGr!4}qud&+eXyHm6QpG~*QDA*tZOv#lgDe$dmX zsaE%j6VE2*lpdd<#|6gF>K;sQmI>Dz`i#4o_?b8q`t*5I3yhge?j>~>Uk23;t9>b%};^;F}{PU^ewCaP-!`i=_c7?%ZU5;!UpUjd6f_NuS68>X0m1XaKQ-3< zh^5KOssX!aL@tI$=l}yFfnD9S>x8)dYuXkeo!5I6*_HGz-QMcI8MS|ILWF3Rc5Wu< z$ktJR$_qqvHYHr?c1jt#aGg-eWANGh!bgh1QuH&4n=eE6g9T+NH-jQApSblPe7FAm zX^~7&O8lXhmB7jSr|dq8zSQ*r(v%PyQfSWlETI({`^W`RO`K~UksjAzk)|LBqF_Mh zBl+c-SkR}ria`oV_OVofn@M8+dopL3S-t8G|3poNRp+t}RX z;apSG(7wBwA&xU5JyRp6$iIV8+@BpxGavo+!P}E>&B^Uj=q6=ncV#Z%Nmux^Z&ufJ z=lSL-5tF~sBWTMHtOrS3Z~u$0_9z&5Ms=Opnak>w_Rhic(-A;jl~ zE=EEmjD{9zDWwhgz_#$w38>o+)pC;dh59M66r&ot7RsafYoOqnZD(hWEtM7*30S{= zD+45tYroH!%@K1?F*UE{X2w0Rgy6CFEj)RA&){r5%b2uz$$mHVpe%gDUg1!K>k`_J7mVx-!R?2KXH&TAs20n*AmM3-w4~`gK9E;O<>|xKT6`T(~XYB<| zr@4J;Dah(Y6NEX%p~&86Y0JV1^T!LIg?s*(1HWLRpFzOekjbEH89Mf8OsdMVs~8bm_XR z#qyZ!`Ni5z1AYv=_OCupo7Gq~Pg72@1ppI|G8XQnoPOW`=ewWY09p9{M{{2ZpYZjy(bFxew4mKSVd0BTi!@-v;2_a#kgg0L|BWcXhDwhwc`wG7YqHNzhVK zN|qhUv`vKw=lTX?eCyJKx6VrA(l($nV#2f1Ygy+siY~XTdPCs_x*IO#8^-#GXa4L(PS3-j6kw#L0xUxl?>u^ zP0LttRUW&s&l9LX!-h-SfsDAQ^$qdxxH{LrJ;g6t_8xXwGZ);2?i?4lWw|Nb%D7*5 zIU@V3U)Ynrn%@9Hm?CxAcbusnv02di$g4S;=N1chz3 zpxM?SE^X}lS|&Oii^8jjc=1HJ| z{|m?mz%CFxeLJr*QxG5)+{PFMI}kO0TZMw3c9>%4b^re$@;_DP*m?El!BcqJ&l}+o z)2=xj%B)Ffj*MJRs<0whw|^hRBYqyfilhlci2*Ufx=|G(Dz5S%{+{OkK6x2R?*2YY zkoPUtI=LfsVsSiB%txp7GVR{cIAki6Lj>hYJUB44JjflPoJd}jmTKRj0XAoJrLYSM z@XY(K`#IYmI*k1t3UO~6?j63A=7=jm_W34Pr$Gw^tX=S{ZuVD}(&FYwE{+ zx9RtGgurH?!cNo9P%?84N2%RkiW&Ra(W&QB$`ap!-C)Oju?gL+6nyN zR3Nx>xdTfBkk1rlK4a@3-z-mHOM5f|(nK*o`xg;|SDyPzcmIBHLL7#JZ^1Mckh z{OygCcQY1mu&$t6l_nWtaG6+rK9pjiz53G{fYOm>QBtu^#sn!VW zUZ53P7MYc6B1Gf0=mjDn4d;0M{8Z!a0q`p7*{D9Sv&+mbXQ;K|Wthw_lazW;YI6wV zg5VHV?7&p*f47x!-XHZpZfR;1qDLeY2d$p-Bu$bMYf^L>yU0P_oNxXlTw=wSXoyI4px>T8K8312(+}uKj33A zE{!ckdSJ{`nkc{>ts%OxdJVfhiQ=HV7ED5tK|FEKG*c3B<>bm*D%;4!TH)%UKjmU< zo{?F@dpd>QVFJvQr>1RQ!f+Sf4R+w!A29OgUS?1Pl(bKh#fx6gN}Ab0R9`NfEgs6D zYl$Ep#b$XL-A%1zv^;7xtM6Nym;449e3X?kKZwHcyVw2|VFvT1ukGZTBlx-)UCXmW zfBrGL{xnAboeb~fS|ETldOfJwo~sSyuk4?8_N3XK5gqGKJgluiGeU3^nzDJcJ8WVB zxeRdc@?bY==6Sm7V2_cA`ZZQyZ$;3x%N+h6%(c<98G05dpNr5pczA<;h|hsC+lc;{ z>zY1YtpL18ksd{SPi2SWDSyliCtL8i%PGJ9=WW5ukv1|HrY5VrPIIs;!;{PGZLJgP zyF|;MYh2WV zC)_rpso<5Yu0$SdWQidn4?AR4h0d1JBt%1{Zv%79pN}m_uu=;z+;qAu2Zv#7Gn>CR z%K#=F`NGy%$j#MUb|`Xk|JJMDB=FgM6p5H$1CcS6?H4v1bP70CL$1Wp>subf4XT=k z5X9Q(LH?#Wd6197<2PfCaQwAyhlcV;(8CC|@IAHVN;7cv;Hijw z)H_ZW9x6tbsx(=AM^DbwDs?!H7KIjgU)uNB@sX)O(p87^OP*yavIStb4g}gNrx$qI z3c4%%9B)(;@}m}Q0De{cc60^$B8VWe0&lmX1l)-==!0_ToBg5RKv2QTyOXCwkyWI~ zG6Xk-+>jO2L^Ania(+Cs?lxzQ8?n0y1>vOV$jJr0+G`-Pd*}?)*o>-SbRUFPP?q9G zFH5Az%r7LqFG2-huTF}YP5D|ozoOnv4G#RU z#J4oDU#j{??Y@vIwg*ofRLlJ~b>)4>*Cs*})mh*!)}yC>k{uH7h9M5BWxSOT^n8S} zAEv*uhnN^@sZOPEd2@XM_KG zcEbb#)$tchY8nD)&9AtI^6|h-1k@dtEUYM&qUrS_I++GHDml0Oy@eXK5vW{p8?DiE zO*w5oxXIa5qEA$@gtn0AL1}fNggR}O4{$wB9a^Vqcnsjal%k+cr>`G=c+VHYXvoN( z9fU5YUP#QJyGSgbN4H2i(fx!>^7^0JkZG}p9IoCKv)E%Eksl%5mU~SR>dJq`1P%Bo zS%KoY$$~Lk|F|L1?;jmcjc+*xI6K3`9W^??kv%M%{S993*u7sc(1$l=?<4v61v_ND zrH=j5)IW;n@BBQrMBc57?^)2&r5_d2vR9hdRnza{!(XKQ88f&en0rT^T?k$kr&Q^& z4o7B&Def9J%6?^+9{xr9oZ(YNX%muaISG7gA{9OIGqq!jJKtTJ7f7c#`sjL``sRQ# zZ%1|+K6=%8tp@std=>Y62?>Ui`5geT9s0{$#8fk2!#R4Xsd2oHC+t^3a_{z~kj+QZ zrpuqZqWk>ju;06fcY3T5Kxe}{vEOt%M{F!*Usw@3pfh|TzuCLU>s$5SnE9DuggB5(gSPVKu70=WAmgk9cu-+!XeU_sQ7+288O z*!jhIu}2^AWO~#jhXS*#^4t=27g;)@QVQF79F=;x(2%K52$7N@9j;H@s1|QcxMx2 zTwGK}Cwo~fysM}TwHCY^GH_wG6nvRm1Siw1M!BS@5lYFWkP%GE)k>El$Ff;Ayr)6@ zdyC*Z>*oDC@U$<-=2bPp2lAuqs!Q@h==SJS^{Oz)W_j*|q3)TPSLJOx-w!o}{&0xS zVR>i0ZdZip+5UTO<@1l^N7q%Ct^^u?*|#!mPWx!6y0t?|jAgSL?5a?8dkmotQsB;d z;m1PN#ro;T^P}skOP|P(R+e9#AFXtM(i=TpPOKIBOJ3;T;I!O?zP=&!mm5NV1!$km zZp?NyO1@eIuUc(me(RO?8-VsW%ZVAUlz%F)R^+0 z7Jw`5KQ91Rj=#4t_%9lR-xtCi7vN)kP8t74z}*`G8~U$}+5R_w_zaomRG)j)4}K5k zxekG=W`8c~?J-*K(s1RutpN9#s%-deLiLV@i@{appBJikUBipvRqeMef>-*VADW-I zf1(@|^sAV9RrrD;>J@&wP<6*Xk674h z-U2lKvR>tz)$v*zgSRyXpV=6^y)pQ##^4=*`}LWN43piPywDpk*O}07ZV3GrZ}i0m z%YOm1&saSNwqI(%|H(`H85Z=PfySS!zuVw{Zw$T#D&Bzh9sJ>!89aA~8;+B`(ga+` z&10#P|7xMbeHKV4VeHd7^r3*a$-jN-{hzxOn&#dQEO_)DS1{0U9#+_`=~2J5Vw z@sr~A|D`zeF+k~(zRKcCpY>8YnCgyGm8K`@%o&H&>k-ezke&6i7XjwzW-+KzIy+Qq zzZM)r)^(QV0LgJZJil8$si{h9*%-F7UOYFa!F6QmP&X9Z(}J_1t|jH(USfI6u^tkI z^#bOey@hP7TPG(cccB*$F)ft#K!n4CJdgPcP${E}CI3Q|-%jN@5s-(x{4Egko1lZ+ zN6EiP<@w7zq4S3xyeIh(_^JCM{BCS1fXuT&$=C6h$w|Jcg?X0k9p;Zmejnubg|frI zgKX)I{6|ArAqIkS5(v+Ra7e^BQiMRgwu6<9K~jbVzm(Jv`a2tvlHn%Mk=a6bOLCASZb)JOi#_YsSd+^K&(5s`$tM>YKBSKQGk%@9|dH% zA*oqA1-UrsAnul89vey$+@_~w1m_{TZBu)PRAav)sW!OAr)8w-Qh-oka@;K4A!Rx% z8f%7SNkR(3lhqi+-B2kRdnIM0I0C{&7--x|Gt9&GHm24LX|Uz^D#u2(q*`ugr_j`d zlB!&)aPnQs^x*8ZL&-RjTJBXG0pvi%kvic`wOnf4rmkHCGPIVU(`hYV zrX9zs+ps%RO{(J#V6cjlzd}d{Zf^+Tmn1xdle+VnLHO7|ae_CFjBo^MH6f_W<#-Q8 z;7f4c2YIC<6ePH4zgQ6hSz3l!=w+Kj=%o-EsB5OBn?>jh_)#oqwCfdZ0JNpBYC10?gyDXS1=@F@qTK`9J)pHI+Fc>vp^A2UNDD4Ygz|0yZ3gm3 zD87v$Uschr4Qa#TRkW)@+Db*^=aPv%S1?VIKn(@hdcZ*T*%PiUz=)vqlrFMWCjpXcP9eGoWZ&)Rbg%jS8$vdth?XHF^k& zE{B30GZn1_N6s4EpK#p+p`tySt>~77qWhufde}QXQ_->cYqWjBb@xEgr4VyqrlOPc zE4mc|bsOCUMeN>fnTn3cuV~MN>uwJf9olR~^WnNPU>`#;jzZU6lc}hH>o!85-a^cW zq8-t5ZwwW!WG{B_MCQRUoZ%Q~?>vav0?=FXiJ5_FYcYpG4BwK*2Bv6FYwiZS7IP@X z@c52U3`Ozr*atB0-=%1LT$p^slZSy1Pwxs7?ol*8O%6*Z?^QHDB2P!&uV~Xj`wjRw z{RYDDk3R#r2($+jZ4b~UQ1+mr?Fw24Xb&mcj-b&ULi2RT9*M@;9%49GJ{*c}+FW^2 zLeaKRv?CO4YPO;$5{kBhB0j_UM5xHqF?S@yYymNgA!ZBE*>Ma-;-+RCJD`Gs8n52T`G0wXv5~PqZxIXk`eEx z&m4cGdf_w2^e4yC)Tnf;P2HYLL7pyNzwDViq1au>JsHDaW%DhBm$DsHekqGbe|a7}Q_D8P}bTuMfP5tWkh zXc?)S=5E8-*4cKXhFEG426fVQ@STt`p-&_UDZ~0W327NA zj))Mb1NUoq?ZOXIpyP^`+ma1+oeU4*csRcu{KaL=boWxQYZ4OqLn;@wix~D=-ecNq z4idFf52weQ(mgvoUDn&w$UdDEb{OeFpX5Dn-GiRvKTM^6r21)731aE5c%fTcnreor z=j!AAw5iSD-`J^kd5Y|KcBxHGInVND*xtFCHlu$u!^!R&L#b)py{B_mE_JCh+Fe+O zddiNJjFj4DI4C7FMF`diy*8vcQiK97Ow}bNBjq5sVX1MO+Bv4KZKdou!P(aO6h#!rhnAM#6eyII zpXEofJ*yUIOF3%Wy}h}+f!iPM?vTlTG(;g746%ZZ1Q78L zBF0dne;70x75~DLput2EB`Ba2-+Q;Sy&o-!n@r}q^V#pbdGF1esm4aJv5c|zKiJ#| z9lMav*bL}n;gD;Xjxcmr=nl6cipulYVHl}s|M^|#BAMgsdZ z3Zcmie9fL)cYG6cB(=hWqFi^mp3vM#T!}WOeHIMj8yc(L+ST2$vFoV~0v1&Z!bI1eiBE~Npc6; zF~f3b8E!ZFf?c!G;|9BbJ#xk>&AOmp1>M` z5`L&GOGy$lmtm464*uLeCX0z1QK1qukCnrid{76?=FC-xpO&-#^`kqa zozj!p@y7HnCr|F~>n)b_JdmSg&dLs?-H;JZE?_Ny$Ok`L1dS}%w7z3YW1211X}_Bm zvJ=20SoJ#sfjR|uRsh;k-c^;LKki`HpQ#eUCE<%=KC0$by)?%`H$7_`X2xG{m zKtrp;9P{2y=)$R>();<#`^ds+@Y#3?Q1GTTlEg5)7)E=|WaJ3455j z5}=7*sH)!!Qe>Vyht#;CuEEGX$Zo;V{;xiB(0a5_R)Mu59#$1A>}raxM7dR+V_*x= z!yA~LQ6pBqhf<~Xhd&oc*GOM0wk%X`>ZE&^wZVK}tUX!<9W7?IH$T)z)#47%+~AL} z7>xh8yY8Ok<@U{}12wd)Z8z=}N=z2542+8TQnm}AijpPU-vw`>!{ipiS~)bmt;V>f zEFpnHd-T~*Zn&kyW6sx(KcJ1*6N zGFm^&n;~i$i5&CmDKww<{WEBIxIAnicNDttq;4WB=(baE`0P5=#3uJsn70a*H?ZyS z?(5&Ko`H_WrI7F8l*3k%!^*GT##~@OK|@fpqpm+=3JlWHlfS;$a|r+$2Lv77=48{W zauT;5z8XGpQx=byu8sa>qrjWE)RW4(O)ZDZ#-mgmMs4mHjTYjXu(G3-0?LOYB7$2@ zWE;Gu2RY0>MUA+viY1f~)EhcQ@IKK@dKV5gzJyxH%H2$LN$3%yC1 z^(u691t0&ntO9hFK$E4}gcC4CM@-+AKmZ2Fpz+Y-Y?h)&xk}DT^dFdrg3gYf*8mm<0c!i7J9 zH#d7tj^)GOJu#2uM?-m(dH0OxI2G?f6s6QZA_0x!c)j^lHw@6CZUPAa000000NDco000000I>l800000 z000000PF++000000C)lHRZDN&HV~FtBSqAr2-+s69Oly02AqAUaNzj1HDben9c;aB zk(>gqG?JN6q`-$=_0*r#KNzGlqP*)kc8@s}B|woPzj;5nktE4CG_OXQCK>G;(Y~?R z8tbnvZmwzi1sy%0`2)>+G>P;vEi)}t=cI!tu;{cuN8T?&(+Jzq^{pelPscq&pbgK@ z_0y|O@_2lp!HV=}-_ml1&P!dxp$Ij){^piXn*K$nn>Xey!2gf_!ye#jpbFqxI>uTk zcxk*W@GgtfboOPE?3=c;vOf2)xxWSZB+mhkv_}Q|#!~eOFO43-OeyGL4lFvf7g&z6 z*Ctp94#8BP(){G0q{6E;cw>~?24!klKoxYsEFEM*mtd$mEjUy8OJNcCXn^xp=nh(8 zy(UZ~&sOx?J(v+D_%?W`q(TRkHBC=PIuUQEjK$h;31yp77b=vzFY$sr1Fbc+6-~oO zhSZQvP`$yC+16S{CY&>c6dp?`O%WQ@J|c-uYLe2#U$z4OXvs-Vb>PDCEfyX!Z+dM! z+Q#*Y7e<#dI%3c?dVL;Mf@9K8lWVg@Sh~W>ma#CaEh5X_?%73>Ujw-)IG9`6;EHe! z&DakSdN4nn!O{E{5ZfPvA=*~SLM#;mt*k^Q)?!ya+=ff(&&l_MYGiZt&Zk%{d*22cHbKu;V>BGmsWm{>g!n z&Re+*-dHyRm@5>dyv2&rX9&PG<5otoj+B?SPsI|wkesfo* z|8{T!OJ$08k)C82xoj?oFR@ANV

#x^&8W829_8{4*RJ8$yFw(URP|5e?(Rkv=P>gnf9 zch4Nu)H&UQ1qKFIi3tAHf`R?{I=H{InyI6crQIJgHZ~?!Cub8T7BVpxOB)k1URF*r zRu*P9PG&Y1Ha0R9aWYv;XHzl>6HDhW_jVkJ{~e{~$Nws@Vx<4-|Fl8U|7ADuGjG%k z;@>Wxh=r=9%0HedA%xHrX@b@#%@T5Uy4JnZ1(tm~n$xc&HMvIv{A>K>`t&2iA|miO zwX~FG1B_%CZA&HOI4Oi91ANOYR0bm=y62K#YNhEBq~|UlyIOCjCe~6t52seqOfJpM z%?~D?vz#tk53@WEFFn;tRokG)$&>eMmaiShgpPGMy7gA~NQt+0yb5FpOizQI#||l; z-E@pvwru`)OBg4B!bsl7(i?UQO3f*4lUBgh#T&W)N*ss=n2%&Kttl^XdD5*K- zj+@mT=zc$e-QC15(}k#jBXo*qYR)(?R)-5{sTg#$I7ST+$HmqlMY4I$E9JzMt!7l1 zoRZi5sJlNkD;m$tBpy~e6FunHja-<;vIov(RrIf~udjsSnwMT^=EdABSOQ);E~1t=mqM7OYd?)QNkP5!iu@2xPq5SZ;UjKZCxM z5fsxa^!7g}gi>xW1acUY9j5VEy;CK#C5sEB^!x2PHfY$??Q{&J)S?vwl|v3vI54vX zs+w)R1oWI|+Zr-8ttU_GmH@3+RwO4&t3{l#Don8sjJDt9F0C2dIqWiUicfc|tjA)Q zHJ2ImNZ1YMkM{Oi=N;tgiGge_W`@~XIZe`q%rMqfT@k_FcZ9TvBM7fWhv$V$n?pkT zUZJo7VkqtSr?J5O|gG!j>E3@?l=!M+m`PpNH^u)xy%VY_BS&4?v4?S_wg}d zdA;v!*5g52l(@pvw;7T z#mB)%pU=w{FUGF-#Lk=Q#~&oN;cCL?p0fdZwy3L;$$964?qJoH#25^Tm8KuN%-V;6 z1>afrr}0gpV$>H?7qU7Gf^6f`*VN7FnB+-J|lfp{oj#B%sMW@BXfw(@xi0 z#XTO^I|m1zf$`la;Yso5&d$O)CrF6H4rP?s`k7D$zmSi^k1YEP2QuTxM+E0wZxBGU zx>aCmdGc>IKri>aU$;QEBYE;(XK{ML{9k=zbQGj*Xp`vBQ8n`LX!SM0c;k?h=Lb(G z8sqK9%DcBllV9xT{iMd&um1EGyhEloRJ3Bm<`f1yp*%S)RGgbZ)40nGg=P~2ZU(K8 zzLyuK|F6rR&S6JAD6f(`}eO%v&#r(W6=P=!PPcs}&{OxGhyfIw|I*2?aQQ zOmU9W*ZecNYDpB;)7@cf5oXTcp9j2%zhpS>ucffk#h$hpXb&LP-VUehIowYt+InDGMz!HNupiF}ubI!<4xXkK z?fwm2<;v32xox;^8QBhID9?bFZvz>}im&UQ44!sgdt7@3K5wxwgobf8YdRB--o_rp zAJqFXY1|CoTj6Vc6i!RO%r7=QzOiXmw|Kd~|012YwuOAO1vEt#H|y4(7~C%M7@Bm> zOkzj?->udr$fm2&ULB|=AwAl6RwSn8<0YS2E`%=*@3!r%*LB}+o%bviJn?VpW4;p$ zyYk-kZg7p}{?QgXbEz3N6>up_iDZIJm)j*XD6^R;yJi(VfG+9a5y*rU2w(cih&Ty*=~*^V}fGC}459f(9&36KBc>^YUTHUi1B| zJBbIG=8$Bg#bP6DQ?N|ufx9Ym#D~+le&NR4q9p9hd96E` zseL?&i&XCzgt6pLV~UO-o7iR`t(oOqV{X!w~Nhy@5&=xh}U(~b?=NBXz1^6SWMFUL0gvVYpr_3>~aCn3B~2{h$N}WV8m!j zm3PmczIw%Iick}XMaTmboJ)lB5ICNaQgt9nk2if8JIEYce}#ve%*>^J@@d!aaM0Ju zk{W-H@muu}d2*Vtu4%nDkM}MC`t?okwj4RCj*6T2h&}IVG;Rr(@?m(x(8w@ZfB+Gw z<0fn8q>F;{3-Xu7kRXnu;wDxyI75S(kKkpfxg*gVnzvu4v;N&oXRR%r!WI>{C)xOI z%^9tCh^A?^Wcp*~O0#B64_ha|%JDv=bfq!Dx|q9)-wuX9-F!)YQctMWVR8YfRm0fZ zHAqtm7)A>5S0UrU&Dd)+0i z_~Fq0QW9z6iZNV2MQUtPed8w_*hPnnM1iC*pAeUv`Um@^A>-K({A&g}au0ax*`4=B zrexEiyVPdtQ3Y_II#G4Et_$*NAMT*2$K(_9zy@eJ>zKDVq}N zpp>>chfoyq^I{JrXwW7wro%srzqP;Yf0el8hRAg08sU68jC!y*58?ZAPST1;n}C6Z z9Lgg?rg_63e+FAR@p#OH)DLO#^wLUY>og+fBdNkv|C6zwi|-4S;iJA{yzI-_^EIH6 z2!Vb|PoS(VhpR3ae)Z%2t!AZ<2g!Wm^v@7$ca}2NQ(l64_>t4Sm!8z;%CZBSQIE!W z?O(=8uTbgH+zGv(;;hsLqy_=H?azIP%!e^dXJn?Gm=(wKf~V4OjAJg7qLb|O?q)@* zN?UJK_PS1{V|-(I{sK5k($)vozkBS_5@6`NF-TFi)c}jLHwBu|BMBc^2Hbb=ycfw8 zAzf|w*L1G3@uDIZ^!Ct9B@$6=_yuN0j(g1%ULgzX9FCm%bdncS>1yuJI)#g)kws?3 z<`kQi`L5&sk3KjRmWrn5AWcDL-5&a9?(L@hESl*>z8QTGE^feG6IVnC3a41Y6Z#aN zY#TflsZ+yK=yfA;C*$wvM%7v3Vev&w*53pVA`xL8-y4#-6(z6E)k$T(|19t5%lWIM zRNX)jUFm~8K@ye9xS!h87$fd5RMlK)egbLO$V1qLPDe7Brk*W_`1{DQOtgT_lZ@_G zYa}WiAQTkG6)8~^R0)$Ruwmhzt!{!6VC!&;C+2-EDc z5|2_Hi(-9_9u{Zu{ zJ)LmPXUhncMogl12cg(#d<(3Cc>TxM-Hk9`bj%q(AyVRpaF}n|%c}q~ydr#v- ziw()7B>>G>VZ7ibx1lN5ViNC%6wsQDBB+*NS3fbKo1gk~C*w_!_c_Jnx*prbyK#J8 zoN+3Z#M)u%&LGmMNHTqI;3#d5&=m26AhjMg_NNI-3yc|Y#z9Y1C=2iU+b?-;-@o%` z3eTg+0K_{Er|dm;&$g2cUi%1lK#FEbg>octP1a1W{&lj$%rm+pbG$`N5Nz>rw)Ij(LIk&anXsm;=l^2A}-6WQ~%rd&qle06iVMAWtxhSUQ znIBy7z=f!JE{9VG(q=Gelo%-N@y?nx+D;mQfmD ziZLH>E(&uHM)VCMqMD5rIv&;nk3G&Y6yg^m6>1f z2}S1Mejg7nT0uU8O=D;2$q+(EPc)Cg=dDH}0B*Pk=D3`-`)kzuhSV9{`9@+S3a(iQj9MNzDBXPHA;jY-pA@m?3(XoVx!RcnYIH zX)9nD2b{Z8quB_iN5MB8j*8eHSQZQTnwK3MXYg*AG|y)ofU!BGQT7d3{xQNVZk?3P zIQYrpkk)Cn!-1MeC4Vc$$jggFH=WKN6Ti47-4Q_ztEv;r$}XLH)@absY}R98H{mzC zkqn;SNelVYQwApLAp{BU{2Y%3lpenxfYRKYchJxrebB%ibHLGzQg!qb0uop0d`#1c z1>^!7Wwz*Ofs5-od9p8?0*|Erm$j`3)!G2wJWjw+4Y!Th424mzp197p!RfRpu?^Jy*YE_e)N{Gh2v*=3pEy`GJs z?d02$LnOs>&VY^jNJuot)X89a@scZ#6QIb!itjSIa>ahzs&l&W7x(a4?N8(Rg^Ftw zT*Ef8-g?N3pIY=JQnHm%OS0;8u#9e1mj#aaTz{l8go*`cHtd+AE8O@%ClhK;| zZOjb6^5y-l@<7$$yIrXqiERZZ4gjD9`FB_NB9xmcO;3f?9=#kXu6xb20!`uaba5Xm z(%j0{aYbJGPjREnO&)2x#Gg?$g)8tV57QPQ@2q^A-@_4(x>bBy3Gl_59i%mVvvJ9< z6`B%}Q+ADXO%^>B_wMe;2bz;!GO)+{E$(mvJ3Y_ou{n% zARyVpnjf08?f}ftET$m)#7kEt!WO2~+b^fIKb^TA6Y4whP!Ipp6EinQY}R>aBXSP`_!=KBUlT4%SuS zfeEoQcs3^`jF)^V;xifNutnzt>{dPXKNeen=4!#9)g*~lCwqQlZmHK|TjPBG!?IlknN`` z-}D{AH`l=LSMjw5%w-U(rG#WuvGHe^0-QBW>&9>S3j2Q(Wd*`i$U`y^%IaQ`f>;^> z9*hrtMpjTSqPlR69#O|pcGbZ~G6(?9V;+m#_MHTEinbctei$yoC0sO2to-Sc2>IAsMlmtpMEtI zB2iV|a`D#X$t{UNc6D)$as@cwN%GbCOh*c=nW>o%^Ftwy$7^6@W9_?26fY@MrLS;! zZ=AKsr6f2|XHDBj?HqYr=}_F>MSY8(sw@u?*SX0v~KLRNsIM5Gn)Y0tcJUM_d@Qp36Vv| zjp0SH=wDgsk`|7E;WKUCYmY&!LrK1;kD&0~l!D;FYrmZg$(B?nbJti6nDv2la$xPZ zVz3nb7LR@p5}%#JgX!=~SXQiIcz^lZJ>Wj;778+81k;tg7Pj?Yo#lG)so7M1L3YtU zM~evBibB3~52&6%8u0Iv$&_S!--v)N@%_^H&Yd&1-Fm~M+}{6$}m?Yu*!$ic&@X0<)Al~gIu>3>Tx?N zf&*Hv^7w2TF(l=IwjHrXZ$q}Rt_j&Ec}fAMY0~7c`y3rgnB&Tcz+V zTX+N!^)dGU4A2^92G?@<4N`|r?;gB!k#gU@4=2N(;59#8usFp`W$qhjUpi$h@+y!( z@-nQ(HhTi$8&KTN6t`6b?qZxKqG;U0FZi1{dGV~#oukVvyd>a z$YCmkegRGRjS3|{GchLbz!Wpk*CQ*b%1x0 z3*=7dGWt6zs5H6NjS1oq+yHu3qnIV1<_0Cq)|kX~EAt=huArQE;cWaxXIEljPu%UP z!@W<|UR((_s~LNJ4!H@6wAKU#{zU5N6nz}^8`=bJe9GV-Li_}@rJ%={8bfN*HJ({r z>%XJpL-Aq~@byy5R%Ne)=r&-S9>09%B>a`FodbSR!6w3GUb)rN_ecLQUg#vFK;ut* z#!R5_*5`&QutMd%8~U@)v81bwQI+kH5CxcmjyLa&?`xw*2p48rx_D_aq)BIse%IZs z3AZOWox1D`(pk%qH8x`Hi*`9g#rbAJf}0B!aVJ-Ph1W>vr0Y9^VH0=jLPa4Lu`E5M z-~TNcO@u->Wos|Cvi=88DjjCV1Q!Fk{5yk15T0yGUPc2Mxf5p?d@6KfEIqw3V1p95 zxR&Ek_0NcyQJ%p4kKNL?u4QbH3_oTQ6`V;n{Z5Lk{D-}TK@F=qXxHPQB2A$(&q+?+ zr#1Y^j%r6iZlJXU{jLE647+B%_1j;ta})9ey1l;rH5`9c3`(7}5to9vc^+A!UdlRq zVIvgOBD#ot>|!PKdZU7ZF^;7XICE)*5w9#uv5$GTq>@hHz_S8XD*E@eUklop%whG8 z2c8>eb1S8YxO$G%T5%z0lZ7Ff#G-3d)$nxXmHGZwYSPK=`3jv z+&wzr#0^OeoElvb-b>L=ER!isOFT=N0t1ED(tN{w@^l+vrr(oSYo}jv)ZyO-mp@@1 z@Fl%^#Hx93WHjBIH<2|TMW1DAgoq>QKl=P0dqh5Ymp?z3&D>jBBi_s@+}%;09r(N8 z&Lqj>Yen^K2R^uKu0bM2F}g#jwH}*Ifc?Yws*itF(}7K>iRY2`Nj!k>OAC`umP;ur z`VZg_c0*9FMEbuExQ*Sr50xrbV0{B5hNr3(i`jx~dRWJd+Uuuv1NU$IhQimmYvqJT z!~_|HhaHSs6)%(-E}=88M?OZLo+%z+YpJFk878{b4O=s^NfkZRNeg}#>l0mR|W-5LRhwJA=4A@3=fyJwlg zyR*(mWp-zh#lom)(|N)1WB@?HhJ#n6Wy~+Gu0dW<5K1A)#WOM|!bhJ{*8* zq^KS&=;H28+qKeazYF3_n!{8OYCZin(^7yv6{4XrPL=HPjE^&=!nDrf*~_XS3_Emb zi8!Gg3>fN0>u*+-;`T-lah}ID;88#la_=vi3%=M=RrX&0iP?G(j6x#i_5FYh?O2|u z2PiLF7p3n{Uhuq_!1F_M>1{0XTJg4=X-Issx&;rFvm1mVJU~!d-7tVkLpkvRH=%D}sb%%H6TxTl(T6ZO zc-i}lQ$RbXY$;a8Sd#Y7kfQHX`F275Bj<4vTqXt<@upScoH(CQvqj!*WH{{|HWi<6 zDt)if9gR>ky--c&3}9K3gV%@TG=N5y~Q8TXE=d2}KtdgXnQ2N((CGmcLIlF?v~URF!`3kod?kl5y@j zGChh*SVb-UvSLODss{$EMWdiJ{ma*GV2Hy5WgL7Y_G!6C_k>K+XPV|`o!E=*dWmD+}ObIr%TsjTlZ9(;W5IIgY$rWhX; zh2559Z1*sJ(Py5eizN#zpl{6JJalNUpTLaXiFL9tRAT*H*O;PdK{sE{@pWTGwEzGD z|cy>%A~Gbt4Nadh$Cz& zpo1Uf`PKkh^&Y+ql&5s$ew4_q{eF~V+}6*wuGGT~?l`-sckH zGQo#`4;psk=CPW#R4-u5M0wg&zeYiL)nU+sJENf67 zoN*bCF;PpQ^y2#;v)i>GMbnOCAumfy-J|%mGv%i(|*5m`ba1@KK#|EBC_EJ_YmuU@-2hB31gVyB5s~@T_)4)KjHR4u( zS1q-GFXF}Eh0AMv(~s51QNnkGemBVNAPe^jwyr#-swkwyP;1J@?eOiJr)7}@I&Z35 zm9)<;OD-v~-rT+&4e`UaO{5exv=6kGQ238ZqboZ|`Ril+?=sKAyE&ERCflx1Pao2P zPECVjDlrV3;Qph%lsnysG=rwx-79X`o=&HTn&OJpr84k;X=1nv|IGf2W z$=|%WDPh!I}*iJOvSY5ptw& z(MR^GV+Up%hR)k^2wgP&68R;gplw;}(Uq>O^4Zlx+J>*FS7X3UkGeEeYsp}#rVk;I z*1e#VwXMQ3^f#mvOT+Wetdd#UzCMn{bQ%msHrHhL8o0u#-0d5^-(dE%#033&ZuMiR z-acw4&Ww3})xDKz$&v`$P*ZII=e_p3OZOz{3&8itS7c|Jn$ijBHc03zJcdp8f`1vMxY(_cg#!-4a`#9+nh**uVWIuuLK13E4% z_f1;lxNj{bLLMB=SFiT2tV3g4UvKQL!n#}dF8yQX67mEWje5rco&xvqPeua7Uf$XW zirpT)-<{1reBUF|XYuOmP@f6XmubHp)aD6XCLtJW<6kmX{1JYi&<5QiZzyqISJpv? zkulr`5}jR$E#@X~BUbFz1EQhDd|yk4yxmBws$ax9;By(pTpXPQ_;%?xXS{13bIq2_ zA^k@Zq$!|&P3lK!n~1}x)1Z7aeh#O0^r>Lbn(hnc@l6CE;iFCSyO`%ne`6#Y>=>Ug z)`LD%q{od;7hi<`W^*QWqO`Gje~VV+IRly0@Lxsr9k9HAG5&gNrl6k~D3#IhBU$Z` zLo%w@+EFZci5oJFlFw6nrg={s!KvCs?52%ATV(%M&I=|31FQPn~}i6v5Fk(ZCRE^WM7I7Zc+OkQYKk-%*?t z-zY{fimp{u_6m_CLD1qVe6%`Su+t{1h|0eMSi3TNog*M4d=p+IjgkcO>ET`?hH z*$h?L4*R9ev2?zYwoo5QAqwp0hzlFec>}}Bx|g65wxdeEhlrpy&x%fvYe?S;8`1AH z)Od55ZqXlx$;Xi?A~|%(?7*@kk23`gRD*$kjva(t zvlu-&J?azAYB*D=l57Opvlw5|?b}8!P^K?wW9y!Gel?w~G6LqC&z_zdf7q1vQLnBj z-jA&iSC1z9Ff8jZTq4aQI0?FlxfRQ`SvN2s6kbvnxN6zBCX+PL=x;AIxi-pp;6=V7 z9!G+{3r=WHW6rg&enBhIMuRzyc7g9Yt1Ba7;qBrb8QJP$$+*~XN6z;Si}W&*@Gh*7 zU4O-@JIAkSl`8OU_BeA9&O{5IpbO(x8? z31=*D&&e8F38pwJ+C-rral2{2vD+FJS^WB+VoPJ-#^rK2 zPVn)A2BR0Y-rnRzZeUEO?=K!pcT2Jk!|X}HEsN*BGdC%sJj32_%~J;kt@B78zwnNR z;g6f3w&*&kWx<9KkDHKc&^qK>>CYs6;h>BYvucrWtBRPBUuWSNx4FhMgF_RNF}3?_ zTgQJt{k8ANp@eK+c1$V1Dm3J{frRq6*S>JX?)o+X7Bwc)!syD2h-w^fhzZxc&t$%B z7|3P=vE8H@Uw_4db^IpMVyiXa+NaB>r0{P}6FN!n37a`YIRNM%7szkByRE zI8snEeLDjRWhQAO;(t*ENU!x@Px!5*ug7-g2(6);XR3+E=!Ke?GP@8eQ$Y>xoRjdz zVxWf|Zx{LSO1%FV#5#`%H63GS4@=>BvF~NdkBWQo7ofaQYsf#bPCoY`RKuqh#L}B&*H?$uHMl_)ME`)7+9p z;}S~y50#r^UAfV@=#@x!!^0|Efv3!^LY;8QZ{>i-$KePcAZ*GfM3#7-Y`f)r?cvIE zc1`?yBdHHIqfSDf@&{>{t#@oQ7l&S@Ld@?Mg>nY-YUWOe)-a4=1yZ8ju2MgV=snO< zU@a+Tn9ZUv-)sl)S^Da>D6d5VBZVO4sW0ei)9j@KyQ?8+xKi8JvSn8MYU7<@&x}q_80Xf_XJ;)f-;h)=Mr6$ zHSCT9jY^;cW~Z+NHW$iLd9lLP#@n8V?e{->ifKrxo5k{klEI@No!y|Evy|TE#Pg3UG*gABg(0;J-W3ai$P!|nPEpRl#{Gm!JAh4~ zjm-Sud#{1@SSnnU{>r94VJ1;omCehOK6#us#i=b>f}%jN-yscB9(noQ`&&re_R+#M z2)|Lw))7w$@wneDvvo5@__g$=2_*A0YE+fc4c#@b*PE$J zsN21}i(uRg?RZezk?ZPiZ&$wt=j zW*$j(PF=QHILDRF=fYbIDH#uyBQTOdQRI`|1gr9u+N4`?xyOCrz|{Jj$)EA+J=}4A z@^|yTp?Es+g4YIa0!;J-Y?mV~Nv@eO|^wCIPU4wT+nWcsvL z*?HK#g5;K;=W(GFgP@Wtp@ zcSb?vqi(wU14;Y2NxQozQ2#>zsb~~%xJT1wb7Ub_NT_@&v$Y zJ8n)l$X33{$X={%*goh*#}!n-$bMK4Os>5BRsa3UYtH7E;MatC(_a(5-Tq=nhI`F@ zW<=k?*}_B{*iw50w^Y9iM#q0V^mKjF8!?TaLur(8DiRXe8pgvss&`bO3ZwEn=@uN2VjM{znMXuJbd*j${$=Ag` zw8g{Gba3Zyb8k@PgE2-4C1y?i`J&b3)y#J0ct#}Gvy*OIx2Eo6Jp&+|s) z9Sr<>AeYfsN52!y0vFEL%Pb?Z&@{;(LvM6nz(eiqWra`bH~%v6NLwsy_yj8RqDh-n zSlaaKz&Ow3{6;atS6mHC0&hfC_<}9UTqW357=rL9-es;b6A|6vDC1nVqD7YpmM=zv zTyU8n<2W$@d?lo|vf@86j`@tf#aBUwdm#G~VPe`SKSJ0SDR5SP`%zDFRvh!CZ*{U*PyMiS~mn2L7DsIO#j& zI%8So-H?q}q%ujONyQFO?giL%%!b~j?V{#F6r$*!qk2>3U;)!a>BD#Q)8?$-lDgn$ z4n?6!R_N3T_B~vl2Na=!#JhSZl~VQFlV}6&=>9piFEk{91VfnUy@DsIQ@A`yl%(9yt=i4H*0^C1>IsqJZI$S1N-2Aw|31JZs%Jxhe>eV3|89Dlq@ES|>6}%xWuNV}3%m*#l|L#NmH&ibO_LuR z`|V5{1M;eI4zht>Lqxf{BI(RhdW9OKUQOGW|k zFRuXjHq3ym%_zM40`P2p9(1RR>$mQzm*a=<7uoi*=1Sdwk6iDhSDSX57b2*}%OGq1 zg6--2ejgH+Y1aL(bQQPbymMIpIORR}(PPy!oBM|T9Xt$RjvZJtZ5<`$Kba!zVdT|rV z)B_Ev5cv2IdU1)mzJuzGo8tq1{l~ZP5}vB zU=KJ3kD(j2Ns)Z~ZDaZOjxH_r_4gRvP1@D-XP-2CSMxZ;lX)w-%0jGDrbe;>a^4^$%fOjUM(j-)#Lh%iK!HEt^oR5 z`mYe5Fd}d6E(`n!2A@@64++pUzWQLE=HO?=evE7uK5b+l?9kV%2s@wPZqvD!^?omM zl|qNr&R;dW+mD&{w_JU&1^UA^WU}@2&!B5ke=k}m$sHpr(*gn30K3{dAdK~*Kb!9y z#V2y9=Ue7=VUGN>xyX=-jv;AbBFbtt=*pRtGZjL@ST3g z`Fl+F+$F@xVmsGcmFq_P2&qS{u=5gW8@gimkIqs3V>92Q?;kTV@3|@9Cx?aO?@4;_ zG}(WV?eq**HRg&Ms$GGT<;-tkk;&QDV_jymTG$N=Dl&zxBJ>LVTvht~&ux?C+OT=r z1oF){;N0GK9zDFrX`l|vK*X++eQ95n=cMJIQ;O9UR!%#oVJm%x)zkjJsSrEg(Z<}D zZVrkEcIaA3mFV$cAZUcFzn8>Ma#&!)YOJDrDbPTm@mntM`)ge{C5V(xavc2=zOw@_ z9DKuWZ{h3AE=oo%oWG>Zzu$hFPwS=+`uH|ubMeL=1g@H*)@@|cKfxhPZOvfmLIMtQ z`Vc}W$sRQZzbVO&Y6|#gc5(c1u=Jjb?0Xa>>|7{(C+mBp`7u-PBqm|y#hK%EXSmyj z$X%TcYWUMX@e9{3Yoo<-j8^1FmREE)@*!A6x3c+jUbMUw^!ztJFCAF*BrEa!@o{>X zwYu1vw?0HzD)E!u8a~bzIzUyB_!_5;^?{wk2bH5ucC?Q%xuSAaO z=Biuu4;0^{d+-L&igB}=G!Dd~Vyn6jcf6gH)Qg*+KD~tQ&D=Mi?v&j}(QlG=dx9Gy z%wH#G(H%fScha~lm6vKa`u8&K8|#gc>R0utg z%v?!|tL`>{@Ps0|JNT6MAJ-(46^y#Mc*5t0Mz?3dU0jiEhA>LYws=_oQM(*mmLfuy z3>UW1+v9Ufav*wEL(O5}U`;eQ4_9ktPtRfK*@e!l(DxRxr4Jdt}L|wnIVaB7}uUx)uc-(KPnl5(M>ZWAnV>9uVrv4*BUGT(dm%{BB&s`@h z0^t2j4u5#4X`Vv2+XI2Eq`sn;9D}8Prgq+ou=S}Zd^)G`eBe%QGR&atyo_t0^z?1I zZ)<<_V~X!`eu#-BNy-J!kqm^h6*_1qd)6ipYiS<6BII@}!@Vzx9aV$d9?xrH`_|yS z!47T-Gr)W*u|9QU2MVLK_znmv$v#6kw?j;JrNHW}VLl1=T&H|An5vBkB1)0yr=_W3 zT3`g!+4;#UIKelB7`?v+&rE}-$zPsGP1b6Tp`vWzJGC-Akq>UYH7WUrxqpp}?pN=4 z%&k;k_F+_i!#2`p(mSf2b0SG#>-u|&6j*Q*MXEVo_x{~)ml?FE<3g$n`06J zt~7Y2cwh3dX^kt9l#%HKi9dH`$_AMEnVzu&Aj2d3cB|yO^EilXwex$zKtF}4Stt=k zxH;$LR$$@LYSqCK&VGr8|4=dtcSApgl+pRNy%vR5IIqLh{TQNW#Xjg?x2W1I}BH{4PD>_uC{mk#GbZ z0cBn4NX^cXHrU}htQWeYK_02FtxDx7YA8b0fmw2fb!6)GlF?N&c3^iW-Wy*Juf(uz z%9xbz3#66iho>Z=iOwbr{-sS|6r*k2brsi$8@I_;pUtm#Hdl%?NHMkij$-7WHo-_q ziKmMZvB;N zo2WQqzBD4Qnm#IidOMtlANJ&Tl72(_50z0I#qXLqZS~X}iXOt{y?;r5G|x5U51N}bbeN~hybYAj z`*^G;A;0#5GLE-;i2LLanLuHFMZ5Npl#tD4vX4i|TjNKCAKi)$Nkt#L(2K&{ikHWo zhmbMC<8R!PPqv{pCcaRNFp-!y`He;u3Q!>O!yk!=ZlU}g<6EzK+)pSxQ&9Tb zs`ngsrGtr^YDga`7gy+khdu`|n=sRh$XETd2Pl(1<_K?LMpyaZq3_8k=|yW-ySWq; zi&VkIy~y2EiLf7YM!$to>;=NKwSE)g>9eM~?_{d^??!4~h5EIBd*!&N#u~_m>+s!W zW(X1*4P!C}70IaWIfF%aS13H2_3}sdwny~hVh6h71~N0jT!ODLnwcSwUdYKG5yqX$ z9&zJ}br0fuhxgu)f}PK)H}^fzBRzcr=DNN^zq99Dn@2u!JTXV~K8g(@TKH!1ztIIA zcKr!ux7L9LI^1ZS?01_T*JeXwNaGo6C6g0v+173C!Y8=h%%1a48VT`0;Crc9eAmxC z)@hnJH?7%7#^1bd{yhAc*#whLLHez(7OKo#7+AW`qh#svcS^@ixtwIS60WY<#m2XX z!4StEp-={*@E90CIg&gzr%bj|O&Iw8Ld`iidte5&y2{tVP~6LCa%{qnwT8H`qWGUJ z&z}r-yiL+)2B%=nUZ_k49eN$^R)G0~=+h-mQ=wUp^9mEbjXkRm-?CV0t14wNi`;5$ z3?uPytNctOe4EEJP~)jcMQe0}8Xp!S#7|qNY`dBhNPw5`u2-!kmDeX{2~(|>|-L{mO@MPu!N;2_Wy>YV&@?Z_nwLTYorGAXv8KL zc9<9ALrQf@?_FhA>^zw%O8m)M9ep0t>Rb%7h?-1tsL_5VtVB-a4A3z{N13~CPyfwO zk{|9YDjq~d*UUFHi_!?de@Lz$w}6%GL*e{0=3@=)tH5=rOC#D#Fa#PM8id3w8&0Fh z&Y_3tj%V06`Zvk;D*YAIeWx>Ywa@|Tgh9cmyBmvtO$zvrk~V# z3M`>8hWorC*@RT?6pjQ5PsnG9PN`;zh5U*NGLz~>nvVh0#)^bre`k$wS7l-qWsh~D zmtf~vdf=Kx8mn;%LaHxpMhNrvxUi7yZTc?2_A^y3MT= z4VPgXpC>Uon|ywwe#_T*|?;Wli#1bm>mczVy zD{9}gIFhxEnIO`5d+G}(n&ahyIkT26_mPnrJ$*1vdid8T|Zfa^jgkm<{z1AmRWu*mJKVL1@;HB)Z2O_4#BW(RM-( zs#;OeRTP5I02OFkZ9ii427X8_-I)tG3v+k^(uTqfC#dr!zb37jKPVQ52p~D|b1%_$ z^oHvGgRd(f-%7`Bs02U95M9NXsX}Wwg#i5y0N9GO|D34;KPOq(MG-9z1hnTM8w;mu zO@qh7YAk@VXh?n<&sj3aVo~;7x1-9E6{KR17F?8+AVS>th)4wXTu0KZvSUa0KoyGo zPXMzCO!lwsHDc2|K+{pEh)Nb>;UGeqxketN(xDJ2YsAm`+z16XWhy!s964+B5NaV6 zof9a!wcU#DjwxCRMR&s9+cOoNmA^*&#azc{(Y8X&otcWx&#&kngtv6vAQUmYw`VFk zHNT=GVy-(SP;^hb6%9fl+k}PTeI3?x-4)1Gq?yCp!*$!>x&tT?g^I4g`T#`kb%COD z8O8SQ*axUgFqZ(e7oc16iCKthOEI$$!*9uL%_n9@EZxz5ioG!qgH1J_J%at41dY9x zd^Zc)YV02b6K)kWW?)#l>o!4S|DYpp7c{1uhp~T$pw&U6bMF*1=08?-o1if|d$4R5 zv_b6C9h&CYIETg>rw?M7EAcJ&j9{YiYwVo|#gV%Udv{(Y9_EF?Mq=Ox6qYaz{e*9 z!@@4FIqpYWMm+W^vXMjk!>ncZb0~XuzuG;e`cEG_aPrjt2$~b2yT_+bpTJFA4BS1Y z{~{hR%i@G~G*qH4iI7U%pSo%;>}W?_=4G@$!|WcPCZcfnsD1iqN%Ts)>4}?w3CNz} z^&6hP2_@ZyoRhKqkDh#M!eML&wvGIaO&@A&y#C_{7f;|5eDPD2jmIvjk46A}@W!ynqom*I|PPA8k6Opy5_ackag z7~4AAjzkwrbV5}pX~#{}D+xQ}goI&3OhOWp;0Osy9k^e~YZq=1kHFPh?u|FpCw2GG z9FOIKoOZmQ!W5vOe>XiI;LYsHaRPc*~))BDjlZEG{QH+G_3o+3M*S!xq0=NaA% z`&mcRcEm?JoNT`_lt|>{87S*QMd0l)il{oiEwA^)ChB|_s#HTwF*FO^7BT>1R#)T$o zYbN|300030|9AnEmTPPjRTRf>U%dN(Lg|A?d9=2*YAN)=QrgbW-rb#cXJ(z5EnP_* zNvqhJRQezg)L7$}YDmCn8iim;h!t!gfQTOm7=uPX7&Rs;-)ftn(L@p@RX{ABb9U}@ zx7#*xlAF6b_c#B0?m6e4ds|ovTf`W9|AXEJ=-6-?W2>MK`nFDLuuna$M}XVl~s)k zUHDai(5N}!YJeBH@U{8jT7Vb3aP$1|Hh`D7uyEfTX4F;IH!gKySl@g$*MRaemIKgp z^|v~qBX~LV7#PRvrY-BCOp?5_=WYSgNMIjFA(X|y*X;S-=k`HIQgsd#;F`^~h-&*o zQlKI3Gmi(~&{*aEp8l@AJx_NQcl8W3X43?dEpOsFNh{aUlFWbxvuT#3Xxzx53{1_M z!tfBcGM+QJWXM6gl}DGeFJYj|eqpl)X{tlZc0p4KXe!sN6)YdzyM6LPo^YHgxOYvQjw;?(iqQ_nh@1&*f5RWCOZRadj8VD$R>vg|5{&U0upiu*Ip zfP9J{jM9TfWI~G%O96Si`h3R)97QLI9cTu1!=h!_K5roful?}Ipje66$yPMX0Vtv+ z{M_FP~ z>b~d()(nVvaFR{X$bx-)y80U8Y^jX<-MEpR2d?+mvm?SV;U77ugg24urJl*_sEmXt z29TMG6}3Z(*N}{;9hMYhGg*D;^|En<(efNHWJ-8FRg$@&22y5l^^rjr-s#HzhKUQ)1I4a}%1g%P8TlY`%7|*SA zkatg=a<}Ii_yU(V8*R(VHgesqri=Y(IT|B^8VsTiHA?7A`NXcj_G zF*fu_(hBRygsY?fOz93T&Lc=KiS9(P%St_6h%!!I*^PE$6I;&5+Z35^X9wZkH^1Gy z3>}RNAvXvypL)o^)~ok3g^);B_q4KO6p_NKb--GpBeXw*+jxke+>K6uLo#e^pgNFSdW`gHAuza+-oo0!!t5sj zB|9vC{cLahv4f5Mux?Uf2ZL7U6N6bimzXxWu*G&SkGFA2-m`rEY=**WrS43LR>=6Qm(f|Jdg#VJ) diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb deleted file mode 100644 index c2bcb55f6aa9fcb3e1f7566e540437d9c123862a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1545 zcmV+k2KM@6CZbv@=0000008j-00000001^QJ00000 z0000000{;F000000C)lHnq6xXK@^4)5kU~qTTuyuXuSx#^Rd}nw-*X}QxvaDsT!zB zsS&|Hud~^W%=@%V+L$U$ClK0`*_m_Bdp_qRw|l+bz3^VX`u*O+@c;ho`+PF}Joq>{ zJsP|_IQh0*=ih$+e)#CYn(sSdU*OnmUvhjsn}0hA@9@#Nul{1s-LUrf0`LAdJ-EQC z1Larux)tbv;M4HurFFyd`Oe_|Y<_UuTJFPqay6)x2KQ{@s@zj0SI%`HVd9M)| zJEB8#;heVZtpb|uEg-|WE}V}V=L~Q*ZPl)8I;)K3x>zm)z3HR^mWyU8qq#1cZJ@Vz z(|~3U^bo15TK)6#X8Tz)PAY~)QN*P2LRwSCs~!*6RlI7)HYV|~Gvjy_d_h7C?5&(I z!m6u;5ydD}=2DKL7^RD4PAdpTRb9t>E8}AlLRu$`AO@^p6n1BokrxGPJ$a<|^<&{{ zMNQbe!{6;{*~h+!r6 zoe`g`^x?{B|Ezru_8P?*)`wA`zPG{%&F4s=L#t-TvNtUTq{?L6=M-olM*vnlr_|;X znebA;8oj6RC>0}}+_1`{w2_05=z&qoP#3&Ph29$^P6l6)Pyu^ULvc@GZr3X5DzPB= zf}|M9W{iCGhPws#6j&d%8}~A-0(Pvzps)f+_%rt6)`nH`RfEFY!Iz{a3dApt0tpq; zB>5)e7e_&=QhgHX>r#yJ!%{VpMk#begE+$A2xBGqGrAzO5HyN*6zGxA^??!EkH49H zK`Myx&t_kRq5&(U)!*Rk3uxSM5}hhy0VJJY=b|_YBw`S-!zZy9u7aS$!#Jwckp-L( zV&EuKOY;f!aRopeA-bVLp|==_0Tpl*_##nY6?>szS`0{)NvSxwrYzuSzXF5DA{MGE z`#uHXj3~=BMLag$BW-RKNd`p(B$6staTLg0Q^dZxrbHR(T2w0xB;no|v7(eSaPpKm zvOcCFe)gPy&V;_T1%z@FF zW0idKlsJmtH0;-671uO;y9D3B=pL?uhv7MCG%y;%Z$J3mQ~ote+fdmcC!QI_mg1ZF>ZU=XFJNUuf-Tm?1``)ityLx&} z&-Cs+RWnu7Yi46XKtNO?L4KAH5VoHW&!^Tjb#wyQ*^;rdGqX84n=rGIiMs%-P00Az zxX9R8S=hN)*jd@x$T03m(?$1 z^?pwKr}g*G)eZMxE0M_?;c5-~weT~tvHR%2=)%bAgY+UJ8Aws zr$leYRJ?t;9clgZS?&=AQ%gOEmg_Wj1L8!cv2+@htdxhJW1IR14bi+xFI;7r8Yz6nPB&t7#LI6T`xmHJ8G=4;lGAjzHD%c93u>1olie+@k#G95 zruwtnULzDPBDmML1BAg{+nsU()PumHD-q1Y)*O!qUE&O9wd`jB(6&~!#JbNxd55o1FVF!@xgrhwt49rc zrOV5u3i=(sYvax>_4PXzkwV?Ns|~Wr#r|z6_a@kGZf@qdER)~Y>Lrt}=K!wHr%Fp5 zQ089Fk;+~av-~O)$tMUSJ>E&iG-z@@MvKgmX5Vm+Rxcp=7s)Pq2Yrw_XkwYctX}8v z>I%cO9LG|rW<^d9 zu_KP-uD?7tyYD{MtFzGoSzg=!?u4yPt>HavS>R(=FpTO)B5%GL3`%FME8u4sfE2y! zbPsI28ChBZdf>^5j(wM9t2SMCE;N^ucEFV5s44yfNE38hJNQTDW)R@e%IvnL?&>|( zYj#yP9;{TDm}*+=s8pY9g}=&CtHPw(^8JXRC#S92*qHQ9a}=(wxARQO>))H3y&~U+ zsaQO1=E`KQaDxKKh410}hhW^TBFBd7hiKmX6y7!$8jJ3+Nxf-j`+O?!19;KwSNt+G zFo>Ws`4b$sNhUPw!?t)ogThUWk(1TA&#yp}ne%kLHfQJ5n7_D`sU9ZmV^|?*zD-sV zms2h9ozQ!C`@kdT^!-uya*A|^QKx#yV_BSfO=ZvX<#@9~_Xa>hlDY8h@6^pS(#<=- zll<9Fm^(4_<&u1RktvjpPG%R z2vkqsZ!v$T)*svVtvWV8<{+wXh>3=_32PJ^Jgi39+g)^z+0)-i&t)mWEdZ>Gv~{74 z;apyIb>b`V0o>I|cfe8)klW5iz9ZNB6yU#izbOoK;hxT)bQR!G`~I3*w5+xbhd;Q0 z6SSl)VAwld1v5=7bbe{=m9sQ~gKIJDcu2+?{n)vEK?lzn#1G78aE+`&xZWfWbSGI& zEEZwu;FveEDvEVm!3DV&Z)UL4@nOjK$_Lvei%1mkWx!OUk*dgq7#}e4#O*v?KWc(9 zXzx3mhfCzx=2d%iipt6n+L6;}vX#N$KgLCep2lz*ql2+beTTa#^_zE;zQc4p5&j&& zuI>`9h}+2-Q!?roK}TcOd$=%p5u5lo^(olhbfg#BRN-&~o~;H!;+?L5Y-$oCF0>nN zlAIE-yY6oDb$p!M3(9dHe}ZjY2vE>PK2hSioyfE2)Erdg=RW>-C32BNY41;%2cb$e zbX*jX{&3xqk?1cEu&GMs?xm;Qs#%Vmy`Vc^V^DvN2lblt^=siTkT=dWtipSLjX7<_k(OOJvRreQ)6IfiDKUFW-&FNw}2ISJ) ziWy z1D5pw63SS)mlj+Powbn-)SSlUo#}Jt6C49C-GdW1oqa3)DR1cPC?GVU&*`^Jn^adN zG^sKhrNxp1Qy!l}pIhsDo|EqWpPLH|Rh5a%iRUMO8wyFM#uCf0iNF&xaB)0+(aDk2xQa^>hfI}AvYD+g zeDvHTDwM(1R8xFrD#MA3D%*>?yDqCW9qk$ogq(&~)*`el3^2o=5C7fyQ* z=;In&hrPJ3XW)O;8eJDzk+ME5Vq0Ao#Z_`g$K|*h(uPI6ZGO$lye!0yK0q7TPTf;v z+!?%0-dBG6u%SKp**rviG*!f5n~}?7V$&9cB9MHW2n0WPFN1NK?bw}{=?{K!e zofb;qDWY*m^cRXhl3L24^9d~+C5hv@5U97~Ada;0Je%7+Mp3V~qFGe7({;N?M&+KW z$j<06aoou$#doY7d_G5zA=jhuMUWL{o20oo#6JDzCKo;vK{2ZBLw4U^!}v#bbB-xO zV|t^(JuMCzopNl>9h-d9{~{k5S!-cp7#=~qI9NI99|CEW^-}u?zIZvDGQNHSF6%Bb z+0O5ek;&HzR~r*S^M-(7owF@%z{FNflB7?beyV_TY;8^B+tZWNd^lY5)q!RM%Wo^ays@Hg_C-Su?@~C=p zynnq_#E-l(XV8+dqwoj{Yc5`OOwhqaBy~zqk}9qfDB)Bs7z$1lDW()8D!?JIOMPqV z(X^)55rtm2t-*yz%Q3QQhr4J!%jRkQ1SqP3`CO~`ZVpmMMakW7GrHY@$6k89nUJ^d zs~cRoqcb128?^au%v=D+we)c}eu`}JlnQ6%D5ex*E&bGMKdU8>8oIO0t&J*LF%d>W zz6AQaczJ@?SBP&Hl%le+_9l7=wf#FR#y*+xr!!re4DSi+k*BKn_{=tG&!Vfdei?yPX@Nj&KpBm38RuyL7RH^t1(RJ~?B+_f zaEg4irbnq5RbhcXfQvxq%`r^~k3(maW3M4L$YHSR2E=g}P@}DjVgQdFXE;?pRUAX_ z%s)c*JzWSr*#p~TP)Pt@TsCunIxN@*E}hX1*gnO;4&U9_>=B*M8#9!_tkgA{N1Zk$ z?xuRCA3ze}v+DnYTH4Bo)^fs*ZOE!BZjXn?mghF!UNstz6EB#Up?KC+;!!nF|7a}#q14(Sku|tjQ(MHR+9_R>p#6s zlPu>tRhmS5ZMFqj!(H!U_21`T3nk0q*zTLznO#j!T}^d&N0&@ZlFaADDkpsZdI4wg zMZ!6B#b=+~^b_vjYY-ha+ z@ja!QT-9T{_%w{oN-#~PlUO-S-u{kqDwfII?LW-`5t}L z*@_&sd>I1A?SjNUqu8#Anca3G5&1ys0rk zj~XyVt=%UdC&RH?B{G`m%NAoy0rT}|gi#0mtazO5A6)Drx*lhvSWFh%0Snln17m%7 z(5)8i{%J{1C9l40in_p#d7!Z=azek}gJA>J+HTcteXdzxR#uqMt%cMJRg1fgys}^e zD@&Y}YV&`4bs@m$OuZQep1tvYw#!9-X^ayH_Y6c2BucI9B$>uLp=7n{YLKt=@j4 z499Yn){SH1kWYs!?BIfy_A-WxzHdavJ5$1E1?#Z?2J)D6Ti6Zx&#XtE;y5>VU~`pp z*l?C~IB=G(UIsN$p5mM z84MR~7V+JyJ5ArGlI}O>;u;y7PjI-LA}P61^bv(*^nW$B;y84+!CMS*j=DH*1F;#b zb0wuhzB8LoiTRYuPnjs>n>f3vU;5X#K9ZSi2>L-IHl>3#0#9YuCeCdF;w{Iu&e67O zXB7h`mGTPAnppB*#8fWZuUqgas~T<0jkjcky>JQ(lK^&hVieoC%#D#yb{Uop<<-Z-M#QO26upxW2HZoZ z2A4T!v@sF?ZqJk{kx2w*p|g=Xi z-@%Y}|I-RRnKc&})pV(#UEC`xbYWeFPr3B%MwF4zKCh3Z*LLL5t; z`pIHqGS8W9RI*^e=`tdHLNn2iSFC@7Wz0<+jYP@( zqE>p9qdM@MYZ?vdTzv$S9nSvTTtAV2zIcp%FTV(R#*RI%G!|3nXvhvE_%#G!xF0_; zp7N}5V1$to;y)$a9lWEN+MuLeu`nZ1?q&w$=1i{EZgTaUBN1TIEPuu+pN9zbH1-tA z;a&U96ELxiR}(kL^tOPI@?3fMXM7>!Xs|9L55KZQ_SU()RQq4U7(m)8cQ$%Kx#ZKR z%+cy9;AMbF>Dtm-GS-0IrmIss296Zu+q(-DOdZO!i=TwTr=A6l96#iG9+zqkUe0as z^8+SP%M~P)wbF?v*#-D3*q8S_vO!z)lfq1zC0|ldikTnLY|^v^I&fqR*}0(J@|c6Q zg$B2x?W#kzdXW+SoLnEFSl4I1kN}v0aV-mm3YxP-2V||ehGyBd2_AXkc z)~My)NOLu^>HKrr5B8Q?9UMJgd@98zEUcTWd~ctQ-~ePw7G>CN@UvYP{UoHw{P&d6 z7E}L=@Xoah)5;02zLtIUDb|c2w>YtSp;@p&r~mPLn{jlt0;v;ATVXN0r@DDCp22Z2 z`i??&sj+t{rb{%7OU{CKdo52X+O97e@FW4!kn0|LNuw)!W9r3Fm}D-m`{bG5K}?O9 z@cj53@8b0EFwWWJEeF>l?cm>JtQ$IaabFFUFx}`yq;h4hE>At+`E=POlbL)+Sul4D z6Czd?D^Fmr^RNQz-Ow6~zKK0{miq~N*IgK8M?P+aEH1r-NXaR=^oeuQl;y7Z+R8xI zS1Ia(3hkyf%hN^J=AzfQchKe7`1(6FkJ79crcx?K$qlm?6H*PUQ0&sG2(J;*@lw{t zluFhOQrOe`g`QTh74-w1ppd#%il z+H^rxJgsNAOSc*nPn=Ahi?7;^%!}+Ti=5|owd;vyAd0bcb8#*WCtF>&_5C~b%mte? z@;kLH{ss1Rf}5c>^8x`*3XOS>7YfvTPu^hrhHnzZdLD35%4mHlZ-LOqUX3s#Y5|D` z$eAvw94@5Kif&5hEy+P=KTmgrVd)gndU@%Ht2l-alVx8BY0N7n{971feH z^7?2ca57D`vD(UH2MXyy5i*!;mPgG_cyUOQaOKCv1`gjTG)*3g$B0BzfDPIL>l)I> zwLBZ9DR6~_2JXs*%Otgyjrvx9Ff0~Uj^k>?F}vxiw*9c!1t3s=pTT2OZ6n9PGgCSx zS;7cF^0=+tr$M`MtiH*hvB@16VsDYd2Q>2vAsKu9;WFpm=h{ zQtB&PMM^p;3`A7f*L1o42x@^Y!|l1KSyfI`VSEx;{I8f1{XRhdX3gHV5`oQ70mfW`!Z4{T*!I1em!o90)nwm4aCmccPtDP-J); z2C0?2QSGY?2NJ~1YtKnh;sVE=hRJm@-oyD#n2nBXh3oyXp0x$|C|T=uA~2kQ_X0&R z8N)u5XPN>O86MMcXCF_i|BBmA9%ot++=OX9;=Xj!vKPRiJYdiEG8Ux6KLI5?K<-a^ z_Efp-9*Jy!WOoyD6Ozh&rVt2GuX|#U)*7hO+pUS@G?G}Of^CXh4bXewR0~sg;eTP# zHb@U;m^g|@On-^vX=7iN<&<7i_y*-5nl8dd2YPUk5G}ipmoRr_D5*UM|FQqL%`^cz zF^3DD#855>CCI)y)VeMo+Eq>8+_mV#+L}{w zln(E4q#Kn(`pT{qlotO>^`*Iv-RwXQWKW>rq--Qj{T1`*h=xFA{%)XZS|6NV!zD)c zqKk3C&G%%SA0TE{1su8?|HYN7FJ+#d^-6$wwV~9Xn-T7$MsWy0fzar7JxIZWv7o_B z*Z79Ze9(yS_Yd9{Nb;N_B5M$^t(r32zZ1^KsQ3d*hC#XTTWn-P68-E?3W_WVPx1XI zMWpN8!E(v74(dri?vZHPEwO_240qeCWQL7;^VCV@?54}!GYc^San2?J)Y#rZ!3|9# zs&o+q?$hp^)IpDg+`g*f-j1BO5t)oCb3qk@s{jb2;l7yXh!?`)vz~Xt0PpqRWN$b`PQCAF*5+Gy zZL-h2vG25-R9%3TSW$QU(Me@G9z)JEyMPufehUVGRgDkvPzB-Q?+g~3YKIB|k8p*Z zWamj2U5$3^6?yBX&9nz5X52?4`&T9+j@U>4kaeg1)Vk~a4YXem;!g~ zAEF=WyzgC~nLTJ#>fMRVLkacCL7)@rl!h#!R?NVr|Eek8L!C^0h6b3dy-zLkjss3#@!w$)yKc&PxP02zD|pAmd>pLKD&EgP)VKpY!``_hRfgN4D4S$5v1EOJu2h82C*Kr3cP8DwQ9OBhL)0- zT;uxi=ki^I^t_(8(|-@m{`m0dxH)6lAzc%@nS!oe()){&{Nb~x0)}5CeKUHbLvyF8_cdU z>OJ|3QH=P8KQA#9cj;^0n5$U^w779?b=!)YZg)1tLBHr{CLek99?cMsitU=?ZJ*7M7DIa;td}(S zXNYx$%PwXtzCE-z_XPI4>7ha-oJ&G>su=w-eYHg*pSVcsCm*fbcl#ABHE~gc7nQN0 z$A-hzRsWU6C}z&>$2ROJLcNc(T1TLt;kI^~)!NdchVw%y+A!*k#pW=7SLwI;>#CT# zunpA&SV{Gir%XB}g_sRlHK~2Of@$5?z)X!k4wy2_HzH2dq-`_gyRfbsC4Mi1ME!_q zI<+txXIv{sikjw2Hni@y#e-9czbag%cqg8Fd!=ZP6db*jF_f;&~DA|3!s6U|(;#eE2C-}i0i&{!; z5tM^KTSf~zx3)$M$p<(-*C&EJ!j}>%&Co2$p~66>P@(!kMY8oU1@)bS`QVyY?6R-ew*2Bv3`$gR!$wA+hB6U69qT(b1!H#fHFph3 zV2;$6+H?xlRzG05RdCyh;C2J}|q-~R}ur+Ax(REoPW^)X$prM)b_a9AG> zd5UlTSNuNCs)nZVr!opBnwB!tq9v0jC$88|t+1XaKO*uZXkb+)ucPu02lT)MMEeHdKnf^5`f+bS~gsg zk<*FbP**X>`?MY8Xw$J};a=_NW2AHoJG&}LRm@x{P3YK;F|<TJ*n<=Td0z_)S23naRp8-`C_1LXl3yK`l7HKoSVa89A z+pu?Z)sLQQnfJ&KOjTi-ZVX?%^6>Z3>1hC{o;`QJUS@@Sw~H(ov10a`BvjOU^c>PY z>Ap9k%+`N##*49@VXKM!TJbWJcrpV+nTME#e}Iy~%a` zylc`sJGPlHNx)k}1}4oZ2X)Kije}^;|@ zUx_?VfES&>Qw%KjE$KRUdy?2VLtEQM^NAC=we&mmddt^&%fGk@>)arHhV(n+_B-Tq z{YO%+m?|TT(ClNjIe_gra_iUGliuqNCZ0LZsoRA8%TNL1$*b z(gd7?;QL1UA}xraqN@Jc#~C5M!EST?F1r!hbJ8RspxjdyA0rxci+N z&fokWZz78p4+aoFuD=(X>%F|?KRikJLHsiiB?=p&3fIkQbBINYk8-3CXiuny1=Smx zz2^JvqIG~spXK}xyC5P(R@n`jA7xKt=xkJ1)L>q#Azom&-2!%l3@8K*oZBH8j_-uJ zg(!ApG&6ruDDw->8|oK_okf0G4vbiU`KTqH4E-ip^&|pOG&HC}xMRu)NE(*`{}R-? z`hXa!QPT$6&&ZFk++b8pf8=&wvwpzbTKJzvz7nXGDXU!?tPkfx9N`njc`#&)cDvK- z;fo4fZ%n6;mo_x*ZPJN8WucH7rd7n;E|&MrCtQtA|Lr4Ql+6N4rf42;%8d6|If{oY zG(l%j@O$Y@yX{IKI#oN0U$-*kitgPgc|)P`h#Z$F-B-`6}hd)q(o9=GBO-4Ypk(XKqpY zDJj3lSGUu0inn8VfjxXrY2CDnZy_&nCtdU~k08-oyA|`#)5O#I199 zB9(l7=o4)AHK1_af@q{oy~}Ki^sp^g{RJX|GqhaMN5R--3*&}_ksMnSjRGZ&0rT}` zP_lGkqj#{7JU0BtunQFT8IW;#&6`S%%2B=0Q&dQYcUd>sEwp!;J?lpXT7tPkm!_m) z%28CRXr8?sf3JZ!(jwAH4#B!aAThiKcQS_k5vl^`Y$H;O4noMGA z+FFNVRB=6>en);h(`o~kjtIhpW`5wl4(c+f8a6lTC|l^aM#Q4+k%O>X4wDy`XMN&n z4Husx{j$5Okj{PKrgOp?an6Q1iRsNiqV;mq&(mVN<*Tcg(Baa4nw4eMyHR{%yYUoX ztR-E>3*<2D*t@weTiM)4RWo(8NuAa4>wwy|U!F?^-ZnZb>+{S@pcKoq=W8z2N#6k$eu3X1;(`+n&FD&5VGMNIFkgaAv1=ksz z`MN&HY=z`*I_|iYZ+c_;FbVX;;U58~Bnnooqo{qac`2tqy{tL*+$G4Iv^`4+t&KKJmT7H4<|(o+>a4OY9@%3L?`1SR zSJ??;ofwXSBfvg$Pq(QR!GP#q?K4WdUg6lbJ-^WkT<;23D9YIsYO;g{Zdr(iFp-8aPj-of0zB`ylFpujJF*@A*{}o__=ldjAgN zsnMcqL(NwOkE28M^3(#(jjV1_e^N`j4^|P@(F4*6u8ET1#~7EMFT(5qS0&obZOC>Q z!$R_o5*}H7|49@?{>}@z9v@up<*+NVow!MaS>a6*kb$TR4!Ac_-DeVYkWGbq71ire zhzKKdzaOSkS44VF+aW-N02iQI34ZNWXnd@!sw5!j62vkP83316)$4058E9EtO|ZO~ z=xpp+_^2Fa5%ib;D_v<#Jb6pVVYO67G+p_2&1T;ktfuW3~0_waR651+UuZchD5mDnH8Dpic;)hr!2#u!wwx$L}y#_CW}86ypq@Y}r9 z=!HK%4kd5&4^FzLG9$Nfm! z&Uvu-7)dObKB3V%zJ!rE1;sq=Uia?c1=eXB{K`hA%msJVO=iAQQ2e;-o}xMZwo|pq zHDuWln|b)gP*&qmqc3C{_^?j{Z^__5QLU_g5vsc|^_ITW*CV7Wfpj(D4Sbh1ZjT)z zh%eWoQHz?1@bqgCTdoeZqg#2~A|K7cD~+k-wW*uo#8DHlmk+Xpvl^q(**IN7T}~;E zdI{=TNaDc2>#FPO;^ybm&|AK}>iVP#Bo|ghsq@{HA*a;vBqcPD;Ga-T`({da8q}>C zz`LvkIH0gAey7T6ZVf__7)L+UmZz+-XQWyQ%$?-U5jg!EQartZp7Q>K%d6CR;_dl^ z`7P|^XVH(tB>=07wKFyWvZ0y~_I+Uq%8#U4gAg4jd0#5Zumxq!p;iJR;`u?!^=%@( zdFK&H3cRuN5ti&!QEgDBIQ%6os--Gvu11U5kme*B*IK3lrhuD5VhdAOiUH$A@NF&} zp-dTa!g*pM#y|A0In?FsPz-i^d)80M*Xeq2ev(7qX-;_o$ zX0At-0%Ch_c&Gs`nS%m3oosS5EB33Qs@rtviC9ci&WOB{m1G&9dA~`fX!Nq)o%${F zaqhoUE+Bek1(m?*@?fqH;=q4@F||+RRw@d6Qv)iqI5LVJ#ZrSC8tKT1 z0fbkx35}3?-Vu^-2e|KxDfm7|nC*yXlai5DK55n-f2>Otnq;ig zI=P-FtIZHr<^0#iL|z6Am-7j9Xcl!nBc$@#b$~Rj|cYb8c}42I>c} zQsP&a6E)Qd0v)||vmxrkjS&-*sH@rb63qw&ujT4dXrKPphAP$-H$^^i=W?#Q{r&@* z#3*m|1}XL}^~Ku))MFF8VM|vJ4cK%ENm=3+t;SNdPWHgVOZ~hP#szeWpt+TSI;PxG ztTDAp+h2TZFe^;^>b2yQHWW_6UN(g;!hl9d!CcOjyd0Gbo4hHS3GT;(M6(R^d#M|p zZ~aW&G-TmDmFLi)szW3S_VcN$^UIF-G0Gxh>(qVCAbE9H$;YGh!UzC$dzD_XCQ300 zs8~ln{GV;HZEC(!&L1617KHMiZ^#26G z(!}HEy_9V+fKl0RdgjrTAeUZNjk(W4RF4K3dd_9=6PP257c%Ye8{#FkPU3yKM*rvf z|9~<+oJDoytHo(%@qhhCSw&w^w3VDfA&>dZ@$#zve~|cJ696yI6NHeVrT4fR3mxkk z%cD-21YJjv#YYcQ8t84^IV0sc^%TY$@LfvlQDOs;cA!CXTE5^hwfI)vugDJiR!TKM zrg1b`xaD6H3o;7oWsR zHUWJRfKcUpEm%_&VxR}{vJSOW30rf);P2xHc?ysCy9sh7WztQl4aTQNFYx`ZUSL&7 zp3gG2JCnOZ<>k9pdH&ueds|W7 zSI#1~M5*w{?is`kHG5k-4_}4?;`iISu6XwBAO~_~fUm^AZPGFAY*O>O>{9clfC|$h zpJIc4yKUD0j|Sy&wa4M7Q{2Ia%luS>%G|-qkB+mmueWh$<*T@0(^*{de?Bz2O%ep} zg8Zkc5I0%s=a*r=%PZ?`ugSjiq@Hn29S@!qUHf+Diyg_neS{t~1>ss6Y(4|lBfB+5NCktm_#sA>P&}8iOW#lZEn|E$# zC!9r!%OK=4FUq4B#GCgWji{&eT*JEj2bSz>GF*c5{Pqj5>>c0Vn%pRTo7X2R^g~tb z)dlv76fQ@pfwF0jlK0ZC9k6Rd<-T5G9ZYMH+WrNze__ABUrsdL2O(nY!n*86VZ;A*RHCe(lftH$6gq z4B|dEL2OTz7p`kVygb0x5cwzUv@a`5O-*@3A5|Pr42;O^^6<1;bl~77866u(TJTebtyKT53(;JWl%T<_|J@ zkJQu67FJdt3j-ZJB+NY9zne~M;EMafS#Z0(WA{RoDU=%s)ESy(RRMphgHEPsUHm=K2mn+(f$1#7O|tA*lTpxk*?okj0o-{!`dO*8uFnyFLo|A zqn659b!<%P)ze@nIQ-d!nq*vZbMAR;p8T$_yvIrLxglBB_>!zrjQN)5@|)k`!27hq zYkn%!=={Z?Qhny4HCRv}`|`V}tkZ(PtBb)Nnoo(y?dRfjgC4cQc>HU9sRn9a2X9xu z3cXL4Lt8xjOr7}MV1c8kvS{?Cz}jzT(%MJ(WNNpYy*~W$IddX;u5;TH+&m~Q?xlU_ z2|eO?uJy@;Qg01zG@o7Uc6r(pHg2mAMZ6d~rU*T{c&=C0hc6(G@Q^m6b=S9`QWCAS z7JDZLA4T6c3JwJRc*`e3aocLQ)f5T>ZD*ZZT3v}3A81*Nfu)`>tKM?0^*6zj12oQV zsbfL&ozId*zS=ETN40xZu~kyi9%Ryzk=PG>v`)^kqI66L`@#40NzZO%0wRE7XsbI19kq&jRZVm{5s(xOrAW<@2?q6V}vckgv+F4ZCQR} zl3rd*ItSrAjyC?M<8KIa&i>;c7KfjMJ#w@8wJPeS;ewTRQK3 zm=Zf&@8aUgMa7Z;v~9_BP+IB{36V*dCeHJ^_+d4+xL*hQGoh%?v$N&^DI?s6A={(G zz^2G2|NdZfNdxf^*Gv;dXW(zEmACwG=^y0<)dkP{4$5sDM{GHO9Jwwk?X!eZ5|6(} zoj&T*g{sV7`tT*c6&9U6(jzW4wobW*hISv+W=8T=W#jF#(I7L@*F^TE{rtb>S!u4K ztgE*|R~RQ3mL+rR8*`EkKz+zV* zo(rjQXlB;?T}tX zjy7hqx6jv`OV{#G^9&{Ci<3j9+D93D#Fb&|?S| zE=7OdeLY-HSqZ%^9FWR3q~TmiKWn|g9ig&?4}=tFC%vQbwOh@j)*nEQbvbrCkpNuQ zBbJG*NcdDY5~qejn8(x&y@p@)zMNbahS>V@EEqOM(c1me=f}0@UNvfurW{VN*6gc9 zn{#XMI{;Bqi+U@ZGX%I}5b~vQ6qH*%vmmgT3KJmxt2OG!wFXZt_Psl;Ji)z)jId^5*QBu!YU~GK?06>JY|l>iKc*$%!F$c9{jtXdssEd zu|xZ+b}J+B5$$=?ODE->?(#NaWBX3xX@+T@>P_tM{IcX&Du2|hVLc3bEsDK*w4~Bo z(4uIq_+xgK3XvPo}B6NQJNPfqRwd8J^}=dDV(N-)<}phsG^;A`a*7ooJdl zYK?P6SvQen(uHf7N0=&gYGx5l>uf?qE=Eb&W@Hy#S$yd5|@^yjW2f@vPW#3Im z+*rRFyw|XSO*B~hG1y07+RK;C`w&rrXTQkhcO|ac%TT(s%edix}UY!t3&q-&wH=< z;ZDrqBLxnC>jHwn?^o{i1Y-N7(dFm-k{Z}t8`zAB>hXebi%S31_xpjH=C%RvMDef* zSG=na-zUH4niT2`q}9}OuYmIS;R@>Hf_>x2yE2b@;Cy6>>Uj_yK(g>l5O{SAI_Lz1 z?U+;pVXJnwYv;!NCgzMqkSLQ_2Z4$bw3{|{n>$|;-LGfP1SX7xc%ksU)h(zD@{e>I z$Inb_)>H5|?wURh-lsPpbBxb@whOnbCz=UZM9Q|DD1b zB^m;v3IN?&^nIPZwi)eBTl?3V`V`zrAz@#vBP&#Z#1PBzihTvr&Hhclz0X zonp)|fT#mI{)EH)yIsHL^E7#OKjvhC%T##T^Q^*z-p-NhLu73)BBPv~95}tm^rbdm zG8s_v6K+MX&GigS>b1{Sk&HSR>aXTOa)YAzdGqx6l{EYnNiqi--(z^pEj>-9{CxXb z>%f5a+PhwL_Nf5zqEr~p_t-b-q62bR5c-dI+=urfv*2*aHKV|{;fvs2ii8c^QP)8D zWsuHOTxgBBB368&s5^JC9)E8F8SNbiRg)h|4zGCqHVOS%fbdVeA27lOA5!6o#uoPAk%_ncoE&~RmjbeL!6F^g%WS0%gciP68ndwEj^Q%k1>6O#l>dbNMnV{cd0I?k^6!S0&zEumHDb`$RJXEKn$DE+cw6iekLZAs=xcF(L4w&& zOd3iuL5~R0Q;9!kj&=0YbF$@8A}hey z2KE)=pWbBY{p+}(Va&!1bVP@TFm;@cOej{IlM=$!B3E^tQshb>SW{APY#Ne z>|Q!4XkB9KWo>9o6i+t%qCh2MM3v3@rX-yki_lQb?kLN2Z>CBFG&mYsUu9nWf_rV` z1SqeO$mY_g=N;W01_p&J6S>Y}lGID&m=gK)jQy^UBIX35Wx+{_7? zEX#i76Zf?DlECzp?6qm0%KuC8@s_$~59?=2y}Q)qFhNKW{E+j0Xn5Qho#Jp<&_w)o ztNG~I>LCK%*JXC5<5+kTdK9Aw#Aom_oSS5pr#z;5OeMyq4=vlTd2dBdbgnWW zIWW&e4&N+)aWdpHkuK9);#N42JQROa(|LyRv3yvvQ8@z-yx1!)l6|O0*R`v-%~fYU zw8;9QbB0c^1>TE_>d;!ehOl3|d?D~FjscR0?G&Q_%_g&W2pshCpg|hEJZu|mf zv?w>6RTf)eh;Lf7)8@V@nIwg9H4yV6;ye}-o%SV|M#Tooi0a6aB7lP+H^jwOFs7B! zfnLzsxp`MKhoujcVk;!m$APX^q5^u;wx|~6uxFwE+!PjzpK&sA5y6_%Brs?4Vr^eJ zLx*w1+BoxUFoDbduGx9nnA5iCzE?fNe#^j|HTrAf0(GFlM}dRzHl+`WVr_JJl{i2`DDba9S07OUndvI% zv&FFwzM>AL(9nm(`=|(u90<4XKXq)bI6{3X}A~-p3EyQ#6znHcdKtm#$umuh= z6UapH3Vfu@beb~(CVV8u=HnVXD%sz3>=CgwH8otAep7Y33Sv%t{ACpsW!;>vJ6xT%W1vEO6wk(Zi^PRCH#b z=%#inx-+I|B^2ETdvD29bb9_8?Hh9)pGDgSF}GzZIw!xPyAa;eb%RjE@V-4$(MkCg z9U61piGiZK+O2310@)@^4DT)2({-0(q$156-X5;o4%h8RiAYp*Dfasza<>GE&SDhX zyJH`qa)7xIs67DPnorC^R9lLfg&2NIZd*PvJ7U8f?Wfr50x`&{`Rq{~UoU9vwdA`& z&{pI40GM!-pm79-rMqqxH1-cV@)kkkaPtU`ZxysUXmsvvg2wTWRoyOVjLsfxw+q@J zj_D3fb8MW0V~x`XF&r!LE%%IIqVa5eCbhRm!Iqe!69L^1iuf!U#h9bkL($Hdq7xvT zqszU4B1gx3GbV=q=4iITOa~yZB3d^j zD%TA0R06+xr|v3hj#Y8URGhFaPB=VHNT{`6aou5+kq~Moup{kFVMsU9m0Q8bCj`U9 zF3*kWezax8W3MNbrP?26ExVsX*|YoAK2xgy?6CtUPwkJOIT5;heERGO+{DGe-DCPM z;{mfQPH0C%CF+t0sl@%M%jd$5cGP8FM*ByY-Q&|l6z(3i&mJv_UTHTyaT72Bd8T;% z+Gp>8lI}vil>8sP_|^pVX0dU_ra68bhwP&XN*%lvHl>E#oyw7bXhOpD#FH9RZD^PG zQ;n>xT7*kNSQl+rI|zx&C4_qPsDzB&Lr6Ril30)=>_}AKPDsdT2LU%-qpjZ#p*dve z?(yI8^SMxl=IF-_s7RcK9PJFtXR**k1m&oUcJjrzqnXpm<|h;6_>s6ZZ#Rr>ooz>= zizPats*|+idg_&gopD0KuptgY5|ZEu2}&KfU&m_~ZV->a)mrX}H`Hfz_s|@V=eL9J zbw*6LPX!0WA)!BX<-&H+hP{DzmFLVsTJ8KJ@X@BUj}Fh2`8LtBFCc{hqa)~3PsSqX zcig+Fj*mo~ww0hQ{V}c;M`AtE4D(O#N8_}u&EVeHiFSFK?09aeO$<5D@n+c9I-9m5 zKHA}A`;DQ*Fm7Md*(;a0)ETZWQoDM>j)aVa+IBc7Av8fya|HjTnx24oxG+(dgp7oP z?1m-!ZDQq^xVDwB<4x9Buf{o!ixUzKvYVcOBnSyh6CA%ygkh^s6Sd_d6p=+uqV*Gy zM2scQ2@{Wee^F~uZHj|8$JbJcbB{#JZP7AR2@VpU?nqq!NOX@xfkM z-JScJ|2_AdbI-jB%U}x_WADD#*8&}TBa5+>&S-k&3Pl zCNiMMmJ1C@O;WiT1|*BU39sJz@ZTX6L1c-8m|RA+Y}O1f&U`g-0y+YgJAgBrB^d#3 z<*+Jvy?)33D~Oe4GqyMdo5$+l|AE)v|FkVLE>q-;`?#TWaGzs*%ck18*7+{{B0y-= zEO0%*3taf>+;Ahn3thNm8i(FW^Zx%C}YMWaZyD+S8E}I)bc?ru0=&9zL-Ov%d z40;TV<8{-P_0Uq1yuIg6G15q2pF|;)%fQ#{>D}k{K}S+e4iw;;&9#VX`$JNoCFwJd z2j9?G?f%|@*xug9yUSy}gROZq!Q_tDaGj)8;Alx^K!e#dOHwp$|ep( zdqj-Ik`tQvtB^Q#{I|@rj%Kl=sdClJja1cD>@gUMj zpb<-jI9Vlf(`*gSuhSGHjo>go4+3cw|VdMC@cM8s-3$(h`2^8Tl3@ z7#g$?8oC96)$A4cck7*rN3lkrgc~ZukfOw_VVGo*<3D!IpT>mrfFcu9#>!z#Jg9?a z3=DRAJOhLMvEIFFX*ownDLgqn-k9Fy1-ieXBpWTL`qhEe(q4j8= zr~u$DP96-y_7!lmCWPl*tMH>F6rrAiv=u~a&`jDep0zJjp&?9LpY$6jr z8vT1pcW`kYL3&AaCyHNIn(0E6bMne=v=f`yaz5Un$b2h12=BiB^~PoBXj}-nL5Ta* zLq09PaR<|dm4Xt2su{4|8ROtKT6*;7=Lbsw!Wq%|2wJ?;nohT*<#r>`Gv{{P;#o6# zJNX1JTsa_t6N`E^?ZUMg?jx;KKQj6Tvk}BKVev;R6{s+l;#auQMz+CgdXU2em1Mu& zAsLF~g_1-E4PH6gN$;~0Eia%JvLYv_bSau&m(rHH4X~M)npB=+H+T!P4dhRY(a;zBQUP4zfxN}GF@?-|nET8%Mhj9`gCE}EN W{ZrCT5xk0^lbl;=&3^y@0RR8bNX;Vv diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb deleted file mode 100644 index fb019a1d5cf264c9f8e735c4f939e68a30fa0480..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 30258 zcmYg%1yo!=uy9P~2S>ihFT)7F*nH*^mEw=e+Zs zoSVtDNoFRQn@N86%e!~)s*vBmI%0QibU-eB`!lZn~1c_#wngf zAX#UTs5v+Tur{(kii~Ei`okv~gI4r_yE&t^A^lv4o2Dd(L!wS>2E^gERre0uY+7)8 zOUr%*0h3)BA6*v@8YXr9gdvZxN>RVlfXT;`q@<+PG#RhK-`6&$Tx-CS8lxJ>s^jdC z)>l2H;KwQv`J^|uV^Y%8t3ucW<{LQ@s z(qK!GoKI?_^w4`JVfXiA6#c}zf{Lg6ir}m5+nDpVgM4F+H05?w1Uy$B>?FLTH!J*=7w?zhgF#hStw4{+zc-Ubs~*pUXf^f@Aww?cB{M~p~% zL?u&SHENh}n_Ya4(mDG^ZRS`dYq(AlRK>+ncQf06^APEk3>4^qs_QJ26XMcjPZWd3 zO>@fBUnT45*_|~#8Y~+%hb+;krdV)!!?6X|{rPyPP=8N}O5+lI9Ae`9G+96gW4m59 zg@;(X6=jCXgf*?8Ad}kQ=SJFm*-Z=3wHt|m@V!=Au|S-=Io#Q;&y*%~{*luw(2B@TK1YBeuP0>p|->i&xk~-kpuqbiy{t=>G zvu^mrnWM3tH9&@7CNF`aYOxUg=!U1sloUh0$=mJYRi(RMznBRsZv_w&uzV`*` zVu}q8t@hn;bSiazdp8s+TrI2R{?5z1m`E}QEP(G$=EWYv+$l^6I?LqdAHvcq3~jyf zrp2tqQ$umTSgS6bV|7Jjj1S2O6V$rp3*W+k@)$Ka0`w*Lqu$RFbzZYN<6!eZ2FGem zz#q~16+o>@aWD3K6Bi>ea61 zxTYu>tHa|2^3Lrj(t1X!Ty|qlE=?s1t$aFhNY~3h!REWa;oy7Q{)(%r5uK-n)oaP! zEZ$G(*99Xw@P1VfHL_kOKkT)7@mcpok<94bKa-93EX5|@k{G4zR4b%)--SsCaTO(k!5_!nSRfo~qo8fkp)%2hjJ6yO%hG7414j#Ejv)e-SGs!{-N$;Gw z#En=rj0p@Y##I|%m+wWN$0PDrJ(WZfe}qTq<3>P4DeU|;SE4?B*}Gwqr3sYfBjMLV z;Qt;I^`5Q7UnNh7(!(75%^k8A;%WFu_Q9zM80xRk88~wN+9=>hew;((lUrt1+89|l-8r`;sVKh!h zJ+f z>I)DTB|TA+;a#a6FAYEDAh#*lx02xmV`Z83?o~_ev4nf34IsHC$mXS7{@sa~rOC;;Lnj#oqBfPw-EO-%3=xTHNyRoEvb2d z$RtwCu=pf^I-VNa%t1_xl0x$A)H1$>2+dhY?nL*x<6zDMd?*_A;0+kzR`6E~2p{O!@lrD9;Sd5Cx4}z|~!P{J`3SZGl zak=#80@TQLiz_D_`h?8{ZhKBh0I9|;V9B6Tl#gZsX3kJ4Dnzs3SNivfYS34!cxK9c z9RcQO*2UOfMdq2&3tHEvql6}Q=$x}JFxSC;to^D52C>U!Mt3EgFVKEsDvSGc8g; zTr>+NQC`XD{q5I0-zfi~XF296Q=Iq{e#+|Q;o_it;KDcn<$ku}d*s5fV)pBU$oKqL zId5z>KUGOK@&~Ct`TZ786GYpugSRgFVg$i_3=cKO_DozlS|mdhDa9&?)QoeJ zJbo8}&X>v$pIcpvFxXLBjF3xe`Hwa@M6E*{*@dXbfNX7d#@HX%L*=ynaBKZ*fa4dk z_-tuQxY697sJ<_K^jHCh9VG&u<#8F#gC8As$-EP{#2iD+Q{U7S zOfkiUX2nnaU>p)-fL7id>|ouqyu^XV*QRpcW0m!SO*XTPr8N-|LfH4cxk{$lo5?V) z&oj&XNqJvAu5TMTfYAAa(CkPJF3;8t+b&BRI@uKET$jjL*d{nX`*9_bJf}ku#`d%bL#HT+Hr3Dx9lRR{2IH?3_r;F2qbx8T4})t4zpt_F`h%^6W2K#N3X)hwSDfe?Gh*}fDEK9WKoi>@qvKmfjSI7?j|j1fB+0(H zWTEB?w$Pn^e_TR?Elk36%e{0h*=~WVWp9NMDaP}Ax(B`Cj`!${3ROe8#4{>d4B^j3 zk`4uSc8w+SOIQ8mybM5u`dz|fr9$PhRf|Do(@CD6PQn|D8XE2Rs0Mn3h6z{y72-B- zE|aoY-olD@Z<7;699%PcS&d2fS2nHuDp!gU}do;cyip=LgAQ;{CdgVMiAvbakvNT%6cuW5E{|+`Ol9m9*9#XSfGh7ZL@}@A&*lRve}kOTN+%b=flX4 zNmMV2cPNL)by$eDsn}!>bAc}d8#IQop|*g&_=(62GaL1%tV#=c?;KRKDOJW3ACu27 zzak1=czKv<#{)x#eH0s;X?%{|Bdl-~R!S-5%KC7vGLp$UnbN%XskUhHj|#a-8b2{t(_brha?TO1pNEfr^H(yFo?{{%dR%Po z7}78OtPHZ{XLx){X^Dxb`oaVV(Me0>*pM|?6-&iJP+%RSGYW!pqV{rpn5n_4G`#P5 zpsJ{FBBh!i`mDRShU$3KqH4t|R+cd`xpr;>nbEL653ia7mg)dvHu{du=^rT&H68k< z#-f<^Tasx{`)0UEEN%9+18-M3s~!43s*;_o)w|*>s&2&bY?iCgLt0d{5XJxrTsEav zUjCBVLqyhBw{k^ois;BfAeA8{4ImTpZtspj5V3t3VXP(6F6nsR%X`A>#)!u*yiOr| zZK0aJ(Vry|3V5P{N>110A}e1OM9=PvU_mAZ*V~d_j+Sns-Vgm_NaGhDh96CUg!ZrI z-8bHhvuT&twH80Ks?20+GFy27{0LO?3?BZ1YTn=)u^vQz+Ei#3wu_~e3XmzccmBv2 z5V2o@S`$k{I!sP?ka($wRfu&bV+g{R!)#U^XuZM($NWv${W?ev;1$xC)zQcm(>z|W zH&}tsVNXz|RO07Z&iOaVUraX3=wTp*ojIZ^ft_a)QCf;5+^5EEFhFQl7+)|H;#iEu zu&vb?lwyh$Va+M}+7lxZ&9h38Lo6c_?fvMVu*AjR+d(B1sUZ>NU7DN2|KCuM> zfKEgZPd)3A$e-MtFvk~4nrhQ42${Rt)F-oXLc^5YhnZDyPonD;4*V`2O{O8g9E^le zbrO|VTXB%4J|*Wx`MBi_VeIiG(Y4w>(XGSyP$JqQKC1bW;PMJD?S!<$FF#T!+kUX` z_9zkT7UTAT>^hLt@Fuc8Gj|-0T69p-!{O9Lp{gZ@Y?d^^1!4je7Jjqk8K%7Af~L}S zs`1OW;qOg5$mn839H+p|q}3~O@8S2P;9uTb<2xgtdKptMGd@qS023;5E zmO>!A;0vtxUp%slgsNi$HNOsZncfE=b_Qv!dj+YCx~jS?d||z*xpvUY+=QGfaFA0y z0BRDLJp7<($1w&yWzkA@r8fY+ylRv)6UP3j6|2uRjx}VD;DF+F-fSVTVc-wnU%cH{ z0$W5K_lSmr$*0SOkb~j0b{@mj{QXgPi;Luv(`C8rVN1SYu+7W{1k?%p=;*S4zp(^# zJco7;MwIHb+_Ql9ta?{Qb&ef5R3-f^N#t>ZQ(L3`4l}6fUSh3eW>@ID6835N>+I5; zAQ#oBBj@oHkLyW;Nh7nGAiELTkYipiX#gwgh|@;mOEt=fQ*Yu63wjSLpjYRUY;y+X z;3$4$Ch45i7Q51t{`SEK<5)5xIR}%BFN>>bkc(e-0^Zrfip>uq_+g=OW1)8`B(bpVM>Dg{53MbT)$O(k zfQ;i4sq2!6xw6pgc2m4owM#R4Z-m1=g;0!nRe21}O6lk8=fr?`Qg^5h(iGn3G76je*ZFCoB#QU4yt1M#XX!8FRYc})rah}E z-AjYc!tw@vYoo8Forty}EsEa#5v9ur2}^v-i2q6F29dZlajcpNh<8VnB;My2|0Mgm z5e4}gGpBAVu<4LZb=hlavBO5ItEx~>E|r$sB1i39e(|q&sOi3M45{?<`58iI1*-Qo z(S@OX50OL9DvQt!?EuHBg`F+uo1dx--iO~V^h!HZwhA;rY+$cqk=4Pq-BKS>{X4qU z^hmRF*X<`I$bMK5yiJu7R-Xz_JSb$vu2IYQllf0@*Vm;##(;CrZ;P};7QN7ydWFS{ zA21=!50{f`92(j-4JL!nr>-B(R&FjlePplWdJ{YMywslx^_>c7Y-Y=ST}NZtJAeI;n@4AtJOqQKalD7IuShr)pto0w~znMH2F< zTt4XL%AkV9`pK~(7gJ428^wTxZq(yAwy7MaD2;PHQ?Moetdc^C6X;)8$8Dy)iJ5?I`L*0QRZr%a zS>?N~y4r_6;tPfIxnh1~pH3$q*r-49__ODw!pbBb#ML>s;)6AU_V!HFObSs zVk8nq=-(6>a+m_i+T>u=E9t4i>U{?DUf8>yzqnM4WNeLDG{v6Bjeb=Bs!S8`W zpjv4y3kjdX$Tup}=1k49*uenSfBb+41ZsbX_s<&Ce8dAnUKp>AbCf)1HAt#2Y5!-n?H10 z9afT-p0N@kgf$ZCHzcPm?Avg7I2X(HEQ3T@6%ey*e}ctWDg>@ljvY6t!P%L@#hntT z`CPY~%0!ATfm<(sSRUJol@I%hIq2!DCQ`&^!bS~eXeN^DtuAdcL4K0C!lS7jz=<(c z#7m|O%6hH$B`|Trr~Q4HxCpr`kiu!Pz~Ul0olXv_-$F6Zadpl$3x>+wz^Rg|c1T(A z_)-*J2NnwAl~Z^Qalb01Vdf&bC6G; zO{06}+a|c>q`P@EJNBZ?mWyopp{UK&#Wvt-A$_^KCUmg(;@M){s8h|E)?}8-%aHPE zS1E>$%7}eNs=e=5D_7-ZwXALNGUKG*(_73|MBrrO_ED6@wIE_@wsHWr;gE6pP)e`X zU4DZ=0eHwD)((gL&{)oS-~@3*PmYkp*si&&d={-)9@7`sp^0+3R^6rr2z+fTo05Lj zIzF1Mb=)aIjLIZPzjK+@`cu$mBh!$RkK3l&$?1wc#anLrk{s*x-E4)(DMeh68kwE+ zVd?~%vQ^7RVH9`?kP=F{+?Zh^v5QdZBXnxu`GSA`i_a+e(C|rBAm2Re^2`SJJnQrB z1RmonYW1jhhD9fIX01WA8g#}-PQbSD0laGpl4_gu2Ia)HRO+;!lXA4iveg5a&JlqN&?!gYq-BY!_iKMUTN@L!B z$(Q?O{)V-AqaK6LQ2S1inE-utf5HXt)#S_gNH_Wb8zFD)z1z!EKgQS=nFva+Mf?Pu ztKxkjPGYdhgpXj#<(a0Bx`SXUB=#P0**jnmUTNlkUQfC7hAT|{ul_Aep8(6WdkuW2 zGD;iAxJ$$2OHb>j+rhqHt0|ZJw7U)|lW%n!?bvjma?+e%m#773L#k44(Wrj&VEIW5 z#Te;MokB8hmQjf-YV$X(=H_{kr;KYm3>KOpbs{^^s0PD=^>^8iT(P%`!)=k_nW=ou zBfb}02Y1VAA|=%Y;4`XL_%L`sO4)P#vqzZhq*+m2e&7*{2dBAt#Scxlr5%q%pq5ny zSFDM#DM86tjLJ69D&bMWmaXq*nJ!q@0)LgucLskSy~{+i;=Kr=P{T z$+ui?oli3~BEI=~l{Z=ey6sZ}1&#zzU3`ji0aHZ{D#1&?l8bnab@_3BjiW$`#K%I} z__bzI>n_6?A~n~>@QJ)tEVb*#q&sDw{u8MRhW#wCU}Ac^_ygRozJ_Ex@7>4;#I|YF z#cJQXtb+~cNwc3MU;HPegDeZ{hZMGUxUc@s8S&hAUw3I86@8dYpDgi^rD(zxlxiad4_+;Eml8^URqa#g^r zo%SI9Y?*XrjsdIad>{(hZuqQS9~=F>Mx~2ImRXlxX;dLq{8RH8Z^`l24^*>LY=)?> z%Mnls*+wDtr1wVZ)zQlip9LFP6oz2p*uEn^u!3@ttn<2;w9jK9D@c#v-x^_^()l$z z1_<#(8td1jI4P<(EE`T0+W{JmcD>J{JQw*VLw*vd=W3_kun2?=!RJduoxbO9f*Ycn zJ+9+jT~;gs@1F8gt; zDc{6ZKEDRmlq=x|jaQXE5twPjQPHmQ@7RTr?z`XL1lcKSb_I;KYejMIq>N&Dn7xd^fDc)^(CdXSAtd_ROw9t8f zp}WREVAYyqPWy3=S=w0_6XoYxh4M`cd2`EpX(H}n`xGIOiPX0vPQmk88#OS{Mo+5z zKtfEyd33Riy0TAy`5+#w@S{4LVM5>^ExB}TKhk9{WwaS;KTJvsuy2LFsC3MJTi2CT zI>`hhu&`u~H_Iu2^Vgiw$`j-05Ct-x$k;UVcFZb@l-l3po&0-}(MMzjic;y4bb#7? zqI~t@)*bk~SC#_utgSj=jn$(^Ew(Npqvv0DGfFpMNu?lYh}>Dys&oxDQuu)S5Y=F( znz?t(P8)jQr)c3QsZ9+#1%pK4xBYN51E1t(ZFTzCGG|^#=?Z>QR);XDx{{Xq7Mwrr z=-X?dH^QUNP3Bm0Bd|1sD_9rdBcvAj5f<#CU?IAHm_}uBFqav4keYd(O?aK481;Bo z#twT{Gkrxb9efci>Gz*{yX}YS_A@$o7ZG*0N^q;&&vyJA&*M}2ELMf=tM>*^ zrwI-}a4R4Zy=WC&oS8`4@uU9V9YX$`Jo{p*z=~a^pet}l@t$O5w6UyR#^U9|xPGRt^C|Zl=so9}Ew1P@ zd>z?XFbdl&ZfPPo@mXoN0>P?fW19<+S1*F7?svI#PEEGqk$(X#OT*usjW!^xvHg zTI>6`eStVf@;!hsQIPe0>LJ|WD?F*z?fW*^^js^pfe7j_)G?vrDJcEm6kEyU+nJZo zTK_uwiHOR5gxc;aS`vC+37-?bJJlsC4ZoWDnslfKMsJ7OOI_)jX4B5oZ+1FM}t=^fjwXl)w`kuGm#R;cKW}Xae91tH9!y&NF zwQETF*JLa43vq_+(!D0AQzKlXwEY7M9tmXxj-N_(IfbMESMzX0g* zP-(okzG=N9^eF{tLHI?HP70Otg|A;6t#xHGbNfEsmdu|uz<`5HeWE~Qp_8)q$;aaQ z{nV(3Ovc$wn?wq`Ua4cgw+?F-R9%aFvD4))#5KgqX&hmGyavE6>HqIqWnCL{3&`@? zK8HL#9;w7q#lKo0OTHS(_<{eA#^JBAgn8|MKbI?ZAb-Gp|46Md!@1RZtABRrI4fI0 ze;#JS6cC0{d>Gg{fqHFOR1&oL_t)I3$Y676iMyT0!Kq5I#sI@kaqT2xD5E=~CYU zeyt-dG1tdHJ^xk$eb`9^ZNB$jTj?hBq{CxAr@MuAZ#6SX0TpR|sY{o9f!K!a_SxK$ zmW5dt zrWL;Np}|6~i3Vkaz+2r5ouTw&^15w0dbBXXI+X?s(loxn_)kZ90j8tsS7Qjz=dkkb ze|l4jZU;Z+eIx9!MQ*TOb4nuv&%az|poL5sSR@;Pgz7ME`|wfHZl;Sx&FHo_%^qM> zVSQ{Z@15L*ZlT*Z6_~oz%>#=IepHZXJPnVS%I`z_L|Bg6uj!%7H{s)}CShOQ|A9M- zJDs?jm=edJi3U^+WH~T?{*XEX+*_9N;670H2S!mRn9?)_?oW8T$(}PNdx1#Zh?LxZ zD$r#xJ!yq7+%q(L#Nl7-L(5KdDm#m9v!B|swjqWKmMyzggVHumS@v_EkJ?2#nSp&P ze%H3dUx%q~y6&rz@aq2i{(wI6SAoM9?lNCG9(T@p2`=_bS$pRexQr zLxUT~OMmJp&T&%{cpO|MIB9DeWGoabMSs5*6mj^Af(hW$1H-#i--&gr(ENDvFM3$G z>^0`OypV@Rq-E{yj2%o&&DE3{&11UeU~uNglKdb?pUZ~(SB5g@WBP*u(RSh^mjRqd zgZ5zXQ_s_rk?V66*SnvgPx$6oqS?+WCz$hsDXp4+%Y&bu=r2}|yz|sZSnYyqbUr<< zhXUYk^;NTiM;joM-`4LK@c($gS(dCoi9Lm67R<)z16Sz`CATj~x8?zXoi`02)aiTKyE_5FTx3=zvZ?CXzS3#fA{mmZTjGx(MQ`_=)_}ajAo3+dB594n|zM9VR|S< z{#2wTUH;?9*T#b5ktIEG%9>>EXDYPDw-E4!P9pUEuYzvxw{@_Qkbb}zlDhN~7`e;f z^@wX3tR&7C^^^#WWkKnfZ8>zsP!ZjXDfiW?T(u z0?xURo@+lLj>#^)sEya2DCT%9D`{`ken2)61&2WkZLsc6DeeF2;`h|b6V0JKfgc_m;J~XwM z)~Pco^41#%s=k3O0w;flS#aOlx@HhQrcLIVHfY&vgHd`%JK#GgGYv9mLMxiAew1UK zq9t9Z|N3WUF22gzuD#_SXKm$<(khQ|(eF?42FGw=G^ANUo7klZlb!a2XnMwI7c7X~ zgWIPvOP#Q~zJ@)rryPWtfIl={U(X!OJIO=yGlo-alysPN^X3;K%^0Wm=|8eYO;FaA zxgIL^dZJr22~6iFCs&E@YW<#!zLnJ3jjVK0-{1H}5vcQVKc+oxXs%oFRB!G)=&34c zc!;P9p?0+Jd7v)M+RCEI!PM4Ig=$T}b07d^G;+yF4!KO3Yr$8BFxgX}_zI_;%~Z zj|eLa9?hWP^(6sH%^a!<6Pktmd2^Sk0!u>AlF{WgTa>>K@z0L9!^Z8grd^+j*^L@r0 zzWQnN4HAd<_Eu&RpIu82!@=UUr6}S%SrX(pA zJagI(F~*1`^R_vk3VAeh5}UL+vo`PK$X-95Wz&}9Oxj8T7yndGmF!T6x|Dt*kGM1O0F?Hp4+8Z=C<|1`+btf+Hw zuxP4S`C&Nc6^{prg|7=;#5}c77-*?*$v3_>C)vs%&V}?=4Z6?CHjdQ~n?yD1oO}<; zn=1XNM}cp&w#W5k`kqX`aiqD9hoa&X^O46o4jl4UGX9<_@D5NZ&~78P@Z1{+lOB*(#vC`t`D(*(kQeZ!6@Xw z1Z_Hbuy6Yf@~#;i@sJ%xjE12yl2=1!+5$ks`9!Sjw`HR##F5daNQi@iF|NE($jGC> zgP^v4zGp>Gdtx=L-Nd6(TFe>M)l;r_ULvX+&hM*BUYm=DVu~H-yiD-XqaoPe3?QjK z?VGAeMk7vs<(6H4Ui!=kwPg@C>r4CEKVYvhkk8C}ZQc$vf>OU8GzA z;(+c-q=Ju43YuAkwT2ALqiq^H@x=ewTDBxY^L=onNL(F(4bDG1jMSs}zllf17utL( zit_6*G;?s5M#7CyPmF<$*<}SqeVOm@<3e2Ii8+vqAxuBSDW@#kU zbCOLwTegXB@USuKU~vezKv*G+(U@p?edVze=Q>+ds^2OU5dEYsj-<_4Y@QN_T7lhX zQvc2^!WG-{R7eN7VEORXBLdIxwozj@tR#173W$7#Ii zapKeNE^^2h?N{FtNQpTQs;YrXzRjeV!@_WBJG8MlimAsG#XNg1ooD!m&>y*0np?B>SYR?4AHT=-*y)_5$+{*R#5e<= za&AGVVYI+EIOj4mGAbi=(FOL){T4U#AV7Y6Q0K<(CAX|DdDq@>LO+P?WLYqf;yfT! zeb4T1oSS+}ZjB_qn@MWBuaf#PUb~k_`z?ekVw4Gm)0{EW!+ONU^GB~~BAIV+UzDxr z8k67b9~bqgI#-Tz?DK>??%EGNo|VI&!VIiO=%tja3AoLk^hC`pF+&Z!b|YQ(&Z^o7 zo`y(0_x+HeG$P>G=xJMF;JT1CMk7CL`ns?-FN724Z=#I#DdlD9p#7I6PIyl zOSjQ1TB0q8($=dFyQy}A8ErrS;Q~5rIVbh_1GDvm5g;1x*s{D3Yrp7SxxLwuOn`e(>^IIJK;XYNV20nEDx|fuN_E^+l z4q5X~@YH@);@#-{W1Cz?v=F{uTR;9OKfkNrN91{GYSAmartP4O{JGStng}~8;3zHn z`@ZW`%}v1po{7q&y~lrcsuHct9ebSoWAbQKKr`|EhSRq$bmrz5<5cyu==E+~^>*~} zIAqy3#bP3Vr0Em$J!^z2;{HFUuNxzLzxYi%IE<_zWLDD)G@zWZg}%Wp`9niyxf`Q+ zJ3TU47$!DCpWg<05A6@Vm#=1jFLv5j0?^Ib*mk?*i-R}OOMFaYM!nI$n2b+{XMkg$ zP_a4;BXR^M>nGmEPlIL~&upz{UB}mUJM)J9kaq9yGaR%m47jxO-73pNp$SvpcTrZI z)BocAbhOMq6s|m5YLxL3%`k(sU2P6_s3Xx!;c?$3(V0&%;G1QD)GB|vK-@|Y5H`9I zmKF%L_sj~`U3Kl4oY0oY&A_Sl9EImBch^<0@Meky-rr(6!JZBu$$JmgJIqLYD%-UtloUaO82c8R8W>_Tp z{N0@pKS}R4pvBIgpGs(Huv#7~K0S!g>9j9=z4m6_17>c3pRJl~e>g$vyxtGT&(r&W6bm)MOi-JRL`HA1xM(rBvly zAVj3qK{iX7%`!HV%wdB<$Dmc!v#FQ%53!q^(a95govX#qwSYe*1Py~89b|n z+M>Tb^9L5z$JDo%0|p4+%juDQ{2pcH2!v&uZ{H6aCbP1jQ6S$7#H1oln{0bRyB}Gp_H)Q3+AV~nXU(67-iZx5?BC=Vl8pK#gE?k#!4=;#06a0rGZlj1bo~a> z{~Uq|U@py*9<6aAdwe8FL)&r0z{cXwktooHx2DU;cG&@s}>-Uyzb1di_cx>Klq1%otXL@w$uGmkM z1?0@>4mqXtF+QHkd277H^LHkbxk;0Vf&%FuNvyZ-{oz2&s4ui6h9l?7aG)ZzH?T*= zh4hKfnD?bw!=9Ho-x6>%UyJBrLe6`9kw#Q>D&r*+F|jUsGYtVC6cVbH2EazE+4K6r zcZlfN#(Lm`dRm;ddN2OeGW0iKMpd}wY55OfO}1s5Qy%1m<86sIZTRKK`qiXJg>L$* zo#KBo&Lsp6MGE^ty;@MbWFCR<3)%>}RDarvj#t7SNW4<4+Fz`0>H)JAAqfTxxo4?W zng5FluE4=vpP{B}=RI}UpXyoSvK!!#%lOY`N4I|bJY8oNC;7O3`|DM{MsBuKZL$hh zilF7z$!5c%<3E!YJ1bd5G1*;=2JmJiv>Lr<@xNrXvGXI+?$KABE^ncC1es!!cR0k6r5vgwGjV@eP{8GMpN(!K(Ta{eI-KRyT zI6W@7%cIksgFWK11+)F#2AeoR`a3`BQKYOu+t-+<&XpTZMzxK~r!FD5XS-MS$XoW; zNrgMz>MXEz)<&JqB&!wC{?x4L1SjrZrw+8m7~#cgBMLnJY<*0MF>uF+j|V>Pu}cWt%=R$t$^)s zesJ51*7J|oKl#wwiM~{Yaj-l-AtW`ZLMSQ+6*{pbF>|vd7ujuagsRL|7{~8{NG6nk zuy{LwxyV6>+#81%KLa43gcm$_laiFWri{MWZGoZP7XN7F&si$=rR@!bJMR>1rhD9? zWy&t)nEFZWdYZ9x^X8PQ0WEhRen>9Bw5Q|%mlFcwMt#NrIE5c{_*-pT-fpg=K6&W> z8lAhz(IIol4E7j@Yy?*4=s+LNf`G1Q%dGF*hKt2BvlA#0hZyRLEqrgE_mG94!&Y^I z?oApZu~S+>1O5EWYxK8#`k%Vk_M?x&qs+H_s&;tWf|6uK`xhRTH__|J+DWAAh&D-n zgVS;#JY3`O{!%Gj>k~qY6Au4aeW?L=_nV9dRIvEvIn!F12y4XOb%N6zU2fmo$U1RH z5SxJj#ChL_2@fD(w#CTT`4`1->X8c%$)tr^B8B?g#gz(7t;6W||^tbw@o9)v? zC0b<@tsVbhWuB|8fVgZ;Kd~#&1dX4mE>kSMVrrs%vq~gF-xlAj=!&RYu(TmBXFkx2 z>kv;$;Uza4;DVu^0Fn;;PraA%$s@julN1@hAAd#z0aEj$#P#Y3x!DQ7;VYnQ4X+*Z z`-$!6X>LkBtJ1Gis`g!KS$Azucqc=BPK1(rZUxJE^;WJEzI*BVIbpY=j8Qztm#`-V>aJNp!8y4_S_cQ6n8!_~j2bH=t z9N+6MAvbJRtspxoovz*jmzTS0V++;e^)@+>V|b$9e$s2Rym$lbH`Fd`Gf-La&^lIU z;@`E+ZUGYfwj=TGbWr^y0HBvhLj>83EahY9;eH2|M$fb(Qms!_0Dp-Mu>L;)UoO3=-Y8)ir)5uZgn4x3umFf zsqf}9XR3*KP5XZe`2UnbvtYf#IC&z_1v07ej?gxG`kj=7%6lpJ5!v@nXE{H^!sm|S z8T>QHj*PM}Z3*)HrC@Vk`v>nG1>UU@T7#A5v}%J}LPDl}oIaL;$k~jO%%7==dc};X(iYys0|(EBW3MH8mHc~s zOnddLP0|jX8%Ef5e_6&x%9zWCo77bBbDcWH2 zq~G;@Mdgo)yQ|Fi%GuAS?X=bQnZ)xOn7V=v1AWsIHV>fZhM)o@m>uS&EXXgLH)a!& z0NoPrrW23{6OI=-_5%H;ufO)20Q0ra0~i4qej+tJbd%CR1D>w%q0L@|4*LMq)d5hc z+F%S>NKKQE%>s)H8vRsM_K9oR_6w70Oabqh!2XmT0kYx!RX#Pq=#3>~EKZ=ONqa+e z;#k0XRmpQDVE%b8$6~E};pKjYndkhC9>DYt7IXx6vW6C|yOzq2%*z~?7DAub{H@Sp zo500qalsOxitk74;N8(q7pPOwiZN0{qu;&_MOG(!8AGi+~DoL@5UzvJ$j|YY74!y#2+Q~#ZtbQmFLiE ztuq%whGlU)1dQp7u}2TJR4dwNzGmR>(JYoGQsBRA{SIyqmH16no-m#Dm7PT9B_@=_J<%GU}e88^=^@B__0 zlK=!!bDm%~A3aqNguM=ihwDX~ygtqS`3M?d-}~$Ib?9)4Ju+cj=`|3uZdqqqzxoJz zQgFHY=~3{Y2fsrOK1UfCiiu4(7jSQM^S5V}eo-G~_je90fGq9I%tQnd9vrb@HcBIE ziiFR@YO;p6xb2hu+J$WI$+di<{wpfX^uxY`=N|k5h>u4H_JFGUa5>&n6UZ1$52sgs zVpW)SG`dAPxKxx^_pUmEVu+0m;ASV^+OHt5BdUb0&5xHEJB)phNG#X*o=&3EI-{zc zR7>)eOz5xm$)MC580NHEU<>4Z*qNqhG|*LyWII_P9BArktbJ zL!yl4Kz{kP+R^SECb)7MY%w&(E}d2#7;=^w?cVQe7z}yJp+3vK&jG-df4I3Gqokfc zv@QfFa?ASVj_$#~b-H&33feNuP`?x9WpoumxZ{Q;Nd2US zm0D;pdeJ1VdiuTWEU-^vq@Ht{F~F}7m^-`+7WYa~BL_Ne{-x6^BHiD`CQz7+%%RVf zbNw5&AmI1m+LdF9O!fZ-el>y0CLwPbOPfX4Vu`0cmWVT??gxt!R^!bStkln}3%{R{ zK7Y!{+4UD;`~c(kxUZ<4nQTs2%i|Nmf2wOF-@5*Z)jJo4hlc7E(9{}|zQrHRO_)Ny zZHAQ%ed^$AS2Oa&d*A)+quZ7SUWe7eL>DNSo4;q~XSBevqhcoSJJb&T`c+Tf_fE-5 z^0;=*R_C-XN_?&p{xZY=B{c@e?TX98=cy0w9v3gly6kBq17GpNE)Ra=H-6(bmA&bo zODgT>uA5V^#xh|sv0|k)%1n6F$=e09`c75qB&llm(h>Zh5GMR!qh(_!g&0d=RUA01rhS_)LgA0A@4=yeQH0V+(us9 z3E5!c`y>N3d<3T)lpqa3TMnl&ci*BD_(3NQiKsvJ+vg$b1Vw<>Biok*h96R2t%;3w@*qe3b6H1sTxm|(t8AoAMb!P*> zK7r1iJxZ`#0EZz70Z4t&`ExzcuPP6LK2HLIerh=MiGC-|^<5HGsVUfgRPZ9$E(ty0rH>|bx;S($*(S0G#&Hnq*vn+6UX}62 zgfa=1zbr8rg_93#dveK=chcOGzFX6e-@?-Wd1W<+J<=wXB`^4x?br;k4ucoBqHk{A zC~-5dzMTZ(pc~0QPl`o`OPU#ek2=@w%;t+Z+L%zp(f#V**_nNp8rlXz#m?+Wp@&%~ zZLO%o(<}<_oyj2ZeuwG=Lt1im)nzNteGq`o{e_CE<>r+|KP2{TP4~-EfcbOsfwhy* zzQ^``AC!K>j)TM4M2T_0yiS;fad1!dIQUq_I2ad>jf1nIVP3Nm^ZRkIR@ZqP+}j+M z5LW+A^5X!XdOz1Oghpn#w3*>QQRljGFjr!f!NdyD83+Ge9sK_Bi*;>M4N?;PW>S+L z6EzE1Tfz;NsTFXN_}V$Kp$6~VMzepUmZVR zENxx}A)U|I4gof{!`ZDmiIKkBTlb0>;_p&U+|p0Z9Zt@_ul^?ITdU<%@2;?8e8Ixc zN>wZ|iA^<_1Ukm*z8lACSv9KzvVM6wW&;Sx2`>`$jml`v9J|)0n)eD4rkWyBj7XJ9 zXe-U~L(VL3sg@PX3A2;T1B0@Hj(OnCH;&c4H;&cP8^@|ZZ<>+1#ML zva_Dhv)e+PL?5oe-(-Y>9gnn@eQ70^)7n0mg!O({C-K@@2pYY*@bkXQ`s%_TDYWBK zZLuS*blKXP3%U@6&%Af5K4X%(J1+oq>v^Xj2MY=I)$rN`G0<-V%j;ZKx6Yl&L5Q%W z9qoh7jPuNMAN~*sXwpgky+uw-Y$c|{t@b{rg>^^yVEK5354uxs$u;YdTXOBzf%*46 zuo=Ihc)McbkaGFmP}7<+z5^6nZnUl8=VLdGsvyjbkR{qI?hr~@2C*53+^qI7<>-Ru z1C2Qo^05M!DF6)r+@#@%NgepIK>d+a^34 zDEav--2jI8P9y;r8c8+B>V6It@+>&b^))c$d zYk&W7)SqT4OaHxF(|Cyb3_fm4>v_ApnqW=#%yOPjd%id(vW2N_OsZAE<~6|XcCflN z=T;eG!^U9z-HSXY+gyID*d_XXR=+%=;-I)$zRw=#>+HX|QiRx?qxs@iAJen3TfJ`H zEU`Q5zghp4^X^3WPHDS6QQE$w0!r2P?7ydtBuZJT;xq2CZl(Kb%cU~`!_ju9Pz&JAvYmVyi-Z0VxwmGdw2Z06OMm*k|WB-}=^;kdR0UJw?bb*($ z+>vNJVbc#h z{~c@Yu@6Z!lckK$aCW3VF=ZX7fis#QC0-p2yV!e-5x1M>kwiC=?S|FSq}Ppvp#%I# z=upN4zuAGE7ttZ~?2XTe&u4FZW>_E)&P7)wnw*jPa}8pG`!U*MOyslQ-gxPTb;nCL z%*TsA`$c#5btLdh-EC}Qm+8=5L;bqxj$OR&fxqCiH|dTf{=6K!nC<}-o-xE4a+~h7 z`12+3*3hWLxbXU6f17)<|N8s(WYW*5mGct5jr6*0JuZZO%IJ+Q5ij!N{Yd6IQm|S0 zO_Lad=y%A)QtT1BhDXw%K{M;u3(NXd!@MlSOA;l>@?Oan9*wxSaN$Q@CKyukdpIQC z&0DWFA~Sq9>r0~!z(TSY`w$2MfVZRvL?Hj1GK#Eybp6A6Aih)IdeN0nMgeT7K;dxW z0)>agp7!|FgNJn0^ct=4hQO~X!~5y?f8^%ZmMXYBR3530#MTnhCCYD0w=g#zlBG6@xytBv=D(tFGYbQyF2u7m{MelP0H zLfRqopOk|H53KbPd^K>rbvUvsR61kH#uVhpsBd*&B5B)<7-ke=>2=C?D#zE&mo>j; z%R|QSnym{q8>IUMgZS-f-osQ2yG;eyC#=3*U66gNGH`SxflhLku0lVU&?nTj5Bk5Y zaMYyG_NX0HvkLX|%DhX4j~}u?`DnN<#@RB}&m{%srbxqd79Q)zAJ9noXNfGBB*Vt=#`>)D8;b<(ag>T|9Als= z88)tZN*U42c;>c@T?pR6J}RmkhQ>Z7>~%w9pAhy$U+k|_uX1E#F`VRerv9Im8Ajtz zZ+)M|%Xg|Dwz6b)^H#@yB^Yx~ zpukz(oxdMU5GxFuC3#dC$@p#^aOCE?!@3Z=!Rm z0{LYCN^sVFM7G$fg0)8`Q~|S+s|d*42jnolSCA@a8>R&~RDM+14Q`rDB~?Qz=LU~X zhXS4MPty*q@Y?8J$pg_cGZ=jv_iP|SVUtw?g*l?-dp6Y#vGZ~q4MCVKD*gQw!GAVQ zG_eofL+rdS2^ab(-~F(5%J?ilh#q9;p2||wv;6X%k)^Ot$?vQ2tUZGye7tnPwndQx z#Q&ZZu+5=0ev~v%I#@}w3)I=A`CiroZ^e{ry)CyQthDv=6u(jX>`eSX&Z!>eeZ+Vj zPwx@ocOSdZTkQBXJ+E(y-S`@4j9qBj;8s;5AY2|)a()mJiE7} zcb>DNU4Y#!yfu-aKIs)%0K2Mgn^k5!T__n%#zh?rd7EI+`8XdgnT)JINO&s2sB>S> zZBD#ZTXN6Ng}R6v?NCV{MgsPr*)XDxX%IP*cx$5YJ~wy;3??w)!Fnmdsq^)YJ5@fm ze*K4@-u5BY;*X?+O8O`z;C6rn{u1RZ!Tm{s->r}U)0qyGBq)%2DXJt~3D8Y6H1QL31|r9U1w7z`INE1jz+4c0ltn2XnNl zP+5(`pIMO(NX6Y+luT2JSc}8v9vtWfJ95!UfnX1_?@KsyRqocl_cYr}rN16NL-#;4 zT&53o4Q=yuJ)s}jJe6=FxQ9h(_Bd;VbFu}_?!<>1mvzE9(E{gZqYxu6N{r2_Z5TmN?8A8>jQ&mIggY4Cjs%%V?w?8f(;NbotWA2%{Sf6%}u zAS4`E7*1^O5zD%V5#TpL?|OVa1Ga4$%>tH}m&@75z$&}2pU z)DJt#1}}=qj>IT#)v9s6Dd8NJp`Mq`&ZK=^(|qvFCYw4N&gB~Mqlw?6NPK#jadbwXw<;fyvCLo21_4eVOP@$MM`X8S;&X~c zTFK$W2KTuz)%U5qD!J}X8+;Weo9i8`J*tG>`j57bKKyX~juh8T z{^9GdAWta6=UCE*dYylWOVVEDmqmO*3w>4v3_Y++`mhF(n)HctQuNPq>9f0qKAfyU zm$eoh4z;RL(2(8o??IiqK7JecN?rnp|Cz9fsc zElHo)T0MT^S{vhST}yq;r^EyL>}a8n*=@V}&ljI{E&Rj&kn~jY&&C%1`E;^1{@Kt{ zpI-@FMn>R=K51DoLfV-0X9eMVJ{O%nPl@Li1pqWu zRaq_Dr|6)B4Mx@9^pS|QIG;)SY*c4#U@vaCxvGbm$OTz0i3eYJGCr}rr#m8zd{_94 zzwpNwp_V5bz%%I+Xr^wV_>RP9Tvyk$A2mLj8cm-bW_uC=_C4o?u&f229f{9`aPQC} z+J?`^5}ymgJtu^vE%cS}B|;6>T_jQEVJgmr8;Xc8tBViWo-SAV}3i|y4o zMqykPj}zOQan6eHpg4<%;KP_0ln?9opg7EPgW}vh1RvtKEg$9^5`PrJDaN7rBs#QN zG^C53f-z<%9~oHf)B93~2ArO8%5P+X)__yZtQjXsk_!!)etddlvs>6fWcx=$@cBp* za}Yl7aX4Aau6ySmeV$44HGH_&)({UV8o1ibn^QA5r~jv3DoQvJHvoGO4>~><6x_x~ z&5|i}?~yH$4RJ6g1jC8#JuE`Am+hnm-gpbyUMT!?ByDP)Y$i&lG+7$_)1%KXIUB5S z>rW(Ft&cH;&qdv&7~i%ycYIMhyKPGBO`muuY%@W%Y=@ja<2trA*C{>mVEx9 zo8M}1dgdxGTMbT+Y;Q;{$aYTkaUDXSVr&kdJ$&wWvN7kJOTP%-G>7~MM3vyPwB>w| zw$lM;uH@q36*Qb|Ty~}JaP!-SzmfgZB%2Yympt#O@Tqm_De24pe^8u51L9z}efo2O zHhjJ%8YtN9boE$BJ+eA>766|sdJwU{_a37s4nC1=t*I@%mS?gx*?Mc@49>jYsnn-O zw*AhhN41$ZK2iG6y+^hPi+#6<&)n3|bf)TYtWMcDRSXcyTMdWUVLq^Z z2-h}RL)CrwxoT7fHe2YZOTG+t>oK06L*Q{x<2$FG?g8|TfnL1-JN28HPsFRn@%I>` zE!VkANf2~ir=O%XeVX`uRgx`?x$3cysOG}23s(O>8cS_tGmi8f24}N$8(OT+2uh5f6Yi`g zG^QPMtmn@k&|Je6INP<(ZAqX=Jbd=Z_WcfrHJE2QBsHsVk5~crAH!$lvrm6yTVaFL zBNw|gZ*YZd=j9*1RLFJ2t>y!qL?6yoClaH!IH$zJo*g#n6PP4ETNI;P^;IR>Byz0{ zJkCLVHN|jZdw+dqaWocgF}tl$yqrma5$|=Y5g|Ij0ZLx4F*%5Fc4r>%%u5>4R*~yR#?VM%&o#Va8lzI7b~0ZFO^^*JoYJ z_4F$ppW`|VX=4K~+kuv{p*x9kpBBAA`OJ}l+u(RUC#ugWf*k(C>vM7lKDQ6SXL-we zK(Ei9mS>$_pUXq&^J2^A3!cwaO$vkhhd-!qgX8%uYx%6;!A5Zfrd$B`0Bx7DAHJu~*{pfxdJ5BT3 zKXzjqeJbYB9vgT*dX&@gPZOU{2kGP3KCF&s({;QjsJ6lVPPTJ$@X;@gNU?YL?2+xV z!aMeLMaJJ;Z>X*uQ$37n%X$F|2gEyO`(TikN) z^?a5O!RN#fe4f{M8&sbO#m=BObB5q^Y6yLf48dpJ5c<4aeGh0Z?38}4TBLi;Yg+FC zHjTLhZp<-%jOpHlq-Nn(k8Se@alJwNqh+a~X+QdR5+CNoGkRWcG=yHu2RTUe^>bBd ztdT9kZcUu?vX$Abtqt1ldp9Ik}F5oQF$3(hE7pdAf;5~9^g0sQdKtr|`hyEn&1>T@`do|-+a5g~4x^Ws|QTMGa z_x>KI?U?&~7d!j)9?E|0FSArVVG~%C^UfZ=q@*O(YJD2#mlOw@IOZj%HtnnaT_hXM z#SUxUxg_~WaqCwd*CsJ@72gFqo#HPb*2MKs1-YYai`8+z_Am5riqX;rTV5AAG}(Z- zB!#ii(gr^hQNoX6U6u{@_1Yly$OS(Fz!iLO&8Bo-6-6`)(IcmiIvm!L6Y0!BM+vn) zjq}T3`utg9$-9%6bk@o99Gc{&T0Z=u0)5a2*|veKB$Qel{7(Ysv>bU>_U5`N-u^Bf zlpueHlv|o`n)b^7I>`?o-V;BXaGGMr-dl$c`W({Um!#>`^yzVuHJA-3b24adp=PZ! zjT8RFSNsOy_zXTqcS~QQfeC&2gALeBR@5@XxXI4pWoe{zbAI{#hzM$>y)K)wX`j$<}rb8HUfTh7~;t{=#RX z1s{9Y1G}AS$>;B@FX?pRv!Vr`e-Py6$8+_(wIv@r=N}Uw zJXpK^ThI8}M+c=xl-bKIPO>?>0q#oQlVoFTZ&dx|^xnD~r(ONIV7?(S{4Du;4JXDQi zq6iw3?OizItl?u_JEd;r18-#8A&PBe6G{i?xbP})I`pmb-h{)xr>#DQtJ~k}H8|F# zr@Sx#&PNmW0+rZpT{^3YdIdB0_{Y}Z4dOGVL1Q+M>D4#j^!R62;}C9(~@MWCMqHO3Vf_ zqxzZ@flTLPeZl9fO) zVS^q%CcEi#cUO0%xF79wXjqpIQ zM?kyRgb(|rHhgSt1m{vq9M)dsV9j2m+=h>Z*QomAqc%9|7LxgAOT5uetv%|8_9v2T z@EPV^kB!1>BioNADF%@(p@cofF-;u%(wTSDykqf(%@_w~k`3Iv(-Uu=4>-t}Q?=dv z_^H9^L3~3ZI#1=5mSf>((%3eA=66x;y2v7@n%TOIK86gRiE7!bOHYaa;n5}z40%`_ z#?qjC=E?bk;4)EpgN>B-Wlm<9c)m z9G>a5<+CqH7Jn{X5PcM=ZwXyd}G(5DCSg~StE z^IjgmJxP<3t;awABk@5tY(1xoQ4b5qjl47AjHcN2h4a+yF&HDsYjD+ zPbEH#(cLZi{AyIE)MK({rkp&H7l3+PQhetH#+b z+Q1n<#Hod~E^A}dUSVW!&6-6f#r<&L(=&d4K|Y}m`)m5YoEXKkqH5XRo|>6^Ywk+~ z=}z|qx#N0uR&~=S_6h{6nW+Qg`o(ITZYJ&u9L_{4__$u(Rd5cs#QDz&6`V856?}SZ z{p+F)+NkoHYCerNI8e<8l3nRr5+Bxujn#a5WHZL#Y*Mb!r;7&{KayIQui0C*!TBa9 z8}ZWy$II51Px+qEb|VsG4i{Z5G;6sDc0r$$8W$C^b?oNDMk5tH44=HC-H z$erorhijV;4EAT&>+x(9(-(fkoh486F*SNv6HSjdh%Ek(TOJob&JiueKQ4}@EoHeq z>^P#CSO@SwX-)WKwTp@M65GoKq4PEOKV{I|7`uhf|HEm^`-hVW#$4ttVKigA_Osy+ zfn(=&Dl+$xk*Gt2!H;ogX|4Ms;T>w6Fo4SV=crLXe8ikeDrEtNekRMWx6}{6n$1d@ zqkU*@5IiS4iP8U$sL|WgPA2IK47=sjjc2k%csI;+2NrD>dl4_)czKio^Req^hZ_O& zerG&%%bE29=HtcN44Bt_5SSlFC0@5(x@EZ)U`_UXwdz;KHSgEv)Gn^s2bg{*19HY2 z(=}H%5BfC+9B(r}CqE^a(Nt9_(`0{RcyVk4i-OyJ$@oRQIG=rudKn%RJx$_vG_=`A z<_BK)c*rn)t)nAL#LTb>HPq>_rUAC2f#z5P9&!TDDa*p#xhDbQyqjU{<++OObmA)7 zlkX*Y9sK&h_mq~?y_0g!1ER^F7*42+bTbTF8o$_@VXP}xl$p0mJiq3Yc<0oAi}IWb zJYvN2^Xujz$#+Ck(diBzJ!3kR?6O9eCsp@<9DYLAbM%}6T^5DzSqExRAqFoidkdO= z^eOR7zbd?o>W_X^cqKot-#+n2Kl+eAAb;Z7*YDDY5_RlMd0B@$D#GsW?J7Ase{ktr z*3uUK2kp(048=b4(cBSaG6&ia8B->4Blj=F93l^|-?=cw6QG!w{|)(N)j`I4B)bc2 zg*++*kWr5`5Hd(ZBVUq!LnF^g$TqX|i2ct+`dXa1f?jYkS1k%H@#DA%ar8F1>dE`w zx%1uc-S+G=9~!ZqX}hX!XDr=2q7QM1K94>0FrgaLV??OrFnySe*m1B5qnqvYsk5r_M)NbzePGkh zg{tV`hS~vD@n(`I!TX;ob2z^B;YZg$J~^&hOmC}T50ptBx@HLKyzGb${J;4l{;%kv zq4rKfhY95~iO7lsfrt%Ah;;p*{r3qN=Oj1MTGjBlvx)h z9}O0mT&241Qw0KAWdJV%@2&(_u3Ejp{xux@(ICO~>-ySsP=!9&pee)ekTQwA7Vr5G zVXMFO!%x5ets{5QPD1sET&69qY@(nSbh*asFA1LvU6Yx*UIi>8_l;_XtR?E8Anv#_ zXpu!+|NdsWe)v(DZS}gH3&V?Ib*qUD8zGI@YO;RSlQmPp`;znMO+pod_E?1~JbJQG z@Q@z`yr+!NmZ+l)rP%@)&!He7acU;WXXxfq9VMtFN;Q+Vmj{A%lxRpM;Ew~Ea_e}|V*_5!~ zG9~OcPYL_pDPb?261EH3CV$s>%*9$~=2aY#2-|Wp!QV{YY%#Vzv09%ojcKq@vn_?k zWzblV^vP2)9e~rGDAnwZ*^~Rx!wkzDeNJWq+o)0h5oI=9<4;Dqz%6qCHWnxes9}FZCK#+wvr<%?nWa8D;hkVFk0@%TKB! zjr;%;;YB@_!H1vu=wF0bqa!{){6!36p$PLby$B^g~cvZSnRt+ zko9BzxK^<|pImFO8M}>=_qTc8ZxZjnLgZb_N*EuZZI5j+@?~n{jTZQaJC=nbKau#V zB_vR>3c7ioSWI05>eh(|IpHAva`@CoGMS;ny+r5k>`t}o#$8*jg{qB={BiiqAK)_` zRN=o(?FnCdqlLN}8Tq@(!r?+6xC~r!sRAy0Lb>BIDn5B+Y~U3Dnbbl4enHE@Z9(o4 zG2D-3&9xU>2%SJYRMH2UvoopE99Ys^JFtbis>ZM5@9;I5PYGXB_>}N9=}rk>Q*Ffm z*ho4q+NFvTI%2!ham4%&Ci`nw9puM9J~iRe7uyRr*ltMN;I|=hL(B|<%deXxnZrmK z1eZ~haKkA5Eztu1^i)0IX^}+6Q3)Kg^&n;9R>>;Af*?h=gLncLwi?y#-3&HxC>v4;UXX;juPbe_cj2Kp* za)3AC(l_CTm5)bCYUC74^-^i$oEp=M-3!d^%v5Oe$C4boy9@8!8ewK8d~f2NqqHpby)8N^r2b z@reTUmyIRga8y;QR)sqzpzy)x;N?_}V|~DRQTT26aEk=pL2SVN5D6SkW{ppi4Y(CD zoE5606UpJk2KUK55SM0yWl3!{tG(I=_E^ery7j8Y;bw<42o5(lByhHA$e0a6V~uPP z7IDUO(FTXx8SqTDtz9Z~T^RWeUBjWw>9v9LgU)W80kw%YvkdlP7Brk_JA-CRQnOZY zI0PRQr!61#?1JXG9KbAgHlDHu*oW+*SrL!hwt?qbSw}9(eXed$Pj4pb#F*nO0Vb! zlVQ!54WRP2a2F9kg%;dCB5foE-k~16*wC%L;YApDwj$Cp2tRNw4T9&-fJ=sj}ada;Cfhj zzUN`3oNZw>{Ce~&Wg)Ij_vwU1TK1VoPp)}b_1N^fmw2Cefs=ulO5MxYok;ywgfho2 zcsA?AGQ;pgTUgteX!32TgV((YZx)uEtD+p!ZT;t#nlO)VO(Z#!@~|={{yaSIjvKFY9aygctFQBnb3e|{y+$HQ0WGEG0;KlwuLP0e;BYr>qDVd%gZ#HQ>zUUFZV z1h%Z@x>ByUqr$b+v>tt4@J!a>c_A?`Ba2^sy_`|{Y8_?*#@^xW?(2=n;%!}y=~oMk z-S;rEU_-wTDfL5tOXXLnRty<0>=-RI#Y-7ycIpXk#EVqQbtU3O81j1^n)vxOho^`h z&(9&i7-M@ikW?t;HK)WYzvk9WGfUp@E1KQ=jrMrM@@tD%e(e*yeZeNxcCq=q%4VF9 zMbutc=n6*Z3AcOeUAD9p-N&z8y* zSi|NTG+|tF2d4M8qU!q05gMDoL4Qgc|KQS(6_J;qRKyN;v>zH$n<0C~^aGjKph90v z|M|-JpzeX9-3jnIO8Fv`=q2w3azQ%omW;VY8o1?4P=ny2^_|Lk0V}*xG9+$zm1RiW z@Cwb4xZ%~EA#uaQ*CBDkLtp(iSnX>t%Vbj$k@bV>hu^}hMl^=lD>?hPq&Ep{YY#6Q zg;qX>z;5}FzJ_Zuv}_SUz4RdZ*!}n*IK$ZO-^jkC6CoyJozEB_MlrW%m_M!Y@M@gzN?j&= z*G|0}hxM2)*x-b+#X;;{jbn2aIMfYCmrAoZbvCg1t&QE{Pa9&-zYPAts@x$_^0Or> z!cLI+k6k0*5KSA4Yr2e~rAkU39rh%qH2N93+gaFb7y9X=4xN66-e*f?!q;(kd#V(L zowzh9Vwt1ONM-o2u)iY`Q2W}H?Hb+4T$BH+%=OjA1f!6!At_uK5K5$`Ad@yhXR_$DELRk2Pb`|~Yo zTPoNA+Jvj>^`pv1lsBmDs2Vt5qvZOC$}^(7N$|Us7m3aq`TW@b&))gMv=s&c963EP ziKsvzCJ_}REQG8iG+DE3Sp@>oWQZQ(1{@;D~eY6z%pjUxy=O ze)IMz?j>(w?0eu%YP(Pl900~_ptA^An^30*ex$8_oJ(()w1I6EnSI#FAG=0Ha1Q0w zv3LIL@g(qe?$-O27@OF9%XJ6P&e|fmMoxj9d1urZ&S?$WGboJ_YX^IwjG?lyFJgPi z*HmE4Sv7QIzQ|K$1JqilLyT4 z5<2GOei1xpJoChYvXJXfaGi~L4Y*c-C!#VnM-_Q_se0DC|& z@8aWAbi5S-?#F^L0y@O)6T{d(|FHJQIIMv`p|?Z6-s1id^m};a9B)H6p>M(V-nlmK zRp>T;I`nY>ubi*TL-xxRzM9B(u{(iQ-#qHX8<(qf$ydkT+6Q}UPo1@4&iF{NKF9e= zdHd8H^{4!Z6|#uCPp0b`rFG6eDy2U=dYVXO~28Uk!zB*dRnh< zttHl!ojuu4{y{ii-lVbB^LaF}-@JP}3}~Rg39dQ8!(1P_NUuq`%YOhgt6P=1>?^fr zbJ^F{U(ID-sXm?6-nFZ}oYi{Ry+Zdrf6{AG_hWKuYw<40h*iFbB|?-DjE+PuObrqp zYZ9ihiAhyIy)yp&aZ;O%9&Z!eVx0dr(ZT3YJkD^e;dFfq?d+xz<2_}wk;;mH>GvWP z4u1)hG0b5!4}N6i*C=1+zaje#00960cmZ@%&ubGw6n<^e+O+BKsA(&jOBI4b1jUM> zxVxDo8C+)f#wwoB6)?&3iL%MyQPvM0BBkb*By3jyQraQo3VjG|3#n3~t#{lnm)GONw$k z#UOaUdG}8vVeG#Nrj_Oa>H`1b_4Opc-JnP5Gn&Ko95ZB)rtv?1YVD))QxQ>ovw*D0 zgeHt^xq~J^td9Ly0l30pPuP?=x@NOZT7XOK=;nh)fkU2duOo}eylKk=6a^pJia-2E zCRO7F&B&S->!Mr8{;gek1)v!mP`}@er&x%#6&c%p-U(241Bg}&wleId1uU-De|=kq z$y0=1#3d7j9=ZonZ8EWx11cx~ZU!&tVY-O*mHgVB*)Y)@!f#|Mqh1O^wDBx?AK)5w z0H^G7p^qNnt9oWBAM=<&V5?ZYluk3z@3uYvX| z?42_&;^HJ1gKkf$@8ch8`&y;a=^@I3(k{LEyb64W7)7*dQdUlp3vUF6sSf(F_BK}p zs#EIq$xR+{_xuvM{ZrMX6#gbn?x4?u%_bGARQ^ek!i3B||gT-kBpl+1&) zj4VqzYMzp#9pgA$prcL4wZ|Nn;yM}PnT diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb deleted file mode 100644 index e6db90d72627690e67d0b8a489342b87993ce2a5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 207 zcmWe(U|?9w%?KnJ81jJ797ur-6!(Q)0me{}~H9T%Qc{K)vvQSsxxK1LrN c9S2hbhD*n}k1VY`I`d`aN=>erm8uW}0kXF}1poj5 diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci deleted file mode 100644 index 65c27fe2ea800b795657754ede47ccb86e5ec502..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 226 zcmWe(U|?9w%?KnJ81jJ797u3hMxuuL5G=-997i}3p=Xn xIkk_8PCTr-3hMxuuL5G=-997i}3p=Xn xIkk_8PCTr-zn&obuaH0t5i zhwp5{D1?zln8!xx4$&EA%V#;+xwY zrOU0?BUordbLPIu+vjssckbiWIp@qh-6J|jQdy9E_i4`Qpb;yH(>4VB$JyK*+xz8I z_-8AYcMAj2C1}uT+}G)bY>eWZ*Q_}>y(<{K62jNq2Gap*a2)oGq`{Y7*11j z`g`c!(%B^#-kvNW;78;67brDO>(&2LFuF6Qj!+Cdl)r>Fg{GL?(lUb&oyA`hF4_{j zZtlIjy-~f%Cp~nG;+6HGoBXI;(k3{^NEcJf+|4xDaU`x#pY>)Zf3L}F!if4{h=r0L z$L&)IxipiwL%pI%V!Pl<4n(kS%=rn4n`EyVWeHz##xI*@|j~0!>Ko*N6I+>RYnn#{(p2zxkr) zM^P2p@-L+aW(y#mh>q9|Lc2c`9$X~ad20d`+}~XD`Tj)GAs`4)86ihR3IBPgDTg~4 zbny#thEy21&)D)IFP#_~zgVE+eRLmXh%u4$7dR0tUE!0ksv18Z3;Ninnd;$K+Z#^b z>cWtCe93~9V*&&zZTK*tZF%Uu%p>>WLFa-|*$ztc1nh`>2lq#*ltq(@x~DK<%v#BG zySs;Ei_~lWiY{98J}Xqj@41DFDkL0IV}E<>TSB-GZbVmj#6X|En;Qt>sG7QXE$)m1 z@jOZO4EXC07!xc>a^j3x*BQ`qyGR;xDZSG_X2W#v^dSnG`rwuUyWo?&0|wn{Jq=8Y zF@%ErPb@pYn4F!hT^mTN$9j3I45c{0Le1%v@A0p-9nt1e{o_*$Viu%FuPK1qB3)U+ z*KH48ox&$;T~qyF)N)zlQ3>#D#?F2CB0)9^>{@O-oAx&&db4jX$+LEkLD;TXXzsc; zc;#^T0q+o44$77$>QVfe{$_w)uJaQ->wr1Y=6t*AuM?^@eiw>W4q?Gj}F`ev4GnOhu4zxdK zOPec<>zFo*VjEApxgl(w)TR>}mFbsNyw&3ElEDj|FsFR1A@ zH8cY`Dd(n8#=z=G^s9c?U1HonhdlULmexp2iBiOk)PRYh8A#5)LG$uiCKknMa^f9T zSXy|<8e5kVJiLe7KcS4g`*><^^l+<4i0+25a<{+|8_L7Nw*X_-NZnr;Q{vm&bB$kh z*ni-gc-wmq{~QWi$(|NF-;DJX(R9bB+z8rX^uxsEV3iHnIF2a8nx!=&rMl>75fv zV6aFwxE(d;FN|7-UIP(UXo|07Sl2y_7rcN8V=A2QdEuowUyD?bykg92FjUwuqOpcn zsN)w!?RPcX;zXn#)*rg@6K=yC2k_Qmoy9d2OJ(r7ZIsCg328zC=!k=Gr7bzZHIx@v zGYekUQBjo=3Fe5bc$`^i%tZ{dFZ}?Lep5s@rq9~AKflqm|KLC3(%toOKxy}K+8Y>E zr-gL_09h|GxAM6WO^#MqdbjNoyfF605?sq-vw1kW`rLBqWJc#Vz0Gb35tDroyWnPg z3GutQ5rWRKKv93r?%JHGQ{&Ee^TtJl2w(g%@_awcgZ*H3(hO`FDI`H0Wk$=SIDR^ff#TzsQb}K4@7p@!%W0;+D zkN2h5Vyz#Y7)S6$>=1%I$0v;Jke!{=v-*D#{Qj+koPJG2dX9EQ)Y~kX?{cw~K|@$Y z_-Y_7QBy=gV80;H@bD}dfqUKWT6bsJwnZg!7IHn$Ni{1yW9 z+EMuIZ0}6}>@0zD9KVlM6I#vpqW+?9f>Jt(?=r6dahUIN<|?-9m95jUQ$d=%^1v3X-=XACyIK1az@6genreo zyxk#I6+Le88F{VoHh~jv7T!am0Es)9*PdYIZgM7c5DWu8ZrO9EWwviBh@r3^C~LTX z@4kMUXjxyEy~pl4Z4Itc6}jfM2D3SDitXr@O|tKLmei|B zH_cVCeM)$4s%lJ|{jkb8MsIfn3sbN?w~E+8Y@uW>i-AN}j`h&rVCNCl1@=h77x|UT zvML^LyHJ;qm6NkqEsk-=A-AgIa^Z_!#pG@OS%wmZ^!$&M^Xoj4i)eG2`f8XWHI&@4 zhU-NGLe%J!*tmiW8`p8vPCIeN_jTXVhH-~#5L6f!S5ULXY{;(jg-ZBKrdL^1-|i_* zVf*+yl~aFDcHzRs+@mW}?6D4hE?TA+E{}+PnzS6=eNR&+x;V3Vj8?>x8~ht8VAI14gFnyp~olz3|USwT>1()?_-`1>cW$K54*3(H|#M$6)Uz5mlw~7{Y zVgjAkUJ$EPXP^Xj*qFs@g!?0=WhPCYd7oT9PFC=sSPh48MwjJZLwnqN5`2w59LS1S zz%uD3z57yiPgCUD)ioJ5>B&i`-*J88b$KDbH20EX7>j&gxPyR+JSA}%atmCz0fP?h z+dUaFM#KUsX&Ae8jCpfOHKBx-`TK`^gALTKPv>by## zRL*@AgWosR7e34Ii-*PJ38R4?!Lh4R{eIrOtzU7{9xMuOIdam98|YF@iMG8OvFs=~ zL01yP`#)0VyZlBWm0ql1q9RU<_9gJMr)MWM^6w}%8F@z;IIQZiphz(d_5{`MQE_*u zIC$Idb4V~}%CXU_J^pYkMu}IHa$OXkx6vsOwFO0zBI9;MoK-qIkahh~kklOI&5aI; zGU>Sk4Yq*9Q-B`nzscJBCm&nmCv~c(6JUR%5tuxCwuBdAq7b(-sHcnWA2DF4(CV)< zbC_gi7n4kxdk~hOR$Ge`qL883aiPujDrJFBJEPoH-!C>=uHeF_5!}aT4+DmHi7i|z zF$GdsGEUWpfoR=JpZqM1IpVD@oeIToVk^t!_nBu;0>jfBc+rQ8fWf6;MRe#jM4H~* zz2BvF%67ARW8UiB_>@QJqTZF;YLsM6W&Pz!X}eIWz)Nq7`8k~3ITaF7=Tc@q6%u5U ziXr+yArsqvg%fYnS%LKVmQsSml!o!8ljJl!(ltC^uSibLjP-Dp7pZmbVRfm~|C^%VB5mK-xnC&<3 z^FP&u9LmKV`9Gm9*eY-hN4K#G7;D_}xLmDdMXi6sIN~({&DgjV>Uw&pYB(Abpl>D$mUI6oo96HdmlC`9R z+tIL*pm|m)X)0VLhxm5%ss>LN#Dz9e#!35YKMeaVcP| zJI|hm{9r#?u+S@xfj$(B7J0(iVGRrzd_IU8`4dk0vm!_JWWhK z6T6Z>urQzUe9|;au$KWy29p*XP6&ZehbM}e9xQY^x`jSp`>=H$?iC&8O!i}{zSt1T ze|Jf&PK7G}rMAI2p;qMYB%2dgzGrRil1%m~cGu*{SJJZ-_sC^jyja3VS+hHOyvggiurPdS{lrHHU(=FzcNcfC^M(f+Z^AL%jDcvdKxsQ{ zgR0P(0KuJ586}3vs7%vISG1LsvL*j5)Y)zfnk(+yJnXOPxhIPhA4rC}fIzJSLseM@BGfeo0JvC8(Cb z5vS+YFFC|?`kLsuwT+Z~9Ql>_eN6lyXDFLh6hRP2S-XTH-jA>Ds?6MR{gK2shCAzXr$fCfleUs}yiRMR8gb{X&0a|O+aqp3b$3m0 z{0`CXGJ#XL*?|jiq6>)#gAvr5`%o2wFyeAMURo{LL?ZN~b!og>P(*oh%at?B zu$qTK*TZjrHR$TyD1!+Zd;_RB#JSoQXP4dsbKm6H)RyKNFqL(@J0G;-%Om<4C3| zFy+x|Mp-v1c_d6#qn=B_>O2Eu9Ydmp zDFFCb<%2RtQGYtg$?ck&?_iX}=lW(k;&1hQ2keJPO^tyWA0HhurjU8}8xQQ{EUftI zf1!$r9Q~PK^t$I0B;D}SO%ZH`pZtR}xK_aA_JQ*XWKXzUX5i;v{iCbBHZJ6 zXddpA500Ps9w{vOQ+Q=VD{7SZ81jC%>8kVM3`T|SnJG|Br6#cDUH$B9CwS4)H!MHC ze`(IV!Xw6Y-sj!5kG-r$k{%3n)^9yak!eXiH0USqtR2D34nnMny@?WUlE^|agEu$k z#tr7Dq|nFdX8U+X47*-BtK;YIDlX82Ngq>;y9s@IevR!ZA*sK^pb)+uK_TrKvF9#v zDlf-$HTh}=hM}1QP`gL+`zQXkQJ5VPx9UnDMRl}Hxn}^Ge#w7TaFr&t_>kGr_c;d* zA6N3m%AU)DjwJOuQ!u{;OHwlm^DBj)!R0;1k=tg4jC#3x)E4O4)MoP6rN0yt$}>Kt z;Z1|bCSLPh=nnY=xN>cO2qZ!ajHACii{Y7 z%GI2IBB~GuLoS#w1blzyrtF&c@xLw<>+Ua6jO!7XlX>iR?DTGBFuBAx|I=T1bSiQGb) z3^E}g5k|&$Od=&Jz~tl^*|LvKBISi%Lig_qvGGDeB9H8bP=A87UW%d3@PUf*6Dasr zJ&%n8_>_|>_WU5D>Th2+?T#9MWg8w~tbyi?x7r*Nl4_>eNKlL{`rQnEbIsl?JOv^Y zSyMkRr{%PDaMajYlbe-7cQav>cLRY^jsmmIqXQ=IO&XMe^Y~Xa64jOPV)F(`5%UHE z!XWe}zR-%FLi643(G~c0bk@~x%2ca3V`_>R44wAbDEi+(*?BLcE<2fYn=!t)zQZ81 z7j94Gm%&UiP@(>@se#&)s#!I5N~iEV+w%QL-x_d zdsh+jP6csSE6OV69Gy?rYGsVysI8hRT=0$;EXPRa8?5p~!p1^qfeN~@>=kpVCNW3z z$cjQaOU>|)noFlmY#Oym%6cuDylP9Q3&;zu-h`41Zht(LYGc=|Eys~Hsf&2*YEyx+ zYn7B0J9j*>I$w?g1m`Q~?sVwqt?6)!PA)Lj%1#&X7A(D+BwSbZsq;1PoqAl5-XJnS z%Ds+bubk+k#!j6g9^2YQpu*ZQ7u_Hpoz=-JeS_D6!SJn4dAiA~jFFLk)5d-v2X_i^}V(TBHCo|5jrs&tBTyim?Dk#wusEVXoOs#f;jRGkDQd$&Rk?Bo;= z%&K4$+X{9$dS%AsNRSNQCt&Otj{$47SV|#zIzP?x>>6KgGLLs~;Zwj@YlD#r$pMI6 zK{>}UfkS7eem9(7rQq$_Ag<2pB@JxLC5D}c3lOOm2g!tu>3j>Z&HOUWRs#KpZj)bq9-twW6E7p_Gi*@w}G=*%>Rv&~dU0t8Wj z3e{pI{^aR++DHrf-OxYLCmJW~A{4qt{7h8|5!ON_7Z4Jh7~GcCxai zoEeMpCARY^3C|?SQ|va?c8$3#4?JdOd3B9;A#%r~bfE!c%WcRQhL-Dnv&(DI3KtC= z>#tjX=Bwi&6tm*ciT4}xm&X<0F4d_!Yvjr3E^@%*PYVVH8p(=Bu547U&-N^{sX*(_ zRI=7L)*Lshu=~ga>H#Z1!ld5SW~Vlb#A5d?-lDSwBlXma*OQr%o8pPGkGskKHfex6 z)fh1ilE-P26KzR6@~>`Oih|M1f*470cAs%ET0p!Le3X$o zv~Kf-$6=SX^tl=2N&PRiK7@HqZsnR zmFUwBTyoiBQ@T`A=E~LFuLv|tP$4u@D~5}775p(qegtgzv|_?m2I-*N7feGk$dtCx zQBz$-Hc#@Eq<;ivA&FU7Im3@3HEc}lix9hGRXBUL(!^6zA>o+5L{c+#1%A8K^ri~B z{cl3ecE>+z$Uow#rd&HKDHPY4O06XS=*lnjhgap5%nNpEv!%aEV zYbq=}lsg?k4P^;8OOe8>Xrz0A)lBHH9j^B83+BTElLg-g06c#A z%vcD5FqES3%JB{TYDg-^Oa~=!TtJ~Wz1JqcQ(k20r&_~_T5}BD@)``kfFy4)W-4NN z=a@Fp1TozTM>5#>N$j69bZ7N(!UMHXSiA^kaTVZ}2}OI-OL=8YQ5Y%J|DTE8(Ehm1 z;DI3-140rA9^)w`6l`%1F#L0B%h zQQC`L*?seaU3fB~m@*i&_$#Td=~_ldcCh43=TOL~FtqsNk-1x`=_Q4Di$y`PZ%l`1 zYseG{wL7>pP)sf5*|432e@@Rqs|vd_?MaV+%(KcH3yw&H$0%~D++0bNl z?)~~~ufT`zLjOBR#%#K>*zmt!{?*iq5uV@YCM^Erh&k-H1vJuEN7eV{3-E8ax)Dkw zFpEzBNZrw(^0XuY7@GffV0EUErW&GbE@c0<#}h!xbs69`(D}ymO|=13u0G7Aw;c*` zJBl*@tI=%12<^q=exi`ae}~&QUl}kA=!W_q5$Tw3X?FoqfW(1L|CRY7qG0>id<-bc zW%BDqne+Vfvgi4o4G8+?%a|J0%U+ksm;u*C}ul|~t(Jb*$xPrb5=LUnZ7F}qfoUrqAX zbSbLm^;-k;8aEvuYG6eEBYVY4?(4^3$oV#Xk)u3P3`8b<>s+J0<>D$%kL`|p-Hn1w zM1M73I&1-$y=Q18EtcXFkmbOTc384OC~JG3W3+bK19MPt`NaA65AZ6G=_-)rBsp_w zgXmPi-G99uVJl8daqZbNK)L?bZ&a(KF%SIljBjZP1M0Jhp&^wDskDmbD?K6Mf7=>p zF4R=274dx|amAo6N zY7z+MptIr&{=vm$g4=P2_S|5qk9s_3#;~AeUv65t5925?XvXLF9&%gSndTw-0MCw9 z-g%n}aHXyuD+i$M&By_iGnw`YOVcovzYYQ1jE_Ei&3k8Qd}@qDW~P~ExP#9V99_MW z)dTgfkdh@1Xyh-(tp$zS7 z;p!J1#|SH8c*lsyew0QDNFd)to}C%Ew^)%(#M>l$=vagR$X8^_iWi|-%8E|!L-Yl3 zf?LGnh*ZEK&LV{hlQQw&fP8_Q55i3bBxfpp=kH%XSffK$7tBPbYv%+U|1(I3*NtPrp0M<(2dPHbq$4S-Foq2cB^b zvpoO(2D^HLdAz~+-e5Tp*#0C0X8i`Me}gT)!H(WwE^jcNH(2&tv^N<2KJvAZbs7jF z;=g%Bym|D!d2Hrl|86R#+E(u$dI~fUS~}3{H__D47&zW1FW1aAw;WDMDIv7ngnw+2 zdcjG!g4tU7eqLU-`vf{C#q>NKQ#`bOo>R_Iz%^*y(HjN@$J$Myn+5M3hkBigC^ZX zFXk8bigjd;mu8#F3QK+Qr6`t&%j-Rty&AhD@H--u=Q{jGhFs5y*l!B1PyOloih|)- z%g!_rV36fszka5;Opa|U8O_DDsDPi2lF07JJJJW&$?*d3^U6V~6)o6?0 zrUyuyhIeebC9o)e_;mFgx&+qHP4%Oqc0}3boq84k!PuSjeow%qPwm5ypOK33Gs=p% zi`*Pl`VRGVi|Z83DsKq z7Ji$ESg)T+x;Vx%&_*g+l?JgyLM$1iiEbcO;v1@EKZ1H3 zSXBkMVkyJ!l3z^e-y13+Yl&%dpE zkeT{@kd}MR(rcl3e-)hw2->RGht7r6i0=qQXU#_$w{|qaVaN4Oi2)vQHe`E zpdy(G8#ZNjurFPuO$%2=1_yy$=Fr$kh*pmwnz|(FmMk2*I4T7a^A9e`|CSo>)dO7~ z`5>hybyh#%0jQ0|TBytxB)h5104_Evu%c6Mf-OYYpfam_gGuDnLOGKSK|~B@$X2t% znGHVt7K{GpJK&%P>VF^o#tBqAgcD;3C!uegq(eA)L&Wev))iv@D+qaI>GjnEN-d#V z;k_{G5ZvArRAiZQP(;X(2p+wx<=HY;fbO)Jbp9m+COif+g$`Z`perC`2B(fyS(ctC z0B*W(sBan9gdux<4M|DvH_OI(*ZRX^DC+XN=@(EmVviM4@zVWrR=|FI(97S-h0y! zYIo17DkEf8EaEzQ^y9=HE6Yn3#4i81b^m6u!!0+siMv;+K+Rmszu#Ck;z{n8+GdhX zd;-EPE{m3^A+yjs5Z#FvF7bR(Vhx+L3TH_}7m0wyh?gk1y;>iJ{T3k%=sg8WerAlK zU?}LIS?wHNJ^(>!V09=>Lf+W&cP?IH#V->-p=3z9Gt3|$PXaZ%Uwb`ZcI351t%~hw z((p#(O(h2w-4in&Qnn-tBlY*?s~Q%lt=h}T6wsdNrpL34FToFl0%E;dRuF>3E=dL5px=j(Od+6xves8m}B zelJ*X9{lG3{(m&=V(gjd^Tu{|R<^Nmr>ty@UE|N3J7w%>Yj138V{K<|ZD(U=XMD)b zc;A`0Fk_EXXX3!yk4*kEKg;d^nk{9?{LlHXMH+usNL)A>q;l8r2z|b%lP9K6aNbdS1qTlt_iURzB{AKpPuh6ev;69 zMPA=rHGTl!pqRe(t+c}TMORTzscx_;-Ti zTdE=>{*%MS9_b0c-w_*&m%nZ!a{E=@J2PwbT_Q)eZgS}!;pwK*KZRdRjcDA|b!OL} zmVH-lCLBn0|I!8s?kxhkp9OI5LGWKbC4@*0GJHi{b1OvqGN`vj`S`g^AM03;ujsPa zky;Ixz1KN8>CIief|+}|(9;D@+dqffr@qAjRaqKL=jG68#!7rT_YuAauHljs1eJKA zM}yWvKUeHorh1n6q++o z1E{U8EK-|}erLU*`zC;8WIMKxwYJ4v!nOfGkfLyQN-n2PV*?aD4qgtVb+P&+pYso% z-K4#-TbFSG1}${ku{F2TayX%opT$FvzMGIY_&e7d$X={ z-A)~gnMsIdXTzEGOMe;$y*RjMX}ChW01_!IzlFZD=WNOMi`pL5G4h*dbw2gGd^cP7 z#si;TrqC=no&9V7jnJ)uuD+1#{G*==ertumINFJ6dejsZhzW_e>k2ZHn|gQz9LCQN z>x09aG;U#1uZ)XaE!3)y>V#BU!cWWjS5KReVvi|rUtu}3)VB1H8q76k{PrT8Ce!iV z2ea11!lmNs8>?>4+LRZKU%cz_&G4ddk7KE2NRkdtu*igdD9t~Rl6>PJ@5jfZn?J9q z)nvE1HiSeEFw^diRmQq(wKCD^?N6(|m*Hx;+(hR^eh%`PS<9yV{~FSUic?xPks!VTqVcOfQE z!5iM`-u!XHYqQ7Jl8aNF*5*F{6z^VU8)&5F>zWfj3yNP3H91r4yEEC+*Mww-%|T{< z$K@a1Z15uKdEb7VChwrvzZsC>w$T$?H<_HN{8p%WtHHeB%jqMTZ_+ipEn9!B3aLxG zTiL4yes3{(8jyKLC*;1l&Njw_@*`tNueB-(YSry()oX8^<{eZD3t4WffwD^6*jk}x z+u^#eRQU2krOkWbX0uPx~w=wPR zZBTO9>+~?UOtIy34g&zcg3e z1{IK*w6V3uq!p$eQder(H^1L4Ca3pi_Je;yEc#a2Mb%^oEL+bl12<|L=-N&ud>R`R>X?JC#Prj>Ln$ zsZ*r1#x^xue2Omk{a3Z%04K0(et3SMjigWkm!-P;cC^3GKOC!L+o7tnjoS8tDyVZu^4M zx3bBmO~$&Lp3GZDvVnG2fXoz|waT%J+6n z-xKrP+sVxqEG^%j(7G~mVuSA4eVM-l!1cU~2dL z;1<_*Hxr$7&GN!XSM@`iHm|w4_QV3$Wb^j{r7kL`rWbvCbJ?F%)^GRpO`3;E>wx^m z@kyC!YnjrUEaxm@ll5L`cAL$I36BF?c?fk%L50jpSybfo7b4`U%9+` zV_IL#OHE!<;*3qlX1I2UB8S4A$=1wv&D@>4!WWc1a@RZt=pX6&yB4@ODVR488@>qR zW9K(%1UWj3OW~bsLg?xKrBBS?bn0k_APrsjosBcO1X^9Q)~faK+pVH=zOEIzORFVX zAx#g>GftfY=LY>vrgc-&l3(`D;?9w~D@}A7HQD&RTX9t~A-OzO`)hq@Sqpv|A<^kYS;#u3y~}rFPoQ>)5s*atr-e_Yfdr zE7pWKYKPo0?$|!Cv>K*Xovah$^P}K?2Y-V}VXpIH@3p$brJxG@kEY)(J-sBaV;=o( z_y(;G7&{0%qiuN`dJFw+AH?JZI{*w|NE_+x@v7dL2UFDww57v&lKR1c(k2KrvYPR9>UvGcz zIu8OHR@N!uqfrgqW5|8zim6gbi#2F2>zj$UkIX7XEUWH*mvL1IflBNJ5!zdY?drSu z_4>c&)@-}3*+wEb;`^_AOrCaG^v;u_Ug{Da8MZ_dW?@7huztv+-krYsC}HHsLe1Lsts%PKz-XUzy>WlboP%1m z+P$Y>QhM`e*}heEuS?JD1r@SsPr%V9{rNsw!Ii&Q4>Yrbz{vE%yWdwVt(GnQb8Mtq zmPwxF#eb@FEOMF8Z@8m(=wHF)TlmniL(g8V+AH0^%oR*uIxm#ziq_yE*>!e%ElDRN zYIEzr;mkK98IJICYG5FMscaFbe6NQ;K2QQUIHb(2O{;^?>Vyc))^(gLd=|Ou z--w|Qz^?Ut<$pus>{iq2`yDuCFoN&^CTyR1XVqqC9|P^`zXi;J^S~M6GS4y~!?$Zc zgKQ&7DHAj;Zhu+-(|M&qFK(estO?n&u@!vocX(om{oqwsO9SPnLM1(JKi?MQ3t$@f z)p!CfFxOY#c%#Gf=IFK+nvvFE7F6c1H$|GfaZ;-8*eKTLFQ_Q@p;wrFHEPTMwI5ff^*Vh{V5WGgnGV`MRVTznWB<0( zen(v^Kwr#If+(1b^X%~%qvvQr%ETGAPx<+a^wiGQZPkBE#|2kc;$Go$$88`c#nhj#l^eTDO8X zfwB4R9OxJ{e=pnRwJ$fGYt;$yWsky6VU$}{0z}dfIG>W=fuhsZlK1UVLd%Pl7wP?n z=Ox}67Sa83#5?6d{x-y6rz7B|e_xnTvekP}m2CCpQrPu#M!)u;$8=3}QiQHx&bp)o z@b23E2Q(~p{Wq`N>#BV;-gVy|FQ2cQkHZRccY*vtN!tE$3i!3tw^o7a+JAc*7=a+E zc%&KlD9Z1@H4a)1bb8xBXWe-Nv=?eBZ3dd7>1xEg0QGc`FuvF_hExuL2owM<_WwGd zGz^GK?eq`FJGKUZD=X2eU{9_1eKbfD=hxkIw(e+iqb4Y;nUZw%&F4n?5s-BG2AW7-(J?QBzW%^ zHM|X2zqIeioM?*71tVc{t)~y@qp%-a9KL;nniSfa zcx=i>3m-t7{-$Od)Sf8xi`_dmmr{xi(C04Mz$z~h2 z*pUAaF7q}26QZ#f|1vIV)NaUWRpI?orq!ShA$L1obkU8Agp z&6UHkp2}fEJoBW5nr-Qp*nqH94 zhnlzUn~*`KloL);&h-aGzz~@HBE%GT4ny4az$X_!#l1RfgdlU5E`3uk-~n1 z$MJP04O@43uyE5a?A;Rz?%$@9H+{;F!$02rr}MzAZ)f|;;v7!icxCtIoBfMDR-rj@ zHHM!gXTF-qzS(9R+~Z9H3w`^bm#Fr;yL=(jrJJ5*i0?IBW)0syd^UNey_k9OIB!%_ zvK6&3z&K-{N@=NMnu~R*TO#aX<6B=g|6qcT-o;G^&u?;NColE_S0S1#uG4;0S#^`+ zO5sJR-&@8QYyX|kOV2;7kpS3}-Pj`Y4@%!-!HHCJfFE#?KQ5%}(lOUCQ z0nAY_#CYP4yk)i!ctBCjw^+DVk>^Ll(){w3V>1o6;ryp(>@v^LV*}2VZM_U?Ce?^v zR_BjMzHWZ!7bsF0e_LMCbKd?>~O?OdFVF%^_wa zrQH$U8vey(@>zrP_B+3(tyCaG-_OF-ekbl=Z@KB#cQt!VbKsbDM!Wi{62nht0~|6A zPE7ph`-IuNPLR>~tb?nm8v+{~-PT?BGUy5H!3*J6?knt6iJM;U2SZnl_^1uvTj;c= zO`BX71|{s)+Vf}mo*S=*;+h5ZT(9Y~Ed$ttb^A6*7spEHk5`E3r+Zl~Q3Rkmt%`PVG zO@?*=1ZMuLWs8Si?{Aakc}o&4oLz()fG5O`+ohbQXP4gx@7$o1U!+FKRv8s9nmxBL zso^p#U?;@F7^j_|v7B;EWmK`~asA1s;*&LeoxN_!;}0I-`OXNaG+eJe#;B;wiqYq=9e$0j4Zksw(#BK%g2Lv=2?qmiC2)qum0La z+KEfn_usjVS$ume!7c9Tr+gC?ctdf2;`HVjmX$A)HuU{E zEBLHi-1uvghUme3>hiictIvte8)uFm+u3Ys?DTXyoS~Z-M`}ro+ZRP~0O$3~9WP&m z%R7|wEalNLD@)^EZGOZ7Tewq*y*v5Nb=ko#f|(t&zve_x`1c$nvibUtgI({vcHuhT z-@Bc6eg!S&hKbW=TX*F8>&N|Gy(D-s-@W;;_20{^h)-p4$4_KVFLiJyt6cwaybJdD zGHc_9ve#n{?g?oIc3@jETrX#ww21m@(c?D_yZ>ZqyS@J8aLSPtunhlwCSWx_p4+d9 z-#^p82pToW#;>S+I#_K?p7k?G2(qm077M@XmVf_RCA!e@+b3lGV9uY5?KVzMSco`M z9{#iSvT}8RGlPv6B_jSl>EMKICDpT06-SAlD-UE#_~61aXoe(7+ITkJs@)8-o}Q_w zn?^;I;8lPll@P`LH_5BUVsNV>*C8~FfhrR+&jgsi$&e++e3Q~%!NzJkxhbSz~3(J}l>%U))`I`h}E2!a_60}go?id1IG&!wzTpsv`a zI^=L5LI3P+u@g5Via5A8oLyBX(V1(pIs#B*VRKSKSH4h+^NVc)UsZT-)-vjU3;r-dxX0!8fMbwJhrz!&Yf(7RdPQvQIK zyh8ULBjaInXS#;e6bA`15(L)SKf<27VaWK$1-HuLRy2FAxBphMODe7}Asy%U2~uCzu$LJfMAN!CTCdnJNt+C{Fe3p`#rh~`E-|a@?WFm zeNNE*<-F3?@Tv&rWLx7RGk*}cDylUl5Z(}fkJs0$#$@hdxrIN(CdJ+Gl2r?gdQ-!! zS_i_r>o24(1R!ZjYds~>=I-f_pD)^F0PEMHBAnw|QEe4|o5LSAzvu07VrV-PdxjPD z2|%U*&>xp@I)Nw8@!mAmBu+IbTG+!TcDSYtFm&l$3c&YF;wFLJGsMND-pwSx`R%BT zS=@Sg_|kp<%FfG%=qs{$ck1JEJ2RuB+7V0}AQ9Y(qNAXwCRbQ)s18j^C_`Fj8v@Z; zF<4_d2VIR3vdXo9(yQ+if)9;5hmJdhj(g`fe`9CxGT7aMi*ZXwfh)4(IkLpV!s|V^ zp#Qwf$iH?6bh@Es>~W=WN{9wiE+aeLAP7X zAubw!q&#wjbP{w59*T7MH0P zXkTUqhtcli9zqo7$rz zjmbh29HhkD4%3_~j#~48aQ8ASG43}-cFCN?S$P6b?-R1(3dHg1l#XTMgo&<07ovU5 zl4OzYZx59=JJkz4(8+Q8%9@|;JiZm37YZBgCp_SNJ^o|iT*hM!eycziH?3O$ ztYnuTvAMqggJ_Iat%q%!B-8zBcO@F+5Y22mljDASVZ1-eE?CJ1brnhc2NdxW{Q`z{ zK0`krg&WqOa<{`tcR^U|uDHxZ- zkTXDMzK{+-rV*djN?c6eKNymue?S;{!T`c*L2HzdZM8AS~@b2V!x9vQ?yN9~ zj-z|29$P!5P#OE6ze8gOKaC=JL=%OO>otsDQoBecG2oG0cxF4%$i{kKtDM1ODxz^m zO_>%9j~G?btCcpyq&O*sE6tlpx!A*@K1YFZVDSlNWtV8JMM z*(eYIDwSy_MyZK~^sr-9C;NRHa*^oi%(d^Aunobuacp*4a&hsiX6Lo?K7s*~&IzSW z52IBY7$Y|*k}=0tMw-iTP7H;x*S*skohOm5u&3)|z-S3r1WXDNOedm!dKhZY5xDb^ z7sUDkpoZO&?0lffaCWz%y1nH|_&RqoBp=EoOwa#!Q|a zU2`u}FeIZ~Ll$ikK10+&SrwwfYrO%ae7PL?d_sx0ZX<4xjc%^w5L z3u5%fVQZZMvHfV0#%MMu^``=XU~>eP^L8IOGTd__LuiCwP-^Cr0Vy%N$?%khPl{@- zC7%&CnBzIz77!>VEH24N!nc#@+aYOSD9=*3pXtx5&J+-<3J^-{vp$MneFCeSDtfsG zH=!?2-o7>p-zR8vqggGkcA3l;JVMszRcgd207_L1u&L85Ij)Ez`!J5FcU^g00|9FY zJB4mb`g2Z11W8K-E)&prIHd8>cJ$1SW_|>jB6_Peh7)%+S3N?*mkXW@5}p$)4-$I` z7(R6&xGI{&Wn>r)g_l@G70_fP*Pe zYyv=r>_V`Hlc<%YCHY94`p(ANQCPm9W77qUNl$NEW(%RnbBtV>&p6VRvEd;;ng53( zzU4{A_laL5`6PsY$hg*}fHc|q65pch_~Ii?w(o*h&PZgMJ%IX53xRDa6r9RpesdF? z=wbYcP{om^QDQ;|8oai&R2+LAtm}L*5dZ@VzCbU~YWyI|HeH8d(aHM4Xbba%DNYFb+rz+{x)C zet5_Ew+kZq!EZ5Rv=TFNM)4yoI!AI}Mev(OFdZ)nCvlM)eR|jD1gyCNCT{mg!kX;M z>N^TJw4|7GhmWEhPL6+yAQW|}gWO{S)Bu{ONHpN%3nI)x_v>cRg}NkqM%BY+lqcFM zO~Pkc7f4`BxSX6&QTYYqF_0c|$+Ib&_z7<691l>Qlvd(aTOjE2_UZIFFvRVE5Mcre z*Kk~=*TH;5@)Sw-oG2Ey7WPNSrDj*+db9Wp&nfam^wO*NwT>bkW;U{D^Ir8i`;*6$ z)R`^6KpQJG^`V|8jt8wBcPRZNUWs!tIzxQtgNpnFa6zt{Ty?(5X26NKm4FJq;TWP} z2u+MjJ%tI@66;?ThpOhZK+xMR0-sCr@dje_YO#PN^1?j3$`}k$=v}!q$9kqEjVfW> z%V)IZGl(tTxM71YoXr&K5elc1QiX)xXm!w;jWr=ggN!#f7jftlXDXlZ6TE`R?u%y2 zrqg1G&Z&Co@o7k@$5_#z4YpmQGz(PRdF^|M!gSf2yV$x6C}hak6ro1S$hCF(ah3a# z5dGaiZ_zMaT(XMy%cg>xVtfEpbgbwYGZVK$Y3m#vGcT zDX-VKBKqq~U{X7)s*(q2&N3^@-wfwfRxvyQ_)57@laIndH2)BL-7tvug7J|Z9|=;= z(IT>lw`0;;RSJPy+X3gVVB{uam#w;(v=?Ub6mvr&BwLYWD0v1ZqSw|~-H`(`6*!5I zWmF(A!Q<|&WO7vXu8=>Zzr?Q2MR7h-Ud=48FTg*W`Dwi_ zkzY&^&F&aW|6|*X&cck+ew#4|J-if7td>w(9t3Uj4O_HJUU6OVSy}-fcvBHm&Dqh{ z^bfPUR4*JR5mE$Is>TUR#~yZf;ot*~zNm7OX7NwJUBvN`A9HQ831NCqic?(bee2L4 z#jDBI(+W})VT)0x=q@QrxhL!rnrG`coV-Q|7Fed4X9 z4#lce#QQ4-3*pHr>lB_+q$PI9lxcRoaN-e#_JV>3*ZsYbj`iHtSL|SqCyi14fdCCA z)4|_u-!(xxcvTD?)QtoR{EI5{c+Z3cb(qAEejsf7Km*V^0NB3<93w&%d+yKQ7>RX3 z)!2<`yj%<)F!@Hrkwh5X8*%XVP*BD(RE4~Br?lhBT#5!r;re*pQ$v`+M?J2~xH?~mFdFMW{xp)pL!RY4by&uEQh1{5H1d09Ew-VYpJ*L5_gD&5Q;XzNf? z08bE9AW62V!R7|cL>47tTj~-NnN9TMVk2EwA`+K98tvCZ{_-4$ zd9H!${N5=DDm0kR{*G1QqBBJe#x6KWH;MaMhBIPljBy<$tfJ?!I4j*39FEPx)XUQ?iBpY&jZ?f^Jdw>w5^ntFcZ^sqNFj{(CU#a@lB;DeFY_9aA+j9{nHfk#=BRLv zB1^Q+1I8}nIFID8WZzMl)TlrfoXKOeU4fx44vnTIZ!27{AuUZ)#1`Pu1yI~kGjYJW zUswV&`W(h_VSF3o!re7&a~!D@k-EfjX1MX8(Dt168K5X8_0LdIne#|_H4k<-t|4j&?>|4~Bq4Q?#i24u(mlatck!!K%nln!t zlYBq|`vdE+gbfV8igA(PkSQJmLXW;6?OQ+8?wZ3S`%lR)*#AO6xk67FB*^BX%oa{U z4Ywq%nM{eBqKJlB@+b8l6`pUe$6L@%ASJfGDCxt*_v}>-!Q4{;F((<$6h!aCXfq71 z8TthXqX6qEKq*)i>f%NL)gH#9OzvUPPg-e#q)|)It0qWTI6);y-6uHeqgc05;1bA} zyOFbqm3bOEpKU*=#XrX+y${|hTZ~C?Rbbo|Fv;#wnW}tvF*{>#HD-pzFq=x)PWrw0 z1k>{MHKcel2+qjDt0i&Xt%Fy!qQho$JMoeuv&q{BBw|jV%t4zcl|KL3PxA)(B=L>u zK>Ui%=%_rVCGNcDJH-&4#|SA<#2g(Jz15KH@4s}>9TVJM9yU0n=Xc&6=v$G*XWjJz z{B;Fz9eJn`UjtXF`GOPLRmEvFtZ^afE14wXl3Za{fs>wG`Eh(5Omc1=(ans>!^`E% z5y$~^IwGVu1E1T&n4w6{muJe3Xo;H(=7MykD3ak@&6nOu{I3+TINIiXWrp~VRZlfi zH*ZvkY4?VA0oNY^V6ox_G_H_GYw(GCL3X}YDt~!rBtb>t*9DTB1Fcw>`WMk2JQT)tg5Z;?Nz6Q(`LnoORTRAijQw2(0ylbRiEhaIp+6&!rjak3nW(gz5 zX_n<1))~sU>5oS*k)~=iAwxL{?+s#7;-4iy*ihq=x|YjXDb@YhjKtpP0oG<>H?;!W zehD|8M{OKxFjjoAAt@FiV3NdBvLJm-O7D@lrm%8~Wc!?SakZc~CX;A>_S8nwCY(C% z0lt4Z8y{qh(3^!AEs%#TlbMq$OP>Reo-f8>^s|UGE_^$%{Ub>4gUQl1&rZ%vgD@(S z6P-yV6njq8(nN(wbYYaa`@r~bio)%}FyH(*VKP&C2qsxVBH2mzUi|SvG^o!62}EuV z5lO)0ApyklWLU%QG9c=z>_X1{Wyvrnw{;5iE5Nu1&=Y-buwzZ&L+6 z`>nYtz%v6NP3FFgy=ENPlCfBSh7)UZ~DT0$0jKgK6(*P{y0?`S&oGkO79B?))H z;0twAJ{xrWQ~X%mOZ_wWpnRfV*HX0*3^#&9kt9cn>h)w;2qsbcS>>MkmXU;h0Um9} zTaE1%M9*-yv$(7$GnMUE`s8QAPbk<~;^>WI2;70pc5D8*>NBo+4I4katj%K zgJ0DrH>(!5)Ct8|ed74r2a?e4bDV5h{KHi}cqAjchhfjc%55+u%Gx`_gPtJ^dhX|g zS9|CJPhK-!X;}_1U%9+r8Tx|(rchLN4zx*Aa%IGEHCPSczvhIZQlN;>o!+f| zDBRxe0oR%GGgrp7;A_jN9X2k>oT=~9)aV-z7Oz{g#4o`GuhNGClfC%0aLmMY;iVPiXi9X(>M+Rft<_;uy>xalXcA2}qW*;J{bE7A zG9RUeqXQH#Nev^Z6+cs$vS(mGej$?p)CF0c`50^OEA<)H(8@d@3JvgKJFYk`WDAej z97v2KUusrB%DuN?&q(El0f_xLW>LB(vn`%gP$0=HLCM!rb5n6CiBH{2;mOBFMbByD ztJ}^NOGAY9Mp?4y8-~GIk~vn?O1coBJNy<%m(f>-1qLDzn$B2F11Ry6$bSy=2-TDgm1G^$c&gcWKq;O z*SxMdlEV}_S2w@YCqs)zL@flQM;-!#Bu-odn>c#UV0$VG6kPkUUU{{PvjKZ6?tq9P zsn|xWX0j0yUQ|?*OUm<)lC0e5+)|X|3JpCYk?l(=6PAs1{@GVof&Dx{tmH6qjEF9J zj?E>PW4=g-mdZ<8&lE_r^GM>R8nJX1%%cV;7}B9YIb^!AfLp*ZZ%}6wE2|YeR)2wj z;h$69ctY-=$420$4Fdq9>+#($^sZ^7LvZKQ-}nT`e`o;GPvk59K+?83MSa*w?8S;| z%uBa-RqdEb5qvJS!))ao2bdFdPb3mMz22k34c?>3gvd8MY5e_z(lCLo(Zt1*J>z@h zSv~~<Fisv7i4d?bBMyx!uIXP;MDFTKe4_rF zL%1DPenJ+YK8tf3CfqIDQ(tdP+&l_RdyjlU-1qTcmT+}Ga3o(9SE?0&nqK@njKlcV zY$vVeqIE?a`OADv^u{@(TOfJ2kU93%KNOzEgyCES zs)**|E#HX4Ru)#1#c)%``Wmbyi5Tw_n!uFhBa@~Z+tZmo4i2HYZ`2vQ{7Pr7q@L%Vfx2#Dgj1>&%zc5B?VaL0CQ$nWR_ zw*|n*e4-|duWU4q8cad4e4z#8I+t)xu9ZTx86SG*!-#&|3{MVpW)SJaWb1H`PU{@9 z;*Cuv;~LmZdP)%)rC^Gv{O!IBz#YxMjBOdgBcsn=P(*Qf*RXS9ViSjxm5WOuVBRzK z(>O2M_5MJ+3Db40dg#TZXi($joIb7}f%;6)8lSb*CIgx#HG?~$MkVfncw$x%BAU&_ z6@=wpL$iOqJ*oA8fYBPyf;&Z>lHJ!Chd%XKnVKt^VQt&t5HR+sB%iThHeu&5p&N|W zst=N1Arv0G2P{ucBk0%=w$kB0Q(1oapR$N>!|{~3oz4vWw_$cRBrtcz__Ep)orSiUoFCs#+wEoJWznh6&iL3b2i) zxJcosC*fW~92L@91frKE&iEcPd~#hWesBquniN-g$pz31#MTSt+6acE~kqBznN{FYdVbeJp}m0LZ=SabWA3|2K!sde%42U+n68b1&=D|&5V z5)Wq-K9VDFo0NPuxC@MbmH|#rc?*XtwRn9wZXf~Oh)JotX=|DD9)^=>XDXuZ6J z$saUu>h25g{KgTj-&;*r-0?5$(Zj5q_Tj7i5>eJCU65X3)ijDo`!fBadrDy@(o-)EotQvTzmsB8& zS_VL`$!7C~8_5+lvdRJj74W4Q^d(L8AWW=>D(`hng;`r3qr5HvF8W;+Sm$c*B`y^uHQ$}dx_M6125r9Sk z7J%@4UQ3KMjSk}w8+Tm9g47aU<5>1YVeMnu-EBY>3}cSf6mc3;BZ!bA~lt zAiY)PDK%0T-vXPKm;!F}_I4;VL^gGm+e;vr@yHc=4r88q!xe6go-(}d6tF}>g|QG| z5Ep)$KXe_8z(@ULEESE@(oJV;JxGjtv)NkYDAIFO`WPk4v_EZLaSbj>9+l~nf0Jp~ z+jilQ(Cks+me+gzDBueo5V?&nG_?BVj#Uc$0s!u`-@Tb8svmv7$e^tSi*u2v;($#e ztja*51K%5`o+-lGlF}FIx#5&$=~g=z%#BOM`ec8+GKEzk^N7=KL}zVg_Y3gkA<~`H zi=<(Ni#2*_kR}Ja=GIbZ>MCwW9SZo^=;IebJt)y5g9_IWxzCg^{W`XWDVaAIQaE zhsq(Z9fvmtY9Epip7NA!bJEO1X6F^xEf}>-T1BiQ`N%XvTySfb$RmplPi zvd$49)CQs;uNemMED7jl8O+6Xw1T@x_}WNfpWGJpsml8yahPL$5fy+5?S1wXN#tU5 z_Y;fecAZj0#HHpmVKI9vPzfIB<5?hDa|=WcNa+Lh(rYvq2ztv!+1#QDo_$=6_*L^v z#ClVrxy)q+n{ddcGjZD`#cAwoEVP|7{!s&`(9y?hVZxw2!gR1d-DJjNTj-&5ugcoM z)2E_7zoXdto#z*%j;8E{tu(|%a%E#BZ}l*oVLC0lKy;9RAi$Z>ddygeODBp4zRcH=S-eNW6BC2pQV?4Iw@*bJK$!C5c8r za>ip+`s;4F#>_U4N&QI+G$kWS%%-|oqCSReIM*Po_HSGmDYEIX@7u&17@*&&RAe zx?HTwx&$*mKG4S;5@hO&*Vu(Ipx{7IZbXieOY;q`8wz$hCvKZdot3gsfgcqD(Ao)R z3fxDTosJl#Di*Sa3b^;k4i1my4sOyEex{cKx>F8aB<=ftup@`at-zMHH2k|vbz#R_To-tbJq_H~y%bFr5lz5OFObgwIt6HS1>q%Fhg5A;gh?uCH!Qt4VWJv52 zFDd6A@s}A4Kb0 z1#`tJQitpEK+r|2*&3_6fP{}C2R$YpNz87?R?jt>+5w-(GTiR@z5aL&Ear#S`=V+e zqoJ*iSC7O%`Up_ufbiIBYE1+*_!%!^QZcFP16y2htriwslePohcVk4^@oh0o2yQ%%L;pIoSm6JPt4xr7M%hneF$Tk97{ z`pUt!w8Lf#mq`PacTe*1&%jRj`PFCe-X=noiO?lI8A$5oX*=DgA4T0@B7R6F>{Waj z40mje-zK3f;G5yp^V*{|Mnuol@~|$gPCp3c$UJPYyL5k^M5I48NsBaP<2v&hD`p*B zhY4zntp^i!rqZbX}k#S58=#vQ6$-3Q~mh(o7RQ&-NF z-z7SoP|ULW!@hiOcG1NDGsQCiPnJ1IiN<-(^L>lO(V(}#Fq=i5RPd(Ty5wtl9&`=d z$17?VR`jb;6O#BJDDnU-Uy`iGh3B%}_CA89@CUt8y-;ps>}ns>n%B|F(_afyL6h0& z2INX0hucPgZP;Q#LnKRcuJerY@tY?Y1YVI!2HN68D)ff^G4Gtk5VWOTb5$Piei6at z5)z#lC!mN+%txH?T7Ry^(7|d$1`z70Zf~P!LSbewwbI1`R@@GU<2t{Nu&@?x3KL2S z|F;*wxKT2-EdqJJ-LSOhRe&%p+>>6FRwKW zX)uM3O7J^Bs`wDqL2=-jyKkS-Y`S=#l#-vjG1GOm!ovC{D0V=`SQ!>8pIV0#G z!#SSOT!$VR-tZ5OB2u23BPT#DOD1@V2)ivRK9V?cl*)%QZ0)QRDV8buaR*R;S%v2eZ)?p@QF9wh;3LWtM zHUm?IgGA;}5P*9>f&j*OKpK{}j!No#Kv<%Eq->*x^|3WXM^9 z7f}9zLo`+-Xc3X)AWt^`#aIAK0AIp-mfeS6AwTWN$CKGz%L>?F>#sQhRX5!`ydeZg zeE@Y{CPo;7IXtU?XN_x7%w__%;JFUUOf1!xN5_u>81M|u+e0=k_+xgG`49LG82-za zaz;^CG`&T@ZtT*4H&~Ib+a(P<)NGTDryPYz%;xTV!KX1>Y$?DPcv1(xF9Hk}0D&h% z&H993iW+I`!iw@LEdCD-Umeg?`#r8Gt%NA3l!$^zN%z245s^|+kemvFv~(>*N<=`q z8NQTC3_)tZMhK&1^ytwrY9lvd?0bDbzu#YQcJDpsJg1-Y1Q0E4faSKUbCh z{z<0DGEJrmhCHl$cv5zs24X$x{<~exC{GH$vY$-krl$f6U4y!F;4||$+6%jqSlCK` zNc>ij=;4%nM}MO4${ApyN@8{kvgaS)OmUlS>JQUWZQA2+>3;V6&)V4cE{A&;oX84^P11w4E<>5TJ`A-EBJGkj-}NC1BAsQgj|C z-a#nrAQ%Cg4UnG~WcN=N6`o-Da?!zGfesTiABuTki^i%gN&M_3uQUWoOlv_NuevaQ0e>aPMy_^x83b z#Sd7cW!gW8{RmoT=CC=1>fVO4uCA()r8tg+8l~mo5QZazyoj8C=#-VG@l@pf`i+QV z@M$d}8&$89*DMRY;W1Fav;&PRrB1rJDT80YY}-POY6B}iG!0KY7q&y4~Lgmvt#)-hFP%17mt`Qi7 zzw;f~USl2^xB6n9(hAvS7)f%Rtqd4F&{`Tjd6l!hZ^XOw!h>M>3c9*sb!NXd@W}Yw z+EWkV)Ekox(7OfoNJDqc_JbgGyiC+A;wEewoWNIxT^qBK%}U&xv#Pw|_-238=ucgs zM6?Ga%G$yxX|bS0>>o>OZIVobZ`34mlZTPbhCi^NjhPeANib2|IF=4 ztw47M|5F=SC*v|9Uso~OJ^rl+R{`1&_T{*B1=;=jmJ6n0tDwZK`_L!7_fe;6ha+{h z$_-$FHXD`@B(au>O-A`PwLRypBqCwT0i}x5B1&(5AxDWzAsoXcIUZ@Xan zlx(!Sw=K*Zfe}}lE2pIHO;jk|v*1r2ewi*ipO~^YQElirmhsJ1Q!>-MYn@R=As=4T zliGm+$#T4Lwz_}ULB%RW+T@9lgx5SJ|7vZbXtm#u`=@5JL_pRNbsC-e`ac%v_H$-~ zrGlfW?hA88Hhy<_VJrU%e7V;dJ0NxJA^wztx$(>BLYg`3Mb3-UyxoZ!b-m3_4Q$5C z!x$YyWaNlD(_3o`OGfX$!9piD7JmWP*FFEZY%aCL#<;e>dM2X z?|JZ-VDCQ2j9H6i2_Dn4#8X(=;|e~opS?7FbNaMnQgZR+3d$?`enszr1j26A*ktzJ zs~?M$@pf6D%ldR-JX)bF%I<7i0Ea5JxpPh4SpF1K!mc!{+*LOH0O@z+6Gz=bC@W8y z){^xpa55sp0Oy}s#wzcf{1`>9?5 zGx|)R?jk;0-swXqyVcWq`tvK&Rc7`^)1Nu7Y+ZILB?_Ox_M~SdA@oIdB2Ax*<{#2w zEPqy6`#=2vNj8CFv!z9wbn2epY9fAAl)Q75p`rBISktDDsHjZd&|#AG)zcwrS|KUp zm1a!$R*+Y|T9XA*_<9&3N~L_E4IFf4t2Y`RAS~l zeU2g8@$4_{bT*0mHD}AtFWpq=ae9@jsOsThmGMC`B#?McA=hW#xXkifvdpcSH`mHt zALtwVV z=gYp;ClU*^y$0rZhG#l8RQ;>^hGQA&48a~-J(AFi|6E9D&tU60-m|GQmAsRYt7ehL z+w^DeGAZP8`d%Fmq2LKy0?Jm9@cZ|JS!0=MzEEwRcqX=fdC%>ezP&#m^cW69Ucwaa z@GM`*K>YsjA^%s{+gCy?jeKD*#<#C?|I5fAZ_pKG=f?uQ5cY9c`Eax%l&t%W?P|q$ z1N)$}V0+^vxyg8a@hWlN75a}^_7J6OJy#Z!Kl7hUu}TnR`mR%ddI6e-bV&QDG4(=p z$k+iTA~H#Ml6~=?u2}0EMjsaXMCkY7?|1Xl!Y|zk{3nF0r*NBX>sto$y?ru+f6YusT)2#Ax{}s;Ig*^8 z^LAtD+6@=VeDFxs)p_L06#jhce?M}A2V>mGr|(ny3KTz0pH>mW)rx4c1{r_ran=@o zl%Fkg!~NE4dD~^VJJ){valV@Hswdrkv*PpEVSAa6om)Um!leZ|r0Y$x;m+XmuA8Na zZZI+6SXTIosMBD#;K8Uo#|fGVj=0yN#C{x!-S7!@x^T}(Ln%=oc{qAJOE_G#!Z zR)fpQ+#wbZEGQ*KO>2hsE6!jG&ZJwr5Owan+6P1nqAR9&iMA8B60AAnY0yrPxG? z*GX0Ojb5y1)@zF_UueB_N(7BZAp}1;I~iwgb%Q~gr<`;0n5o$|joTjeLP%et9R5_} zbY1&pE`Oz{6o-nkcSVv1Pj3Ow~QJPWhRfFZ$A@;$`y6rL~m| z$8b3ot#s~xbq0iN|GCUx+4cYe5=~+`Wpee&ckyrc$?)pu1ImLl!WkzV!ga0FSVYgT zbC_zV<3Pf>p)8pMo|8YGsN4Fx`jRHYqvwr^>99ZBpvZ#=kZ=szIj zT0$VZaXfZ{GiqE?{Aq9JtkII6rg^Bezaw;sb>1bzl1=iZ$(PIUj~?Tn@BFmSi(u#f`!JCN_nsHk3{=|2IlIyXt3jEXmag$DuTUK(3C*tc?~8)2 zq-l30X-cYpSCHS{mJThX=le&}wL`Tb0$z^`GtzBf3DrT@?%XB^`P};D9`Bj_;KEHq zo$f`S>M$z2VopRfG%-3K!V`DrmX$v7_CsgVt(uK++yGawZr^2eD;-y41QNl z`KqA~5`?^v`}whvi_4=z?b@R^VMLBgH%=T4B2vo!+!;`UB`5|pSNrU}{H6uUdq4YF zCd%NA!i`q4$9dS1js{%h*+z?MLbI$@|GAO^t7EjJpLH5HQzEbW`OHf}rDTRx@YEFS9(2H!2d?3~=Z zKg-7?MSsU0922jq9fiZrXv@V50ewr&&UP<};w9ZL*_~goSoszo1$}9{y+Wl&gakey zsRK2z4L?cQ_-^|^^-0xwvUIp3`*|u$Nml%mrv^-=2Awun_*{4{`FkDLhSP7jVn)9@|9ltIisc?o?cH$fRybhn22BH^4vw6?Zm&N;A%GaEJ96Ttbe-?9gy7I>Fb+?+y zgTr#uhiB+WH5>yeTF}Qf_VyPn!w7RMIMqr0wjq-#%9APoh7QU_Z0uJ{me>=LV9Cey z<(edejsh!X-LYbt65MIKg;$KynfB~ll>SXCv8nwxH^!_v&YJ5zv?ct@!NdGruG{dB zMd@*ltG#P-pvnB{^!FdoL#`t})j#69>K5;IWEA z&}Js{t`u52SAaIo6h_J$su}h0iB9svg<|DsXAI*442N1;{oOzPNO`!q9=}<RZxkGgp$Dg|AJ+$_(xFnVC2I0Q*{>ErunUQ$KP>#RUH^1p zT62BXzh&H<7pL4Dml%4g;V*RRYwyg9o1$k`r*4&NU;cI%k*;v>qTiTdN3g$Iephz< zsKrA*r8ydNRoVU0=z!0=HIn;}G8sgsgyH*LwIcY0HbcLuHo>P&o9*U=OVRe7L{|osh_r;JYbKcU4z?b<5+6fr_)bFhXkHeed#OX4=uOlz3TDZ=;%_fF$h0 zLc8*N{j-0Rl-#H)V+l!Fqu055yW}MiJnbnCm7n|K-3^Tl+FKQ_wk`xVm zZ;l)DN@(j#Y+bk|xR`azNjE#q>3L(ker#Ot+aJl?8eRrQU7RR~J(hLwDdSGY+jhzq zL}E_elh3$vO2ex~H?kmR_e)O?g55!rX-CR#AH`C7lYe71EGiAz`}Umc_s~z4pLrUS zKjF*p)n<14s_3EJm7uHj*G;zLe!16Z@@Z6lc67X8s{p;CR(_t<=S41_NnNv#J0$kp zGb9)z1Hp*&^@qfo{4%?pb*Zi~C4mipY0~zQ{$jWef_*n#2f?-*rK2|M9jtmYnKcB& zwE_*1ODh^7XucKZ5ZJ{P@sJ$u zm93B*p%tePj&r+1Iwq{U3p%MRFl(W2Fk|nxRM_)eJhQrgt`)O7G*{uQx?OJenGI}C zm|Gzkx}|;Jd z;3IB!-J3l!nLWyzJ=&PnfX8M}>`8owTv<^#O;>|pq#xVbF6}?f#TS4jkr5b`y*l zjD<=jXH(wYu+iu=(f%Aq zf#%FL>1VQU_F0_SxUk|H(krxL9WtH#$$W{GuzWtL=H#8#wZ3qMM)^_j4G38A@w9QP z+m=o$8>F5YWuNO3K}!rLt%Z@+m{7L4Ys{#-xu#4f-x;#iAD;*))u=IKOZH)y4pVgk z?}^qB85*zmIh~ohJ~}4fR|;rhMLA?!NY1^XqSfu_J%#00(c4jsO|AxWkY_G3e=hlF z$h6?f^AHY>-7Xy@%kH+0Fy}5cHx3&xDWk9NrHX~iau8(Mc1v_{=X}zyVSIAUnNcnu z?P_%VIep@EDCc~TI)Pw;n(2^D{uNk=5Z5i)R8Nf?edrLOt8XznaV)#vbUZj~y&af}q<;JHRT!c8m^S#n0VR4Th)RBmmi^KgMpy*Om}NjK{t(a5?(;zA%)&9^Eb zGXY!KvF0(5T0J3E`nD#{$|=<(ARbTH_N)KZvKd+WtDCtEcpg~42M@Xn`7=xbUchAn zFXYSq*#TQ}lj?z2up|Gy;$79UIRSv;g#SPrt5*~-07fqV2krp)_yN#y>;K^!&_Bi+hg%0iF^Iq!~e+w04%=yACoE5p7N}HXv*#qHn9FVQSIx6=ln; zy&HS?zfUCrOnHAXJ^CLA&}jw0NYnqoV&G|*+4!PD*}@+1?J)3d2mt0){0AxlAQ}L9 zYW@dWjZRgF-9Rs`QeXOKj)SsXzL#pX;gcp)@7qore|dGD{z+DleMQvY)$HX(u=k$O z%D~s|7{=^5mq+ed*O8bgSwWQ%CvH-ilE{{lIgtOH@k`X@t_ zck{GTtPSX$(R7{5k#iS0ZXK3B`aIh*Ywi-bXhF3=qUp2ga^2I1khXah^!Il> zUshSOWoVDc$!u?AK-zHc-)~;@^W_}+xUOvOaj#_C<5{m*-QU3}>)FD2z?QhoU*9AX zF9&DLTMtnI$ZeL~iCo!p!L-hxKwIu0-V#y@*Ckw~d8_qet)eYbt?t?{^#@4r)g zYKN-kKaA51+#5|lU@9_A(`cl%o3Q(a+fQOHrWptI(}Mew+6WCU~@j(KJgAzM{{ zuZc(xs=bIU(lLE__{opd@}?pK#p{RU*yb%#Es*^~=sjJnYPCJ9$#Si#O=#REuh2u) z**W8VWwnb`r}kfY%l{|uQp}-tvK_>hCTM9YgI>A~y-vz`MTpNW8aciQHmyM5gU3`j zPvcmXgxG-5sGpU4E1)fnO68=&<9^7^w@GVuNz#=-5WaKkN=o#DLv<4?hP0#Ygp>hc&pPQ2AK3gdH`qV)|cl1 z_l@rX?zalIHYpnOTF64{!;IoHGr-X6z2gZ_SE z(=;zl3v}Uv@`LTe%-w=5pwO@aPkI`?0uj zId0ixx_{^VZcr^WvkW~madDTHR$}7Wk+_lvZV*)X7;-DtIN5V%R_RjN77>qaPa+p! zIZe%Kg}!Q)Za6g4+s83h83xDe!cCH`u_EnUCy7%_&hUkFPe`1$#)41$Uzu+-Eo4_* z^1U5gh|KZAcAjK0|23DIQLJ}Y7#phZ z`Q92Ova z?r5~vW#sso0ASw}u7u}XSD1yWy^U-h5JW_#)wpF5%PK-Aj)wts)j)!iZiC}VZ-DsF z=WciJD*um^pXG5I4UhgaJ(>4L?e&@<&i9cU)~4psqJMT?S%_a8qRZ=1DKvX24g9a~?l z05RGeEkN@xZP`*a=AkKKiADhH_2B_kksA&7|I)t6$y)*Kq$q-19ZwMMb1r3@f^#-} zTQbS>B1P1U1ZECkRwg<3rY!U;@BPC8Ild(?2y&>l4R1YFsoP+0Q7$G9Sa>|+e|EZ+ z#A)({)W%Q=a1pG-hnJnCE|?u6P5=iC%=z%w0e2hhx)EWpJRoH_`>L%xB`mtfuI&u1 zM3)q?z}FNbyrWmVK#Z zcwWO1z z5KH1D9qRMReAz}0IkpDqES|#nV9WOhx#5)Et0|)>x-TK<_5j9eeA-E{C!F@9KF(^$ zJ0tQJd`o~^r5f+w2|pCAC#Go#dA_p?HVQX6jDfeMF2y0;YM@kP%B`(}Wq#IT-h?B0 z7xgy$*B0-(_lY~{m|6N)%#2%lEIPQkRb4OycY}(cw83nmEe1UFnUF) z$=!*}a3{JvvK=s(&U8MiNNGz2ik9z_#j3t>?jthGdA`l9N9mWA{gtK$@JS6jsSPSa z`@=Q$@NyT=Pv$^8&(y&mzNa(Q^Ew&;7Ja^bp|Nzx-4ZSuYBQXj!}>E|aEHOP)9_ok ztP5%ptnLBj+b`bSHPkmFpvZ1lSLXR+p8F#-4%=I5JaS2}^+a$G)6L?zota;K*rUTm zyf`D&sM8>{vtGVkFWl~#Xa0Qm$1i+4;H0sIYxkm>j(L45*)jI)!-kg6dH`MSg{Ej($JA~Uk^Im7n)rteS^TPn?`;Pc2iryH&80I~TwgWcD5n2TBi42IKJ*m=+s!NA>WI+l?KD zGhcy2Wd#0Mkbv>KKAdRHMO9D$PiFk^a@+%;{ZeML!FO%^0y@l2`J6g5K za6OWTSN*#Fpo{`8Nz^VEQ?p`Wh|4n}j@6Zb@0W8W~j4a(5wXfKYnrwoOGCBX4i7%?zW6Cf8`<9dYN80m(i zq48z^V63M**(W=zCJMPAJ)H*CU>SBTmAmhBfhG37q5#BuArN@`V_w{{@oDk80&rfg z#~VFinw`|-3Ll~q1-=KO*QkMiKK{0`Mm6ySA^5586_kAP`XP*>g6=~I~QS5XP5 zJ|%0hh#!vyEx8-^rBcH+f_NY0^6u1yeJDFh|4Zj;=9NQ0$$wfwWh8gMT__tg z?jOSC^$8YDYr@9*N+$QASr|HJ)L$}y+LBQ$00rw1LiO-;YXs>%m6&

zyfS^ez|P1!87B+VVVQ`yo0?;!QcvXPQenUa&eRE_MiDY)#3Z=jZS6d$hpqs$JWhEO zvCkS*c_??~GAbkPmzro~&Rw;Mw1cWUd;vaoKt^Gqt$GP84>z91zp$8eTqQ~&)8 z*QDS-g&mS6Q8MBuKf*O;CU6A7i8=aqi7x2)(P3z-z9Laso zZHt7qMaFeA64Nj8UhjVK8}G_++H|$L+B-{_jWsX(1aTrwFYA)(`Q^Fobb^d!(vH*M1z0_?0%x|oH%-i3p{13b zaHHXUH5Vrk4stf3$1pWvY}E=+^##{LBbUMDUW{Skp-V9l`QZ436+hrA+PlyEgRM%*s zFnf-8{y;}NpbjrmRb$0_TUI3%dV}XZ2A8`i-e7bgJ?kSucy=HSl%ab#ESvR=Y-O_C zPN;V!HD9N#@_FHwYD6uMCg zfz8hv@2r7>e@ab622AXg1Tz`8CRYYK`K*uPC-&b!pM>D1{7dch3`{<#iM15j_!|FH z%T9H)yOVmKioV&a5E95f;rUV#qj9@MMcZ>k?$4*ue1o0(?&}cKnZdw*e7SyVd!6WY z2>W+*Q_XE<;()4nsf>lSHOz6#s$i{X#+3j3@_1x6?(vmBtRvHlU*@fkUbK1dZi6__ zqcaZ_W#joI@lTp-W@{ZD!p!ZCd`n8LId7AbE8_?(^>lh42)(<}x(nvn(zsHlGz#O7 z-Ypi^ET5^#@oPb})23eJgK4?j3ki$BwV_o=rJu!w#FL*TJI_jM{bt4^muMp-UyoV- zL_iw7M}EDm6&QDxtff?!393!@#wsPr$1M=iz%DOXQU{B~j&KhjAY8mRQNN=pDLf!lGAkmTT zMZlCy&lDXPnP$sQf86j7%$(lUw3|`P(f~`X8&B`EV^aydRA=JyOGS5^%dVS$`i&p; z7OAC`W0}B{3OxIA*3!?j!mB7gdyJKjN3rh;?t8+R(Ka+s64xDJzy}Y4P37Kc z;JRbm?i7!vhvxnxfff5tOp*zWvzfx5yL?@fkfH}68!C5mJs&O}>aru&Jgl{S#QgXp zd7Wmx-H<7Q8pVibLG4Iwj;z8s@A{;PpqIPh<1w1%%Yfl}?RWCMqTkfM;xs7RTDR4( zAUDDZ+%-~cq%K^X4|^%F5zzSotirJhDS0=55!ndv3L{-1GAn$jB7z&5%zHtkTdqjD zi0~m({Bgz!P83%4JyYifkz@$sm8SYGvm&++zMRB$Mot)Dq+x=ceCzeBR*D?@@F-6a zli^R29_E}(FKav>s(etIvqt0;hR%!`U)MJ|*u%rJk4g(D7kF&I)YDcf0bskvQ){l+ zTx8a|j-e0qmAmWq*ZE74{T|(V(v89(8*pE+rjmOD4@7yctJ9ARJx6%Ft9{xbu$;i` z+A9t0=Ql_?jd`yrCP_hxV}6 zI`zb~0#Z9~X+wIFP*%{ND&AUm@-m;2r1#}Fw^PO5x-$;fxT%o~;G5!+w$IkC<(7k+fKCW4qD+EVm$LzU{IDQOe^{y?pz zMh+(P-UM4Yu*aBIcO8=8*8l??HjW!~x0iBgh}YysM}KD)Kef5w`#l>1o+&QEKIZHV z^+>=M_$5Sjz$~&fDuR`a!%Q~*L8Y7nF_xHF2i6DW?#PVDfZS#?wSn}!s=2aSm$!~& z1Ok`uUT&)V)R)4u#;tWhcUrJ*2Q%3}-Ka^N1sTi!1F$p)Jz4s{r+r?2zEk>1r{X${=Bz!!F_ z7gYbqQU5mkk248u&eOs$i4rQehi;>fK3 z)^@m~Qe0a7GnN%>NY%3%tvl&umw<2tjALy>8FCL0xuryl%EzESVYJ&%{nW#76?UM& zIkoqa3qr9=l-XnNsyE;l~)PYWH-l zE80QR1t=rBm4vqYIoG`vE`Qpo4H41d>=x>|en(R!(PkG$odRiq+B;26-sPc*PVWej&_jm5I6HsHY z1_Zl|2Kr*?z~SE{c=BvM?L{$3g%QC~WKw)XUwXLi)29>HhxLD}s9wZv z8JD05bP0(iE20~RSZziB+mN$o#t8C1{<>2XL;T7jEW=|%;c;ijRT_nvkm&us>VnK5 zhB&kfStrZ{H-F4wh7B1gKw{kaW;JrW26wo`Una`LfGN6EncVEPz>hnB>nT~kozA(I zK<7I_LjN*fNhl-vhz385m=AnW&8jh;N^h`-6@5g{_ld9Tcno?T+BEz3`imR}wWyq$ z;CShiB{Ugv@_spSw*Eq^{>#nNc{>*Z<QSRv59LY#jw2eIL|dx?#_fZa_<>ulO1 z?SnK*pcUB-NG5>}uN;qs4?F3a?W@Z<+!*MLIv+->+=4bl8OhvBPMqyx9vx91{p^j) zK8_|B%yjG!EBWW}@l!{N4475DWE1E^Eg&(tz{_k_kALjt9ohzox+7dMmVThOiIZPw zRp6OlRL|be7i-G&utL5D6^h3y0R$S#fsfoMOgjsIPxIIPh^Y)2M9Q7vqEQmIV zpuK^?d+&_U7Y|(9wjk1PijRa8g>E6RXWd%NB+GK@4r|W6;OCTK8yDF8=BiD}+EPo3 zEYKRwfmdF`7lA0JsbQv26eBtjGN#z8(tA43`+_sy50iaCQ7pJrRnQpGYhqQeTB%F$ zOh;QDidbjXXSb4U(~amEAQeJwzKC!|EMQmOJ280>P!{L7L70cf>LlZu0qdF`EqBek^%|718^Oqe;w0qf$s{ww@8)I?Mmj2;~FYU0^{}1cl>}MD^mP z<)tVsD9qdDQ*1(8foOe=5hdgsNFP5lGdOE~Q0ujJ7BO4|Yc6WdP63MKvYW02M&~=x za>+-Fz?CEaLb7IQL=^5zlhfg&&eGqy2dd{YAuA{odT#$aYuRwpBh}vDeoQZ7)RewrJ7G^3GLD-aG;Z=1a_{L;sSOi6Of&BIdl9m5ER5HRM|; zcOY6b6O5TY-=}PZWcP=7cK2r6R6bo9U2rDDTb z;a6<}BxrLWK@Wgux(G)b$1!YKOZYvPF05#C`|*u;SIe@#flY;Lb<5R^DHHG9(aO=7 z$Q~yV;}8I_ehy3K2;XtI!_4H~TIcBt-fvGDq`|d5#LRywkDGNSG7X)6CHp3)G=IyX2Kb?? zGhmf{aDVsVl2^_9{s0B#mKttI1_>7B#(BpiuPQjqLjWRhJD(j;S9WHtLQ4$?B%=Zj znB$NMNnkdZ8#Gl^brBG*cIk1g{lT|_AmwM+0ISgWGMvS7=ey5(u9JdBoFATCk?uNd zey@}j-RZXAd9J##0ar>>N6H@1hK?Bdy_oIOfE_1}e_7 zY!UI+QcG4BTGtdqD0RE}J!b+y|>_!abdHpt#HC>5V$f*RSiY(wCe zWA8&3KDhSRirJ9PB4TR2{tf0tzF*o&D@}@`L zvLqyK8=HjkSX*QOXOuA$TG;-*nrPy}OYQ31A+r`o@|;UW8X@?ZH?*W=1K>ahri>l` zFEv{Tvlaj^);&hQ2fv~ho-CfMBONgNIXvCV{M?vT6<%|gehMyG6gKR^!f)~i=CL)8 zUfkLENT`~%WWc}`KE42%f22ZLF)hY)cub`R#;=l+xjZOsCH@+BMOgJiRL(codFm0PY z?*zu@D6K#qQ`cV8>WKlv1yrRmWrV6D?73+*psLfBw&IYk&J|nuGgO0mm0;QHTEtfH~ zOr-Hf1GU{+af{KmCip(p42vk^SyR^$4=8hh*xYEL+}3QJ8T36xR1bqW=o@{hu=gx0 z_=G4!#(vO4xicR*eVYK{%_m^hLhx){*DLdKaHac__o53$*h)?6ao_S%kssK{mZKCR z*y%tWqG6r+Zbv#D0~J5&aAoy-8OExfilY=q9LWqmva$pA!EcmkN6@7)RX$hd{+0i( zM9nPz66dvj+LPN)8q6t>M^gr2_k>1k`WAoP=#=Hg*vuw$-(CxB#Egl;w8IQW^&r`D zaqd&=6q|C~EPngI{I>^RZS5|iB*eRW`5ZR}Wnw&fbTR86=Y7eCFj;%i(Rc2e7aTXE z)A)AfloL$0z9WAXsLIyTHVKzi?3KEkw1Ku$ zSLglL;_J@08~%~cn!iBEv_M;iM0hWX^iJ{}@$S}99(?q$lYL648|khUsN5PJJos6x znUk;SGeD=k=N@<`IM%qzmfrsL!d1?5Ai>@$M|>~1)qTECNg5)PvU!qz33BE5&!ING zX4DH)rn#OHNu14&c+);Ju{%7_1_^W(a5by~=CsL`%Rb@I0X63XH&t_?#3*kD>~4gMf_UORP9}6)27v<&pScz{g|T$=um~7LE=s} zh4TD2GFF;ZpOGO7p{h@XY`A;kq^j=M`I-33ln6Bx1qq0KhBR_zb zP-t|?B-igwM$F!?4>o&?=gHAbLOX7-DPPY^$o3fAtVI>8RcUR|>}vP?+4lAr{09?H zGf5fvrcma22=s}X)If`4Mb&}rFDH-WRz4{M<`QCY0U{xC%2m8v_Cj~uUkxM{lus=k zqO}Qv6fnPkqtyKog&b45*!`o^kkeA#@Z9WVWHRPb=Wz

&R)k^9Y3;GWcEF}QkJw*7-`ahwKzF$c76Mzz6l$k3(}~p%;x99MFX9N{j$=y z+01jWKTY!uON&dJl8>{7-N6x#d19_rbK9gjFWHQeDG(3jQ>CS6prf(jiImKzVVv7) zv;4Ymo!?EP_bwc@D(BGBGFb)>Fy-pZc23s7+#+a1j3ACdgo3rnqe2nDAmVLP{@ey` z$akIoYiww1nD54L7rlSRG;8=#jjOi57QCoX(@RL?T?WB2<1y#eiZ4B880DO$G2*GJZmo7))ovuMkny@dp896^7NC=9`_BtffdC2Rde`%c9 zShru`lcd*&{%0H{>*(uZynKAcH^#*N68W)w<3L||G3{6ax2FSClWGTX`ywU1eBGwr z@>$WIg6rR<%sA@rAD^@;XNvw1=sJe$HG4>;=eQ+a?aFJXYh0uT5KeE|Fg$TlOu}mY z6(p!EirmNmt8cQa`w8)}C$U&2{P}^PG*<@y)tjgPqNjq~&%;0$vP7@*n`Kw+X@WuE z>T5F28es22$bu$FJeiP3f?K<_MV^xJ?HKN|!9mmDI zv%^pR&jZa2`3)jZ~_CQ|p&l=zyve#Z?r7S?|30L0z z*w;7@8SqW%xF-(?mLHmCssU0@hf5Ixq2CLH%Q1!Pty;Jpd)ouQBsGG(YjG%X!);A@ zj~$4_%66lKpS$O-o?m`l;^ks!9!5K7e%$LO3c_KBN_sA8k8y6D#JbL#Z<(UTkLq{n z{j!nr>EP~VIk)3+r^nsPy7V}hZ==~kIYfxij?AX~gyhia6Uch_Q%Z2RM~(@t$bNCD zjI;*x*vso$R^#=F^QMKasmSEdln84@`6b=e-25$NqM51Js99govMaMtlLG6kmL2mC zi2ykS+`vSayS>`pQi7K5G-t`RP?RRn+aG%0AZQ2l5*ES-SE~-JE~;#vZaP6W3i0s1 z9ZI}965(-|DzumtP}a@P>gk8I0w4VusH%Ei;hLEosWJW3R!Zw~Z(ZV@Zgs*U%@Usp*35zthN7%~EwZI?TAkXiF=T{l_WxJ49XL*ciW$y-* z&Mc~YJCAs-HaLtqFPy0W8dS;$K#9TOn)8S~=EAkl%8(PToAG7DYucsfg>{pOiDmE( zQaU$C3-7UEjmr6Is$Br|1ot-2*3&Ie>sFLcj<%fY6^_Eb^qr8G`D?_PN^Wyw-hcac zr)yjqkZdlJ%UQ@2P|FFbCNOJR?PFD!g{xXwmTRXnaJS*T5xr)uPkSF{CRV}Hw2y9> z`s_r5;}=7cE%Il$i*t@1GdTiQPIc5L9TZ)IbJy6$S3+RmN2CVCzZ`U`XR&0*zSiqHC>v~A*8x*q z%VZdNSG9ZT|A@Nwc&7jFUrCfC-H=!*$z90ZbXOD!xh^6kmxZ}+BBET2T*_sY%W}WP z7&ez8*M*o%%+jp6ZOmon_Ivq!|M>mac<^ZRI_GuH^Y*T$Z;WW;E6wUgI~hsq@=|UU zbI$A(m-`4Vu#nnFAXlG0XNTkx#(28EZxHk&;5^l1d&%xg*uGqv<2&_KZ-ba&|A=w9XXf)|y;t`R_MzdZ_hc0P+AZmHlp9x!Mcpa`f!ekCRZZzw}fpRH^jNKIo_9q>719oS#k>0$9zge zN9}K{E^(?4>(g@moCuRxp>s?lE@9nbc%Refgkbl*AzUfNdoUD(*VVH0`hG6^Ds=13 z)PuCvLo+9_yX&BxC*-;{{0RrFda4am7ndwWp@jy0RSGe!p~8^#N&wiuRzs>m5*5a< zxZ9%8bb;F>m0$fB3FJ{a!yS4KhHWMlH(C(KO&_t&&_~3e5S<5rVEe1dR{cA}w!}dX zLSL%cY6kmRwV6l-+rcagZFi27sER7VdVt4ELpa$`r6gm(XCV9&G%~2|O~;Vrq>t~7 zQM1+p(*j4C$p@!Yhjp&{NDYV$o3|=Zk=3_->S!B!;2Rl>r%^I0g(St{o-+zn?nbA7 zr;g_>JSyH}r#a)2Yr@(PYJ7schn`EM1J->H)%QTPZ{rt&EkNZ%9xMl58SKm5>Kj`0 zF3dg2!@dorr6OGG+mqJhU3@;Cy4QPIw$%D%rAjg0*rV0K2N;mBXY^QV`}m;9 zcyjp7mgI`H&_5L#)g+yjVqSDjQD*4@zvt7WXhA5YDASO%tA^~_LMwbC0W&OrGC!1I zM}n7Wjw-;8T8+E4xPYdUVsBN=kFN6R&-F3v4yjGKdHz?A)SEN(>C5gUaH|B1o6I47 znSHrFU9Bmk@c3EH%T+#N=vx-U%IL7d<5ezo2_~yKboTW;Yd&;`jSKJc@9$%B51i2z zn@L^g>yk?ggGVI%NBnOG9C!0@`$kcABlLa2NfbKF-S5HpJTthXet*sEe$kknrcu;t z0bg_`vSZ6CtTd(jVnq5Zk*EHUbNL=@-l$9}%u3S!n|p{G-QK+bLoS=&+d6gh zDz(O2c~|;I49BjSJ0ufYm8}Vo>ZzlLvdNm{UG5v_$P0$JU&O!d&!~@;)ToXRiP<4j>!Fuy z<+Y8D%^$PVJKh$!0j(u_``szp$hy6`aU%8)+cAQHsFo)1-1C~^$_@!C;U$Z>n}(F; zdKsj0=;*V^&7r*R%n5-0_xoIDN0#074LXAC%MJN3hU{PkpD{`{7t_0Kv8qPPU5c0c zexuD;Lc~ya19r9I9gCiMxcO3^)qm>>{PCXdnvi9k=QjaTcT6vLc!C}?^j-ovU3g57 zlH1_zn+(2a5|n|9Hc_mVDp)kgdPzqpg){g^l81En8)j4qR^Ta0z|%Wl7c6af-GO zAQ=PR`&|?hZXo!z@{+sk{URBtfbHEXqG|a%lb*tzOd-pC59yps_BlV7e9u|D(aRM2 zS#O1#;sr}#9C{xA<5DBeD`j24nr$oRM&COUuDkjvSar(b{Urbv`gZp8MDQ zMqXefqPR;7VDCFDU4gwv*1}Cb^kQjBUQKEMMHwaJ1l*z5zdFCK0den_Z<6BvzmaVF67JlhV@!cm@(t7 zRptaz!0&{TojyCL1+$uW=OfgR#IQdR&7{|*_}RtKIWo-{hIQ61^wM8k2Cg1Ts_+Mv zI%RCODXs)`zN(sc;0w3NCI4)>yQu#xC$-@-1}Ye12x*=XIyC6JTJSYe0tN8WN?Zp|j2zGc$@zWZ$xKC&q&v&jJkI zOI~uvH;M#1>m~s)cJL-K@e;V^;1ySf4lKO!Fjm6B$&#Hs47XNH*`-nwhUCvm?rx3o zs92OFyJJE-yjC?}R^UGyhoI-7h~%~BwY=!Z z4Rd}y2w$%3;Cbt{2%Ftdk5mO+7rGKBlV-sgcj~OR&vE14A|_D`-a{Kw|Ar{Zkg&bj zBW|e&+XBu16s`td$hXCQN(xp1qqc4^{JyarUWUXYTr>8N<53eon<;7m8uLSH!K%wn z1DMs7k7{z+tj`L!Xz*))hExR4AVi7R1&(TLSu}Wp>(q_e5!p~#EA+hd;Y24*!;J9w z(rnQ91CN~d#BSI(%=!zvWxp!H)H`+|8+k4cB&J{0+XopXkK9U%wG`1=KT2bX-Dg!v zDOVWWLzy>-Qn<$&{&y`ok@o#QMM31~tWWN($%!hI`_nOZiJNmx6(JD}L8a)|iCK5+ zUpgTp;D)ouY^L;aD-OeDV9>lv$GyHm{NQrvDoR@NPxb+4pieOpgrZpY(jif1IB_bv z?f^Jr!Ai}Z(~dQo!VRou$rwBNfd-ytg&B{dmU@RNrnURmv#`ZIL6yAdDc@$#{3~Oa zHVNbh(*AWpK)#Xkhy(pw_RDhVS=|ptB>wncXzKsH`6NYZ0eg50@|rzoNO>w(pLT;o zc;R2jOTNZ*mHRCe*QXa7xO5Fnx!7$LTT-?O&d5o;+Y@R94JcJfb~38oy6Kc$(r~Gq z7kuJ>m1g}L)n(ZSkm|}k9zwlXJshrbJ9f!5XfQZXY4yfZ+!c}~JFm|;f5Z2A<@o-| z#I@qw;1;Z`sLKmuYU!jxLuH;w8>^o=c8H8--cF6L(-A4mU_4!7w4P|d!f?*LITz2h zBp+!=g0+1P^1Cxf^AGTUG?xgJPZifZKgT}2#$)Y#ILvB?6CcNCUhU(HEyKE=>H6Ea=e zvv{&@5zCPP->-fCfb6egI~`-~_46?@#^D}Wst$a^8#>dE-%G$?3l*P!mln{!FZ2uh z10%I4JL$8@a{BvyHb{Rggqu(=ut$N4O~A&5`rMhg1c*g$TLTxzQJ&fTa#8y1`90b7 z_4~o2UfZsQ1OgzsA^ql1a{bLs)ID&Ou0-fF%GbY1y5K$p%1Squ%2_1cyAUaJP0~jFk9+z^#%8gli+02Yj@~%~+IYZ$Nb((*Q6Dn9q#ir;6pY=G}bdV>T)fuRKs?Fq^Wk@Ph zM~i?Ax{e-+e1=oEp!+CY3uj~)JEkxBHu}h<-Dp~hVdjq;k|9ifE*0*s310NHF6^>a zC8_Fk+HOJUhffNxUD^@+u}tZDGkVb}Z&*lMB-{LSQo8B;gj*!zBck`S$X?Kpmb8Q) zI#Peb&vH~t?{93aNDz4X6+bhCl`i+wDPp|N;!4-fBDI^(-qwBpoPSs4p|t+9$koPd zHtY)R3`{Xp{Vx8ZpmX(ds_f6=zN1!i?QH;xLg*e7dWNZ1&&D-zy`IDLcPasVa zDXG?+b(S)u3IPpR%0e2ZmTzuMkT-BH+yfBXIS(7bu1UT%c_-rA8TQ6u_r>zP&+UXM z!D%)B*c3`s}RB3GqbVt^2rf7Zd`V0#5^K|3Yn# zodHRCcQ&tOi1zPI1k+Vq8iuXhAp|+T{^C9dF8XciIr{OT|Kz89(op>N=TDC?sX40f z%@VdGN=9tF*+t_nfpi|CuR2tEAR|Q}D}g6_6(Kk4p<-fph<{x;o;KN3#JytZZV|ef z#8Fmm#-0ld5Si^n+|q4|-{tcx0xogU>L~t_>&LzUzOH|~g|JoV)G@O zpPd&3BXh@o-eI})OiB@Rw*MiLUb&AI)NZ5Y92WwmI@4Z2d&UA#v|hAd8%^NfkRn@+ zP#^VuMmucFjQsRN)TZYvCS5bQ-+z~mcKPg4O5sOC5-_FV<{#DO^OFmqguW`S&W9fC z2jI$`N#P}1*gp)1OS|`75~5b<1K|VS`uMEWP|l2g2eq0PJ#MwCH6-;q3Xy5}eQakr znwPcY#>c(RMr8i*%3hpL{9b#OzK<-*blsAK8Sg`1JsH26+uLIiNvtv*kJ|l!`X><( zg1`R-9mQiBJk$qeQk`uzO+OJeo=^*<%OA^a*3Ms?OTVIL;-__&DaWRrSY) zN5P8p9;*J}Y5LSp|KPfgn&X(YCeGg*PUq1*o`g7}7)@9I;p4yqco$3Y3vB9^dQA?) z#(ivJ^p#V3qZ=~!FL%wmsTM!Tke8gm4fex**=ZL%c>J!V6iXlhBQd0m>RXoUJnO-J zlO{C*-cWH}&G5q7d#rQas;{92ciKo+dtdwd(` zM@8Q~fGioW)a;jnVOwbaj!s_lw+3GrqwuX~=t1)AV)zLH?DdtH#>A*1(O|wjw7Wgolxp_;{TwE*L zOP5&r0Q@aQ%urjx5Brx5fEenPIlAqN46ITx^pXS;Ctkp*KSRiwvEZ}=%3nRacgk}k zu|#CT2KFSF$O;>rR^qoDa5f zVW$Y}Q0oj%P?<(GR%fMw7v1_~ARIKCUY49FrC+!>=%att`w{_g&m`InaAlUhDIr+- z%LDmq7GC@x@+IOfL@7EHD2J`~L2FH(pMh;pqu)kI&eXX9~ov^*pH? zGN_xVwPRiHcc1lZ8L068c#G_hcwzV=P@C=kExFRa;0L3=%hAbd_pH$FbXI1BF~x{a zZN8Fkhe7G#K8td(U+;1x99S1FNpUzdNp;9_`NWGJc{6>y@EZapMw#Kdxm=*A)?alw zf?@gW0OhcI-*WnkV~Og-=9z;y7z!BOMV6qyS{(I}mo)Cq?}B-ua}7%A%% z(teX8G&gBMWVuAZd4<2P?QyScs@z?#a)ZqYGs#E*Vp&eg>MvgM-f9~^71)GJEVFnw z`nwe_uZdyj!FI1iZ0d(NKWV`f-8UJPY4P_{_ zDAI$P6Ab?lJB!Z->*tK*wce(KJ^KuhSwHvPoQLruHkDX&C-6nAytbi~iMcKZrw2!X z9BHvTLFnC$x%BALHv$&UPg9?!6v!4;Sh{7>&JqMJ&z)nvHZSk7KNDhPz;pacc_(sD z8XnY+%dDcN=dI^L?BA$#l}4$duUVM0&FVrId+Sa?KS8#IvdBMtbemU?Ud$55=l*NU zb$ftFIg3pCvcx&W3IVsvF=Q1l`N*$S`h+M@e;hh+F~vbP!FmPO^PEywaXVGX037U? zKNg%r;VvQU6OZ2~f0u0Ol?p~>)8F8musw{;2S3||1$&~~vD78$ z&D}%`IRc=KTcE{?0(9#Lrye!rb|93_c|pafA^QUW66_ygyz21OzTrLlHc7!g1T^}G zT}Os=U!xIYyPd~*?JWL$KKfI;MG98hcZ(p)TtIRQ`?~K)Y74903(deVl4Tz(Zj%<9 zFcMFx4|>M!zJv`-nKMGoYil0YSg#!V>pEf6JBmQ&dAowO#D9-$7y5|!aP=drFO8`X zM``T>Q@iF|8RdD5IEVBPUprNl<^Lmznw`-d}}7at-;nyLs!+^wtXzb zYo=?x*?Vc!0pfx^G#0!#C-)vo*Mf8@3*vnnFC0raVn?6mn%d+g$Jl?#qfdRa!1e5_ zw2dg`JN>2N94x!3-Uf(^cms*DLSOzY9Ki>aUPtb|UEuUK!>bkQ z^@sedpShjb@Q%xxYgQ=iVlR0ES3)p0V!V4zymNYoDI$ms`|HR&%e>~4wu>0CLl%{2 z*Up#-nFty!&iO6x&~Y7F!y&Fga@63O0}3CyO5IZ97*EzZK;hUBOo%M`n%Yf`rnz5x|jkyDzKK#~B=oADm#9*%CnUNi4H)Q#jOf+zAW zA|l}XXOF=itn^h~>TLC37r_ij;c~{+luAEWoLU@HEY{RxFp!sivPmyNh&`Q;ugdYu zXC3YvkhOoO662%q2Q~R#=yf0GClq*VAbO;7O#f5xflEdmNVgpfFgs;lbPyHwz}co8 z)d>VgEHA_IUc5jP#xh2dMC-V-e1qjMaNO#+8`ynhRk~xSrnk%eo>Mj6BMuf1wPPwr z;VreCB7gUe3Lfpb?-dQS?&XVF&5|g6`~FoGPlsCdotv|FT{c;vrCNTd!OAa|N#D9S z;F7!EH2%ZeKLRc|TR?2SO@hj4V=0wGw@CbQ{@|@~+;KR4c27%w#~sqA9Jg~bVDLP; zKYF^d8G3~a|MW3%V zv%U2mqqF_RUT&Z1X?1eMUr2I{;R8=3=@j9E_VTh?H zT1h(FrkVHqLKMDxIa>@@{mHrOm;7=%dUjoCW3Xa%kXL4YG4<@-S7`a5r!9a-XRaZ zbW`f&1}f&<+0je4?vzSE+5)m33gSf@Z;kg2DZHQw4xR-wPDoJ@M-YhO11pc7MM5ga zIHvTI-@H8|;I8Z+o+)z?J=ovw2Y2BdJSf8`M{sbvD%(^mNsY-lP z!uNuB6EFp&npW?0ms3209h$U4C-QXvspqk@-i4Pt6kGhN>sx^VS?!X49pue$ct1%; z(L_v)H?_mkUDFP#*t-xl{lqzrX$9+DSlb19DCT%0?X7YPvhgMCag&{gm*?9El zc*KmwgsjV|(*_@UmWwL^xEjDwfO{G7kQ?mxH9EHGfm7tY%a*fmaAiC-{`slAx5O&e zMzH-m?s}Jf+rUv3@GM`)bFtcSLR%7O`QH80!t^~H>R}F5o<+Kan^>wf`hB3$k5Yp7 z$BwL3g2lig#YX}O2`jHiD#^Aoie~zT86UBd?DLiG38{M1SUeO_xX%B#**Jh zHV0z050p7b?d%Brv}xAUZ`LU@6LneCju&?E0Gj3?^{O{1VDBi~*%7X<9>3rbGv`h8 z@ddk?Fx7(otPB#ac@8XYe&26u(5DYNn}HiQR7Oq9P8NnPhhAvL1Yedkp}FO{T^uA` zXCt=J)2s^cndr$7!?>Y%w+Pb#+G^QtlB&nl9C25oXdF-9a?#fQ_CIYpqR;^s3y3Sj z9?Z?Csm+6XjhELniT$Zn|J@%gKZ-i$?m}9e!i_f{X<$t>w8>wMC!V&t%Jup0GT-u4 z>`9KQ7q*jMcgEnkH6DuTxeBN0{&$7oO8<(cEWh6#$%5}|Tj zw;8j6;wMXj!R5ptP^yEPyTU#Mbh3Jt;|k3r5$L1R#cEAUvLHqDP-+>Z;NGqEuwlt& z5|0O@h4eDWTRZKd{rnC>{N1pH1x41q(A?E!B#0kp<}2FmgVJ#)x^xBctQ{W@gL&IR zN=f@V+bDu@jqMy}j62UA>+M8+(1~9t(QM@dkm@bw@GDstvefpe9zb8&u2)ln_n>0R zm-4vGY9IDS!cA+k_Nr&>kJ4F=U91i9I9k>myJ{=IW7MhtVLH94U{%zloV03dv*UuS zU??0;SrR#PN_Gl{^Onaw(2U{Fz7*tV>wl<^7kceU~Fpwa#>|q`c1ahBdI#8 zkh`QLFqvkk!9=kXQ^I*d-VB|Wtp50F`a07P7mo=s2WH5_kC)wC9Fs~|`F7y;OY%B! zLpk=2A!&M}atBR9rN(sA^CxoM_8|MF6O+O7qFPE6ZcR2I8bpx?Ts5YM>~IO)#&zrX zs$`$|!rbr+w##95!Q$PW#Th=fn)&yI3oQ5o@SBzdVPrblTC0&nc=^sXaVp#L#>@#CGZC|Cgg5y9d>h-QfM^G6o%ZlMP+u!}KT0?K>w zSj&ey>Y}x|TPLDQA+~N|R(x4Tc(VU3yy!cxI@V%(HeHh8c4QM@E=?$Pg4RIx6Sm7v z*(V?WInzW~>!w*eJ?m*l%IYq4A`aejo`d;~rnG6&*0-B=r%s)-xStOmGg9-I6A+(K zqw~F0@QK}P9mOk}iVRlPdNIays8*CZ$~S6bFIAmSR6kmWq4aM&x>08LGVO;?)#o5L z*3jLir(35xuZ9#8UIy!pCIqU4X%CWgUEDT2)}C$VkCUWkj;(A)QnP`iHX~RP65Wpyk&~Kt!4BWN5=L7C>dAA$-di`kOsAKXoT7f*dg4$E027F&Vx0Xmf}aB4$$}JM?M{y z*3Wf|&%-%VZH$5%F%3S^6xiWR>(=nq-kt(k9d-%NPFu zmxa*@iDAMHykFFLdo`tmYyaOOL45X4sn>@0jB{pA%ABoRd%Q5&aMj-I1UB?-i9tH2 zf-5cc%IhW*c79r zzW}6wX**cODK@-y<5p6(C5GG5)CFy!t{|p-cjAoqms1u-M$OPf>RF;IP%}bF38TLs z8NElPVS%7l8tUg%mpaOolcS$jpYww&YG4PgeQ9CfqglLVWvHTggOcFMA|^dhQ_{8gQf zgN(mFi)@$}h2Z)A+2yzev$tL`GX==LOfmg!3D|128)4#5C|tkP&EFSs#wze#q8Kyg z>yl@H*%tOb#98s*#saK-yn3A zbvk+Gev`}Dl8g+4wL$@G;7=)gb32$a&|?a${nX|kT+#VJE5xf!1{k04IwRK}^Q@y< z!f-HU$@nL2-lzY>)yx8qC+H%1S4S?R(WqMw7eSD!jUS%3FM98QvDUf%nlrjRCz2H8k_g{2s@yW){; zjyA2VA|MBSC0vN~9bZEcS$+V1QIfIC^jCw)f}3$~_M*8m41SZ1b4a~TxkHliV1Eg+ zQihdcDcJ!)hE-)90Y9VsDenuIW~%r%*@c35>0_tOH3tH{=s}@I0dc(KIE{fRmx-hj zPiDjYi9#2$LxjxOE4#ksV@L%Hjt6z{EvoKz+wo~au3H@tVYRXD>=oG={zGW6GDk&6B|}n&Y3sq&{kdlnxag| zd8}_Uq4_U{PdAew3d_mbXBN4YI$s2;HLDAk*jUX=cmiX1S{!JLieut(5W(wo>tkIi zg3t!q{E3uYk)qrgR%pAoxz`*vCF^d;YJ@RuIM?mo&P6RH#e(ZS(;_MuZeKvV(XKIN zyH=jf{itxA_^P3@{lf5MkYH*s1(!};*sg0#VsZjlO49X(_a((=kz8H{ekF|V+oWfM0^lOwdt=U)oSsxN zUWAQfE_3I|;3RVTd?9J;JHsREQ56fzmV}LR#~!l*7E;FL_PACdx|Zd31W-(Qb0eS9 z)bO!;3%$MCpH(4H2d>T4CtzK(a(Cd2B&q#b(_vYYsdw89WgJsui37(yOhpQf#`dQI zY?4Na$dDy2hJEffUH6Y@vf@fSE@XW83i2ZU!xk& z$AZTG0U?-E%UHuaVEA5t8V_R6%b7!glg_7z)E3`8HD~J;Gu73q>yfa!Uv+}rei!P4 zg%+CvQ8i}bn+)`k@}NTQj6uo_uq#ZO9!a*N_cf4tz-GE&fm3j)&ErRp-3iu1FT%Nm6 zd!9R^HJH6)4`H0XSC;`yW-9{1fn~tmO6E!ujZ5nDP2Ad_Z*8((xn{?IH7-dR-M=$< zZ@{&bwU5RmTNzhFPJqpewRUMPm>U^gW$BG7=`yoZH8z92H81y*rd29)C3avE9p<(= zyrRe6nhAe5f@Y3|i1rN7I+3V(ezLTjzR-p@{9`E*Rn8y9xRVmYRl2b7@U|T~%3k}( zY`a`r6~z=ao^a6az=~W7-vQ)O60?0(SWDOxUD{pR8I_g!rh92MnypJpfEWv&rW1I& zUV&jg_`<<~eosVSAAu_ktaqHPSO;BEH9Gp62GVn9P9xr2Ub1lS8_4gjFVRMNXM0`4 z-Ta4sBS)alu1B2Ivu$wN;3*8K1Gv}7%b|QmL*u9_NDN2^RVU_v;j9W~RLB!Q<0cXp zsBQhzj%L*(`o5ozW9F<8w#5~?;nc6&eCRSvP+i%EVaH|x?BA(aBtL5r5K@ACwbBe5558i~@uarCB<}xnPboM|M3_=1t{}!z?9eg6 zdG1kBYR1r3eGl2uGIM7{2Dv1VLT~LdJAVbb&_^!VpPX!7H>32|b{!C=2c-bXTnP2A zf!e%)5$&}T`E&F8cMgLhEqw>vah$LJD^jrSt*?hT$^w}B zz)X?^(vdfs6Bh-RoRdYwn1!{F$p@{6>6}!Jtb3rZJ8rP6wKJm-cSrNcKQB4d?l_Q0 zJm`-1$@-e>)t@>1qNEk>{}t%$_?cxN#8FN-E3b&ruHB~Q{j zEPbb+)R=)Xe9~NR>T9rrHfo{=j(2M-uJ|Q;$r=UzthG-bp8WRX=vwl^KwseLU$sg!F6?sO@jC>4Rh_jH82{yc0xpydSzXJ1(z?qV#>!GSEN%d zaGsB9f%2{UXs(+;3fBnJ5&r)+T{&UuUm6!!ehOx;&lh9Oe=S!lwd1 zuZ$gqe#afhD4VW54KRhhh5c81c!66oXoKjg^+J(VW&8iHykNi;9mYplwE_fDyn{rO zD)Zncr^tNsuLA}hTZ1xnzO$TxYmQenCERyJO7M5N< zI}}NruB7ACoBd-ULh)_qVd?j_s}q>8*|wJ~@MezwBCLb4lf$X!SEF-w<2^u+o(*35 zUig1*=zcmNk`RgTAg?dm4-!yi3m|fU+Wxl zeAv~!k9tqD-$jA=spg!sIkH?0=|1T8vU$qS)sGjgMCa-l5QRE)UAdDU(0j58Uc5l zuK%NcDjjk6QA1D!Lz)wgXD$QhFkeN8d^47N&i?|Eb?;HG+xclq0XSNm3v;UoHXb8r zNJ9U*=IYzt5x&~pDp&Z3w3W|Q`RTS~q$7(RB^ z6c7OOba<7=+-`8-P>!~AY6&oHe`bgj;B}1GQ36?+(y0l+U<{3$?%dCm=S#?HgK0IZ ziy{jYX-!hFG0Hj+w@-J*F>jN&HX6(TMv~ext%$>f}ak-yT_ zm-rvm_HLZ%r_Si5-+CZkA0-0)a=#m*eAEr#yOD*tdT#_w?o0xi>AhOjN!teN_#q_F zQ5_LZ_EIUSCEv@ue}B`fePe3$%fqIU3b*glel`=PPM>fR>u_#D)sjGl?XKa;Sh#_D z(zeykMtEZJ*{S1?Ok1&b6TN{gdJ;%-i~kv<_L02`%Y@=^doZUZ3WuFt0J_8rD5>!|z*=J1l+*aJWW=xRtZLvD*wOkuqNIbIex z=WzH4FFBZ*c>vuCqjSu~u1COO8=3pbPdcvPwEE)>c24ddj<4NK0q_N=XMg;Xxa=71 z6Zp}ot9Ds(J=E*u8mI^~uC8MH74&wxN-$*SAX< zCLA8ZxU?)w`;xh@Mc_sZh><6D_6aUJXiN!dmwCmjP4R_^(A_Akg6ort6o zZcAs67R=(k#FA305RhcyG73aTmHKS0w|2U+n1x2f<`GKzosebs^j5C^OMp1#S1xj) z;!j`etCCq>!vHOG=H<=)2D_Mh=-inqwPh8@P2+zC_7%0+pp=S>(F>JdA2 zG8kilTCi&A+Tte{M)iH}v#!W8Z_mFr88vc07Bym?;RNpO^hhd8FRr*Cn>=9wK!x!0 zr|d##b30P=;U9@pNv!_~Cpg|>!aJ}r{u$Vcr$-ml-;%o}->AM@WLGp=7%gS#h&e(H z)~ya_^=DI?)?HtrXRk*WCPZe)_cu7kJc{*rxx{Z^rK7rMLOz`3So8h7{_;r%_ zIMexAdfK9Rs|hPr=8zFcHq#WrCsEQbheD%hzjrvPEVwsOH||{Nyyk^zqyOwu?I3<3 zlQYwhuJ~g$4;t^8w3hiH>jkmxXWm@Q;kGu)!;5USQ_EuMC37MB9=h&H!~SRSQ(TZN z!jmO_Put^8uz&lHMR?qSTxb9Fe8i5h8DYtdM#7_M>pxiaB;e2?f^-*n%WB+CxXM=w zAjzpXIg1lQsbq$ZT}k0_3u5bMy$f7cDF<-YUSh-Q6E3+rszxC%N(Ao?J-xB{Dl?&h z7aEu3KtI0tal0Vdykavgyvf(yRE)CuJWPeyW_jScQ$+(-zL_%sHXrW_S!yXlQEiR= zV)>*X9&*Oi656<;mA2N)G>CwEvab&xPe-7xWeoxpRrJzyj8XG>Sbxjv{Rm_7-%mq* zAWVTohEB~I01slH%|vC{Et2@5iRYpv8MFJzf_U*C0ert_ZY=5Yk*SXZ1mMBwg_+t{ zcz%lZDZEWtF_)i6YZSi(vhXL|b~pKYicsT2{wd%=7u}mHg$&v*MTqTj&gLa+EW!3$ z7=s;R21>lnR=bMMDz5<;{ID-XNI1sut|^6iDiXoJANo=?BUtZ9$+c-dzoZ?lI_luP zv*3Z}fqRNZdx4PMXGz*MSUsS1VcS^{Z#;~ib*4)PRQCmd=`niqhV(~-?)Bf;S4_Y! zEgRp)8Bx&s5=g_VH`&U7iaiR&riR!+pVCvA`CD`QK&VrBM_L#VOsH;iefT|v(!GKj zKmVB_pK-wd!|T5c9tq9^i3`zWk$)pH%*RG+P9baf-NG^CYhjq<7Cj}MKj4ldXP8q+ z3$7!citzpbl<~wRz_;j^E`gJwt#q;!sE>6IzF*P}or5Y7Owo*JrU$jtUlhok_(Vn} zo7=uB{)U`~t%ubD4+X3knd^3^@xq=IfpLrh(maQ7PrR?n_`fCC&B4Ofd3E{kzOp(H zXtnw_0lo5I^2-li^A8PGk>`$I#_Y{{tw+Ix^ zB#&x;HYNqXOVHfVc`*4St_iy)FNF)qSyE}!4Svz9Q2~!&1pfK7I2S+XtQ2(NABPu> z-#*h(K9p1VO~-2+yc#abD_2qL_l1Eo!+x+f{#u^&y%pH=Y}d=qr_Vh7zOX5vHh18Y z)mP|+&k4?%@m2eHrtTANujmET?2wpss+)yvI8N; zKUD^_H-@@(DAk?(KYyUhoTTuThQNTpZbfBZ|&J=G7ObHCoxN_JhlCta+K%=kgV-Q zZ-Ul7*fI2?Zx|MBIWdWHJxtf^>#v`?CiAzJ8#_2T;@ahu}G8|N(*-~wqYHM!F zLq75)ra5@G)6f4~uP(FW!%C-7`CDjl@OE>vC+dXpD)n=F2d`~H0|VbBg;TUo;`_@o z4@~I{0;44ul=mZz9Yy!uWKDwA0X3n00Dk~{t{VYB>J!3Nc*#I$DF7jYvwZ|yn;jnk zzpz<@$P`oRUg)o5D2Bri3)Amwwzr!K%q z7-g{TD9U@2s;t_)x=;A8!O(LSQw zoGKmz@-&Qwx}`vH+vdsG*7xG}-mqegaav~{OISr;oq5m&lIo4;>-GPL-(_B+C1@1fne4DP(tQS>}s4w@_}ve7(1NSyEYM zf2i;LT|0f{_>d)6_Jch>M~0$4lnq2{i~Er)hat1+^o7~wUeplZmfz>&Wf)jn#w zwBG=UQGO{O=1oU_5wkl&S$`9dzaEz24)~qKFyn}%{&A<9+tFL(Z&y0gh^!kp=&oe%I zJ;uJm_xEC0GF-xB&)wNxkKKW03#nDAdOmKZ{<_7Qx3gk z$gst^&%&Pi2P)f2)A`8qzc#yd6Gp~#ciuv26x>*5VzQ~VQf#N!y~cA%&*n9y1a~jj zu8W*stHAa9j6-UGH}CSqh|O{I*Gk%NwP)bkDvGjuG}->FhjE<&LL=DrX^M3))>I(`88d4ybWtY+L690dv3f@3qY~Q9(S0GI|`Z z>w3W^w3e@{1H`W|^AqsHcJSf-U8Xz4r7LUCLvp|t1L!@}5%AON zG1F4+>5bWwVE`~SUvcr#k|N|7ws9%mU}xE%GD9<8la~3?s&@Pjrdq*|e8um*yr`W< z1IXZ#UqHm%Usmu@lFwiab8cV4d>#6F2>PWy!z1KOv%d;5y$Q>U6m9H3iuaX31~;t= zBkdZcU>1#1nF?0A&q!y~NR2lVOB5brMjdMItNCwbvR&&sDAxk)r2qLaw$k~#;6rAI zEKL0eFZ`30yw;2H;jeTs)jV|-h6HXcoqx91T-;@%sJ&Qgu#m_I5E@r6s?mdZP=KSP zhOfp_Tn?!{9hZVJfIt_-VQtp>)j}=PSLKBO+U_P>HJje3TlM^iHZ&qmmG8lT{!pVW6@xkfMy*htn7 zMEB)j8|7xwQRPT_tTAruY5%xF7_nIbMrcmOOl|DpcU5CUWBzFifGI}VK?wZI0LvHz8iPhGpJDOcU?Wc11F?H$zT+9z zr>w#I$)(?oakGJ^qq-+Ci<`qVi)zn*>uR49rr-6v*Y4Q*p!nIC;mK)dq2>bd0IJo1 zD-f}}bU4se9q?vooB!5w+!oZ<281=Rv`*hO{xb^m$c5*3PyJ33>GiMa;JV9N3wcO; zKK1Hf-Bs#tGImK+??ry-+NEZHuH{>+yJK6_gwg^hG64plm^=Ytt9?5UBkQlbWc6Q1 zm-O~OW)Wq{G+_3_hr^48;_D48hY z4BP0~3TC=IY4JVh@|GmTEL?Cpv9US26SzR2bNYMiJ&^C!o*Z?lTC@NWSp%!>E^h5@ zdZ1N%E4*bl42A|L5iooik%btcqXcsl^zSutOquOppo5P5H0P_KD&Dn=sEQxgkRxw* z*TA4ln_i*n4+%Fw@aCz;X z=DVN21(60VvL1sv7yD z?WD)z#Ua`?DpNp5g&S>z?w?sK7q*m*(hE0^l66_%d>3LASRdCKpo|i0KV9RD;kWty zzm>4LUlHN;UMgz)&a6l*PfsKH1FyCE~MrlFU+F3}Dkn4Yerx7!GwExoc2)M-({|oqSFt$(T z!vXBtOM>8y!EF?e8S2L95NsON(~4ul-j+yFI+G{?;s>E0+g-*9kC ztS<|c&R6(Z?aqHT@4`@5luklU#4$4?P2KROjXDW<;#p+N&o<)}eY&*}Dn_5J7M5_& z^|Qq<9EV!u3gG1sC|!SrV;n(Mr_s|8$YFI2=%rDwLfXPN3$SpQ*c z+Bkl4gllfmzW&Z>2qO$8M21)T#dpJX2e456&mO+h{oeLj0>WqbNpDXX6Y`YJ^|lq# zmQfF5iOZ!WHv)}H+Vi`X<);l>O zfoZ$Y5gw05KyV(CF)av9UauX}at!9mO0_(HoxPMX^4eYx0CR5p6b=I0wi&|>5S&_e zz%m1TtG3csG0)L^M=jBVz$=n46=V+u5)eYSM&&3@&;=E?_;ke*)$5yj_vaVZNkxv1 zj?kh5Sf@+sbgn=V4;?c<+69^`+v3CztK z8_q8om;PXZqz4K_S8sevk?QUO&6R@7Q=nw#uM9RU1`#j_-D?fQ7n?Dk+2J?Z5o2PM zqn^%efXHa;v*Y(!ay6yg;a~hpWrKZf87fD=bJf8~qiQ zEpckuXb>}xLE26(-zM!+O8vU%m1t7K)c~cR6b4oeMLQ#FPl1~Z&wF~OVJt9gU%aEy_o(Jfa$yE!$Irw!ny}~eZQq@sGFbne;_H9B51-^Y zDzwJVAQ%H4jXGJcv^;$^K<(4EhGMgSWdbYpmn=Q##nxmFubpGYU6Q6CzEvX~JWl}H zt$mz!4eWU@i+F&lWnpMAvr|a};nqQWalV^Cir42`Lc{{E{JVd3xxv}=o4`?gl%iYtF@d(h3_KdBb0ocE z*CReo};M5=bA;B6}BJMhD(Z zQg2?ZR7@xV0J;G)vo{i8o0mW+z`BhQKqnUi4nygEoAP)iORJ2)Lq||D38jsm-K&G@&vCoKFCjjO~^_KS68&e z%!+-Z$(=N%(r8W0rOsDgur3iFhl}=G+=7(Xp`p>5Qc54cTsYt?c+kE_^P^i&wAc0T ztLT0h_F;Es0qIhgqn{sY^R97}7HU2}7;b@?4za3>U=M2@hW$f4z`;;nl3%aAJaR?L zKc4z7XyVkNxKR)( z3KA8hlZbo;kuK5$C^ZYDpJ^w1W*UKQ%qZpaFzWouDHGuEXi)=(jZN)YAI7_A0);nlqA6IR|BM1{R&k4mjjJv|@v@DFhT)-Pazy29N6+GaLBc6(UBEWk z4(059UH+hC)ZUGLIC!42(OlDZqp*@mI{u9Tw3<<_J}$LKWW5PEJoI=qtxLT(F5?}m zztEK3j!n8ZJoqwHuKM2F0UHO^>ur;D@|f2%1v$&y)?=r4PI4#joJIjaQRD9;oz=0z zU|vxWCy7YCz_R9oB#i`d@8SdiSTLI$wJ)YgOw<^#L!>6Oe9nJeSeg8;1g!xJh)s>u z99?y1)e>I9m9t_i=LKB@sht4~08l$?7%O=q<;nO~Ch*hITh^1gC7F4M`a_#$OO7iU zyY|xd-O;IA3_-~qKW=lYIqeMW&hxvz54Lyd3V}2Uw@IcPUy#1^B|!PP(QDbct~fhB zWqz_oPJ~p+y!-ndBKxAAkQXsrsSpf{h+YOUz@;xvcy*s2rY3QC;kgY1(FX z1^_^QNrHYO`v7}AR`RL~=Vy<422i`OcJ68u8hyyQ8%+qT7XDPJFE^`85&@VoU{dHr z-m%G(isyLF5cLZUUnJFOl*~F+Z4cB-)yXw$>AKL;(Z;s9cmP=~VUrWMZ}l zyZq0~{5$J(QX(4VrvexohlyKk2)lRY)8XU^w@`7kzD>Z@D&PSTSDkx&#FJ(+KAais z-I>vfy}yYl-Xvsd4kpNMM7=6TaUMb(1OXt@`SRi8IaY+%Ul}m>Jj9NfbJ`(JWPbW4 zswY5xp|o6_tdf6WVdZ!MWYIwy1|(I2=G%v^He|n0^4-a~ZV(6e%VeeHOxy<@^DqVE zzlL`fRK9D=e!(XGaV*t!1^-6FJQ&uU6}qS7OyvzE?}6QpjIt?WOF$iEV_q~ zIEe8)EcFO7%qJ0dY=!>;&$$P>*#y*_qfB?N7FJ@bO5@rpn(GMkqU*#2 z+W}cdcv@rKa?Y{zOByRXBk3Y}V%ub#+t7fi?ZaE|jO7kKy5z!pCE7BE>5^-{3XqYd zORmb2j<4h9&%hb2iOOHq*>uHtbUep-2tZ0^U6|oTPP1`RHBHx_DYT4*9X0$_Jg_h;^nKLoas>`fQMn&u9O@~3>tbf7W+AMAbJpugiMO2mc| zYlJV_2rGhiKXHPsVpF&7Jm8&FP205x+5=fY(~RrKLsNae&s%E2e6PT7gY6wW6ws~t z-sW~Qf>2*+b5%5JdM$VTkpsP{Zikys2J)ZjDL#X4xS$iBGl^;y0D$sEAI_x9gAKyS z1FZR-j{+kWa1`Y|1#hiSNlg}lsbd6(|efib56Yq*g8%g^~PTERz@-l&6{sfKoUla}qcq#}JBwKoyLb+2y) zYobR5LDWJ-n-zcmsqTd#E;x;}pDor3C)+ZCNR2Ej*GDiEkpL*!?B8Vq>GJvl^=%jc zyEqTgtY-oR&BiQG>A{BIf9m_d~B-2S@ffZ2Fz%)|qIyzaK5g@uOThaHSV& z%?Gx7H~rDn#JshtIV_N%+Awlys-IPY6KW>;Hz=80e5EXPYtWo-_oE#7 zA1frxBHgrnkveqB%UkOnxE7f55TpPydcgbPI~8?VxT)glQQlNNV3MNQizojCFc4?* zt3lfRPXl(;>YrY2Xe%!s@c*HcK5GrsL;&QyF`|HCenh?o%#I1&P!R{dXcG`l21aYD zZkvwF4jvZ5eXO{Y$38aUU$#LtV8#WxepW(GBVEC`Z~!)5%sc-d%w+mf-INmS9-Du& zpGl|tERBR^g22ur$tUzGbA*DVCS><_5%fLslvqm?dXbfmddsP)|C$CR5<}8iSI$Uo zp;*V9w`@6Y*Ouy(Eq?lH;?|%EIn{F;3n9n;!iF#|=7hC*e zy87MvT$kbQr}pWGMCs_JTi#LE0CUP<0v#^Q@mnth{}KKdt$c}2xMDJ$a33fc8LheP zBg=2&S#Neg{41U`eSD)(2FdOdN>^9V;sFO;o40;`1-8l0LuQozaXe;u{2#}6VfO4q zjg(vKQ*8e)={SYi*Z=cwg3oha^86p4%VA$69Qu=Pcs#z)@j|%<0MhaDJ$;$GIf4H? zf4!P%H+5V0pThnShRle4PEu;XRxIK?033@-AS0-)5*QOsZexn~%XveJ`df#96U+}w#;_A>!Zng=g~Rq$%{B3)=(icKt2AT8k>nhw0=aAAnSS< z=didSo$T#%ZED0z`ZnMJe@($ojMoRirD=WCKPQZ=QF<-)f9#AK>Y+L@nvQa%2lUz^ zoOgh7%Cim%y%NDY2pNW?9FB4ZQszHP{v8mg;NAJRdjl1?OWXke{3(Rd19nUR*Okhp$B=&E4BZS0_ClV;D&j!mU;j@KK#-y zk(zrB+&gPF6=PM=&p|KOBHVTu4Yv1gLLdAC%5#1OZy?9LlkJ*+hW#Mg`!^Fkp4{13 zz%51yOhyqDz>8p5r#zt4IB<(^{sZ+<;Ov$E9kzt#3-eVID&-k9H3h|u0=L$2&F7X= z<9w9=fjVni17?G3f7GEY@K05pL+J5@`(<)*VNz3ORlVXu@9rCdI41Vix?|fD52gDv zQ(`bPb$*zqd1<+1aZ$mbL~p)SRTv%Lf9`kHn>Pv1jqBR(%)L?Hg#5GV8w|eK)8&x= zyuath=9pP?N8)N-cK_<33HRA5y>_qt*vWI;<}E~#KGgk|-cfgy2r)}7jQ&XdoJSYk zfk8c*5bz0@VIK%*k}8>~kZl7n1U!oes$d%j{Lfb^J?GhaxhFV22h`rXhmm2X#S@hIv5HXmUF?cZmp}a99I&FJLH7Q zkgf}Ksq@|eDJjH}i`sq#2;G0^%^csv;@iO)TmA^s4q(IryUjqW>O+MDVb5^sZplZJ z=!Bl@zzE6c4f`Z`;Wzc_6Afgc2F#Tw5uJ?a<-q6yHG{)d*(|hm$K~lJw<;MG%0*XS zkXsW9-Lo;kB)=VZYyE2nHQe7Nj(nX$+YWN}qBrkQq|sz9iHGjlr0zfWb`b)ILgS%( zJ8d^vKc_GmyPQ>@jy*LvwlK$o;3U+ra8DsU_?voJ+q#Sv*3~P zNTJV%r@{khlitn+$| z4q;N{HEg2zDUk;oIa#2D(vL+ufLZ`?mbTYLz66u10MtRb2En%ab$5FpVYSgi-$}O6jN)^ zg=+A3-3N9Wo}YNO{0m&xk$;0hmy_W zwPem>`R5BNg`-t5=pL8qp1~U|>uSs`Jy3E}+{T&0)TV*k`vXYs_7Ps$t~U_*Igh}8 zeia1hoD9BK%icq-y8Wu3?Cxp~n+%>EZi@o4_5B4#v;h3C>Mih%UqV^a6H9FDC=lKvmLUa|F8h3QPyKZ84!cA*VFPBRTbn3ls?e$&dq(|x5LzPy} zmdVEpf@e6UUAOCn^dMo;bnLdn^DD!PxfgE!;^badQP{#c)g( z+-ee4{uMc3^Pt}Z6$K3TTVOHD@`(N1!xgxV-K8?aQrK{oU?7Tt7@!H z>VgOvVB4dPabB4CXT!kRMVuf=##nC9jP9s5b#e(7F(6+m${qeWf zo5mb}Su8*(`lo)MDo<Fh%}PF6f&3_0)4g*N~t z4g1EP{3mCAhV%`dDlf>U*&U=~KZgp`0DvIfGcML;9!`e&hxA~lFJhZ_WdD5eMksle z3(s@NzHT||_}HErONfTNZtRL_3bXvjR3ByE{hnWSLh%fg?_Kotn?P}TyLUP;kvd+D zWQG&I$87|n53hxG+iOLRqI;BD7{u^9mhmo%0MN8A64M9yyWa#)T`BR*U(tn?c?7Il zb)o5H)*|`Z^@vUC z`wG{8(+8#M3h~^XY(`ZkY&1lLlMk591(Sr-&QLhzqDaK#v%Cb*MSYuICQ<>M;G0IG zF|w`;q#nyG;6dh1_n>>YfOnnyknb1jbA}NY@5wl}fY zx3r4I{TN)!cq)l7pAU29(+eTfQ?m8M@H2y>j1g!0gwn0{M#bu{A!p#{ijupe<2}yO z3qLz0srF4WpcP|#=fu}u%`8~*(}=6;7hdT!Fy%O0maDa#yNqRU-EbpEGR0$P&XkFY{0fEi#e;?eF9zSP~zb=T$KMEjoUJeKcRL4Cac-8!aSUv~P;7at+0^-~k@Z zogvb4qA?YW#)9^dXPtuqSglWvcJ?d!lldq^R)P<(jq-`0M~r~qTF+)~2?!;J#rbFv z=~`EUIxMGeU?I*jXfBj`FcJMU>73u!U7rTQ0vL5{U~{$tXU%gSV<{8$QA$X1OKV=& zc}{Hd&+gvO6&9#8c^NI}-YlT)5OsLr5B_YtUseygUTeKth@2_j&QQx%tQAW3z9U>5 zoeI^qv9njphZDG0H`p{eNA?9yltQ zqZ6?c^KPEQ56seLzWZGj)jPn_-dn{O0M06^F-Jb}Zv{Zl1CDt=C4^zen$ zP6!J5IxYhvk_ZFwsS}GGhc$K5!5&=QAxZRICE%4<9|-`~ zd47T!)?2t~N|YM_g^_`*SmF6_R(hbUa@vpX2{8|029YwG%sT14ST)<4!_=$$AnReh zjuw*t+|@C;_4H#*fy^W!;U>95*qkyUCioDxhvl6Afb%31Z0M#o>$E#I zwZW+);POd*EZj#ljo?b2V*-$fUi8p(FeC$4D1*m6q|d?L%tg)&`MmLn{)k6((@A*P z^u_E+>hWHL+;86X_f#1jdfrCMR>(>sm3Y^G=tgZ~f8XIp85c0m-eT^(&{R(~D zALkAv2Yg!3_-fb5G#dN_{MXlV-#{no*OO7%h1c=JU+n~rb<)2^UNXL&YepR!1bu(H zpBVZX_hUUHp7yqgeizq;5_-q;J-E~}>NSL`O2u(ef!2$%b>5wOXtz<>3sezGeInwu%S&4(zD?eUXOQm34~EQ)LpJnMCZ z7^#A4%dJPgC7Bmu4*-{t`2Lz8>z5Vm_8ZM?R$Bp{d6ykL*gSV~@K8`_m_uq=qLI+He>6mNPI%|zMy9- zeYgrCE0XTSF(VR@T3zRzB7JZdLlU9%XmL3tw)gL6rgI62 z9H1`J-dWViLR(oMGn5;3853O{Kuiv z#JeRn$Ti(B;$38Yr}Z=~&6cZ*-YGLDg&eU0N7M2k@7Tudi}pp#8OMV-H$GH8&P<&9grP-e--2 zXVUp>>0Qf`@HnU}7A;<^!q{G(w06D0!Y|<2cm$7qN;d^O#d9eB7xv^T&*kCg7ZW8f zu`k$Ijnu~DvN$yw`V6DWC%RlUz6~>2gWWVN@{TT=I;yz$edWXpD-foBem(1k7-c6( zW<&dR@)Po9ne`}86(eG!Y(jqO*~puBDl6iO)y79|i1(bxReJfgPBh)HXD_d)0HQ)3 z%kNJf|Ku7T;Y6!v7H0`0ts)25byzAs3XA}!T;xe>6|=EcRSpN_{lYoCwAC;rkLG(GxHBA#UQ zlw=WB;CqAKNqEjn+3l}KU}i7zA0$6P*Vy`D=9=9Y-g~ZipD3kqjO0DnNUbNNsnVMn9bR%MC%X!<7f2#p>18+Jo^G|8=0 zBMoxCrqxgLKtXSuXI$&*{?8Np+|>SLlj$iIC&vx@zV?#akY~q&O0oQmMl|niJdWVN z7QezFr06X3*A%5(dL}YG^e<-70iNsK8ai_cvBvcsay_c|R~I=&{Y3gk1?z}ez%LAs zDeCaywcA*(vaEK>hmx#zg;DGN6PjfsH8!$M^a;sarTzDCi`;-ub~*HvtzTK+ohGVH z^1Lz#vv`TW^e($Z-xWcB=F~TXFx@YBRn*4}!C}sL zJS;2fhzK}#hTCwJydT>!GJ;bV%M>4|;H`S)qKFK|;MDu<3R8Nw_a&fsR!o74j0eyi zcOOxfS!oi_+T}K5wfP`Ih!p?4@+TVj`vSsc`_lZo zaETeL4}kc%gzN%m04UXkZJ#5))d@Y|AQEj~jwBxPs#Z}?zS}=W@^xM+qz?0MJOLVN zv6iK-IKaiZ**(1#wa#2#_)#G(&C=d{27c_Btc+{`M4n5U%YY(&Z>ji$Hlwo+<(iN) zB*R@8Ct_KyiLbx*h6+!-D{moGlc~p?_%87;I57r}HT9RzUNI%MZGBq%wC9i;&}zPY!|gj|&3YckKY{#bS&)7(rr-v`ed>T;!5T0js? zROth!vjXyK=`)cyL-CI_N5GQNi`_yRK5>wCAq~mcmBd?N^Xa#X%Wr5{r}hhU?oFF< z4`+N*mM!)uI0K8fl;M$$g=5bK$gA;q#JRi{LhzzU4>&Pu^I7d2fQSKVqViuG4eKIE z%=Bu7^J(_xYi3N;y#T*6k)~|ySo^?@WyEQ9O43T~{E$~Hku6>&*@53r$U;*={$S#Q zx{X6Z@NAfa{G?FfY9l|i16q-V$z|pmYAHo?{d_B$%KFyY&|}e0k(AkILb)i@x9?yI zHA5e*$FB?J2rbr6!ia~X8fjW1|Lwo& zc)16|yUuanTNy`tB!2rVKdY{#nrW#4^$b4dg7YqZ!St!WMOy9?-|;FWEh$WP}qd-p8cP;EMX1JrTS;O z7+uEG6Esd$Y(78yRY|l@d2G*0{?;p2+N2grbo_3#p0KR8D&ZJzsj_ZS4SF+@H*V%( zKO(PN_btjB4W@LtA^5C5A(7f))*KY=+>-5xniD~N%!SMq9}hQ;O?I*U>Y0_RZ|v|k|~Jxt%%L(_~Vayc7qqM;vf68%QW`8={ENdr~&8~vKb5U_EbSLEU6X1_>@F}h( zWtezS<$FQ4^=8C+LksNiZ#| zw?>g|ULTAx9o(}*XwFamB!ORHE_IGw$;7n`=nPsQw&z9kRz$}Ama4Bi1NT-P?ASXD z88AqoMwMzNE7O*q^29}i7t>bv6;8}N1Ezq}n81J;<-}OWK$Z_VjU(nQRIAWje=ZD8 zguU`d?58)4v#u`#W%1`ndXZZ5cZJxwq?f}65}O#0@XP0? z=qBMIOf=QsJD69Dr@33?l7!%a43c+_oWZ?WS@zYnwN=$ypIj0O#f!Hay$l%Jna*k~ z4N<<2#s$3hz7!TZQ2ibbZ#TV4p_mVzD(TIN5tSdG{ZP&i1F*nCzd}5wqn&A*X%hmM z=KT~wLiCTG&TA}OriT|(lX+o8u{&+n5#wc)n=z!OloWstlA%W4i<8CQQz{#+@HF)N z1AnWl`Ci^v^AFE=j^J5BrwUU>z8ofw*2wgOh%lecby%eC9>a8Qregp^@bF#InSax0Be$etbyIT@sx?A#A_%sU?hOI#k zI=4Yo_S-h67WOsU+Y6v9ki5;flQCwadVxHHJ^$P7z|!Vs!Z)6OpG1p0k1R14^#KHe z$%jgFV}0X*-O16J_Z0hI=^ObMyOYh?n0Ti$B-bOO)I^rL;i_Xp^89wb^(MT+g)OBTQ2 zW(v;PagFyp3$`~{2Dl!lxpmWMj-R$~{7LC$r~=Ka&f=h&s9_9l@+xW=i-RhmhR1Qw z|Mv}47KO&9q=&vbI5fT{w{#Ja!K8}8YVr12#^h#%R5E&*&CG5jCNqV-MIg70S@z@( z?*#IWDKlpwH|6I9W zA+_rTHvg(E>8)KTS%V1#Fe*rv1|KSf_KS{-JrH?-Q8alM>OlYfMm@fK{QXa+{tsuewQgAGReWNBT71=5%NyeH?J`E8>W>fQu zr94tQ(tG`ABDNHw{&o!(Ohs$j?5o7DWp7ZB%VIkyt4bKj$lWy}ktX+|~<7bU07; z@+>T~ZjBr$Gk;|B-um@QlG^rWd6XLYdC1)G1`BKK*AB&Z6dJSr-P1jEa9P#H#kgo` zRX|CFXtsmF!07X;L?-0$%vwo(^G}j(^z;y_LJOL;vznq!fa)U0l8`hH-Az_Cfm>52nkw zF;}0?nOD)OWy6l=Te{4v+KgHqVG}|ebzj2HKNz||wfj{R5!&80FNjomzIWtnrLTZd zh2|AQe@O?qQ2I7N!t8gXidFZ&a;KIzDz4V-Xfx*&mOKG}+$BZbGZs0yAXLuE${eUF zaVwwXf2I2Sk#(HXn{jYhyZx!d)Z*hfrI;1QsjKl0Jz%lV?hQ070wfmwuCz{kJ59HWNkZx%Fb@;R{ilHVGziF3Vaxov$ z;yf@+5)I5iTc1sFxoS;udG;-%3B;5lJF>Sp9y{LygC2 zox6kHs)$Sr`}+F>=u$<<$BJfR8v3nEb;x9%F1(s=hr z%$^Tsd)II^%?UNRKwz_rn}|kDHuO~4SON3AcT}U>tVnK;bn8;Kmg~9xSDTX(B+XTw z+hlO?4$Tb#h*$}-a#WuTxw)*fzO3L{;Q|h9LS|M8#Pw%?fg{7>o>KHlxy!1>j}yz` z`#zNj3(&4@J+f#YrQt-a<+^#QDA%;TtvF?2ZM6eTM=skIcI`g4Q>FX)*%dnxj?x_N z#G;sStegmIYVu{%ISgU-wK~D{oYq>$Sn%+=Is7xfp3nA-1QII9~Bmr6Eh-@CXICFfCw zEtM33uiHz1`M$Z&=ks@cY7Ws2b=+%+m?{g=Q}nQcJH9%o?H)9v&+`7&W6d))fBCxg z{Xb%z96+lr7G%da{Q_iYRP=4Y^qW4OwuoeY8cXUJ1X@kt&^f9B0uwtpHXa#y^>%$b zhtdUFfw%pvIIBLjzD}`Bn+dkRwC)U7)KMt9vE#5*)FH{P5QS1$qH}b(MHW6Vr_UG;sIEu=@)6 zc0vh3Np61HH7eYP(tPH;T$Gd8t(QwIb;PN=8-66X<;{2HHS!wV2Q%~_Tlk(r$&?n0 zV*p|qZGveDyHp~-blIvV8xjf|=bXYMxn1A;QS6~{jJHo(cDxzM>3DuJ;>!jOlw70P zDgSDv$g^-4_RH&L3@-c&6fECpa*pG087t?0YX2-9^J+8f9X4{5ZyY`ZDy|zb@GpEG z_BaG6`TJSrHaPNVd(EMi#Y@|u4j%g7M`$%+%d5VHb=P$E18)xEbH1F|aykyYSeCK6w=zqlu zi9MrzE*DwtUsX~XE%Na!#UX{Z%AxCBZ5Lw867bqEkWr-QEsPe-P9DJZf%MG^XzZcR z|tOlqK>ypDrtvN`)i>}zW)af4~A1RsSmtt#OhlhaOD?|wc6q%zfHr6335 z3;i2%VPUNN+Dhxy7dag!J-wr7i;v0XA|6q&6-+wo79iDTbWtL=jO93iDIzyy_P?6J zBlOlQ_|8&uu>b@TMF8mlTMK&Q>F%*)~9s17IUXU&ay4cF+2RQmFXZm7M7_NRQb@Ptg2 zZ40dz%`oJcNx60#lYj`Sd3R8dDh?pM7q?Z~zMAwq|FoNO@{Qh-I)enD-m$)D`fK1i zxiyH6*4sVoGAmo^Kc01=&N)|W(n}Kq&CZ)9w9~$JsteTYyL*lI3Zk)UD>YwDnzP~1 zrZZar!rkKo(;Te{R%i;bJq!~tjPL#&jrCyZF;(5-%YUw@zT&U}IU9fUEdUbkYTp-{ z8s;{mwbJ$#?I=z!QTnvP%!&^83y1DCAXg=6 z=>-P>rP?q@N3~k{N_>`AZ-qKW=X83GfLCS0Dh@+ek1vYIo>Fx@L5nWO@bUF!Px+ou zLUY{`AN2#T>2b;B9@Ar&Jhs!8i8m))Z<=$oL^!@qIc~8iJ~n-F|9y`f?)w1xN{tMf zJ28kTzY+v&a~dnW(OS7{WA)q$^&`INRKJq_aR{EY%U72_J2OwyTyB@jUo%coQ?CB{ z;HVGcJ*O_ZXY3JX)StO)`_R@f0y~Csezc3}n+ur%=T0IW*XQ1ceXGzu*yh}*f=rn# zyrQh{=0WpFgooj52!)u#9Vr}fPd>CCO}PG;3!Z85^5CxbG&kaHg_C3_`gm#0&3#rU z+s3Nb0q2^eR_t55PoiYDpDj#zMO9Kkrq*1EJJ+?Lp>ND#%n`qJvpw$HhS7z~?8x#H z&hM4#S9!P~;5H1^ZAE&*^=5>?|Cg~~I*wx#|VJ_T8)VSl0{L@KOo-k-#N0IiQj zrZ){^wyM!lZ?4F6udH1fLgkuro420#?NKfHAiEpdBxUK+#wSPgc+`3YShAr{v zBnJ`Pr(yd8&>4&U`cBEg{VLB%t4c@bh-9}G`@PkpO6@T1?AhQTwUcU<+iqHg>&Wd| z2bzNGP!IdD-U&$npRq?IQm=M=<3t#P!LSm2Igx((EwJHpD-j?s7grS@Vp0TgTnCsH zqCmuYMk>sc@bvYnZZnQ}Xy%FzUPN9E;L;r_P}o@Kpe)uts@v<#;)o^0GTB_w zw$C_(Y`o-Nv`e&p`to>ok$D48Gg^He1F5H8cs%dZwYrESXeUG+oF_J5_u6iwhC6Vo zH&LX<=K$BPsiTKSS%;obn=jNpNHUpqS8~@X2v+=fYmrgB^zMmJ0L*3Ps3J;O)}IZq z*tG$QFfyo(eO=QP`Yc~~*y94cm@K#CqHHU|QGuU)gTsZ~+70~|*`QtGrHkNgUJ_RF zwAZiAIgE?so@wm8AU9a|=kLUD$RT<5-$SZB%hjqMXx!Y2T5|x+JBoiKq-4tB`Sw8@xT*)v&9q4j_0bDTAy?fHAJw@ z+MD?@nHK@=`q%gl6qZW&e^IX9csQ+X7yirV3OmLd>sM_Mb74o$JUb~7E{{K$%dsf#}^`(@fP&H#O!eKr?OL*tol0)Lp=T3ced22 z!iE8!HK{qS_&`X~l$N)*9G1M@-aX>b+N&UWvp_yoHT$=M`b!T({eQPcHrsfujm2S~N0hC0j=qj&X!oSZz2)ngY7LxcHh!!F*#nw@DKN zNaN|Lz7Arzh~_DH+Z8Y071HJq6)v#c>^Q?je=1G*vVw7nz+!i7wrVbL-eNInfwj&j_S0<;SCx;e|dGlv9ssOfsy}UAVywblr>`qm1K6+3`lc)thuZa37rByj*e5*J0>waq1viG0U z(_6i>qsO9syYJkoCeBB$b@Y;nntBylV^W}!F_2F>z;pwk+mH;^yyWE^%ShO?E1$#KNWUkr2;Nu+;A=;e`+7)5RTZZ9 z(jEax6c_XgkcD*tm-aXBROk#znV zk0b5!vaAFxXUbc%7M5MsbK=}s^&B4(sHkA1#7X`&zx(Ufp3->fE_`&C z8WxA53%;3hM&&v6{ZGK-olujH?FH$tO3H>Q+?Yjo=!zJ=3K`XAS(E&A{Pwf9`kUrm zjfojIsph52<)gb!?ne=ICrC?RS|K6e)p%_ClV#J<@AIrH6GzXy4ht=L5F)DJSc;98 z)>EI73@06h*5}d~0o3@{{mq=QG@NRYLh$sv^D6pA;Q@s|dl%~5o1y)?Z*!?HI&#Bg z(oJO!T*d;+qN%JOJC?b#}$^e0V%tpvEGGOZ+yN=H2n9tDv_JUD{^Z%e{|Q_`AEOc zmPghMrgc9iJF+U>%6-SbNcKg&=F^K7?cu0)#!&yVpQM~bq4=-C&Th3dsk+E>wKUJV zNQqjSP@N_OaM~{N_%{5{ICOM3^3Q1*dY5pZT=cP8ntN?%L>$|BVkx;=b+IWN_6u`#uDB$Y%rR zxAF2PmrP3w4z!e?v_1NAx@|svZi6Xi|B1{ntEk^eungScUDK_=_eshpL62sPkg0h_ zw}VsUgSQiA<)Xt!h&$mlg8)v^npNg}NC8mq}|6xAN>AUTBoQ5NSKP(=6s|m11 z4QxFcktXtT_|!90%|~Wri5c0+pIGtJ!gCs0AsM!RAEr-dQoVg_Fwt!}XEv1A23Jx5A z=#V*%-7WbK8-lw!bH_T_rR7#!J5!N|zqT+>8VX0g6A#$FW%y?Sxn6U@ii&%5r!+(^ zXOwK5a$|nGKli~(mYn?eED~us1}j*eQ)tM;ZUh_4bSVAcjswf$NEA!G$J%kfXZR4|^b+L$ z@n3^>=$g1Jb)g8Lmd+5S5M6<+f&GhTpmibK2A2!+F5+m zEKNlf(W|c9?H0$E3{D-^4kf8!McB%{ z-j9*>Lse$S{SQwq)_5hUc13ny_w+wTmJVT`9%nr~eX?eLld4x_m%oSkKnoZG86CqP zXvwYV|3?k_l0T6ABx=!RE#n$h^9u;RhkY9MmAc)QCy15bBvojN7^Zg#ngULJw?f~Qq=Vr4MTIqj zWDnbyX+LIs(kZR}r_Z*1{!k4Yu{RC@%QVCc`~$_+AKN##a%<-u#n3-rud-yh$)u9^ z6vV)AdK$`@_Vm&HD<<4+#ZCu>Wo4tiVF!}j6PRw6aK!;@mu_W+L&bpntJ2=@v>u3L zH7UmO+)cc;>SOZq-hobH!kJg+-+a>jQR>t@HBqeX$|a+=($cv5?M9d{HZO~k7tlt^ z3-DHubXa3f>PKiTXUKKWzmtx;z7>4Me=WSm8WoI!vyUzgS2O0;=vlB;&%iR5k?RZT z+$MsPY&k9u`LlDWCxy*IZxbE7PT;Q`mJHz;HMdY}AibZ5F&*z-o$AC)b7G_%zct=* zkZ3?9(X?Zv&U62f7*h9G?*=CLpuVz9UsFu$iiq0rxtGD@9_SnTDXO|&{6doC#7*+8 z7F>F4^1X6+-aRH9Ot$Z)M4C91TT0~Bca4Zlxx11MAvFxnsE;2GH;cFlqx;BVf$tZA zoSm~Bx89IicYCcOc1u*wEK0d3GY0tLNq!tJUm101KUBqN_;Ecjc$9o?= znR7vnyIzKi^4B{sgM4u65(JlCq}?ylr+Zl#_SeYdoIyXRhzw%Ex!xmtKftUQg`4{s#jhVcC9j@c$n)D$FqTBTI ztf^q7xb$|3Sjs{*?+UNM2bMg0x6d69wKVP-vz6ZmJ1}Rjb~hXpD3UhZ*p_GSe3}wV6wFcM=M5CaCtSbFe~;630EZmFeIMlRQt<&+X33-;P9to_xaI#l M&I#D(`loIG55PE_K>z>% diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb deleted file mode 100644 index b9ea6cb11601314d3dd41d73fc7c86f491c9dbb9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 241 zcmWe(U|?9w%?KnJ81jJ797uAF*)}`J{B?R{`}fYDnGzNaSqThF z&P#N3dk3vq!zE*!c6M$Hkw6gNnOKV`2_Ja<-`j>UdoHH#VmBQS4u* L+P5Hk|NjR7NaIiC diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb deleted file mode 100644 index 27436c456604604219c971f3b2b3e188ec822aeb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1048 zcmV+z1n2t{000233jqKC0001P0B!&`00011WpZ@6CZd?@r000000MY{h0000008Ib@00000 z0000002l-S000000C)ksSZ!_-K@2rX`25u)tbkJ>A@YMO@DphWU)Sh4Dx?-#?h#c; zoC10Zz9eX{Js$7yva`;rp(|yxv(J8h_IPGDSrE~)8$?I^O>~!Np%?UiBS*fz!(S`C z?eoMY9{)s>=iPg1Yr%Q(rYQC~rloErGh{6+TIkJuk` zjmIbJmk2NYAokj?>c8DD1;1VKkM&QnFYym)b||i;eg#i*bD%ygy!IDQ)Su+$5Fg*& zMQ;-K${*vMTE9zy>-iI}A%65P^^pAJn2LM87C$LVKVM~kC=GB^aX#7ndViQdj$Mxl zTowM=fnIrh<4Y~v{1JD4%Ie(HGQkM=eGPk)-8+J4CYmG(D3uWWvf#mJ%v-wJT&+p^CEMEjmEuOGz6`1Tv$ z_B+VuwdVVS-vF|He?PGNW@^vtZ6MzveaQDn`S1C9#Qf{}i0K$U6mM$%-n8<~=@aIQ z{LTEVf0Od}`;+@4Kfe#jQRgrDCAnGc$Eas&{I@!P-?!)2^fdM1e6#&7`Z!QNFkj<4 zS3Ueb$m5PJPu2QYQy=D!{*N^Q-UW>R)1?Gx^S{&hoQZ85YmJ}Rf5+D!760jap6mFQ z@(cciKk97p);jL}YrP#~k^R2ovG9CYeNm6st1&-o_1--n>_@EERpX&Q_A|!&qWbew zWS4$z_LJ2oiii5@dW)2Zf2w_?=S=hO*HcuVhuYu2eLebqVEq&EMfPR?U#c#m2M`bW zBm1w)Gp80G>M8c+`4+{;dZ9kVF&>U1|6X6-U#IHBcsP#YPqmNue802j8}@(Xzi&O! zemGSR>cerw=k;0S1rgQ9`R)A-`w#h!l{S0H{)hd9{pRc6{-449({bAmE|fp7KjBBb z_%9g~e5LE1pC^rcuiO4UYa;634=z;SO!t#*URCeD>-Aanf7_J(t=>QX$NWV4 zOTR9;R=dPU`zigxAx8U8@R!hk{`=DU@l5gj|DxEhcS|`ufH@hWPu&`}(`YyErj1Z~z5i0Hjb5h)eT|Gt=`@ SQxxLkGxJK!OaK4?0RR7Qq9H{9 diff --git a/cpld/incremental_db/README b/cpld/incremental_db/README deleted file mode 100644 index 9f62dcd..0000000 --- a/cpld/incremental_db/README +++ /dev/null @@ -1,11 +0,0 @@ -This folder contains data for incremental compilation. - -The compiled_partitions sub-folder contains previous compilation results for each partition. -As long as this folder is preserved, incremental compilation results from earlier compiles -can be re-used. To perform a clean compilation from source files for all partitions, both -the db and incremental_db folder should be removed. - -The imported_partitions sub-folder contains the last imported QXP for each imported partition. -As long as this folder is preserved, imported partitions will be automatically re-imported -when the db or incremental_db/compiled_partitions folders are removed. - diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info b/cpld/incremental_db/compiled_partitions/GR8RAM.db_info deleted file mode 100644 index 842bd19..0000000 --- a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition -Version_Index = 553882368 -Creation_Time = Tue Feb 28 11:21:15 2023 diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt deleted file mode 100644 index 10b8f144f61255c20554a4f0baef6c5cc40cc11b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3623 zcmV+?4%qRm4*>uG0001Zob8=kZyPxhh2Q5_2zp;jMcn}>fMPo{M(kXyU=zSt2=YkT z6=KU?Ne(8!BENmRTaMkaI(>LbZC(a>v@Rufk%v_*ex&z5->k>ei`rsxHG5Y7{j7gB zJl(sR+>9?S>b3N}FSF%pe!X~Bmp!T1{(C!Fu5VWlufE&7PfW=~e@ z>0Yz;;&y&Htqr78lV-zo8m4JXZFEpOnXhNHqv?E|e7yerw_0ug^X%*R=6b$Zug0s{ zy0-p$lQd{K`!rk57Jts_wg1d#%jBJ#XZ1$*h5T1)^J&t+`u&&wJzuS7%e#*yA55R_ z`Pp!J-uc&lBl}}xpmA@Z(4qkrPe$iW%3uo^d^7J<|g=58s{s!Px8Dv~fT+aR5xdm@Gas_M{069=|zzb9(fevI#YFczE8W9$PF# z)8{NA)uh5EO(NYa&W=W(NO21i&SPa1HIFm>~$;?JtMEc1j z$&F2eB$WD+G>H^+Jo+}s8%$oGtk=u=#qD~w8eb+~`TlzTox6BEe)abK`1tkv@zKfg z>3H;h_u_kRK9f_2B7p%E-yoCgG!iK??MPMaICDKniDmjyFq@Glg z$BRFyl)R19lLl*UWz&Yy?!yrk>Dov;cMpC_8MTUbHe{P>ZYmO2(;h+F1#EW!|{^bV5HF&iqout37Lv5VxB^ff2Q zu0?f(n>D&fI-?gu)}%%Lc7401Ov0k#kX^uak!%(R!x3c=sU}?mP&(||L>{tlkZjT= z8aK*&HrX4g#hz8*5w6F3NIYqPBuygqq$bHnx2r93-QI)xNk$SEc6t`s8y9kW7UctS zXj;|fNt;MPX_s@o(?<$QT*-~gzD49AEh6!}JfLRFzRl9-T)0(vA898I6Xf*Pw+TI@ zNmx7>vdMqnB4r~>Z+$EAaFa$K77q^D-G~8B+rCLN+@k5gF4S>;9@vCB(j=_PCvk~P z>jpM@Y_Rzn|cpkk~jL?MW3Yg;#G!N9ihIRNW#$EXa_g@e*j` z(d3dezS#`5@n{~)8XxMg!Mh}T5~}ARRFgG62+xyq)~D;`)of}rX<0Eq+#ZrZ2#Ds= z1wueHnJ$n5(y-|QNgxePE|3ON@ZSPqpsa@bEqSxa*+ml?O_oC>NQ0I`C@9^Zy>GMiWHiIdt`f(NEUQW!32O^vhcvXdKz@kF zwFMGHJg+S_3=wM*>53+{1wusG?g&3lAxT7|-~ve^6$>tqC(3Fw3J)Mn(U7@7s)(k{ z1yV&kW-eA#5zCp26;?zpQy^EwlI8-jA`NOTkSkKK<^stg4QwuuF5+o(vEz%#$rJ)c z+to5?6B0%|!!D39+AfS?n@CFQ#FOy?Q6mi+FBmqW*?57p5f8@;#Eq16yg=wE zL#Fwl2f-s6k{1Xb(UiPE7HE5m0^5XJuXME!qCnf55!j|hzNcB2Kpu!D<^|F~Jl`%5 z21>V{6;E$dm>}m9Oa#49rRD~5K^bbKu!~ehgZT!sK|GmnARCk(dsjR?5>|TGiNdTM zXGglgj%^@M>O)9~=l%^ugn01ZKtf1E{u|5)(dfT{h!D;G8%PLk?^I%&R*X3p{0xMI zws$45O(Z7%Oo4%%P{v{K!iS^~eOSRjRERuVVC*h`ArBWAND6JRecq)I7E&BrFc25w z&n*~;3-PB6jNRodH9+X@}9Gtqz%0Nm zfBe|}Pk+8CyhQl>`*)vMPu8>Ad@-H<^{n2^y_vn8J>SDF=FRf@udnszNn3AnRvO>U z-2ZZ8^V7vG$-|>`TXH2ERb%!WMb3I^4?g5v{ymt(F(UHdLuK8+2Xjk^h&3v=mEOfGz=i}-h84xfm~g{^=b*xIczejL~iX(H_HrUySB z?CzGuRvb!V^k9Ft9IWDWCdNgH?6{Pw)`jgDBS|-;LDplL97jxQn)_CpHAJI=|zN(&*mupQ$(7?O%xF|J8=oV=^`;Eqd7 zPeU-EF_=TSBl2K7q->_QTcOBPBne5yJ9ek9%0fVcFo)$t<-(9=!gh?Yq#IC`I(K|0 zA`j-SK@7l!JMJBN3daG-ICn5PA`k9}t5od47?&m8fOd>?hYln1VD6gc09@GGvAB@E zH(pOJE@yXkzRZ{F+sS3Uwz$0-|9O46N*?+CxA*TIm-~(6L$_CpTtnsI=Qr;?{P@=Q z_jAu*X7e87tH*(LFzEm_+3I_@rCZ+vyUxqJBksf!+qYi;%!>R?Qlng0rf?H4Z z{Ci5uR`%g|s#7NP0+~OyDFrsA6uq-BY6^^Ywf?NEOLKQiSLrKes9O3;O6gGxqpc#N zEv5$wjJ69~TDF3+Fxn|Js-C5`!t=y7BT+-Bnw4XQZg*Y}@Lm7Y!u z$5UCpSs^xz% z+l^!0(f02tHRtSjp7QP~Q+n1h98Yxvn5Wd+y}vS_ovYK{g_8RRd%wEharc+rPv*D`CJ@h zs`RFGM^kzno|4jwJ{*-t<0xi$pQm#FRrpsr?YfTae=*fj_rBvK(YsJYeYwFeq3pba z>%aHa2$ka%y8m=eT}yR5`Fi)1Y5v;6^;XDK`@X^yHI7o2db6v#DW&vA+;BXlMlvc4 zQ*n%`(%ZWng{e3W4G#P-rPZLX<1rOLk{AcPrbb*VOnu@QQ>Fjf&&`;Mq>!aPtzMm{ z)Vq_8=PCc5QeRc)dQAD>@QUQbeCfY0bhMm_W8L5O?kVZVA-|4)PpOY?xUMF6&pk~f zyQuz;H;a1l=~#aLs|o76eO#Y~^M4>iy-s&r^$uW8k0Vb|gND^j>FTKBctPWTOqKo* z;&430U3>jBgGwSvsr(ldOe6=Q0$}phCyiVWD*uzZdj09R^67n2H)=e}aY4a<@~OV9 z)bWbO`;;#IIL4He{`+Z1b9yA_NBm#eWYiJZ@thujry@zI{NL4UVnK7gCkmoInc=$h z>3vc+>b1J#oXWSOB$C~%zWqF{7&&UDXXLbxP-W*U$e&Q0lv=T+7e< tpfdV9vmCq1d!k?>*~?O=$Q91%)eWl0udFs7O~14rPcNST_J87xq*MHz98~}S diff --git a/cpld/simulation/questa/GR8RAM.sft b/cpld/simulation/questa/GR8RAM.sft deleted file mode 100644 index 9a92a1e..0000000 --- a/cpld/simulation/questa/GR8RAM.sft +++ /dev/null @@ -1 +0,0 @@ -set tool_name "Questa Intel FPGA (Verilog)" diff --git a/cpld/simulation/questa/GR8RAM.vo b/cpld/simulation/questa/GR8RAM.vo deleted file mode 100644 index a571de9..0000000 --- a/cpld/simulation/questa/GR8RAM.vo +++ /dev/null @@ -1,9751 +0,0 @@ -// Copyright (C) 2022 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions -// and other software and tools, and any partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License -// Subscription Agreement, the Intel Quartus Prime License Agreement, -// the Intel FPGA IP License Agreement, or other applicable license -// agreement, including, without limitation, that your use is for -// the sole purpose of programming logic devices manufactured by -// Intel and sold by Intel or its authorized distributors. Please -// refer to the applicable agreement for further details, at -// https://fpgasoftware.intel.com/eula. - -// VENDOR "Altera" -// PROGRAM "Quartus Prime" -// VERSION "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" - -// DATE "02/28/2023 11:21:31" - -// -// Device: Altera EPM240T100C5 Package TQFP100 -// - -// -// This Verilog file should be used for Questa Intel FPGA (Verilog) only -// - -`timescale 1 ps/ 1 ps - -module GR8RAM ( - C25M, - PHI0, - nRES, - nRESout, - SetFW, - INTin, - INTout, - DMAin, - DMAout, - nNMIout, - nIRQout, - nRDYout, - nINHout, - RWout, - nDMAout, - RA, - nWE, - RD, - RAdir, - RDdir, - nIOSEL, - nDEVSEL, - nIOSTRB, - SBA, - SA, - nRCS, - nRAS, - nCAS, - nSWE, - DQML, - DQMH, - RCKE, - SD, - nFCS, - FCK, - MISO, - MOSI); -input C25M; -input PHI0; -input nRES; -output nRESout; -input [1:0] SetFW; -input INTin; -output INTout; -input DMAin; -output DMAout; -output nNMIout; -output nIRQout; -output nRDYout; -output nINHout; -output RWout; -output nDMAout; -input [15:0] RA; -input nWE; -inout [7:0] RD; -output RAdir; -output RDdir; -input nIOSEL; -input nDEVSEL; -input nIOSTRB; -output [1:0] SBA; -output [12:0] SA; -output nRCS; -output nRAS; -output nCAS; -output nSWE; -output DQML; -output DQMH; -output RCKE; -inout [7:0] SD; -output nFCS; -output FCK; -input MISO; -inout MOSI; - -// Design Ports Information - - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -tri1 devclrn; -tri1 devpor; -tri1 devoe; -wire \nIOSTRBr~regout ; -wire \RD[0]~0 ; -wire \RD[1]~1 ; -wire \RD[2]~2 ; -wire \RD[3]~3 ; -wire \RD[4]~4 ; -wire \RD[5]~5 ; -wire \RD[6]~6 ; -wire \RD[7]~7 ; -wire \SD[0]~0 ; -wire \SD[1]~1 ; -wire \SD[2]~2 ; -wire \SD[3]~3 ; -wire \SD[4]~4 ; -wire \SD[5]~5 ; -wire \SD[6]~6 ; -wire \SD[7]~7 ; -wire \MOSI~0 ; -wire \C25M~combout ; -wire \Equal1~0_combout ; -wire \PHI0~combout ; -wire \PHI0r1~regout ; -wire \nWE~combout ; -wire \PHI0r2~regout ; -wire \PS~0 ; -wire \Equal2~1_combout ; -wire \LS[1]~3 ; -wire \LS[2]~7 ; -wire \LS[2]~7COUT1_28 ; -wire \LS[3]~11 ; -wire \LS[3]~11COUT1_29 ; -wire \LS[4]~13 ; -wire \LS[4]~13COUT1_30 ; -wire \LS[5]~15 ; -wire \LS[5]~15COUT1_31 ; -wire \LS[6]~17 ; -wire \Equal3~0_combout ; -wire \LS[7]~19 ; -wire \LS[7]~19COUT1_32 ; -wire \LS[8]~21 ; -wire \LS[8]~21COUT1_33 ; -wire \LS[9]~23 ; -wire \LS[9]~23COUT1_34 ; -wire \LS[10]~1 ; -wire \LS[10]~1COUT1_35 ; -wire \LS[11]~5 ; -wire \Equal3~1_combout ; -wire \Equal3~2_combout ; -wire \Equal5~0_combout ; -wire \Equal6~0_combout ; -wire \LS[12]~9 ; -wire \LS[12]~9COUT1_36 ; -wire \IS.111~regout ; -wire \nRESout~reg0_regout ; -wire \INTin~combout ; -wire \DMAin~combout ; -wire \IS~17_combout ; -wire \IS~18_combout ; -wire \Equal3~3_combout ; -wire \Equal4~0 ; -wire \Equal3~4 ; -wire \IS~19_combout ; -wire \IS.110~regout ; -wire \SA[1]~3_combout ; -wire \Mux22~0_combout ; -wire \RAMRegSpecSEL~0 ; -wire \SA[1]~2_combout ; -wire \Mux24~0_combout ; -wire \Mux24~1_combout ; -wire \nRES~combout ; -wire \nRESr~regout ; -wire \nDEVSEL~combout ; -wire \nRCS~0_combout ; -wire \nWEr~regout ; -wire \Equal19~0_combout ; -wire \always9~1_combout ; -wire \RAMRegSpecSEL~1 ; -wire \always9~3_combout ; -wire \always9~4_combout ; -wire \always9~5_combout ; -wire \AddrIncL~regout ; -wire \Addr[0]~47 ; -wire \Addr[0]~47COUT1_61 ; -wire \Addr[1]~5 ; -wire \Addr[1]~5COUT1_62 ; -wire \Addr[2]~9 ; -wire \Addr[2]~9COUT1_63 ; -wire \Addr[3]~13 ; -wire \Addr[3]~13COUT1_64 ; -wire \Addr[4]~17 ; -wire \Addr[5]~21 ; -wire \Addr[5]~21COUT1_65 ; -wire \Addr[6]~25 ; -wire \Addr[6]~25COUT1_66 ; -wire \AddrIncM~1_combout ; -wire \AddrIncM~0_combout ; -wire \AddrIncM~2_combout ; -wire \AddrIncM~regout ; -wire \Addr[8]~33 ; -wire \Addr[8]~33COUT1_55 ; -wire \Addr[9]~37 ; -wire \Addr[9]~37COUT1_56 ; -wire \Addr[10]~3 ; -wire \Addr[10]~3COUT1_57 ; -wire \Mux23~0_combout ; -wire \Mux23~1_combout ; -wire \nIOSEL~combout ; -wire \REGEN~regout ; -wire \CXXXr~regout ; -wire \Equal9~0 ; -wire \always9~0_combout ; -wire \SetFWLoaded~regout ; -wire \always9~2_combout ; -wire \AddrIncH~0_combout ; -wire \Addr[11]~7 ; -wire \Addr[11]~7COUT1_58 ; -wire \Addr[12]~11 ; -wire \Addr[13]~15 ; -wire \Addr[13]~15COUT1_59 ; -wire \AddrIncH~1_combout ; -wire \AddrIncH~2_combout ; -wire \Addr[14]~19 ; -wire \Addr[14]~19COUT1_60 ; -wire \AddrIncH~regout ; -wire \Addr[16]~27 ; -wire \Addr[16]~27COUT1_49 ; -wire \Addr[17]~31 ; -wire \Addr[17]~31COUT1_50 ; -wire \Addr[18]~35 ; -wire \Addr[18]~35COUT1_51 ; -wire \Addr[19]~39 ; -wire \Addr[19]~39COUT1_52 ; -wire \Addr[20]~41 ; -wire \Addr[21]~43 ; -wire \Addr[21]~43COUT1_53 ; -wire \Addr[22]~45 ; -wire \Addr[22]~45COUT1_54 ; -wire \RAMSpecSEL~0 ; -wire \RAMSpecSEL~1_combout ; -wire \SA[1]~4_combout ; -wire \always8~0 ; -wire \nIOSTRB~combout ; -wire \always8~1 ; -wire \always8~2 ; -wire \always8~3 ; -wire \always8~4_combout ; -wire \IOROMEN~regout ; -wire \Equal16~0_combout ; -wire \Equal16~1_combout ; -wire \Equal16~2_combout ; -wire \comb~1_combout ; -wire \comb~0 ; -wire \comb~2_combout ; -wire \Mux14~2_combout ; -wire \Mux14~3_combout ; -wire \Mux14~0_combout ; -wire \Mux14~1_combout ; -wire \SBA[0]~reg0_regout ; -wire \Mux13~0_combout ; -wire \SBA[1]~reg0_regout ; -wire \Mux24~2 ; -wire \Mux24~3_combout ; -wire \SA[1]~5_combout ; -wire \SA[1]~6_combout ; -wire \SA[0]~reg0_regout ; -wire \Mux23~2 ; -wire \Mux23~3_combout ; -wire \SA[1]~reg0_regout ; -wire \Mux22~1 ; -wire \Bank~regout ; -wire \Mux22~2_combout ; -wire \Mux22~3_combout ; -wire \SA[2]~reg0_regout ; -wire \SA[3]~15_combout ; -wire \SA[3]~9_combout ; -wire \Mux21~3_combout ; -wire \Mux21~2 ; -wire \Mux21~4_combout ; -wire \SA[3]~8_combout ; -wire \SA[3]~reg0_regout ; -wire \Mux20~4_combout ; -wire \Mux20~2_combout ; -wire \Mux20~3_combout ; -wire \SA[4]~reg0_regout ; -wire \Mux19~3_combout ; -wire \Mux19~5_combout ; -wire \Mux19~2 ; -wire \Mux19~4_combout ; -wire \SA[5]~reg0_regout ; -wire \Mux18~4_combout ; -wire \Mux18~3_combout ; -wire \Mux18~2_combout ; -wire \SA[6]~reg0_regout ; -wire \Mux17~4_combout ; -wire \Mux17~2_combout ; -wire \Mux17~3_combout ; -wire \SA[7]~reg0_regout ; -wire \Mux16~3_combout ; -wire \Mux16~4_combout ; -wire \Mux16~2 ; -wire \SA[8]~reg0_regout ; -wire \SA[1]~7_combout ; -wire \SA~10_combout ; -wire \SA[9]~reg0_regout ; -wire \Mux15~0_combout ; -wire \Mux15~1_combout ; -wire \SA[10]~reg0_regout ; -wire \SA[11]~reg0_regout ; -wire \SA[12]~reg0_regout ; -wire \nRCS~3_combout ; -wire \nRCS~4_combout ; -wire \Mux12~1_combout ; -wire \Mux12~2_combout ; -wire \nRCS~5_combout ; -wire \Mux12~3_combout ; -wire \nRCS~1 ; -wire \IS.000~regout ; -wire \nRCS~2_combout ; -wire \Mux12~0_combout ; -wire \nRCS~reg0_regout ; -wire \nRAS~reg0_regout ; -wire \nCAS~reg0_regout ; -wire \IS.001~regout ; -wire \Selector0~0_combout ; -wire \nSWE~reg0_regout ; -wire \Equal1~1_combout ; -wire \Selector1~0_combout ; -wire \DQMH~0_combout ; -wire \DQML~reg0_regout ; -wire \Selector2~0_combout ; -wire \DQMH~reg0_regout ; -wire \Mux11~0_combout ; -wire \Mux11~1_combout ; -wire \Mux11~2_combout ; -wire \Mux11~3_combout ; -wire \Equal2~0_combout ; -wire \RCKE~reg0_regout ; -wire \IS.100~regout ; -wire \IS.101~regout ; -wire \FCS~regout ; -wire \FCKOE~regout ; -wire \FCKout~regout ; -wire \RDD[1]~23_combout ; -wire \RDD[1]~22_combout ; -wire \RDD~4_combout ; -wire \Equal20~0_combout ; -wire \RDD~6_combout ; -wire \RDD~8_combout ; -wire \RDD~10_combout ; -wire \RDD[4]~12_combout ; -wire \RDD~14_combout ; -wire \RDD[4]~13_combout ; -wire \RDD~16_combout ; -wire \RDD~18_combout ; -wire \RDD~20_combout ; -wire \SDOE~regout ; -wire \MISO~combout ; -wire \Mux2~0_combout ; -wire \Mux2~1_combout ; -wire \Mux2~2 ; -wire \Mux2~3_combout ; -wire \SA[1]~14_combout ; -wire \MOSIout~regout ; -wire \MOSIOE~regout ; -wire [1:0] SetFWr; -wire [15:0] \RA~combout ; -wire [1:0] \SetFW~combout ; -wire [3:0] PS; -wire [11:0] RAr; -wire [23:0] Addr; -wire [13:0] LS; -wire [7:0] RDD; -wire [3:0] nRESf; -wire [7:0] WRD; - - -// Location: PIN_86, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RD[0]~I ( - .datain(RDD[0]), - .oe(\comb~2_combout ), - .combout(\RD[0]~0 ), - .padio(RD[0])); -// synopsys translate_off -defparam \RD[0]~I .bus_hold = "true"; -defparam \RD[0]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_87, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RD[1]~I ( - .datain(RDD[1]), - .oe(\comb~2_combout ), - .combout(\RD[1]~1 ), - .padio(RD[1])); -// synopsys translate_off -defparam \RD[1]~I .bus_hold = "true"; -defparam \RD[1]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_88, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RD[2]~I ( - .datain(RDD[2]), - .oe(\comb~2_combout ), - .combout(\RD[2]~2 ), - .padio(RD[2])); -// synopsys translate_off -defparam \RD[2]~I .bus_hold = "true"; -defparam \RD[2]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_89, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RD[3]~I ( - .datain(RDD[3]), - .oe(\comb~2_combout ), - .combout(\RD[3]~3 ), - .padio(RD[3])); -// synopsys translate_off -defparam \RD[3]~I .bus_hold = "true"; -defparam \RD[3]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_90, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RD[4]~I ( - .datain(RDD[4]), - .oe(\comb~2_combout ), - .combout(\RD[4]~4 ), - .padio(RD[4])); -// synopsys translate_off -defparam \RD[4]~I .bus_hold = "true"; -defparam \RD[4]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_91, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RD[5]~I ( - .datain(RDD[5]), - .oe(\comb~2_combout ), - .combout(\RD[5]~5 ), - .padio(RD[5])); -// synopsys translate_off -defparam \RD[5]~I .bus_hold = "true"; -defparam \RD[5]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_92, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RD[6]~I ( - .datain(RDD[6]), - .oe(\comb~2_combout ), - .combout(\RD[6]~6 ), - .padio(RD[6])); -// synopsys translate_off -defparam \RD[6]~I .bus_hold = "true"; -defparam \RD[6]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_99, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RD[7]~I ( - .datain(RDD[7]), - .oe(\comb~2_combout ), - .combout(\RD[7]~7 ), - .padio(RD[7])); -// synopsys translate_off -defparam \RD[7]~I .bus_hold = "true"; -defparam \RD[7]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_50, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \SD[0]~I ( - .datain(WRD[0]), - .oe(\SDOE~regout ), - .combout(\SD[0]~0 ), - .padio(SD[0])); -// synopsys translate_off -defparam \SD[0]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_47, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \SD[1]~I ( - .datain(WRD[1]), - .oe(\SDOE~regout ), - .combout(\SD[1]~1 ), - .padio(SD[1])); -// synopsys translate_off -defparam \SD[1]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_56, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \SD[2]~I ( - .datain(WRD[2]), - .oe(\SDOE~regout ), - .combout(\SD[2]~2 ), - .padio(SD[2])); -// synopsys translate_off -defparam \SD[2]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_55, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \SD[3]~I ( - .datain(WRD[3]), - .oe(\SDOE~regout ), - .combout(\SD[3]~3 ), - .padio(SD[3])); -// synopsys translate_off -defparam \SD[3]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_51, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \SD[4]~I ( - .datain(WRD[4]), - .oe(\SDOE~regout ), - .combout(\SD[4]~4 ), - .padio(SD[4])); -// synopsys translate_off -defparam \SD[4]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_52, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \SD[5]~I ( - .datain(WRD[5]), - .oe(\SDOE~regout ), - .combout(\SD[5]~5 ), - .padio(SD[5])); -// synopsys translate_off -defparam \SD[5]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_53, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \SD[6]~I ( - .datain(WRD[6]), - .oe(\SDOE~regout ), - .combout(\SD[6]~6 ), - .padio(SD[6])); -// synopsys translate_off -defparam \SD[6]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_54, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \SD[7]~I ( - .datain(WRD[7]), - .oe(\SDOE~regout ), - .combout(\SD[7]~7 ), - .padio(SD[7])); -// synopsys translate_off -defparam \SD[7]~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_15, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \MOSI~I ( - .datain(\MOSIout~regout ), - .oe(\MOSIOE~regout ), - .combout(\MOSI~0 ), - .padio(MOSI)); -// synopsys translate_off -defparam \MOSI~I .bus_hold = "true"; -defparam \MOSI~I .operation_mode = "bidir"; -// synopsys translate_on - -// Location: PIN_64, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \C25M~I ( - .datain(gnd), - .oe(gnd), - .combout(\C25M~combout ), - .padio(C25M)); -// synopsys translate_off -defparam \C25M~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X7_Y2_N4 -maxii_lcell \Equal1~0 ( -// Equation(s): -// \Equal1~0_combout = (!PS[1] & (((!PS[3])))) - - .clk(gnd), - .dataa(PS[1]), - .datab(vcc), - .datac(PS[3]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal1~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal1~0 .lut_mask = "0505"; -defparam \Equal1~0 .operation_mode = "normal"; -defparam \Equal1~0 .output_mode = "comb_only"; -defparam \Equal1~0 .register_cascade_mode = "off"; -defparam \Equal1~0 .sum_lutc_input = "datac"; -defparam \Equal1~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: PIN_41, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default -maxii_io \PHI0~I ( - .datain(gnd), - .oe(gnd), - .combout(\PHI0~combout ), - .padio(PHI0)); -// synopsys translate_off -defparam \PHI0~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X5_Y1_N6 -maxii_lcell PHI0r1( -// Equation(s): -// \PS~0 = (((PHI0r1 & !\PHI0r2~regout ))) -// \PHI0r1~regout = DFFEAS(\PS~0 , GLOBAL(\C25M~combout ), VCC, , , \PHI0~combout , , , VCC) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\PHI0~combout ), - .datad(\PHI0r2~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\PS~0 ), - .regout(\PHI0r1~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam PHI0r1.lut_mask = "00f0"; -defparam PHI0r1.operation_mode = "normal"; -defparam PHI0r1.output_mode = "reg_and_comb"; -defparam PHI0r1.register_cascade_mode = "off"; -defparam PHI0r1.sum_lutc_input = "qfbk"; -defparam PHI0r1.synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_43, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default -maxii_io \nWE~I ( - .datain(gnd), - .oe(gnd), - .combout(\nWE~combout ), - .padio(nWE)); -// synopsys translate_off -defparam \nWE~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X5_Y1_N8 -maxii_lcell PHI0r2( -// Equation(s): -// \comb~0 = (\PHI0~combout & (((PHI0r2 & \nWE~combout )))) -// \PHI0r2~regout = DFFEAS(\comb~0 , GLOBAL(\C25M~combout ), VCC, , , \PHI0r1~regout , , , VCC) - - .clk(\C25M~combout ), - .dataa(\PHI0~combout ), - .datab(vcc), - .datac(\PHI0r1~regout ), - .datad(\nWE~combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\comb~0 ), - .regout(\PHI0r2~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam PHI0r2.lut_mask = "a000"; -defparam PHI0r2.operation_mode = "normal"; -defparam PHI0r2.output_mode = "reg_and_comb"; -defparam PHI0r2.register_cascade_mode = "off"; -defparam PHI0r2.sum_lutc_input = "qfbk"; -defparam PHI0r2.synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y1_N1 -maxii_lcell \PS[0] ( -// Equation(s): -// PS[0] = DFFEAS((!PS[0] & (((\PS~0 ) # (PS[2])) # (!\Equal1~0_combout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(\Equal1~0_combout ), - .datab(\PS~0 ), - .datac(PS[2]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(PS[0]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \PS[0] .lut_mask = "00fd"; -defparam \PS[0] .operation_mode = "normal"; -defparam \PS[0] .output_mode = "reg_only"; -defparam \PS[0] .register_cascade_mode = "off"; -defparam \PS[0] .sum_lutc_input = "datac"; -defparam \PS[0] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y4_N8 -maxii_lcell \PS[1] ( -// Equation(s): -// PS[1] = DFFEAS(PS[1] $ ((((PS[0])))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(PS[1]), - .datab(vcc), - .datac(vcc), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(PS[1]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \PS[1] .lut_mask = "55aa"; -defparam \PS[1] .operation_mode = "normal"; -defparam \PS[1] .output_mode = "reg_only"; -defparam \PS[1] .register_cascade_mode = "off"; -defparam \PS[1] .sum_lutc_input = "datac"; -defparam \PS[1] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y1_N2 -maxii_lcell \PS[2] ( -// Equation(s): -// PS[2] = DFFEAS((PS[2] $ (((PS[1] & PS[0])))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(PS[2]), - .datac(PS[1]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(PS[2]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \PS[2] .lut_mask = "3ccc"; -defparam \PS[2] .operation_mode = "normal"; -defparam \PS[2] .output_mode = "reg_only"; -defparam \PS[2] .register_cascade_mode = "off"; -defparam \PS[2] .sum_lutc_input = "datac"; -defparam \PS[2] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y1_N3 -maxii_lcell \PS[3] ( -// Equation(s): -// PS[3] = DFFEAS(PS[3] $ (((PS[2] & (PS[1] & PS[0])))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(PS[3]), - .datab(PS[2]), - .datac(PS[1]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(PS[3]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \PS[3] .lut_mask = "6aaa"; -defparam \PS[3] .operation_mode = "normal"; -defparam \PS[3] .output_mode = "reg_only"; -defparam \PS[3] .register_cascade_mode = "off"; -defparam \PS[3] .sum_lutc_input = "datac"; -defparam \PS[3] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y1_N5 -maxii_lcell \Equal2~1 ( -// Equation(s): -// \Equal2~1_combout = (PS[3] & (PS[2] & (PS[1] & PS[0]))) - - .clk(gnd), - .dataa(PS[3]), - .datab(PS[2]), - .datac(PS[1]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal2~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal2~1 .lut_mask = "8000"; -defparam \Equal2~1 .operation_mode = "normal"; -defparam \Equal2~1 .output_mode = "comb_only"; -defparam \Equal2~1 .register_cascade_mode = "off"; -defparam \Equal2~1 .sum_lutc_input = "datac"; -defparam \Equal2~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y3_N1 -maxii_lcell \LS[0] ( -// Equation(s): -// LS[0] = DFFEAS(((\Equal2~1_combout $ (LS[0]))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\Equal2~1_combout ), - .datad(LS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[0]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \LS[0] .lut_mask = "0ff0"; -defparam \LS[0] .operation_mode = "normal"; -defparam \LS[0] .output_mode = "reg_only"; -defparam \LS[0] .register_cascade_mode = "off"; -defparam \LS[0] .sum_lutc_input = "datac"; -defparam \LS[0] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y3_N4 -maxii_lcell \LS[1] ( -// Equation(s): -// LS[1] = DFFEAS(LS[1] $ ((LS[0])), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[1]~3 = CARRY((LS[1] & (LS[0]))) - - .clk(\C25M~combout ), - .dataa(LS[1]), - .datab(LS[0]), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[1]), - .cout(\LS[1]~3 ), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \LS[1] .lut_mask = "6688"; -defparam \LS[1] .operation_mode = "arithmetic"; -defparam \LS[1] .output_mode = "reg_only"; -defparam \LS[1] .register_cascade_mode = "off"; -defparam \LS[1] .sum_lutc_input = "datac"; -defparam \LS[1] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y3_N5 -maxii_lcell \LS[2] ( -// Equation(s): -// LS[2] = DFFEAS(LS[2] $ ((((\LS[1]~3 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[2]~7 = CARRY(((!\LS[1]~3 )) # (!LS[2])) -// \LS[2]~7COUT1_28 = CARRY(((!\LS[1]~3 )) # (!LS[2])) - - .clk(\C25M~combout ), - .dataa(LS[2]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[1]~3 ), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[2]), - .cout(), - .cout0(\LS[2]~7 ), - .cout1(\LS[2]~7COUT1_28 )); -// synopsys translate_off -defparam \LS[2] .cin_used = "true"; -defparam \LS[2] .lut_mask = "5a5f"; -defparam \LS[2] .operation_mode = "arithmetic"; -defparam \LS[2] .output_mode = "reg_only"; -defparam \LS[2] .register_cascade_mode = "off"; -defparam \LS[2] .sum_lutc_input = "cin"; -defparam \LS[2] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y3_N6 -maxii_lcell \LS[3] ( -// Equation(s): -// LS[3] = DFFEAS(LS[3] $ ((((!(!\LS[1]~3 & \LS[2]~7 ) # (\LS[1]~3 & \LS[2]~7COUT1_28 ))))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[3]~11 = CARRY((LS[3] & ((!\LS[2]~7 )))) -// \LS[3]~11COUT1_29 = CARRY((LS[3] & ((!\LS[2]~7COUT1_28 )))) - - .clk(\C25M~combout ), - .dataa(LS[3]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[1]~3 ), - .cin0(\LS[2]~7 ), - .cin1(\LS[2]~7COUT1_28 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[3]), - .cout(), - .cout0(\LS[3]~11 ), - .cout1(\LS[3]~11COUT1_29 )); -// synopsys translate_off -defparam \LS[3] .cin0_used = "true"; -defparam \LS[3] .cin1_used = "true"; -defparam \LS[3] .cin_used = "true"; -defparam \LS[3] .lut_mask = "a50a"; -defparam \LS[3] .operation_mode = "arithmetic"; -defparam \LS[3] .output_mode = "reg_only"; -defparam \LS[3] .register_cascade_mode = "off"; -defparam \LS[3] .sum_lutc_input = "cin"; -defparam \LS[3] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y3_N7 -maxii_lcell \LS[4] ( -// Equation(s): -// LS[4] = DFFEAS((LS[4] $ (((!\LS[1]~3 & \LS[3]~11 ) # (\LS[1]~3 & \LS[3]~11COUT1_29 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[4]~13 = CARRY(((!\LS[3]~11 ) # (!LS[4]))) -// \LS[4]~13COUT1_30 = CARRY(((!\LS[3]~11COUT1_29 ) # (!LS[4]))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(LS[4]), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[1]~3 ), - .cin0(\LS[3]~11 ), - .cin1(\LS[3]~11COUT1_29 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[4]), - .cout(), - .cout0(\LS[4]~13 ), - .cout1(\LS[4]~13COUT1_30 )); -// synopsys translate_off -defparam \LS[4] .cin0_used = "true"; -defparam \LS[4] .cin1_used = "true"; -defparam \LS[4] .cin_used = "true"; -defparam \LS[4] .lut_mask = "3c3f"; -defparam \LS[4] .operation_mode = "arithmetic"; -defparam \LS[4] .output_mode = "reg_only"; -defparam \LS[4] .register_cascade_mode = "off"; -defparam \LS[4] .sum_lutc_input = "cin"; -defparam \LS[4] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y3_N8 -maxii_lcell \LS[5] ( -// Equation(s): -// LS[5] = DFFEAS(LS[5] $ ((((!(!\LS[1]~3 & \LS[4]~13 ) # (\LS[1]~3 & \LS[4]~13COUT1_30 ))))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[5]~15 = CARRY((LS[5] & ((!\LS[4]~13 )))) -// \LS[5]~15COUT1_31 = CARRY((LS[5] & ((!\LS[4]~13COUT1_30 )))) - - .clk(\C25M~combout ), - .dataa(LS[5]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[1]~3 ), - .cin0(\LS[4]~13 ), - .cin1(\LS[4]~13COUT1_30 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[5]), - .cout(), - .cout0(\LS[5]~15 ), - .cout1(\LS[5]~15COUT1_31 )); -// synopsys translate_off -defparam \LS[5] .cin0_used = "true"; -defparam \LS[5] .cin1_used = "true"; -defparam \LS[5] .cin_used = "true"; -defparam \LS[5] .lut_mask = "a50a"; -defparam \LS[5] .operation_mode = "arithmetic"; -defparam \LS[5] .output_mode = "reg_only"; -defparam \LS[5] .register_cascade_mode = "off"; -defparam \LS[5] .sum_lutc_input = "cin"; -defparam \LS[5] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y3_N9 -maxii_lcell \LS[6] ( -// Equation(s): -// LS[6] = DFFEAS((LS[6] $ (((!\LS[1]~3 & \LS[5]~15 ) # (\LS[1]~3 & \LS[5]~15COUT1_31 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[6]~17 = CARRY(((!\LS[5]~15COUT1_31 ) # (!LS[6]))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(LS[6]), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[1]~3 ), - .cin0(\LS[5]~15 ), - .cin1(\LS[5]~15COUT1_31 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[6]), - .cout(\LS[6]~17 ), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \LS[6] .cin0_used = "true"; -defparam \LS[6] .cin1_used = "true"; -defparam \LS[6] .cin_used = "true"; -defparam \LS[6] .lut_mask = "3c3f"; -defparam \LS[6] .operation_mode = "arithmetic"; -defparam \LS[6] .output_mode = "reg_only"; -defparam \LS[6] .register_cascade_mode = "off"; -defparam \LS[6] .sum_lutc_input = "cin"; -defparam \LS[6] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y3_N0 -maxii_lcell \LS[7] ( -// Equation(s): -// LS[7] = DFFEAS((LS[7] $ ((!\LS[6]~17 ))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[7]~19 = CARRY(((LS[7] & !\LS[6]~17 ))) -// \LS[7]~19COUT1_32 = CARRY(((LS[7] & !\LS[6]~17 ))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(LS[7]), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[6]~17 ), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[7]), - .cout(), - .cout0(\LS[7]~19 ), - .cout1(\LS[7]~19COUT1_32 )); -// synopsys translate_off -defparam \LS[7] .cin_used = "true"; -defparam \LS[7] .lut_mask = "c30c"; -defparam \LS[7] .operation_mode = "arithmetic"; -defparam \LS[7] .output_mode = "reg_only"; -defparam \LS[7] .register_cascade_mode = "off"; -defparam \LS[7] .sum_lutc_input = "cin"; -defparam \LS[7] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y3_N2 -maxii_lcell \Equal3~0 ( -// Equation(s): -// \Equal3~0_combout = (LS[1] & (LS[7] & (LS[3] & LS[6]))) - - .clk(gnd), - .dataa(LS[1]), - .datab(LS[7]), - .datac(LS[3]), - .datad(LS[6]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal3~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal3~0 .lut_mask = "8000"; -defparam \Equal3~0 .operation_mode = "normal"; -defparam \Equal3~0 .output_mode = "comb_only"; -defparam \Equal3~0 .register_cascade_mode = "off"; -defparam \Equal3~0 .sum_lutc_input = "datac"; -defparam \Equal3~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y3_N1 -maxii_lcell \LS[8] ( -// Equation(s): -// LS[8] = DFFEAS((LS[8] $ (((!\LS[6]~17 & \LS[7]~19 ) # (\LS[6]~17 & \LS[7]~19COUT1_32 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[8]~21 = CARRY(((!\LS[7]~19 ) # (!LS[8]))) -// \LS[8]~21COUT1_33 = CARRY(((!\LS[7]~19COUT1_32 ) # (!LS[8]))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(LS[8]), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[6]~17 ), - .cin0(\LS[7]~19 ), - .cin1(\LS[7]~19COUT1_32 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[8]), - .cout(), - .cout0(\LS[8]~21 ), - .cout1(\LS[8]~21COUT1_33 )); -// synopsys translate_off -defparam \LS[8] .cin0_used = "true"; -defparam \LS[8] .cin1_used = "true"; -defparam \LS[8] .cin_used = "true"; -defparam \LS[8] .lut_mask = "3c3f"; -defparam \LS[8] .operation_mode = "arithmetic"; -defparam \LS[8] .output_mode = "reg_only"; -defparam \LS[8] .register_cascade_mode = "off"; -defparam \LS[8] .sum_lutc_input = "cin"; -defparam \LS[8] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y3_N2 -maxii_lcell \LS[9] ( -// Equation(s): -// LS[9] = DFFEAS((LS[9] $ ((!(!\LS[6]~17 & \LS[8]~21 ) # (\LS[6]~17 & \LS[8]~21COUT1_33 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[9]~23 = CARRY(((LS[9] & !\LS[8]~21 ))) -// \LS[9]~23COUT1_34 = CARRY(((LS[9] & !\LS[8]~21COUT1_33 ))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(LS[9]), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[6]~17 ), - .cin0(\LS[8]~21 ), - .cin1(\LS[8]~21COUT1_33 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[9]), - .cout(), - .cout0(\LS[9]~23 ), - .cout1(\LS[9]~23COUT1_34 )); -// synopsys translate_off -defparam \LS[9] .cin0_used = "true"; -defparam \LS[9] .cin1_used = "true"; -defparam \LS[9] .cin_used = "true"; -defparam \LS[9] .lut_mask = "c30c"; -defparam \LS[9] .operation_mode = "arithmetic"; -defparam \LS[9] .output_mode = "reg_only"; -defparam \LS[9] .register_cascade_mode = "off"; -defparam \LS[9] .sum_lutc_input = "cin"; -defparam \LS[9] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y3_N3 -maxii_lcell \LS[10] ( -// Equation(s): -// LS[10] = DFFEAS(LS[10] $ (((((!\LS[6]~17 & \LS[9]~23 ) # (\LS[6]~17 & \LS[9]~23COUT1_34 ))))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[10]~1 = CARRY(((!\LS[9]~23 )) # (!LS[10])) -// \LS[10]~1COUT1_35 = CARRY(((!\LS[9]~23COUT1_34 )) # (!LS[10])) - - .clk(\C25M~combout ), - .dataa(LS[10]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[6]~17 ), - .cin0(\LS[9]~23 ), - .cin1(\LS[9]~23COUT1_34 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[10]), - .cout(), - .cout0(\LS[10]~1 ), - .cout1(\LS[10]~1COUT1_35 )); -// synopsys translate_off -defparam \LS[10] .cin0_used = "true"; -defparam \LS[10] .cin1_used = "true"; -defparam \LS[10] .cin_used = "true"; -defparam \LS[10] .lut_mask = "5a5f"; -defparam \LS[10] .operation_mode = "arithmetic"; -defparam \LS[10] .output_mode = "reg_only"; -defparam \LS[10] .register_cascade_mode = "off"; -defparam \LS[10] .sum_lutc_input = "cin"; -defparam \LS[10] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y3_N4 -maxii_lcell \LS[11] ( -// Equation(s): -// LS[11] = DFFEAS(LS[11] $ ((((!(!\LS[6]~17 & \LS[10]~1 ) # (\LS[6]~17 & \LS[10]~1COUT1_35 ))))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[11]~5 = CARRY((LS[11] & ((!\LS[10]~1COUT1_35 )))) - - .clk(\C25M~combout ), - .dataa(LS[11]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[6]~17 ), - .cin0(\LS[10]~1 ), - .cin1(\LS[10]~1COUT1_35 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[11]), - .cout(\LS[11]~5 ), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \LS[11] .cin0_used = "true"; -defparam \LS[11] .cin1_used = "true"; -defparam \LS[11] .cin_used = "true"; -defparam \LS[11] .lut_mask = "a50a"; -defparam \LS[11] .operation_mode = "arithmetic"; -defparam \LS[11] .output_mode = "reg_only"; -defparam \LS[11] .register_cascade_mode = "off"; -defparam \LS[11] .sum_lutc_input = "cin"; -defparam \LS[11] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y3_N5 -maxii_lcell \LS[12] ( -// Equation(s): -// LS[12] = DFFEAS(LS[12] $ ((((\LS[11]~5 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) -// \LS[12]~9 = CARRY(((!\LS[11]~5 )) # (!LS[12])) -// \LS[12]~9COUT1_36 = CARRY(((!\LS[11]~5 )) # (!LS[12])) - - .clk(\C25M~combout ), - .dataa(LS[12]), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[11]~5 ), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[12]), - .cout(), - .cout0(\LS[12]~9 ), - .cout1(\LS[12]~9COUT1_36 )); -// synopsys translate_off -defparam \LS[12] .cin_used = "true"; -defparam \LS[12] .lut_mask = "5a5f"; -defparam \LS[12] .operation_mode = "arithmetic"; -defparam \LS[12] .output_mode = "reg_only"; -defparam \LS[12] .register_cascade_mode = "off"; -defparam \LS[12] .sum_lutc_input = "cin"; -defparam \LS[12] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y3_N9 -maxii_lcell \Equal3~1 ( -// Equation(s): -// \Equal3~1_combout = (LS[10] & (LS[8] & (LS[11] & LS[9]))) - - .clk(gnd), - .dataa(LS[10]), - .datab(LS[8]), - .datac(LS[11]), - .datad(LS[9]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal3~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal3~1 .lut_mask = "8000"; -defparam \Equal3~1 .operation_mode = "normal"; -defparam \Equal3~1 .output_mode = "comb_only"; -defparam \Equal3~1 .register_cascade_mode = "off"; -defparam \Equal3~1 .sum_lutc_input = "datac"; -defparam \Equal3~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y3_N8 -maxii_lcell \Equal3~2 ( -// Equation(s): -// \Equal3~2_combout = ((\Equal3~0_combout & (LS[12] & \Equal3~1_combout ))) - - .clk(gnd), - .dataa(vcc), - .datab(\Equal3~0_combout ), - .datac(LS[12]), - .datad(\Equal3~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal3~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal3~2 .lut_mask = "c000"; -defparam \Equal3~2 .operation_mode = "normal"; -defparam \Equal3~2 .output_mode = "comb_only"; -defparam \Equal3~2 .register_cascade_mode = "off"; -defparam \Equal3~2 .sum_lutc_input = "datac"; -defparam \Equal3~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y3_N3 -maxii_lcell \Equal5~0 ( -// Equation(s): -// \Equal5~0_combout = (LS[5] & (((LS[4] & \Equal3~2_combout )))) - - .clk(gnd), - .dataa(LS[5]), - .datab(vcc), - .datac(LS[4]), - .datad(\Equal3~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal5~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal5~0 .lut_mask = "a000"; -defparam \Equal5~0 .operation_mode = "normal"; -defparam \Equal5~0 .output_mode = "comb_only"; -defparam \Equal5~0 .register_cascade_mode = "off"; -defparam \Equal5~0 .sum_lutc_input = "datac"; -defparam \Equal5~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y2_N6 -maxii_lcell \Equal6~0 ( -// Equation(s): -// \Equal6~0_combout = (LS[0] & (\Equal5~0_combout & (LS[2]))) - - .clk(gnd), - .dataa(LS[0]), - .datab(\Equal5~0_combout ), - .datac(LS[2]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal6~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal6~0 .lut_mask = "8080"; -defparam \Equal6~0 .operation_mode = "normal"; -defparam \Equal6~0 .output_mode = "comb_only"; -defparam \Equal6~0 .register_cascade_mode = "off"; -defparam \Equal6~0 .sum_lutc_input = "datac"; -defparam \Equal6~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y3_N6 -maxii_lcell \LS[13] ( -// Equation(s): -// LS[13] = DFFEAS((((!\LS[11]~5 & \LS[12]~9 ) # (\LS[11]~5 & \LS[12]~9COUT1_36 ) $ (!LS[13]))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(LS[13]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal2~1_combout ), - .cin(\LS[11]~5 ), - .cin0(\LS[12]~9 ), - .cin1(\LS[12]~9COUT1_36 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(LS[13]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \LS[13] .cin0_used = "true"; -defparam \LS[13] .cin1_used = "true"; -defparam \LS[13] .cin_used = "true"; -defparam \LS[13] .lut_mask = "f00f"; -defparam \LS[13] .operation_mode = "normal"; -defparam \LS[13] .output_mode = "reg_only"; -defparam \LS[13] .register_cascade_mode = "off"; -defparam \LS[13] .sum_lutc_input = "cin"; -defparam \LS[13] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y2_N9 -maxii_lcell \IS.111 ( -// Equation(s): -// \IS.111~regout = DFFEAS((\IS.111~regout ) # ((\Equal2~1_combout & (\Equal6~0_combout & LS[13]))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(\Equal2~1_combout ), - .datab(\IS.111~regout ), - .datac(\Equal6~0_combout ), - .datad(LS[13]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\IS.111~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \IS.111 .lut_mask = "eccc"; -defparam \IS.111 .operation_mode = "normal"; -defparam \IS.111 .output_mode = "reg_only"; -defparam \IS.111 .register_cascade_mode = "off"; -defparam \IS.111 .sum_lutc_input = "datac"; -defparam \IS.111 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y1_N8 -maxii_lcell \nRESout~reg0 ( -// Equation(s): -// \nRESout~reg0_regout = DFFEAS((((\IS.111~regout ) # (\nRESout~reg0_regout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\IS.111~regout ), - .datad(\nRESout~reg0_regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\nRESout~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRESout~reg0 .lut_mask = "fff0"; -defparam \nRESout~reg0 .operation_mode = "normal"; -defparam \nRESout~reg0 .output_mode = "reg_only"; -defparam \nRESout~reg0 .register_cascade_mode = "off"; -defparam \nRESout~reg0 .sum_lutc_input = "datac"; -defparam \nRESout~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: PIN_49, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \INTin~I ( - .datain(gnd), - .oe(gnd), - .combout(\INTin~combout ), - .padio(INTin)); -// synopsys translate_off -defparam \INTin~I .operation_mode = "input"; -// synopsys translate_on - -// Location: PIN_48, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \DMAin~I ( - .datain(gnd), - .oe(gnd), - .combout(\DMAin~combout ), - .padio(DMAin)); -// synopsys translate_off -defparam \DMAin~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X2_Y2_N4 -maxii_lcell \IS~17 ( -// Equation(s): -// \IS~17_combout = (((\IS.111~regout ) # (!\Equal2~1_combout ))) - - .clk(gnd), - .dataa(vcc), - .datab(vcc), - .datac(\Equal2~1_combout ), - .datad(\IS.111~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\IS~17_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \IS~17 .lut_mask = "ff0f"; -defparam \IS~17 .operation_mode = "normal"; -defparam \IS~17 .output_mode = "comb_only"; -defparam \IS~17 .register_cascade_mode = "off"; -defparam \IS~17 .sum_lutc_input = "datac"; -defparam \IS~17 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y2_N2 -maxii_lcell \IS~18 ( -// Equation(s): -// \IS~18_combout = ((LS[2] & (!LS[0])) # (!LS[2] & ((LS[0]) # (LS[13])))) # (!\Equal5~0_combout ) - - .clk(gnd), - .dataa(LS[2]), - .datab(\Equal5~0_combout ), - .datac(LS[0]), - .datad(LS[13]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\IS~18_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \IS~18 .lut_mask = "7f7b"; -defparam \IS~18 .operation_mode = "normal"; -defparam \IS~18 .output_mode = "comb_only"; -defparam \IS~18 .register_cascade_mode = "off"; -defparam \IS~18 .sum_lutc_input = "datac"; -defparam \IS~18 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y3_N0 -maxii_lcell \Equal3~3 ( -// Equation(s): -// \Equal3~3_combout = (LS[2] & (!LS[4] & (!LS[13] & !LS[5]))) - - .clk(gnd), - .dataa(LS[2]), - .datab(LS[4]), - .datac(LS[13]), - .datad(LS[5]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal3~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal3~3 .lut_mask = "0002"; -defparam \Equal3~3 .operation_mode = "normal"; -defparam \Equal3~3 .output_mode = "comb_only"; -defparam \Equal3~3 .register_cascade_mode = "off"; -defparam \Equal3~3 .sum_lutc_input = "datac"; -defparam \Equal3~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y2_N0 -maxii_lcell \IS.100 ( -// Equation(s): -// \Equal4~0 = (LS[0] & (((\Equal3~3_combout & \Equal3~2_combout )))) -// \IS.100~regout = DFFEAS(\Equal4~0 , GLOBAL(\C25M~combout ), VCC, , \IS~19_combout , , , , ) - - .clk(\C25M~combout ), - .dataa(LS[0]), - .datab(vcc), - .datac(\Equal3~3_combout ), - .datad(\Equal3~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\IS~19_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal4~0 ), - .regout(\IS.100~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \IS.100 .lut_mask = "a000"; -defparam \IS.100 .operation_mode = "normal"; -defparam \IS.100 .output_mode = "reg_and_comb"; -defparam \IS.100 .register_cascade_mode = "off"; -defparam \IS.100 .sum_lutc_input = "datac"; -defparam \IS.100 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y2_N3 -maxii_lcell \IS.001 ( -// Equation(s): -// \Equal3~4 = (!LS[0] & (((\Equal3~3_combout & \Equal3~2_combout )))) -// \IS.001~regout = DFFEAS(\Equal3~4 , GLOBAL(\C25M~combout ), VCC, , \IS~19_combout , , , , ) - - .clk(\C25M~combout ), - .dataa(LS[0]), - .datab(vcc), - .datac(\Equal3~3_combout ), - .datad(\Equal3~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\IS~19_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal3~4 ), - .regout(\IS.001~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \IS.001 .lut_mask = "5000"; -defparam \IS.001 .operation_mode = "normal"; -defparam \IS.001 .output_mode = "reg_and_comb"; -defparam \IS.001 .register_cascade_mode = "off"; -defparam \IS.001 .sum_lutc_input = "datac"; -defparam \IS.001 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y2_N7 -maxii_lcell \IS~19 ( -// Equation(s): -// \IS~19_combout = (!\IS~17_combout & (((\Equal4~0 ) # (\Equal3~4 )) # (!\IS~18_combout ))) - - .clk(gnd), - .dataa(\IS~17_combout ), - .datab(\IS~18_combout ), - .datac(\Equal4~0 ), - .datad(\Equal3~4 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\IS~19_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \IS~19 .lut_mask = "5551"; -defparam \IS~19 .operation_mode = "normal"; -defparam \IS~19 .output_mode = "comb_only"; -defparam \IS~19 .register_cascade_mode = "off"; -defparam \IS~19 .sum_lutc_input = "datac"; -defparam \IS~19 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y2_N5 -maxii_lcell \IS.110 ( -// Equation(s): -// \IS.110~regout = DFFEAS((LS[2] & (\Equal5~0_combout & (LS[0] & !LS[13]))), GLOBAL(\C25M~combout ), VCC, , \IS~19_combout , , , , ) - - .clk(\C25M~combout ), - .dataa(LS[2]), - .datab(\Equal5~0_combout ), - .datac(LS[0]), - .datad(LS[13]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\IS~19_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\IS.110~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \IS.110 .lut_mask = "0080"; -defparam \IS.110 .operation_mode = "normal"; -defparam \IS.110 .output_mode = "reg_only"; -defparam \IS.110 .register_cascade_mode = "off"; -defparam \IS.110 .sum_lutc_input = "datac"; -defparam \IS.110 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y2_N3 -maxii_lcell \SA[1]~3 ( -// Equation(s): -// \SA[1]~3_combout = (((!PS[1] & \IS.110~regout )) # (!PS[0])) - - .clk(gnd), - .dataa(vcc), - .datab(PS[1]), - .datac(\IS.110~regout ), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA[1]~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[1]~3 .lut_mask = "30ff"; -defparam \SA[1]~3 .operation_mode = "normal"; -defparam \SA[1]~3 .output_mode = "comb_only"; -defparam \SA[1]~3 .register_cascade_mode = "off"; -defparam \SA[1]~3 .sum_lutc_input = "datac"; -defparam \SA[1]~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y3_N7 -maxii_lcell \Mux22~0 ( -// Equation(s): -// \Mux22~0_combout = ((PS[0] & (LS[12]))) - - .clk(gnd), - .dataa(vcc), - .datab(PS[0]), - .datac(LS[12]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux22~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux22~0 .lut_mask = "c0c0"; -defparam \Mux22~0 .operation_mode = "normal"; -defparam \Mux22~0 .output_mode = "comb_only"; -defparam \Mux22~0 .register_cascade_mode = "off"; -defparam \Mux22~0 .sum_lutc_input = "datac"; -defparam \Mux22~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: PIN_4, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[3]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [3]), - .padio(RA[3])); -// synopsys translate_off -defparam \RA[3]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: PIN_98, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[1]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [1]), - .padio(RA[1])); -// synopsys translate_off -defparam \RA[1]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X4_Y3_N4 -maxii_lcell \RAr[1] ( -// Equation(s): -// \RAMRegSpecSEL~0 = (RAr[0] & (((RAr[1])))) -// RAr[1] = DFFEAS(\RAMRegSpecSEL~0 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [1], , , VCC) - - .clk(\PHI0~combout ), - .dataa(RAr[0]), - .datab(vcc), - .datac(\RA~combout [1]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RAMRegSpecSEL~0 ), - .regout(RAr[1]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[1] .lut_mask = "a0a0"; -defparam \RAr[1] .operation_mode = "normal"; -defparam \RAr[1] .output_mode = "reg_and_comb"; -defparam \RAr[1] .register_cascade_mode = "off"; -defparam \RAr[1] .sum_lutc_input = "qfbk"; -defparam \RAr[1] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y2_N3 -maxii_lcell \SA[1]~2 ( -// Equation(s): -// \SA[1]~2_combout = (PS[1]) # (((\IS.110~regout ) # (!PS[0]))) - - .clk(gnd), - .dataa(PS[1]), - .datab(vcc), - .datac(\IS.110~regout ), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA[1]~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[1]~2 .lut_mask = "faff"; -defparam \SA[1]~2 .operation_mode = "normal"; -defparam \SA[1]~2 .output_mode = "comb_only"; -defparam \SA[1]~2 .register_cascade_mode = "off"; -defparam \SA[1]~2 .sum_lutc_input = "datac"; -defparam \SA[1]~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y3_N8 -maxii_lcell \Mux24~0 ( -// Equation(s): -// \Mux24~0_combout = ((LS[10] & ((PS[0])))) - - .clk(gnd), - .dataa(vcc), - .datab(LS[10]), - .datac(vcc), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux24~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux24~0 .lut_mask = "cc00"; -defparam \Mux24~0 .operation_mode = "normal"; -defparam \Mux24~0 .output_mode = "comb_only"; -defparam \Mux24~0 .register_cascade_mode = "off"; -defparam \Mux24~0 .sum_lutc_input = "datac"; -defparam \Mux24~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y3_N3 -maxii_lcell \Mux24~1 ( -// Equation(s): -// \Mux24~1_combout = (\SA[1]~4_combout & (((RAr[1] & \SA[1]~3_combout )))) # (!\SA[1]~4_combout & ((\Mux24~0_combout ) # ((!\SA[1]~3_combout )))) - - .clk(gnd), - .dataa(\Mux24~0_combout ), - .datab(\SA[1]~4_combout ), - .datac(RAr[1]), - .datad(\SA[1]~3_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux24~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux24~1 .lut_mask = "e233"; -defparam \Mux24~1 .operation_mode = "normal"; -defparam \Mux24~1 .output_mode = "comb_only"; -defparam \Mux24~1 .register_cascade_mode = "off"; -defparam \Mux24~1 .sum_lutc_input = "datac"; -defparam \Mux24~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: PIN_14, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[10]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [10]), - .padio(RA[10])); -// synopsys translate_off -defparam \RA[10]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: PIN_44, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default -maxii_io \nRES~I ( - .datain(gnd), - .oe(gnd), - .combout(\nRES~combout ), - .padio(nRES)); -// synopsys translate_off -defparam \nRES~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X3_Y1_N4 -maxii_lcell \nRESf[0] ( -// Equation(s): -// nRESf[0] = DFFEAS(GND, GLOBAL(\C25M~combout ), VCC, , , \nRES~combout , , , VCC) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\nRES~combout ), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(nRESf[0]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRESf[0] .lut_mask = "0000"; -defparam \nRESf[0] .operation_mode = "normal"; -defparam \nRESf[0] .output_mode = "reg_only"; -defparam \nRESf[0] .register_cascade_mode = "off"; -defparam \nRESf[0] .sum_lutc_input = "datac"; -defparam \nRESf[0] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y1_N6 -maxii_lcell \nRESf[1] ( -// Equation(s): -// nRESf[1] = DFFEAS(GND, GLOBAL(\C25M~combout ), VCC, , , nRESf[0], , , VCC) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(nRESf[0]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(nRESf[1]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRESf[1] .lut_mask = "0000"; -defparam \nRESf[1] .operation_mode = "normal"; -defparam \nRESf[1] .output_mode = "reg_only"; -defparam \nRESf[1] .register_cascade_mode = "off"; -defparam \nRESf[1] .sum_lutc_input = "datac"; -defparam \nRESf[1] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y1_N5 -maxii_lcell \nRESf[2] ( -// Equation(s): -// nRESf[2] = DFFEAS(GND, GLOBAL(\C25M~combout ), VCC, , , nRESf[1], , , VCC) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(nRESf[1]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(nRESf[2]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRESf[2] .lut_mask = "0000"; -defparam \nRESf[2] .operation_mode = "normal"; -defparam \nRESf[2] .output_mode = "reg_only"; -defparam \nRESf[2] .register_cascade_mode = "off"; -defparam \nRESf[2] .sum_lutc_input = "datac"; -defparam \nRESf[2] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y1_N9 -maxii_lcell \nRESf[3] ( -// Equation(s): -// nRESf[3] = DFFEAS(GND, GLOBAL(\C25M~combout ), VCC, , , nRESf[2], , , VCC) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(nRESf[2]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(nRESf[3]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRESf[3] .lut_mask = "0000"; -defparam \nRESf[3] .operation_mode = "normal"; -defparam \nRESf[3] .output_mode = "reg_only"; -defparam \nRESf[3] .register_cascade_mode = "off"; -defparam \nRESf[3] .sum_lutc_input = "datac"; -defparam \nRESf[3] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y1_N7 -maxii_lcell nRESr( -// Equation(s): -// \nRESr~regout = DFFEAS((nRESf[0]) # ((nRESf[3]) # ((nRESf[2]) # (nRESf[1]))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(nRESf[0]), - .datab(nRESf[3]), - .datac(nRESf[2]), - .datad(nRESf[1]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\nRESr~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam nRESr.lut_mask = "fffe"; -defparam nRESr.operation_mode = "normal"; -defparam nRESr.output_mode = "reg_only"; -defparam nRESr.register_cascade_mode = "off"; -defparam nRESr.sum_lutc_input = "datac"; -defparam nRESr.synch_mode = "off"; -// synopsys translate_on - -// Location: PIN_40, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default -maxii_io \nDEVSEL~I ( - .datain(gnd), - .oe(gnd), - .combout(\nDEVSEL~combout ), - .padio(nDEVSEL)); -// synopsys translate_off -defparam \nDEVSEL~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X5_Y1_N3 -maxii_lcell \nRCS~0 ( -// Equation(s): -// \nRCS~0_combout = (((!\nDEVSEL~combout & \IS.111~regout ))) - - .clk(gnd), - .dataa(vcc), - .datab(vcc), - .datac(\nDEVSEL~combout ), - .datad(\IS.111~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\nRCS~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRCS~0 .lut_mask = "0f00"; -defparam \nRCS~0 .operation_mode = "normal"; -defparam \nRCS~0 .output_mode = "comb_only"; -defparam \nRCS~0 .register_cascade_mode = "off"; -defparam \nRCS~0 .sum_lutc_input = "datac"; -defparam \nRCS~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y1_N2 -maxii_lcell nWEr( -// Equation(s): -// \nRCS~1 = (\IS.110~regout ) # ((\nRCS~0_combout & (\RAMSpecSEL~1_combout & !nWEr))) -// \nWEr~regout = DFFEAS(\nRCS~1 , GLOBAL(\PHI0~combout ), VCC, , , \nWE~combout , , , VCC) - - .clk(\PHI0~combout ), - .dataa(\nRCS~0_combout ), - .datab(\RAMSpecSEL~1_combout ), - .datac(\nWE~combout ), - .datad(\IS.110~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\nRCS~1 ), - .regout(\nWEr~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam nWEr.lut_mask = "ff08"; -defparam nWEr.operation_mode = "normal"; -defparam nWEr.output_mode = "reg_and_comb"; -defparam nWEr.register_cascade_mode = "off"; -defparam nWEr.sum_lutc_input = "qfbk"; -defparam nWEr.synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X2_Y1_N7 -maxii_lcell \Equal19~0 ( -// Equation(s): -// \Equal19~0_combout = (PS[3] & (!PS[2] & (!PS[1] & !PS[0]))) - - .clk(gnd), - .dataa(PS[3]), - .datab(PS[2]), - .datac(PS[1]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal19~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal19~0 .lut_mask = "0002"; -defparam \Equal19~0 .operation_mode = "normal"; -defparam \Equal19~0 .output_mode = "comb_only"; -defparam \Equal19~0 .register_cascade_mode = "off"; -defparam \Equal19~0 .sum_lutc_input = "datac"; -defparam \Equal19~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y1_N5 -maxii_lcell \always9~1 ( -// Equation(s): -// \always9~1_combout = (!\nWEr~regout & (!\nDEVSEL~combout & (\Equal19~0_combout & \always9~0_combout ))) - - .clk(gnd), - .dataa(\nWEr~regout ), - .datab(\nDEVSEL~combout ), - .datac(\Equal19~0_combout ), - .datad(\always9~0_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always9~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \always9~1 .lut_mask = "1000"; -defparam \always9~1 .operation_mode = "normal"; -defparam \always9~1 .output_mode = "comb_only"; -defparam \always9~1 .register_cascade_mode = "off"; -defparam \always9~1 .sum_lutc_input = "datac"; -defparam \always9~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: PIN_97, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[2]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [2]), - .padio(RA[2])); -// synopsys translate_off -defparam \RA[2]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X4_Y3_N0 -maxii_lcell \RAr[2] ( -// Equation(s): -// \RAMRegSpecSEL~1 = (!RAr[3] & (((!RAr[2])))) -// RAr[2] = DFFEAS(\RAMRegSpecSEL~1 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [2], , , VCC) - - .clk(\PHI0~combout ), - .dataa(RAr[3]), - .datab(vcc), - .datac(\RA~combout [2]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RAMRegSpecSEL~1 ), - .regout(RAr[2]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[2] .lut_mask = "0505"; -defparam \RAr[2] .operation_mode = "normal"; -defparam \RAr[2] .output_mode = "reg_and_comb"; -defparam \RAr[2] .register_cascade_mode = "off"; -defparam \RAr[2] .sum_lutc_input = "qfbk"; -defparam \RAr[2] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y3_N7 -maxii_lcell \always9~3 ( -// Equation(s): -// \always9~3_combout = (\always9~1_combout & (RAr[0] & (!RAr[1] & \RAMRegSpecSEL~1 ))) - - .clk(gnd), - .dataa(\always9~1_combout ), - .datab(RAr[0]), - .datac(RAr[1]), - .datad(\RAMRegSpecSEL~1 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always9~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \always9~3 .lut_mask = "0800"; -defparam \always9~3 .operation_mode = "normal"; -defparam \always9~3 .output_mode = "comb_only"; -defparam \always9~3 .register_cascade_mode = "off"; -defparam \always9~3 .sum_lutc_input = "datac"; -defparam \always9~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y3_N9 -maxii_lcell \always9~4 ( -// Equation(s): -// \always9~4_combout = (\RAMRegSpecSEL~1 & (!RAr[1] & (\always9~1_combout & !RAr[0]))) - - .clk(gnd), - .dataa(\RAMRegSpecSEL~1 ), - .datab(RAr[1]), - .datac(\always9~1_combout ), - .datad(RAr[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always9~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \always9~4 .lut_mask = "0020"; -defparam \always9~4 .operation_mode = "normal"; -defparam \always9~4 .output_mode = "comb_only"; -defparam \always9~4 .register_cascade_mode = "off"; -defparam \always9~4 .sum_lutc_input = "datac"; -defparam \always9~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y1_N1 -maxii_lcell \always9~5 ( -// Equation(s): -// \always9~5_combout = (!\nDEVSEL~combout & (((\Equal19~0_combout )))) - - .clk(gnd), - .dataa(\nDEVSEL~combout ), - .datab(vcc), - .datac(\Equal19~0_combout ), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always9~5_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \always9~5 .lut_mask = "5050"; -defparam \always9~5 .operation_mode = "normal"; -defparam \always9~5 .output_mode = "comb_only"; -defparam \always9~5 .register_cascade_mode = "off"; -defparam \always9~5 .sum_lutc_input = "datac"; -defparam \always9~5 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y3_N6 -maxii_lcell AddrIncL( -// Equation(s): -// \AddrIncL~regout = DFFEAS((\always9~5_combout & (\always9~0_combout & (\RAMRegSpecSEL~0 & \RAMRegSpecSEL~1 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , , , , ) - - .clk(\C25M~combout ), - .dataa(\always9~5_combout ), - .datab(\always9~0_combout ), - .datac(\RAMRegSpecSEL~0 ), - .datad(\RAMRegSpecSEL~1 ), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\AddrIncL~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam AddrIncL.lut_mask = "8000"; -defparam AddrIncL.operation_mode = "normal"; -defparam AddrIncL.output_mode = "reg_only"; -defparam AddrIncL.register_cascade_mode = "off"; -defparam AddrIncL.sum_lutc_input = "datac"; -defparam AddrIncL.synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y3_N0 -maxii_lcell \Addr[0] ( -// Equation(s): -// Addr[0] = DFFEAS(\AddrIncL~regout $ ((Addr[0])), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[0]~0 , , , \always9~4_combout ) -// \Addr[0]~47 = CARRY((\AddrIncL~regout & (Addr[0]))) -// \Addr[0]~47COUT1_61 = CARRY((\AddrIncL~regout & (Addr[0]))) - - .clk(\C25M~combout ), - .dataa(\AddrIncL~regout ), - .datab(Addr[0]), - .datac(\RD[0]~0 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~4_combout ), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[0]), - .cout(), - .cout0(\Addr[0]~47 ), - .cout1(\Addr[0]~47COUT1_61 )); -// synopsys translate_off -defparam \Addr[0] .lut_mask = "6688"; -defparam \Addr[0] .operation_mode = "arithmetic"; -defparam \Addr[0] .output_mode = "reg_only"; -defparam \Addr[0] .register_cascade_mode = "off"; -defparam \Addr[0] .sum_lutc_input = "datac"; -defparam \Addr[0] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y3_N1 -maxii_lcell \Addr[1] ( -// Equation(s): -// Addr[1] = DFFEAS((Addr[1] $ ((\Addr[0]~47 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[1]~1 , , , \always9~4_combout ) -// \Addr[1]~5 = CARRY(((!\Addr[0]~47 ) # (!Addr[1]))) -// \Addr[1]~5COUT1_62 = CARRY(((!\Addr[0]~47COUT1_61 ) # (!Addr[1]))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[1]), - .datac(\RD[1]~1 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~4_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[0]~47 ), - .cin1(\Addr[0]~47COUT1_61 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[1]), - .cout(), - .cout0(\Addr[1]~5 ), - .cout1(\Addr[1]~5COUT1_62 )); -// synopsys translate_off -defparam \Addr[1] .cin0_used = "true"; -defparam \Addr[1] .cin1_used = "true"; -defparam \Addr[1] .lut_mask = "3c3f"; -defparam \Addr[1] .operation_mode = "arithmetic"; -defparam \Addr[1] .output_mode = "reg_only"; -defparam \Addr[1] .register_cascade_mode = "off"; -defparam \Addr[1] .sum_lutc_input = "cin"; -defparam \Addr[1] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y3_N2 -maxii_lcell \Addr[2] ( -// Equation(s): -// Addr[2] = DFFEAS((Addr[2] $ ((!\Addr[1]~5 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[2]~2 , , , \always9~4_combout ) -// \Addr[2]~9 = CARRY(((Addr[2] & !\Addr[1]~5 ))) -// \Addr[2]~9COUT1_63 = CARRY(((Addr[2] & !\Addr[1]~5COUT1_62 ))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[2]), - .datac(\RD[2]~2 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~4_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[1]~5 ), - .cin1(\Addr[1]~5COUT1_62 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[2]), - .cout(), - .cout0(\Addr[2]~9 ), - .cout1(\Addr[2]~9COUT1_63 )); -// synopsys translate_off -defparam \Addr[2] .cin0_used = "true"; -defparam \Addr[2] .cin1_used = "true"; -defparam \Addr[2] .lut_mask = "c30c"; -defparam \Addr[2] .operation_mode = "arithmetic"; -defparam \Addr[2] .output_mode = "reg_only"; -defparam \Addr[2] .register_cascade_mode = "off"; -defparam \Addr[2] .sum_lutc_input = "cin"; -defparam \Addr[2] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y3_N3 -maxii_lcell \Addr[3] ( -// Equation(s): -// Addr[3] = DFFEAS(Addr[3] $ ((((\Addr[2]~9 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[3]~3 , , , \always9~4_combout ) -// \Addr[3]~13 = CARRY(((!\Addr[2]~9 )) # (!Addr[3])) -// \Addr[3]~13COUT1_64 = CARRY(((!\Addr[2]~9COUT1_63 )) # (!Addr[3])) - - .clk(\C25M~combout ), - .dataa(Addr[3]), - .datab(vcc), - .datac(\RD[3]~3 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~4_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[2]~9 ), - .cin1(\Addr[2]~9COUT1_63 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[3]), - .cout(), - .cout0(\Addr[3]~13 ), - .cout1(\Addr[3]~13COUT1_64 )); -// synopsys translate_off -defparam \Addr[3] .cin0_used = "true"; -defparam \Addr[3] .cin1_used = "true"; -defparam \Addr[3] .lut_mask = "5a5f"; -defparam \Addr[3] .operation_mode = "arithmetic"; -defparam \Addr[3] .output_mode = "reg_only"; -defparam \Addr[3] .register_cascade_mode = "off"; -defparam \Addr[3] .sum_lutc_input = "cin"; -defparam \Addr[3] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y3_N4 -maxii_lcell \Addr[4] ( -// Equation(s): -// Addr[4] = DFFEAS(Addr[4] $ ((((!\Addr[3]~13 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[4]~4 , , , \always9~4_combout ) -// \Addr[4]~17 = CARRY((Addr[4] & ((!\Addr[3]~13COUT1_64 )))) - - .clk(\C25M~combout ), - .dataa(Addr[4]), - .datab(vcc), - .datac(\RD[4]~4 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~4_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[3]~13 ), - .cin1(\Addr[3]~13COUT1_64 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[4]), - .cout(\Addr[4]~17 ), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Addr[4] .cin0_used = "true"; -defparam \Addr[4] .cin1_used = "true"; -defparam \Addr[4] .lut_mask = "a50a"; -defparam \Addr[4] .operation_mode = "arithmetic"; -defparam \Addr[4] .output_mode = "reg_only"; -defparam \Addr[4] .register_cascade_mode = "off"; -defparam \Addr[4] .sum_lutc_input = "cin"; -defparam \Addr[4] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y3_N5 -maxii_lcell \Addr[5] ( -// Equation(s): -// Addr[5] = DFFEAS(Addr[5] $ ((((\Addr[4]~17 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[5]~5 , , , \always9~4_combout ) -// \Addr[5]~21 = CARRY(((!\Addr[4]~17 )) # (!Addr[5])) -// \Addr[5]~21COUT1_65 = CARRY(((!\Addr[4]~17 )) # (!Addr[5])) - - .clk(\C25M~combout ), - .dataa(Addr[5]), - .datab(vcc), - .datac(\RD[5]~5 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~4_combout ), - .ena(vcc), - .cin(\Addr[4]~17 ), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[5]), - .cout(), - .cout0(\Addr[5]~21 ), - .cout1(\Addr[5]~21COUT1_65 )); -// synopsys translate_off -defparam \Addr[5] .cin_used = "true"; -defparam \Addr[5] .lut_mask = "5a5f"; -defparam \Addr[5] .operation_mode = "arithmetic"; -defparam \Addr[5] .output_mode = "reg_only"; -defparam \Addr[5] .register_cascade_mode = "off"; -defparam \Addr[5] .sum_lutc_input = "cin"; -defparam \Addr[5] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y3_N6 -maxii_lcell \Addr[6] ( -// Equation(s): -// Addr[6] = DFFEAS((Addr[6] $ ((!(!\Addr[4]~17 & \Addr[5]~21 ) # (\Addr[4]~17 & \Addr[5]~21COUT1_65 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[6]~6 , , , \always9~4_combout ) -// \Addr[6]~25 = CARRY(((Addr[6] & !\Addr[5]~21 ))) -// \Addr[6]~25COUT1_66 = CARRY(((Addr[6] & !\Addr[5]~21COUT1_65 ))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[6]), - .datac(\RD[6]~6 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~4_combout ), - .ena(vcc), - .cin(\Addr[4]~17 ), - .cin0(\Addr[5]~21 ), - .cin1(\Addr[5]~21COUT1_65 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[6]), - .cout(), - .cout0(\Addr[6]~25 ), - .cout1(\Addr[6]~25COUT1_66 )); -// synopsys translate_off -defparam \Addr[6] .cin0_used = "true"; -defparam \Addr[6] .cin1_used = "true"; -defparam \Addr[6] .cin_used = "true"; -defparam \Addr[6] .lut_mask = "c30c"; -defparam \Addr[6] .operation_mode = "arithmetic"; -defparam \Addr[6] .output_mode = "reg_only"; -defparam \Addr[6] .register_cascade_mode = "off"; -defparam \Addr[6] .sum_lutc_input = "cin"; -defparam \Addr[6] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y3_N7 -maxii_lcell \Addr[7] ( -// Equation(s): -// Addr[7] = DFFEAS((Addr[7] $ (((!\Addr[4]~17 & \Addr[6]~25 ) # (\Addr[4]~17 & \Addr[6]~25COUT1_66 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[7]~7 , , , \always9~4_combout ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[7]), - .datac(\RD[7]~7 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~4_combout ), - .ena(vcc), - .cin(\Addr[4]~17 ), - .cin0(\Addr[6]~25 ), - .cin1(\Addr[6]~25COUT1_66 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[7]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Addr[7] .cin0_used = "true"; -defparam \Addr[7] .cin1_used = "true"; -defparam \Addr[7] .cin_used = "true"; -defparam \Addr[7] .lut_mask = "3c3c"; -defparam \Addr[7] .operation_mode = "normal"; -defparam \Addr[7] .output_mode = "reg_only"; -defparam \Addr[7] .register_cascade_mode = "off"; -defparam \Addr[7] .sum_lutc_input = "cin"; -defparam \Addr[7] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y3_N8 -maxii_lcell \AddrIncM~1 ( -// Equation(s): -// \AddrIncM~1_combout = (Addr[5] & (Addr[6] & (Addr[4] & Addr[3]))) - - .clk(gnd), - .dataa(Addr[5]), - .datab(Addr[6]), - .datac(Addr[4]), - .datad(Addr[3]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\AddrIncM~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \AddrIncM~1 .lut_mask = "8000"; -defparam \AddrIncM~1 .operation_mode = "normal"; -defparam \AddrIncM~1 .output_mode = "comb_only"; -defparam \AddrIncM~1 .register_cascade_mode = "off"; -defparam \AddrIncM~1 .sum_lutc_input = "datac"; -defparam \AddrIncM~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y3_N3 -maxii_lcell \AddrIncM~0 ( -// Equation(s): -// \AddrIncM~0_combout = ((\AddrIncL~regout & (Addr[0]))) - - .clk(gnd), - .dataa(vcc), - .datab(\AddrIncL~regout ), - .datac(Addr[0]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\AddrIncM~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \AddrIncM~0 .lut_mask = "c0c0"; -defparam \AddrIncM~0 .operation_mode = "normal"; -defparam \AddrIncM~0 .output_mode = "comb_only"; -defparam \AddrIncM~0 .register_cascade_mode = "off"; -defparam \AddrIncM~0 .sum_lutc_input = "datac"; -defparam \AddrIncM~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y3_N6 -maxii_lcell \AddrIncM~2 ( -// Equation(s): -// \AddrIncM~2_combout = (Addr[1] & (Addr[2] & (\AddrIncM~1_combout & \AddrIncM~0_combout ))) - - .clk(gnd), - .dataa(Addr[1]), - .datab(Addr[2]), - .datac(\AddrIncM~1_combout ), - .datad(\AddrIncM~0_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\AddrIncM~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \AddrIncM~2 .lut_mask = "8000"; -defparam \AddrIncM~2 .operation_mode = "normal"; -defparam \AddrIncM~2 .output_mode = "comb_only"; -defparam \AddrIncM~2 .register_cascade_mode = "off"; -defparam \AddrIncM~2 .sum_lutc_input = "datac"; -defparam \AddrIncM~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y2_N9 -maxii_lcell AddrIncM( -// Equation(s): -// \AddrIncM~regout = DFFEAS((Addr[7] & ((\always9~4_combout & ((!\RD[7]~7 ))) # (!\always9~4_combout & (\AddrIncM~2_combout )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , , , , ) - - .clk(\C25M~combout ), - .dataa(Addr[7]), - .datab(\AddrIncM~2_combout ), - .datac(\RD[7]~7 ), - .datad(\always9~4_combout ), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\AddrIncM~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam AddrIncM.lut_mask = "0a88"; -defparam AddrIncM.operation_mode = "normal"; -defparam AddrIncM.output_mode = "reg_only"; -defparam AddrIncM.register_cascade_mode = "off"; -defparam AddrIncM.sum_lutc_input = "datac"; -defparam AddrIncM.synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y2_N0 -maxii_lcell \Addr[8] ( -// Equation(s): -// Addr[8] = DFFEAS(\AddrIncM~regout $ ((Addr[8])), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[0]~0 , , , \always9~3_combout ) -// \Addr[8]~33 = CARRY((\AddrIncM~regout & (Addr[8]))) -// \Addr[8]~33COUT1_55 = CARRY((\AddrIncM~regout & (Addr[8]))) - - .clk(\C25M~combout ), - .dataa(\AddrIncM~regout ), - .datab(Addr[8]), - .datac(\RD[0]~0 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~3_combout ), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[8]), - .cout(), - .cout0(\Addr[8]~33 ), - .cout1(\Addr[8]~33COUT1_55 )); -// synopsys translate_off -defparam \Addr[8] .lut_mask = "6688"; -defparam \Addr[8] .operation_mode = "arithmetic"; -defparam \Addr[8] .output_mode = "reg_only"; -defparam \Addr[8] .register_cascade_mode = "off"; -defparam \Addr[8] .sum_lutc_input = "datac"; -defparam \Addr[8] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X5_Y2_N1 -maxii_lcell \Addr[9] ( -// Equation(s): -// Addr[9] = DFFEAS((Addr[9] $ ((\Addr[8]~33 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[1]~1 , , , \always9~3_combout ) -// \Addr[9]~37 = CARRY(((!\Addr[8]~33 ) # (!Addr[9]))) -// \Addr[9]~37COUT1_56 = CARRY(((!\Addr[8]~33COUT1_55 ) # (!Addr[9]))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[9]), - .datac(\RD[1]~1 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~3_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[8]~33 ), - .cin1(\Addr[8]~33COUT1_55 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[9]), - .cout(), - .cout0(\Addr[9]~37 ), - .cout1(\Addr[9]~37COUT1_56 )); -// synopsys translate_off -defparam \Addr[9] .cin0_used = "true"; -defparam \Addr[9] .cin1_used = "true"; -defparam \Addr[9] .lut_mask = "3c3f"; -defparam \Addr[9] .operation_mode = "arithmetic"; -defparam \Addr[9] .output_mode = "reg_only"; -defparam \Addr[9] .register_cascade_mode = "off"; -defparam \Addr[9] .sum_lutc_input = "cin"; -defparam \Addr[9] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X5_Y2_N2 -maxii_lcell \Addr[10] ( -// Equation(s): -// Addr[10] = DFFEAS((Addr[10] $ ((!\Addr[9]~37 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[2]~2 , , , \always9~3_combout ) -// \Addr[10]~3 = CARRY(((Addr[10] & !\Addr[9]~37 ))) -// \Addr[10]~3COUT1_57 = CARRY(((Addr[10] & !\Addr[9]~37COUT1_56 ))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[10]), - .datac(\RD[2]~2 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~3_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[9]~37 ), - .cin1(\Addr[9]~37COUT1_56 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[10]), - .cout(), - .cout0(\Addr[10]~3 ), - .cout1(\Addr[10]~3COUT1_57 )); -// synopsys translate_off -defparam \Addr[10] .cin0_used = "true"; -defparam \Addr[10] .cin1_used = "true"; -defparam \Addr[10] .lut_mask = "c30c"; -defparam \Addr[10] .operation_mode = "arithmetic"; -defparam \Addr[10] .output_mode = "reg_only"; -defparam \Addr[10] .register_cascade_mode = "off"; -defparam \Addr[10] .sum_lutc_input = "cin"; -defparam \Addr[10] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y2_N4 -maxii_lcell \RAr[10] ( -// Equation(s): -// \Mux24~2 = (\SA[1]~2_combout & (\Mux24~1_combout )) # (!\SA[1]~2_combout & ((\Mux24~1_combout & (RAr[10])) # (!\Mux24~1_combout & ((Addr[10]))))) -// RAr[10] = DFFEAS(\Mux24~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [10], , , VCC) - - .clk(\PHI0~combout ), - .dataa(\SA[1]~2_combout ), - .datab(\Mux24~1_combout ), - .datac(\RA~combout [10]), - .datad(Addr[10]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux24~2 ), - .regout(RAr[10]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[10] .lut_mask = "d9c8"; -defparam \RAr[10] .operation_mode = "normal"; -defparam \RAr[10] .output_mode = "reg_and_comb"; -defparam \RAr[10] .register_cascade_mode = "off"; -defparam \RAr[10] .sum_lutc_input = "qfbk"; -defparam \RAr[10] .synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_8, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[9]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [9]), - .padio(RA[9])); -// synopsys translate_off -defparam \RA[9]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X3_Y2_N2 -maxii_lcell \RAr[9] ( -// Equation(s): -// \Mux16~2 = (((RAr[9] & !PS[0]))) -// RAr[9] = DFFEAS(\Mux16~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [9], , , VCC) - - .clk(\PHI0~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\RA~combout [9]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux16~2 ), - .regout(RAr[9]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[9] .lut_mask = "00f0"; -defparam \RAr[9] .operation_mode = "normal"; -defparam \RAr[9] .output_mode = "reg_and_comb"; -defparam \RAr[9] .register_cascade_mode = "off"; -defparam \RAr[9] .sum_lutc_input = "qfbk"; -defparam \RAr[9] .synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_6, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[7]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [7]), - .padio(RA[7])); -// synopsys translate_off -defparam \RA[7]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X5_Y2_N3 -maxii_lcell \Addr[11] ( -// Equation(s): -// Addr[11] = DFFEAS(Addr[11] $ ((((\Addr[10]~3 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[3]~3 , , , \always9~3_combout ) -// \Addr[11]~7 = CARRY(((!\Addr[10]~3 )) # (!Addr[11])) -// \Addr[11]~7COUT1_58 = CARRY(((!\Addr[10]~3COUT1_57 )) # (!Addr[11])) - - .clk(\C25M~combout ), - .dataa(Addr[11]), - .datab(vcc), - .datac(\RD[3]~3 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~3_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[10]~3 ), - .cin1(\Addr[10]~3COUT1_57 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[11]), - .cout(), - .cout0(\Addr[11]~7 ), - .cout1(\Addr[11]~7COUT1_58 )); -// synopsys translate_off -defparam \Addr[11] .cin0_used = "true"; -defparam \Addr[11] .cin1_used = "true"; -defparam \Addr[11] .lut_mask = "5a5f"; -defparam \Addr[11] .operation_mode = "arithmetic"; -defparam \Addr[11] .output_mode = "reg_only"; -defparam \Addr[11] .register_cascade_mode = "off"; -defparam \Addr[11] .sum_lutc_input = "cin"; -defparam \Addr[11] .synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_34, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[11]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [11]), - .padio(RA[11])); -// synopsys translate_off -defparam \RA[11]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X3_Y2_N8 -maxii_lcell \Mux23~0 ( -// Equation(s): -// \Mux23~0_combout = ((LS[11] & ((PS[0])))) - - .clk(gnd), - .dataa(vcc), - .datab(LS[11]), - .datac(vcc), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux23~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux23~0 .lut_mask = "cc00"; -defparam \Mux23~0 .operation_mode = "normal"; -defparam \Mux23~0 .output_mode = "comb_only"; -defparam \Mux23~0 .register_cascade_mode = "off"; -defparam \Mux23~0 .sum_lutc_input = "datac"; -defparam \Mux23~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y2_N1 -maxii_lcell \Mux23~1 ( -// Equation(s): -// \Mux23~1_combout = (\SA[1]~3_combout & ((\SA[1]~4_combout & ((RAr[2]))) # (!\SA[1]~4_combout & (\Mux23~0_combout )))) # (!\SA[1]~3_combout & (!\SA[1]~4_combout )) - - .clk(gnd), - .dataa(\SA[1]~3_combout ), - .datab(\SA[1]~4_combout ), - .datac(\Mux23~0_combout ), - .datad(RAr[2]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux23~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux23~1 .lut_mask = "b931"; -defparam \Mux23~1 .operation_mode = "normal"; -defparam \Mux23~1 .output_mode = "comb_only"; -defparam \Mux23~1 .register_cascade_mode = "off"; -defparam \Mux23~1 .sum_lutc_input = "datac"; -defparam \Mux23~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y2_N7 -maxii_lcell \RAr[11] ( -// Equation(s): -// \Mux23~2 = (\SA[1]~2_combout & (((\Mux23~1_combout )))) # (!\SA[1]~2_combout & ((\Mux23~1_combout & ((RAr[11]))) # (!\Mux23~1_combout & (Addr[11])))) -// RAr[11] = DFFEAS(\Mux23~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [11], , , VCC) - - .clk(\PHI0~combout ), - .dataa(\SA[1]~2_combout ), - .datab(Addr[11]), - .datac(\RA~combout [11]), - .datad(\Mux23~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux23~2 ), - .regout(RAr[11]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[11] .lut_mask = "fa44"; -defparam \RAr[11] .operation_mode = "normal"; -defparam \RAr[11] .output_mode = "reg_and_comb"; -defparam \RAr[11] .register_cascade_mode = "off"; -defparam \RAr[11] .sum_lutc_input = "qfbk"; -defparam \RAr[11] .synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_7, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[8]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [8]), - .padio(RA[8])); -// synopsys translate_off -defparam \RA[8]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X3_Y2_N6 -maxii_lcell \RAr[8] ( -// Equation(s): -// \Equal9~0 = (!RAr[10] & (!RAr[11] & (!RAr[8] & !RAr[9]))) -// RAr[8] = DFFEAS(\Equal9~0 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [8], , , VCC) - - .clk(\PHI0~combout ), - .dataa(RAr[10]), - .datab(RAr[11]), - .datac(\RA~combout [8]), - .datad(RAr[9]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal9~0 ), - .regout(RAr[8]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[8] .lut_mask = "0001"; -defparam \RAr[8] .operation_mode = "normal"; -defparam \RAr[8] .output_mode = "reg_and_comb"; -defparam \RAr[8] .register_cascade_mode = "off"; -defparam \RAr[8] .sum_lutc_input = "qfbk"; -defparam \RAr[8] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y2_N0 -maxii_lcell \RAr[7] ( -// Equation(s): -// \always8~2 = (RAr[10] & (RAr[9] & (RAr[7] & RAr[8]))) -// RAr[7] = DFFEAS(\always8~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [7], , , VCC) - - .clk(\PHI0~combout ), - .dataa(RAr[10]), - .datab(RAr[9]), - .datac(\RA~combout [7]), - .datad(RAr[8]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always8~2 ), - .regout(RAr[7]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[7] .lut_mask = "8000"; -defparam \RAr[7] .operation_mode = "normal"; -defparam \RAr[7] .output_mode = "reg_and_comb"; -defparam \RAr[7] .register_cascade_mode = "off"; -defparam \RAr[7] .sum_lutc_input = "qfbk"; -defparam \RAr[7] .synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_39, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default -maxii_io \nIOSEL~I ( - .datain(gnd), - .oe(gnd), - .combout(\nIOSEL~combout ), - .padio(nIOSEL)); -// synopsys translate_off -defparam \nIOSEL~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X4_Y1_N0 -maxii_lcell REGEN( -// Equation(s): -// \REGEN~regout = DFFEAS(((\REGEN~regout ) # ((\Equal19~0_combout & !\nIOSEL~combout ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(\REGEN~regout ), - .datac(\Equal19~0_combout ), - .datad(\nIOSEL~combout ), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\REGEN~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam REGEN.lut_mask = "ccfc"; -defparam REGEN.operation_mode = "normal"; -defparam REGEN.output_mode = "reg_only"; -defparam REGEN.register_cascade_mode = "off"; -defparam REGEN.sum_lutc_input = "datac"; -defparam REGEN.synch_mode = "off"; -// synopsys translate_on - -// Location: PIN_37, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[14]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [14]), - .padio(RA[14])); -// synopsys translate_off -defparam \RA[14]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: PIN_35, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[12]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [12]), - .padio(RA[12])); -// synopsys translate_off -defparam \RA[12]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: PIN_38, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[15]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [15]), - .padio(RA[15])); -// synopsys translate_off -defparam \RA[15]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: PIN_36, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[13]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [13]), - .padio(RA[13])); -// synopsys translate_off -defparam \RA[13]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X4_Y1_N6 -maxii_lcell CXXXr( -// Equation(s): -// \CXXXr~regout = DFFEAS((\RA~combout [14] & (!\RA~combout [12] & (\RA~combout [15] & !\RA~combout [13]))), GLOBAL(\PHI0~combout ), VCC, , , , , , ) - - .clk(\PHI0~combout ), - .dataa(\RA~combout [14]), - .datab(\RA~combout [12]), - .datac(\RA~combout [15]), - .datad(\RA~combout [13]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\CXXXr~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam CXXXr.lut_mask = "0020"; -defparam CXXXr.operation_mode = "normal"; -defparam CXXXr.output_mode = "reg_only"; -defparam CXXXr.register_cascade_mode = "off"; -defparam CXXXr.sum_lutc_input = "datac"; -defparam CXXXr.synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y1_N5 -maxii_lcell \always9~0 ( -// Equation(s): -// \always9~0_combout = (RAr[7] & (\REGEN~regout & (\CXXXr~regout & \Equal9~0 ))) - - .clk(gnd), - .dataa(RAr[7]), - .datab(\REGEN~regout ), - .datac(\CXXXr~regout ), - .datad(\Equal9~0 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always9~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \always9~0 .lut_mask = "8000"; -defparam \always9~0 .operation_mode = "normal"; -defparam \always9~0 .output_mode = "comb_only"; -defparam \always9~0 .register_cascade_mode = "off"; -defparam \always9~0 .sum_lutc_input = "datac"; -defparam \always9~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: PIN_96, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default -maxii_io \SetFW[0]~I ( - .datain(gnd), - .oe(gnd), - .combout(\SetFW~combout [0]), - .padio(SetFW[0])); -// synopsys translate_off -defparam \SetFW[0]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X4_Y2_N8 -maxii_lcell SetFWLoaded( -// Equation(s): -// \SetFWLoaded~regout = DFFEAS(VCC, GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SetFWLoaded~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam SetFWLoaded.lut_mask = "ffff"; -defparam SetFWLoaded.operation_mode = "normal"; -defparam SetFWLoaded.output_mode = "reg_only"; -defparam SetFWLoaded.register_cascade_mode = "off"; -defparam SetFWLoaded.sum_lutc_input = "datac"; -defparam SetFWLoaded.synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y2_N7 -maxii_lcell \SetFWr[0] ( -// Equation(s): -// \Mux2~2 = (LS[1] & (((!LS[2])))) # (!LS[1] & (\Equal1~0_combout & (!SetFWr[0] & LS[2]))) -// SetFWr[0] = DFFEAS(\Mux2~2 , GLOBAL(\C25M~combout ), VCC, , !\SetFWLoaded~regout , \SetFW~combout [0], , , VCC) - - .clk(\C25M~combout ), - .dataa(LS[1]), - .datab(\Equal1~0_combout ), - .datac(\SetFW~combout [0]), - .datad(LS[2]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(!\SetFWLoaded~regout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux2~2 ), - .regout(SetFWr[0]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SetFWr[0] .lut_mask = "04aa"; -defparam \SetFWr[0] .operation_mode = "normal"; -defparam \SetFWr[0] .output_mode = "reg_and_comb"; -defparam \SetFWr[0] .register_cascade_mode = "off"; -defparam \SetFWr[0] .sum_lutc_input = "qfbk"; -defparam \SetFWr[0] .synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_95, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default -maxii_io \SetFW[1]~I ( - .datain(gnd), - .oe(gnd), - .combout(\SetFW~combout [1]), - .padio(SetFW[1])); -// synopsys translate_off -defparam \SetFW[1]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X7_Y3_N6 -maxii_lcell \always9~2 ( -// Equation(s): -// \always9~2_combout = (\always9~1_combout & (!RAr[0] & (RAr[1] & \RAMRegSpecSEL~1 ))) - - .clk(gnd), - .dataa(\always9~1_combout ), - .datab(RAr[0]), - .datac(RAr[1]), - .datad(\RAMRegSpecSEL~1 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always9~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \always9~2 .lut_mask = "2000"; -defparam \always9~2 .operation_mode = "normal"; -defparam \always9~2 .output_mode = "comb_only"; -defparam \always9~2 .register_cascade_mode = "off"; -defparam \always9~2 .sum_lutc_input = "datac"; -defparam \always9~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y2_N9 -maxii_lcell \AddrIncH~0 ( -// Equation(s): -// \AddrIncH~0_combout = ((\AddrIncM~regout & (Addr[8]))) - - .clk(gnd), - .dataa(vcc), - .datab(\AddrIncM~regout ), - .datac(Addr[8]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\AddrIncH~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \AddrIncH~0 .lut_mask = "c0c0"; -defparam \AddrIncH~0 .operation_mode = "normal"; -defparam \AddrIncH~0 .output_mode = "comb_only"; -defparam \AddrIncH~0 .register_cascade_mode = "off"; -defparam \AddrIncH~0 .sum_lutc_input = "datac"; -defparam \AddrIncH~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y2_N4 -maxii_lcell \Addr[12] ( -// Equation(s): -// Addr[12] = DFFEAS(Addr[12] $ ((((!\Addr[11]~7 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[4]~4 , , , \always9~3_combout ) -// \Addr[12]~11 = CARRY((Addr[12] & ((!\Addr[11]~7COUT1_58 )))) - - .clk(\C25M~combout ), - .dataa(Addr[12]), - .datab(vcc), - .datac(\RD[4]~4 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~3_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[11]~7 ), - .cin1(\Addr[11]~7COUT1_58 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[12]), - .cout(\Addr[12]~11 ), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Addr[12] .cin0_used = "true"; -defparam \Addr[12] .cin1_used = "true"; -defparam \Addr[12] .lut_mask = "a50a"; -defparam \Addr[12] .operation_mode = "arithmetic"; -defparam \Addr[12] .output_mode = "reg_only"; -defparam \Addr[12] .register_cascade_mode = "off"; -defparam \Addr[12] .sum_lutc_input = "cin"; -defparam \Addr[12] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X5_Y2_N5 -maxii_lcell \Addr[13] ( -// Equation(s): -// Addr[13] = DFFEAS(Addr[13] $ ((((\Addr[12]~11 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[5]~5 , , , \always9~3_combout ) -// \Addr[13]~15 = CARRY(((!\Addr[12]~11 )) # (!Addr[13])) -// \Addr[13]~15COUT1_59 = CARRY(((!\Addr[12]~11 )) # (!Addr[13])) - - .clk(\C25M~combout ), - .dataa(Addr[13]), - .datab(vcc), - .datac(\RD[5]~5 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~3_combout ), - .ena(vcc), - .cin(\Addr[12]~11 ), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[13]), - .cout(), - .cout0(\Addr[13]~15 ), - .cout1(\Addr[13]~15COUT1_59 )); -// synopsys translate_off -defparam \Addr[13] .cin_used = "true"; -defparam \Addr[13] .lut_mask = "5a5f"; -defparam \Addr[13] .operation_mode = "arithmetic"; -defparam \Addr[13] .output_mode = "reg_only"; -defparam \Addr[13] .register_cascade_mode = "off"; -defparam \Addr[13] .sum_lutc_input = "cin"; -defparam \Addr[13] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X5_Y2_N6 -maxii_lcell \Addr[14] ( -// Equation(s): -// Addr[14] = DFFEAS(Addr[14] $ ((((!(!\Addr[12]~11 & \Addr[13]~15 ) # (\Addr[12]~11 & \Addr[13]~15COUT1_59 ))))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[6]~6 , , , \always9~3_combout ) -// \Addr[14]~19 = CARRY((Addr[14] & ((!\Addr[13]~15 )))) -// \Addr[14]~19COUT1_60 = CARRY((Addr[14] & ((!\Addr[13]~15COUT1_59 )))) - - .clk(\C25M~combout ), - .dataa(Addr[14]), - .datab(vcc), - .datac(\RD[6]~6 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~3_combout ), - .ena(vcc), - .cin(\Addr[12]~11 ), - .cin0(\Addr[13]~15 ), - .cin1(\Addr[13]~15COUT1_59 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[14]), - .cout(), - .cout0(\Addr[14]~19 ), - .cout1(\Addr[14]~19COUT1_60 )); -// synopsys translate_off -defparam \Addr[14] .cin0_used = "true"; -defparam \Addr[14] .cin1_used = "true"; -defparam \Addr[14] .cin_used = "true"; -defparam \Addr[14] .lut_mask = "a50a"; -defparam \Addr[14] .operation_mode = "arithmetic"; -defparam \Addr[14] .output_mode = "reg_only"; -defparam \Addr[14] .register_cascade_mode = "off"; -defparam \Addr[14] .sum_lutc_input = "cin"; -defparam \Addr[14] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X5_Y2_N8 -maxii_lcell \AddrIncH~1 ( -// Equation(s): -// \AddrIncH~1_combout = (Addr[13] & (Addr[12] & (Addr[14] & Addr[11]))) - - .clk(gnd), - .dataa(Addr[13]), - .datab(Addr[12]), - .datac(Addr[14]), - .datad(Addr[11]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\AddrIncH~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \AddrIncH~1 .lut_mask = "8000"; -defparam \AddrIncH~1 .operation_mode = "normal"; -defparam \AddrIncH~1 .output_mode = "comb_only"; -defparam \AddrIncH~1 .register_cascade_mode = "off"; -defparam \AddrIncH~1 .sum_lutc_input = "datac"; -defparam \AddrIncH~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y2_N4 -maxii_lcell \AddrIncH~2 ( -// Equation(s): -// \AddrIncH~2_combout = (Addr[9] & (\AddrIncH~0_combout & (Addr[10] & \AddrIncH~1_combout ))) - - .clk(gnd), - .dataa(Addr[9]), - .datab(\AddrIncH~0_combout ), - .datac(Addr[10]), - .datad(\AddrIncH~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\AddrIncH~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \AddrIncH~2 .lut_mask = "8000"; -defparam \AddrIncH~2 .operation_mode = "normal"; -defparam \AddrIncH~2 .output_mode = "comb_only"; -defparam \AddrIncH~2 .register_cascade_mode = "off"; -defparam \AddrIncH~2 .sum_lutc_input = "datac"; -defparam \AddrIncH~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y2_N7 -maxii_lcell \Addr[15] ( -// Equation(s): -// Addr[15] = DFFEAS((Addr[15] $ (((!\Addr[12]~11 & \Addr[14]~19 ) # (\Addr[12]~11 & \Addr[14]~19COUT1_60 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[7]~7 , , , \always9~3_combout ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[15]), - .datac(\RD[7]~7 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~3_combout ), - .ena(vcc), - .cin(\Addr[12]~11 ), - .cin0(\Addr[14]~19 ), - .cin1(\Addr[14]~19COUT1_60 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[15]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Addr[15] .cin0_used = "true"; -defparam \Addr[15] .cin1_used = "true"; -defparam \Addr[15] .cin_used = "true"; -defparam \Addr[15] .lut_mask = "3c3c"; -defparam \Addr[15] .operation_mode = "normal"; -defparam \Addr[15] .output_mode = "reg_only"; -defparam \Addr[15] .register_cascade_mode = "off"; -defparam \Addr[15] .sum_lutc_input = "cin"; -defparam \Addr[15] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y2_N2 -maxii_lcell AddrIncH( -// Equation(s): -// \AddrIncH~regout = DFFEAS((Addr[15] & ((\always9~3_combout & ((!\RD[7]~7 ))) # (!\always9~3_combout & (\AddrIncH~2_combout )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , , , , ) - - .clk(\C25M~combout ), - .dataa(\AddrIncH~2_combout ), - .datab(\always9~3_combout ), - .datac(\RD[7]~7 ), - .datad(Addr[15]), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\AddrIncH~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam AddrIncH.lut_mask = "2e00"; -defparam AddrIncH.operation_mode = "normal"; -defparam AddrIncH.output_mode = "reg_only"; -defparam AddrIncH.register_cascade_mode = "off"; -defparam AddrIncH.sum_lutc_input = "datac"; -defparam AddrIncH.synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y4_N0 -maxii_lcell \Addr[16] ( -// Equation(s): -// Addr[16] = DFFEAS(\AddrIncH~regout $ ((Addr[16])), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[0]~0 , , , \always9~2_combout ) -// \Addr[16]~27 = CARRY((\AddrIncH~regout & (Addr[16]))) -// \Addr[16]~27COUT1_49 = CARRY((\AddrIncH~regout & (Addr[16]))) - - .clk(\C25M~combout ), - .dataa(\AddrIncH~regout ), - .datab(Addr[16]), - .datac(\RD[0]~0 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~2_combout ), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[16]), - .cout(), - .cout0(\Addr[16]~27 ), - .cout1(\Addr[16]~27COUT1_49 )); -// synopsys translate_off -defparam \Addr[16] .lut_mask = "6688"; -defparam \Addr[16] .operation_mode = "arithmetic"; -defparam \Addr[16] .output_mode = "reg_only"; -defparam \Addr[16] .register_cascade_mode = "off"; -defparam \Addr[16] .sum_lutc_input = "datac"; -defparam \Addr[16] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y4_N1 -maxii_lcell \Addr[17] ( -// Equation(s): -// Addr[17] = DFFEAS((Addr[17] $ ((\Addr[16]~27 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[1]~1 , , , \always9~2_combout ) -// \Addr[17]~31 = CARRY(((!\Addr[16]~27 ) # (!Addr[17]))) -// \Addr[17]~31COUT1_50 = CARRY(((!\Addr[16]~27COUT1_49 ) # (!Addr[17]))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[17]), - .datac(\RD[1]~1 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~2_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[16]~27 ), - .cin1(\Addr[16]~27COUT1_49 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[17]), - .cout(), - .cout0(\Addr[17]~31 ), - .cout1(\Addr[17]~31COUT1_50 )); -// synopsys translate_off -defparam \Addr[17] .cin0_used = "true"; -defparam \Addr[17] .cin1_used = "true"; -defparam \Addr[17] .lut_mask = "3c3f"; -defparam \Addr[17] .operation_mode = "arithmetic"; -defparam \Addr[17] .output_mode = "reg_only"; -defparam \Addr[17] .register_cascade_mode = "off"; -defparam \Addr[17] .sum_lutc_input = "cin"; -defparam \Addr[17] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y4_N2 -maxii_lcell \Addr[18] ( -// Equation(s): -// Addr[18] = DFFEAS((Addr[18] $ ((!\Addr[17]~31 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[2]~2 , , , \always9~2_combout ) -// \Addr[18]~35 = CARRY(((Addr[18] & !\Addr[17]~31 ))) -// \Addr[18]~35COUT1_51 = CARRY(((Addr[18] & !\Addr[17]~31COUT1_50 ))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[18]), - .datac(\RD[2]~2 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~2_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[17]~31 ), - .cin1(\Addr[17]~31COUT1_50 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[18]), - .cout(), - .cout0(\Addr[18]~35 ), - .cout1(\Addr[18]~35COUT1_51 )); -// synopsys translate_off -defparam \Addr[18] .cin0_used = "true"; -defparam \Addr[18] .cin1_used = "true"; -defparam \Addr[18] .lut_mask = "c30c"; -defparam \Addr[18] .operation_mode = "arithmetic"; -defparam \Addr[18] .output_mode = "reg_only"; -defparam \Addr[18] .register_cascade_mode = "off"; -defparam \Addr[18] .sum_lutc_input = "cin"; -defparam \Addr[18] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y4_N3 -maxii_lcell \Addr[19] ( -// Equation(s): -// Addr[19] = DFFEAS(Addr[19] $ ((((\Addr[18]~35 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[3]~3 , , , \always9~2_combout ) -// \Addr[19]~39 = CARRY(((!\Addr[18]~35 )) # (!Addr[19])) -// \Addr[19]~39COUT1_52 = CARRY(((!\Addr[18]~35COUT1_51 )) # (!Addr[19])) - - .clk(\C25M~combout ), - .dataa(Addr[19]), - .datab(vcc), - .datac(\RD[3]~3 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~2_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[18]~35 ), - .cin1(\Addr[18]~35COUT1_51 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[19]), - .cout(), - .cout0(\Addr[19]~39 ), - .cout1(\Addr[19]~39COUT1_52 )); -// synopsys translate_off -defparam \Addr[19] .cin0_used = "true"; -defparam \Addr[19] .cin1_used = "true"; -defparam \Addr[19] .lut_mask = "5a5f"; -defparam \Addr[19] .operation_mode = "arithmetic"; -defparam \Addr[19] .output_mode = "reg_only"; -defparam \Addr[19] .register_cascade_mode = "off"; -defparam \Addr[19] .sum_lutc_input = "cin"; -defparam \Addr[19] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y4_N4 -maxii_lcell \Addr[20] ( -// Equation(s): -// Addr[20] = DFFEAS(Addr[20] $ ((((!\Addr[19]~39 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[4]~4 , , , \always9~2_combout ) -// \Addr[20]~41 = CARRY((Addr[20] & ((!\Addr[19]~39COUT1_52 )))) - - .clk(\C25M~combout ), - .dataa(Addr[20]), - .datab(vcc), - .datac(\RD[4]~4 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~2_combout ), - .ena(vcc), - .cin(gnd), - .cin0(\Addr[19]~39 ), - .cin1(\Addr[19]~39COUT1_52 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[20]), - .cout(\Addr[20]~41 ), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Addr[20] .cin0_used = "true"; -defparam \Addr[20] .cin1_used = "true"; -defparam \Addr[20] .lut_mask = "a50a"; -defparam \Addr[20] .operation_mode = "arithmetic"; -defparam \Addr[20] .output_mode = "reg_only"; -defparam \Addr[20] .register_cascade_mode = "off"; -defparam \Addr[20] .sum_lutc_input = "cin"; -defparam \Addr[20] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y4_N5 -maxii_lcell \Addr[21] ( -// Equation(s): -// Addr[21] = DFFEAS(Addr[21] $ ((((\Addr[20]~41 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[5]~5 , , , \always9~2_combout ) -// \Addr[21]~43 = CARRY(((!\Addr[20]~41 )) # (!Addr[21])) -// \Addr[21]~43COUT1_53 = CARRY(((!\Addr[20]~41 )) # (!Addr[21])) - - .clk(\C25M~combout ), - .dataa(Addr[21]), - .datab(vcc), - .datac(\RD[5]~5 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~2_combout ), - .ena(vcc), - .cin(\Addr[20]~41 ), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[21]), - .cout(), - .cout0(\Addr[21]~43 ), - .cout1(\Addr[21]~43COUT1_53 )); -// synopsys translate_off -defparam \Addr[21] .cin_used = "true"; -defparam \Addr[21] .lut_mask = "5a5f"; -defparam \Addr[21] .operation_mode = "arithmetic"; -defparam \Addr[21] .output_mode = "reg_only"; -defparam \Addr[21] .register_cascade_mode = "off"; -defparam \Addr[21] .sum_lutc_input = "cin"; -defparam \Addr[21] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y4_N6 -maxii_lcell \Addr[22] ( -// Equation(s): -// Addr[22] = DFFEAS(Addr[22] $ ((((!(!\Addr[20]~41 & \Addr[21]~43 ) # (\Addr[20]~41 & \Addr[21]~43COUT1_53 ))))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[6]~6 , , , \always9~2_combout ) -// \Addr[22]~45 = CARRY((Addr[22] & ((!\Addr[21]~43 )))) -// \Addr[22]~45COUT1_54 = CARRY((Addr[22] & ((!\Addr[21]~43COUT1_53 )))) - - .clk(\C25M~combout ), - .dataa(Addr[22]), - .datab(vcc), - .datac(\RD[6]~6 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~2_combout ), - .ena(vcc), - .cin(\Addr[20]~41 ), - .cin0(\Addr[21]~43 ), - .cin1(\Addr[21]~43COUT1_53 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[22]), - .cout(), - .cout0(\Addr[22]~45 ), - .cout1(\Addr[22]~45COUT1_54 )); -// synopsys translate_off -defparam \Addr[22] .cin0_used = "true"; -defparam \Addr[22] .cin1_used = "true"; -defparam \Addr[22] .cin_used = "true"; -defparam \Addr[22] .lut_mask = "a50a"; -defparam \Addr[22] .operation_mode = "arithmetic"; -defparam \Addr[22] .output_mode = "reg_only"; -defparam \Addr[22] .register_cascade_mode = "off"; -defparam \Addr[22] .sum_lutc_input = "cin"; -defparam \Addr[22] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y4_N7 -maxii_lcell \Addr[23] ( -// Equation(s): -// Addr[23] = DFFEAS((Addr[23] $ (((!\Addr[20]~41 & \Addr[22]~45 ) # (\Addr[20]~41 & \Addr[22]~45COUT1_54 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[7]~7 , , , \always9~2_combout ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[23]), - .datac(\RD[7]~7 ), - .datad(vcc), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(\always9~2_combout ), - .ena(vcc), - .cin(\Addr[20]~41 ), - .cin0(\Addr[22]~45 ), - .cin1(\Addr[22]~45COUT1_54 ), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(Addr[23]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Addr[23] .cin0_used = "true"; -defparam \Addr[23] .cin1_used = "true"; -defparam \Addr[23] .cin_used = "true"; -defparam \Addr[23] .lut_mask = "3c3c"; -defparam \Addr[23] .operation_mode = "normal"; -defparam \Addr[23] .output_mode = "reg_only"; -defparam \Addr[23] .register_cascade_mode = "off"; -defparam \Addr[23] .sum_lutc_input = "cin"; -defparam \Addr[23] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X4_Y2_N6 -maxii_lcell \SetFWr[1] ( -// Equation(s): -// \RAMSpecSEL~0 = (((SetFWr[1]) # (!Addr[23])) # (!SetFWr[0])) -// SetFWr[1] = DFFEAS(\RAMSpecSEL~0 , GLOBAL(\C25M~combout ), VCC, , !\SetFWLoaded~regout , \SetFW~combout [1], , , VCC) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(SetFWr[0]), - .datac(\SetFW~combout [1]), - .datad(Addr[23]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(!\SetFWLoaded~regout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RAMSpecSEL~0 ), - .regout(SetFWr[1]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SetFWr[1] .lut_mask = "f3ff"; -defparam \SetFWr[1] .operation_mode = "normal"; -defparam \SetFWr[1] .output_mode = "reg_and_comb"; -defparam \SetFWr[1] .register_cascade_mode = "off"; -defparam \SetFWr[1] .sum_lutc_input = "qfbk"; -defparam \SetFWr[1] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X4_Y3_N7 -maxii_lcell \RAMSpecSEL~1 ( -// Equation(s): -// \RAMSpecSEL~1_combout = (\RAMRegSpecSEL~0 & (\always9~0_combout & (\RAMSpecSEL~0 & \RAMRegSpecSEL~1 ))) - - .clk(gnd), - .dataa(\RAMRegSpecSEL~0 ), - .datab(\always9~0_combout ), - .datac(\RAMSpecSEL~0 ), - .datad(\RAMRegSpecSEL~1 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RAMSpecSEL~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAMSpecSEL~1 .lut_mask = "8000"; -defparam \RAMSpecSEL~1 .operation_mode = "normal"; -defparam \RAMSpecSEL~1 .output_mode = "comb_only"; -defparam \RAMSpecSEL~1 .register_cascade_mode = "off"; -defparam \RAMSpecSEL~1 .sum_lutc_input = "datac"; -defparam \RAMSpecSEL~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y2_N0 -maxii_lcell \SA[1]~4 ( -// Equation(s): -// \SA[1]~4_combout = (PS[1]) # ((PS[0] & (!\IS.110~regout & \RAMSpecSEL~1_combout ))) - - .clk(gnd), - .dataa(PS[0]), - .datab(PS[1]), - .datac(\IS.110~regout ), - .datad(\RAMSpecSEL~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA[1]~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[1]~4 .lut_mask = "cecc"; -defparam \SA[1]~4 .operation_mode = "normal"; -defparam \SA[1]~4 .output_mode = "comb_only"; -defparam \SA[1]~4 .register_cascade_mode = "off"; -defparam \SA[1]~4 .sum_lutc_input = "datac"; -defparam \SA[1]~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y3_N9 -maxii_lcell \RAr[3] ( -// Equation(s): -// \Mux22~1 = (\SA[1]~3_combout & ((\SA[1]~4_combout & ((RAr[3]))) # (!\SA[1]~4_combout & (\Mux22~0_combout )))) # (!\SA[1]~3_combout & (((!\SA[1]~4_combout )))) -// RAr[3] = DFFEAS(\Mux22~1 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [3], , , VCC) - - .clk(\PHI0~combout ), - .dataa(\SA[1]~3_combout ), - .datab(\Mux22~0_combout ), - .datac(\RA~combout [3]), - .datad(\SA[1]~4_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux22~1 ), - .regout(RAr[3]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[3] .lut_mask = "a0dd"; -defparam \RAr[3] .operation_mode = "normal"; -defparam \RAr[3] .output_mode = "reg_and_comb"; -defparam \RAr[3] .register_cascade_mode = "off"; -defparam \RAr[3] .sum_lutc_input = "qfbk"; -defparam \RAr[3] .synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_100, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[0]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [0]), - .padio(RA[0])); -// synopsys translate_off -defparam \RA[0]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X4_Y3_N5 -maxii_lcell \RAr[0] ( -// Equation(s): -// \always8~0 = (RAr[1] & (RAr[2] & (RAr[0] & RAr[3]))) -// RAr[0] = DFFEAS(\always8~0 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [0], , , VCC) - - .clk(\PHI0~combout ), - .dataa(RAr[1]), - .datab(RAr[2]), - .datac(\RA~combout [0]), - .datad(RAr[3]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always8~0 ), - .regout(RAr[0]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[0] .lut_mask = "8000"; -defparam \RAr[0] .operation_mode = "normal"; -defparam \RAr[0] .output_mode = "reg_and_comb"; -defparam \RAr[0] .register_cascade_mode = "off"; -defparam \RAr[0] .sum_lutc_input = "qfbk"; -defparam \RAr[0] .synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_42, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default -maxii_io \nIOSTRB~I ( - .datain(gnd), - .oe(gnd), - .combout(\nIOSTRB~combout ), - .padio(nIOSTRB)); -// synopsys translate_off -defparam \nIOSTRB~I .operation_mode = "input"; -// synopsys translate_on - -// Location: PIN_3, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[6]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [6]), - .padio(RA[6])); -// synopsys translate_off -defparam \RA[6]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X3_Y4_N4 -maxii_lcell \RAr[6] ( -// Equation(s): -// \Mux19~2 = (((RAr[6]) # (PS[0]))) -// RAr[6] = DFFEAS(\Mux19~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [6], , , VCC) - - .clk(\PHI0~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\RA~combout [6]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux19~2 ), - .regout(RAr[6]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[6] .lut_mask = "fff0"; -defparam \RAr[6] .operation_mode = "normal"; -defparam \RAr[6] .output_mode = "reg_and_comb"; -defparam \RAr[6] .register_cascade_mode = "off"; -defparam \RAr[6] .sum_lutc_input = "qfbk"; -defparam \RAr[6] .synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_1, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[4]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [4]), - .padio(RA[4])); -// synopsys translate_off -defparam \RA[4]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X3_Y4_N3 -maxii_lcell \RAr[4] ( -// Equation(s): -// \Mux21~2 = (((RAr[4] & !PS[0]))) -// RAr[4] = DFFEAS(\Mux21~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [4], , , VCC) - - .clk(\PHI0~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\RA~combout [4]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux21~2 ), - .regout(RAr[4]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[4] .lut_mask = "00f0"; -defparam \RAr[4] .operation_mode = "normal"; -defparam \RAr[4] .output_mode = "reg_and_comb"; -defparam \RAr[4] .register_cascade_mode = "off"; -defparam \RAr[4] .sum_lutc_input = "qfbk"; -defparam \RAr[4] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y4_N2 -maxii_lcell nIOSTRBr( -// Equation(s): -// \always8~1 = (((!nIOSTRBr & RAr[4]))) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\nIOSTRB~combout ), - .datad(RAr[4]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always8~1 ), - .regout(\nIOSTRBr~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam nIOSTRBr.lut_mask = "0f00"; -defparam nIOSTRBr.operation_mode = "normal"; -defparam nIOSTRBr.output_mode = "comb_only"; -defparam nIOSTRBr.register_cascade_mode = "off"; -defparam nIOSTRBr.sum_lutc_input = "qfbk"; -defparam nIOSTRBr.synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_2, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \RA[5]~I ( - .datain(gnd), - .oe(gnd), - .combout(\RA~combout [5]), - .padio(RA[5])); -// synopsys translate_off -defparam \RA[5]~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X3_Y4_N7 -maxii_lcell \RAr[5] ( -// Equation(s): -// \always8~3 = (RAr[6] & (\always8~1 & (RAr[5] & \always8~2 ))) -// RAr[5] = DFFEAS(\always8~3 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [5], , , VCC) - - .clk(\PHI0~combout ), - .dataa(RAr[6]), - .datab(\always8~1 ), - .datac(\RA~combout [5]), - .datad(\always8~2 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always8~3 ), - .regout(RAr[5]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RAr[5] .lut_mask = "8000"; -defparam \RAr[5] .operation_mode = "normal"; -defparam \RAr[5] .output_mode = "reg_and_comb"; -defparam \RAr[5] .register_cascade_mode = "off"; -defparam \RAr[5] .sum_lutc_input = "qfbk"; -defparam \RAr[5] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X4_Y1_N7 -maxii_lcell \always8~4 ( -// Equation(s): -// \always8~4_combout = (\always8~0 & (\Equal19~0_combout & (!\nIOSTRB~combout & \always8~3 ))) - - .clk(gnd), - .dataa(\always8~0 ), - .datab(\Equal19~0_combout ), - .datac(\nIOSTRB~combout ), - .datad(\always8~3 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\always8~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \always8~4 .lut_mask = "0800"; -defparam \always8~4 .operation_mode = "normal"; -defparam \always8~4 .output_mode = "comb_only"; -defparam \always8~4 .register_cascade_mode = "off"; -defparam \always8~4 .sum_lutc_input = "datac"; -defparam \always8~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y1_N4 -maxii_lcell IOROMEN( -// Equation(s): -// \IOROMEN~regout = DFFEAS((!\always8~4_combout & ((\IOROMEN~regout ) # ((\Equal19~0_combout & !\nIOSEL~combout )))), GLOBAL(\C25M~combout ), VCC, , , , , !\nRESr~regout , ) - - .clk(\C25M~combout ), - .dataa(\IOROMEN~regout ), - .datab(\always8~4_combout ), - .datac(\Equal19~0_combout ), - .datad(\nIOSEL~combout ), - .aclr(gnd), - .aload(gnd), - .sclr(!\nRESr~regout ), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\IOROMEN~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam IOROMEN.lut_mask = "2232"; -defparam IOROMEN.operation_mode = "normal"; -defparam IOROMEN.output_mode = "reg_only"; -defparam IOROMEN.register_cascade_mode = "off"; -defparam IOROMEN.sum_lutc_input = "datac"; -defparam IOROMEN.synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X4_Y3_N2 -maxii_lcell \Equal16~0 ( -// Equation(s): -// \Equal16~0_combout = (\RA~combout [2] & (\RA~combout [3] & (\RA~combout [1] & \RA~combout [0]))) - - .clk(gnd), - .dataa(\RA~combout [2]), - .datab(\RA~combout [3]), - .datac(\RA~combout [1]), - .datad(\RA~combout [0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal16~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal16~0 .lut_mask = "8000"; -defparam \Equal16~0 .operation_mode = "normal"; -defparam \Equal16~0 .output_mode = "comb_only"; -defparam \Equal16~0 .register_cascade_mode = "off"; -defparam \Equal16~0 .sum_lutc_input = "datac"; -defparam \Equal16~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y4_N1 -maxii_lcell \Equal16~1 ( -// Equation(s): -// \Equal16~1_combout = (\RA~combout [4] & (\RA~combout [7] & (\RA~combout [6] & \RA~combout [5]))) - - .clk(gnd), - .dataa(\RA~combout [4]), - .datab(\RA~combout [7]), - .datac(\RA~combout [6]), - .datad(\RA~combout [5]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal16~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal16~1 .lut_mask = "8000"; -defparam \Equal16~1 .operation_mode = "normal"; -defparam \Equal16~1 .output_mode = "comb_only"; -defparam \Equal16~1 .register_cascade_mode = "off"; -defparam \Equal16~1 .sum_lutc_input = "datac"; -defparam \Equal16~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y2_N1 -maxii_lcell \Equal16~2 ( -// Equation(s): -// \Equal16~2_combout = (\RA~combout [9] & (\RA~combout [8] & (\RA~combout [10] & \Equal16~1_combout ))) - - .clk(gnd), - .dataa(\RA~combout [9]), - .datab(\RA~combout [8]), - .datac(\RA~combout [10]), - .datad(\Equal16~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal16~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal16~2 .lut_mask = "8000"; -defparam \Equal16~2 .operation_mode = "normal"; -defparam \Equal16~2 .output_mode = "comb_only"; -defparam \Equal16~2 .register_cascade_mode = "off"; -defparam \Equal16~2 .sum_lutc_input = "datac"; -defparam \Equal16~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y1_N3 -maxii_lcell \comb~1 ( -// Equation(s): -// \comb~1_combout = (\IOROMEN~regout & (!\nIOSTRB~combout & ((!\Equal16~2_combout ) # (!\Equal16~0_combout )))) - - .clk(gnd), - .dataa(\IOROMEN~regout ), - .datab(\Equal16~0_combout ), - .datac(\nIOSTRB~combout ), - .datad(\Equal16~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\comb~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \comb~1 .lut_mask = "020a"; -defparam \comb~1 .operation_mode = "normal"; -defparam \comb~1 .output_mode = "comb_only"; -defparam \comb~1 .register_cascade_mode = "off"; -defparam \comb~1 .sum_lutc_input = "datac"; -defparam \comb~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y1_N8 -maxii_lcell \comb~2 ( -// Equation(s): -// \comb~2_combout = (\comb~0 & ((\comb~1_combout ) # ((!\nDEVSEL~combout ) # (!\nIOSEL~combout )))) - - .clk(gnd), - .dataa(\comb~1_combout ), - .datab(\nIOSEL~combout ), - .datac(\nDEVSEL~combout ), - .datad(\comb~0 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\comb~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \comb~2 .lut_mask = "bf00"; -defparam \comb~2 .operation_mode = "normal"; -defparam \comb~2 .output_mode = "comb_only"; -defparam \comb~2 .register_cascade_mode = "off"; -defparam \comb~2 .sum_lutc_input = "datac"; -defparam \comb~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y2_N1 -maxii_lcell \Mux14~2 ( -// Equation(s): -// \Mux14~2_combout = (((PS[1]) # (PS[0]))) - - .clk(gnd), - .dataa(vcc), - .datab(vcc), - .datac(PS[1]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux14~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux14~2 .lut_mask = "fff0"; -defparam \Mux14~2 .operation_mode = "normal"; -defparam \Mux14~2 .output_mode = "comb_only"; -defparam \Mux14~2 .register_cascade_mode = "off"; -defparam \Mux14~2 .sum_lutc_input = "datac"; -defparam \Mux14~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y2_N7 -maxii_lcell \Mux14~3 ( -// Equation(s): -// \Mux14~3_combout = (Addr[23] & (!SetFWr[1] & (!\IS.110~regout & !\Mux14~2_combout ))) - - .clk(gnd), - .dataa(Addr[23]), - .datab(SetFWr[1]), - .datac(\IS.110~regout ), - .datad(\Mux14~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux14~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux14~3 .lut_mask = "0002"; -defparam \Mux14~3 .operation_mode = "normal"; -defparam \Mux14~3 .output_mode = "comb_only"; -defparam \Mux14~3 .register_cascade_mode = "off"; -defparam \Mux14~3 .sum_lutc_input = "datac"; -defparam \Mux14~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y2_N8 -maxii_lcell \Mux14~0 ( -// Equation(s): -// \Mux14~0_combout = (Addr[23] & (!SetFWr[1] & (PS[1] $ (PS[0])))) - - .clk(gnd), - .dataa(Addr[23]), - .datab(SetFWr[1]), - .datac(PS[1]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux14~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux14~0 .lut_mask = "0220"; -defparam \Mux14~0 .operation_mode = "normal"; -defparam \Mux14~0 .output_mode = "comb_only"; -defparam \Mux14~0 .register_cascade_mode = "off"; -defparam \Mux14~0 .sum_lutc_input = "datac"; -defparam \Mux14~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y2_N9 -maxii_lcell \Mux14~1 ( -// Equation(s): -// \Mux14~1_combout = (\RAMSpecSEL~1_combout & (\Mux14~0_combout & ((PS[1]) # (!\IS.110~regout )))) - - .clk(gnd), - .dataa(PS[1]), - .datab(\RAMSpecSEL~1_combout ), - .datac(\IS.110~regout ), - .datad(\Mux14~0_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux14~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux14~1 .lut_mask = "8c00"; -defparam \Mux14~1 .operation_mode = "normal"; -defparam \Mux14~1 .output_mode = "comb_only"; -defparam \Mux14~1 .register_cascade_mode = "off"; -defparam \Mux14~1 .sum_lutc_input = "datac"; -defparam \Mux14~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y2_N0 -maxii_lcell \SBA[0]~reg0 ( -// Equation(s): -// \SBA[0]~reg0_regout = DFFEAS(((PS[3] & (\Mux14~3_combout )) # (!PS[3] & ((\Mux14~1_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(\Mux14~3_combout ), - .datac(PS[3]), - .datad(\Mux14~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(PS[2]), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SBA[0]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SBA[0]~reg0 .lut_mask = "cfc0"; -defparam \SBA[0]~reg0 .operation_mode = "normal"; -defparam \SBA[0]~reg0 .output_mode = "reg_only"; -defparam \SBA[0]~reg0 .register_cascade_mode = "off"; -defparam \SBA[0]~reg0 .sum_lutc_input = "datac"; -defparam \SBA[0]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X4_Y2_N5 -maxii_lcell \Mux13~0 ( -// Equation(s): -// \Mux13~0_combout = (PS[0] & (!PS[1] & ((\IS.110~regout ) # (!\RAMSpecSEL~1_combout )))) # (!PS[0] & (PS[1] & ((!\RAMSpecSEL~1_combout )))) - - .clk(gnd), - .dataa(PS[0]), - .datab(PS[1]), - .datac(\IS.110~regout ), - .datad(\RAMSpecSEL~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux13~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux13~0 .lut_mask = "2066"; -defparam \Mux13~0 .operation_mode = "normal"; -defparam \Mux13~0 .output_mode = "comb_only"; -defparam \Mux13~0 .register_cascade_mode = "off"; -defparam \Mux13~0 .sum_lutc_input = "datac"; -defparam \Mux13~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y2_N8 -maxii_lcell \SBA[1]~reg0 ( -// Equation(s): -// \SBA[1]~reg0_regout = DFFEAS((PS[3] & (\IS.110~regout & ((!\Mux14~2_combout )))) # (!PS[3] & (((\Mux13~0_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) - - .clk(\C25M~combout ), - .dataa(\IS.110~regout ), - .datab(\Mux13~0_combout ), - .datac(PS[3]), - .datad(\Mux14~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(PS[2]), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SBA[1]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SBA[1]~reg0 .lut_mask = "0cac"; -defparam \SBA[1]~reg0 .operation_mode = "normal"; -defparam \SBA[1]~reg0 .output_mode = "reg_only"; -defparam \SBA[1]~reg0 .register_cascade_mode = "off"; -defparam \SBA[1]~reg0 .sum_lutc_input = "datac"; -defparam \SBA[1]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y2_N7 -maxii_lcell \Mux24~3 ( -// Equation(s): -// \Mux24~3_combout = (PS[3] & (LS[1] & ((!\Mux14~2_combout )))) # (!PS[3] & (((\Mux24~2 )))) - - .clk(gnd), - .dataa(PS[3]), - .datab(LS[1]), - .datac(\Mux24~2 ), - .datad(\Mux14~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux24~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux24~3 .lut_mask = "50d8"; -defparam \Mux24~3 .operation_mode = "normal"; -defparam \Mux24~3 .output_mode = "comb_only"; -defparam \Mux24~3 .register_cascade_mode = "off"; -defparam \Mux24~3 .sum_lutc_input = "datac"; -defparam \Mux24~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y4_N4 -maxii_lcell \SA[1]~5 ( -// Equation(s): -// \SA[1]~5_combout = ((PS[0]) # ((\IS.110~regout & PS[3]))) - - .clk(gnd), - .dataa(vcc), - .datab(\IS.110~regout ), - .datac(PS[3]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA[1]~5_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[1]~5 .lut_mask = "ffc0"; -defparam \SA[1]~5 .operation_mode = "normal"; -defparam \SA[1]~5 .output_mode = "comb_only"; -defparam \SA[1]~5 .register_cascade_mode = "off"; -defparam \SA[1]~5 .sum_lutc_input = "datac"; -defparam \SA[1]~5 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y4_N7 -maxii_lcell \SA[1]~6 ( -// Equation(s): -// \SA[1]~6_combout = (\SA[1]~5_combout ) # ((PS[1] & ((PS[3]) # (!\RAMSpecSEL~1_combout ))) # (!PS[1] & (!PS[3]))) - - .clk(gnd), - .dataa(\SA[1]~5_combout ), - .datab(PS[1]), - .datac(PS[3]), - .datad(\RAMSpecSEL~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA[1]~6_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[1]~6 .lut_mask = "ebef"; -defparam \SA[1]~6 .operation_mode = "normal"; -defparam \SA[1]~6 .output_mode = "comb_only"; -defparam \SA[1]~6 .register_cascade_mode = "off"; -defparam \SA[1]~6 .sum_lutc_input = "datac"; -defparam \SA[1]~6 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y2_N5 -maxii_lcell \SA[0]~reg0 ( -// Equation(s): -// \SA[0]~reg0_regout = DFFEAS(((\SA[1]~6_combout & ((\Mux24~3_combout ))) # (!\SA[1]~6_combout & (Addr[1]))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) - - .clk(\C25M~combout ), - .dataa(Addr[1]), - .datab(vcc), - .datac(\Mux24~3_combout ), - .datad(\SA[1]~6_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(PS[2]), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[0]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[0]~reg0 .lut_mask = "f0aa"; -defparam \SA[0]~reg0 .operation_mode = "normal"; -defparam \SA[0]~reg0 .output_mode = "reg_only"; -defparam \SA[0]~reg0 .register_cascade_mode = "off"; -defparam \SA[0]~reg0 .sum_lutc_input = "datac"; -defparam \SA[0]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y2_N6 -maxii_lcell \Mux23~3 ( -// Equation(s): -// \Mux23~3_combout = (PS[3] & (!\Mux14~2_combout & (LS[2]))) # (!PS[3] & (((\Mux23~2 )))) - - .clk(gnd), - .dataa(\Mux14~2_combout ), - .datab(LS[2]), - .datac(PS[3]), - .datad(\Mux23~2 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux23~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux23~3 .lut_mask = "4f40"; -defparam \Mux23~3 .operation_mode = "normal"; -defparam \Mux23~3 .output_mode = "comb_only"; -defparam \Mux23~3 .register_cascade_mode = "off"; -defparam \Mux23~3 .sum_lutc_input = "datac"; -defparam \Mux23~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y2_N4 -maxii_lcell \SA[1]~reg0 ( -// Equation(s): -// \SA[1]~reg0_regout = DFFEAS(((\SA[1]~6_combout & ((\Mux23~3_combout ))) # (!\SA[1]~6_combout & (Addr[2]))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[2]), - .datac(\Mux23~3_combout ), - .datad(\SA[1]~6_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(PS[2]), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[1]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[1]~reg0 .lut_mask = "f0cc"; -defparam \SA[1]~reg0 .operation_mode = "normal"; -defparam \SA[1]~reg0 .output_mode = "reg_only"; -defparam \SA[1]~reg0 .register_cascade_mode = "off"; -defparam \SA[1]~reg0 .sum_lutc_input = "datac"; -defparam \SA[1]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y2_N9 -maxii_lcell Bank( -// Equation(s): -// \Bank~regout = DFFEAS((\always9~1_combout & ((\always8~0 & (\RD[0]~0 )) # (!\always8~0 & ((\Bank~regout ))))) # (!\always9~1_combout & (((\Bank~regout )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , , , , ) - - .clk(\C25M~combout ), - .dataa(\always9~1_combout ), - .datab(\RD[0]~0 ), - .datac(\always8~0 ), - .datad(\Bank~regout ), - .aclr(!\nRESr~regout ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\Bank~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam Bank.lut_mask = "df80"; -defparam Bank.operation_mode = "normal"; -defparam Bank.output_mode = "reg_only"; -defparam Bank.register_cascade_mode = "off"; -defparam Bank.sum_lutc_input = "datac"; -defparam Bank.synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y2_N3 -maxii_lcell \Mux22~2 ( -// Equation(s): -// \Mux22~2_combout = (\SA[1]~2_combout & (((\Mux22~1 )))) # (!\SA[1]~2_combout & ((\Mux22~1 & ((\Bank~regout ))) # (!\Mux22~1 & (Addr[12])))) - - .clk(gnd), - .dataa(Addr[12]), - .datab(\SA[1]~2_combout ), - .datac(\Mux22~1 ), - .datad(\Bank~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux22~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux22~2 .lut_mask = "f2c2"; -defparam \Mux22~2 .operation_mode = "normal"; -defparam \Mux22~2 .output_mode = "comb_only"; -defparam \Mux22~2 .register_cascade_mode = "off"; -defparam \Mux22~2 .sum_lutc_input = "datac"; -defparam \Mux22~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y2_N0 -maxii_lcell \Mux22~3 ( -// Equation(s): -// \Mux22~3_combout = (PS[3] & (((LS[3] & !\Mux14~2_combout )))) # (!PS[3] & (\Mux22~2_combout )) - - .clk(gnd), - .dataa(\Mux22~2_combout ), - .datab(LS[3]), - .datac(PS[3]), - .datad(\Mux14~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux22~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux22~3 .lut_mask = "0aca"; -defparam \Mux22~3 .operation_mode = "normal"; -defparam \Mux22~3 .output_mode = "comb_only"; -defparam \Mux22~3 .register_cascade_mode = "off"; -defparam \Mux22~3 .sum_lutc_input = "datac"; -defparam \Mux22~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y2_N1 -maxii_lcell \SA[2]~reg0 ( -// Equation(s): -// \SA[2]~reg0_regout = DFFEAS(((\SA[1]~6_combout & ((\Mux22~3_combout ))) # (!\SA[1]~6_combout & (Addr[3]))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(Addr[3]), - .datac(\Mux22~3_combout ), - .datad(\SA[1]~6_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(PS[2]), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[2]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[2]~reg0 .lut_mask = "f0cc"; -defparam \SA[2]~reg0 .operation_mode = "normal"; -defparam \SA[2]~reg0 .output_mode = "reg_only"; -defparam \SA[2]~reg0 .register_cascade_mode = "off"; -defparam \SA[2]~reg0 .sum_lutc_input = "datac"; -defparam \SA[2]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y4_N6 -maxii_lcell \SA[3]~15 ( -// Equation(s): -// \SA[3]~15_combout = (PS[3] & (!PS[1] & (!\IS.110~regout & !PS[0]))) # (!PS[3] & (PS[1])) - - .clk(gnd), - .dataa(PS[3]), - .datab(PS[1]), - .datac(\IS.110~regout ), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA[3]~15_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[3]~15 .lut_mask = "4446"; -defparam \SA[3]~15 .operation_mode = "normal"; -defparam \SA[3]~15 .output_mode = "comb_only"; -defparam \SA[3]~15 .register_cascade_mode = "off"; -defparam \SA[3]~15 .sum_lutc_input = "datac"; -defparam \SA[3]~15 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y4_N8 -maxii_lcell \SA[3]~9 ( -// Equation(s): -// \SA[3]~9_combout = ((PS[3] & (!PS[1] & !PS[0]))) # (!\SA[1]~6_combout ) - - .clk(gnd), - .dataa(PS[3]), - .datab(PS[1]), - .datac(\SA[1]~6_combout ), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA[3]~9_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[3]~9 .lut_mask = "0f2f"; -defparam \SA[3]~9 .operation_mode = "normal"; -defparam \SA[3]~9 .output_mode = "comb_only"; -defparam \SA[3]~9 .register_cascade_mode = "off"; -defparam \SA[3]~9 .sum_lutc_input = "datac"; -defparam \SA[3]~9 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y4_N6 -maxii_lcell \Mux21~3 ( -// Equation(s): -// \Mux21~3_combout = (\SA[3]~15_combout & (((Addr[4]) # (!\SA[3]~9_combout )))) # (!\SA[3]~15_combout & (LS[4] & ((\SA[3]~9_combout )))) - - .clk(gnd), - .dataa(\SA[3]~15_combout ), - .datab(LS[4]), - .datac(Addr[4]), - .datad(\SA[3]~9_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux21~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux21~3 .lut_mask = "e4aa"; -defparam \Mux21~3 .operation_mode = "normal"; -defparam \Mux21~3 .output_mode = "comb_only"; -defparam \Mux21~3 .register_cascade_mode = "off"; -defparam \Mux21~3 .sum_lutc_input = "datac"; -defparam \Mux21~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y4_N8 -maxii_lcell \Mux21~4 ( -// Equation(s): -// \Mux21~4_combout = (Addr[13] & (PS[0] & (\RAMSpecSEL~1_combout & !\IS.110~regout ))) - - .clk(gnd), - .dataa(Addr[13]), - .datab(PS[0]), - .datac(\RAMSpecSEL~1_combout ), - .datad(\IS.110~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux21~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux21~4 .lut_mask = "0080"; -defparam \Mux21~4 .operation_mode = "normal"; -defparam \Mux21~4 .output_mode = "comb_only"; -defparam \Mux21~4 .register_cascade_mode = "off"; -defparam \Mux21~4 .sum_lutc_input = "datac"; -defparam \Mux21~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y4_N2 -maxii_lcell \SA[3]~8 ( -// Equation(s): -// \SA[3]~8_combout = (PS[3]) # ((!\SA[1]~5_combout & (PS[1] & \RAMSpecSEL~1_combout ))) - - .clk(gnd), - .dataa(\SA[1]~5_combout ), - .datab(PS[1]), - .datac(PS[3]), - .datad(\RAMSpecSEL~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA[3]~8_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[3]~8 .lut_mask = "f4f0"; -defparam \SA[3]~8 .operation_mode = "normal"; -defparam \SA[3]~8 .output_mode = "comb_only"; -defparam \SA[3]~8 .register_cascade_mode = "off"; -defparam \SA[3]~8 .sum_lutc_input = "datac"; -defparam \SA[3]~8 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y4_N3 -maxii_lcell \SA[3]~reg0 ( -// Equation(s): -// \SA[3]~reg0_regout = DFFEAS((\Mux21~3_combout & ((\Mux21~2 ) # ((\SA[3]~8_combout )))) # (!\Mux21~3_combout & (((\Mux21~4_combout & !\SA[3]~8_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) - - .clk(\C25M~combout ), - .dataa(\Mux21~3_combout ), - .datab(\Mux21~2 ), - .datac(\Mux21~4_combout ), - .datad(\SA[3]~8_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(PS[2]), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[3]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[3]~reg0 .lut_mask = "aad8"; -defparam \SA[3]~reg0 .operation_mode = "normal"; -defparam \SA[3]~reg0 .output_mode = "reg_only"; -defparam \SA[3]~reg0 .register_cascade_mode = "off"; -defparam \SA[3]~reg0 .sum_lutc_input = "datac"; -defparam \SA[3]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y4_N9 -maxii_lcell \Mux20~4 ( -// Equation(s): -// \Mux20~4_combout = (\RAMSpecSEL~1_combout & (PS[0] & (Addr[14] & !\IS.110~regout ))) - - .clk(gnd), - .dataa(\RAMSpecSEL~1_combout ), - .datab(PS[0]), - .datac(Addr[14]), - .datad(\IS.110~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux20~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux20~4 .lut_mask = "0080"; -defparam \Mux20~4 .operation_mode = "normal"; -defparam \Mux20~4 .output_mode = "comb_only"; -defparam \Mux20~4 .register_cascade_mode = "off"; -defparam \Mux20~4 .sum_lutc_input = "datac"; -defparam \Mux20~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y4_N0 -maxii_lcell \Mux20~2 ( -// Equation(s): -// \Mux20~2_combout = (RAr[5] & (((!PS[0])))) - - .clk(gnd), - .dataa(RAr[5]), - .datab(vcc), - .datac(vcc), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux20~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux20~2 .lut_mask = "00aa"; -defparam \Mux20~2 .operation_mode = "normal"; -defparam \Mux20~2 .output_mode = "comb_only"; -defparam \Mux20~2 .register_cascade_mode = "off"; -defparam \Mux20~2 .sum_lutc_input = "datac"; -defparam \Mux20~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y4_N7 -maxii_lcell \Mux20~3 ( -// Equation(s): -// \Mux20~3_combout = (\SA[3]~9_combout & ((\SA[3]~15_combout & ((Addr[5]))) # (!\SA[3]~15_combout & (LS[5])))) # (!\SA[3]~9_combout & (((\SA[3]~15_combout )))) - - .clk(gnd), - .dataa(LS[5]), - .datab(\SA[3]~9_combout ), - .datac(\SA[3]~15_combout ), - .datad(Addr[5]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux20~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux20~3 .lut_mask = "f838"; -defparam \Mux20~3 .operation_mode = "normal"; -defparam \Mux20~3 .output_mode = "comb_only"; -defparam \Mux20~3 .register_cascade_mode = "off"; -defparam \Mux20~3 .sum_lutc_input = "datac"; -defparam \Mux20~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y4_N4 -maxii_lcell \SA[4]~reg0 ( -// Equation(s): -// \SA[4]~reg0_regout = DFFEAS((\Mux20~3_combout & (((\Mux20~2_combout ) # (\SA[3]~8_combout )))) # (!\Mux20~3_combout & (\Mux20~4_combout & ((!\SA[3]~8_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) - - .clk(\C25M~combout ), - .dataa(\Mux20~4_combout ), - .datab(\Mux20~2_combout ), - .datac(\Mux20~3_combout ), - .datad(\SA[3]~8_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(PS[2]), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[4]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[4]~reg0 .lut_mask = "f0ca"; -defparam \SA[4]~reg0 .operation_mode = "normal"; -defparam \SA[4]~reg0 .output_mode = "reg_only"; -defparam \SA[4]~reg0 .register_cascade_mode = "off"; -defparam \SA[4]~reg0 .sum_lutc_input = "datac"; -defparam \SA[4]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X6_Y4_N5 -maxii_lcell \Mux19~3 ( -// Equation(s): -// \Mux19~3_combout = (\SA[3]~15_combout & (Addr[6] & ((\SA[3]~9_combout )))) # (!\SA[3]~15_combout & (((LS[6]) # (!\SA[3]~9_combout )))) - - .clk(gnd), - .dataa(Addr[6]), - .datab(\SA[3]~15_combout ), - .datac(LS[6]), - .datad(\SA[3]~9_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux19~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux19~3 .lut_mask = "b833"; -defparam \Mux19~3 .operation_mode = "normal"; -defparam \Mux19~3 .output_mode = "comb_only"; -defparam \Mux19~3 .register_cascade_mode = "off"; -defparam \Mux19~3 .sum_lutc_input = "datac"; -defparam \Mux19~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y4_N9 -maxii_lcell \Mux19~5 ( -// Equation(s): -// \Mux19~5_combout = (\IS.110~regout ) # (((Addr[15]) # (!PS[0])) # (!\RAMSpecSEL~1_combout )) - - .clk(gnd), - .dataa(\IS.110~regout ), - .datab(\RAMSpecSEL~1_combout ), - .datac(Addr[15]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux19~5_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux19~5 .lut_mask = "fbff"; -defparam \Mux19~5 .operation_mode = "normal"; -defparam \Mux19~5 .output_mode = "comb_only"; -defparam \Mux19~5 .register_cascade_mode = "off"; -defparam \Mux19~5 .sum_lutc_input = "datac"; -defparam \Mux19~5 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y4_N3 -maxii_lcell \Mux19~4 ( -// Equation(s): -// \Mux19~4_combout = (\Mux19~3_combout & ((\Mux19~5_combout ) # ((\SA[3]~8_combout )))) # (!\Mux19~3_combout & (((\Mux19~2 & !\SA[3]~8_combout )))) - - .clk(gnd), - .dataa(\Mux19~3_combout ), - .datab(\Mux19~5_combout ), - .datac(\Mux19~2 ), - .datad(\SA[3]~8_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux19~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux19~4 .lut_mask = "aad8"; -defparam \Mux19~4 .operation_mode = "normal"; -defparam \Mux19~4 .output_mode = "comb_only"; -defparam \Mux19~4 .register_cascade_mode = "off"; -defparam \Mux19~4 .sum_lutc_input = "datac"; -defparam \Mux19~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y4_N1 -maxii_lcell \SA[5]~reg0 ( -// Equation(s): -// \SA[5]~reg0_regout = DFFEAS((((\Mux19~4_combout ))), GLOBAL(\C25M~combout ), VCC, , , VCC, , , PS[2]) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(\Mux19~4_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(PS[2]), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[5]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[5]~reg0 .lut_mask = "ff00"; -defparam \SA[5]~reg0 .operation_mode = "normal"; -defparam \SA[5]~reg0 .output_mode = "reg_only"; -defparam \SA[5]~reg0 .register_cascade_mode = "off"; -defparam \SA[5]~reg0 .sum_lutc_input = "datac"; -defparam \SA[5]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y4_N0 -maxii_lcell \Mux18~4 ( -// Equation(s): -// \Mux18~4_combout = (!\IS.110~regout & (PS[0] & (\RAMSpecSEL~1_combout & Addr[16]))) - - .clk(gnd), - .dataa(\IS.110~regout ), - .datab(PS[0]), - .datac(\RAMSpecSEL~1_combout ), - .datad(Addr[16]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux18~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux18~4 .lut_mask = "4000"; -defparam \Mux18~4 .operation_mode = "normal"; -defparam \Mux18~4 .output_mode = "comb_only"; -defparam \Mux18~4 .register_cascade_mode = "off"; -defparam \Mux18~4 .sum_lutc_input = "datac"; -defparam \Mux18~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y4_N0 -maxii_lcell \Mux18~3 ( -// Equation(s): -// \Mux18~3_combout = (\SA[3]~15_combout & ((Addr[7]) # ((!\SA[3]~9_combout )))) # (!\SA[3]~15_combout & (((LS[7] & \SA[3]~9_combout )))) - - .clk(gnd), - .dataa(\SA[3]~15_combout ), - .datab(Addr[7]), - .datac(LS[7]), - .datad(\SA[3]~9_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux18~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux18~3 .lut_mask = "d8aa"; -defparam \Mux18~3 .operation_mode = "normal"; -defparam \Mux18~3 .output_mode = "comb_only"; -defparam \Mux18~3 .register_cascade_mode = "off"; -defparam \Mux18~3 .sum_lutc_input = "datac"; -defparam \Mux18~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y2_N9 -maxii_lcell \Mux18~2 ( -// Equation(s): -// \Mux18~2_combout = (((RAr[7] & !PS[0]))) - - .clk(gnd), - .dataa(vcc), - .datab(vcc), - .datac(RAr[7]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux18~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux18~2 .lut_mask = "00f0"; -defparam \Mux18~2 .operation_mode = "normal"; -defparam \Mux18~2 .output_mode = "comb_only"; -defparam \Mux18~2 .register_cascade_mode = "off"; -defparam \Mux18~2 .sum_lutc_input = "datac"; -defparam \Mux18~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y4_N8 -maxii_lcell \SA[6]~reg0 ( -// Equation(s): -// \SA[6]~reg0_regout = DFFEAS((\SA[3]~8_combout & (((\Mux18~3_combout )))) # (!\SA[3]~8_combout & ((\Mux18~3_combout & ((\Mux18~2_combout ))) # (!\Mux18~3_combout & (\Mux18~4_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) - - .clk(\C25M~combout ), - .dataa(\Mux18~4_combout ), - .datab(\SA[3]~8_combout ), - .datac(\Mux18~3_combout ), - .datad(\Mux18~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(PS[2]), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[6]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[6]~reg0 .lut_mask = "f2c2"; -defparam \SA[6]~reg0 .operation_mode = "normal"; -defparam \SA[6]~reg0 .output_mode = "reg_only"; -defparam \SA[6]~reg0 .register_cascade_mode = "off"; -defparam \SA[6]~reg0 .sum_lutc_input = "datac"; -defparam \SA[6]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X3_Y4_N5 -maxii_lcell \Mux17~4 ( -// Equation(s): -// \Mux17~4_combout = (Addr[17] & (PS[0] & (\RAMSpecSEL~1_combout & !\IS.110~regout ))) - - .clk(gnd), - .dataa(Addr[17]), - .datab(PS[0]), - .datac(\RAMSpecSEL~1_combout ), - .datad(\IS.110~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux17~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux17~4 .lut_mask = "0080"; -defparam \Mux17~4 .operation_mode = "normal"; -defparam \Mux17~4 .output_mode = "comb_only"; -defparam \Mux17~4 .register_cascade_mode = "off"; -defparam \Mux17~4 .sum_lutc_input = "datac"; -defparam \Mux17~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y2_N5 -maxii_lcell \Mux17~2 ( -// Equation(s): -// \Mux17~2_combout = (((RAr[8] & !PS[0]))) - - .clk(gnd), - .dataa(vcc), - .datab(vcc), - .datac(RAr[8]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux17~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux17~2 .lut_mask = "00f0"; -defparam \Mux17~2 .operation_mode = "normal"; -defparam \Mux17~2 .output_mode = "comb_only"; -defparam \Mux17~2 .register_cascade_mode = "off"; -defparam \Mux17~2 .sum_lutc_input = "datac"; -defparam \Mux17~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y4_N2 -maxii_lcell \Mux17~3 ( -// Equation(s): -// \Mux17~3_combout = (\SA[3]~15_combout & ((Addr[8]) # ((!\SA[3]~9_combout )))) # (!\SA[3]~15_combout & (((LS[8] & \SA[3]~9_combout )))) - - .clk(gnd), - .dataa(Addr[8]), - .datab(LS[8]), - .datac(\SA[3]~15_combout ), - .datad(\SA[3]~9_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux17~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux17~3 .lut_mask = "acf0"; -defparam \Mux17~3 .operation_mode = "normal"; -defparam \Mux17~3 .output_mode = "comb_only"; -defparam \Mux17~3 .register_cascade_mode = "off"; -defparam \Mux17~3 .sum_lutc_input = "datac"; -defparam \Mux17~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y4_N9 -maxii_lcell \SA[7]~reg0 ( -// Equation(s): -// \SA[7]~reg0_regout = DFFEAS((\SA[3]~8_combout & (((\Mux17~3_combout )))) # (!\SA[3]~8_combout & ((\Mux17~3_combout & ((\Mux17~2_combout ))) # (!\Mux17~3_combout & (\Mux17~4_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) - - .clk(\C25M~combout ), - .dataa(\Mux17~4_combout ), - .datab(\SA[3]~8_combout ), - .datac(\Mux17~2_combout ), - .datad(\Mux17~3_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(PS[2]), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[7]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[7]~reg0 .lut_mask = "fc22"; -defparam \SA[7]~reg0 .operation_mode = "normal"; -defparam \SA[7]~reg0 .output_mode = "reg_only"; -defparam \SA[7]~reg0 .register_cascade_mode = "off"; -defparam \SA[7]~reg0 .sum_lutc_input = "datac"; -defparam \SA[7]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X4_Y4_N5 -maxii_lcell \Mux16~3 ( -// Equation(s): -// \Mux16~3_combout = (\SA[3]~15_combout & ((Addr[9]) # ((!\SA[3]~9_combout )))) # (!\SA[3]~15_combout & (((LS[9] & \SA[3]~9_combout )))) - - .clk(gnd), - .dataa(Addr[9]), - .datab(LS[9]), - .datac(\SA[3]~15_combout ), - .datad(\SA[3]~9_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux16~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux16~3 .lut_mask = "acf0"; -defparam \Mux16~3 .operation_mode = "normal"; -defparam \Mux16~3 .output_mode = "comb_only"; -defparam \Mux16~3 .register_cascade_mode = "off"; -defparam \Mux16~3 .sum_lutc_input = "datac"; -defparam \Mux16~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y4_N6 -maxii_lcell \Mux16~4 ( -// Equation(s): -// \Mux16~4_combout = (Addr[18] & (PS[0] & (\RAMSpecSEL~1_combout & !\IS.110~regout ))) - - .clk(gnd), - .dataa(Addr[18]), - .datab(PS[0]), - .datac(\RAMSpecSEL~1_combout ), - .datad(\IS.110~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux16~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux16~4 .lut_mask = "0080"; -defparam \Mux16~4 .operation_mode = "normal"; -defparam \Mux16~4 .output_mode = "comb_only"; -defparam \Mux16~4 .register_cascade_mode = "off"; -defparam \Mux16~4 .sum_lutc_input = "datac"; -defparam \Mux16~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y4_N1 -maxii_lcell \SA[8]~reg0 ( -// Equation(s): -// \SA[8]~reg0_regout = DFFEAS((\Mux16~3_combout & (((\Mux16~2 ) # (\SA[3]~8_combout )))) # (!\Mux16~3_combout & (\Mux16~4_combout & ((!\SA[3]~8_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) - - .clk(\C25M~combout ), - .dataa(\Mux16~3_combout ), - .datab(\Mux16~4_combout ), - .datac(\Mux16~2 ), - .datad(\SA[3]~8_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(PS[2]), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[8]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[8]~reg0 .lut_mask = "aae4"; -defparam \SA[8]~reg0 .operation_mode = "normal"; -defparam \SA[8]~reg0 .output_mode = "reg_only"; -defparam \SA[8]~reg0 .register_cascade_mode = "off"; -defparam \SA[8]~reg0 .sum_lutc_input = "datac"; -defparam \SA[8]~reg0 .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y1_N0 -maxii_lcell \SA[1]~7 ( -// Equation(s): -// \SA[1]~7_combout = (PS[0] & (((!\IS.110~regout )))) - - .clk(gnd), - .dataa(PS[0]), - .datab(vcc), - .datac(vcc), - .datad(\IS.110~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA[1]~7_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[1]~7 .lut_mask = "00aa"; -defparam \SA[1]~7 .operation_mode = "normal"; -defparam \SA[1]~7 .output_mode = "comb_only"; -defparam \SA[1]~7 .register_cascade_mode = "off"; -defparam \SA[1]~7 .sum_lutc_input = "datac"; -defparam \SA[1]~7 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y2_N5 -maxii_lcell \SA~10 ( -// Equation(s): -// \SA~10_combout = (\SA[1]~7_combout & (\RAMSpecSEL~1_combout & (!PS[2] & \Equal1~0_combout ))) - - .clk(gnd), - .dataa(\SA[1]~7_combout ), - .datab(\RAMSpecSEL~1_combout ), - .datac(PS[2]), - .datad(\Equal1~0_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA~10_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA~10 .lut_mask = "0800"; -defparam \SA~10 .operation_mode = "normal"; -defparam \SA~10 .output_mode = "comb_only"; -defparam \SA~10 .register_cascade_mode = "off"; -defparam \SA~10 .sum_lutc_input = "datac"; -defparam \SA~10 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y4_N9 -maxii_lcell \SA[9]~reg0 ( -// Equation(s): -// \SA[9]~reg0_regout = DFFEAS((((Addr[19])) # (!\SA~10_combout )), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(\SA~10_combout ), - .datac(vcc), - .datad(Addr[19]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[9]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[9]~reg0 .lut_mask = "ff33"; -defparam \SA[9]~reg0 .operation_mode = "normal"; -defparam \SA[9]~reg0 .output_mode = "reg_only"; -defparam \SA[9]~reg0 .register_cascade_mode = "off"; -defparam \SA[9]~reg0 .sum_lutc_input = "datac"; -defparam \SA[9]~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y2_N6 -maxii_lcell \Mux15~0 ( -// Equation(s): -// \Mux15~0_combout = (PS[2]) # ((PS[1] $ (PS[3])) # (!PS[0])) - - .clk(gnd), - .dataa(PS[1]), - .datab(PS[3]), - .datac(PS[2]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux15~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux15~0 .lut_mask = "f6ff"; -defparam \Mux15~0 .operation_mode = "normal"; -defparam \Mux15~0 .output_mode = "comb_only"; -defparam \Mux15~0 .register_cascade_mode = "off"; -defparam \Mux15~0 .sum_lutc_input = "datac"; -defparam \Mux15~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y3_N8 -maxii_lcell \Mux15~1 ( -// Equation(s): -// \Mux15~1_combout = ((\IS.110~regout ) # ((!SetFWr[1] & Addr[20]))) - - .clk(gnd), - .dataa(vcc), - .datab(SetFWr[1]), - .datac(\IS.110~regout ), - .datad(Addr[20]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux15~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux15~1 .lut_mask = "f3f0"; -defparam \Mux15~1 .operation_mode = "normal"; -defparam \Mux15~1 .output_mode = "comb_only"; -defparam \Mux15~1 .register_cascade_mode = "off"; -defparam \Mux15~1 .sum_lutc_input = "datac"; -defparam \Mux15~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y3_N2 -maxii_lcell \SA[10]~reg0 ( -// Equation(s): -// \SA[10]~reg0_regout = DFFEAS((\Mux15~0_combout ) # ((!PS[1] & ((\Mux15~1_combout ) # (!\RAMSpecSEL~1_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(PS[1]), - .datab(\RAMSpecSEL~1_combout ), - .datac(\Mux15~0_combout ), - .datad(\Mux15~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[10]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[10]~reg0 .lut_mask = "f5f1"; -defparam \SA[10]~reg0 .operation_mode = "normal"; -defparam \SA[10]~reg0 .output_mode = "reg_only"; -defparam \SA[10]~reg0 .register_cascade_mode = "off"; -defparam \SA[10]~reg0 .sum_lutc_input = "datac"; -defparam \SA[10]~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y4_N8 -maxii_lcell \SA[11]~reg0 ( -// Equation(s): -// \SA[11]~reg0_regout = DFFEAS(((!SetFWr[1] & (Addr[21] & \SA~10_combout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(SetFWr[1]), - .datac(Addr[21]), - .datad(\SA~10_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[11]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[11]~reg0 .lut_mask = "3000"; -defparam \SA[11]~reg0 .operation_mode = "normal"; -defparam \SA[11]~reg0 .output_mode = "reg_only"; -defparam \SA[11]~reg0 .register_cascade_mode = "off"; -defparam \SA[11]~reg0 .sum_lutc_input = "datac"; -defparam \SA[11]~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y3_N3 -maxii_lcell \SA[12]~reg0 ( -// Equation(s): -// \SA[12]~reg0_regout = DFFEAS(((!SetFWr[1] & (Addr[22] & \SA~10_combout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(SetFWr[1]), - .datac(Addr[22]), - .datad(\SA~10_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SA[12]~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[12]~reg0 .lut_mask = "3000"; -defparam \SA[12]~reg0 .operation_mode = "normal"; -defparam \SA[12]~reg0 .output_mode = "reg_only"; -defparam \SA[12]~reg0 .register_cascade_mode = "off"; -defparam \SA[12]~reg0 .sum_lutc_input = "datac"; -defparam \SA[12]~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y1_N2 -maxii_lcell \nRCS~3 ( -// Equation(s): -// \nRCS~3_combout = (\CXXXr~regout & (\nWEr~regout & ((\IOROMEN~regout ) # (!RAr[11])))) - - .clk(gnd), - .dataa(\IOROMEN~regout ), - .datab(RAr[11]), - .datac(\CXXXr~regout ), - .datad(\nWEr~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\nRCS~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRCS~3 .lut_mask = "b000"; -defparam \nRCS~3 .operation_mode = "normal"; -defparam \nRCS~3 .output_mode = "comb_only"; -defparam \nRCS~3 .register_cascade_mode = "off"; -defparam \nRCS~3 .sum_lutc_input = "datac"; -defparam \nRCS~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y1_N9 -maxii_lcell \nRCS~4 ( -// Equation(s): -// \nRCS~4_combout = ((!\Equal9~0 & ((\nRCS~3_combout )))) - - .clk(gnd), - .dataa(vcc), - .datab(\Equal9~0 ), - .datac(vcc), - .datad(\nRCS~3_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\nRCS~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRCS~4 .lut_mask = "3300"; -defparam \nRCS~4 .operation_mode = "normal"; -defparam \nRCS~4 .output_mode = "comb_only"; -defparam \nRCS~4 .register_cascade_mode = "off"; -defparam \nRCS~4 .sum_lutc_input = "datac"; -defparam \nRCS~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y1_N9 -maxii_lcell \Mux12~1 ( -// Equation(s): -// \Mux12~1_combout = (\nWEr~regout & (((PS[1])))) - - .clk(gnd), - .dataa(\nWEr~regout ), - .datab(vcc), - .datac(vcc), - .datad(PS[1]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux12~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux12~1 .lut_mask = "aa00"; -defparam \Mux12~1 .operation_mode = "normal"; -defparam \Mux12~1 .output_mode = "comb_only"; -defparam \Mux12~1 .register_cascade_mode = "off"; -defparam \Mux12~1 .sum_lutc_input = "datac"; -defparam \Mux12~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y1_N0 -maxii_lcell \Mux12~2 ( -// Equation(s): -// \Mux12~2_combout = (\IS.111~regout & (\Mux12~1_combout & ((\RAMSpecSEL~1_combout ) # (\nRCS~4_combout )))) - - .clk(gnd), - .dataa(\IS.111~regout ), - .datab(\RAMSpecSEL~1_combout ), - .datac(\nRCS~4_combout ), - .datad(\Mux12~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux12~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux12~2 .lut_mask = "a800"; -defparam \Mux12~2 .operation_mode = "normal"; -defparam \Mux12~2 .output_mode = "comb_only"; -defparam \Mux12~2 .register_cascade_mode = "off"; -defparam \Mux12~2 .sum_lutc_input = "datac"; -defparam \Mux12~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y1_N7 -maxii_lcell \nRCS~5 ( -// Equation(s): -// \nRCS~5_combout = (\IS.110~regout ) # ((\IS.111~regout & ((\nRCS~4_combout ) # (\RAMSpecSEL~1_combout )))) - - .clk(gnd), - .dataa(\IS.111~regout ), - .datab(\IS.110~regout ), - .datac(\nRCS~4_combout ), - .datad(\RAMSpecSEL~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\nRCS~5_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRCS~5 .lut_mask = "eeec"; -defparam \nRCS~5 .operation_mode = "normal"; -defparam \nRCS~5 .output_mode = "comb_only"; -defparam \nRCS~5 .register_cascade_mode = "off"; -defparam \nRCS~5 .sum_lutc_input = "datac"; -defparam \nRCS~5 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y1_N6 -maxii_lcell \Mux12~3 ( -// Equation(s): -// \Mux12~3_combout = (\Mux12~2_combout & ((PS[1] $ (!PS[0])))) # (!\Mux12~2_combout & (((PS[1]) # (!PS[0])) # (!\nRCS~5_combout ))) - - .clk(gnd), - .dataa(\Mux12~2_combout ), - .datab(\nRCS~5_combout ), - .datac(PS[1]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux12~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux12~3 .lut_mask = "f15f"; -defparam \Mux12~3 .operation_mode = "normal"; -defparam \Mux12~3 .output_mode = "comb_only"; -defparam \Mux12~3 .register_cascade_mode = "off"; -defparam \Mux12~3 .sum_lutc_input = "datac"; -defparam \Mux12~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y1_N2 -maxii_lcell \IS.000 ( -// Equation(s): -// \IS.000~regout = DFFEAS(VCC, GLOBAL(\C25M~combout ), VCC, , \IS~19_combout , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(vcc), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\IS~19_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\IS.000~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \IS.000 .lut_mask = "ffff"; -defparam \IS.000 .operation_mode = "normal"; -defparam \IS.000 .output_mode = "reg_only"; -defparam \IS.000 .register_cascade_mode = "off"; -defparam \IS.000 .sum_lutc_input = "datac"; -defparam \IS.000 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y1_N3 -maxii_lcell \nRCS~2 ( -// Equation(s): -// \nRCS~2_combout = (\IS.111~regout & (LS[1] & (LS[0]))) # (!\IS.111~regout & (((\IS.000~regout )))) - - .clk(gnd), - .dataa(\IS.111~regout ), - .datab(LS[1]), - .datac(LS[0]), - .datad(\IS.000~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\nRCS~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRCS~2 .lut_mask = "d580"; -defparam \nRCS~2 .operation_mode = "normal"; -defparam \nRCS~2 .output_mode = "comb_only"; -defparam \nRCS~2 .register_cascade_mode = "off"; -defparam \nRCS~2 .sum_lutc_input = "datac"; -defparam \nRCS~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y1_N9 -maxii_lcell \Mux12~0 ( -// Equation(s): -// \Mux12~0_combout = (PS[1] & (((PS[0] & !\nRCS~2_combout )))) # (!PS[1] & (((PS[0])) # (!\nRCS~1 ))) - - .clk(gnd), - .dataa(PS[1]), - .datab(\nRCS~1 ), - .datac(PS[0]), - .datad(\nRCS~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux12~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux12~0 .lut_mask = "51f1"; -defparam \Mux12~0 .operation_mode = "normal"; -defparam \Mux12~0 .output_mode = "comb_only"; -defparam \Mux12~0 .register_cascade_mode = "off"; -defparam \Mux12~0 .sum_lutc_input = "datac"; -defparam \Mux12~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y1_N4 -maxii_lcell \nRCS~reg0 ( -// Equation(s): -// \nRCS~reg0_regout = DFFEAS((!PS[2] & ((PS[3] & ((!\Mux12~0_combout ))) # (!PS[3] & (!\Mux12~3_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(\Mux12~3_combout ), - .datab(PS[3]), - .datac(PS[2]), - .datad(\Mux12~0_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\nRCS~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRCS~reg0 .lut_mask = "010d"; -defparam \nRCS~reg0 .operation_mode = "normal"; -defparam \nRCS~reg0 .output_mode = "reg_only"; -defparam \nRCS~reg0 .register_cascade_mode = "off"; -defparam \nRCS~reg0 .sum_lutc_input = "datac"; -defparam \nRCS~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y2_N2 -maxii_lcell \nRAS~reg0 ( -// Equation(s): -// \nRAS~reg0_regout = DFFEAS((!PS[2] & ((PS[1] & (PS[3])) # (!PS[1] & (!PS[3] & PS[0])))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(PS[1]), - .datab(PS[3]), - .datac(PS[2]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\nRAS~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nRAS~reg0 .lut_mask = "0908"; -defparam \nRAS~reg0 .operation_mode = "normal"; -defparam \nRAS~reg0 .output_mode = "reg_only"; -defparam \nRAS~reg0 .register_cascade_mode = "off"; -defparam \nRAS~reg0 .sum_lutc_input = "datac"; -defparam \nRAS~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y2_N3 -maxii_lcell \nCAS~reg0 ( -// Equation(s): -// \nCAS~reg0_regout = DFFEAS((!PS[2] & ((PS[1] & (PS[3] $ (!PS[0]))) # (!PS[1] & (PS[3] & !PS[0])))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(PS[1]), - .datab(PS[3]), - .datac(PS[2]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\nCAS~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nCAS~reg0 .lut_mask = "0806"; -defparam \nCAS~reg0 .operation_mode = "normal"; -defparam \nCAS~reg0 .output_mode = "reg_only"; -defparam \nCAS~reg0 .register_cascade_mode = "off"; -defparam \nCAS~reg0 .sum_lutc_input = "datac"; -defparam \nCAS~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y4_N4 -maxii_lcell \Selector0~0 ( -// Equation(s): -// \Selector0~0_combout = (((!PS[1]) # (!\IS.001~regout ))) - - .clk(gnd), - .dataa(vcc), - .datab(vcc), - .datac(\IS.001~regout ), - .datad(PS[1]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Selector0~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Selector0~0 .lut_mask = "0fff"; -defparam \Selector0~0 .operation_mode = "normal"; -defparam \Selector0~0 .output_mode = "comb_only"; -defparam \Selector0~0 .register_cascade_mode = "off"; -defparam \Selector0~0 .sum_lutc_input = "datac"; -defparam \Selector0~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y4_N6 -maxii_lcell \nSWE~reg0 ( -// Equation(s): -// \nSWE~reg0_regout = DFFEAS((PS[3] & (!PS[2] & ((!PS[0]) # (!\Selector0~0_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(\Selector0~0_combout ), - .datab(PS[3]), - .datac(PS[2]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\nSWE~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \nSWE~reg0 .lut_mask = "040c"; -defparam \nSWE~reg0 .operation_mode = "normal"; -defparam \nSWE~reg0 .output_mode = "reg_only"; -defparam \nSWE~reg0 .register_cascade_mode = "off"; -defparam \nSWE~reg0 .sum_lutc_input = "datac"; -defparam \nSWE~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y1_N1 -maxii_lcell \Equal1~1 ( -// Equation(s): -// \Equal1~1_combout = (!PS[0] & (((!PS[2])))) - - .clk(gnd), - .dataa(PS[0]), - .datab(vcc), - .datac(PS[2]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal1~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal1~1 .lut_mask = "0505"; -defparam \Equal1~1 .operation_mode = "normal"; -defparam \Equal1~1 .output_mode = "comb_only"; -defparam \Equal1~1 .register_cascade_mode = "off"; -defparam \Equal1~1 .sum_lutc_input = "datac"; -defparam \Equal1~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y3_N1 -maxii_lcell \Selector1~0 ( -// Equation(s): -// \Selector1~0_combout = (PS[3] & ((LS[0]) # ((PS[1])))) # (!PS[3] & (((RAr[0]) # (!PS[1])))) - - .clk(gnd), - .dataa(PS[3]), - .datab(LS[0]), - .datac(RAr[0]), - .datad(PS[1]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Selector1~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Selector1~0 .lut_mask = "fadd"; -defparam \Selector1~0 .operation_mode = "normal"; -defparam \Selector1~0 .output_mode = "comb_only"; -defparam \Selector1~0 .register_cascade_mode = "off"; -defparam \Selector1~0 .sum_lutc_input = "datac"; -defparam \Selector1~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y3_N4 -maxii_lcell \DQMH~0 ( -// Equation(s): -// \DQMH~0_combout = (PS[1] & (((!PS[3] & \RAMSpecSEL~1_combout )))) # (!PS[1] & (!\IS.110~regout & (PS[3]))) - - .clk(gnd), - .dataa(PS[1]), - .datab(\IS.110~regout ), - .datac(PS[3]), - .datad(\RAMSpecSEL~1_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\DQMH~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \DQMH~0 .lut_mask = "1a10"; -defparam \DQMH~0 .operation_mode = "normal"; -defparam \DQMH~0 .output_mode = "comb_only"; -defparam \DQMH~0 .register_cascade_mode = "off"; -defparam \DQMH~0 .sum_lutc_input = "datac"; -defparam \DQMH~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y3_N9 -maxii_lcell \DQML~reg0 ( -// Equation(s): -// \DQML~reg0_regout = DFFEAS((\Equal1~1_combout & ((\DQMH~0_combout & ((!Addr[0]))) # (!\DQMH~0_combout & (!\Selector1~0_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(\Equal1~1_combout ), - .datab(\Selector1~0_combout ), - .datac(\DQMH~0_combout ), - .datad(Addr[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\DQML~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \DQML~reg0 .lut_mask = "02a2"; -defparam \DQML~reg0 .operation_mode = "normal"; -defparam \DQML~reg0 .output_mode = "reg_only"; -defparam \DQML~reg0 .register_cascade_mode = "off"; -defparam \DQML~reg0 .sum_lutc_input = "datac"; -defparam \DQML~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y3_N0 -maxii_lcell \Selector2~0 ( -// Equation(s): -// \Selector2~0_combout = (PS[3] & (((PS[1])) # (!LS[0]))) # (!PS[3] & (((!PS[1]) # (!RAr[0])))) - - .clk(gnd), - .dataa(PS[3]), - .datab(LS[0]), - .datac(RAr[0]), - .datad(PS[1]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Selector2~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Selector2~0 .lut_mask = "af77"; -defparam \Selector2~0 .operation_mode = "normal"; -defparam \Selector2~0 .output_mode = "comb_only"; -defparam \Selector2~0 .register_cascade_mode = "off"; -defparam \Selector2~0 .sum_lutc_input = "datac"; -defparam \Selector2~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y3_N5 -maxii_lcell \DQMH~reg0 ( -// Equation(s): -// \DQMH~reg0_regout = DFFEAS((\Equal1~1_combout & ((\DQMH~0_combout & (Addr[0])) # (!\DQMH~0_combout & ((!\Selector2~0_combout ))))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(Addr[0]), - .datab(\Selector2~0_combout ), - .datac(\Equal1~1_combout ), - .datad(\DQMH~0_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\DQMH~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \DQMH~reg0 .lut_mask = "a030"; -defparam \DQMH~reg0 .operation_mode = "normal"; -defparam \DQMH~reg0 .output_mode = "reg_only"; -defparam \DQMH~reg0 .register_cascade_mode = "off"; -defparam \DQMH~reg0 .sum_lutc_input = "datac"; -defparam \DQMH~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y1_N0 -maxii_lcell \Mux11~0 ( -// Equation(s): -// \Mux11~0_combout = (PS[3] & (PS[1] & (\nRCS~2_combout ))) # (!PS[3] & (((\Mux12~2_combout )))) - - .clk(gnd), - .dataa(PS[1]), - .datab(\nRCS~2_combout ), - .datac(PS[3]), - .datad(\Mux12~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux11~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux11~0 .lut_mask = "8f80"; -defparam \Mux11~0 .operation_mode = "normal"; -defparam \Mux11~0 .output_mode = "comb_only"; -defparam \Mux11~0 .register_cascade_mode = "off"; -defparam \Mux11~0 .sum_lutc_input = "datac"; -defparam \Mux11~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y1_N5 -maxii_lcell \Mux11~1 ( -// Equation(s): -// \Mux11~1_combout = (\nRCS~5_combout & ((PS[0]) # ((!PS[3] & \PS~0 )))) - - .clk(gnd), - .dataa(PS[3]), - .datab(\nRCS~5_combout ), - .datac(\PS~0 ), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux11~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux11~1 .lut_mask = "cc40"; -defparam \Mux11~1 .operation_mode = "normal"; -defparam \Mux11~1 .output_mode = "comb_only"; -defparam \Mux11~1 .register_cascade_mode = "off"; -defparam \Mux11~1 .sum_lutc_input = "datac"; -defparam \Mux11~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y1_N2 -maxii_lcell \Mux11~2 ( -// Equation(s): -// \Mux11~2_combout = (\Mux11~1_combout ) # ((PS[3] & ((\nRCS~1 ) # (PS[0])))) - - .clk(gnd), - .dataa(\Mux11~1_combout ), - .datab(\nRCS~1 ), - .datac(PS[3]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux11~2_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux11~2 .lut_mask = "faea"; -defparam \Mux11~2 .operation_mode = "normal"; -defparam \Mux11~2 .output_mode = "comb_only"; -defparam \Mux11~2 .register_cascade_mode = "off"; -defparam \Mux11~2 .sum_lutc_input = "datac"; -defparam \Mux11~2 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y1_N3 -maxii_lcell \Mux11~3 ( -// Equation(s): -// \Mux11~3_combout = (!PS[2] & ((\Mux11~0_combout ) # ((!PS[1] & \Mux11~2_combout )))) - - .clk(gnd), - .dataa(PS[1]), - .datab(\Mux11~0_combout ), - .datac(PS[2]), - .datad(\Mux11~2_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux11~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux11~3 .lut_mask = "0d0c"; -defparam \Mux11~3 .operation_mode = "normal"; -defparam \Mux11~3 .output_mode = "comb_only"; -defparam \Mux11~3 .register_cascade_mode = "off"; -defparam \Mux11~3 .sum_lutc_input = "datac"; -defparam \Mux11~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y1_N7 -maxii_lcell \Equal2~0 ( -// Equation(s): -// \Equal2~0_combout = (PS[1] & (((PS[2] & PS[0])))) - - .clk(gnd), - .dataa(PS[1]), - .datab(vcc), - .datac(PS[2]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal2~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal2~0 .lut_mask = "a000"; -defparam \Equal2~0 .operation_mode = "normal"; -defparam \Equal2~0 .output_mode = "comb_only"; -defparam \Equal2~0 .register_cascade_mode = "off"; -defparam \Equal2~0 .sum_lutc_input = "datac"; -defparam \Equal2~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y1_N8 -maxii_lcell \RCKE~reg0 ( -// Equation(s): -// \RCKE~reg0_regout = DFFEAS((!\Mux11~3_combout & (((PS[3]) # (!\Equal2~0_combout )) # (!\nRCS~1 ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(\Mux11~3_combout ), - .datab(\nRCS~1 ), - .datac(PS[3]), - .datad(\Equal2~0_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\RCKE~reg0_regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RCKE~reg0 .lut_mask = "5155"; -defparam \RCKE~reg0 .operation_mode = "normal"; -defparam \RCKE~reg0 .output_mode = "reg_only"; -defparam \RCKE~reg0 .register_cascade_mode = "off"; -defparam \RCKE~reg0 .sum_lutc_input = "datac"; -defparam \RCKE~reg0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y2_N1 -maxii_lcell \IS.101 ( -// Equation(s): -// \IS.101~regout = DFFEAS((!LS[2] & (\Equal5~0_combout & (!LS[0] & !LS[13]))), GLOBAL(\C25M~combout ), VCC, , \IS~19_combout , , , , ) - - .clk(\C25M~combout ), - .dataa(LS[2]), - .datab(\Equal5~0_combout ), - .datac(LS[0]), - .datad(LS[13]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\IS~19_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\IS.101~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \IS.101 .lut_mask = "0004"; -defparam \IS.101 .operation_mode = "normal"; -defparam \IS.101 .output_mode = "reg_only"; -defparam \IS.101 .register_cascade_mode = "off"; -defparam \IS.101 .sum_lutc_input = "datac"; -defparam \IS.101 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y4_N9 -maxii_lcell FCS( -// Equation(s): -// \FCS~regout = DFFEAS((\IS.110~regout ) # ((\IS.100~regout ) # ((\IS.101~regout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(\IS.110~regout ), - .datab(\IS.100~regout ), - .datac(\IS.101~regout ), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\FCS~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam FCS.lut_mask = "fefe"; -defparam FCS.operation_mode = "normal"; -defparam FCS.output_mode = "reg_only"; -defparam FCS.register_cascade_mode = "off"; -defparam FCS.sum_lutc_input = "datac"; -defparam FCS.synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X3_Y1_N1 -maxii_lcell FCKOE( -// Equation(s): -// \FCKOE~regout = DFFEAS((((\IS.111~regout ) # (\IS.000~regout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\IS.111~regout ), - .datad(\IS.000~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\FCKOE~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam FCKOE.lut_mask = "fff0"; -defparam FCKOE.operation_mode = "normal"; -defparam FCKOE.output_mode = "reg_only"; -defparam FCKOE.register_cascade_mode = "off"; -defparam FCKOE.sum_lutc_input = "datac"; -defparam FCKOE.synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y4_N2 -maxii_lcell FCKout( -// Equation(s): -// \FCKout~regout = DFFEAS(((!\IS.101~regout & ((PS[3]) # (!\IS.110~regout )))) # (!PS[0]), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(\IS.110~regout ), - .datab(PS[3]), - .datac(\IS.101~regout ), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\FCKout~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam FCKout.lut_mask = "0dff"; -defparam FCKout.operation_mode = "normal"; -defparam FCKout.output_mode = "reg_only"; -defparam FCKout.register_cascade_mode = "off"; -defparam FCKout.sum_lutc_input = "datac"; -defparam FCKout.synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y4_N7 -maxii_lcell \RDD[1]~23 ( -// Equation(s): -// \RDD[1]~23_combout = (!RAr[3] & (\always9~0_combout & (!RAr[2] & !RAr[1]))) - - .clk(gnd), - .dataa(RAr[3]), - .datab(\always9~0_combout ), - .datac(RAr[2]), - .datad(RAr[1]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD[1]~23_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[1]~23 .lut_mask = "0004"; -defparam \RDD[1]~23 .operation_mode = "normal"; -defparam \RDD[1]~23 .output_mode = "comb_only"; -defparam \RDD[1]~23 .register_cascade_mode = "off"; -defparam \RDD[1]~23 .sum_lutc_input = "datac"; -defparam \RDD[1]~23 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X4_Y3_N1 -maxii_lcell \RDD[1]~22 ( -// Equation(s): -// \RDD[1]~22_combout = (!RAr[3] & (!RAr[2] & (!RAr[0] & \always9~0_combout ))) - - .clk(gnd), - .dataa(RAr[3]), - .datab(RAr[2]), - .datac(RAr[0]), - .datad(\always9~0_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD[1]~22_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[1]~22 .lut_mask = "0100"; -defparam \RDD[1]~22 .operation_mode = "normal"; -defparam \RDD[1]~22 .output_mode = "comb_only"; -defparam \RDD[1]~22 .register_cascade_mode = "off"; -defparam \RDD[1]~22 .sum_lutc_input = "datac"; -defparam \RDD[1]~22 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y3_N7 -maxii_lcell \RDD~4 ( -// Equation(s): -// \RDD~4_combout = (\RDD[1]~23_combout & ((Addr[8]) # ((\RDD[1]~22_combout )))) # (!\RDD[1]~23_combout & (((!\RDD[1]~22_combout & \SD[0]~0 )))) - - .clk(gnd), - .dataa(\RDD[1]~23_combout ), - .datab(Addr[8]), - .datac(\RDD[1]~22_combout ), - .datad(\SD[0]~0 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD~4_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD~4 .lut_mask = "ada8"; -defparam \RDD~4 .operation_mode = "normal"; -defparam \RDD~4 .output_mode = "comb_only"; -defparam \RDD~4 .register_cascade_mode = "off"; -defparam \RDD~4 .sum_lutc_input = "datac"; -defparam \RDD~4 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y4_N5 -maxii_lcell \Equal20~0 ( -// Equation(s): -// \Equal20~0_combout = (!PS[1] & (!PS[3] & (PS[2] & PS[0]))) - - .clk(gnd), - .dataa(PS[1]), - .datab(PS[3]), - .datac(PS[2]), - .datad(PS[0]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Equal20~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Equal20~0 .lut_mask = "1000"; -defparam \Equal20~0 .operation_mode = "normal"; -defparam \Equal20~0 .output_mode = "comb_only"; -defparam \Equal20~0 .register_cascade_mode = "off"; -defparam \Equal20~0 .sum_lutc_input = "datac"; -defparam \Equal20~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y3_N4 -maxii_lcell \RDD[0] ( -// Equation(s): -// RDD[0] = DFFEAS((\RDD~4_combout & ((Addr[0]) # ((!\RDD[1]~22_combout )))) # (!\RDD~4_combout & (((\RDD[1]~22_combout & Addr[16])))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) - - .clk(!\C25M~combout ), - .dataa(Addr[0]), - .datab(\RDD~4_combout ), - .datac(\RDD[1]~22_combout ), - .datad(Addr[16]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal20~0_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(RDD[0]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[0] .lut_mask = "bc8c"; -defparam \RDD[0] .operation_mode = "normal"; -defparam \RDD[0] .output_mode = "reg_only"; -defparam \RDD[0] .register_cascade_mode = "off"; -defparam \RDD[0] .sum_lutc_input = "datac"; -defparam \RDD[0] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y3_N1 -maxii_lcell \RDD~6 ( -// Equation(s): -// \RDD~6_combout = (\RDD[1]~23_combout & ((Addr[9]) # ((\RDD[1]~22_combout )))) # (!\RDD[1]~23_combout & (((!\RDD[1]~22_combout & \SD[1]~1 )))) - - .clk(gnd), - .dataa(\RDD[1]~23_combout ), - .datab(Addr[9]), - .datac(\RDD[1]~22_combout ), - .datad(\SD[1]~1 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD~6_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD~6 .lut_mask = "ada8"; -defparam \RDD~6 .operation_mode = "normal"; -defparam \RDD~6 .output_mode = "comb_only"; -defparam \RDD~6 .register_cascade_mode = "off"; -defparam \RDD~6 .sum_lutc_input = "datac"; -defparam \RDD~6 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y3_N2 -maxii_lcell \RDD[1] ( -// Equation(s): -// RDD[1] = DFFEAS((\RDD[1]~22_combout & ((\RDD~6_combout & ((Addr[1]))) # (!\RDD~6_combout & (Addr[17])))) # (!\RDD[1]~22_combout & (\RDD~6_combout )), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) - - .clk(!\C25M~combout ), - .dataa(\RDD[1]~22_combout ), - .datab(\RDD~6_combout ), - .datac(Addr[17]), - .datad(Addr[1]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal20~0_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(RDD[1]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[1] .lut_mask = "ec64"; -defparam \RDD[1] .operation_mode = "normal"; -defparam \RDD[1] .output_mode = "reg_only"; -defparam \RDD[1] .register_cascade_mode = "off"; -defparam \RDD[1] .sum_lutc_input = "datac"; -defparam \RDD[1] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y3_N9 -maxii_lcell \RDD~8 ( -// Equation(s): -// \RDD~8_combout = (\RDD[1]~23_combout & (((\RDD[1]~22_combout ) # (Addr[10])))) # (!\RDD[1]~23_combout & (\SD[2]~2 & (!\RDD[1]~22_combout ))) - - .clk(gnd), - .dataa(\RDD[1]~23_combout ), - .datab(\SD[2]~2 ), - .datac(\RDD[1]~22_combout ), - .datad(Addr[10]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD~8_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD~8 .lut_mask = "aea4"; -defparam \RDD~8 .operation_mode = "normal"; -defparam \RDD~8 .output_mode = "comb_only"; -defparam \RDD~8 .register_cascade_mode = "off"; -defparam \RDD~8 .sum_lutc_input = "datac"; -defparam \RDD~8 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y3_N0 -maxii_lcell \RDD[2] ( -// Equation(s): -// RDD[2] = DFFEAS((\RDD[1]~22_combout & ((\RDD~8_combout & (Addr[2])) # (!\RDD~8_combout & ((Addr[18]))))) # (!\RDD[1]~22_combout & (\RDD~8_combout )), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) - - .clk(!\C25M~combout ), - .dataa(\RDD[1]~22_combout ), - .datab(\RDD~8_combout ), - .datac(Addr[2]), - .datad(Addr[18]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal20~0_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(RDD[2]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[2] .lut_mask = "e6c4"; -defparam \RDD[2] .operation_mode = "normal"; -defparam \RDD[2] .output_mode = "reg_only"; -defparam \RDD[2] .register_cascade_mode = "off"; -defparam \RDD[2] .sum_lutc_input = "datac"; -defparam \RDD[2] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y3_N8 -maxii_lcell \RDD~10 ( -// Equation(s): -// \RDD~10_combout = (\RDD[1]~22_combout & (((\RDD[1]~23_combout )))) # (!\RDD[1]~22_combout & ((\RDD[1]~23_combout & (Addr[11])) # (!\RDD[1]~23_combout & ((\SD[3]~3 ))))) - - .clk(gnd), - .dataa(\RDD[1]~22_combout ), - .datab(Addr[11]), - .datac(\RDD[1]~23_combout ), - .datad(\SD[3]~3 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD~10_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD~10 .lut_mask = "e5e0"; -defparam \RDD~10 .operation_mode = "normal"; -defparam \RDD~10 .output_mode = "comb_only"; -defparam \RDD~10 .register_cascade_mode = "off"; -defparam \RDD~10 .sum_lutc_input = "datac"; -defparam \RDD~10 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y3_N5 -maxii_lcell \RDD[3] ( -// Equation(s): -// RDD[3] = DFFEAS((\RDD[1]~22_combout & ((\RDD~10_combout & (Addr[3])) # (!\RDD~10_combout & ((Addr[19]))))) # (!\RDD[1]~22_combout & (((\RDD~10_combout )))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) - - .clk(!\C25M~combout ), - .dataa(Addr[3]), - .datab(Addr[19]), - .datac(\RDD[1]~22_combout ), - .datad(\RDD~10_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal20~0_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(RDD[3]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[3] .lut_mask = "afc0"; -defparam \RDD[3] .operation_mode = "normal"; -defparam \RDD[3] .output_mode = "reg_only"; -defparam \RDD[3] .register_cascade_mode = "off"; -defparam \RDD[3] .sum_lutc_input = "datac"; -defparam \RDD[3] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y4_N4 -maxii_lcell \RDD[4]~12 ( -// Equation(s): -// \RDD[4]~12_combout = ((RAr[0] $ (!RAr[1])) # (!\always9~0_combout )) # (!\RAMRegSpecSEL~1 ) - - .clk(gnd), - .dataa(\RAMRegSpecSEL~1 ), - .datab(\always9~0_combout ), - .datac(RAr[0]), - .datad(RAr[1]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD[4]~12_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[4]~12 .lut_mask = "f77f"; -defparam \RDD[4]~12 .operation_mode = "normal"; -defparam \RDD[4]~12 .output_mode = "comb_only"; -defparam \RDD[4]~12 .register_cascade_mode = "off"; -defparam \RDD[4]~12 .sum_lutc_input = "datac"; -defparam \RDD[4]~12 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y4_N8 -maxii_lcell \RDD~14 ( -// Equation(s): -// \RDD~14_combout = (\RDD[4]~12_combout & (((Addr[4] & \RDD[1]~23_combout )))) # (!\RDD[4]~12_combout & ((Addr[12]) # ((!\RDD[1]~23_combout )))) - - .clk(gnd), - .dataa(\RDD[4]~12_combout ), - .datab(Addr[12]), - .datac(Addr[4]), - .datad(\RDD[1]~23_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD~14_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD~14 .lut_mask = "e455"; -defparam \RDD~14 .operation_mode = "normal"; -defparam \RDD~14 .output_mode = "comb_only"; -defparam \RDD~14 .register_cascade_mode = "off"; -defparam \RDD~14 .sum_lutc_input = "datac"; -defparam \RDD~14 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y4_N9 -maxii_lcell \RDD[4]~13 ( -// Equation(s): -// \RDD[4]~13_combout = (!\RDD[1]~23_combout & ((\RDD[4]~12_combout ) # ((!SetFWr[1])))) - - .clk(gnd), - .dataa(\RDD[4]~12_combout ), - .datab(\RDD[1]~23_combout ), - .datac(SetFWr[1]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD[4]~13_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[4]~13 .lut_mask = "2323"; -defparam \RDD[4]~13 .operation_mode = "normal"; -defparam \RDD[4]~13 .output_mode = "comb_only"; -defparam \RDD[4]~13 .register_cascade_mode = "off"; -defparam \RDD[4]~13 .sum_lutc_input = "datac"; -defparam \RDD[4]~13 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y4_N5 -maxii_lcell \RDD[4] ( -// Equation(s): -// RDD[4] = DFFEAS((\RDD~14_combout & (((Addr[20])) # (!\RDD[4]~13_combout ))) # (!\RDD~14_combout & (\RDD[4]~13_combout & (\SD[4]~4 ))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) - - .clk(!\C25M~combout ), - .dataa(\RDD~14_combout ), - .datab(\RDD[4]~13_combout ), - .datac(\SD[4]~4 ), - .datad(Addr[20]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal20~0_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(RDD[4]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[4] .lut_mask = "ea62"; -defparam \RDD[4] .operation_mode = "normal"; -defparam \RDD[4] .output_mode = "reg_only"; -defparam \RDD[4] .register_cascade_mode = "off"; -defparam \RDD[4] .sum_lutc_input = "datac"; -defparam \RDD[4] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y4_N0 -maxii_lcell \RDD~16 ( -// Equation(s): -// \RDD~16_combout = (\RDD[4]~12_combout & (\RDD[1]~23_combout & ((Addr[5])))) # (!\RDD[4]~12_combout & (((Addr[13])) # (!\RDD[1]~23_combout ))) - - .clk(gnd), - .dataa(\RDD[4]~12_combout ), - .datab(\RDD[1]~23_combout ), - .datac(Addr[13]), - .datad(Addr[5]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD~16_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD~16 .lut_mask = "d951"; -defparam \RDD~16 .operation_mode = "normal"; -defparam \RDD~16 .output_mode = "comb_only"; -defparam \RDD~16 .register_cascade_mode = "off"; -defparam \RDD~16 .sum_lutc_input = "datac"; -defparam \RDD~16 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y4_N1 -maxii_lcell \RDD[5] ( -// Equation(s): -// RDD[5] = DFFEAS((\RDD[4]~13_combout & ((\RDD~16_combout & ((Addr[21]))) # (!\RDD~16_combout & (\SD[5]~5 )))) # (!\RDD[4]~13_combout & (((\RDD~16_combout )))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) - - .clk(!\C25M~combout ), - .dataa(\SD[5]~5 ), - .datab(\RDD[4]~13_combout ), - .datac(Addr[21]), - .datad(\RDD~16_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal20~0_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(RDD[5]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[5] .lut_mask = "f388"; -defparam \RDD[5] .operation_mode = "normal"; -defparam \RDD[5] .output_mode = "reg_only"; -defparam \RDD[5] .register_cascade_mode = "off"; -defparam \RDD[5] .sum_lutc_input = "datac"; -defparam \RDD[5] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y4_N6 -maxii_lcell \RDD~18 ( -// Equation(s): -// \RDD~18_combout = (\RDD[1]~23_combout & ((\RDD[4]~12_combout & ((Addr[6]))) # (!\RDD[4]~12_combout & (Addr[14])))) # (!\RDD[1]~23_combout & (((!\RDD[4]~12_combout )))) - - .clk(gnd), - .dataa(Addr[14]), - .datab(\RDD[1]~23_combout ), - .datac(\RDD[4]~12_combout ), - .datad(Addr[6]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD~18_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD~18 .lut_mask = "cb0b"; -defparam \RDD~18 .operation_mode = "normal"; -defparam \RDD~18 .output_mode = "comb_only"; -defparam \RDD~18 .register_cascade_mode = "off"; -defparam \RDD~18 .sum_lutc_input = "datac"; -defparam \RDD~18 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y4_N2 -maxii_lcell \RDD[6] ( -// Equation(s): -// RDD[6] = DFFEAS((\RDD[4]~13_combout & ((\RDD~18_combout & (Addr[22])) # (!\RDD~18_combout & ((\SD[6]~6 ))))) # (!\RDD[4]~13_combout & (((\RDD~18_combout )))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) - - .clk(!\C25M~combout ), - .dataa(Addr[22]), - .datab(\RDD[4]~13_combout ), - .datac(\RDD~18_combout ), - .datad(\SD[6]~6 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal20~0_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(RDD[6]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[6] .lut_mask = "bcb0"; -defparam \RDD[6] .operation_mode = "normal"; -defparam \RDD[6] .output_mode = "reg_only"; -defparam \RDD[6] .register_cascade_mode = "off"; -defparam \RDD[6] .sum_lutc_input = "datac"; -defparam \RDD[6] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X6_Y4_N0 -maxii_lcell \RDD~20 ( -// Equation(s): -// \RDD~20_combout = (\RDD[4]~12_combout & (Addr[7] & ((\RDD[1]~23_combout )))) # (!\RDD[4]~12_combout & (((Addr[15]) # (!\RDD[1]~23_combout )))) - - .clk(gnd), - .dataa(Addr[7]), - .datab(\RDD[4]~12_combout ), - .datac(Addr[15]), - .datad(\RDD[1]~23_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\RDD~20_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD~20 .lut_mask = "b833"; -defparam \RDD~20 .operation_mode = "normal"; -defparam \RDD~20 .output_mode = "comb_only"; -defparam \RDD~20 .register_cascade_mode = "off"; -defparam \RDD~20 .sum_lutc_input = "datac"; -defparam \RDD~20 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X5_Y4_N3 -maxii_lcell \RDD[7] ( -// Equation(s): -// RDD[7] = DFFEAS((\RDD~20_combout & (((Addr[23]) # (!\RDD[4]~13_combout )))) # (!\RDD~20_combout & (\SD[7]~7 & ((\RDD[4]~13_combout )))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) - - .clk(!\C25M~combout ), - .dataa(\RDD~20_combout ), - .datab(\SD[7]~7 ), - .datac(Addr[23]), - .datad(\RDD[4]~13_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\Equal20~0_combout ), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(RDD[7]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \RDD[7] .lut_mask = "e4aa"; -defparam \RDD[7] .operation_mode = "normal"; -defparam \RDD[7] .output_mode = "reg_only"; -defparam \RDD[7] .register_cascade_mode = "off"; -defparam \RDD[7] .sum_lutc_input = "datac"; -defparam \RDD[7] .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X7_Y1_N6 -maxii_lcell \WRD[0] ( -// Equation(s): -// WRD[0] = DFFEAS((\IS.110~regout & (\MOSI~0 )) # (!\IS.110~regout & (((\RD[0]~0 )))), GLOBAL(\C25M~combout ), VCC, , , WRD[0], , , PS[0]) - - .clk(\C25M~combout ), - .dataa(\IS.110~regout ), - .datab(\MOSI~0 ), - .datac(WRD[0]), - .datad(\RD[0]~0 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(PS[0]), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(WRD[0]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \WRD[0] .lut_mask = "dd88"; -defparam \WRD[0] .operation_mode = "normal"; -defparam \WRD[0] .output_mode = "reg_only"; -defparam \WRD[0] .register_cascade_mode = "off"; -defparam \WRD[0] .sum_lutc_input = "datac"; -defparam \WRD[0] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X5_Y1_N4 -maxii_lcell SDOE( -// Equation(s): -// \SDOE~regout = DFFEAS((((\Equal19~0_combout & \nRCS~1 ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\Equal19~0_combout ), - .datad(\nRCS~1 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\SDOE~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam SDOE.lut_mask = "f000"; -defparam SDOE.operation_mode = "normal"; -defparam SDOE.output_mode = "reg_only"; -defparam SDOE.register_cascade_mode = "off"; -defparam SDOE.sum_lutc_input = "datac"; -defparam SDOE.synch_mode = "off"; -// synopsys translate_on - -// Location: PIN_16, I/O Standard: 3.3-V LVTTL, Current Strength: Default -maxii_io \MISO~I ( - .datain(gnd), - .oe(gnd), - .combout(\MISO~combout ), - .padio(MISO)); -// synopsys translate_off -defparam \MISO~I .bus_hold = "true"; -defparam \MISO~I .operation_mode = "input"; -// synopsys translate_on - -// Location: LC_X7_Y1_N7 -maxii_lcell \WRD[1] ( -// Equation(s): -// WRD[1] = DFFEAS((\IS.110~regout & (\MISO~combout )) # (!\IS.110~regout & (((\RD[1]~1 )))), GLOBAL(\C25M~combout ), VCC, , , WRD[1], , , PS[0]) - - .clk(\C25M~combout ), - .dataa(\IS.110~regout ), - .datab(\MISO~combout ), - .datac(WRD[1]), - .datad(\RD[1]~1 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(PS[0]), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(WRD[1]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \WRD[1] .lut_mask = "dd88"; -defparam \WRD[1] .operation_mode = "normal"; -defparam \WRD[1] .output_mode = "reg_only"; -defparam \WRD[1] .register_cascade_mode = "off"; -defparam \WRD[1] .sum_lutc_input = "datac"; -defparam \WRD[1] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y1_N2 -maxii_lcell \WRD[2] ( -// Equation(s): -// WRD[2] = DFFEAS(((\IS.110~regout & (WRD[0])) # (!\IS.110~regout & ((\RD[2]~2 )))), GLOBAL(\C25M~combout ), VCC, , , WRD[2], , , PS[0]) - - .clk(\C25M~combout ), - .dataa(WRD[0]), - .datab(\RD[2]~2 ), - .datac(WRD[2]), - .datad(\IS.110~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(PS[0]), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(WRD[2]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \WRD[2] .lut_mask = "aacc"; -defparam \WRD[2] .operation_mode = "normal"; -defparam \WRD[2] .output_mode = "reg_only"; -defparam \WRD[2] .register_cascade_mode = "off"; -defparam \WRD[2] .sum_lutc_input = "datac"; -defparam \WRD[2] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y1_N3 -maxii_lcell \WRD[3] ( -// Equation(s): -// WRD[3] = DFFEAS(((\IS.110~regout & (WRD[1])) # (!\IS.110~regout & ((\RD[3]~3 )))), GLOBAL(\C25M~combout ), VCC, , , WRD[3], , , PS[0]) - - .clk(\C25M~combout ), - .dataa(WRD[1]), - .datab(\RD[3]~3 ), - .datac(WRD[3]), - .datad(\IS.110~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(PS[0]), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(WRD[3]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \WRD[3] .lut_mask = "aacc"; -defparam \WRD[3] .operation_mode = "normal"; -defparam \WRD[3] .output_mode = "reg_only"; -defparam \WRD[3] .register_cascade_mode = "off"; -defparam \WRD[3] .sum_lutc_input = "datac"; -defparam \WRD[3] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y1_N5 -maxii_lcell \WRD[4] ( -// Equation(s): -// WRD[4] = DFFEAS((\IS.110~regout & (((WRD[2])))) # (!\IS.110~regout & (\RD[4]~4 )), GLOBAL(\C25M~combout ), VCC, , , WRD[4], , , PS[0]) - - .clk(\C25M~combout ), - .dataa(\IS.110~regout ), - .datab(\RD[4]~4 ), - .datac(WRD[4]), - .datad(WRD[2]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(PS[0]), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(WRD[4]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \WRD[4] .lut_mask = "ee44"; -defparam \WRD[4] .operation_mode = "normal"; -defparam \WRD[4] .output_mode = "reg_only"; -defparam \WRD[4] .register_cascade_mode = "off"; -defparam \WRD[4] .sum_lutc_input = "datac"; -defparam \WRD[4] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y1_N8 -maxii_lcell \WRD[5] ( -// Equation(s): -// WRD[5] = DFFEAS((\IS.110~regout & (((WRD[3])))) # (!\IS.110~regout & (\RD[5]~5 )), GLOBAL(\C25M~combout ), VCC, , , WRD[5], , , PS[0]) - - .clk(\C25M~combout ), - .dataa(\IS.110~regout ), - .datab(\RD[5]~5 ), - .datac(WRD[5]), - .datad(WRD[3]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(PS[0]), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(WRD[5]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \WRD[5] .lut_mask = "ee44"; -defparam \WRD[5] .operation_mode = "normal"; -defparam \WRD[5] .output_mode = "reg_only"; -defparam \WRD[5] .register_cascade_mode = "off"; -defparam \WRD[5] .sum_lutc_input = "datac"; -defparam \WRD[5] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y1_N9 -maxii_lcell \WRD[6] ( -// Equation(s): -// WRD[6] = DFFEAS(((\IS.110~regout & (WRD[4])) # (!\IS.110~regout & ((\RD[6]~6 )))), GLOBAL(\C25M~combout ), VCC, , , WRD[6], , , PS[0]) - - .clk(\C25M~combout ), - .dataa(WRD[4]), - .datab(\RD[6]~6 ), - .datac(WRD[6]), - .datad(\IS.110~regout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(PS[0]), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(WRD[6]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \WRD[6] .lut_mask = "aacc"; -defparam \WRD[6] .operation_mode = "normal"; -defparam \WRD[6] .output_mode = "reg_only"; -defparam \WRD[6] .register_cascade_mode = "off"; -defparam \WRD[6] .sum_lutc_input = "datac"; -defparam \WRD[6] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X7_Y1_N4 -maxii_lcell \WRD[7] ( -// Equation(s): -// WRD[7] = DFFEAS((\IS.110~regout & (((WRD[5])))) # (!\IS.110~regout & (\RD[7]~7 )), GLOBAL(\C25M~combout ), VCC, , , WRD[7], , , PS[0]) - - .clk(\C25M~combout ), - .dataa(\IS.110~regout ), - .datab(\RD[7]~7 ), - .datac(WRD[7]), - .datad(WRD[5]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(PS[0]), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(WRD[7]), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \WRD[7] .lut_mask = "ee44"; -defparam \WRD[7] .operation_mode = "normal"; -defparam \WRD[7] .output_mode = "reg_only"; -defparam \WRD[7] .register_cascade_mode = "off"; -defparam \WRD[7] .sum_lutc_input = "datac"; -defparam \WRD[7] .synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X4_Y2_N2 -maxii_lcell \Mux2~0 ( -// Equation(s): -// \Mux2~0_combout = (LS[1] & (!PS[1] & ((!LS[2])))) # (!LS[1] & (PS[1] & (!SetFWr[1] & LS[2]))) - - .clk(gnd), - .dataa(LS[1]), - .datab(PS[1]), - .datac(SetFWr[1]), - .datad(LS[2]), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux2~0_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux2~0 .lut_mask = "0422"; -defparam \Mux2~0 .operation_mode = "normal"; -defparam \Mux2~0 .output_mode = "comb_only"; -defparam \Mux2~0 .register_cascade_mode = "off"; -defparam \Mux2~0 .sum_lutc_input = "datac"; -defparam \Mux2~0 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y1_N8 -maxii_lcell \Mux2~1 ( -// Equation(s): -// \Mux2~1_combout = (((LS[0] & \Mux2~0_combout ))) - - .clk(gnd), - .dataa(vcc), - .datab(vcc), - .datac(LS[0]), - .datad(\Mux2~0_combout ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux2~1_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux2~1 .lut_mask = "f000"; -defparam \Mux2~1 .operation_mode = "normal"; -defparam \Mux2~1 .output_mode = "comb_only"; -defparam \Mux2~1 .register_cascade_mode = "off"; -defparam \Mux2~1 .sum_lutc_input = "datac"; -defparam \Mux2~1 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y1_N9 -maxii_lcell \Mux2~3 ( -// Equation(s): -// \Mux2~3_combout = (((LS[0] & \Mux2~2 ))) - - .clk(gnd), - .dataa(vcc), - .datab(vcc), - .datac(LS[0]), - .datad(\Mux2~2 ), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\Mux2~3_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \Mux2~3 .lut_mask = "f000"; -defparam \Mux2~3 .operation_mode = "normal"; -defparam \Mux2~3 .output_mode = "comb_only"; -defparam \Mux2~3 .register_cascade_mode = "off"; -defparam \Mux2~3 .sum_lutc_input = "datac"; -defparam \Mux2~3 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y1_N6 -maxii_lcell \SA[1]~14 ( -// Equation(s): -// \SA[1]~14_combout = PS[3] $ ((((PS[1])))) - - .clk(gnd), - .dataa(PS[3]), - .datab(vcc), - .datac(PS[1]), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(\SA[1]~14_combout ), - .regout(), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam \SA[1]~14 .lut_mask = "5a5a"; -defparam \SA[1]~14 .operation_mode = "normal"; -defparam \SA[1]~14 .output_mode = "comb_only"; -defparam \SA[1]~14 .register_cascade_mode = "off"; -defparam \SA[1]~14 .sum_lutc_input = "datac"; -defparam \SA[1]~14 .synch_mode = "off"; -// synopsys translate_on - -// Location: LC_X2_Y1_N4 -maxii_lcell MOSIout( -// Equation(s): -// \MOSIout~regout = DFFEAS((PS[2] & (((\Mux2~3_combout )))) # (!PS[2] & (\Mux2~1_combout & ((\SA[1]~14_combout )))), GLOBAL(\C25M~combout ), VCC, , PS[0], , , !PS[0], ) - - .clk(\C25M~combout ), - .dataa(\Mux2~1_combout ), - .datab(\Mux2~3_combout ), - .datac(\SA[1]~14_combout ), - .datad(PS[2]), - .aclr(gnd), - .aload(gnd), - .sclr(!PS[0]), - .sload(gnd), - .ena(PS[0]), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\MOSIout~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam MOSIout.lut_mask = "cca0"; -defparam MOSIout.operation_mode = "normal"; -defparam MOSIout.output_mode = "reg_only"; -defparam MOSIout.register_cascade_mode = "off"; -defparam MOSIout.sum_lutc_input = "datac"; -defparam MOSIout.synch_mode = "on"; -// synopsys translate_on - -// Location: LC_X2_Y2_N8 -maxii_lcell MOSIOE( -// Equation(s): -// \MOSIOE~regout = DFFEAS(GND, GLOBAL(\C25M~combout ), VCC, , , \IS.101~regout , , , VCC) - - .clk(\C25M~combout ), - .dataa(vcc), - .datab(vcc), - .datac(\IS.101~regout ), - .datad(vcc), - .aclr(gnd), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .cin(gnd), - .cin0(gnd), - .cin1(vcc), - .inverta(gnd), - .regcascin(gnd), - .devclrn(devclrn), - .devpor(devpor), - .combout(), - .regout(\MOSIOE~regout ), - .cout(), - .cout0(), - .cout1()); -// synopsys translate_off -defparam MOSIOE.lut_mask = "0000"; -defparam MOSIOE.operation_mode = "normal"; -defparam MOSIOE.output_mode = "reg_only"; -defparam MOSIOE.register_cascade_mode = "off"; -defparam MOSIOE.sum_lutc_input = "datac"; -defparam MOSIOE.synch_mode = "on"; -// synopsys translate_on - -// Location: PIN_30, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \nRESout~I ( - .datain(\nRESout~reg0_regout ), - .oe(vcc), - .combout(), - .padio(nRESout)); -// synopsys translate_off -defparam \nRESout~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_20, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \INTout~I ( - .datain(\INTin~combout ), - .oe(vcc), - .combout(), - .padio(INTout)); -// synopsys translate_off -defparam \INTout~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_18, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \DMAout~I ( - .datain(\DMAin~combout ), - .oe(vcc), - .combout(), - .padio(DMAout)); -// synopsys translate_off -defparam \DMAout~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_26, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \nNMIout~I ( - .datain(vcc), - .oe(vcc), - .combout(), - .padio(nNMIout)); -// synopsys translate_off -defparam \nNMIout~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \nIRQout~I ( - .datain(vcc), - .oe(vcc), - .combout(), - .padio(nIRQout)); -// synopsys translate_off -defparam \nIRQout~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \nRDYout~I ( - .datain(vcc), - .oe(vcc), - .combout(), - .padio(nRDYout)); -// synopsys translate_off -defparam \nRDYout~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_27, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \nINHout~I ( - .datain(vcc), - .oe(vcc), - .combout(), - .padio(nINHout)); -// synopsys translate_off -defparam \nINHout~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_33, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \RWout~I ( - .datain(vcc), - .oe(vcc), - .combout(), - .padio(RWout)); -// synopsys translate_off -defparam \RWout~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_21, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA -maxii_io \nDMAout~I ( - .datain(vcc), - .oe(vcc), - .combout(), - .padio(nDMAout)); -// synopsys translate_off -defparam \nDMAout~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_19, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RAdir~I ( - .datain(vcc), - .oe(vcc), - .combout(), - .padio(RAdir)); -// synopsys translate_off -defparam \RAdir~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_17, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RDdir~I ( - .datain(!\comb~2_combout ), - .oe(vcc), - .combout(), - .padio(RDdir)); -// synopsys translate_off -defparam \RDdir~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_69, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SBA[0]~I ( - .datain(\SBA[0]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SBA[0])); -// synopsys translate_off -defparam \SBA[0]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_71, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SBA[1]~I ( - .datain(\SBA[1]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SBA[1])); -// synopsys translate_off -defparam \SBA[1]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_75, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[0]~I ( - .datain(\SA[0]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[0])); -// synopsys translate_off -defparam \SA[0]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_81, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[1]~I ( - .datain(\SA[1]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[1])); -// synopsys translate_off -defparam \SA[1]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_82, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[2]~I ( - .datain(\SA[2]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[2])); -// synopsys translate_off -defparam \SA[2]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_84, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[3]~I ( - .datain(\SA[3]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[3])); -// synopsys translate_off -defparam \SA[3]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_76, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[4]~I ( - .datain(\SA[4]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[4])); -// synopsys translate_off -defparam \SA[4]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_83, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[5]~I ( - .datain(\SA[5]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[5])); -// synopsys translate_off -defparam \SA[5]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_77, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[6]~I ( - .datain(\SA[6]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[6])); -// synopsys translate_off -defparam \SA[6]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_78, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[7]~I ( - .datain(\SA[7]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[7])); -// synopsys translate_off -defparam \SA[7]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_74, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[8]~I ( - .datain(\SA[8]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[8])); -// synopsys translate_off -defparam \SA[8]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_72, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[9]~I ( - .datain(\SA[9]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[9])); -// synopsys translate_off -defparam \SA[9]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_73, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[10]~I ( - .datain(\SA[10]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[10])); -// synopsys translate_off -defparam \SA[10]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_70, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[11]~I ( - .datain(\SA[11]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[11])); -// synopsys translate_off -defparam \SA[11]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_68, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \SA[12]~I ( - .datain(\SA[12]~reg0_regout ), - .oe(vcc), - .combout(), - .padio(SA[12])); -// synopsys translate_off -defparam \SA[12]~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_67, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \nRCS~I ( - .datain(!\nRCS~reg0_regout ), - .oe(vcc), - .combout(), - .padio(nRCS)); -// synopsys translate_off -defparam \nRCS~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_62, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \nRAS~I ( - .datain(!\nRAS~reg0_regout ), - .oe(vcc), - .combout(), - .padio(nRAS)); -// synopsys translate_off -defparam \nRAS~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_61, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \nCAS~I ( - .datain(!\nCAS~reg0_regout ), - .oe(vcc), - .combout(), - .padio(nCAS)); -// synopsys translate_off -defparam \nCAS~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_58, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \nSWE~I ( - .datain(!\nSWE~reg0_regout ), - .oe(vcc), - .combout(), - .padio(nSWE)); -// synopsys translate_off -defparam \nSWE~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_85, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \DQML~I ( - .datain(!\DQML~reg0_regout ), - .oe(vcc), - .combout(), - .padio(DQML)); -// synopsys translate_off -defparam \DQML~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_57, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \DQMH~I ( - .datain(!\DQMH~reg0_regout ), - .oe(vcc), - .combout(), - .padio(DQMH)); -// synopsys translate_off -defparam \DQMH~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_66, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \RCKE~I ( - .datain(!\RCKE~reg0_regout ), - .oe(vcc), - .combout(), - .padio(RCKE)); -// synopsys translate_off -defparam \RCKE~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \nFCS~I ( - .datain(!\FCS~regout ), - .oe(\FCKOE~regout ), - .combout(), - .padio(nFCS)); -// synopsys translate_off -defparam \nFCS~I .operation_mode = "output"; -// synopsys translate_on - -// Location: PIN_12, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA -maxii_io \FCK~I ( - .datain(\FCKout~regout ), - .oe(\FCKOE~regout ), - .combout(), - .padio(FCK)); -// synopsys translate_off -defparam \FCK~I .bus_hold = "true"; -defparam \FCK~I .operation_mode = "output"; -// synopsys translate_on - -endmodule