From a336f5969b0b15427cb9b484344e7235aa8a89ea Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 19 Mar 2021 06:59:22 -0400 Subject: [PATCH] hmm --- cpld/GR8RAM.v | 118 +----- cpld/db/GR8RAM.(0).cnf.cdb | Bin 24951 -> 27704 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4588 -> 4863 bytes cpld/db/GR8RAM.cmp.cdb | Bin 23580 -> 23149 bytes cpld/db/GR8RAM.cmp.hdb | Bin 17497 -> 17868 bytes cpld/db/GR8RAM.cmp.idb | Bin 1382 -> 1464 bytes cpld/db/GR8RAM.cmp.rdb | Bin 12383 -> 13010 bytes cpld/db/GR8RAM.fit.qmsg | 114 ++--- cpld/db/GR8RAM.hier_info | 42 +- cpld/db/GR8RAM.hif | Bin 527 -> 552 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 316 bytes cpld/db/GR8RAM.lpc.html | 32 ++ cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 509 bytes cpld/db/GR8RAM.lpc.txt | 13 +- cpld/db/GR8RAM.map.cdb | Bin 22708 -> 22369 bytes cpld/db/GR8RAM.map.hdb | Bin 17393 -> 17836 bytes cpld/db/GR8RAM.map.qmsg | 63 +-- cpld/db/GR8RAM.map.rdb | Bin 1239 -> 1240 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 12709 -> 13376 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 400 -> 480 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 12592 -> 13291 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 22218 -> 25400 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 816 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 23178 -> 22875 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 13961 -> 14302 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 872 -> 1018 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 120 +++++- .../GR8RAM.root_partition.map.kpt | Bin 2645 -> 2885 bytes cpld/output_files/GR8RAM.fit.rpt | 400 +++++++++--------- cpld/output_files/GR8RAM.fit.summary | 6 +- cpld/output_files/GR8RAM.flow.rpt | 18 +- cpld/output_files/GR8RAM.map.rpt | 172 +++++--- cpld/output_files/GR8RAM.map.smsg | 2 +- cpld/output_files/GR8RAM.map.summary | 4 +- 34 files changed, 610 insertions(+), 494 deletions(-) diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 208b090..622fb1f 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -118,27 +118,22 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, wire AddrLSpecSEL = RAcur[3:0]==4'h0; always @(posedge C25M) begin if (~nRESr) begin - Addr[23:20] <= SetFW[1] ? 4'h0 : 4'hF; - Addr[19:0] <= 20'h00000; + Addr[23:0] <= 24'h000000; end else if (PS==7 && REGEN && DEVSELr) begin if (RAMSpecSEL) begin - if (SetFW[1]) Addr[23:0] <= Addr[23:0]+1; - else Addr[23:0] <= { 4'hF, Addr[19:0]+1 }; + Addr[23:0] <= Addr[23:0]+1; end else if (AddrLSpecSEL && ~nWEcur) begin Addr[7:0] <= RD[7:0]; if (~RD[7] && Addr[7]) begin - if (SetFW[1]) Addr[23:8] <= Addr[23:8]+1; - else Addr[23:8] <= { 4'hF, Addr[19:8]+1 }; + Addr[23:8] <= Addr[23:8]+1; end end else if (AddrMSpecSEL && ~nWEcur) begin Addr[15:8] <= RD[7:0]; if (~RD[7] && Addr[15]) begin - if (SetFW[1]) Addr[23:16] <= Addr[23:16]+1; - else Addr[23:16] <= { 4'hF, Addr[19:16]+1 }; + Addr[23:16] <= Addr[23:16]+1; end end else if (AddrHSpecSEL && ~nWEcur) begin - if (SetFW[1]) Addr[23:16] <= RD[7:0]; - else Addr[23:16] <= { 4'hF, RD[3:0] }; + Addr[23:16] <= RD[7:0]; end end end @@ -260,7 +255,7 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, always @(negedge C25M) begin UFMBr0 <= UFMB; RTPBr0 <= RTPB; end always @(posedge C25M) begin UFMBr <= UFMBr0; RTPBr <= RTPBr0; end reg SetLoaded = 0; - reg [1:0] SetFW; + reg SetFW; reg SetLim8M; always @(posedge C25M) begin if (~SetLoaded) begin @@ -274,7 +269,7 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, ARShift <= 1; DRCLK <= 0; DRShift <= 0; - SetFW[1:0] <= 2'b11; + SetFW <= 1'b1; SetLim8M <= 1'b1; end else if (LS[15:0]<=16'h1FFF) begin case (LS[3:1]) @@ -378,7 +373,7 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, Amux[2:0]==2'h0 ? 2'b00 : // mode register / "all" Amux[2:0]==2'h1 ? 2'b00 : // FIXME: init row / col Amux[2:0]==2'h2 ? 2'b10 : // ROM row / col - /* 2'h3 */ { 1'b0, Addr[23] }; // RAM col + /* 2'h3 */ { 1'b0, Addr[23] & SetFW & ~SetLim8M }; // RAM col output [12:0] SA; assign SA[12:0] = Amux[2:0]==3'h0 ? 13'b0001000100000 : // mode register Amux[2:0]==3'h1 ? 13'b0011000100000 : // "all" @@ -386,7 +381,10 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, Amux[2:0]==3'h3 ? 13'b0011000100000 : // FIXME: init col Amux[2:0]==3'h4 ? { 9'b000000000, Bank[1:0], RAcur[11:10] } : // ROM row Amux[2:0]==3'h5 ? { 4'b0000, RAcur[9:1]} : // ROM col - Amux[2:0]==3'h6 ? { Addr[22:10] } : // RAM row + Amux[2:0]==3'h6 ? { Addr[22] & SetFW, + Addr[21] & SetFW, + Addr[20] & SetFW, + Addr[19:10] } : // RAM row /* 3'h7 */ { 4'b0000, Addr[9:1] }; // RAM col output DQML; assign DQML = Amux[2:0]==3'h0 ? 1'b1 : // mode register @@ -415,97 +413,7 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, always @(posedge C25M) begin case (PS[2:0]) 0: begin - if (InitActv) begin - case (IS[1:0]) - 0: begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - Amux <= 3'b000; - end 1: begin - if (LS[3:0]==4'h3) begin - // PC all - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b1; - nSWE <= 1'b0; - Amux <= 3'b001; - end else if (LS[3:0]==4'hB) begin - // Load mode - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b0; - nSWE <= 1'b0; - Amux <= 3'b000; - end else begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - Amux <= 3'b000; - end - end 2: begin - if (LS[2:0]==3'h3) begin - // AREF - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b0; - nSWE <= 1'b1; - Amux <= 3'b000; - end else begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - Amux <= 3'b000; - end - end 3: begin - if (LS[2:0]==3'h3) begin - // AREF - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b0; - nSWE <= 1'b1; - Amux <= 3'b010; - end else if (LS[2:0]==3'h5) begin - // ACT - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b1; - nSWE <= 1'b1; - Amux <= 3'b010; - end else if (LS[2:0]==3'h7) begin - // WR AP - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b1; - nCAS <= 1'b0; - nSWE <= 1'b0; - Amux <= 3'b011; - end else begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - Amux <= 3'b010; - end - end - endcase - end else if (PSStart) begin + if (PSStart) begin // NOP CKE RCKE <= 1'b1; nRCS <= 1'b1; diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 602b5ce5b6ee3242806c7c7d3cbc044d7918d3a3..e3cb276edc6a069a9b62bf0bd9ef5ef2d2a3eaca 100755 GIT binary patch literal 27704 zcmb5VcRU>5_dlM55D^iH5_Odzh%UO_h$x95B8X_wyVbizBt%^`qOMNV=tNn)vx(lV z-q}^x+Vb^!fByOX`+Lk|=ALsOXU;uy=9V+}d4}f3jT_~ZH?Obm*T>82QqRiG-45)` zE-Ef0A|%TG($mh-l3h$(j9o-hSX4|{Ohib zOFNJ2J3mR1T&uZpn#huONN<%WyOzYL8dKS{lM@SyDK&{Uho z075+48dW$MUGTP9O22@Bj@5mnQkNHG+|S()#k_w=$;rw2x7H`OnjFa<6d5j(yQ%9g z{VtxKe@%3z=a91tDi7!-Fdf`H8U`dA*9n^XA$MKgCG^XX=@p7rgK7%T`Oy-GWEjMlW7O@z7Lf6`*w+gbDih>eGH%Jollo4Svxn=F$Z6W$TdE~rh7Ap5Cwd7`%8K!A-V{8zmo)t4 zw4X56DF#)^p$-ayD zBKzQs7sUD<>z;N075 z?*vGP=tI4K=Ms5kGduq2_w-r==iWLkd{N1cFsvNJRuQ*<%>O7<+kC%H)AP^l;$Y3z z4(HICfkNFc0o-Ov^7vhh%e>5{;gPrhdFO)VdxG&<>S{XqAU@!7xvy2IN_cuJbS2-n z8igEtXY~%@R^sF`K7X#`vlGD_3MhkitsYF9*d3l8%_kvF3JD7rB9GokT-iH)OR>{M1*al3E2uZ2OldD2_65^0 zLrd>kfPNVzoeV4rcgJlW6S4^ft?jD+mK~d`km(@eUnC#^c4DaC2t9H#3K;iE1?o59 zs($_^&l!hiabPe{wC(C?@(ldu z)z{tTALEzo-UTi+Uf=_60oqfErsI`iT_K@n)qr)=M=RSWZYwuW+~E7`B?pKF{=siV zB`%@BBQOi>!U`kerB>B$SX~_uTomvg6yOz$39vT@7QoPkixm$K@#D_ZwgK&(3?l@_ z^*l5H7H;l$zGfKy$lZwq6G#Lb;*R=!VoDHFa;xb>?%B)i*O>h3aaEHfIPZ`yi{>EUfrM?F2cCnDr}Nw`PgM5Ks?|~ z=$pyK;3)ZHF2uip5F3L=wtQamrHJU~xe?W!bMBuQoX%vEHaTpzuCI+6 zmA$FFP|V@%yz7}mAMS8cQs9Z0Yq{mXR&_U$smmS?vwOgyHn!R~TrJ9t{;X#*HZ6V# zhdmJl=qIpk4XUrE)@Pht01g9MT$8UUY9=M^_hK!MKc-I$sqCtcTr_$b``mfkKK@wr zmvA#qw5r)hir(un+gP*pRi_?Yp9Q`@sk0dTRO5)>G2DMncSJI2rB|+)JD-(sRuW-( z2Jk#yb*nz)G^qTkrboQ_%dFa2*g!b*nyxA_t0ov#o5;tP5xPEhSuS4F($_SXQJ3Wb zzX1YvEfdjhR(;BLI_%DyFc}o*^0TazdvEs9z%D3A`t4M5!gKL!d5fqnOZ>~~EMQm} zh-R&N8CB^jZP(O`8*Hc*zlyhpd3A`((k?i2vme_|P6>btwMr#T0| zu<2DV4QUnV>6g^CxuD>^TKr8#za0NI+bg9{B!Q3OXn#wk$CZh%?94CE50$NRycIL{ zjI~WTIYF9agP?x@%epWZYph|Hz#|(Wwr#BF*mz`H@Ut9av6}~D6t)+z;PYS#Tk4G9 z^X%&)Os|2@o;xOfu@@ye7R7DRC)M&m+}ioO*FGjJ#jH0)&MSc1dmj^d%|}Xh>yLj8 z$@(JMXc=vil(qW!`5`Xb+rcv@DC2laV_E^cW zDc~op=1=w)-zQhY{_RyWPl2g!$PH{5l)JnIcP)8{eC>bTsu`;zTM7tCbd%_#cw?%9 z%xANUmF5fD`kq)V(-#3*PN6B&ao&<{H4HUW)f_x!DGDw4dLbLgoT^43X?XklabJ}{ zEweUEkZ3ggw|^To!L|^ua;uc<7pf8Wd->Y6X~8bU!W> zC>m^QR4uSsfiiXUz%nU=D!1{PC)hF@gpp3WE^&(lWoII5HQlNO`1KJsg4?rTJ=L<0zwg7?wQ7{poMH ztMb#mmZmB6lvl%7b;@nOEIjU|IDywQW>Y>Et_@OMa#+|X{*YVCo_%_7Tj8c zP5<0&ym(D$H2*Tnr1STm1GBT;LR&|!4V3SDgy{4-2|d2GF5WiT;Ivls_CPMq1^$E>Dy=z(CXLg6@w%ZCz7biru1-@J+bq5+V6w2rG>xrT90)e*m=JcQe)uF9m(yB z;rM=`KUE?92Ktq%J}=zvEkg@G&lb2ZPANL}gV?$->R~9>o18Z;2^KH;TGs8>>r1-r z3q6Q{z-DW*g&OCPUYS9ay-ue%3)|v@Lh=}n{>~)R_8=|JrMI#^_g=rnO*zl_t#5kv zfwLI^PydK#jI`l{$)} zGHWY|YsJrexfqTJT%v9`YhIv6AO=+3kv|{H(f@Xkn#qP^lumr-hlQH?{VMa3xkpl2 z?;xfVRRw-3q1sZ6N=b|xZI#>kP9B~m)=6zy^S6{&c{S+`7aqm%+0LegJ#B?|{~KRr3@6eW#cDLu=~7J;f^>$5Q&@XhV|#3xJI8_3VL=dx}rkc#@X^ zY}=W#agi({ByL0G&{Kbi*~Z~QC6L}Hm*7`jZOBK0^$ZyOHzgG+$IouS0Y)| zlIWN02JStwvdTIAx`*|Cq3jL_LzM@KCN8~qHj1dBYNUqzEoP*OzZCxRzl<4TKXMd# zQwp=7qQCnd5`#jL<(Y_uv8RpY>`5wAt%zm`OU#_&L|^s1*f{D2%zPfN>3#5FHi_Y^ zK5S^d=s&BHU-dh>??&!4`bk8i= z_%a0D8Ro(&Qdo>ZR^QT5cfRF`2(vwqD=fD1V7{anR;JQhw791|EI!odsLLo~e?*q& z1yFiVS0Xb0*6oD=oQlsUTvWM7)!toCL7K*y0<&cic{k^73MqxfBB}G8Nmw*TD=ZwYM}c0B+A~`e5v_x?(k9Jn-7HQhSw9i7T;fvJSe`eCE|9m zKK*E5kUCbw3-8Eja7k18dWxDSAsL{OW@#)MUluUE^kmQQT0&S}YT(c3YYBlv9s{CW zd>QVGa$*@m>xlq-Vf@LS&h>&g1rBh0XrO+QLaE^u=yELz3DpwC#4i0=@`iFmRd`>2 zAjN*lG;RJ&zZDhXH~%=dq{7>-Eeh+}^_SQyYUyg%V7;Mqtg;AX93C#7j^-!^e`ph4 z|KrHY_G(kJti;G$!zZEq0lQ-c>7H~cX<3h4GVHH*vCYi>x-|xMy z_S2pOZG%#+QmI$liUBDH#=l8T%wkAkue2=ps*M4;zjo64jUW3FdOjdN8S%tiO~B5) zq|S=`Lf;i0uu4TsgP#>1*gDVubB*%rF|3>VxM_|tZ2VK=o(O|1z27orrPCGs@Mkdd zdC97bb3mr0$;G{x5F>#MB<8gm4XJL>pIrkQo&YR%-t*13Uy=D=eKW(g!J+D&faw=^ zA^MGMn79%7-t*v=Vr&ryIb*UbTBxczNH%6XH^!4LNAuuXAIiycngX z^G|gJ{|yMBUM>oHw@neX`#Lg;3$Qw)x^s4Zxa@xyu>MMDewqoTy0ZoS5slw%du6F{ zXmqA7mC{Qmi zZLP>95Wrb^t|5^23Z>HG_(UfJJ*ox`MURChr| zmw+*afs-3+TDMGXFPEniUE@;zsjJO`gah#Dr~C7|lP|4Su&^_yUKEI0)VA6oXJWbynHOdr(9DJ{QiwniifU`ps*_YT|)D9B+)L$3L$HfGCFH!6;d z$bk)R%g#3{v=YcL3b*0KA8N=mj?~*#0e#PAbb=l9f9H(XX#!BeXsh$UOdy--**j#7 zhR_pr}MYz7gZ&4`9CR8ak=EfC8DIy!hxC;*Y2 z39q<3JHTh2vXgc99IrEcVFa{|*HDjfwOuX;!O8I}7Uw1p-~s{$9k9Q1c3;i$gi0=7{d)BR>gU*IHl;I7{mHA=nsl$FL z0K@X3e1OpEZD?o`N?%tm1z^tum{}^4&z+R#tTl9KYGpG;0LvRRXT%F&QaoILzm*^dGcS|M7|r!g>1Pc1}23!Ry;V2{yQrkWe_sB%S!J-HRuY zKWQ*#<4pLm%T*KX+F}-Nk!ro+!R4o`Rwi^~_q}NQb_-grsU#3g@P1`PQhg}NnCNOA z)u+#|FviC{t>U!(5)Yz>evxZv0ewe>GX$sFru`!%Nk5^5KIexGzO-X|Le*J06Vd1X zWYKokAL}jgw@9dEP+ZLir%FOy*`@y~(Xag_@=S{QiJ;l?TlE*7EI(!c8U0Rw6$T4m z(gKRKS%+P8%;ynm?MH1G1GHJ*lf*f8+v#{Y?K~JLLiPBV+CFa3HpE)3g2tbtL&!El z3T@BR=>eK=*-2NV;tM)=e@GxZZ&Z25u%o|7Vb0yLvFCwLe*dM`T7N09K`4WnmH21@miAn6Jk zG>aG7mlr(>@0{nfy`}^6NZ1b!|{PdBLs*_dH&fq0wps`pm*#{k&DyZKlBh534T* zuQTxMu3=G8{SSk#=+Tvts~2|k@DJ-vniFcEhsuUmPwa*wRumsTIxLuaLE=J zs%xtynQiU)uCKxcdGNgI@gLg8Zp*&bUpID&`S&MtJBRY_E<5P0L^0A?`tJGs^jpcM zr!!&F#lWrUfIxEo{1m>;*DaWY;!e5|{*OO?@?_z9;*Bz_rC|{k%$Fby6+-Snzo|6i zB_$6R$U*FQOG||SO^gSDuSrc60`)a%BX(?aU0bGBanmh>=VW-G-{xA@UHX!Bk|947 z>X~+WThguuV&;M}JAcD#(c>*G|LMNfoI_Uu{Ha-sJ4+Uu%yxA)vc=PY@NyHc^7z6e zv`E>HUQt0oi2;r(r>WJz>2Uc9;o=v5dm6v<3s*GM58KGXK^R1RF?9<)P=sI5vNLRYOz(p~!A6V4QbX2c84pA}7Kl<%83W+m8TN zDZU@=KKb||ma%yfLBMs#;>J6h_|Km>rcW*~UUj3s(4))YWTFBwdRiJT&af=@EUSzw?S%)TxM&bSbN0$DhMnG0C@ z?EDdD8W#firZ4JE=BbVv_igM9ZV;L4BKcysxa182$yc>!Q>|0janV-pXkdFL8WH}vGd zz-xc;S&0=wSaCG*iD;B_xzgG_N(tJ&L4gb|lZ=|F_Io9Mk2hcmF6~HNicn&#>|+vt ze%r~ya{2ox$;#mVK(_dfj7PEb>y-MdxYPZQx zbydL`K0aDMJ1u=K`?nz-QI+Z$iX!s(zC1b==v{QG#vWrv+6$>ycRM`qE~B5R)+QJeaP4lb_N9%0oW%E!&C$y+Mq zgF!O!TDU93nb8wUkG<^b)e2cTeKW>zMB5m>tb~4%fvr9jHPAif9>OR~_Ul3h*my3h$&?;F>ip!mV_8F<lGDZ>5*|>w>r?jZ=^jc&u?w4 z$m2=Gx0&jcL&4#dANO9Hve`JiSw^U7|AfI_1?8XOkJK^>h11GE>Hr64f3(12Ppwmr zd}7+tvLbnViVll7@mmi!T5%h@=>ZM?-e|SfW3gmEL-Mj`FK`Q8yBT)XR(k2j zU#;s$`dZ=6KGB9rg1lLGan4mr9TU)V8Z+7qd2K4spy<~rPdXrk5~RCgow|9Ti2dC% zPMfc)Lav~5K*Ea!b_t26z07AkAEq9X)H^Gb!Grqtf{gHPnZowHV+HbnjBU&r znNKs!h>nnv{Il48RnIJKN9s;?%O8KO#U44v;Slal(~&A8!L#+-izNJ+?XWT_phkm= z#cf4|f#z*11jWLN_2>+NkIf-U)?(Lc zC>wr-oX95*DDNn^JG%IjZu#;gia(f%+Wgz^MFP%F9WIoScCyx(Sh^=e$!g)R|w0ISh&iynNaamn2|Vq4JK2u*zTJ#5CBnp>_akaIXqqu zvWcYvLy=S>iK1ON!j8MGW%GaSUS7#abj$Tm&r@Y=r4EPIH~nW87GqiNVeE07RgXQ` zn%O?Tb3b)pJN1UsV$Y_yZ$5)Jv`(FV|&*DoxnH71$nvaa0fj6J=&W8Kd7d=B6ji5DekXU^SH}Rd`vg!vf z-eF018l!giq@3@HgbM$7wHr!*SRq~%x!Ys0YiYDcziKedY-0*tAI%(iN5t92i;{m_1J1 zkGaa$l@FUxa0ZPD{*v2Hq3ZiL;IU))X5qc&scriyyJwDJan7Nu2SYQ@;(x{&nceSo z0xJq9(D|zh^fO;_pjZkH_Ch9t9o(*_9yG{x*O;ui?)C=$E%8@>Ddc?4U8@*%@t|k6 z+Gp{nt2kbx=uc0}Q|=)LQPD^t|1}eXVYR8QB19ai0!aMa`V*>u06S6y{wB&+Hkdv1 zV=$Xx$f`&-C7$J8-Mmsli>}}OPs+RCBwgZanb?-c?3#4r^dmEB*Q3q?is?rEh#Ty0 zX?%d*e@mLx56!A~7i^Pz!c{cZoD(cihOb&RoEP~OQbiGZJ32u<*}4G+ZUBP<{opSF zHLqS9ePpnsUq5f4Wka>-HbmLDCmDb44HVI{x4f40zmcpv?Nn4XXsBEU$xe5QVmPp^ zS`7!VJuM9|oNE)0qx>l~SkgDZ4}LxF!R18t$Ia1Bzr`!zeYCs0X0u;OncviXhEmm` z5~(AXDp@tXOyN?;GEUV1$@-1q&iz?CeeXD3P>Qako>|ZNas?!BUbSJtS2b!<%5oA? zZQmC;*=#kbWR(mC&3ltoe>{F@;Q7WpdC6PglyDK;1`Q$mE)W5$3%&kXd__LN}Zi+S{UQWE!a75P4Xw-f9dcR$(*B#;nxJ8~)Q&U*=a^W`1a6P#@ z&e#o@uiV|+nA zt||YcqZ7!MfmX7R`NuM|@>1gYHzkvw>t45Kk_v_C=NK{`_n5At)JuNPu}+am&<|HL z2BBbOBdGJL$j@a8i=P;8^|z^!5gzpr5w%NL6JBy@h3X|)YOTqO*(6su91|ecL9B|s zWP-JBv)M%|e?d=n9^58ujZM!-5dxk=-b(}Vai6wmM9DS*NFqN0s=i)bn3lNbaWdHn zXvE$M85*p9_8{niZBR*`drD;otFGH#rDZ!e4fO&+Lvq4~$q`gMVsz zkxq!f@(`6*yI5d@>0cK4SCuSGr4_a6mfzd)t)N@8&bi;B;6lKRP-qvQbV_FkD=k`P z>k6>W1T7?tylUTi+t+uYkBD|#Il2;v6))&-zoD^GVDS*te`3%WqIzbS%-?h2v3w|T z=2X<|6KPnbHXl{HIJ2@Y3-Jk91t2R&+INT{{_v0?hBu5_rj>qlf|F=*Q>gK_OK+{s zn`vH$qqDwx21{ggGcO{~xPRoboI=wGi|OQ7H%qpJwO<`@chq!|F1Ocx)PJGt{25nq zu2-_u?zg#tHGNwQh59z*q&*{srtcaO;04HN@3m;Sfa^?4ETS=nw<4DJ-Z?@^bpk z&r0WsCKjCQ7oz$XEu%)VB{pPqXl4I4H47m1QLXUrC?kh2@Mj%;Md{*@8(AmRt^*yJ z_uD>UNW7I3+6Bt^T0DBbL$)tK$>d7P{{+;{=*|h(NMBFyl2T==8N;jq-W*@J{PA5WkJm;MEU9giG zfHdUUUhG&N(oLb?eQU=j9Ok};JioPNa(j((x*Ztw(FT%K-|)mG0R8ixQKfpAQP*@9 zHH4jf98F`ox!wtLxcC5A9Sf%{efCW^A@J5wLkcP4{-XerX~$o|CC?tvVqCQXAUQz`YD}ZE$E0t2&ya2l`i{%Ij2Ex=F?iY z{j(%nomp`qS|47vwaSy&WZcd=4gm#ZWv#gXK-xs#3D*LcYF|6?|N4Z$|MiLI^VdUw zk`z8bt#K~7qF*=?WemyK_-31^XSen_Lw#DgdDYuM6(5G3@A;!C^QS_o-#sg$zvI%S zQ=5Xo$qEO{g$IdP2z#}bb_k)MZ*kc_>DzUPHxQTMUYVhW!-;{~pbD|4A^IP$lYt_A z(V^h!WpCfVp1^>Etb&B~{%`hB)9dZ!ZuI!<7kS*a*F9bYhzE~%XR+45Kk6xTa7dFY z(E5lF2HDAElwJc@C>%>Hg?}STF#=_z{cf4kpqlF|3tfw<+vnfk)HOh&ct9R?4G~%! zkreoMyGnBA#@l;yDkD;J`a;wUOf3#_=ByDmbUGjx;`j4}PPFkTb!{a}#(8q+^rc6P z|8z@QDJ~TZ)PQW;gCD^vunj}pVW(X<+fQk{l;y@AlSK}4ozz=axL~N;CCI>kr;kSA z>Y&zPVSY`3Pnr9d$^XZI=x%BaTskHRBP+)WeUlQ_kTutv=dl!ZE{T6st=N}Jd?K1K47`4`x=f)ZPfvtgpN)PpI3;DE4 zuUA**^8A;}BCh)M+?V4?`tx^}*261&my)#}K@tJGYyYNc`G`vS(fc=Ui**9Vy%hj^xD51j;-|7rKBz6 zladI;%TTGyoYGeJo}xuiEmv>e&YAXQhRPv!Th(2HZShr`^@0p%Z3@K5wrCvvlvQd= zj+lzSnn|R&qMxh7R803`-$wP@riHXtE=6i1E%E)ynFKP*T82IK8b+M z!6hDo2E;Z+T`p}2bhpjHQPo}M+9Gw3$vC?BG-xCKo!#Kcc>ukg_LdGSH>v_RkG502 zZVvOOij|5P%41AzBfr`uu)r?zBCpCQTvM{~x?$71Rc(y*wX zYn(JKhYtt^t?A#jk-uePyDSgeIr(LuQ(b;sGL~Cxe>V6Pb>5O(OmV3{I7$I9AM)u& z@D-grI2N3y25X_ThAPOX15I#7A{E|a0nUT&ZCo17YDb9Ga26JzF|xMr+MGdHVdGKp zLGi#+1;K7rTpu+??9M=M-*S>Omm54I8O{SHSEcNwQClK0Py52Jhm_QrxJJOP3v2Q< zK2)uRypEa=VY`m24HYOa(4#KaGlI4bP@K)v*Tfkzrw)q!ugA$bsV3VDUeDu)yRb;v zm2pzAy|9K`(VDg_Va`Wl7tcPYe;CL)2fnWfnkdS7 zjRO{&|JYD+WQ01uJ^7Q~Z@ua1_Wk1Z)5%@!uJQ z1KAF~BYsJa?AfX|?J;fYI~{cut}z6@i$z$Tw4+yxN!5=v;;L!&UZ2nNwUh?&mp<}u zuFvDaC4icK=d}e*)UkTD0wn&M5U$l@1H-hhLN1+E@r@tq-q|HwkAJr#;q_jIigfHz zp#BmSVr`?s+p#UBqIA5%{Q|l@6kIvccGXhQ3QMYAO%=Ruf)6IZtXk{fj90nG2yM$P zAImSJ?an-zmkZEX4EsENWp$*a`qdqe$%+m_X^eur9|YJqk#plZ@X;7~kq~j%e%CkM zAD#RIzz~EJP))BBp3s)r*^I3zZ7m>196XN{bc!&&SJ_xRDsw2f$QSa+d-H|&eN=^? zWKU~^mZ?^tgV!eY9LCtTa;xk!{NE44q2Nh@z-_p0X_oQXuP6Sjq-A@)$?Hq9d0UmF z#2V7rEI54&ZgJiVr1p+e|363ZT^s~>)MHvLkMRgu<4Y5fE!A(K%_)*SMQ(+*N`wHp zE*Q=rzkK}~@Z?rl)$P-33Rx+z(;rfSP*QSNOdj=C7u}ey_-+WE9{QjY549Q4aO9!B z5bC%&dSr+chiEK7g6MJKlmLv-7t>~&9as{vEhQNnOcY1wEQi(MAp)50Z&ZzV=VzD; z`g?@trlTbiVpSVCWq?K;rS4)yc4Ez*CRWhlv%@3c_AMM06$&6jI3C&0Enc%fIPix2 z+hQ2oVwFq5&L5rMYFNIV1L(hux%xDHXs{cUx$B*j#X>`JLW2K3J9K2p)ON`TH&Ytm z#_1j1J9m3)_`LBv`DkPsZA9hl_K!GUP04Z^(Z~UyS5DoY%7-EZ{*n1P)-Kx}W4ruM z&Suz0%;TfkyaKf(UQAw)GgGZ?ekqsR8Q9Bmn@ixf5t>r0Y@lV*SFx$`u(RZ_s~L+cfHqNL|E*XTKmE!TP&HT^RL zS=|0I`+>zcGGki{VSW%+uIl{1jkFvqj{XrSB<)S@uTT!E*t_w)*`8kL2 zvBK3*H#OT>eLI_ScJ)70HkbY%=k2p;oOVbb*L}l4kP~#iaYq90C40O0Ps415A$x34 zeG%~B3Q>2k{|6u+Mz{Rkglo!fsxK@ElN#k;X={fm+g2iY9MqMvX#SNdH)!%Y z*?B@35=?)gtr#NV^#1m?tWk4N?R|IOp>ml@`0GwW-PArJzN)|WWhP|#Z{5!9{ZZ?i<`A2%S_S_n* z3v}*FXHomE&Ao*Y)V@#ko^w#V{~x0PgfL2}U5&9Y!97hbe#N6mSh0V) zmLatf8NH(-$xn(lvvFC?e@evr&$mbKobVY+f*?bG5@o^zc#1-z-3{xr%s1_EKmV;~ zOE6g8)eqdOOIF3hw=K(W6_1#_#{dHpg0-wg=Iaa?F;G{Wr(?nI$mLLQjU3YdLMx1S zBuKzy%%Ru13AwDFo-@0DrxA{=o~1!~%LU2B@hcl8dzQ(NeuqFbo4aHl^`Jss zOn@s0w{!V8=wB?UR1!?Vq_>dB?9UlU=yEU%FV-Uazv3 zNwsyzEg=`2BSr=^U%(`Nd|Bp|qwrAcb5c`S*Q4h9e@#vjOWqY}R2PiDNuLzExI1oM zm_%2=pEbkt4H2R87%j*?Re<>~FHxE>+|z1kc{~ z^!Q(#lD)vd1mAADqwf0Wc%Acy_Pe(%%pA)c25diPkO<>LCa3erRD?cuT+$4{f5Zvf z^MC|tj+9VVRV%e4#J7HQaHV9kVyoNcG!p@^hjPojxuF~Vr#X9S1bAY64H+=^6v{K_ z-zSQ8@La%eF1hxzXrH}0L3(dSKZ*;2cpPi-uC}fM&|8&Qga_Gx8oW*>H*ND1^dO}yA&}b>ur_+Uj{yK zPc`!W_JVIML@0(j==%Ef);;yg14UPkeibLG z?$te@xcTD)w+%h?GWeZT6d{6K;r*0TA6R`ttRk>x#qVq5alaCCQN4R_-66g7N&6e- zjo3+MU|txL-CzSMZ(J9#ykhR8ZMZw10YQU`@k zo}+!gZ9gv#^M3?Mt)w7sbWTdMIKPelLahFdV7G;+AJ`wKn;y!`3qd>kt~yQw!q$BP z?>n?lC+namq6q@bC=d^>$O@$|frRnmiYSBL`y(DvQ+kb>@Nh3J@!;qNBaB)Ir6I&) zvVgE38NhHAoa=t8HKKYaj=Hybf%5%-(GVo0OT9VY9c{mHJ^i^$evNf7Y82Fhj>k6Y zNh-McDqo%ZPJTrkR2Z4`%!3raZUw2400qcp0`JFS4t%YW&T#04S^nsEvr8u>)rJ4X z)VIR*HL`sQ=57mo^XD5K{rXo(h{mW%{08v{@=u-U4fsctzcrG9BFn*>LFk>&v{&oe zIZRgt^WQE^A!>~IWIY@r7pR=Eg)5zV%O{4TI!O8?=C!+b@fyvgYsj{F&Hn&vQ#n;PXz+AOSZX(77qH^97)Q_ULv~!6%qU#KYcW3}kx{6)K#d}}^(r8X2DzP{oME=m1mr^ugTv+XY_zauxVv;e` zA!rf?#=2)JUDvK3`w075xg@3^8}z#bWR5Q*3+4RxZ)KfROOa;y7=SEX{~3~tpZa@c z+WIPkUYOie1rG@*(0#}dzyl{M%us&%e zOKr5JL=&JAev?hnj9dZr0rq-Da(TS9U=+9K`~Fj~vHlw^1(|o*jS8BPhut)Rf&Mn~ z(#F4+ZK)SlJE>@KBrL#9E$l$4XKY`R0&Iv2&|!}s$m;aZ`CL}Ih0?*q+yn%D67?T% zM7{s!@>0_W9@0?0vRxr5H?$Oa+|U=k`qxv1IM5p;;MA}1tY|BWtY3ey_JotNzcNDl zymme=+^q4+qIKd6kA8~mXF2t|OP+%D4zF0)Q!bPoGHB8{>WT77LJ2Pj(5``%LrT}J zwA&k^=HE}}{XR`{z&R7(5a~-{u|Xnxg17}h>5oSCGAKiycabd-x9f78ac~qfI8H^a z4dlgh#b?ZpKXB8$`m(jmHXzIl%{bRsfGe?Uv}>SzzY6Or2Z11WmH#4~zKzGV10Nh%05_ zpGYRX3MbV(@C(K@#nS@ractEJt4()lndSSA_^dOvd|CBKSIXa8freOjDMzL0u-8#C zjEjvsdZlzeb?RXjvEIz2qk5c(yG)E1Z-f542v7Ux3O!I6{Y2y3Tz;N0YMJGmJWkt> zh#HGAlD@rFxV}L-hF1PbVch2uqF;{m4ZFKaXn8C>cVkQSRDL=k4#qlQc&nkmyH{b% z;AQZF^~X*=X@%U=A}4H*GZqASG@f4Zu13fy>}Oi+y2!Q`uB3LN&JA8N%SNSq|H=CSjZgZR_5K&j zdBcY583TAtBx7=2q#|pr!GDzIlXB_Z^|)4v>h1lb>4>;*H9WJ)t4(UlBHaKu#uMLy-s{s+NOof@2LLSkg2ec8U zi|&ogKaY=|BQNOBB8f3F+h=z64C?}7zTE%T@<8=iXe3e!6|!b{p2Q<9jfpo)qvQfl z*H!P?d|5Vj5oQ3@toFQV?CV2dKxZU=CW1ziP$Z>-kl&2YmczWqrJ>!qJJMwB6a><`BE2nE2LX&IyTYj={+z%=JU{Qu9U(yNs z);eXw2n6|3E<~; zP>XnpzYGdMJ!7rMs^)#~;?9%Ak< zrHr(xmv;U-|FA`ND=H<>Ak@*Dxmx2)ow;B2{dHokId8LuXQB8bAN(rWar_6H5wq|6 zl9OT#HNpCY4k zX$B!8t@VOXFwpO$++2|2pac1u4tH9YUzG?sShxWT#+@X_2RTbODO8^Y=0J?nJ!hV> zsyF6Yk^kV9HFf-+$7T_)Fvim&B0~=AzlN5;v%x_HLTxUz5^O{rD(vq~Dxm_FpuI=p zNVz6qysPP*$31(*GU@$W7w2L!q$+5PJyQ9`F0}8cgjy;s8oEW?CnFd=-9izoZ^BD~ z3r+oK(610s)$q#I@`&xZ)@ur=zDyIFsgl_bA{Xnz+R5{t44@97Ltw=rQHHpHxE#4k z?8#;!gkDE6|AOJUH;H*vm{KMbXd*bsl7!GphMT?)YyJikv1GV2D$JGylGxfM1$Ptm ztiUQA@SD2dZ!BD#>^Uwq`Ya7jv~}4(Uh&-p$=I9_?fFyx>UA4UGKxl5cTb7uydTADJrg|hMdU^FR~~b=U*BfvqsYF$eq-8V>71%G zd_{}A_rM{wP+JVZ88V|1a&xuo^G;+6E=mmtjmvTFFPmFC{6{>Pr8t<)fHzHJ>gs$KCm z!b#WlTbd_ZZi~)7+n$B;$4XEgQBP84-n}3Xyr5{yBq2)fPCobfaByb*MNu^)d&udd zql~}s&*Hz}a{04UPhQr)YoTU2f47YI*y?Xij2G0o$+1?^XC;dBmFXfv=guaOM?mGG z<{$+uwS1Sv7X|BJ&kS9!Tj~T#2zi%xur_5Uv=E85g;&wWQY z)ir>Fy%X9-ZRd=`pmxNcvlOsDq#Pq2{*q9qg06iy9uK96`*b%Uyi8McV>2H(AweIn zdpuX^>2RseIUHX&85RFY;f|-T7XHB1cPO}h<&6oi`j226tJ!8RaFuZEV{dSLe?j`&xMfUov5Ytv>Xl-|$s zSl0SW@A~i=K)<@+tUVV^5*@huDX zK`D&eCV{=t*QKZr7*Ps=9F)Rx!*D5l5zy>tw?z^%Qh3KOM_;#P-;t%{ZTa>*NRie! zzQ^L<`?Q4v{mCs6U##=CI)jEy_z%@Nd`^Gs@!#LTe{}=?zc=6?(}1rBZL<1v(*cq9*l4FCqA#<-GIMFc)7U0rvd+A5&st2 z&z-$yNpoB(jC!T0A67>r!M#6uGt8*HKQ*$3nZ2E3Z!=~lAUrH&=R6#rjtU2l9DB8O zG?CCoapWj3!+fdn-hpstO(1(uOhSr2JoZ};3Pd&SzCI+JNLf!S;^UB$oXUQ;*iAP)EO9rP(LH6LKAxUY8 z<)a;bdOkg#;-?;|AG191B>D4&(f`c;(z2TX`sw#$_j}Bli|rhZ9^dMiEi4DH_r>Uz zw9t8ct1~TL+b+&f{XUY7DQ)R^O71@%*#YB`9XKA@LF17fJRaF036NMJFY0dJr{kHQr>LY#IG0ptGq*~^v7+Oc7T0R!l+GAGsH5^q| zyonwaPx}OKkJa7PWk;$t%a3px9ua-0+y4cO>QT?#xNAxpMNHxDIGiM!ssFG8wk6OE`PxVw$ zLHPh}pyaZH;bPgzr=Ou=4HU{9q#3hLHjdM$T7c0FtXTQTwJVR@vLDA30)L<1hY3u< zu_m4aN&>e6{*TM&0t$nrdyG2z@KyWOrJPV2)JnDK(4$t=srDF!YR$^Ebt=9gASD`Q z>0DK?D0;1^fJp_1uR3bLaSw8iODF~o5wm%S<2=zQY7tPW_3$-^*3Dl%L@(&pEL(s2 z7MDQC+q7HxiAVGxh-vB5EvXU@IxcZ|kq~_4&p-n8>6Q-A>6Ub$gElRFy8UZ(tov~} zo8sGXpYDJf9Zx3N4Qjt^Fi3Y`jgFUujm~iWzAx{fG3gGj(Jhv|E2Jwj;<;S78Z=rX4o|;R$L(8 z8a^~_#qhc62X7SL(u&BmHokTa{y)-u=gl4Pj}`y7bin^R;lH&5{-cC{X~bV-iZ<-g z|FysC505syHlT-Gy~obMH$@YUhr|DnQkO=2%es2s;u)6h3C^$hyTcC)JKl4X8dIbGn5mB+5zohBs1m%pO}X!)Dm+ZQog5~_%A4X zkLF;IM=c(Db#)j?bhgk!+bDML?Vqoh28bb$%5$UwaK)`CkrO0B}ifpX(v!`(N(iE2WSuMj&{QB zqon56rF(P(6CtEy(+RctH=;fs!^)zTlM<>?RC5bxGzwA14Wo9Jr0dlk1IxAMu)MtD zC|&B51sd-?-TN9S2tRV|u}k`cB2@ccJv;M(m_)XC?MaQQ-l?s=wom1;t!`BH=^0f2 z7G>o$G-~!{k*Wp9muLKKQtFe(`^X}bvInF|VXm`w!`34=uRDH2Ezy_%adzgHF}!-b zeIUFhu0F@T=Ptd%#N`~w91w9EPd-F<8q0!lJn_%V13>i9S);UiHr>X#Fn!l{K?PNy1ja+w4-;cS51ve{QqE(-nE*%mrlfZryDL6p3)aT9fB&0s6 zpC=*p<~mFWIm$Xky=(ZMGTRd1JDFUIPOG=^Cu=%;VQj5;#r;WPxImPCMcd9GW0hoW zjLDX3v{6>QXLzcUm20yQY0YM(?(LTaN$--R=fxJ(VpY9&xY|itY~0*Fs@HGhizMk@ zYIVPit^gq|k*MEPA)=|8|8h%8_>WI~B!l428g37c{=1|(R`s^}&wnVtgoR>zr`qYb zl2s?W^-Zf;ZSUe{S@jlsrCO}nb&m#Fd^{wvYZp0QiBdqJM@a_yJ5&s0eHHN{H;vXs zGEohX(Y>zPv~laIOF>%Y*Z`CcWvOxC+ z1;9S_om3Ndv0`#>EZX4yn6QkjWf@qTOG2v z@@OE`d|Ur+LUw*yNvpT&-;30&6PtlTXH~A&*(rp5T9MOn0n9%-$1$Z6R`0GZ+qEw& zcl8ZfT!Ra2^ap7#8&z7pAHIbg$qF#6a#I;{V9m1SwUqP|v{u9F5NWSZvvy!)sq>z=f#NUlDY5CdR{(7 zbWa(HuAX6xY(|&Hj^n<(db*5GSI?J`>6X^>)e%5<(8%OH2bcW%pNarD}?Ti0!=rdqF6ukZ>@N!}94w7}U~wDdoFLYamI zh0XYS806df`3^@{h7XZ;m;*P7yP?-zy6-;jx`A`g60d3%=61(|l$j;&-)oir+k~B);?MA6*Z1;y>T`yp_|%-aB_} zk9^0X3;*3a^1r+ze=YgXTqqgLyPLD1e}=V(=V?h-5VoNO{4eN+kPwTZ$U*?$FUT$$ zLKN_IS6*lZsKc(W!CzY927GARis>Vqq7Bc^jf4MKr@!%O+QXj*)O5aR!twBN^x3PU zKDxb*E^I>!YZX^?#J_n*dvB^`J}JUBwBVne$H-4HE`~A}0{kD@(Vsu)V6Sdy6kXOU z_| zLT|-iEWTQI2RGnr-&(ktx~Ky__C8Ny z&_y3!i|T^^5$SWHXkM>9$*;EAT2>|ebN2#013g{QsXzIU@Q;&>b4JwXi5>NMj`)&u zpN#zFtJd)MJx|V&>a&F)hx#QzC%%6-c zchN(LVlpAG7IsMEYk^ivy0Qi5Yc$q%K<^#7 z>GOsW^&z%h^jSQHK6jEnKN?Y=JBh!apA7R4{{O6=2Yz6D9$5L>T5d1?JkuZ~w(M&i zz^#y*H}eTh^WIDTjHOyEQA;tT?LwYNY^S zeNMU*a8kYv6sV-~}a#Xsote?#;yzrC}1?I|19AET#w%fjuq-G>kwE_)uIM3|~< zLkrUZJ~aJ5rL%gvUE5lC{8l8DV}GNAHUjkbk8ii(a_(;8-Nms=^-hV3Z?5g&&w%gj z9j5;cgpbdQVY+bs#%S+J9XcYps903`vcO*>lzGR$wxd2wIixq+C}m#tkNMvzKY4Rd ziWj3O5C5*0U-OOMrN2V|=WOTs`Ta6hBgKojVl#Vfqm*LN0>kgB{}jJD%=o5jd+CBN z$({I5@tb26&rJQ*ICccbPwQ z#ecizs$KYV{mcB^zF*4HOk3gg;(NLIF!XKspAatmzaITRjDI1#13U1?Rvtl=4s1iq zd!s(ziuj^!Ee{jky`4UKeYn+L^x3`SU9>WeC&A~HIvuhNE#R{Wk!@(9{cg|r|196% zzWc)0$n8D$Z}W<;M?5<5M<)fAZD;{EJ_=t9MHT}1U9UHJMN6b3^XC?`)TRyD{+}$De$1r;9(k=7Z)mBlAc9 z_~>LIorV_jp{qXgiGOS9^HyzAoNZ`9e{2>a+t4x&zHavwV$3$Qz~8@qG>l*zeHL}p zr_1Ms(C2F%@@>BL$JV=du$TG&=Q^}U=HthS{})S}d&B0p;6F(Ce0GG`>Q(I4f7iz+P&+1>6xYO#Zcx#pf7o3NBpk(#NS_CF#0^ZqrLO(pKo;-Ux-&%|6elC z{4bqn{+G=&|E~U=;y3p!UGtOq&)JIaqSzmbzP0?T+HU;neF37#x%O-<^ykc1d94?u zVf{Jlt9nGXx;`4#pToDy`X|pLMO%wTrQHc0r|p8zV}D)nHGXyC&$UYz{``_)7kqr# z1^@qbQlSNF1^}|McWTGecmxj^mvv%P}HxklORQBf5{cI>hHd6OPcSwlEqkvD^ z{amx>Ea31H=btZctMx1ufZI}vhXIFgTt?u~7yJ5-hXwq2nIHMfa+Q?-%`YqU`I2+L64&i}y75B$)=1$&kx z=n0S1&%nH%CZNf#DSqjp?cG1LuUy=l;!8hczw2A(r>Ip_5BX_1yG!iP>2GuVQ@HiR zpAjG5E&_A?A)j-6n&9B(@aZ=^+}b1h=*^_Udvg4VZ4Ta~kKR?u;&W-$g-;XzZ3X9YV0f*}{zSK$1 z`Efk{h*W?b8}Lg%aBGnzl|Fu8Q{(>_$NwI}WsXbEHRp`Iu-*)^D)iLo+@jd^`OId;c zKEfrwZTMF>{HFNI_9{O;zU5BJe^PvlFY5!j`1*W9pDXv21}gY%KRv$4d33V4Fy|sJ zz)EEV2pE!Qqs4uyu?`B_;HjQACWoL!URo8Np~v;`_z%8*cJ{hilM=2cdVepu_)ju> zZ;m=przqaN^_x#V?##s;_5NLOg}y4(A+-%MZ3PJSp$eJYTYKm-aS);tU2-x?Q=pmp z1em%qifna9y|v?to)S;JJv6%)K$2)3u~u>ogK-%oYm~I>6%Si|q*|puxLzwc^0ZY( z^20^0RqfiZ1w+o&wmO=yX(qtf9Y%#03Z@m#*>pqxz4)V|-mbS|!}`s~Z`iV=r!K!N z>I-}NrJGqcAWzVK5nW9zoTm%<3rPU_7v(MPp8Dxf&|>Wh{W7*RrU*6g2?zRP^xO`G z-V9FYml(YsXUWp@*jWqxQlr=Sm!*&M<|g_38-0`hL+B3}M$hLaTJ%4#js9VxjbBS{ z(*K|~`r}2*bRB{nxmUOJiNKdPN0@fA+`i${~ZWIV}#h!T-{M@d>QkDAS=k0yD)cFCtGV4(*h zMN}4PJ4>9oH$>hNQ|)MDp)kQZZ21vqE)I|fORsn$?4TyopP&tjBB3UW)}8d^bx%2M z$$nx%DH-?K6oPT1%o54Wy)o_ai8fqOHCsl(m8YJ*?xcgmD9EX7m+{Kdq2WA#3T@lM zcQ01zE|eJJ5}TA*H^?9z{CU9s?G9Ccs=L>HuQKO&R&1Bg)}Axk3hk;H5A%xEN3C6T z)JkPJ-shwh82E_5fJVc4n=)xBGceeE`c`q!GmniN=#=1K-Mn$YJ~h;p4;`YxQzO0k z$LPz3zE;%V6Z`ZsP6O1;zS0@Ve;akzrFSSuUbbfWk#rKj4&6&%d$QQuPJNv;uuQ=> z!YNpiiOVuo3t-?{cKq=NXcr3*KV({+(|?9)E^Lc*bU$(CeFjeHxX`X=TI2C$Yu28) z@dP}M9=<@mR1|S(vWl0dUQtoYn%NxnSE6i-wo&7;713isZAY9+PW3KnSFGA3t&f(= z94R&iZ!?U*_phSOCWC0W3+~SZc%KC+z*-BzL1iB}P)(J=CUr=AliD}<$FwL1ofnll zhKw@ChT(>d17s%P8L{v|qJFq^*nzMrxm!1#t+;lMgFbP$VpURkp5pHXJvoPwBeo6E5;{3 zSLFXUKKX}5{=MWyjp`$f*jJjWz|ugHHgKKXk@&Qkse(hpaI*Z5jx9;4$j zkuy!u|qi?XDXJB+Za7p{<_@5eY(+MYDx^y%>mrHg!T{}qRW}-a_X#JP#o0rDX z;ZMT3x~Z3o1rq_W88FoboB>l0xNp0urh`-t1)qLif*41b(3(F@clF{0hy#KS+^=1b zJ};}l^~KSMKOjLbl^~$n3hgS4WM=fSKv{PPPm?soo;63<4d{8mf$e%8m(g<{>4|>m zV2p!4I(B*jQZG>Drw)6ViSO=NvS=d*{cGCj&a6;ZNJ1D^CoF70C1i&!=Q`ou5|k$1 zdQrb5`nW}R1b$OnSK&;4?IMQ>MJj%y#9N3{@tM589eTKe`{ZFfpY^_DVe?XN0i0kF z$)_x)z91z+**1A`m{QSaD0MFUt)Hb2v36n&oGvEbz0ty8t$Ts|@#+`a$mt)-JF+iO z%@?SjID|S1=ibjXp=<0jfcyzV$m`1}U+5Eu8XPtb;lL6@<4xTYCm|l@xK_z0Od@&1 zB*e#0LcD$wVpgi!GOg8NPnm@Hj7f-}JPGlWCLun3RO0J2k4cBlmx`GAp6?QPYwBn`r`1s%;ZPy3m3N+=IO#ZT@OC#L~)jm&xmzy5TCZ; zB>fFEfwL}#Yn*8X$FJME4kV=P1Y@m~6o{6uLQvT=cQu$HS1JJrGIp2voY+r}B9;X? zMEUI?9iJ~a$$=1b92CpsKPUEmqlkTkkggE}FP2&0rU+{mi^Fqbxtoix*r3ijnQQ2$ z3xSmPqN$4@5Q;&@+9t6mjYu{T=EZIl(r!u+FZN#qWA2L^ArylSVxK&USeZC;^C%Ym zpP}P7X#271YDhEfVo@lhs7QM|E!H0%q7}4Ydz-e@gY$hX6?$lOoK<#Otl9&%fhOKk zQ)aM*te0l!TlXSgJHmr(TNEA7>xQVCzT1lzX_qwk^&8bW#6n8$BRGfhqw_GG_2Zm} z4Wn-@7_XP#wGX~Gj4FOG51sxA!T^hn?qt1g^>Gqysxl~kx7bXCT7U*dUHsKLW1%*T zN<4tjuis5rgWQjjaT+gzQbt?n{%My~hB3(fty%7T3WOd>atouabHDpY+;`7%|L>vP zA21Sk)|jMW683uy^~{$?;;yMvhWmL#bw6<=?)j*`eJJ<89f`Zejo4ZFeeCM(SeaM5 zL^QbD7p^`^bwS}vnc}zdr%hjUf3&sGPPwTlqyj{(8V>k}tJF0|g^whxSpgCSLMEg< zT*WaxOIrc|jirzRJWcNg1Oc}K{(T(2!JGErb9+-c}6`G@P9X}tO|^0kE+uZ5OBQ+<5Kl#f-cS(biXhfMmeT3Ym0PhU81EtYwPuOXdY zwN}CqRZ1EhqJ&w1og3SLTS3qzQjF(Rfblect~_7oFO4{yx9!V5cM3CEYV{#$ z@A&>6aBk}VQsebKRlg{jH4Yz!CU|jYauPl~qp+KFnUv2r=ZFto@?7ZijXC1O14zU5 z2{F&ay$}ETNPLtDWhtz}-sjKP=74)mOodEb(3oG_MaFc())s`zo z4k*}!@V?&|r~abiR}MT2N6*sw`O~NF2iAN_iPhf_Lt@N0SV2p?aISoJdanG|*9V)q z=kvQnuEG_*b*H#x_3?TR`mNMcb`*9_6Q&|(mvXwsAGzSME|kMB^(plqY^N24r>%gh zOyQ+Brhb_Eq4dDi4^uyQ4`lP9-=7bn21qd)yzIAaOvuoT$fu&5;+L+N`eEva(gRaJ zO#R?JFbDatYy6IC+Hc#kDDbZ9kntX1(9W+X@YIf}-?W~oYlMJ;+)?oDJL6nm8Sip0 z4rM8m-_JV#A0oiYkPmNqvVgCb-vxkQ~Qov)IU5D3`B&GF>2KG%sa-lQ{Qdk%^zr_j4?g(czUvqH zn>l`8_=Bc(t$pk5z51S@=g-4^bzbEy`Z`RSl%=prvQOasc3sD7;?vZQN__A0I=_An zx5G>R@bUf3u9uZmO@3~Y>wh}{4t{-g56NJC?>zbS;p*5@w@6X8a`Ukb}rbn#BXXxLMy=Smzp2?;8??NcF*C1A+PiL*!sE1 ziaL`Le-K{Ey;|+|ir8MZiq^(!ZXDZlQJx=gbn-Cj3mtCrH1y2HrmOzMWP9|3#jUHo z^M!BUl_n4I=j&rGS{@2q`C0u#)mZqjgKP=o4mR2N&b@|QT=;4DZ|mIU+IC@!;~;kN zq@~_i4ddiK(LuzQaZ}ImRWdlG-vO%RN@wb!#PKnVYhKUt-bcxU{>||9x@n<$xX0k} zsN^!V|f#Q;8I7JT60@#8&+0es_=;+tH2$2rq8@*LoA^Xe2o&NYH<)^EWp#w6%N z{gpw0YO$zI_PEc_XKjGm{Winszn;64H`@VUFIiHfD%7J{v)rNwM_U1cy#T3U+kJ~k zc2>EepTft5E$#nR_#2mQsKAsMwH90XFhu@F{ zu8auG<&7?1(|gEiO5iZhqxfOYfVchjj{2S+Ot&i1>rxA)R#nR zJ!MsZW7JVNuhi<{mVsPG7{cviLb3Cz$mN~p7h>&09()f3&2fZwqY?iwN@m}g*uFr5 z2Azqq6`Lm_Hivbx*&Jq>(utVgnnP{Ir_MJ2A`)LZ4zZr}3zB&%uCrwOz7mg~btcx> z8zj^3cP3`=#bMUNi9tR+4^z&jFT|>C(Wrw|>Ou)a3j54R^F+y^Ia;A^J&Re68#!-H zv){07>IsIvnOuNH8D)vktSa#X8GnkZri!(DaBod{-6vO8Li%J}^OO3syPU z>{#g)E`B*?(@M$gYmAT43l@rS%L0do>Nm3pfNccM>T|Il#_tY80)?H{WBo3y9#-zDOFNA*{0+oEGS zpO@4`e2Mn^Ydc@t!*!mEEiG=;a`4tVhYa+xjpL^6ojP}%c(B}(@*=;`$N0QY^}V7z zS_<1+wS8IeTT4Gx8#iL9&UNNk?e~^H(dW+E?xf9hJ6Lsm;5TU4(CuT|443_4N6Yd3 zwYBNMInSHA@n`={ZLBGJ8NPmYA`iddA94mf?u(H}o+hm`p-W+Qd^??kryb)T)&%)> zH86fofXiJwpWC<*OZ~pj?@*Od;Jcw871+mcwRp-Q?eD3Bt@mi-n zF*_y3>43PWpCb=wZzl_fZ*prQ71sCn7i?GcPZwy<=h$DR{TqezRqgqN{~@ZMuRSq7 zSoJT&`a!CHG1d=M{Y$Zafa?Dn>-($z;I-e4U&l1(Y8teRy9$zR2ejnAp9_#m3 zoe!S_|D!fOHu?$a^bpnQKiN3PX8!HEhlkPdZl`ZI7fHVHXK%RF8Fze)si*xA$6h!5 zn$mc>Ya$Q!Y>Z>OONSgy8|t$NP+a<4~Pr z{C=!9zM?`uL|=2T=$WX~52e1Njr@KaSas)Mk36^rIXbZElZ!z|WA3e~5LCzp3Nf%4WvUVKSJDtffr|_j9W6 zCAxd)nE4GCP1wdbhAezcPJLY4{|I(3?O&%o_s`gvgAkYJs{R=5wd_~iP4&-dPmKOU zb$Ag=;>|pbIT*6cU+7D0@F8`^U6vJ@FEO`64|Fh@jH?%GV-A=*cahG$UfWA`UUvq9 zJ=g@#j|h&xylwEqzC!28LB30KvHWqKVBF?7Q^!BmvC03uVE6?d=V-$&c-rJZR*Pc9moG400960cmZ@$zfTlF6n+CJ2*Mo)ml#6A z6@~&Sjs)XR%-!zJ-EMNXbI$HXOh^W7EY3;`TMIi2O*BFxt(BFHG3275fPxANENrw= zr1K9j@q4SeVei5wZ@!uLzPImZo&=SMXmji33V?Eus2ZA3#rx}IaAYB`q4b8dd2SiHq#%0O~dzybgY)w@9EhfRNT#9m$+=ubD~3Bs+{0@uK8_e6-rUE(4xAxiI(wvEky=b9{dxbzUk9V> zAH22V4EZp8e6xQv(@)7N*dPk?uCpKU3Qc8$OcP*^s(o2`5A|WN6vUDi0AV~KTQnE`f zuG3>gVk0z$GOu#U&vpE-580B_S!zW#s7X?`-eLW$@PCg-eplNSn*d(T+>Q z!P)n&B>P|dI~qK^GTZ+#c>DzaYXjc?uMNC+Xib#Qr;!UzTpukd3}DjJTh4qyVN4-M z#XzFU`jLKikeNP{U?D2apQQ7V7liADv085w*XNN@W-8V5C#W$JI<<($hJ$SP)Tnl` zxNp}Tuv)V}sBx*rn-5{CCb#F=2UYVMNy*8{SoYy%z4FuhC)m`>d&_BM^aKSH!RU~@ z$Z8121Ic_koJxzK!>TXh4Ier$!Q}Gb7J@A4Vze=BUa6wDTAQR$j7Bl4t@ja z@2f}NcmzCQ-LI^vC~y8;xEde)Tx9>q((rgPK6u!4M)?j~NX*lHP%qc~_B%;X|9je# zm<|3da)j;(D`9?$d}7=A#+BoZS6fr19;JOqEFXl;?S+=br{Lr>aq>#m*|sUr1eRQ6 z*-*aPLv!VO2fJVYqW_HBb++P7>z?h-i>4WNa^{`>MixrVr>i_RF|1_eb80Jk{t4#M zhYameQ=!AONHYrwP{LL4;vyQ1L>VH;9 zuFY3$Uo0Ck_eBoz_ix?glg~^W`DvR@orxp7e z(FT9W$V$F3GOl`Cvk8uK>_*3-S;8&1ub0Kiz&*4!RefuFc1SIw3=meMt*b?B)1@ft zS|_vsJGJY$4G61;Tx0icG(+CF#S*Om`wRP?g~*0+ONm{e5>&T_^~JA!#1wvSn-o)g zo2j2&oZEB$v{W_sHuWp2^l-_Tv3u%+at#;fs=q&KHYiYgcuaTkq^?Sz(^lopY5fVT z_Ofe0r#6|W7lm-Z^p-7twzEb?o_RF|ZZ49IXJT`8Hx!`fyB(Y< z!^tBD+`r0BnSSne)(`*u?o9#-%q{YrU0SBW(0g8IbcE9Su6v}dBTizY9Xxx*BY-M{ zKlyO?hB@s$Xb_Yky1%d2y}r?LdhVyj0vypR*Onn9b)RALEw$?jK+YsIq?_`pM$BsN zc<5W$?KMp(harmBuP+~&0zgn>>%JQu0I1LAjxvr6&Tv(3&kJB}!EZTzNEi9#|K@O* zo}XB0ly7vb4w12iW@u3=-a60meLpZf{pd72|1xBN-%1XB)*thc7N@jLG$ehaiYizG zP7{?%^0Fx0_MCe}Swl|<{gphnCLV}I-x7I`NW}%mTcJI-s7=Jv*#O(Xf9o^5);+vB zzkV2wYAkUEm8HML)_JxahTy8vApRbRJrSLB7q`d=#Ip!`4DmRNh2xrc>~D2o+eZza zM@4p>-%#8Pq12c9@|=mdvv#e|PNuLRg#$KC#;_e+Gb_%9k<#So)=(FO0-In%G0n=W z*XNz?v&Ro=*D4m*x*PeiOD>y5mbAFTJhap70PqVx*76Q`O^e&gLsxy+EZRa&vCwqc zWG2Jl1B!3i!~?r15z#Adzn0s>L1QHh_k(xg;iT5n2qE?WtcUh-{yIUu_zGp9o^$2V%6S^G5S zj|}C$uqe(x=@ne64=?e}3B`!G?j;a-wTRAu!0Hz2kqKrTqIJ>lIg1}QdXuMhxRF~N zJM)QzG`&b58_mPFICj6g;HuP_ElcqW6R}vZ*KuqpB(m`ExP#GmFi?F~vBtp>s*^do zNZc^tdI#gz>C6(Q_yym2M}{~yAd^o=D(_t2H<_c?A`VGSX_>10=KKe0BTALw)!_T~ zOCEpc_%oUe?6K@o1(SN_ZM$fpA@zTY@gNF%Sd7HS-(TK?z?HmPXuxGfCK+YXYETxk z@1NzannW7G;8e}{U^Q!2@8-RcN5=oY5y!~$^*$0)FwbAu5?;&OH8Rx}Hclo;ZcosZbTHQi`fcToxQ<>PIwxDaORtS!&@c9< zyKvXYCHX_43v~nqWiXCJ3!3zQ#=&m-_F0?29*AMC?B6G`$gCSL!$Y@ z;&*~wN&e3;+0w_W!Yx13IC5^I<3deM=(tCDm|F+0%y zXcerfu67#3+bUzjSpRn{CPG1SC$H<*XT}$-UjA_!#{n&SGmgm!y!&rK(PD|K}W;y6{J0zhZVD z_e6TH?0t^aXk;0oPUs8Sx0(OSaP<4>E3}@P&Tk6QN72V%whcw&56(+5X*-Amx{cuA zfYQR24}x(?LTrfokq|=yEw&HN>9>cDW@dC`-MTEXY3pQ{8jxqg9}t9T^Xlt2d_E|= z_W{8krGt+-`+*4zC(4lTm0z+(H4t|+h8NH9PrIrP6-=B{e{y%``nf>I;SOV@_EjfPYjXA+ zO`G>23ViY?dmwbp^?x!w1AfMh?(ba_M!fpecYan7d(%DnB%@JCrD-@nz>h$!Qg_2k zf`Nw9<3I1hXJfH5)7#|tzsf~xtWZ^!C$PqP%S9K+i`q>`{|~B;e;jlF=0~eM)wU}s zk>VUgNvl89@_%T`ZlXu*kXwYG^L0101`1cLEL@dJ?&@3S$(Rs87;*0F`@nEG?V2ahN61pslESr zyv+Ar!oI(>()F<_IlfM%-Tv-e2>@TH*q02KHicu?39zu1pRNa&u(U{*f2WAu>`T^> z&9t8Y=Y*%(CZB{7%;~CH-g5~x{!C1DUF^($F3o4_4#ZQ(m|B} zpwP6TFMTDaTKV-TsW^jOgZtg$*M%Rf@cU?W@(F{}th&$TLy!9ufh)1TENdUQMlO!k z$#yiKsX?O;$%MRB|846+sPZ3qBYBR;MbjdB7ade@2C2~CD2SDn9sqd`=>?X!n7B2ch;GMha-&$(rL19EcnoC$4w4raqlEn&ob`i z2iqKch;D7cg_WQ82hM>%qk}&Gtwd}Li*Yc)X!$sru)nCLPjX_K)P|%f`vlUg-8Lb& zyK7UL36xfaTX44!qOr(w^UQU(vr^Z`xyY5I{My20RLQQ-b@0yqSGOORx49kxq2SN* z5|wMKdol5+L#1oU!Ar>u;u()YvA|t>?_hH&VocD-%&LyA5&ep{@+r<}GM*FFK{67JS z$wd32m5(_v!5MSSptf3D6y*WhofS}KOQYbZPX`;7*9;9gSNPNV>zR=qwiB#B8)gsd zc733ef03Cae$quEnxk-S39bvhRW}vq^h1QeY+S8Rap`j~$8+!eygA+ci?ltUXhd< zoU8)3*x_rnTYX~-MjvDt#(eG!p$Ul<+TDC+J>GkRvR9pka?*3RfwR)LXhUkdgmwi(v;QH0-w|lUithaXCvY*sW1P}wCq!nOajy}c=)wb%zwc-T*c*{)s!SB|c7x$cH| zXZ7VJ^kVO(s9QHb@^&opaurv1l!{~QjVa%_8Ke{nlXy3Fe!Pa0Qq ztbF$c#`O(mxAz8HZghqz=LDX`ataVKe7r!Q1^M9*P+LjHX5 z=mQMu$tD;_P#_wR2tY_Ttn5>Dg3TAz8@K*H$>qB&2N z*+7G8H*iHC*WTGW?NLo!wv7^Tmb}c7rcQpm@pg5=^qLC#UH&6nPMb3c+Mu;1y@s;> zx2Jxqu`?czGtnzr@w8t06)+=|4Pz}i3avqicM0ZrqiVcQ^G{DDhJOUbC#kO$y~ZiC zW4TV2mT!)?8XFPd2i~bS5}pH;u%`>JUABM2RmwwOi`|ZOY{&*dbFO1o2j;Hvgt!ys zTyVrtV0h6GE&$>J<8(awifu}u5oNFXv)^9TUoJ}ccYO}Qwk9j%Ez8!z%H%t-aXMU5 z$qT#W3f?rE&>Yb`n+qo8ybUGZ*8*f{vMk7RS)EeDsKr1MoZ*1n9~H_7r+vCUqAoJq zGd2&jeCM&el-_{NK5Try>j%OF*MZIO51@3Nxo|)FQ#XHb13~p4rw-hzCsu=ic3Qm=@nvkG_l#Po_4hup3CZ<BC%(Xb!{)5zs-tIFtIzg=vDwrJo8CQP~CWUGIvioKA9+0`@(i$C09MHal ziX*11=^rgVRpm0FI{7$9qet3w|C=7swO)qAG8NAe)T57R)1o{~EKz{~aW2lad(IU( z#~6hg=A|g6#=P|$S)+-+z5tRP#Wv=VULc6|x2xFmW3-Pi0I67khl5f$_Sy`I84N>T4w2-bztg=bFml`Fm30Sr#I8 z4@RF6yxka_0^{io^6g3NBy{IRGxh!(yPf39P#`0Xaz$2Zgj>Z_%mi1*)(;IWq zpF=SB8MZg&?Vk&3TXF%NrQ3b-E1uImI*JrwgZ$wj!dmrp_eFY%$6_r6?sk ztkaL^y``&6%fhA-6Xd}XfrEf{=^sz9+sT+|$!%EORzrm&S^fPK^u)-HcopL>?DzC> zwead_6~AQ+{-C(efb_EOlUUtm#M~;^l0sIZ_3v+|Wc%DO)<0Lu2kFY?!Q;=V6d z1c#VUG*Pig{C>1o(K+HQ!8ErX`E=J?H>`wrnDj$b_Sey^>pZ>(9D%L&AKyw&Jv5#H zfAq|3!UQOu6a}7~Mh^BqAhlXoaHTd|57kykcpZMq-VWUN8Oe&{Y*~FSOQws{(}Y=A zVM1hT1hDMMY_QSvQ&>T})ET8H71m!KEIDT-#@1{>mqfi>XBm$r!hz z5%_z}uwdnr))wAf+NkRJnDej?idX#MrUY^ClAer8DW)O!-DRc2>0M;Y6&_&X2-_WQ z9`vz3N%!qkUhqP)Cfk%-@kZUdrq(>x_qYRgp;KoG19ig4V%}`_4sm?Hjsy0J*u%rf z(7u&-eK{>H%(zeD_&#FevrBB>g#$BH^?XdhXK8hb+!c`DAHQ z($q{o%n~C-0gu``^%ht-fq7-`59v44ZPKu>gg*#SqmQ&X!zHtopKSTGrH{J5x*>lb zr);2G`8?|DXgj=`MBdB0KBTrBt`(mc(QF6#^k+&PUZXE*n>)ODF{X#L=OGa znOvn3_RU&P9|gRkR0lf4Owi?QhA)2H@n7wpYYC}@y7qqo1U%*Zw_vCjzoL!}3KbkI z9oXidzFwQSjs(F9J^)y3`+dCHiUCUuVFZ#Sal+?chf!vo z!R5d?D9EB)8*Hvoo16{+s1_c}^LK!K!JiLWb-^bKRv}s;83TdvTUHm?s0y=vQBVZL z&g`jpF77m0_U8RXQB;C^)R+7=-U4tMoTLY#k|pz-BMyEgy4RlgcH$YDo+8`w>4{^| zn4#v?*6qj4dnyU~SSf))8_T|1IsT8M(q5Xoy+VTAs_|r)Or9)(&V0wdR6do8@)_PM zqktaeLvh>=+5qmu##a1nXUXpPV<-QqNgw<{VizYNCrV(OBgO{>4Z~l53Zcvs162}m z76p6T9G)_QY@BF9xK|US85SXzw-OhqC!BEg^|-p+18e%_1=$0Hc&FT>kiDx==9Zqx zk>!gwN@zS-TyccTu1y!!)kunel{WVDvkbo&W^b&g=j_Rqx#6#-)qvxzY3mq z%x?gHA$_dKEc&qgr7=)wJT95<@AHv0kDZLf#f7}w&n;ZLm-#4dfM|p^&Gj&2 zS6@^*HD6x8;Fe;=Y7@9fnY@R2eIS0(2-Q1=*?e4OWuFy{%WdgVJ0Jdq(sCMuJ*l%d z^bafX80$UlAUXmG;kZi>#}CCU8VVF8al}DX97swVkWNtiZn~Gu`3%HZR(4xW$rPo! z9{spE-cag)WV5x}$Z~C0yZ7%u5f5I_K8;~><)MItPC?ADv>ZDoB%E>%=WL_13g?z^ zWj3-jADL%aq$Fnq5CUi#U%d`_TPiQZNsLlm0>fcq!*2a|Ivw5|^SP0{Io6%G1|?4 zwa&JzufscN7N}b|jTgf^Z?Pp*TFiLnMu~+FeK(S*Rb@`|9JsMZf!o zXw{$6=w+9>T|w~Mb)VUa@acpq@Z*OdDi5nODNH4z*Cx%(ozFA^< z7zDC`CW+Pd=H6x0^rnPIxh<&kl9A_|O6mX8Aa|cvw|`0@BKO@Pc|L&!^I%oyq0p>| zd3`vabgs=zvBwDRe16@IpeQQ$A$fNx zJpx~mvmtVIMi9~=uAW}x$tWFu2d=m9qmtA?!rGGDu`hw6z;~{ef%V}o@A-WW(jC{& z(sIYD{3s*6)AfexN~%I!UEh-HiRX^$?1zUR@7Jq!_s5ezX8zkA{>|tUixuE`%zyWv z^l>pOciJ4dOU`~>Z8+)fl ztgm2VJ%S&8fSUdDZvN?xbe8%EcTnk`TG>|j3(^>6cb?=Y+9DG(FLK1nSF5FV*!RIa zwI_Q^Pz9={?08g`K+x>jHd@3 zx3gVSjKH#===Ehz^LPh^=mbK%n+%LJ8P8KX*y~a*(T@IPDNh- zWNc3;O_9s$V2DCSJGYvEzH+(#p=di{ccQ;29giH@O2XPbdZgYjA;maD4@7>c|CEI= zwSGvlV(Lctx3T2bBLnn0@}fZJe$}MOqqkMqMD}K<>bZ%k&2f!a=IYcVtV(aI!icL{ z{7kiOi>tO=NO&D3Pkq;^H4{fIqeFJ>*}?-g6t79k+4T@y@* z+%!ee=Sjhg+r>$bN zYsu>0S#Ksjt)ser`$tEcsC91IcbF{dGV7)LHv_7J9crqoh`uLXV#1qiYP<2P{2?Y% zrji`KG7N*m=);ADTEN39hF)Rjs`rBf9%cf)-==3fI+UnPt?Ot1{L%ZqfAC$qwJ44~ zd_GUNkg|#cS=|?zNMaMqG?f+e6SwvJ5wqb*qa|oXVz-J4A}q0Co5R1*>~&%vO*9p%9-Tb8tU$ zyD#c}4ln4(+~vb)g2@2i$7Rt~Z~qJvm}vN-BPvd39#iT+*jtEd;Fp zO2-Xs9_qfNt^W4v1x%n6BVOuhX8iZ`6;pxkS5J?;0|oasTS}o7n%G`;u~aT56IZH# zU6QCjf&o80`a}8Kz>P%9+a{x*HulI0TAkevO+MW29}>|4oO9a5d)if!4LSOXko}%a zk1&V?PBFgaB^B}uq?JwRBP=Phorp-&9pZwnd$r5jrtkMqkjZP?#GEeuc1CvGHgJ)R z#!b6)eLJ+xZ$tsrUtz3&@{kddZla2?7v|@(}v!rp=UIFZAi1lrkeUAVA27 zRSv$UouG8Qzz<`(Mkc6$htSjTZ1sQPg@B2=uq-rQ06=dY*`bSg#%Wk?q{VsVfM+l8 z^-i-=-rZzbn$)YXRsEM(OcDJY3WW&U1cR)q*RPFi=dHDuoH9|@&M9QwahQt4>E0&I zu~1x0a+$6kT!^6?;M$$LDaIOA8N9O!6~o_!6L&55J9VN#lwfM=z(NQ#~dfKU`O(P`&;vQ zxZC225jC&;IuZdvjiD<^ovfnoxI*}{LpW_CuwggXvTqLM(K&BkcM2N8^&hM+Bd+n+ zPg8EtQSkG1S55BrEzS?e1Mu~Y>p$Km%!B6nrRG|9bHy@ij+kTon^#o^{6!x3?^vU5KqEyUj8{Hr z|4d*jeWPFd6OB+{JCW_&qT$$TN7&0B`&W%(DlVFrybSLB^#c3S+YwTT4KS}~SZ~F? z!XO&H`6%-Z`VyZ5!5PUoc$Lk2;mz@P=_O#GC`qN6)G-`_RKM6+GW@A^suM04h!hYB zdzGmYIx8DEo2VNNZ;^%N7sQ|p|4@}fue=y^?0g{1?I1{zb7JaPBX%BBn)fTT4?=185j-w2l0{NKyfz7-h@&Uwwwr z(tv}%k@BZ$b@wqnsJt8LZm^xVBIUzT#~ae#Q_!2Qx^Z+}%aLpY& zY-|e?aKDMaDyjY95<|H}jVJ|jI3A6_>R4FIgH<`s=QN#d!~62$a5m@Cu9|GnJC+cf zOcUVR5jw>C&pxW~z+U+Xs)-G*F*_1;`=s?+Dw+vL_p2Y;dxReGpW%ciLeuV{d1UGk zT`rI3G+k|Tmpjfa0}5#qASgoURf*Zf5PBG6@HqRZ0*tF@V?Xy+`MLPg)b z6&l#=QYci*+qx=s;^-2?*@0TVU;`aM1v2c=#eWbVmCak;oBUVR-FB$SsHEQ#$-v%=!Jw7LTh7KMV^|2zi#d{R~_09twsp*2UOn;85N5Q zhJ$|prWUBC$*wh;}99g+xPf)?~Gu z>c>!8;EWiW9IJFUrX&#rB5vQ9C|z8XY2pA6PdwqZn->enE%ZAGb#v2{1P&b5BoxhdC5O|+ zJ{471nmRUY1r@4Eb934t4CyD(BpY+lm#_W-pOjAqTyztL1pHdcGJqfBbsOPLc)4B1 zd$x2^0hZV|x`C(I@N+r7S4eM4j)ba=pZ&-EMwIhU^)dZ9j=)h{59HPEf&7oWj9y$> zH=IZ~5{~sx=z4hiNvi7J+E~xQkbar|-3*zAaSooPaYtl@5on%12)m|q)Y_TLMc?Wt zpW;6&ob&+Se+cu;uCDZ>I>#tG0P^OdKk(@fhJRz(xWp&%90d)dxqGfw{O|G1Ipz=T zS6OtH6&`}Ir0vTA;dolliy-%Kngqu`tcazgkr)P6UqHeg+E2aSZ z&9x@(9YQnvQP>CdG0hE@mskx}JH1U(zL!J;%;_?CLxI%) z*I0C`{GX1`SU(i=bACQCd5e`mF2gY5fMtp&cpNt)e@BEyNbD%BGthg;FH})uak~M( z0iq%)MJ$XUTnBh{_);|uxSMffjy`4E1?xS&jj9ZG3Y=ZXF0p?!oZ*{z_SZ81lV~nRYE>LcW`CTS!_U_JidMe(V`y~CJ#5NkGk;ObX(b{bat~o7#Mo78^nF^=l!;E zyYr64G;=$vSkDWlKY8(DLDZ_<)wfdk&*b5c%$QF~9wTlXfn^daBp4U3JE`6-)2nQ3 zX^S_mEfj=`8L#*IvtWPm9WO{AT;I{ksj39wro<$3NEqR8{8;H@#;FL8k1><>L{1*; zO`y$lKbndcLY%1-8bpwUUS3lUsMm8QH^T9@j4d^$?Bp;zc?qX~E-}0Re9rv6ljy;J z?JYdt;woNh^*ZWdY&YkO4Rr!Jv}Hc5{n&BK&i4NP^~F=Vs9bmZ*$Bm;Z{yz#-b(Z9 zZ~JF_X=tKo8VM4O*N4C@C%4;ZGY~Sr407$VvK92EO$-z0Nt)BIx3yluY6;RYVm&lEz{ToS*XDOmdTfXqW(JlQa80=bP1p>(53|c z>;L{mt9=Fq_DDOotKhS*^)oyNUul;quXYE-10#mFhh|+pezn908j0_ostHuZQfTBr z!7nr2?P2Zj@t^K}>i@T_?@D_^KE42~ssUdpL4{X#8UL97dx?aH*8H3;1$4vVz)MsW z+LTfn0K|cGPcOG`cDn&g&SbPGCzU+%fcezp{S_I4O&l{&hJp$D`x(Z}V);?bW5cL?e`5KCKtE}lS)&7WRMvjmos_2 z;}UQ+YA^N{5W3qhlHSsF3;o_`+FM`7eEN+2`^}`xHZSe-g{V|K$3?ni{O7F*CfPsj z@Rj`=fdjgLN*HmVHMPTEQZhbLMPTc3$%ZqvU2D7HPh~PbK`Vm=C3*zOTDum!uRbH20?j@P4j9R?zQdXA1ZrmJ{-~mw zifm*MQ?4#{Aq|=w{V88lR4MpSQ6e+*vXkNp-{Pv}+-O8;RYRq4bYD9|w#`9nN?Xd< zdZHF|&|y1D`o~mQ#NAAAtDqY6sY`z}UZbmCWX+(7lFVV-QmV7BF`p7w^DDB&kJ%zf zO7vhiJSD(?o8G||4G=hZpwV#hZ?YkbAv-ewqnOhCfaT#}RLn?I_sO4X$7n(=C$nz8 zI#Yl8pFbfUxz)yl)zS5`E>dRz>o_uJK$MKfLi}>+hTCKsY+gHJ@)b9Iwjamga#P#n zeT@NBuE12ZFXfv}&rh@v2)5&uu-L&m$SX(3@BFJ(GbNmvCI9$Wi11jX8_9!cf9c9{ zlC20g{I*sRL;Y4sp}C4(@0_9h?%t%3+11b%SVu*pIX}66Xl4F^-a<~h0-Vc>`0@0ySk22#QMMNU zuR1ne%?#6yMguT_c@wg=T4bHq68xs@&^ z@1i2c;FDt8!b5(~W9w_V8js$*@5QJv9~=kdEF0=o=ue#lm9PHzo<_w%+jxvb#z$+G z8~yn@xlhhSd+cfVR60O3CUmp6ML@z1S@Aks762H>8(TkcDUrImM5!0nOH7(EM4{+{dBRNa|1YS-O!kk;om z_i)GS7t$rRZ#RSEL+%SKDTy4_wb}ZLIT#;N*5TQ9%M5$?8>BX1so<$vwk}BS+V8kh zz`QqqZLg+H4gepUsK|v9)ZGH(d%_>Er>eIq$~si)*+l{40)8v&{iv4Qf2Y{vsHqh6n#QKD}~>Gj3P-$g%x3Jgb=z1w#%8 zy*)vZrFx~J=LAE)wTMrZ$Nw0h$8=z>0u2pMj_4I7SvX-joVCY*T8xpYZOSK4c!pxK za3urQ(H%sstPU4(De3;vR%Bd-qf^W)7yE*JIm~#ovy0i_%@$krcoEx9E%{(*U4`1* za3YR8=^h32_dtAzoM#Y0`bf#8o(%1YruKR7z~@QB?9O;R=(E$f%&$Dx=(m*Tx3m`t zPne6uO;AEebHEfMe(0^etjora3pm2aHDEaGwEy2yQOBtOTiVw~{Y-n{KRE}Z9FZI% z&Ic912<7!_onM@yoN*UiMwl8vRA(4W9Wz|cF-2|p4sO<4|yOkrAURB!w z@CsI*C-#QSHy^Z5YV5xpZjAhT&aV5|15Gh>9-R4eLy>U?&yKL_JJ8D65GN6wuZHIC*E`pd2K+0$57d*k%f$X zUex+r_IhW_YV`4){q?SH0E)akc!}KJsf5-IFYtXIeEsR%g9eAcn=Ryv)* zNE#*+QhXk*_UA}MhNFNOM9zo>eViZ6|EQCk-S2bi)IM^-bNjI;XF7WmUjNak3`C%D zI(PK6AN~sUqzw(B6m(HV;niumGU8{OZ#`%sbBI$;Svd2K7MIuoA)ley$X;LR67I+S z+H3pr{uSuKukk9`e3d9z%rNG=7^0D*)$5epdF~8yv7~IMNdxz2^m7v%o>i_h#?Bp$ zp2MuqU$*xZUB6Jt-yh5zlQ$K@o={QI)7%#1Pt39_OY&H4_MQwg(|9=Wyu2B8TMix= zh9%9s=mRF)N`Nhni2;r&HfJ0CW>R$%feW1R+_gOelvc3!x6weP_jMiTWRW+YbLah` ze53)+G)nv$4Vrz>HkK`60}Ug+k+?*pD18AEYrfk@0b2EWJ!@^}`DL)+%3w@o-x_7$ znE+){-IWU{R@Q41(WxY%ggr0dTSZqy#xJDA zCW$EffUFe?0%AAG`s)%SXie4B+_0TLv#mR61JZt#33calAW$26_e%;2ozaCgaM{B9 z0zHyPzq!A}em-}85Xdr5s15#|4yMK$(PJeArB_GvPqR^Z5=n4WL08pgx<2vR`PK8X z9r06e5!e!BA%3dSoC2uzczK?BevG1F#L`_n0joT9b>w^%G;8h_U3|=ZXRmFrElF zq)Gj{u^1$&D)i*Y$XsEAMV|+Xml+({{UF+0Va|Trz^6)1c2G2VN~db!p(#>&f(tt zbHXeBDY5RYpN#af7}Lpyy3LXeDMIc1<-;L%RoHeYRqonPOsyCsiL zZ6;3RL?C1KaJ+m3^~NF&ox&W%G7nlGQMsTP9Nvtii9_I{f{+>{Aews`%Ft`!p^%HI zJm3xa=83}O@6YplU5wk4ftSLNmmDlOSh%>{AR4BI0_)$$Q4JSex6Mmj8~572M_U5( zqomF+hk0{xDY#z3wQES2{h@2D`hotZ*kAq4p>*RA{)qFhD5wmEJlF|bPFd%I?dZ0$ zbs&C3k${AnVho|ZsJv4i2^lF_fNdgY&&H`og7_&U2OH%Q>VWOJ^&jFt-?+z?z8WC~ zH1AjMU#Qi<{h-Gl=l^I^ISI0q1KFXMq4*KGK;zzBvJ3k-TuC10>Z37xD8TBYc=sG? zbT@3IX!xon@v}8!6jIz9y(P(kT<|csxdH^=F)cLh|s|1hptuBqB4@J<#NER&Z`tFs3_gBtIG!pzB_{_Q#g;cbZ zOB6IhfA5Y8u>|c=o(DT=ZiCAk_rU0ekaiaq-L)ERqQSW$)=i?SDCQBPj;TI}F{(TOux^**L#2FwjGWR(tq`*1 zGOi?_tWo3G#AN`t&v8g}?k13a+u#STXM+Z}D~sa9>pmoat6d$h_o7S>`kiD)KYb?( zM;wR)9we7OJKK`I#{=)x5Cib!8`K`l579acGP+5hOV8az-P}?xRjfzy50+0v-C$N! zefn(?jjAz7#Whk?K!+d7>2VaYpjr&1x;A+&F)<3cR%XjzywQ&8SLIcqAljt;vXgct z{}D&Y)!mbD^xcQ~XIGCD?Zv6m|MNprpw`l0E5ebt6C_eAw=j?8vp#eG^XBf0p)q)c+_< zo_adPiZu1cRM{K*aq}^i{frK)+=5mB)z7+Tls>9WZ=u`IcPIArl*g!a?6M`n+bE;* zyyIIIs^+Hx~zL%_7&mMA@AC-CX<6 zjv_K?A0G9gl(9cI)j5D$jd@E$P2!R!3cHd3A>T9ukO2B%Qr(L0%`{5gqjPhz z@!Rx^PfwGco0Bp0d%)DQ9$SAbLi3J&v#G^u7!)0F<@KCPO8LBJOt?Nwp7efaI<@*? z0w+0axT(y2EA?U+`N^d*r7&eSy~`+MbhmQ>IW~~NinxgiNq}o_^?o+l z2@Mx_7o}Zj`R{pUl7$Pc*H%4+J6+w-{|%TJ^i$>jQ@j4=c>spd+nLultcRY@2cCJ4 z@7PD%W<7&$;vOGd%;1DbZ}e(gJ8SG!GMQjI@7Px|_s3>5N;@HT+@wk3?d|qKgw(_5=VoE;|WL=1>{`~9f~#e}s#{9MeP&l2ZZeq9 zHqM`)AX2qVSzAc7+P~EtAGzA1h`g8{AA$RC{rZ%u@2$IodJ$<1v0*-0=b@26%zwUv>S4@0Bry%Y_)JGrCthPq9ifB zcH-Sw%t$KjymxG{{Lw2xE_>eSaOv4(Vw%ZCCqDZoOpS^)x8dArF8xjxmG(~ui3{!BR8dlYL8}KTp`ji7 zbOAP`mnC8DGIW~n76GmCEe3M)d{9*s>jstT`?t8p#@v&1^ve_7*y^zx4iH*a*L&7WF3rFNJuDeHSD56 z*?kFQFn7g=M;KR^O}A&+IxZI13g;OvmOeNu6}>mi}M|!2!~4l0PilCj}tlNy^S6c&+khjM6bQ2 z%Wb-mK3%}E%qc#Nt9Uv?-c{2^&S@E*W=qi%G9c(oV zmMMKJNH49fOQ1SsN+Pik#)zrDo1!cflN`Os-mBJr#UF&ovgA#+nu!c{ns9ETzQLJ|4wLEcj=dcb#f5xJGFcRZ*8r62F4&nYLD zr(a3RL~^zS+Wxsha-%$kfUYiq4`Gu0^9AICN31*^wgan+{OyjsP`Y*9PXgrccI4E6 zHRE?kthjUcea{l|v?B*?m*so3A)ecYn1NDcb)nh}(#FJWUyX?e z+Yl#hh<9&8%nqrs&AYZC-lYxk%c>GzhuGHL5@!%QgLo74D_jMN?aXx(m0dc{8SImH zB@awK9f&$n5btvEoi^;lm`+TD6$+YBvLpUxZ>mcTcY+{V1EF13#VljzV=UXgc>i%S z@N}ZQnNA*MF7k|v$xA&sb-QALC&4}bQkP7(hftrn9zV@m^uo6tVVtVr2C=6hIJ_dTvu8_-Cu=ke%&AAq!$_B zCIPcd@Mb>1w>>)~t9u*-t=TlI9>O1wCp6yrqUWX4ZBl4?qO z_LDcZ05QJwP(_q%ZVwR<2Tgf9o?5oWh z!{m0&d6)}5?J=pPKzGk*_M1F6*hNjvregH=$&1%KZlnw~{J!hfgzNBatz2UKxAgo; z?V1elO0{TlCsrrFQThVs0o`LC2R5bR?j%(8dZqhOrvsQlAAS5C(NdzSpyyC z&l*mzUX7v7W5ST%BDLlGq+{^Zq8J?BZ|*9)^Xw>1rpZq!Aa@&OlR>1X9VBN6OWm_( zV)ZR>prrM#-aDHbfA5SGI&nRu&;1J3*IYRXz=}Y%@OOj<=HlQIoJ{?P5ed@?RhXQG zr@pXYGj_mxxv7uFWtsSVlMls&=a}G?;!gs9pzWD!9yvFQ4Dk@K~An12y^A#ebQtwj`#5hEtz!)zqiVwLX7#wtyuX z9xGFyAFEJ`;R&4hb@e$KG*F4*%KGa{e2;5rf%&b^p*eDuf@sM7=!6JEr4%OO--LrX z5v#Nz?I?&UZ9^KrP#Ihl{ZUNHaCyCtU+kr|!9V+;5gg&-0pA2GOtkkd)iD25AwI9Q zypux4?jrfWz9zorTh?BHYuYb!(m`HX%HfwG<9Z*z*hzi=^!=kfFsAXq{^a|rztVz3 zW#VH@PjHP#X(E2zIcZ(~b@883Q~$dB&#B4(_?i>Zy86`h|06Z^>Cdm?&4BSg-u#2l zk#KIF#I??@P=EJr|H}Ah_2VaVpjTQG@vyLF{USa`9=3JXLEloCsJ~uFR#~rMcPIf< z9;J!=e^e9y#WmyYsG55!zXX5mpe9rv`V}U>e#_?DK7Ju{TE|dSglz98 z0{1S5ADYCAI`Z7ioMgJ5;7Vef*GTEUfRJ zxNmr6P55WalD02xbFaXJaF?2kJGO-#T-%g~`(hrT?fM!PhK2J4;3fxI8bb&AvNZ0- zsV`aI82!tXUlXx0)_vCtOJYy^fc9Qr(?6Hiz=y2F#at!-H^JBTAe>9%S>snIClzos zN>B0mHsUc$4<~;%z4fqh)ftBW2jEv8IPLHOwIvHzEMyt5&U$?Ii67~BEjkkTVtHS z5B0=;8?YS#gL@M2jfjQFpjiI$AeH7p>Y$S!hlnFRu`@vUJrEUJON&C*o>E2ZKLhDl zh{dFDgktnjY;8;|v@N%GFCe|y6Z=NM-fL|!Zi2f4bsH0VKm1qfLj>2M;Fuv-MT<9Q`tQIFvPEYk6q1YR-K#|kd` zE|S7}lTjMK73F-@IfVKm_B-nV(m7F86VFrc6Qjd=IuicHi8MO>!V!Py|0uNQB8iybom}hD87x6u~WBUL$2t1p5?< z;14Ibdn^$VF)J37iMZN{_yG{7RkC97A@~}T*yZRuF^rq4Ri(lw0QZ-6%@j1OH;Rax)+-RhLmXC;^|*$u7Z(@H=HSk zJi58_O9I>B3e^|dMN%-vU9CC^xzNphQjq(kQtsDO;!c<4b*Izr0QY%tIj-<(BHAsI z!g|DdKuHKz5V1{dqf*F6RX^W{nC@ba5_qshN!icI2{08Ne6N<2Q26tccA{HWN8E3EwP&Z;8_O>X zhBrCkb<+{9*Q$mxaYd)pUvN{WLvH+$^s1bQM{d#Zq-rq83!oJ+on$l>7dymQBn1-xo~%y(Yy>rsVsIIfdfYPuvA+EGD@h#)Ut!|-tZZnWBJ71MJ~ z!ylevJ8sr#-d|z;6^@6WUa1Yoi^ArfI=?}XaQVhal zeg;t@gkIhfp7|5w@~A8nJ8nE(^ZKOL1??I;ee!;g=~Jo={LhKf0CJAw8jiBL#t-iF z&TpAMw3!bo%fsAGwvmaof|*Z+^wD~D`_0BJb(d>+NHPiWksAu`S{nP@k_zE-ekXAH zi{rAK+a-hfuW7T(d7gc^_JA!X-5>+$!>wcbe(3wbdZ6zIcp&TNwNq3%QDt59;C4Kc zu|gxdvWK6^y(-tI*X5H+^7!}RsRwMK@1MRObV&5$p&t)Dj0fAFb3U(`s&W+mQOy6} z-c2VTJW(u)*99NTts_#hWFN(Q1GSIA^{ik$KE;-b#xK6$%ypl?&eChaHvG?Y`upo9 z?b8^4v4i?+yTn4mPgnl2qpYDygjVN8eB86a20galF7pbXkH{#33y#ixd~KJuH>Qu? z|92l=Fzj!45m3Z`WzKKFv?-B-%`KxMK6|v_BeHz{eYkkQ=DvSq|CaEro&UE+S*Qp{ zIo-2}@5churbG%}UJ;&;lb=F1pKaIov!0)elT=EMzu1MV34VDd*&mOs@G-KRr&v9m zT`94&N8&4>F6Q7`pCfDP^G?W+y(PU*ew5;aI*^pS1Nhuq`U|dW{9_{c=K+^Drn&E= z@mECf&jl{;C;IrhU2A>L0WSMs^eZ|3$0`0*`kAx91NS()?(6CPrwX4l!DGV!pL=WS zGdaTN#F~80i12xHO+Keb_|)ys{|j0`eXDr8mS$9~T}waE z-9N*Bb8>w38{;y3)7Md~+QFF%OjPX#`|a?9~Y`}r1yujR_UTslX` z|F+=4afJP)mir^cN8|qo@HiK^9AkO!+Iw1MUVYj2%lNrj=_A;LGnVCi8?|oQ190zN z5TCjsuDMqvZJ4wI=Nd`-iE#J0Q*yGUCAz`?9rT$E*v?8X!N)^LW*j%j4xjCXa5S1k z!`WU=#Wl7s4HtYLPW&SNA^ej;!}pJT{;bE(p&n&@F`#r4T;|R{8f3~oJia~!rcH?y zyu1&$4p}Gsuz?$w{rJ)Ag>w+ECwuYe@RJYW+Cl1?!1+R1s9iq=xZ?@Vc|Pauy8q-H zgnD*N%KAN=8W@`D$oi{oJM#UuwYopTahLr$$9HMh#OM0Lu*N^_=XU{*{Up~Dw~dzb zzYq9aV_5!Se7%n`34F=l&NssNT7U8v{M#e?wB=7fu&=AizYhK};L9i4*|%!{lZPbT zPwMrfjMto(zW|5e$JSrj^$gd-a`7W*9lam*vR3>pOU6~oTot}Z4N{qZ)|@`jB&dV6>cWcuI? zsk;7k@$2eS4WC*Jzz*G?Tg|%uCwWND5BW0UH&mX zVYsZ{>4#6Ey$P0HkMKFyvvG~{cD)Wd0dV4fO3{(eRq9-?7dYai z=lq;G+qGO1{8IosSf|vK<)*+Srpy#olRCS~4h3of~xV9&bs^i7Apc%{Yf+jMS^m?E7H?8TamjRBz zm_J^7-#!*^w!dP#(EOET51wKL;oW?S@p0ATWA*8VTR!B^ePDZrH_X*O!_So8biKbD z@Qodfo(CSL_-OnKfj@w2w%#+jheq%(0)A-RJS2k8&z^+v-xR@rZv_915&ZW>@DGmQ zzdwS%G=l$u2>y}?{>2ge#S#1uM(`I!@GptrFO1+{3Vhzn;e8<;4-!+%bvzskJk}TY zm#W}{Joz>bKYn8Ti64rGB79zBjOu6o31iauHvu=)pK$-yAba@S z?+wMnHW7U8$8wzFxTfRbe!#dlN*Sq_6%WGoI+XJj!kFUmW9#>?K-Tj>OV#NR&bbxO zPeU&2XxytEr4I<#iSX{2=ycX~xYQT@z*x{eV|iWokN6|z2i{8z#TEA>#u6UWrz%|9 zBk}nzj@YT=LF=#aHGI6`%Q}&EN&g_WF|X<$p=paR{UrEdtjzqZ`E&12&LwXvx6j9V zK;i*sssAxwvV#kN58AQFth>c$%;EpE{Ssf+*LNzo#%JATd-@(%zYkkvkCKUl$&; zw=G;E^s$Kkb^YM`U)sg5z+d8)@hS7n)qwM!Qd|G~{*-kA=Who>#@lh+hxe3qd$|L0 zZ^uD>ME;L~_ck1aeE|Do0{{x0gS<70SYgdx?yd zk9cx*|Dd0*c^J4-2i%VoJ}*L<`f#qS^}iSA+~51P2WOlN0{#aNF7{FmV?g+Gt}XVG zM&P@EhwLBzQNg)>kT#(8ar1TKV~x*yP;8H^H;sP}_>e#6I~p$f`|!^L?_7t=Yau-~ zobegb(|rNS=%Vo-0Y3F)9q`_v@V^^y>dEU`PtM2aXTIyK;lIQ=_2j)uz*9ZBCKG>> zM);F&NKeuO$LOi?d0&t*==+mAs1N*?(}#Yz-obcHwMKEm!BI8$z|IK$z^<+2{>XCAac{KGgAxw_xto;~H# zKJ5qU@8qW6ydbYFfh+dXcf$W3;Gc+dzaAZq*@M^oCkouPsV@D+&!e8-dIfjiYv_{e z`%UIuj6>9g!DpS^@C3&OV7r8R>AVKI?g1PJO?XK#5EJRns7ao4x!V`{}Iuk4iehFNGkuyy!lf`0azz8G`q8nOvIJX%PX@&|%^5lA_L zG?0E8$k|znbm>F`((egKUkLJjLC$9?OQcT@*ggVse0?#ME<;U4=}SPq0OUI~lYSD& z*@21eG9Xu!{zj0$0^~b4lYRoo`6!Y||2@v>qKeWF1^RrD?^Z4ygF`Mc>ey*61`Bqs ztTEN(i(gR3TYzKQXb7bHn*r|biq?1J%iW@PUk{Q9Z(IRkun7AqXJ60C4~ zje`s;l=Bl!jip~_{(|9o_@+5H05Qtp5XZp}nd%(g)S3eaYFg1;hl3k)7=Y*K$!Z+_ zhfY?BjZZjS?sT{jIZ>qbaB@56mGk#OMR2;T6Un~;bSiMHBZngr;&|kHfgGD0UG>Nr z8ObG%{25x>c7fAH{AovgT|{;v@qatwuQVaP(h)Q4S@pivg_5PeatqRW#M06JUlYk6 zb827Pgjl+|&ov>w#!2Qwe6=0+MMq5aYZKE^jIc|a5OZIJ#1}Rpmd!%xVWL5DYG6#9 zjCRBY0|ztO>~}6|%{d8|bz-xmEHu|-6C5SvFt4j+-36)Kf7`4X`K=(|5Tsi*Bmbcz z=MxSk%Ksvu{GA}D>-b__33Z{s|R#7=}9cLGf8mvP>wb1yf+FW{<#D4ejS~7FdxKY!i~};mB>( zY$&XG9f{t@VTRL79J#+}&Zaf^C1<6*r554ubkrNig?qA%a3R0Qkpm~8VgcRdxtMPP z6`MW=ji{1H3NMVvx#UgY;sfEqya}I}KB4=Nz2eVj1!Tj^>J83Le^YS(pqnXCF7GSJ zHF=JSaDg$``1;yd;Pc*r$gaX4BSjZJ=o8_=Jg$F=m82zuZ_j9J-rf`|NlPe5+h~su z4mKrHuz6NrdAL2(I_m4)aLd0NUYAc@{Fwf|(kI40re{655#W4p+JWA8g z!M_eZ?e62p-P-q0+&8?!<@i{}50@vryYeg7HvN53UpsCS@P>|z>9}2JJ31fHozDaA zyKvx?2WFhb_yfRm&lehe(iA1ePaS+d%S{^a6B-{&v0Cv=-<0`>E8C&L`NKypT|7K@A8As20`6y^ zezyDlQS_9BX%cX^vro_^M0}t^PgkcUu+@(xK6jiRwYD1lX+u$};#o~!7oYZ))tu%} z{99lHV2Osu%EU(%jT?MEsNiPWmGyUT6jjD&>xVxo<72e0jL+EQQ38VHX>Pr&L7)9AU zOpTq}j+~=OnSDu~^Wb*%GT|fifSZJCHj%^OSOPO3AYqZ@cj(>dKkIRp_IKBiMRwL(@Fgph7%e!q{{v3t;Lgc?gPCM^L&cW(Z z9EakZ)3}Rp+>0_h={s<6+mZKzAI0$_92^|pfgJUl{0uqiw!nbRP8Sg{G8yL_#QC@$ zAF<|59O55?<4T-gi<~-Lf&9}r_-q=Ne!RIi8#%AdL3t(e709`CI1dM(BBM^Mvm+tz zLnw1%L|eFA_!1^PD{xNx7UOsyV0$CK0Xga9;G=ZZv+99|WQ@&|K!>|bIXc>^`A`pb z$b?C2xf>_9c5hvLml!OfC?e85)D zc>_paKhK@}^(p6kfJe&Aw^F>wlecp9bw2navY5Xg$NzXZ#9!d}9*3N5kG{JJaMW|I zkE`NSwll2*%d(`HgfjVyj8Iv_s>stguTcDj4|9oE*3p}Au6?4*-@rAtEw;PMa9oS? zqj5Zh_O}JjUx9kP2RUyS@jB~Mt`Fe+$2fl}a?$B#oD+xV$AE{x**{%}V-UFX&sS02 z#g%#f9h{Sn{Q>o3T+xnQaIlXepXuQDZNT?{PU3H|h3Ajp`Xb`$*lemQcEA;NzF$_Ky~yvA7gB#!6eob`P%4#vnX$cg`T9J{*m z*HGTgmA{Je?ymfQD5DY${#Q_Dos;%@9J5^c%P5}%-(QdOJyGU($3y%ey2*LfT=O^+ zyg9i%*74=FcL6q9aIoE=S`r+cP2qzpQ0AD$xce~j)yRM6V&+*W?*^Px0DlEw7qg6< zv9=}390w%^nKKTHWb@iPJeq?X%_{-_ge#Mm@L~BebuRF-oON7=<6mbS_^`5bKI&bD z<6r-i^REx5{}>mno8NdiZv~v6NMe1n57F_$a~^YW_&h`By3VSWzl-_~@p=(-dM*y~ zIT7+X@8H~OIr3k^hQ-MFO8*8pIKS2MXwT~a zm;VO<0RR7Z0d!J5OB_)Yz0YV|zxTs!M2Ll0Bo-?uYU0Z7%)Z?j*qxcY8A$};X(1MC zrG>49U5W$*74#oi*@(!Zh>#*x(xk8ut3*qGfZ(}f*vUjPaNl9xIrrVq3<)X_(ZT8V z0)Wymzv5^|236Y+v~&VB&jhu=`shFq?G2eYiAG@WgQ_;>9Mh5|?YJd5W0nlP z?TZ`(WurMy+Dr5=L95`ijj!KKU?!$@J)|GFO7u_;gz@{n6+i>eMA~!J-*cHlD>|D= zc=+p$`PAkAGej5her??SFcQFc3$7? z`|UNl$^DLkxR^?f{b&S@YYi9eb7A_t6S>Bfc2n7x>peWARN>)hr>qZ*7QZWh|E|shPzejPcVUaH@D&3+)cpKKMP=m* zt$ByfA#$HEqOW@|hzaQaD)Cq(feYYD2t|iH!l|K5a1@pO;n7ZFJ7thpndUX?#k*_K zlU^wzx`CemwF7$0$AYdu$L9)5w&+clOsqQ$U%Kae-9HjNbVOeXwy#ulORs6JO#!r* z!fcQrZ0|g^iZg(v5Hz6QK>d}``@|K{gvhRm5KpW~&n>rdMF)Nuj#ok$<~ZVW+ZRe|s_ zzKy8UTu~mvYMSkaW%OU_nHQkU20)ZYwAXW`>-41aD#a!bW*Zjr2f-J#-a7`3b)Fi< z=iur8)L_^AD#y(mId;Cry>ir;I$GT0gqlWv9ZuU1M1U@E`bYYqC#dP|L2rhq-#pwD zD8$R6$z|l+>?rQFAPwE{)1hr6U@_slWPvyjvX%R{87!+`bf-liAC}1rSWFIZFU13j z-gD%B3LC7=<*VMZ_4{U!4$7%9`UUzCrXKxZx)0Erk1j4`!^o{jEI0ClnF>uw=_M6W zy;=xiVuP7Q&DvOQ3s})1`^jU-ArlI7R zQHK725w279$7->zBKt9*xI%#j=n^33;Uq-gm42NoZ)JSigcK8 zQ%Xow#dx~s;rss1*gD$_5Aq}-0!2D(W*7#k0?9vm_OF2d;{Q4u+#SQj)zf0$4)Mx2 z(8VeIbd6CVRiB%KQJva^4~krU6;_F3K&1XeorsIpWZk|H6t61Xxap0AEmmI!?8H16 zE%)%2M&X5CD)Asci3Z3At!WIIp>IToFaiEu= zzeG>RitRDCiCiv**;pTzngPL_0` zD*2P|KaDh-a;&_jI@|(C$(8Sj;h_|*rbXYAm+Z5sn|%&ZuvXX`%&v;jl;4rRjqB~Z z&#pEsL*=xpjGG#~8!WARC6ov+$}>}bq?k%h z$-R7JrYEgBeq{`Xh2Pe5WySlqFomP+K5JWJE89U@?F;*{Vuu%>qLL-LYPYBH?I~4M zTq$iJJG|jJBVmBbC*F6$ACzJw*Ir6RIT+*ratzi%SI0FZ9bd&evMzuV%f+XpB_Fv!)-((uhMVtK>hkj@mI@6{`mCBY3<9m3S`3=#Cf8WE>LEO z7d+B#lenL*QMag&Q>SVx3*P?aTRk?l?JE=6_dyE!+?n=3zAti)sb%J{oX{Vm zhCu+f%{6xXA&2t?0l&cVgAClRpd}FA7fwmBNU%)%S1xpY_k}>HF?uarwtz;Oop@S1 zL@KC;(hN|fOiSWqXMC?K?N|{$r@JKsR>mwpGBjv9@QF(~qJ(jAB$O+OuW=^-3ERh8 z5SVU;sk82mVVUL@l%{SEc1Cq&GhAN82H(IdJE^8~ufXkRX0nhVey^HL)^UVUUX_5| zyN)cp5=60}J+tMvSuL8EZH+jIqfX+suA;iqFkp5OyiG*nD-B=AW=2gH&q>9VJ3Cos!^bQ}!ymZ8TottX% z0M=+x#+{|KvDre2BB7sBz;vD2^K0E2YJ#&!ZGCW^0!tggAqXWB#Q$CUjWhYC7|Wv= zkN$8X_Pt*rnT;6BA*lV8TWZ0`T77R!Z+S0;1v6%bd3;#~IKxgi+@zS=Cf{n4F7eed zTJ|qY-c5!#sdSr4!kEDWCgq*DsjM;z0FK6L1Pb#{^97AWRok6?Iw`~#Fp2nDPCc%c4QXwQY(rod$07|mY zhwmCfaLJ%D0^v}!PpK$cFm&AI_Jy9%VJ?d_vA{~RC-}Ef`QWCPs`?;vR12R5z4X+0 zB?d2-@6=N)1H~8I4ykIfqwpY*5-3$VTuE^cn*fQbq+u~_D*58ImBwy8o1JGk#37Qo z*R?h_Ugk0OBLrNnrMK;9kd)*Bs1Ztoa~b$?R;7hn2j>SX!ZnCqR(n_ocs${~(16hz zSk=>f(&2fYCvpWJoFU8evZFSPPzdd(_Vj~meERzcgp*m^NYRWNMuD&17%VgsLjKM;R(a?*L zrTvo#on9^MjT@I|SZ0`UlB03pw9~Z}rwl5Uq#H%Gd*lW#&3f#ivM)*mGOC*Uitbp9 zzFT+dv^67Rv=Vn@(Y`Sr=gn<;eGk}jOi4P*@QOn@a@FR*PHS84D0l5j!C_ws(YJ^r zn1KKGfT(7uLw;^Rsge#TZLEjz3g+3<+VwN%sjPRsIQ|ZP!(2smG=56+I(_gbt4E2- zT_d81IZut$ICG#uY0=_18k)l|@a|&!OsK9Xb>eFBm5rL2+d(>i^Sgw@H)mzjmUKUQ zer&@uC*%K8wI&M}_|lkPoQ&ZGzF4RUP~NX(nxY;67PWEAzMXLZzK4Zw8%-gPf3YJ) z=l){WuiePhv!}pPKAXG)gaq#I&=DthjKV)zo)7H(!L^&6WXS8?*wc>Ky2r&)7Mu+3 zA5b1O4oN4XvL6LP(sM}cM{`5c`DyJ(--V=;PvB@x>^`x=p@8Lu&>y)FMir~}o=al> za95(%-nB@X>cuJq`pGj(++2S<7jE_X-k2Wo{p(>Lbd zjoeEOT#*1GaI2U-=Pfy6I?2?~yEW%HZmYrV!|L&!Vd9!K2e1E`^4~$}*UNv>;ix}j zX7q~+o?o#mbn^MdejRi10~ap))+0Z9Dqr3uLv|v_Qm=cyV!1xWUczOGlfdv6`7*nX zKz&JKdUM%{#k@K2hao;?=L`bE8N$EHGD72LvjWtouvwhu_XY6X8IF??xKDzQWmB>h zWy2nZke3Q7&xrM%pmp=_T2iv@chz+7wTeY=i*gemB$DhLS43^QTP6-V#ttC*p1tUh|&UQ9Yt+e#5rzi(*lN+i#pGAIAZF@S*t>KoEyHSalRk5gEJGv z)&PsPiHo-R%_*PsNxx>*AZ@h>xJ?P(H>!8L+;V36eC{RNyKHEl>}eb?+N^bE!O^f^ zO8fvJEXv)Z{W--(3?4o%MeM{Q5P|$K$L~RMq(#14c2|aksd3dFO{cb%uL-v7CG4u2 z$(j>;BMxx=otpC{u4ee}Rhh6S!Im8%4S?dG6&7TN5n4wlHrn#kj$5*ef6iXR4>kKd zx&8AfeQmzq^=!wxPvP%-Z}-gK&C-)(il`K6vsbJ%iVH5~LUA{bVmYeIH0C&sF0}Z} zI|}N%xGScgE+v}#MxP)a?A;wUq))x9tFLt-{B%Tb$U8QQ1bhojxIq53tsW0Ne}Oh# zo?(sdSmW$_vs1AU%SUBE_rTSan2YGP>ockeq()u{M zayA=9xpUrJmv_o52n2o@xWT)B4@5b~$J#+Ys%oo+TEkWezwbt0$<-Nm&U7`-3%b%= zbaZ{)-%m944iEG;?Q*9vewIi3o#USk==5?G_PEruRrDRCD-S}%ZNuM|6(3UP_M}&D*wXAUt>k!YOMwBv#@?qM+anxy+wR08K zUdtTS-;H(5Eo5DsKg|JPKejY0H~81Gp-OKxs_ zAq^nfkNaIEiWqIW$Ne*R=T9i|A>+{D&iSnfZ&~te|SQj|zxiPwq zW16Ese(U4mr_#T;wZSjTs)VNqDCr;Xvj8a7-hxi`H)^qSpzlk$opr1xv%CqSbdw7UcHn0Nttm2~ z4D7uY=`#M4vYWsgq+Vihcev+kGb`Sa&8aDJ`?=`xpAQG{_y|SUP3cyllOi8pp}FAS znH(&;2`Laj+B@swMQ?~4sh#+wf2I)U#2Fwv(0-XqDNRFoNIrvKPL4$=6^DiKs*#u( zkgCuvC&jq=ChU65x!n2r>b_I(c3E8!{Wa9ufuHdgwbU~_J4Do%2o*^9olaDF0N z$Nk+m4H^~!GS25lzw4Ee@mJCT2d9XWlcM0+XUHrzV;b$-Fk-+j=45jH>0nc=aEjw>Yi)*MK#Oo7 zz5+`4ONQAv8zI5B5Y!Bccs#J}`&*g@H-y=1uXqsfH-ssd`=^deLqYzfy9ssB13p&<6h}(%HIvur z&779OR=QB-gBA7FU>tui>h!P|!Ejy5J;d7j~*TdT(@~hrdqoGP2j!Ybdz}rW3G_=RZ{{iEtR;vI2 delta 4547 zcmV;!5j^hyCF~=RQ-91(00000001Nq00000007+r00000008U}00000004La>{?rI zTvrvI6bj{jzgD1=RG|&3!klx)W4ljh?3pyv_>!J+<3cdj)H6vO5}S_4X+WqC`~)P_ z7bJv)gv0}nl#7HAPl!hl7a_DfbfAx7J>JuRV^P*nbUiihLydd~267j17UkO1e1CJRvTSuC<;yAi8Y$N|hpN8|#l8&s_I#%`qiU{=lQ&yuuB)fy3*}Ul z9%Yq{zgmZ1f|3_oQL?)^S#NcqTK0i6H#IZzRXAaDG$Bl#DPN7x+=*47YOS311aLZ0 zHm;+bzMirZJonj~kIs6Qtny^k8dOwHpYjb4UdByihks6tc!?ICm_D_oz}a?ZUX?x^ zX6HL?RdOvHBvir;A8Z5hAU78$qQv^B0pr2wUggqm$*W~-d1G=g1#GeJpgaPvuQ2m{2gTpsrv{K}|ufAXAVks4m^(noQ&R6$IOBtHZ|1@QUL0+Hmkn z(s!C=V-^N(ERT22DMOs0jA~>Q<)8|+!+@Mih!@C}@LV8ir3B`)Y@AJnC?FvUNQeRw zqJV@bAR&s75JfJnNd!lc0y29FzDH+an8n!&ljnZc>SRgM;#FUciDOWB~wkNujT?R=!aQZ_N} zs1qU3tSl}&lMxn6>8f&mwl&8EwH|h$sU$X)#HNziR1%v?VpBN<8-Ho(fN`YW@h*WJg5)fnAKUemj?7?AL z=_#ussj@>VnK^)*m5_uc>15_0ATtL6nK=l!rs~W=8rM{vIY{H0ii+VMX{y{TEPwrI z9=%V3-^S(5tCZM77Y5Ku2J8Tk0s9bnH{?yb4VGzxfyI8Nga2g%wehUOVw1(D>p?^< z@*q^$tN!rBBGPr52nr$}!f7t4&2d81vAuz8@D(hMteY8hSS@8rZ#}u$y%g3-&e-e4 zOCqP?^_EcvXJ}cg@&xLa7>qx+6n|6^@f;;j)1=W~8KO?kn}a&DV6d|0&0`+bJsJlx zGbAQ9Z=CRG5+#eLRo9Bty?KK`Y;xt2B;_u|OeXOs+ANe|($<1@EWmnz;{i?t7#zxY zN#!asu2^8GEeo|}p|&j4mWA4~P+Jyi%R+7W$Q}s?h3js6K;0d^+X0vE@PER=MVlOK zY!vH;$L?EXhhn!dXr*Wo4R_`q01a)=^V;oKv4a&BtZZE-{Q%2TSsG6TjSlo0x*AK8 zTRcOG9CH^hpwPMH5+M@SP#&Cl4GI0)CAu-_{E{WQFfj8lFms-kWStCkhapxqr2~S(O-{~6x|N>TEJgAWUX0Wh!gTA8WTPtFxO|c~Yl0PwMpMzNUAM5!39itoQl@O~d_9 zHg<{LTtB_X;Rn4Z+u8nL?a(Z80g-ScYIFcj$*oZB$GRe>E4kv?kJ{v&=RKzH2Y54 z<=LR+UNn&k+3o@%W0MC8Xh-aJnS0+*bA4fd4-uC>{HD!3Zwq--SMsLM@9<SfN`NY;mKmp8Af{C^vF9RnFQOs#4o6JOOpM#=4tTI?H^8E<*LF~M@$TmCpwTG`N~ zm{s(V_@Z;KD)4bqF$yf3Dp`xf9&M4;Xy3@z@wK6q`d}p>@>G0oq^^#y3+3y>os3<# zD)deaGD@T=Tun9~M!P%ipd#T-QP}|EX5b>itD9bp0{{f=U4O;sF-_EgTM)R(oYy&| z%F`W1ZALH?1FGyW(jb8r7|Wo?6oQhdv}(40xtwBxbs zIkW7Y%bDmPa>JajfgvfDTj|^zwQ-YCrR);bJ-2<-c7O4lz%h$?Y^}xOSS;3KF|f=+x84|}1X7Rw!;#oHH?sHM`w3fvzWZq*=JK|z2=CQ}A4?_+$FL4-hYuv~X9{lA5 zUFUccvW&4HOQ;4}0yM}HqCu8G46=l2kVpNI@PnOZ;im`v^V}47i1kNh`0RB4 zjDKu&{+w)d{;X_t{*Y{R{-A7h{;&)kf2^VHl6MXYoIFMpjS&UynBo+)o$?AaSkJzw z#K_!xT&aFZLW1~flJ`r#FO|F(ioPl#1sKB^*UuYPr=K{iPCs)%H}X*fD&L7&=ro=} zvXM^BMuLED#5BKrsFVDzL&x=ILesc;h<^hCbxIxyG_=uQTx$kN5F%4#iCiItzZ*)e zMiJcBo5;AtV3!g@vqT8k3i@S#K;qn*KKSz3c@ky(A z&;im_iU;uDJq3;x`V@y1(YrWg1uQ=L6p12w7ydK|Au25@06gkbV6N1sc%veE7k^Pb z_;rpx#fEJ{RFD4t07(n{a^2_oq#{`K2Pn`L`nw7i>}k57{GovD1*Ah}JjG9}fZsif zo-BW|f@(QWB>dVYvj_MhN~S+s+FHQ#CSCD9D;QiUZmkgY!d{BD=l$5XM@(_Wmn=I| z)`H({sa*Wn3X$b&*77dRK<_w@F@IEw`;1h4*B0=gwU8lr@I5OW-8Na2=XW*_rcr&0 z-&%|QEC<%&v(^#~vncqMwJ7BIjLkD^Ew8mg)Fr>PdA@1|lciGpY+Fl|?A8juvXw?u z{n=UwhyIDE`h~UZ#kb=oQ}LZWl-9Kce9;zQZ`O)GSc|=lD}HY+*um*j*nbPGV*6<$ znuw#KwIsZY4;rcXoUPOtDn%5dSew*ZD8RcIH&XExo9q+T@^fqXmKD}*GBSjEp0&a$ zo9qkLf;Cg0A{qhE$@KJJv-!ix2BJM=(d_WXVPDG8l%(xSc{7zF)kH2Nq^?|iul&+|@l1K*@#QnEvb~(8)%x;d zbJO#4Brdd?-+1ONkAGZsEyoLMD7PK^_D47A_gtGBNxOXr_az^HxSXJ0DpCracyES~ zEH{MkSB>qOa_b0@YCIuuA)yBFgTuuRgt%1vx=BJzmSw^=Le>Y#ne8GatLGt7j__BA zZttTVxb`)kANj<~!=G!6%w zYb)I$4K(5Gsny|yWMlPX{Upzl;d*kpJ6v&!^>YdRPVszym^_e99J_E+l^xA_KyF_P zaojt+eMQtGy??{U-b8hgJLyE=mz+;XTlpsa9iu-_FOSyNpg@XzikzDRj@L2;Um?V01Oc3U~dd`KK;wZJjFPn4`XndJJ;B-gi(ul*po zK0U9(>=A8F|+fkMB8-_krSi5>Y(9FBD&(g?2YPi^-jL7CQuSdRu2>e666Z zo0*Hr^S#Bey>Hw7)@_$DoYf|Gj^P~`&TlKjZg-pqisAd-D5lQx&+aMy5apet^T825 zSkuXA7220`2M$2>2fDf4P@eCA|XR%g3j-_wsVKz=G1btY}0XLhE5vG zbFBq?d-DRg<1={79iPKr1l-B>f#EK?K-h^p@PDh%?zww{vU1$vS8`*=k5`vQ`J>a~ z9nRl7sSV!!B;wE=b-~a7j>CJXbiMe|PZ5U>lyZT&GaThN#!4z%92v@xAojs-|~rdB|GoR@k(aM!xxa{tEyA|NnRaRFc0-9YGMs=lpG+ zKYtGsHHx4C(Z(N*T7|6VdT)d8_Sik~JyI-)3U-#-X(8BHB%Oj?AXS7w3LzE|1PiSN z^B1JDN*4n8&OYAxEM{kSzMq-BncI*8dPhWG4z@SpD1_NKaVt6!nbO!Oo3TI*ux4t) zdN=Yt<;XCM*;E<}N+y}JnNdmXur(D%=zl&SYNR&o-aLdC9I4$H}D?il?+Gqyh--Ta_YZY=N)#mBKZkm9w_kUsf z8yt1!kY0Q*qbz9k4Qv;0w^;7UWv=mj_AOrh*LU}o$=5kqRMH3&6)Vo?sAO3);QvIm{Lo4b!r*Y;HYo^KL7v#|Nnqq+ZO-; diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb index a38118be342ba12a4fa2106245fae3fdc8f60926..904bc29ffc295f984f5d17ba6adbc6d504b5e341 100755 GIT binary patch literal 23149 zcmeFX^;ers&_4_<+9D0KxN9lJ-Dz=aS}1O%xCV#dR;0LVp*R#TP6H|KPH_+J5P~Gg zH}~_r|HJ#sb8=2LCv)xWTs!ue*#%%=U{pQFM1Q-XKkw0_j-{)cEy#(1Pk@`3n~&kW zhpmGJ1HS-21FtX-A3qO2FP{K|mZhtwt+^$`M>BJK20jKYRR&$luM7$nw(jVekseRc zY%nl-bpL0-c#i$Qqocw9j^3C@?f4L<{*;Hnlhg!;EQSdMrk z8X}WZ$`i*%f=qmZS&9UcT!Ab-lF2$Gf0P+LzDJi&=970~HUCkl4w10xz5;3X|0ti#d++)cVin-K zkpC!lm51?vsG^ZM|54Cv;q>G}WTScT|0rm-GAIAH;Qwvu{~68yA8t`K#}#(p0Pim@ zF6Q$8GiWsdPgYZl{th%VZRXQnq^QEbLRX&ar+&@eWIr2PtWlW%K5{{n2k&Sl!wsBR`+lH3m z9R;DcIvlHlRRyStfd#>;ubkP%xAV_V;mrt}MxSzOT6mp@QX{gqwb@6gv8`QL4PJ*( zwL9r*UHbkwwx;9f{m}Gv_pYDQ#qFWW$ydxS^;|qKTqIt^*tE%n_xg2Ry@7u^dQwzm zOiuskijJi1!>W9AU`NjUkhlLIG~t|WC+8oXSb%YqUlMe)$Ln5^?Yzb?9xk z^k&(YVLR>Udv_eXN)x4k^C_1fvTuO!8L#=LL{1SNQZ+g|+U@MvNc-Vmc8PU=TI!XX zpTn=!&+WDdtG3}}5vgIqmfO*qrlFtbi*|F^6}#PXoYNX2yGF$VmT~t!4_5kB#~u0( z_stq#DvUkm%W^t48K_pBzcrZ*N?AgGTTE_N#OyOK+O&5KgriATDO0cLq@FJ#28C=qm<1Gx>SUeDpeTzjI+GyX5?{+p6^IX~Mr8 zMg*C`Zl{s5bY17lF%}+N^m1VubUOXJFU-uA(!Ed&(whcX@(%1I%W|~2pPsa*Rldhi zG$J9~(sOC&i}BBkq4{U}8KGdTjRWlILXxdW#v1X2CDn{Syk&~P8lFb7#z*?#jjdK! zXR*I$Im*|f-n1>T{RxeQ3*ngLTsBbBRtQpB%F(%usc=y-Rl7NNcP~foU54SUVdq9h zE>7fAm({h{$;;mo0=S6vnSzR4y2^!H?B$rTJqTT{Vb83xuB>0U7;^X!iU>~S(j5X` zV_k7pPNy(z= zg;GHbTP}^?%VI77JAM7Re1qmiUh&OD{^Z_UAtzRWNQ?tmsE>;s8^s}EZlpO3lkQx- zpf0K0ycLYqPc0zW2iP#oeTOws<^`L5ZPi|Nktu+a(ekOWGp}>vb4cX=p_{*W&$GEzX6*$M|BD+Nf9l@h4k0;p%xky{GN=a7$vhgJyYduQMQLja);oYpcL z_2yVq$FN_c3{Up{SxO1SJ!9e)qlvxXL`@4Qk!f2Tjga4$G`KW|n=wBNaLjv%|+AUY2du4OR{^Omkgdm-dz0CkAW?xKm&Tqa! zNv2-U81oskPh1}bBE4f%?w;=gF5LwQVBh77M6*H~2(9bql4_lH!$UtbUwsBCsMN84 z-Chm4XOGJh&*Q$KZ&n2bMJinYrU^ja<>E(n6?=rue`+1m8E)5fsdfolSDX0-x_5;&}#miSs8#fv`BS@T}unQDm8me30qA**S+$oW&FY z2&1hvq=+(ir)qP$M=x`mH9lj0#gBQrADf9keO1;!!D8|ADGt@=`3y>y&L9Nc`Cm5< zTdB?VxsOj(9aXMd_e(Vd+sx+HQ)%4Robp(6Q=%zRa3ZFiD51I6F-$vmV-^v_6nblRG<3v0|8T9s*;h% zD_D10ePzJ(&5d)Bw>YTc2UnU)BH}{(DxAw&zun0%LDF>f%hO2FS`V&@cp4zqM3r09 zmr5SDrpS%_Ov085Nhx4BE)wA#a!>eiD z1I-J#`X$BDzrNS4j}+70jjLd4yMeX>l$AnfDAvkVK0HSjgRoo;J6Ai{UJZN4Aw*fA ziw!xz@-jS`2wrlXLClc`(Xc8iV~;tCjG1TllCfzqn0tes}fu?>VBd*g5VM6n8}%qg`sdS?lxH(fC_c^rH_A4El=i zLttNK6J!%QGcTsf6MhSBJAY}a_ zEww)4Xm+*p=ZCd=24Arpq5p`Y=Q0Z`{#X-46FZccF^;kYPi#K5G@ojjUl+|_Vr;5q zv>jY;<%2HI*ESe)Li80(7{XJNcCsqeheo`IwT$+?quDpUDs{c$sbQ&%Ux{F^1l_H> z?%|uO)G{XQ6f>?5QxX-qZ_K1!3}Qf&sUxL%6=%?zSdqPnUjAH8=U{U6@%;CRWN!;e zB;%ZDy(0$b=6KY=%SNqj{L-X|y-+Uox(@&#wC@>Vcw!@1pnp?|1JLz$w#SgayETSI z@+LF(Tt!@Hdq;pYi*=Q{iGu0lh^b{- zB!+cSubKQO0YS14*3GP683{!MF)-~N7*QjzG{m+?rTBLx{M{-)~<;%#wv zSF#0#>nUMw%$VI39oMTWo%c`HUV}QcJ4#~Xucyu}nd0myIukzj9nJ^)IZz@jubFO0 zfkjr?L^A5ds-6yF=fM`?C0GsC!+G`Xn6V$Wm`lPUcjoZL8fO9k4~3epOEDtK?=iy7 zYzsypozCH4c=nPMWW($!v7;=QBu!2}3Trz5Sf{_UlJT8FsNuMIh9fJ99|LwYO|9Cw zt~R6DXec7TM=Z}Zx7H)ER?Xf?u4{aVrO4z*}jC>wWN)mKCey?p_dD=GL> z>g95lGq@2k?2?@c&!77q|2sxW0mFF40f}kB*YY>W6=|y5EPO!n;d8QCfa)tC*7gH3 z#5^yCcZfjAzxZ#z(;Y~PRdN$Vmrq>WRD?3pV1g*QbNx)5RIq)IrSR~6IAr?;K-g$x zj|A|$`8-ldGDK&wp=z%8S?YKM8mh1|6==#bnl(ns9!Z@5zHw5wZT7gd!!U6dsWr=xX z^Bljap-5ifxntdF)LFga-2IX9w6+=~cz&WM!uyc!we~!(^T+{TM4>c`tJBeU%?Y;5 zx@-?UKl#Pew(s4Wj_eI|e;Zn-%*?PT&C=rG;r>J2Oo(s^<%@+1Nyj)ucur!u(iU&R zC#J4QyL*)CirM*l&i6spJGHV$^9xMYTL&b;){1i008IDBsItR|SUs5xT|K9J5l(BH zo6N2=Qe2xmzo-5*TKwikhY{1;0N*y*!I=ET&L&ZftOmAb%5L5*oR*0y`j_Qd7Q1ih z{{*~F)cQ_5#mshfMMwYol+g&OikhlgM`lj)3~YBmMu{Kb9o|?pp@{iM9Y@f zg_Q3P=d|kE4ci0iEDN~yrSEHbL%B{rZc9Zn5R!I6YwA))UcLm?x(x*fn9e5f8kqYO zT0H~yfR=|D=BT_Wd#IgITe18C(tQ9kK+)#Wh?Eqoa0=O0LYjL)U*garFAUUhpfk94RcT@3ya~~5~rj8d<``M@`g5xlBn|? z?vvni#F^Lb9ANdY*)vnlx^`!rr!C#;-;$l;9~NRK@HTiqvFbCVs~8(;@+N7DW|IvG z_)wryt}U#g>4c@1`_-*KLG}$#jw}s-0QT+sPUs=o2fS;Tzl~_ERqX;J&K$-=9Nwo8 zJUT$qV$#WUBrnW=6^-xfqhy&o0M`6gBQIp{2^hEAU1H7HhFoJ177F~LE9*SbvXOcf zu`i?9G6C;`*@N%#ZN6C30CuwRvfg2R1Y&7J5~*PTYx(q`$ot3VRLDL`00(|99yH!W z`W;qxfuvyVn+K7Cl1&1ppcBsF&aVyaBDUljtV}U)yA}AU|L91rJw7J+@Ui2fqYIr?OJVRz(T61x| zOl%}SN$eQ^bi--Xz#Y>I5S)^#u&Pvh_HC&ex)^wAS9`dS-JT-cJq@W^A+bQ&I~w)*#c-a7 zDyBSzD^mLFWzwRSA^GZ9R8k+Q^tgcXY|+!v3CTsXs{ z<$hxyj&(cF-<|8tikSYdV)yD&(BlhiJ8oZUpf9X!UuVKUE;(s zb`hjt#XL_b=7@MIcT2usM-X&^t6V+Yrpg}LW0C46+#;0H1Q zhyMe^_}y}wRA{g)$Ff3jW$`e7zvUid-e)03@&LjS@|wrx?B#Pj7dUH*_&< zY#m?bzw8D6){rsYB(!=p>OZA4D)z%h@Z*@T=UV8O{EZ205&Evxdq&nWiuOOg8^oO^XOO{37%= zk56^fx#dEXWRdC?h^IR44Lrk~I?7OaPfJwbu0Q;$K^X`8*Jp-eTSP z6~ouhxTZd3VJUv6Ad$wjmgFn>7o41dVdX%nH#L8%88k|%+zJ#^=U{V3WH5;^xI?CjpRza{WH=-7s|?Z)Gn_Z0jMp4YfE3-54z&NXY4^IBAN!|V>}+>=#_X4)x!n3h_{O7@e3Eynit`pFxRk8Ya_i^EPv?egCi?Y^)! zy(DrFWpwc<4QZP1@kH#yxi6;)dyCq>N(Elyt3uE2tuW6Ph|aT7>$`!}g`~6Pkt#}W{hH9+((}lTnbG*Q$J~(~{KLwtT7!TE$$K3`IC4zxo7`)Bm<}?MPD}Z@~2VXDcP!&Cx%JaMt1@UR=5 zHH$s{`T%9UctfX*d_Nj|t5-=$jPE9Kq>cDR70=ua`h%ypSkaaFdS~v-HH?U`h&XR~ z=)PoU<@K}Dj+XBuAZpD3hI%i?FfnTD)IxQzN>I;yU@hvgXPrAKrZYDr)4k zrloiCtFE~6E;Cz5wL3q#>&V7f&9;v;3tLOKFc>E59U|_Ws3lIcWj_2jDb3fdxJvtB zS(HSPAVNc>%ay0x(Q1Iwu%OR3q+h+yi}WR~B%`qqQ_p$@fHe2IK!@uq+KrHAN3?9G zm;v<9MmgVtY>%Z!N$)NP7Hol{ANW=eSojzbVclWy+*+zR;x&KcCDB#h9c1Tcu5B^? z7`y{-{zx3hucu<$hqzzglag=#+oCRht3BU`(?x@B7$eQwF(KC>IdxxL2b0&wf#QK~ z{oj0c^;doy0nel|m&U=7*H|(%ZOCkJRqb*_{f|wwfinkpI!+R`syG*wMd2UyVEGAs zeX^N`QXcF7RyH<*);2DPk1v1K{&RDV_t!ZQxl=(O;xp7t6XO>!d)3+tMZ9r*vnC1gS_BR5fRYnj4G#qCOb<#1cbG)B<+u{^5wH_aPG~Of!|wOG|Sq zB`qqgGo>pb>LkY54~!#@1L<$Ci+cVl@=|L*_LYv{17W25$QybI;P$tu|yf{EWSL8ZHezLI zx+b|)vl=_pJPbz*PM6$5;PC%tzwmm)OGqxTsj4yWGO&4$7NMLWnaB@uU3Z{hVJ*k8)|2-yv$`TS~=;`xvAVuUiF63 zYugX#aRYRkk7wDvBDCbbBC(xKV1`fZ#zFy#SR^mMM$3i3A*&I(dtO}VthEmOBW%5- z3qEm;d+}dqa}cGDX0nDxowML_C3m5TJ_bSeE8Vgt7c&u}&XzxyxJ7#alqNQ+ui~5o3snmFd^L?E-Y`%7#y#20@`0BIvHQygtRxYOf^knrZ#*DIfip3OXl`N9xChYzW1 z*hNW*+965K<r5V6rKzLE-6ms_!xU# z)YWg^KyizEd^Xbj{%a3eBNstlR?Dj`fA`oT%5C|Z>KJW@*C`l(P(LAfub<^A%9{cb zld-hZ$zOCK0OLJ^=2)cxz~!KsZeos;I_S5o+Nb9EZcA-_MfnV|zSCju+WZ@|p)l$2<; zkKRXE`|a9imW;b5HFp2XO@91jaU4?){29|R%SMh`gWN9{I_e?#zcHfBY%eUspUSl& z_;YzLqu18k&yTc(*;2#hbkm(0m6i7KfV`rL^OwrnQO{Pt3@MA{r1oQ*3n{>r^?4KQXQBC>kg z+_(fBy01HqXZ{Kb<;(-n1S>LAF8ST~`7d2jl0Cnxe{;dYr{)d2?}(_5iF6s> zEs-)5casHG;{ezl=OUdpyXAOZlVT3x+1STC(vZ_X^yy%dNOm*d&?cd5Ij9)2ZEj1U z6mlz~nJz{%rI1Jns9~_=h=ZpGoXUf_T@Z5*kM#&aFCW)17pzFmfYLKz~x`3A;S;{#2JZXom z%eU`Av*VIe=qIL|nj7?wy;JaA{r^_&-k_hQ zqhFlUTt&x(<`G=q7rrunAVm4EwXu#UUzr08$f%Wlr;twHaTuBc3qR!CXGclPae#EBwn!B-duM zcaZi~esNOcz-NjQh`h1Zzl?XvGTKj==QlYiP~+N?J0>6rqF9Dt^3=xu&q)B)5t2;G zUb&$x7L{|1ujei&poT&_tM}mg=YKRrF+3n;=U0I|sT02%+Vxg#29FIUr%JWc15rI< z775dR-3^X4=IwhjgKCavKV$HZT!uPrjAyn$bJDwdi)V6SIlk_ax=BKxAKw0B=U)hS zc!&_=={>E!TT5B{*t&Y94_PZ#i{>%@^TNF)h?XyuJD)9B!)YNWnm)aeond1T>0J7m z=WaVMolHbNbML486_tCgYA3x|X5d^pB@2AmKl4%AV22dNPnoucTGFv) zmR?#e`iG9KCmly1ETv8O*QV2NOD27!t9jrYN#L)hJBEtGk_|j?$|Uf=j*;fLH1HQw zE2a6ZdtSeNlMcuNaYxT>63=Xhd**xb$DAv#0}aMzicF@#_!cgm@kdU-YUOdqZ8-7w z+)cmz>6Y=&&mL^%(^pGd?z%V|R(CD<@6}7!i6bl@DmL%(ko>w^Y7)vjr$~O^ZhtY@ z-eS`2aL352eel!48OUPo_KkWv-Z0fEkID3an&oervmd|TW}+JQK3nCa{g}rw>+inr z0GY52zapL38BVzMzlFRJ5~9iDoPzrtLlTFK5_3&KJlUF=oEPJT+{23?UPT{WA9Xt98;ros)oyTsdc>T)yOh2KONy=*w#h$J^&2iJc5dbyJ9e;J31X?+E zyWJ&XmaDe-J*-fm_H-a@9CNEssae{@O5uZ#ZP4wofZFmeXWO7+iV*@yYYYBWT!UT3 zXtk%xPW>@*uG4+Rn3>Cqlo4Av32Nmds0!Jrqqb>&Z7NaAa_kRImHIB(xPhW{l&6qn z*8A$DB=z!rM_}To7y3+EKHpXvbzZW@9wjdYW_bKgdt!}$OfulX_2wfDbyN}f#)H$V5yoHLiu|5Tm2R& z&|;&j;e5b{ryS2g{qvbAd?+t^Qr;9 z3zMU29~#88?1!+x@ui2*fW!1(h)`rx;4cuW^ukBFZqDzf%CNY<-eUZqxuY-x>I+4v zV@YtWA@irJ4qr>U2&%PV8HaH>Y9vH2{6YG3n3gSFA?~#Eih0DO5~-(&nx=R5z}rpR zvQhBj{!6YW{?YS3l4@5a9l54nRT7z$kC4)OTEeecPB~p?c%`NG{_!2h<7BS8(rQXq zi8Ag!2Gc|R^_Mrc3LY~tE#5Vq*E@%(w?AM8UT;}*V@CXy>M!dg)&5*MLdz3$_vR>v3-3CMQHxI*aM>L`N>q{vr6C$7K%-jj`$PQN+$+iDig|mV$6zNiZSY zkcj_{k|#U8T-GC<&TpG4ks4!8vbP3+3(!BM`2F}6T1c)MVIH!7D%yvDWMVkB&M7R|?om-~K)rU%Ur zmVv%)1IOT)BzkIdEsmS>PWaqLw0CX@+ zwebP4f9D46Q1AP*6{bUx7dvu#WyvoLT1y9qc8t{QSUxcDz=i!YeXlL)veR!1J4Ra4 zh%@+)81KZxx&lLETnG580t4I++x(*-iaXAScdyTmt&!}%P13I02>q8%880(kglU|N zJDI#djs`_ka|iFMP8&4hi_Hl1;9uL1inF%TurO*i#!q<0;=ZQ z46&tHgP(zstZIIpgdpK}K>Xcgs zgESG#ipqb|_=1N7_@P`&{ezH93%WT|ecCDOefq}VJ__<}vjRZejA;6aQ?Hda1b!Ob zn@ngtKP~)JRh{I*?)_r>ns?ffn!i*lu{-_0pIq!(Xms+dRBfDCLF&f{%hZ9o_s_e% z$c~YEw4wVoMiW%G+cL0+NZ1?Wy>Yw7Xch0?;Zi-J3-V5YkI%C#ocO2ZGDyV&`=@~B zTv?h0+fXvO=IGobi+~Ou_;b7av3r*ywI!Bs&A&uOWqyntURplL`xh-@;(r(~bz5e= zgm^6_=W{w<<*tD4|0RLVplk6b%>iY4NQdEa&^@bv=0jXq{hqmhri=E!z5Tasx~6Q` zofdMTke@%+C>`y!cajdift1I+YtWNAq8bOoXNnWuXfw^;9f|Bz5#3%Lcy&WTK_+Z6L z*17C-_2IDmxgjReAiVUF;L%7e+z9qjc~!-mT8ms-bedV<@Ry38i$riex476BQ>{S9U7ACEpz%d14Xa69uJd4N2mWh&X* zRB5t-nX|;yqf>*02D)yHCO@#U=$i0$$}xXC03s`z%5cQq!b1x$!}8DH@(us|) z|GDavGI6Y6~YHd*FQf=5#HfLom14KIUrtxd^73 zM2yv-%quD@+ZCHk8EUETbFo(Yf0Ay0kx4Q0J2#?!JREE~wi*26tq+^?AKTxzI##L@ zO4v5boAimR_XkTuulHs(%q})oEw*cl^)V>rgcp`jZ}-{1%hug$BbiI|sXzebZ*R8h zZePBtV@i0eJkE5K(dPr>>?7PKu*wCl-1&m2a-&-fl?f9pr6-d$7=-iqtJb_PMUkQK zao0mTZttZCF66dNrq5g2gepfIHQ1#Qof`7duwg{F(Bnl~xc=r6t7p2XC&5BAQo4rx zUC3B1I4^L_;F;gEYcD?{ftoo>ot5;5&Z?;h!^fZXHW|g|oSgS1M+W;1=EjJc`e?4DL)ic5?|Ar|{u*h%BNhk@&seLE%@-z|z>J8o zdMFx#+={^lt_CAAcUw0`Q6uz%S>VUhze`r7tM6&h(Pr1CyZ*v^bl?ABwlMSh(Oz$4 z`c!(V>BpoW8|Y=wrLJH1z#gzOe_p!|2-uExkg+xH4Zco>tyq(WL8MSk@VFDV!oW7= zKlw7Nz$qiYFM)`Pi1~e?5EIJGWnw#Pl> ze)!6^bk#!7T1}ebefzxR24R^wAkH;$;Cn>3DRV-bOK!dL0V+(P?L1f#z2VBe1q^s- z6a7O&Ox^yhEln=XoYtp9si|UNJ$ts}!!1^lhNXkFWdbqjp-%&eq&mvJG(Pc~|C|!~ z=MZRR(P88x?erPcVcDRd`C8I5W#lnI-ItT&jZz6Y-Ly-?2d~`I7kO_blHF|&Nt*&c z0Cfchiq_t(>Q?pdN(J=nUsVAaOkZz3FI0G4CSRgdUY-jjg9~pO5uD3BuIUhsr>gs! zzX;}2I6wI)!z(bGp36-O;`Fcb94RHV`-%s8vG4GGq+#qeUBu_@<)tLb%(l$mWgmYu zlZ;ULWL?p*qb0w3e_+P8Yw?FVvO54?-~ zDhS$r5B|~R$v&to!3`Q@Jdl^ZK@54P$bs9W$4Q_H1~^^E_w!u5#Vxx6e;mcPKs*{*S=7WzrhVpb%9m6kV z+fwTG*#37l505-)W)SLUQ+>}&cv=*7~&Du}-kKy4EVlAggw{D;a@okb`z%k|4Yin*|hR3YYjOIx#?M{V#;3L<^mo;>_k zU|AK_ojdGxadFPjL0I>M+TQD$Tw1*L1kpubh4Q!I)^=IJISl%n4&_ZpcVu(YO?P^~7@LD|kX&liK>iEiz!K_GX1fDc^nm$SV z;nl0DXzw^roM^mf;o4N$-_ja~s((;TEw zV!dCaj2}{G@o#ks6q-(JI&n258fYU~tC+`Q=-8va}qA_#ZG{&TU9qYya zJ3t|Os`yrVC`(kDd&VO(phoUqV*6S0>3fFchN`jMKHLi`*}K<>{`3OcaO}Ch*x4*S zx=mlj=WFg)O)lMb!r%cQZ_ag2Gy;8j3RmTZ}iuut?pxFSf#(&B_E#n!ywwf(!svtFgNt1FTgsmr1E?bc#PxnjQOY6?=pk)9a5W1zavb?cgoT;$35gai%V`Kg+%- zY<1Gt=0(fR4@)>S&slk<%;KiA@Jq=2u;ZW@N1Nz1@Hax;@vva|-`RAYD411-wc1Zj zw7z+(RQJ^m_Tqo+USBst$=B<&y6`uA7To18>v=?d92Co;Xkd5b zYe!n3Dti6`W5q%7PU78hhstplZ813d#w)A7`LH?a%~4DT(FF6urvMpNV_eRtz`fF% z*a#8zlisvBGbFA|G-_Xb$eZI9zSsj)gOufkG#Af+9yv`qN*rq( zncewo=SkPLj$Y9>Q!RJFyaP+Z2Xp5!TPn{)RPP$>b>%%doc%Aiwc|>cpD#!)^1TE? zH;=e(^NgF@`4_bgn%UK6SGEm(`#mLmCCnSG*G4j5ra8YM4)UZtd&*m*`v;OOTCo;c zdXu-&7LZ-m^`X|qzL@p?D4?=`yE^a~vTZEcn8b$e*^3WU5!YV7`W>tnCpE)S#a@$h zV>)O8qtq`-YPYT!oo+lvYl`!&VbXh7NecGN>*JNKq&$NhL0`21)f`EMCAY#AIhTv7 z3$17YR+BMuoHeA+_~RF}rY(3*QZ~0Viqks?rhABfiaqQ_qsm7)UpF6aO?mr9@zxEp zaUz!LSx%J}onD=@=G=Fc4UTL~0A+lk1K08zCLA9gK2lg1lc5S>l!M7H9Uf|vs#o?! zZ?y(dnK$*GZg(n*ykcqTv#?5YXtkqyj>U@YaoXJ}{kQy4#{wwYr^vhR^*k%HC+Y8e z@GjnZK=f3Sfz`M*Gw^95C1|_qalq>B+JuM5jRe}Q=Tk8b_Q6$zgWs}8T$l~59^)4l&>Bm>xQxZlmp}UmxCfyX>@5x5=d}E`l zNqJ7}1g9HAdx}ua9KXo1z)zytDSjig#a?u56 z_j_D({^J&>;we5nDSakKpZ%EL*NT+#&iU$tMDo*wd8y2@H!Og7(>C{o3IkQulU477 zNAPUDkk0 zUDm)=_MzKz*}#FnXX1kw!ri9a9n0E!0TJ72pSWAVz2w!+G3lCq8y$3W94MuG)w|=I z8?`7IKcQ{x?BtgnluM20f2aaj(RVdX0mP9CR-nNe@0y`Qqwl!s&-#5Fp*A8-J4cPX zAmpdspkmo6xrqx8F#*SuigxAd_E4(veuiUA-C zXC1h2pN~^V!PsiTP(}{p)y=M=sn`Lysiy7$;GXomhp~#I>(gYy@d+#FK6+!vg=z<> zFHpqKttE+XrS9h45*VSTBoT*9fvixdf+XnL*7ct8M-~dVgj%d6XAS0MU9oobfZYPV zm4cJCC5GS8JvbO^UZ4yWObl$d5t!Hg{(o2>Isn_p!Z7L4tEB!Kh>6gq8az>);pdVE z&ep!W9w%6`M%z^ACMh86f($&jRL$us>iyI!vFX@)ui1YFbV`XdW})APe$Idx{h5FF zhukiip8Qx=rkkp|CvPzOUv+z_*D$5$-3QORYXS+$Kw&81e!rymJ*ICRqAhW^-D=M( z?h+MtS?{3}XMG6^z?!QJ=j>f6?e&CmR$e-vYX6s_>%_+e`e~V@=c*?(9v@6vepszP zFkjRO@34YN2{%8SAjy3Z@mN%WRu50?E+U_EW8Wt?|2>B>j~9CndGu!Y*8dX%d(yze z$rqbM*0m^ZR zw+p%KUe@b!GRn|0`VJF8DEGcsNo{3gAgN{a^(LxU;`#L<8c!KljGA~?jG0QgzE{Cd zpBa{3(_HDR$+!lk-nb13Tz{rx_RX7xbD}YZ^ZOKY)*k^(ztuJtl1c*^f8R`@vzgm} z+012@$Smtx6#;rq{-}sTM|RT|nM0{}m_0ogwIYdf6kHER6%(N3eG2{-Ba z)};p-T2Ko-b2w8OItF`kRO|majqypl3n+NE+^V$?BNy>=m`^@d$}59Gzx4Byk*IO5M>u`n$tUJfMZZf!ndy z6w&)+IyGdY(`GVjbe+>_X8PUffT$B_DjHWgugKYE<{0}t{k`k)GuqW^M@=;eRvPiR zPA~xq?I#LU$q@r-ZiTtCPE?N%VvDFU%B#44=zFf#TUhs%#^`tkjl5dC4pS4=EnVPR zKuIq6LU!)$$7{X(8?I|$5-!Cz7ovlc#5aPc0h7)uGAAAv>Ibuvxg^|;-FM^Z6;ANn z*?v|YTBt3wU0<({+l`lB)pH&Z_EkTWbN{-%>`|bAKE-)Y*_S8EzLJC}2G|-feOoI$ zlz&@INV`+~1s%q8Eyne*5d+BtvbS|n5p}u9xg3BdM<_*3Hg)71zioo`yV@o7_S5`?22R@HA{|Mag)dw~z!9 zlg1q~uR?J^NR?{f26NppxQ6-2%U?Y+iPkIe!F(1#AMNQ-ei-RHNHhzmpDoRc^jsF% znFSfDN?Ovo#0gJ>Y-rEh)^J!g1GL z&MFEDR1(AO^)tC!@c-b>oVtl~-Kp2#RCaOi8%++1@=Up@-;F>gxX|62Un}>xTft%< zDQ3Tld~YEcUzYK%b*_m<|B5NJes__woLI9-q(S@%p@9&)4Vi z7J!%(cJG#kD7#DXRYZ7y#ZxJ}q`B!m3C^U^;r^8x5@(d;(n6%m!WB2-sJH_M?)%PD z!Tzhf^Z}U;)xS07VGH|Qz7_syZQgh(=8RNgRkg~$w++qwFfxqN{u40C&5&EroQf>z zTTET7i=1|M;7E=QYLBcO$*K)w?bKaU{=7mEgL1)(m0^?bPjD<1r7(3u%Fpt9v!Uj3 z=xcFK)3jA(47;LUf-0^Tg%|A`dY|g8s1%4aU(MF2w_nVf&x-z+1 z+ymU`n|E*>W|pQg01e5a3Oiy5$p9_2I*6TGlvHmJ3bzNa@NBiez+-z@uGQ|csT_2lH3da8g`~(=NA8MUqli! z>{Nq13famIaTs`mcRS@>UP#{`7kn{=IU0a#q3ATkok9DAw*%Yj+iG&^knU3$qZVLx z(mt<6bPZ|Y*&v1=o`$L{rSI1-#=n;xLqNHSkKkc6rkEnlZ5=DXsJ{s=%i!UE z^~EZLWFJ((g+?L~wl#q&3+*<(0CBs@qap1AOr$b%&Rr3aZM^@xKMU|i!OPMBt<77I zyFQRjuaPDcgQ`4cP^R@P;$R4LJv0cDCshRfBc4IcZNMg9%LVNnuV~AZl#MZs$@Z<4lH$B(140*!xckWIgEkatY6nI*Aj?4b09&m zKu2%PRX+fD@uHa->oyo)+S-={YjVC{$6f3P;V8Iu{w4OnWgpld&c*Kv@$HqO~_6X4~h9_#HeP!-hKpK72D8Pf|yG{rqB-eWZnziyaAGyx(QpI6Gc5 zzqNO-rgp54)34hdwrAg(yHjli+@b-KgrWAJ6A?{O=l!cIfWD^!{4L9d=4w6lT^D7-li zz{k*Nic9Bs6z?|Vmu~fo)o~l9q%gs7fc8bKosjZZU3|}^MnrsW>-XR@B6bM+%_tit zdpJJBzS_$@NoVagT1s7#7u^*WmuSv~zdq;=y$*3x1WZxy%XzW#eg^PMTAf;IU)5Jt zg5GkD>PIwICL!)-y0mCyM?3xh@5-&43pO*1N5&>d4#8HN=rajY$)AF_ZW}+Mp)%89T zRphD|=HsXY{V=FH8YzB=IYx=_oq(+Eco!)Q_+1($XRD&2@~RSGgL#Nq&~$ z^8VK17~+%oZllgq;tpx5TJB-@gL$scqP6a>hh8prAs=9OLQ)_grA-%x^> zt=ow0S`A&kGG&*5G5s}4T>nuR*T~h~gSqg^g1WFP*qa@tQ{?M&kxDd#NBccSXkgKa zh%N0Ja9nGGBeGGQ)@ebd4s1$kD|g>v8#>k#uAXj#PSb70U$}>!>pF2jI8pVgasD*!80&i0&FS+tiX~JU@_dq?6^Hl1ZYHjV{Zo^(Q8eGZp{l4QwXVXH zbdTz<1{ujH9?JE zjF%<`fN)(}e}~ITK-(p8$7!bZj8>JG-SoP%e`Ju41XA}c{ViuEWKA`P!^bfDoQ?(t zzMU;gxk+v&!pq76D+V5~DeF|A8})%$^BJ!!=wx&pWSwy;0p7gWOh zdto7!J%Natrx=5dxtshf(NZN@|Dh^UzLS3L+gU0u;^J#aF6_>ux>d|}a=7!@kByXA z4^alW2d3l0d*0oc|B8QjyW8EPU`o+muS!@afBnv^FiDj%Uc$ApivgkiHQ1o zo_`)3A5xF%$6m|sIY$i=wH)ERRCG#`a$>r07y~>eK9$U2g`O$`A^*(8mhN@w+a&{a zYN@e|a=MRnEA4)ef&MiUlDUd(30-}ReLXV_6}tyhKbu8YG6%Xwa)PJ2KSEkknEZfd ze;wFjnElc3wy}*}r)$uavjKPR;yU;{md0Gi4LVuE?)!=O>5uK693Mfo4X>_fmd)Pi z+iY^(nJqoTv@v7;=zB_8ZvJJU8b>klsX*JOZ|%D?xYqFr^rPJKtIc{<0K?{qzseZ- z7*wD=eqjaLQosk$eov@f*b`;}4t)P~-PI~7K2G@->FJFOs2vV7-xp1>kbM&U9^Wep zdOOWI4&*@Q0wig@rwD{$xA}OKbt)Ce5moTztbkD(;-4#~9DtS$mH#Q-M775{gB%UG zpj3J`iX~#5{9eAT2`TYDmkA~??>pSA!ml)(q}Fn8BiHS|^94`dOa~DIu7hu2?aYqg zEE~p^+?6=mb5S()j+=4NEWa6_rK}~z6%7?>@J5_n2e1Q{`AI4JG&H2buVEqH2fFMh z=ZhDz_`WFbPA|HAPy41T@biHsoDBT-hBUcGq9R5IjEyIRXJiftwHFh zguep>CSzLVs!^xZ#`~wNS0;tcr3a(dv6a>r`gZyteRRzjy6LNS`J*-W}R zC%{M2cHykm^BsDNmLlVEKU~`cWm$(antDx zgsgl~XT_3M>6*<@;36rykuB(*V2;9yq11?cDD+7_6l9>>zQIo->~$U*QGV}VeOoX) zYxQ42^UgS9HVs9bqIu2QG@2SeqbC`=o@QB886uZ4fFP$Rb4 zZt;zHC5rB28ra4kow*zwCGbuID1qE?mE1-r6(TYLVfOv+_Tt-T?X7{5$8|W$xTWbH z^sXYFgPB2$_+~h!W|cL%zU}8k&e|VOO2xgQtOX|bp1r$h5_me!0d3+rq}y(ONn_3b!f1?r$}-Isj_cO4`M$hZq@QJ zKRxQk+t&Gp7W{cyLDy`-z09TxhNePMbCQ>-(L9ah;xP0lS zDP#8fe3bI8V89TSm$jqj z(3sOX8sZ6+wTyu!FO7v;Om5Q)NkXiQgn85U1tb4q6yRpLJMgRKH|uoFRD*es@TfDt zl3b9F!e1}YWR}FG`SFcLipl*{Ozjr*X|_}$i1rJsA=bx%XBaMGWS!w^7RBo(bBF3m zi3^x|yDsK+%OAM5ob^Y%k<}=w(#@*KdV;qHl1P>HJMrJ(i=h{zX z58?aO5Wt8i>+B$KKEwPKn_NHuud=e^H_r^?KpqAgE<9i|xDj=aYm~akmYk+KdC(X# zAXN4yDP|e~ijAnf^ZN0{93{aEtR4YUdzxgUJBbq4#IVk2*;lnVk$%c1UVw{0oT{Mg zt?B6kBvL~Dh-cLbr@!Z%96r^TMA#!f-h z9+)xnw-5|XzDf%U9N8LpWErir0tz^xGM;G7S<)k5fo{!4T7(=E&XH@tC=8j%Kkg5< z$ffs&NykBS=y3^@4+Pnu32)y)RBZQXk1 zMZZ&}gzkz2qyDg{3K~(fy(q`+C1~0`R&%7kY1x%a-$jh)Oi2%3K-@}Q)K%dQtL}RB0R1D z3pgC4WL>#LS!bFd?{?5>BX_6^ErfIo@vw5lJaeNr|1fNs3jc)_4V!2C^{Wr#x~#g_ z<_GS4bnjH4s{Yhp;eIO_)<;SlI7@{pCrTMN!|Lt7LUaxDdp}SA<{5_&rju(1r;V5O zOWc(mT$^ouTUFhlTTcf3gr3vX3=M#XW6+IOOq z_I>;=z^exPR`zsfVLR7kr}uga;u9sQee6%*o?teuA7v`bm1N0+f*|-|w_9rtaW}r4 zYa5?t%6X#j{o8-ybHQIC%*$ugzEaQTjUP%sk3J2oReE#SOQ3IE7kK6T*Ar}+*%Ks{ zn)+;EWsT{om)>e%^H@ghN$&nBQABxfXr$kBzE`G(*l~hvuvW9LE?z#Y&g6cgwNO=$ z$b>E5%Db){=mQSP4xjf4AmEwXR3xq|1wM|T`GtqothA`+R4cYd}k>;m(=>R6PkTj?{XW-=)x&1)$(VWve%5o~LG{=fF>Gx5%jJ{)^Od+es zX7(Svl(73m$brCZIcnQ!>-~`c?U#UkbD)1tT;6V!>>3`JK|iTE+2rLtu{9x>2sf@a zN_%eh+xQ`_Jquu$dvH%M=Axf@*Fmdu2E48|`RKu?FA{wBT>g_(+%z zXc!ak(yVQRv^DJLwM`!g9@qW8-}^gmmZw?p*KeH4dq#tUPMv+3uid0xb5W4J$2QH( z{?_gI@i}bnl=&X~pZ&E|u`1u=b9w?CnLnwSq_6nW?alO^!S>-VX8Er4f4^OFO}bCN z8tU)-pbrK(@IowXwSTgv`HSR4{Oi{2!MFYRuLm#X0W*AqXM{}`|4{>#ibAaQ?c=ZZ zsL-tpQYpz*#6O>V5*oO7dT=q0i=)ar`V-%4^N!a#c>GGgtX`_WNa(fMUHfP%$Odza zZs};Y=iICA&+_IcQJ)}f7D{C|f#Tm@DSWXYL@2+77w%VlgxRG)^VjT6@&dl=ZX0X`j*z>vevh4dedxFB>T5_6b#v4xCNXxHaCi zb+@atv{>1Snsh*^oToK2<4U`?Gr+?aLUt?)&9gmPU>DYg*%Mo`wR|rx;qm0@abQ7A z(T?MBjolP`pWOLjA~xC8ai9TutL#`|Qfrm*b>-XfzMD(e*Yv7Fww%Pn8>Oxp@l8ig zhE&1O&lEsNK*Cm&j@;9%GduTCilKS$)C`llZAAVDx>IwL3}c?p_H+}H6e2R^p(0xZ(f@$E78nr!< X@NF&}f?t6{(|g?u#j+XDQtAHy=0ltL literal 23580 zcmeGDg;QH!*FFwYph$~DaV-QX?j9&m+-Y%lx8Pa|4HPf#9%zfZy99T4cZU$1AD{b~ z_m6mIzLS}=C$n?1*4fLhYwdk-5fBhc-@kdif?huoucM}gE6B#lk(!g6jf0JoTEgAN z-kh3?n~R!*kDZf?or{B$n_9!d)x*Zjf?CzY%#NCqT0@>%%fgiUi@A;4>zzApNUwi` zfDozkzX4$j`Ts_8{r?-i-W+G5w*bDlzpO<*fUPl3xz@pbZ$Eg_{`@n+$i`{>qnWt( zEsToSSar>MdcBP-|050!UJt7^53Ys&X4{5@eqMB+DBGX!e>xss+E&~hv-J#SR$}10 zqvn5nvYTcMo{Fny{%Xw3_@C+N=;$m|)YQ0rW*I9g*c72)ahMK*tQBv14z_;-YTeB? zou_MOtzCKRqGmR+>0oGP5arH03xgW`zZ?JG;`~3)`Txi0nDALq zZ}^QB&{|bhmA{v6k1D8QprfPd_l}6~tQg!L=U`XH_Xk)3dgvfx*VZd(sNl5JtJAZ4 zi~vQxllv>sAko{K#5a1#UYKw6FG~@iH9F0i3Yr`otiASz?*1u#`dRjg6~w#mG&E?# z&Erm_Mc7@qEuy5+P)A^FR=V+T%Xlx*u9l;%BHwpv_GGggUSpEy>O#Bsc#>C~GRv47 z(6%qt!^*6upS^X+x5p8@GwJi?*XYzb*U#c)l!M8;TF503^=b?DesXH8uOqV9j>|Kw z47k(CC22I-DWdhS9#hM_y#uyl+K|V*FlpLg3hzC-|LP*@v_aUG)j(P0T$W5YH^iu? ztJrQRe>`f?PE0VkRL<_X56Tp-Hufx!}=s`7y}-Wb@wr zA(H%to`qFe>okLvHTsBiDaYufEWhPut()MRw~1S;-_;}NK(Sw6Z6Ry72rvhYgWWv( z|8{Z*WIoO=9PFLAAmroL3%`Xnv06>uwp?xrsOF8=T`xcAU#NptfPbb=VB~Be!plqBo=U}l|dP;G&M}EGY+Yj$O!xX1s@buB`&JeVnKJ( z`NU9Qs>^hM&PZ~t<@;3}g>*f)>bZ6uG{9Qc7N=wiZi(VjvPfQpH2Fn97{CdQB=;)p zrjBgichg$Da}6NsAWtSJe+U-YWMHVZ#;9BhilQL23`^q9ChqbK;+K+ghyuJ-t=?=R z`8er>F|kNvhh|Jf4;&u_qd3J530p{P0bpr1>&Y-&L;A2(3_xKYDmNiZ80~Lqd=)H_jKx_T zC(*+$k}h941&3#luAf(Wie59WBm(T>bNonwxS)AhJaCE&N{iJrQ$Zu{0|{;E_?pK; zVp`}q)ynUwB#rTA+83EsNp2=)l-Ss`&?fi=LsA1>^0+%xU2n=?nS_+0DexCh*T2tL(GxMBb$#7 z9K1~r^){FFQ>Ym+?|FkRMs`)f+Kb|n(*1>0iAC^`QMWGQ4=olHV+)LMwiWz;T2s>f z-gk+<3Zwb%SWaydUIbyXhk2Y1#mTq@g#k`H-+}w>dk|osCvT{X|5d1Eu-ZqxK`DWU zJv?Qk&C;%V12|P}?V84Vkq29az@X|cmb57QfyXsLmjirEG2$GzOF@B|NKB~eb%PKeAw-YbTL3l(jzqz-<_z_kNySor`&)fqQWeWDDf6H@A z?*ZM;K9Jf!aGIj!tkSX|kp6Tyz&$_jV`Orux}5}r%hScAiy2aFg(x|D1}?*z%gy}e zU6N+lQz@xV8H8H{i!xa?UE*P*?l)ixD;@uZgU^?sOB6Eko}f%S9seFTNrV+atM`5P zpR6K?Dbs4ZMtSu_dNT~9Nm5z80$l1dUF*C$uNOAks;2%5pV+(fxH);v3Hf$MbL;85 z`oun#v~()gU5yZqWjUhdEZN~EvK5`^`%e{IFCQ{F$YnYXxx)z~Vc#6PZUHb`eiIyd z7Rl>>mQ%ah^{nvoAP2$4JQlzJRXy*H3bzzVkdzaL)m&vZD_1&4kphk+H;-GBea|9PGh@bBV_85ZmZ{kjIwEY34b@+99NM~7Iu` zSb!P-R<*}`BNDS~GCOEnF$4c#1LjyqHcTxeb`CB!R)tIXaj3GmSIAaNS9MjKv`-K} zMdAM4+a6_=-7TwiC+=J@wwE36Usv=#_$q6V<|@D;JezPAc_6uIi1&;{tc(tL9LncX zW+eGcZmH7P`9+fN_ODZi7yCIhisQaQNEij~XU)pNxA}G8b#G**286@_B zBZJ&1ViZ%22tks2%dOkE2j`!uD}+boFAt_dd$Z-wv1d&xtGY7po`p{;1SZH0Rz$g2 z+Nv_aKk3rnN7(MP~>um$BEL(Bmtx{BTF6qy4w^066unfTZ(> zxzB2?eGCU8QznyQj0f)d?Lno95w7q^FZfHq2*Q_^E=%M?sQLhzP=s0BoQDU=6&U+( z{pqHuq!3bxN8xSe6<7hw3n{#;9#?29x4pVE1=rg897c?nPV=WHc7E9Fe-TzmGeL7D z1EHD7o!A$OuEvhWt*w=0&xDwX8OYySx-)W>U_=!6Pn+e@kUb`DqJwXLN;3>p+G7!! zg$c}(MZYFg(j7-au_Kc(h4Wq=0LF#yE%Sf5=1Vt@Z~Z%d@a^N5c^oJ-8j6GMc*1dr z%Tt+S18v)$FH(=>ICFe@TpT5m0i&qeDdy;JXVQo7B*5P#M?;I0P@V`il%TZW+e(be z@{d#)oFS%5%cVT-HiH`-sxPUx+bB-+R$W>tq9<-o>rvY-t7MN7|EALNACidF9{a?v zgptS=Z@rK*1Es+EB=Q{if7Vga5qGAA78TAc&KWYqhxndm^1l|F?`h&O$`xAMe!sE3 z11*!q?yvauBzSd~{aYP`e0XB=H;@2F@`p*R3xz{|30;wPlk6%v*~XlM+r6miQ!GPf zi1W;m<8x0mt%56QK1&Acjpe88#NnQkcB@LD9uE$X_dbN9>VJ)LRVkk~~1b6bw{ShaK8NqrcbuTCQ7-lv#)972n>iW8bQQmRWL?8xL=IdZ0yQ zh}K{8Tn=CyJ(Ceak}RSQO*GcLyg1dqdviRGQbkg)B`IrLg!1uik?Zt9ZT zk#ARhrMyp!@wr*?Vr1vA#MOR8(#)EjIG=ZZB$xsynihvah<^Wz>yoQpU@~ugb1YFW z`#FEC?|H-4G|cVfT*-a@sX$a!4CCtxvXT)hc>4%r&QM3!XRoppbsdvYrpiv!G$dnl zngq-hn!9QrLDRx1R4TY=buWME^P^p1>xU&{J>@EgJ0MlVwG2LSPqHSf1FjB^?D!+d+>!Q0KG}ZY5O79cIIws8I!P2CR3-khBMHmTMnUsv>YPHjE)bB6UAp-ay=8&(Hto+2`0ANL}wgFN8AqemPwItdbv{)353YH61YN z9t_=$R;d0G%1c$BHe4}pJ7;P`-wpX-YBglbrjcAoj)ehB=LZq2`D?S0(O7+-CO+_1 zXlzO25ncQoPPAx&tI7FylGySOmgzc2Pd=4HKLjEQKaPHy zF#SQ7X{?X$L@nM&y+Hjv519neFtFLBn>1r2Lh-K@O|pLFyppo0#_Tn1*=P@6JM!G4 z+x8|9e>9NtS3)G;G)3zEk(7tiPt2e+4H4*WnQ<6Wy0iIWCzDE=XPz;sq*=r(qs4^% zeh*VA{pkI3p}98o_)i!L29Nt-NDPzhD+-$Aak~9tm0X#+Np=ZtcU|qMP(A9yXHF-ZaRfEmYd{UYJ>3y>g;~|wS z(IQe({EwcEnE1>ImI?)`u*{;Vq%t_Ca0xk;0ml;9wcF=NQMg=q^rSakw3K2Rx)zscJwFLs?y=Np=hd zSyuwwV#((gw2UKLkeqA9oQUg$aM)@hnlwoyMUleBU+0nX%k0p>H%6TKg&j@i75kGR zex5tTouEm}y_mq2^iruXGpBZ0rG$yG0uw?}bf3iLoO^J@sc1)RIyquPd5%z`l8oIG zfOogX4c5b!*7mE*c^6?LL!|&e-)Io!=Trr^oARV8kC8q3i zW|T(5$JoQHv{2BCpy_J6SLMn`jL;E#9R7;0=M*+PmgT1@LAnU9IYc>QdOUv<@gr)2 z55&_JR<)`;R0nIZ(#}lWTBQ~<@X<8s>-R!p@A9RculP>GW^{?djYwSaTRLJ*apa!3 z3p+l^_Ce4Qjm`&hC3%K9z8+l!nejt}fm51d^Efq%(v0>4snIacFf&w?UQIz+8sPBn zV4mzFH%nVAaaZ|!WA)200;~CvbV1uz6Bbz?+Ig3yChpkGhsj9QELLK|W<@H(dYolN zaF<4FC-`j_C(p+yOKoD&9|ZtsAS=CWmPK9|ER6pgQ$o@VO+(e4k)MaGSseC5g>H3h)S3y7#A2Ci-(Nd?x5~2eM-q3jDh;S zd5*%8M5Zz~M=+y-Q!|`gLy5yFOfmK`BZP~;lq>V zWXllOSgHJ|R}dEU7A~DaN{1V6LPO!JQ8>|!lhpBG-rbb|gFw~J#!iSOW5JlX?Hr5L zGA(cRnNv&>&QbKFa+9YLK_Jz86EW(9HD)lOr0S6Se=G^ZyxnF z#W)Oh9tIgvB{Nd`L86{?1Tp_l%cn;~kaA}W4cEnn0#`^bXNYq01&W#Y|DfmeoPjOL z#)!7$ulaKvi~pGEt|^k%1%~9ES#kBGNG>@U@!pGwsBD0m^BFqcvxK)q!9)*uBwf#i zvu7IMzLdxP9~o?Rv|y4=V=L8UceSJ-!4o&y{ju#syy*<5N-^R%r%F+K_k}e*PDB1G zj6fJvROs72zhJ?}*Q2RtaKx_=Thu^3@b%B^h~k?P0y6as6ZS~{6dL)~vmOU5h1Kzm z@xb->HVE~XxKlKrjM6SpxTOZr4kVbc=fy(W1dsk|?ftQNuGFYn6fv){4Pq!U!G4oMG;rA?rM4!IiGe6CiIG9seEO zs%O921274*4m~kOt7(#?m3jD$^~-z@{S}pbl)51{jo@O^9C;_EF#PE~s^Az$OwKR( zyD7-bziX!=3uNRl_E;xlk9ir1$&_QW;L+fJ^m8OPA@~BeQ#VilxC*Nhyd>{01vrAu zLTVKg#}{2$vQJ_gl$Mhm|KrDA5_?3gIv@SL$tV6X^rIaepj$z)sOjwmBEmSx@gG?x z?gr0sFYmR-W%TM76U_xwTW898C&{f!yMt~}p9=xuxT`(~eJD#b9C#;+%-eF26{Vrw z(O07HuFfKH>)eeWp=6ykn32g@&I*{bU}UJqBp($PO9+nCC_#UNSK1#17vr=IXO9R+ zFw#r!xBs|{=lw!17OMNr)}0EqyjRy3kIV{P6>BBUXZ?|?#{4dR0Te`9aZ9x9@7%c@ zg)>3bDF~Lf6xwnAlG$5KLzd2x2VEpVOs>MDCWqKYxeX)lG_gp!jhIKU43G;IP+!$6 z>Su-4Z5kGsb)hr3`SV!_q!4W{x8?H$@{Hi4tVWG`|L7!nv1^TJncLC}N_X#iuLGEN z@A4z+t9Gc>K1_+~LH@qv>T%m@=vT3teHr!Wz)xOhW?T{h@Lq_n)HcmD{*AS!;t2UG zABuFIX;)d3Y1TEp=9kZ1s2Cv_nf>ufSaHd_w_(xZN|}VXo?L;-sORjXRl3y( zcbf=9LuOXR6K9;t^#y3{_fjerI~p|lLYlPWP|t`XASdMb9+AGGzf)qH6YKP%U=4`@ zArB1X8`#b1OjHQqfq(a-^wCj`oMq{wLBXnl(3IiA1oqaU+T!4I z*Ii1*EPHUrjV`o)c)|4H{t4Pj!z=MxZ>KQSq&fSuWkVtd$Rwoa4QBMV0IF268HCcr zb9M_>1y4R=SuuH|A6C&;X%%DGCUv--LbU5^S*;udsPjYAt$|z6X`%Hf+)c5ajpgUh z*ihgdiK(cl2_GV|6t|O`AiZjV*JeAh#mbnk&fur zb|VB_BH6@GCZ)n-ZF)@Q29x~ITqRb+nsId_z~w45Pov}D>*QRO^;dPB42h(1^T`dS zJt^`D&QU5a$`XIlzLY+n_jal{efyi328Ke7BJ-;z`LBWomQ_kqH=ROBA3n!&-9^an z+O8A^YT~m`wq6*0KMA0M2Nh0rF5hij_lcf5cKd{3z#WbNfdn%|q-Aw3^2rngdr>oq z75(3zk9NZ&IcD(vSk9qdg_v)c|6UD%gQ?!>XJ=C!6P-84VTAI~A2WnyQ_Px`I#*|R zd&y`spO;Ip0Kl?aT{iDbk4T6#xh1{W8DTAdHx#Z=jGz}S{Mv9=0H?$urZ`5H-fK6Z z)VX}wA_ zYodThPO;J9!o*e}&~3zK@ok z=$s=ZCku9PL^lhmq#E-4NPrFMV?4XGclbyCNJ4|;sFI|?@FG>5bWD8E= zw9eB%PAhaxl>GRujE7F0yrm<{V+3qh{kDA#&Xy;Ad#55qtM9%3fszc-W})sB9R3RK zz}?RJUg`G(y51`NkE?@vKe?f~3=TW8Ak2T38KC2MDn8=|LK~jjDG#R!j9*W6SiVTI zPGNOBw?!m*ky3d`?_FrFMiGOS$q>8vkp8q;hrPNW%3?ASAo4tS7Nr_!Q$D<==pam+ zqUj7<#evL|DllW5`jQ}FkmM2&Ia2;I4Vhy9Cw)5t(PcIc89E<~jU!na%nSevxPYue z+O-|+ohP`v25mBN`9fT1Q#^6Vj=f4U2#FnHB^?quKUcA&<4AJS^iF_Ns?ydht8-+R zUa3twc_DG$xJ z8VJ~mnjoby{nxiLEtDnOKF`D<#*FUz>srj)Ws~fX*o%K7++5k|!PP}-8CpxaF>t)a zWD=5Wbn($PqzG8b-4(&`Sk_e+fxf%eU%kFjaeX<8rl8Um=F_DVut>5o6v*KiLfG1* zBKGCp|0ml!=hsqY>F^zd7i>Jf!J<@}|3>?zu30P#clxuV$N3yIx)oQXE~3L4c~IS3 zV0e+39AN*PtcFOJhbxc)As=O1rFr&X3A)goBZnhLpw9-#>u^cTC9!3~Dx-&_Q))TA zlpAM=b8XI^?Z*3pUPzHauS}OaNE)lI5qDPT%X6)--3~-6?G&BZR0_VZV}r ztIP6#V74%;VyZVJy9u>gEA`gcqlo)QFOkUSDTjC=D(e^z9~JEr%KX<#UWhW3>2%d$ z9RWyTZUd_uE4r+Awyin*TXrzk=mm@GN?5U&AjcVT)$`sA44=oO?H~J@dw8`w%Rm>9 z0o#4m{HvjnvC5F&Qf4*z%J!+;bkSbM-m(QK6(C*E@lcW}T!%|4K~g-rY=W0Kojyp{ z48vrM?i*1*z=@cvXL{Z^+!yl1sXH$S1twxln2d+7xzzG(;)=J@Jc@M_b4dxYe2nUI zX7;Tcz6iryBZs5mCsq|SK%MoudhF?Vg0Jf*%B}y~FR)w?t~>GV-%s6TFhJ%uU2JtQB&n!Lx2N$RQhSY-3#@VAo!gn(rYfdQ(-z z;)`32AhC^#T0<8R}GBTKn$p zuC=I1cNtgW|A@yaQ&aJl%+fNxy{Pf3>SYK)=)N(((=H=c=uRJs4y`tMMI0ZwMl|4+ zwWG?Q+y)cWk){BpO%d0S0!=X9F>WAH(iM`@#G$PRZW>-JIjnyW+fUzIC7zCf*sPu> z9dP&L9tP1aV|-?(MP3!B z4;RImWR~%&Xr#r-n1a0FM}8mHvCrZJ>ws_H^;{hSF>O%-KbDr`l9kRX+BH4fh%2=d5-NwR6q zPwbSkX(-ulukt2T5qG}w*z}_vt_$kZO%OZuw$9g@Y(^OLfoug&F_)QN#-*F0Wm-10 zZLV4PC3C1zID$Bo(_AG{F<`=dgSc|0bJX)GxZjC03jY@W|9WUEF9}N`8-arhs*H_+ zgXvc;D&n+Dg1Nn63<&>=Nzx5xs}Lf4E!u_&j$X9?Y&V~w;A}n$FtN_|xF<0^DA8Q$ zLrXr3JZJT0RMs6-N$%t!h&b@K9cp*%C>zfSfzk{nPY7a%ma<&jMsYyVeN*H)&h!29 zx#kQD{0gG~`r5bjUD#gH*anv@LLJQSzZLXyw6$Gw3av>(BswlLNA+}`i=rGbqyqr& zh5-pz*&P4{q8cKOA1_5iO(h;1K6~XX+4wfns6_&lqPyznR?)k`Qu78scB8&r`TD25 zh@|cs-Po+^@Qm$GiMVi$puT=l;DH}ZiP&(BAU*K3Go{tP&UnAhT-!XCTc1u8-Q5iE zoUIkz=}ok#XYUF#Of5I7Of?4e^7|cRwY3Riwe{V_mCsh1r%DRFmN@5K^@?>-X$ z@PYjLkl=yk`>cL(RaU8$$Mo|_a>q+#C)zu4{bKzGQ1>n^9kA!^pGN9T zw7SPmleTwba|3>`^?QDo=WUt2o3_0y$z!x|mT&oM-PeNG6$p|HcJ%3h;SL1}#uexO z)nd<0o{XHTqFtgs_M1<>F0V`eX|HQnxJ^yBhVG|%s<NU{Uc%8N1C{xj5jMaR^aAtt};AK3r7Rd)%E zM7-I>jeHNpbX#qb7Gpgpuk>CfehEp%{G02);8zXwHQI@+%WPV|=DbSyQ0MC3b28)i z?%Ay&=4NaOq|~h5a=6m+5DU*kRMnS_}y}z2)YuCG8bU&vW@Qwl$7=7 zq`mc_pbaWUWWo>rc*K>BX*nDePhVWrzWT<3XIk^HwCnL3(45#*r7N?==SdZT~BwV|%0g8>@H1U$8b6k8(2kO6FC8_3aQxMXie9Iw|E= zeLOemo3`r$M)VnZ>H$=k{{g^X5az2b$ZYWlY9G*S@*fhEvMFg$G=gtUr{_9BXz%;s zf`T-}Q*O+n04Iq5gQm~tHF+xc)nvAbxu-&j1w*0z6rKjH zV{O24duH-gzxT>pEY~#gzsHSYP2~^FGcxcf*`f!sU7M~aBgg~h!;MIl%2j)9v&AyS z$R6CrP~P=)wdgJbIFh~RzGw5~_YQT}J;?bqsqp^ePKd zlBOP8Xic1e;m;J*r-6%e`xpLEl*w?&+$jFfLJKp!T`YC20YmuFE%D?dG&4?`%w_=q z6?Cf|nMjLOiBG&fBhW~jyKybc`7EI8UqDuZa_|U>C~HK%oC{YF+t+(;yGqi^cP=@C zgLGwtWinI_ru@pR58s9%6niO1b7I?XKQ0ZJ;p=<}KGp!!%la0!V&S5qN+SLwmEO!u80bB3cZ9;^{HO7t6 zkt6jX5Z-78k%93xIcD!(ct^Em7I`~o?-l|_>i28{_*JfQfFpKr8^?jE$AIj@=eMYu z*~Z>0#w7F*y#;{~bJ^XgT#M z*v04Bpv*gsen{MFl)PH;PP4kPv3+0=A%Yh(ZU7I0)wWj2$Y=xl9{@xb%g%R1{}>|vRTrsgCV6N>Y5 zdZ$%S$3ge!^3|TZ*0=G}{>Va{q}^10f48#jmV@4<08cw`yHZY`_x)L~=*O*Z;lrPv zn#UtGU3s{R-8BD&_NESnd`;z;v+=s))8@9u0l#GQ_=~yB=|_v*CG`JQ*a%z>i%4dA zE?kpok3VgXTFy)~S-xj;$ReUa zcyF;Cjm$ZM^cO>_cPf9bcZfi1AH;s#l+F99Xb^^jMfPrxKDw2hXFC6uMR???)%yWO z$?B@pCgL{ssObUB$%z(yqx>bbrz7A9X!q@m&UoMQrLPPv(sKEq2^Wv-2QUyW{J!6~*xqg@-1oJN)5dgl@1wpQ%~8PHZ#5{lyT#P|7A?U&z{7}re6 zBh+(nY8~KV2#6h~nEZY`B~nqT{)TDm4q2({updYLVDdUV6?>ap5pn$YO7)W) z@w`5ehO>#iGEAxL^+%+l=H@~Lxp((82{}TSVWG}cR{bWvhm`m}+qh{?t0*^q+vZWL zS$kRY_)44656Dr#tfEw@FV?4GI->_kG0bb|t^q!A8Mk;O-iiK$x04j~c5e4{r>0ga zEJ!7x&|NoXC91O*Ub)d3ode7G(w_S4iZ@NzF%3(Kg#)9j{?ayi6HJaRw4W7I1KNEQ z*uN8><{wmhn7mEd2&f%c&PP$=v>zMmzYMMAtF`$sT0Y5^NBbkOW>z;^7#vVr7^(sc znigYv_mB3WhCb?%?5#!Ax-y|&l?ut}gVGJ4l~iCt?5X**oAR<-j%T6|DpaJNhbvo! zW1fvy!1lI1Uznwc;cJ};&q4lw74pE}=ss_1rF7Cg{&&GSMkslbMht}Edg8Fe&9zw` zQmxc&gpqTwjl3@1b+PZ&+X;#7iX?Dlj4 z9vcc5?J2j(3?;9hAl7LN=PeDWFwP6LkH9VJ)$Bk)11_givtTY=eT{1Hy*|0K^7joG zbn(2oe!^~zOV7*2$mn%@6uxW>G+a%uRW2Zvi4#fk8XBJjZVk}Y`Ny$4O^UQev#eEgs zl`Xw`lV|zp-5Orvj9QK~Yn8zIBf9>69byU+=2kB*IN}I~=Qk6Y{|qo?9WHyDK5(^r z5pU)2h`%@pwAj9O0ti~8+!0UI_#%fc??=1;0dKUDy3}X)JjF|#ilKY2Z?F1QZEvG@ zw&)A0w3S=8<&?*oR{#p~n82@XB*$}%_eHg#=@zh1{s@*fb}qaoQQ+Rpw+NKMSH zv0rI*NE133akdCNyLfu;_WnF0(9#T<8-ImtB&UIptQv+o6phr2JJiP8gV?KKGUi@5 z)(=US6z7);k<;M8wWMb~GqD3@_uxww2iqOA>ToZFsSuZLotcp=@r)1Gy~{U5@o_ga zf=<@od=%z)UP7KqUS=2Dmq!NI(&{5}y@LXX6zt5z&i`Qf-PX9+SlFbsfAy)-JFnaF z8V&#(*##D?X|vo#v{A}p7*@?IukGH?m3dz7Y()?vwpi2+>m3<`u4@p`Z$?xeq$QPs zn~fJ_pE?(suc^ZQKwdFJ2K7SD8eZjKLtC+}9ff@dc74C#{)j1IY2fUe*7tI61=2&~ z6hKAAov)`5GX&qz2BwE_`_vP6$VArh=^Bkz*#GMwX~oJCy)C}9sq?eI=ZX0Kn0&km1?|ShT7`!MUx9~SBQ!Okv7v(*g z#qbYzA7w4E$V&Z3uL3 zl2p_fCz2%-H9B6^u?-aP%yL?+n9>UOWO55V`JF|=_S+wCXIFV$tLg%jZxBGo<+mbO zJCrRYdOVt29Z~dWr8_KQ3^Dx4vhpoS&i5oxn)+Y6T~xCM!c~6{kAuS;D7*2KM4csO ze`0ZX@oJ~MhAi{57Gk`=DA$3Q4?AeicSKet4D$!<*fYj!<+0ejeGeU44k68sGwAto z)<4>|quOQC9-~B@+&4Bz^RO{Bk9(|p;u(8=TUYf&8T#lbx#?ShzJDKy?(=9@vjXtB zc>8`tT^x1PZER&;$V$vhKFQf8Dynt!RzM5>{(j~y>@0&hr1^_a-v(ZjGF6cao)0*8 z_~M)Udb2iDSrplok01Lca4CYU4JM*e=Jq694%KJQOxTn*6FX7tF~a*g+z*XvfYuTO z(v(-qjk1-eTPJ@7mi&$=x~7=FGD9zmDw-ZuPod}y9%Tb*`3UqzCh9M*8zh$xY1t=x zY)yhOtG=cATnDgZfApmm!ZB(q_SM)FT(@FwnG8QE{B8kCde1 zy`Ws~fzdZzoi4yhhLOA0_}1)%$`-rO=p&HiXoI24gLSD^deo?m;NYNk55*`hhQZwD zm(LvvZG$LY8^FhqFBcNPc@Wkz$Z=8 zcg)Yn-l9p$XqSK=~lv8yO+Hx=*V&-?Srg!|xjh z$eRh4T|nBC$^H`86=z&Yvx0TNR@Z46yB^50(8bu7BeKDZs3O3oINtkMhJLIdq=!=a zdxtWsMn()3GHvV?flY;mWa!ZvaaiE>5@jCLsdE0R6|_TtB2;8SEQSOeyU6^wQnt2R zfWhZj>dvs%@^TTdO_t~&+DQ4-w=^$ZKJ9cd@(Vyl1ql!qJ#4OxkX#7RBRnNvaGH`0 zEO@}pG#FM_5{%FiAuFU0)iCfXz6Bcky$D>g!j|4NJ!f~_Jd|!&QB^;%7WZGw)SeUX zs0`nT>)yFuMNU-W-8Hn=Txo;1TN+N6jfCvSo2IT=dy_FM+an$KiZrJZxP|o`}djfdu!3QCd;Z-5A&lV-0<3okqy1ITU5|>VjO$OHb-xk zjj>`{0}N_aWtV~+KR_+4+P(c9TBFP8%Id-d=djlZ$IN&F;9-7q-R0-s^0h9RH`n$u z+W_r8u^jz@bAOy{R!vL$$VmAkecbLReUc;|l_GV%pfd;~iw6SnUT$Y%yL;#E9T=Z8 zcdt+G9q8YoR>R_ElD4N*E)*|)^UW-XUUr{4%Jfq8j1F|Y68!Fni98jaOI~6c{X~1^ zsuc#Z*;_|l;w~=U?ueSbI3$d3)BW5Q@udPN8dLN&BL)&5BtE7J+$n7+uEmMrGqlqm z8-DtoYo2T*?NbM2ynDZP{&N(X=a<>;%%fo9L4X}leClLGnFbHmgFZI|Ca_zHsbLKV zQ+;jI+14XXu48M3cL>DEj$|>ec~;lw3W@I0VM~wMf&{jOrK|j97fzAnw=Ua%2F+4M z^-NvEEri>yZnjny>PtW(7BMG66Qh6^+N^-l1HDVJX0hjo`LS0Q(yuwCU2AgOUOKQ# zavC&l7c;H}kozNAw!aZW0M*=H)}3m%S7!CRU}%w2Ez;WEcBOxf8#~R{{TiXy<8%3K za)Wm8M!&QsYuP<>iw>TomjDmD8HfTcd`uB7A#XCWSml3>_rJYzQ}x?LJ#qn}N0n-4 zxdL}ims6DjcakR0YS#hFS7IWjNnOW`lnI;LrF2B?8dkR%Pv?8h;d{Mb7nkB87dI_E zvjDLty$Q1s%dgG@c1=66rnk?U-5i_-vRD^ds}p%Yg7xd zDOxKrUhMI6oBo&i_)I(o9Z%A=xEE2rL*K1GPJh?t#k|_D=LsxMu3_rzKbf9#34?&S zkSD&c(Ud83;b$(RFY*t8J#y!s(QXP4ftLJU@veT~C|0RXCcl4V^K7@6E7c!r!oSm* zfrU;{I=9crOz4-vW^R^p37dz}hzmkB3jV zuMSn*weT1@hPw@)DFir|Sa*)$HDpj7Gx)UZxzx%MEq^?1USkP3K1wx^hcjX0g1v`s zj^wxe0G&*QMdd8qmT6B`ePN=WEWK03m{fvf;mX{PVb%)X#Mc5r}XytM0K@%Rsy*fl|U%j@N_U8p&@@A)Z zSEXUI+H`Y4x#*miY>gRu=vXyBuM{>}_Qz%Zglycqq|G<*-F=gXCxdpHPL`kk@y{pQ z=`pB!QZY^)X?{l&P9VL@?($T1M6E)=0w(CwM0m|+1!}iAD#RNNXgvGz#{c)( z&}5KND(5qxT^^n;)Xw!wPc-MiPX6+#_}VzL+N!5K)X2g88ob$={FB>XA)xoEEfA>v z>N;kPM77V13%xf0hb*>*pAT!(0gc|f(VGw7VCfe>SMzpBX3;#upET2~DCsaR26yKs z8%6PZ_xkQv`Z8jGj!h_*<Bru`^!VBpPg% zY7-<6FxE~lQV95WOETYF3p0wQtf|@6-G$qpo!w*F$01%TZjz&=NT>dOj(r?_W6lk@lu-Z6>9Br4JQ8dIV;`TcTY`x&ZWH1oEY z`M}PHcyxcko5gpHn`MKNwb83^GjNW~K2E|liz2z-gKVN1a+%V0A9MyTY{gcegmdNH zosm+FQC+k{BleIW(9*9T?c~<-GowPC^{@U;x4$rE8L8g1H)5XE1d0LL7VR*Mq8=6Q z+iddudd@(J*rXHi`Cr#9W#q}%PpAj?_7^oqwEV(F_pX6uf$NQrgY!zI0 z5a`VJf0eDis^+?R*xxfe-jBKQx#9u2J7N`O-0^3U1N08j_jQqs4S9`ZjhJE%8%hlZuEt?GXvP~C<=R8moy*{u&Qc=8?94m_o zr*w@um?m-e>M0~qC;cq^`_P1jd+?pFOnHbTTYRY$_FWc>u913MW^`xb#+PXlnAi3PodRSnh+-Z-kB>)Cc-RKyNLsIokfVUGPsPMMs?xBwdTDyJ1cG z1ssP_E}wOeWv!7J9qPY3Z4l&nxq{h}Q8M_bB(U{>%1Bzj#>^cyJ$uJL)$f?Ld*74q zZ5CXtFs4<>AZTqqU|-zN-+l+H2(~p*ih#`qIEX-7utr`6cgU?GhV3lb@4CmpUm1N? zZUN~P>}1;ILAgc?F#^f}ztXkIXD|P4s|P|r`wy^+UF{I%@A3(jg&oJZmxsZoJxpUI z;KEYTd7szH>*>nTt3M$O$+Q1Z#|%Uw;wVGkL9>4Ct{|*#E5IsXf1gIfH|lCBhaEJo z1*8N{MUR0CuM+}%hc<4Q_4)gqUJt)6an(jAePn%pCZoYUajh6hM1minHII)9{%}m=BB3V_IMu8$fj4 zD4i={4G%W220iPX48E{CBS~#9*n%77QP%bGFGuqQU`M%PMXlvS&hkGxT0e4WQHs2Q zYi?kXc5ntzF%E|&jH&h2%WuKAWvwQ*=1#Nw9KuY#FFw`T8l33CCE-jwWw=wmwi^uLn4b?GSyMk{+|; zw=O&JAA_~3J?};C|Gu2L9Vb3$FOS=Fy+ofnp7OG{X{iJnW7X^l0K|3{?o0;DBYnco z@C4=F2(1yE-s2`8VIM==db<-|7&qmwpn*L{*fACZ*m#1oers1B26k5t4w1 zg{M8w&Bx!>5w~xzJhu$GOeCMSrWfEIuP@YjCR0MuJhS`j&G%H$ik~t1>dmeEey&ws zyrjNam9xEh&SG2cMLpe`DZG0^TU<0WQO0&Q_WN{Fej!Ts|CMkaZb|R&|F2^n8;(rV zN|Q`+90!(`6F6pNN@i(g<)AV%Ges*WAe95ll`Bh4b7kg99654;;>x{BbAc07RAeY1 z0zW?A-#_rauGjl@U-$idKAx}ZrX+M zidktjuw^?;c;$iy5yzT)sLRMIm&-fA-}Be+g^@>>-mza$t`Xgh^SsyYn@`qOhOcHI z)du(hQ>|fB;gBQ{n*KhFvfbTg5Sl@f;zgBV?8D;9XL=3AR?YtljlZ9RkoOkO8L9rg zy+tCT@9x4T+>Vr=WaDxrWMHfnk7U{BE$Q4tAy7?G!+b2<@6boRE@}cIQH{ySS;1bA z%PCAj{glM-UM@JF6jay_Dc>TcRL6O}Kj-*|5)uV&QiTUNFR6=gg&@AK*1#QhG(9LS zLCMPw5}GvIJY`qO*hvue%HS1qp{mQr&sIYH1KA)Aye2uYUu#1$LxtLiW!iNqiAL^5 zy0)1SD!3Df<0s)h*)*q_dZ(F4?)$b&U$f}${_pyQ&=&>IQZnh)?oM@i^w(b;{(M1| zt)7l5z=$q?&(WPK4wA+>i6WfF!V*2{{1xFDenZ&c1KFEazDs#F;tLT0!!wS&R(TA` zuxG7&Yfq(S)L^_&3a(P@6IUkc`{w+^;W2{urH(2?k=$P61gXScSNLJdfl3x8VKB@2 zrZy0b&qGZ(EjtOcU0SS0T+#qpr~XT9W&DEVVS# zQNk}CQAQ5MK6%!bzU{CkLEja>raTFeu(I3eYgb+kjAB02Cf6i_mR>+;Fvr!W6b{IUa@g- z)Fktc0ibd7u|h}G3re5p+mEm#<47TiviIw7YIJmz##M<7O)YA z!1lrF@51|@3i4>FgpiP!@{Bk5zoz>Q^>Q3Gw8fpf?5o5W>K~qd zx?UrD+d>U^O$)L)h%Q4F?U_$O&J1)k6RUxlMA&z@aU08BhC-`?&XAS{ zG<;}1QkKvl9@=*~bkn5mfa*=E-y{N;iW&>3PupH|sq89ECk)29u)-TJe)rd#Dh_8n z_DHhb%Q?@8C>aS!bn?x7=(p)Kt1tV~F3>`*_8`Two0EWo(JZz(&r$~T;hE4nZxd;t z)ic4C(Gc?ElKXi3^ab{0@?4LbAGt?&Q-vl&x?QvRa$4?l1jup^`+Fh(Cxt^F$f^xC zbFZUXOy147u+$TAeBcHB$fEu-@=F=w@OpEsUc2&K-Lm~_wFOPd-;!__;%(KrTBBxa zz+gSTjC^wubJ49!{8M|=(3)Gp#Xmc9P;Yv8#wZ8n5l?wR4^rCn>gU{9*A@559#&Mt?QDsb`wC)FY#GH0x_bx1sAe50d(QD{ zt()4uZbf7jQrB*HfS4e`m7%Kq>3EnO{L!oNBQW*}ZCy?3wx2B@-T6arwtpoFs|!$B zPRA2A#>Hf^oGpm%iBm#;C_az27dAF5fgNg&vIwph@yJ-M6e_!2%Vlg6peHh!bAWRj z6#vu`G6Pol|DwD40i&C_`@BWoXFFZ`vZ#c?u=8x{p>?x+VQP}^t`nO<0=U`&k+qn`*nc{eVkQr*7mcXf)N2QmqAgJKB}VQ3|ySF;c5029$ z_e6nj)Op*619^XpJtG>JF9etIRbB+7ZFuE~_%c_CJ1yLFPsy@$?yJR|T&l^8Nn%!S z1<**3n2kfV$LjR~?k>v}6th0lw8tLX~Qv0~%6LCAYX*^e_ zEj#m;zD)dM=|8BG0M8nWceEob6w+xIMn)}x=yA|2L^uuRQ8v+0WumNr)vA_;syMsh zv_8HH3WwT@#ZP%p+ti6-+4kF&!CR{KsPTb_B&Lc6K5Fs{&E>5h$?9N8auPkyFPPS! zf@%N?omP^HV@cM`Cc+zLkYKjjm!QEhxd*q(?;IyKI)<$xg?Bh6w2V^eK^yqGa~*X8 zJomp(-!t6liA@D({IuJ@MJl=9etPVwU^iKcwjKGvn@>0m#E;@SiXV96kwU{EOV0<{ zjsmFBYO&Qdo?JfO9IWYV++RUHD2vXBK9%(eW=HlsNjQZD0K*YaBknLuJzcEna?$8Z z0})zw&M_rye36iQ{w74<9M*yt`JMUrkvc!9Tu67&%;LFVtKK<`v!!SRbyY!&Mf_${ zwc%!GmiB}kfLqN(Yv6wYk`fv*#{+?2;l=MHph_kRIOU(*-=Yzi5H#|Eade*vY+LAD z=#7HSCj{;BWt3kL5=HwKLR1nE-cXa=qJS#R_2A$S9Ay%}9{*tesKhtRsdy!+1j5eM zKkC_HB9L%DqG}Y#ci7Cp#=Rqxm)K`y9gcn;Mn)}-Pr-T)HDMCBj0}r}i^3FhN{og8 z$vz(r7tdzTbD}GPri~Fz@(o}XPjprE75`%5OwcAhP+^fDzdbL=_Zfc9E+5p7E+M$~WJaOO^1`lO_huDM!{2SsKN`xa zG=xOtj}V`&|oQs)tvSx)Q@jC~7R;mvG`?O8rRj?<-srryb` z(g+%9V#$-ULbUFNr;65;IuW`ar@S48T==lvs@qrJv7=4L3>Ftgs++f0$msx822hnn zlu29TA+Ymb94z+l$R3>EnMn31rtdV)px=xZ3B3eF@ZOrgy*bk==F3h9!Tmaxg|zJ~ zYG_vNE=LDm+^K5R`*HcanE*@mc-)bUJA4spJ_%F*rn}~91pn&j%^ikpe2kLMJzDl% zZs*$2{B$*}gLfw)l3rLcPME7r>KL`*k_8tA_I~oc0^b~%5=r;Yz7+w^(}L$3_|4p1 zogT&Df>R_v^G@(ids|S~>-PUk?t z{X)-bAi*0Vh@R^>V@p93f@2=O&grn>CNE4{G6{|43kM$kDx!p>;X&Ec9I?-iHEKiz zPG7S}db3=I`be(~)AJ3_%@QXH3pOtCcGJ$U@g*ONncAJJ z{PB&=!$AH=b^I#W7}Da3O3vjgaz(~?Vt|k8cG^XRU2bC`euT9Ly52Kyp!i8Bs$JIwQ~ zERIAD&bXMYJyFrx_b70%Ez&uvfwL1IcE zj0Fumypq&tp!THjTE;6a*5Jor1~5To>CF>Qj_c~a&~RYGCzfKi_;L0d6+|kkO=cuS z$NwNr#^sF)azD$N*Mv^ODVlyR%VkgxnfU7)b{7+DFL@bT_%AfYq3LqWejCG}VD0q21KG=3MiOi-7s zvDJ0=bT9@X!|&I@w%>zF?!m%C$D1kKuk}1x!};N*^Z@EUjljPHH&9q3Z(Wb0P5!7` z4$d(H=2=&r=lJJ__$4g#_HT~g6O}T(sgblbCR!7Q}dvb9D;t0Ug%sl6nUrotb;eeoIfE-n8cBoVc?pKMMzfXL$R) zL>>?9AfvG=(bZH3Ag{cqAnJH)7pB#65%cq6_mB-_{V2Kg=_*8Vyxf>`VlY*8oI@)o zBciJK

}(a>KxDls?$gRlL#x-$1(7!V-a%j!P}9QKf`(qAPIAYS0nE-*R#XW zozU<1dmmTuT>BK6U})b{I{q*G6iMjIQya9U5aAO*pDf>OpswnY9r6kU&$`NL?ILm zP_!0{n1^=aeV&3Nvwx~2Tq*&yCkrZXd>@l02l|I>@PtDK>#3bPYWkkXUFEv4(8wxu zqAGl$iYsB@Br7$*k5k$*h8m0~F<8LaJCDP}B>fgtK?3)m^x013DS$2};@pm+@;w)|FG;xqnk`c^ zd8nQ};7~QMQ!*Cj=vn^Np>y6yKnWYO+e2HQv!vymm{S&A5Pc8bjPE)K-?}A&bo>F@JAAk$Fc}+gpx3p<=<>91&a2@BS(x7`0;z1f>n(VSczrZ z#2+{7=@FT6H)b>usUw2C5?Z+M4{bZZJ~X@CC~0cQ3f``m!1S^skBN&u2^;bIoRp5L7ti%P)wzz#-9lPrIzoZDkqekrjw%m+87kpn85H65X`L@$6p0TlZV^7t@0~o1{gb zxk2DqGKe*aXd7EciUqQ=peQS8^ec$gZ`{Voy9QtWI4$+?TVPaZ6@7f7Xwx9k{V|DS zaib2Z(sIw6Qh=47S(2lCm{0G+bx=NJ$qlAv&w{)EYky+kdJKTv*NTv9dT_VtC5oK1 z^8|c7MNU8XSiR%!XEF>ya-{$g*)B0ruUMw1UsJ)jHXIq$ZyQ}z161YgtG!8q>=UOY zs4;nioa28`w}(Sg%OPcpORpV90RMrp6f_rqE-;1j>4~_4(cU=?2jd1`UVoDsqC^QW zjes#*ke=+_;^E-N>Y||GQU=@eI@)lbMs;$*ad<)o@Tu5dgct*Eqpv`?q)SI8<91p8 zLd;;7mhaqcqRfXTg3LyJ&j#mxXl1Robj;Jz^)mjBqp5mHv)>m%iwlti-mT&*1Nr>O`t9E|pkhE1j~Lmrxz(j#w4v zCwcVwD03K3P2M*mv&>X>%*>)YA!*p`mDcUkCU$}4wQ~P`9dvsRDB4W_R_dWT`Q*Av zrQ=3J$pWUDV!$$3aD4vBj+Ld^rd&{3lkn}wqkZ8>9jL`b)V32rp4!q-0}ihrwE~z( zdx?+HrCR5&i;X0E4!JC@S2)_w&$kk%+S?OU!Hmc2yU#Y93EPK7)o59Fh!vl|0WVb4 zJ(?@FoD#x1=J62xWn1%fSE2@%*)=krEr4GF?()_NP&d`>MkG zJo6SI%@K(gFpET?yQ8l6`6^sHnCq8%$9Dw5*LAvj_3<94&LbJ3R@ivsc4gK&-h{$F zIFY3meh~Tk)rm`z@>Zb-5t4aodq5tmCAPY+q~sZLSLM}TT`g4d*6Px#*bR{82UAQ5 zrmQUSR>@W)nO(K)1_ka5&qoImGqZX^@q3@Y;z)jgU<^rrJhU`%{;@O97~D!2F7W$x z3m>Q3A56K5Wm~X|Zoi2keqN`8uY66potFRTfgw&u(qd5dbX-+s&E=de{b2zBrWkDS zqOJAk!HW32HC)l+ACI~hpZc5D=K#bO+O> z)CYVypD`nEXPo=xnI?$HTwtSYUw==*||_JnHwQmodC@y4A>fA|ZER~vU~(*-ws%akD3bI2t?^MW%h%cid;d!jTeNCoUUjKPiX#= z)$MHmO=9FjV++~Z`i$c7NaMYs6msal%}0*;VE;K%_9R=o>P-FewK@_c`iGJ4Ux}Z{ zaUHdyglFJUP3gNcEZhyJBsy@Y^i}_O%i*Vt%=_=EayWTGdir zvsU%=bkD5n>BfM7fT%%&{D)otJ!1c;rn!r&wW9+WD?1Yl6DygRyS1Ge85=tr84DLP zD;qN#3oARBnz@UIwW&Fo@=sG+GFCD*IWjGC6EaCNYqx)I!rlD$IS2?!;r}5JuW0{E zrzHQEdO=?LBjg!KroSkfq2u7daI1S&55$caHt{gE$$F+lxOrY)Q&E^qEr?K^g(bn$ z(Zp?(C&awZI_r-w#O~%g`tPDt)3b&QlzO5JD>DC zuK7GKI?wDE)h;^AT9N2e2ld-WC#J>4c@MMP>rS`l$-l3CNozbQC`=_tQ_xj_u`^By z`ju)nk7|LG!vTG~9n$+o$Ei;`UmO|GL{0;EZYoLv}X%9!oK>O$70^p@2&AaHb zIrBMZhutx;+6gE){oTlK4nY7{#}P!*ottG1y(mDnMTM(F3`Xtr;+Ut@u89=L$L)*( z>!po`dW+4LdNKW#)WdHM#QgE8c0wwnyWWGpsC!SvbS&SQHOmn?W}|CH#U=7iE)Y#! zM_=QP=)h(2EthpP@5kzVNKIq3%fPBzGOagXZL#E!(V;tZe!qs@i$_}p_?oM;Ibt+y z!G0lcGPHHI?|m^^;onwDv&!O*WjpC>_pSLWt@dzCYpP_}4LqZm@FLzYIU)qga*wTv zDVQ5hvExc&&DHP3y;U=YuFi42qgx7;^(vi;l}zFh_7J4@LDbAZD%>6gJhXAsDY7>) z!m^{t`x56eatr+ZN&h z)9-#--|>lUbu&xd;iVp8)+oxdaJz@50J7+M`h0G@AvgskEp|9cC!VJXaC{`EUb`Yb zBDF|pB`EogXw`5DF#+-EQ>M??8{+)!2jl*ignzmq;Zl(m9%NLfg(O?)9m>9mE;8|s zVd#!(_eYodFr8^Cp1+@rXt!KJY*c|g@i zzami7Qav|i`%;LSjN_j}>)oq8>G4mg7!tZ?Qh!v$(%+QWRnBR@1SJV8y)?2+3}US3 znf+w7hBXB2rEwU$O{(2-tjjJ?=Z^+hj6z0|Mw@4T)rHeG>^4`IQ5#((f0!8Ne^O{p zWM;iFc_-sk4-KrcS_29w3?F5yA2?@AwOBA`GX$RG2{4Dqju9%g40!&pAo)ypz=22bt$q3E&w`Wb!Pb?o(Om?48|*jcUK9{pO3p@W))e zlZZ5?Vq|?oE|!1Qu%4DLv3UMw-q9@MJ%v|&OI2fD<;B-0PHINNb9nLKGTTIKcI-KI z<<)-#nLVoiMjS8-O^oIyFn1^Ne=$y;kNpGuz!#~=O+t;G<~`P2=5?>nrIn{+0>}XC zDS>ad3@x@lbjx$S6wKT=B@F8&z4LK%w2S;3jHx~LV&4?l(E-W?|E)vF>RSr!zc}f> zwP46-%pK8qR*t;oG&qzkNT{+(USxG9hcky+P3RtDTe&ChJP7_@_bB|on05xA-E%9- zXUNw^{7ZC&x*a~wy4!2wUX9~@dwwS6n*|KbX?}N(usVzJ?Qu%ps|$8Q{5fwyrj3eR zYX{3D3l=>>1ZyGAFBHe|B13afBeQ0GstV1Y{l%b~oxiifJlTfOP4nHF^F#~%=7eQqX4imcT?Bh2(rrV$-`{Xy z)<{t4+WU`15!ETOdA}uQ$4m-1v77ULUj+u=zL`8;VDKMxXWt_-{B!@d-*!Q$H=5#K+%YGY${mt zCJHG*{r(;!yOD)Je9uRJ3na^L#Su3E3^GlI?bnR6xN~^n<@wiuur23RkMR3l1NGy2b zzY08g3>54|>bWeuF;YA!?*hJ_FEO74ht>w3Xu<@aNDKCQPpXIWs(|h6Q@XiZtN^Wm z3tyb)SWxH3{zqnhB3osx-wsfEJSEQCJT)wApZ|Aws8`<-v)(VMWS>#`*uTs`EMKGo z`7B~kH0iDP?ni2MN9w)h`e(O;eAUvKuxoK3b8S~Ed;D{DOJ)=~HCx<6iftw9|h zlTSPY^BLjMed7b}f3}!X|G>)7nqki2u}q)ue?ZFN~F%bKqof3 zQgR*X@YsnTM>W3wz{*Yg8%vo!%ifx0QfdGDfgp{X=hE%aIY9PYn%*-U@W^f|&z>(e zq(Wl*UJSQrdDN+PopMq2&NMH(HRZtX(W<6j4jY$zo*nj}uaq1cO$4@|okv@j7-zjn-b;wT|fiHd=aCwJeyA& zgp2J1!rSuz&7^@%m5TuypS*J*25aGMjK1jO-^oD|3NwC0b_Z%xucq`UtltP&!S-t@ zY|&YOL$l2|DE^V3_dK7eIEuVRa+j6g0IFl#JrBgq-Us}FVVYqLG_Gqc@}qQS`_z(i@)z&jdOOz;#3p!ekF(QmS%+M(~A(y^go)*zq}*ZrjH(lWYF z^qAddB9QF<^N)(q(HmxnebcjoFC7~7kl=)O5o8j}t2EK9)URWDrjhr5uoHW#J(N$NYKDS&?`ivN(e zk4j7EYWzPl-J4{Lhu)jGhW4;QsY&DRgB?sPP7PKx%xB zUo0LFg5zzbYohu$ggg>SShu{>CQh|3b zuovf}w7u&!q@&EUj*i@)|Fd}O)8+F80}_&8#NWb!j7~I9;MDR@0q{)8r%qMc1*vME zg3vEx)i}zEz*}<<_B*sdsD|3WJY@d$Cp6o%<^P!_%yJ@H)J#dhhjni!kTHlDt}#Gs zQ0N)!>Km1b%b3zV)$m$a7U1#pCr@oq0=+j7g|K+ugJ^oxh%L~`Z}rWQoTKfePXeq} z2p&&=roEcs`(E^h^8ojd(#z`m*3Fd@4Srn!@qwSj1ARZ`b@Ns|qS4j~jfAcAgsg=P z_3D0G3%45hKFL1@B6MB=M_K2`0UuOA-oB-l*@MDz!S~eXKboI$BQM|R zNa^WEJ!L>%{u)|+?N>nR&-d?-t1Yv4b32CbRG;rmk8P`cSnpo;nybQ@GxeX{&lOd| zt8H4vamOI`-(ZCez(H3nD0900lk{Z?oIDpuwgD)pvjy)Ftbi#CfUa?!>ws0<^8Fyh zxSJ1uIcbtb*=LXEI^S5uwU?&@+7J(N>RCeg^1m?=4=ntWJak(nSqdXz^ zO&1q7-UThElm?iX4NiXdEIS`Ue(3^FjVt4y;;M*G6hj|mk-oIDKX?JHiU(foEaO%Z z6bP)8a%QRoupV)P)w70>f87--(pfNBdD)+s6Gc4`?Gne1@@2$krd_7)hu^oGsjQ~ohTElY>YmZ zNdTMhV$OexcE2she3J9Qr_iH@vA&d>?2A`Gp|Ok|hm(%7gEG zT{S)dS3nivQA5>-MzGg#oSiSY$Pc7|;8Mr>d~)R3=)&!cx~XH+b)wf3V=QL%*S=vd8#U?tN*p#ux_RP zsyLn;@pRAzmT+d{x@kby+@mzcVNK8(xVv^f&POQm!GTVF*RV(%F|vxB*Xv?s?BwKU zWn$uI)gf*)Nsrm)5<2zfdwF)mZy<3g10_VD_cf zu@I`g*BjB;^T0`5X;V5^iy9AqIaXD0ejRb8&Gb(k(BfOr1)-#!1@vBV<*}G_TYKT( z@5dqX6J|sYp&PEn8^B+^F|hSrF+kOsM_ap*JjJc=G z+4h}Vtm-3q5UN6Y@Yh3KURUB6zmQcxbVX{xt_eCZ^j~{-L0t;bst0YI5TaPLNg=J| zO;yhQw5RJF{Hikdvxe@p-U7S1i#RY*g-kHl$_@(F!&bD*e*luElNX*wOhd0;ugQTB z3Dva~Hu7yfWKiG!kAsrd8j+C6Zs#oqw9{)8B#++^#HR5OI`K+G88hUSgM<({(+Ntk zRt^63`ux#4qDdV?k+0bNV!J0st?%i8!9^R49-BXKZMQ?z%7e~SHtO#eh2>g#ejf>_ z+4ze#{qE0&*m~g_Ji0IFNDBOO>TF}dn@!pHM5OoxYwcLMeVwaXRpp%3mb)lChMA~J zj@ty4hPQ)@{GMT1rnjb8sR!ke?vOdcdAk>R*5?Um+ouE5GbrR`Syy!p${TBlOwZU^%IKUECOVe?-t{Oru&jUHcKU_4AV*^r@K zF|!Q$!#4QcDyzLIKxjUy(=#Ydf=8$|4Iq5Pj^Z6xywdNm2bKL+tesS; zP{1R9oHE`g08`1Eei_E+vS%9Vz{a$37;M7)RFQkCqM`Aq-3jgl?r|8KBssj8E^=L6 zAX!rnjF!(313J-v*cB5e3cdOwqmcS7z|VwU@^_%i%h4d4jIvILpK_L)8;A1ar7I;e z5Bptk=S)P~SNIhM-v>ubWO6B^+Obd4u6%j-`?@AIG5^YN?#Gl*MkpdETCUW3GFB@e zGDD9`*qsz)_#O4b^v^lUSBoL0t20srgV$SZ!xL^nD=$H;xM6x>7+c&g$A@?!$5d?j zc{-tc;~|1DGo_dr+2qPIcL&h9TyXi}C|)e+Q(y87ak+xC5?BRTH7!|}vPGmHOw71* z-iI?XrJUt-C?r=sERyBsTg2t&@}8%lTI&EKX(!_uWcE`wKaekvW92VN76~WBU7*Yh=P(86?}R&`MPH zs*MdUEc|k#9mVei+)^j#iT%}%QQ4|+v!L_T{x>91qEbg1^S6bdY)Otg^*=$TL}ks) z(xRs2xpfu$(~@VI*s9ER2I}Rtia%v{*GuyzR72MTa{m)I?W2p4rN5U;djBF% zLDHq5C3l3>@66G#@fQf0@`+F-sgbn8)a2!!VxYp%LQDCyv1)WcVTw8uj)Y2}eLb8iWwowE%S< z$=sDMsEtPkb!*Y)I-Yp*a!7CYjJ^p<5lS{@fbjJA)l$ijcQ@XH*#`1)96Gn0^#rPI0P+pqH(`msZHsHXVk|)-xuZ44qL{o` z>O7sRrF+!l!qW$fB-=kt)G$1NaE{hLs>r*vdxIktbC^C47oDyr#a#55#e`6 zEp^Sf7irJ(NWuZI75$yRhO2>4lKJ4Fek2YEpXn^EFCG{!YijQdnwxd(v~X}#T_kLs zuSYwEO-4TYE)Q^77AfX-HsoWlL7UwcUg!UrHw=ihxSgPnoyOGjN^B6+=HE?kZIh^p zw>Q07t7#=lpfjeoh;2p{oF^%f{yV@8YGp2@31 z2VB=HXN$8`j;f&-BaO}C&aNED9{J4edOBc%YJh*Jtar414r|bG{cZjCd$3&Cs@3tw z_Tc!UwV=@;ovH`V^X0L6@ySor$?9qpM@gCNZ_o%x6UHrnM|P;OI#wW8 zvz+2if^&)e^mZ z^z|)w%`S|1-D26Bi|wA0pJ3(8$34d23GN2OTe&JC#^4BBE}DT_@ zXLK-QbI@V;{zOwbxx8&Xp`{-jSH1 z?L9o;jzO}?p*K(Ml~+ZG`E9)euZmigV|})-qD(F2okkGF<0bw|lyHR?q z>V;!KH&$YYd1E-G8<}61x<|6a z=q0t&mhdERVoAfk`Ief?Iy;sn&R~nn) zWk?lkeWo52zjLuwRe|J|HBdd21Dd-tLNosH(OuDGxfR>8^}Dt|D{tB#$^>7p4Cag` zk|U>f8D2CP|3ZIk-A~~^k=1$)Ka?c%wb2OokwnAJFL#eec+Q#!4gTfSndlRAW;~_G zqlN`W33{waUoA)dZm~~~C+F>>cvo@UyDs_Q8l|JCF82qD=r$aH_NcyqI5wR?LX%H) z$1d|J^_ip0rk}rbVTbj5r<$vaKQ@RzbwV4@un51loaiKJ><~nC%ze+;-~j!I%ul6@ zMRWX%{s00hx|3eMdJb}XW%(#Mch`kGwg)tj2e3wk_S6!;-{hQB|L7vozw)6zCeptc z`UvjbFpK!PKgvTz+=qeig>C;vZ-6Sy78A&p&8|}naaW+0?I`Y-vMv_y_{T2uxLen( zKRVND?S@0ak#%@9mctx%m93RI=i?Plw?$0yuC3*KS$CtWIc_3qze{9ar4rS(MyP!H z=SG?}Tm`9sdUldyN8JSx$j*yo1hyhf8-C<2NNzZWY00gMgpD^#_k;$ICWY`sit>vl z*SYJjJ01)XqKi6Fb1Xzx7q*VD^=W$T{0F@sQZ)jBZjtmSq9lhM?ch49C1Fz>%Y0DyNtxig%^&klAeJ6a$rXu?-Oon^B|u{7NA;KA2=_W0oOeY+vxBE<53pHP^lEhru@ki^~69sJdq z8C7nMSm3mod*IJbkTeris5QOG_pUY$iHE%F+Kiq>P_E7*`Y2c20 zjo${;jQB`%Yeng7`syX%m5ZUKdANgkbQ1dFG2~l)nci;?0g~CRg_v%rhs2-Ra})uJ zNBuo+6N`B2Le>_5$GVc(4uoX#X{C&RS3gD_!utcaNu-Qp0G|_JYV?MWKbic7G9(za z6Y**{WF)y+_qs~qPqbhpz8RTyHT8MxKU7ZkNxqMT1D=#&mg=lH(c2aN@x*5d62T~U-SgMRu%_YW?k+F@ky&*LIbt7DLxdQ81b03|;4mJk58fe$!UJj^FI7K8H$j*? z4ihs@z8_&CY6Pt+YT4|@FR+@|E%i?)g*!1`{Ma>;1u&9`wmVfpJ;VeCQPR$Z43~OQ zuh|pNtCWGUa*Ms(L8C?lhk1AAbF(3Pj^VfSQ96;9p~pw$4FatqH%-pUVDyEk=cm5{ z&!o?ky?nuq++=0Q4RO5B&p`oDCeMNQ%+S*U6TQf1guE(+2-B9hvr*EyOxvxYwVZpp zY2qPVjeW@$4PuK09s|zk`}EO`o5<+Ivgs-6@>x;CsEwyc<9zz?Lyy@oAi0=B%ivU^ znGVcHPt-6iJllwhTL~(RDB8 zn_EQ}Yg^|$BGPqi2TYA2GgLVrpjv`mFY2yz6&4Zqq}j-dXW(JPUrM1QAL=3Op!QzP)eM!4cwTP%u= zm0(IMD?H7Ox-(N{6Eu!H9HuquxNuSrOZ5xI87aobM43pu3YQ#6;$X&0(dpt-UE-K>zx7c%aur;2jOj0>WbBlJoL6--s zjJ;n-`a4 zGT2RtczXH@E(5Pj3vroyyHL@e2m5(@7IQmd0#V{O;9&cK9nljKy&KPv2eVzfjOgv~ z*=1M~_I4}(=ybv_p!u&mJt(gXP7t{EEv)Z+i*4&!PpsCb-nx?BV>97xWSrrlrhr^j zB1`nGQQnZ)CcNNdiOvYP)Av1yzX-%=>OQ;ar+$}#0-s;}!yk%D#1ERtU!J)Rc`Q|) zyONHy&As*U^FvKBFo|Ys4^lY~P)%t32mwfilQfhLJOVIhgy_QXcXb$~@vm)B-t%p^ zqluz`pjY20CCQJSC@|I?PXZXmpLm~~h&xya>TVXDG&bv`G?Ma@dcThHxC5v=w<9VL zau8YA;bloIoEYjl=8Mh_0^2D7Rd0nuUcd1$srViDpx*;q&wBc)z$&|eIB=`jMxt?a zKHu0r;SKW8ycWX=6*ldRx1pwoQ0{c8=eZAOYnI!MLp4{pgajgLf`-eS?2uFL!i>9=5{{ zvSl7nQBInSHo8ZHKcP-XDUTz_icjBo3=wkhvbuKHtq{xbA-Ui38jU?_HSUgM?z6B{HSbUpw$~Q z)O4${@3<%+5OEaU>+&FKN`Cws7@DY@478Kchx|w@;+lGWkkR(_u}kE^&!zOJ2ZrN) z;>9@+F$eTjR{9fIrOvQs1KXtP9G1%d3vM!sXGTo1dd1TSbJLreZrrzV+5|< z8-4*!7ygAKh0Qs@P0#Q%FNI>f-@ZWnw zlpMa^=wEP;eR`j^B8v%a>l`UGaR(1Qu-mqOekC|!zt%y61&9`&t2e^?S$g5`h<)d| zlg42O{f2xoC>hQN|M12VUNi@HZ#YF2i1)u&D7upH4lT%k&#+D87cq+V>YdIN0Ui|2 zN__We*W3%=uZhB#rt?Ew$g3J5>J*CqDCN3QDjbd&?C-*{PPj9ddp?4Aqy%{lLsw({ zS2OdkD1vt{*wZv2r`h#6kEOzN2=WPH)>?l@6YhBXR3MiXFFWCZ#Wk@)6N$-n9>vzo z5g>YPbTnZO(%o2f>^8Phvjrs(UoZ>0PadEB*7RJ(y#B}%as8x#{R|EhuV`x%rZqcC zm26V8Qc?O56^l=)t+!At$y@X;qaqcxN{8dFyOf){S2+PgIfxzLI^UQgxChF{MM9HA z7|)b#NQw1MAAH_roQNd<#8~iLN!G*()0Sr5{Y&N6E4a>qLe1zTbO!zU{}h0Q=2j6% zy6pnF-puRbVo_ToKMu9J*@WmGhIH;@4RHJ(F7&;HR{NhlIsSTTM4f$Fzf&5-B#Ol) zeUNEMW_-MA3dK&|-9b)^BV88}PR}};6R=QO$masq>2=MUk?+-@wtnt@G%%vTv6uOL z>~~lk+#91E(Yom4%9Y=jzj1t(nIs*%gH(Kd6%~kAdV6K;q8AD*8TxZ#*nuh~9N1Jp z#=Ual7bH95hVU7YCG|^c1oqXY%_26L^4N07EnBwq_3DwLfQ`^>K-A)ciyE&_+a+vV zXdpE4#-QE%UH15ME!z0D0+G~r`*3mGvcvAj!{&}``#@J@2f^_6Sbuy7?tXl3Cmz$; z_T1`Dn-?g>6;F|Ib1v{YrMKYo_ck>dHzQTX&tdZ$A0&yXa8iKw#=mNu@w`Ukg9v$C z{YH1BnD!Uqgons^bn8h}X!(L40^j&9kG)6QVKV(%(G{N@PcN>7JkAY@{sRWZIEPgGff0R43#QYsEEr zna{9B(+-cJr@E>7>}C}ne$SV7F*%kUMU1(2B}uahO0p`XqpKCzAKH|ogWLmZs`wxD zJT3UG#-y*z_0I1R z;QL+^?{UUVd3n>S+AHnZ?B{upi-lT!gFZ(sOX*sH&vRhCqu6!$EZ) z$md4y)&qts0_E68z?$+o%)(oiIA@ajN|{lsyosr<7S-M5>X_PI-5|2F+hg)!(%+rL zqG+wP%38kFmqNNSRhpKpLa-vi2s0BK-amCi=ub#=5e3%vh~;M>Zwkt)0Xuhjgt~GcX z(4-P6aq{t%zpBo2y`|JaV99`X`KZNKK40I!+x6Q&-I|iQGtUFG>dm>I91pc>|{rMa3L&z zB?q>6TmC%JFPJ(>q@Sito4xnHUw-p^c?SFj``rtHEe;;+(?OJz!sRu0x#O9$e_Vh1 zy?>lczPx#sy=8;>4&aD6ENENEQO|@uJ;871JL8#8vQMvwFSCSy8BI61QKmn$$CWe+ zgquFHGj<9-6i#S&KD<0<0lx#$Jl?XOZ$f)M_nuu_>1Ijy?w1dCXm1DsLT}##%bss; zm%uR-Z|n?xe!|~`!J6-*THx$s%jcF8(w*1wN3B)I)+5Wx9m_!4K#O z&t7H`Z)@J(zX{~#QK=}4W`7g0$v?9Y#43fch)3x!Oe8JcSs5sE)#8)ZGw3tfuBq?$ zS$iiF$t9zNEi%d7W#xI6XdMW^p9OS%ggkv#yzk%U*RD2Wcv*x+X;CyuW3B z2d4R;?_f+O#oQj%A%8mvqsnKU81}(%yr+|FIs?px!L5Uo76F*Ch8C{myR$o z;?Tr;Or?+X%5ShT7@XH0HmHt1Y1KB1Jn&~Tw^~}U_ye!nUD3;2=;%2?MXj4@4Lg@} zr61e96TC(-Qn%6wUxDh|>$&t#M$zwW^3z{}xGpuOSI0~LHXbf=WxRe)rY{gwG-s=v zUmV*LchUwX$+j5vWwq#FuY zrBT0d+P?0+L_WG#+Gs^07iOPkDg7igA;&Lod0HJ#O!{Go-KI0~S+73R#@;Y!v=IvD zoPK`kyv|fFY!51ZcH4i>>N5bUPG7w|g^rfH4yy)sP2tGj7<#y^4=cc(A-lfVfV#Bo zK_?qCR_*+W5${{!nqOQ?X>Ss%u)yizx;#=1Hp6yDUMEv-jh>=dl~-$|TDqT|ub{oQ zC8LS_#Zj}3;VZSi(txlX(*WZC@TY59AjhlV@lAMuyjH!aT~xU7rZMA_8#Nw7XLJI8 z`O&lV2GHFNy$p-vRMe9?@vc0YdPt0AyY6#0kwpIA=)4RHv@(1P>VX?~@b{yg@P~~% zF>`~wg%Lglq@4y&$zw4ELO$tHxO}0xGwA;hv2eeObVi+`|NlyXos@lc58v8mV^Q}E zX#=!;K$0hlv+R8a`76{vD%4&n2WgxRf;OW0+Z-}!`BUQ#A6(LR+q~OHS>H;`tFZYy zH?MhU*L}= zTp33f)1*F$t4YK>ad^O3;w$lSn;Xi5o_1HhY!OtWkj8bs@WNCIAW4acsXGh3`z z9a2Q5@DPsh6DJ{KT-|3pD3JNZ7RNGLob|sZsTNQ&Lq-^l9zH$5;}*PmD=VUBLXCOQ zGsUH~T0*Msz|Vf6Fzz6s;D0t(6Y=PP3Z{6$c)mFg)u*?I@V{>><5p5I+1Od?+P+xEe6#_>q(g`TM5) z{X?$e&q2pK!~pC1a?bG=X>%ST{6N~yx@GmYNq1p9sdV2!*JQkP;%AYkp6 z*2(i?dV&>U+HRj!b;xD4)DVH07AFO?1T$>04NoOv-)7YzBJ(~@f=L>6-J`hSQDpZD zns*a)@yD|!oP_t$6>8MNJ*o&#Ljp1iYTZg=*Rcc2$9$1Y$FWk-ZeJP0=DyawrAYgG zuabyV`kQeQ~yG@SFQXu=xCZv0pTzbE1f1Bt#^5KOVF68<0US z%Xi~hxi7_+FMZ76?x)BrF6afZf0G}=)eGXd7c%P|*7%wpBpq)e*^s(HL$?w z`7z|$9fkLf@>W86Z!LVt9x!GJN~-!unuSyq=Bs^Xcj)&@fevHt>9m?Gb3eIRPh$8sqq+kZ$9@Gg;BQNJI=Ayr?Hh{R zkMp2YcYpbQD$L73u0)Il<%2Ks^clc|UcGgyZTt6jqR5Xx=Nu#G&uynS(9BV%{54%* z0qu=Y#Om%)rz#?|b2UEkIj5($8E!~jcgx^i8t#VUFkr!m4T2`~ zL*fD0_7mro9*9$l|4M~sSnY5q8qK~X{J{PA1zp_vTuy|pF<1UC1d;%E6eOYVx5s=I zyW=%`d)1hQj~nAoxX+vC-F&|Hl{@G9LcRE&4z7IZPl+M+uP1|swl4S@*Wq+8JZ7kg zEt)6_wOLks#|}tsA(7IWiOn=xC^VaS&2pDP&E>*dgr8l^5{IpL8tsJP zGHdX~l_Ja4xkO3YZW8lvWW3Z$295q33HcXX4V&NT408X}&@(|xFBbm3_=vw~y;|?^ z$0K#EM8*rbn18Yp@oI|UKF+Ko;wMH+Q$SYyvH!U%Q7Xq`6ccLi2kak8LUN^MYqu6= zWics0$Jgn>>~gncbe{#>Ky?bv+5To7g9N&4IGFS$fe3z5^ck7bV+`V#3YZ}ksvvPYa-3ScF+s?^dZsOA z2&I7@BAcZTcOy6|Tw*UGNc4gN67EiWpK}BP(lk{!3gx`v)m?GxnO?IXu0R*Z}%pS&&$PNV6ZL<(%7>7ct@V{qA z&8{!?(3WsK({T(oJe0hA%xIjXz&*-DYe>V8oUN9s=+sAs(IWE}PmhtWj=2uc)>W1p zF4dGYLM_CcZKOx^t#SV1?eX8lGc_pF2xfmvRj7JsQL2Aqexfiumh9e)ZHI#2DFGwm zNiDyoFH`^i+V>TH_S+NZzaVp`Qwi|Rhc1rmSkUA=+#&3YOf;9oLC9KiKfLYRM`AS}n2QWNbUEeag_~PH+ z$ixM)oO*fytc-a}DfUpGb44`!lq2-g2Wl3gZ#ruq+<+Z-25GLc`4*A#O3kvl`4{Q; zpj!ov$bAWopY?1emE+T0eXgp*S8EAZDa+A^!zblf&{FdqtO#{Y8 zK}kwb1A0idk#MwH)sxJ0X@Y=zTObEnFUdzX#FQm8i`Lupn|@GERq$`e$XQ`UVG)e#2;Qm8AEaBIlrIbhA}Tl5rv^E` zux=8^x?SkQqTpBM@6Mi_elq^34Sn8gC7;J)TLO3-STUF-!$j%y(HTz^t>M3Pz)v5tPRo`Udwg_SO{u9=gj%TdaP2N-eU0fh>U;!JlF z{C)dlUrzq`jFbCN8{i<7$zCo}z6s@jrEMN!(lP3lpBXL}%0H2}wSyN_^X-cYxJOwo zD|T!(%@bb?;Mg#q<^*vY>&GZc&+dQ&+NI8n;6X-hXT4v{{h`=CUL| zHp}eqgD`$+_{u;v7kj#ZI4p^1Z$BdNBb9YtiW6;egibBl;_mYmTe<=|Hi= zHyIuH1blnaObH?0T0o7y_4kRayqc_w;=c=9^Lhn(XqteJz<&+vV6YctiI$!nwg$cs zC7#|y&1PK-nI@tnB1QPNv4@9;=fq5SwoXX|4?Qd*4Qz;njItO!W?Zx+d4$&>Oc8?6 zZ@Oqq1!Xc!XhRYXf!o4AT|+}~YGlAb@Kp9y>s*FY3VW05jA!q|&a94-0M!>naxQQu zh`}e?<~-YsLgqrVP>+{g#(deW$AT~Tq$*(M;7?_Zz1~Wlt=^()Z|_yM)b|6fwjgWr z_$RXg8C9d7RzCKO6}|^mOuJQGi~!3MeMK}?saDdG2Sl07XUWRHWu|(aM=y-mA5h2Z z8*EhtmL-f$MtNv>PAi)!YRjs@=Vh+O$R8HcH`8TEKv8&7@6Kbw*5$U9#i5@mHiOij zv^_)G=h~kY$%;0WxyTC3s*9bmyv}G(^ZP**Lr*uyUEiN!>+P=ZkY^3g;td-^0BT`Mm+71Nn3nKB+uln zD#7-yKIsYujM;jj-T3{V0PzG4`+QCv;zo?14k>I0P6$@$8b-wg>eHEc)|!qpFyMIV z0D2kQ^+SU|_^!5F%pR}UI=+~&F|!dH52M^_nSb!18y)zRhM=G_{AN}#gwazGM`-hN z8z$?JVKQ~dfFjY;k-VsaO3XyjO26OPTXg}8APg_c7>-iLhMoEIx92fc5>16=La7tg zGe-R0|8g$_2wP!;NdByma8 zVf!#5E#Z&{@hvK-H;M)HY}h#Z21cYWk~%6!kh2V5DHStmX6nChoxVX3)I7wz+OKy=A;uwrh3+j$%SLb?sCe0Vh(2`ApqR=x#UYNQVA%bkJe=tCu8Z z36_X*LJc^zAO6ori*0GH?jwP_iaVU6b;O0%V*tw>D+q5)a4ng^*e~9kvI|y~?&C~H zRbU(*L&Q>get5OE1>%-bhh~xc;sOtk2MIgbO!HwI!-k)@_{3SPlNM1O7CB5dPj_*a zF&=3@o+Ij;9o7~MNs$V34PIX=#?bS4LS^-e8((62>A3<7pUz<5HjWeE z`X@U-Z=ml<)S+kEwR54!Tvx-ori>L;G(Y$GbJgvnoYW1*$U7v0COCpb`(~B{a)UOO zBSICkv}G0FKi$<>w=yyjgl({RgMO4AEqxI;5P5WTU!u$r@!9YtRbVCOjNA)uRa2v!sth@5j2asJB-~1W|f&2l!A3a&}eiMd9 zQx8RV+^E{n53Oc&;c3oRK^(aNP8G`y@&u6G2m@tk)kAQ z%Ag35WW?_$t2&7xP6S7FsV4cltLUs|Tj$r%B`%cF;t3o}9oBYOIKMxg+`f@AqT2+- z8o+xiCx7@DKq*IbcZo|lkdwtqde(>^=c44J!*dZ*N%6>;-G8Hyh|7 zV=r98m#n+_SP6WJ1l`9Z7yC3*pV+5e!icnYsAz;$GOTY)=~LT)k^bk)f~TvlmI;>W z`La~PjK=E9i}0XSg)e@|1PHhd6`Sb2wya|}ZY$D3|lLQ_Z$~ z)v%&m$Mo{P{m|`9x^q9c{qw_J?=zK?{FP7l963Uo;q*1Vbl~eN{eUi?Dqs4;a}}D4 pi_|?f>xFrT;u?V^-O$Q?TuH{Y{KXbUG6Q84R!$VT{{a91|NlxZoQ?nh literal 17497 zcmZs?1yCGa&@PMx*Fca!&|tyc-Q9z`2X|Xo+}+*XgF8V21Y6t|cXwxjpZEK!{<^p7 z*7VceHPtL_jkU!0xU927KNm)kF=L}|8Di;bVMdfTo#6zluB_r@2)x7lX8z@GiUjHVgT|W6Mf=0g zwaOP>h0lPLRn4->9+%@ep0eWw{pap8aH|6lUkc^uH#ctV1OXwD*XQyuW$7xDbF07D z6~IQp5rq@|Z6LPAWw)lP?xghc$=AHcr;f8qxWWI-Nm*U?Mnqe}BBgJm&D3nz@tFL# zsEm>7KB9)2P3)OL{em6%6(3BfSu1FHmU%y_koD65Fy4MbwbSF0w)CmuyvI^^+4ZKh+43zk=!HYj3XZt7)Zq2Y@wu^SrWX3Q;9t?nKqLcVdX(;} zy}1}`%~`oqi{>i7^B>Q@dTlblHqy7-&$_nfm{V=dH|@`!1x}w;nH>$uZL;s1=g z$-k6=FsyaHp>fb3d`iJj4obs5ut|Dm4ZV}^SYOOTq0rJ~bk?`~?PgA}*N{qKH=a?f zV5L@Zyu2=x6-C`LIbU|!u>x?b^-d^qQ9x1B&oTO5H*m~Z5TfKzZLNt{;me;{&uAX>~3i_qxbOQQJGP1B?toA&)IM(d~FO2fsD~c`t66Czf5x; z#jRqnNm9*|$1(%DkXmsSe%w4?}F?A)&PoJZXR{rVz^6Xm)mWx{rougI00*J@7y z&YvirVz=?U64h#z5GwGhcis2{6ZCFzwN))9=bCbzC0cfKMk9{EG#P$YfOdlw@!pQ? zuDyHb97dEHmjVgJcKW--Mql2U#F*QiUsni9 zE@HMkfO>7lvpmN>M#Yu;FGlRahIEO*yyzxZM$qaX|8cNW`y?2jJ_}4Rk|FCBQBe)R zbR+zJ`U;Ji+3g>k+C>>(e;tU>>agQ66`wjdJ#Mv@`HQ^y=wVMVDWb5R)@ zaaod2+bfxjwl#OIoS)41DYwRq?Uv4OBUXA150Q@J8-}({ZrwGD-QT!i2}C(R_-{5n zzr$Yp2$6cfQ?*7Ovys76*a==0fDJk~h+k&XgeIyp3K^EtPdZGkfjau&VE`~rLPf!) zSi&kv&TDtYzAepZ=lo)DSdGgAtSJC!Lg~%=C&?$LjT{SdJvfY0^_Hig4I2+52~DWE zyPxb}3qE37oc9(NNi4LT77$Bzt-S^tM1U%yJGa&5P5wnfJ{N*>3Cteq9gJH%Uf;t9 z>vA_rXuQOqTgh~ey$~iZa)({68n4e*G8G~RhrqsC4sr>turIBJr49i7r=~we13e*) zF1ycV2TUZ;mA5n*y+jFjOua7+_JCh`GU)`pEpawL(+A0)e$@A^&su)AC%^90IxL^9 z-x}WBm8618M$f$F4w6$W1&bhg7sk2_cfJ`on13B!PP*P1g&68@PKT@LkARhLQjbcs zZ!tffq`2N#bzO-`T*hZa-U6c&?XsFFTWy7gN2{*&fm$baJ)C^mm5fgvazB^~;_H(k z;jbEC`HN*KHhsa1cKEX7Z+49U@pG1?tKSF5_&Q;oXV&i3t+u^m=lUownw@nV5A|Ax zwrm~g_NyJP+r4@x$M|hMOIHaERcMG*gh8S0qngx>wJ}BAr`Ao{Zl}AQhwdJypY4Xv z_fOdOeF1JPzcE~YN2nW!_AS{;y_DY-{yd?>oV$4o;he`5ocZK2Df*)Obyr{-ag)i$jFyaYq2a}t zmLR$v2zh$9sCKTQZBc2NyR`Q)U=0ypT-rFpY_cmG#9zMWEgBi)C8RFC&Jn0mm@^~! zi$5w?e62c!PSxuvGd6j?gGH|l^vn&xB-05l1vl-UJ^plS#RVJNPBx5{@0D9yi-`JIpmzPts@3c0(L{sjKo*L2ER__}ep z6&JxY3FNyzek>WD&V2h9vhRw<7I{gr6?WTO)w;1go!2Q`3%VaRiS!XAAOVWmvZ2I2 z7QA})?!`#iMs)l0pd6tZ#~%U$~Gx;HlhM_5rlg@zyTbiU$BLD5CozZK$6P zJh&a7y5K(_&I!&jk!UDJyb-AgR%R!V)4^%ib0ohz3x~drW;T2sg@eM;|?3Rk?ZuM=opu z3lv?PXY_CM@2%Yscc2I6Eg{!^V|V^Y^2n1fE^^M1!>bFn+2T`JZ{o89x87StUfxf^ zx{c#gA-qJs*^QOBMNW6~@>-H6Ehco~?3Ygb*QZ!O&Rg`_v^w8Fw0_PQxLxMR@SuQxrlyf!_TNC{CfFF{JUSKG3^a_$>g0*p;H(5%UnQn?!v7cf$mgQ{u9n=Q|Xee?5<1nauX#g zx9WS+K5A_rhYhC+GXW2l<>kSKE`uL&NDzhTO)Sr4)fJdjUX@&2q~K1Maa0OfNoyw; zty%*nkAUI_n+^((_1-SeC$a@9Q%PxQC_W-$kNO*vjaAIaXK@Khxpy-|b+cBFh^MXq z&6(uYC#<5^M3aYwz_KD;ZvZ^rkPa875T_QizrN*yl8j5Z0;#Ync`$x#={G{X?!SXY zcEK-CI2H5T&9+?=CbsOHz0ATdvS{mNznZ)C|Av3lbO#r44D|R)*)+C3Qp|nXv4VV` z$D=nl%~r~`XmY$@KHPRn`$Y9?ZZ&Bu3yPE$OH@y~S#dr!1gTnwNeU&*aJTnU6}nAG z9$CE=_s*)ye)~M+z*Kec%GfzWKnr3qTKX_pyy; zZOZQY;JvHMQe zsQ1W|gET3wl#{wzuy>_vK;^Gm&)T)j@Cf#dcdf;>&rVtHbQzc5u{HoQ*ZBBsRT16V zDri*s_?%v`{d(^KZWMH`ygmski+mW4Tc8GC4z_az4SRin=L1iiLBs7I@cIDH2c9^B zUe-n{XzVGoHLJ>Aw#@6kwh1AQ>h4~3FtD1deWPMrfLiPvA$4)=4wBvUen|dvH%S|C zqOS%stev@_Wx^z}3f7eU!t|M+2qr0jw%Mf{L4vhAg03>Nis&10;QdSdgTJ^t@1XZi z${)WSC#$chK97CRg`jc#)jOV?$a-aIBsuSBf>ow9qzAC>gH%>DT4t4aE7;8r`JQeY z>ZRrk>V-N0_B77`y&kyD`{&Kk+5goN4q*w{;`E`gqU{s;Blx_&xfkpJXc~61X%4xW zka;I#J|a)AKezr?V7JGU2yM=P<=ld6Oq|`L|JkJdvPpDN(s{3d9Lwg89NXyjA>EMg zbyo^pAkhRQ)!N{1i9434--ki?{)FTBg*yN%6C|r%>?>tbJ_@Yv-QBHR1y2vDxV1qY zax7}g4AdAdrT z2kLg*`+Kmn_w_+vvA-F5g0u*Aq?2#wW*A-yUelq6RMPF&fRp`FppYpNU$|!RK+*~4 za3mh&a(7>0`dVt3dPp%61-eqm_R4JtZuGmLu6DQaYbCzMcud1|!c~loX52)au_t-( zz_l4ZiOz0kPiy3olFo3bC{y5!uaN(UEd6*bVRe3u-H@bIDDH4Mh?JO62OnX-DVN_3 z5hL(eT+M@uL$QnbF9K#rva}4l5{WkqVeAMFAqStTEihW|SG&yYfhKbq;-uR(l;Ei@El|Edihv0hMpIC_5!?4b`POAiBtkh)w{0f|iAon`xAD z&d1CZz@P6T9L*GPMEW>-wx`YPIFes?fm?^SuA1;KpYCjzXJ|DBp?W;~dQw zkwcV)*X;lGv`fTjfR5<5sg{6gy@d;uEpw@vzghkat=JNmd$Loe?p6>vKfn3_R3rPW z1!T?&EDuB26ayEK>ELOY#iA!2kvy2nJ~5ISRk&{v8H27h^7o0swom;$8(u4#fj+HB z$ZajNxrjd{xG4C5vwZx_RIA0mC{+vH(O~0`bhMF)mkfT?p1Bq~E*>?DRwFg@K{r18 z1i!UX<+P(u4i@YII!5OXK8-IcXPbro9MY2ifmGm8^1n}dR09bjrz4k-R^M;QFi)HYTjyh!YJX_w!Pbh@@-Bod8Gi^@ zSE^u``aApQ>8XON1Zj=~iP%7XArX<&#Wl#^O9;P6VyQ6dO>9fIWqwL@ta0geLu&7rC_O+2}_|=%8d?WXz-EMO9pk^X4_`pD1|7iE}VKf4l6qHBp`a zJ<~=cY2BcWXnL2j5-&EfFDq*$w~}OXnc9yRvmXY(6-A3{OSU~tRvTA+k+1*wOmH_7 z!JK)CFP6@{q&nPHp8F3(zDh9Ilz{Yl+$>-p|6JFsqjid9XpgmeKb)dJp6=;(gYN;> zt$28d_|n75?btNM!IV7-^vzwYEIuKEy}CRnlqFYI!^g2w`dR%o*ByN$a*x`?bjf** z06Ip>e#ajek9u%19`2BJ0j&$jrhvT+JIU@&!rX#^7F%^ZGjnwJ1NE(c2z0>eN%zU2 z$!6eY^K{*s>AhmgtJ$9s2?{vnyv1@z5&0Gt{CKKhv^J9geB$LS2i%}?p2s-Em~kK$ z<4e){5uMgP@kmJGh)w6 z6%i?v5)1)CbR*8IbD9}sr@D9{K-5W4R0hA_i9f|?eTZP8yzXW=Ywh--TU=3%!l%rv zFFhlj8g_6_SBA1O71@pR7jR=f9f=M`t;b1q?iF?NV$HtQ;vy)91vyOLla-H=;p>u|3+3$hWR5qrzgvR0Uzvc zh&R#m;hmwx?hG5nooZI4OvIcnGJNnf$+2nNtgtWh<3;z0zBDe@x%@YVGkrg?d(7p~F;+K}HVOB&_h(CUR-0AVZ(SHd|_W2!u_ zngx|>9QyHk4O`Y>?5sTYkw0HatK8_pn<`&RS*ozxTjuh3AzPNVYyQ7nuFoZx5gM zIho*R2D>KU3rT>Eb?WjdCtc%Rja#k{q)ov@=dK;0iHcjkx`Z_Y{!%hZt%0vy-j$&q zoyGE%V^pgS<4R}QRDab?$sQ-VKP`NAM&Y>4S|Rm2V3^{lHK=8EgXZ_1JGaL)F#^SfXim0PO)X9btcM>Kf5LoT<{s0ZDR1xZWZ zKRG%n@|1WhuU+(Y&l3*XZu;wHlvyZ1uJkgOoZknFvN=854B$?;+S!da`~Cw8yM6kl z_KfoKl*dk$xFtH<8gUO1-l*rd=djbg&Is2$FKg@-4ZRHI21W7B7TsM6f7BQaGX9Bq zg+J|19&4&k`}ba2ex+!ImC(G$2G&$yuQYYtcvs_Mm6m^!E2wALLgsd?%L$`h#hA`xf!MyznT{nfr zb+VjTS5n0X)NdSNo@~Q{Z0w`KX#d>M>s+1G(_(;FA(=ZCNr92D7(MvS!9(QeKblU}#digDAYARAtDvzxTO$(CeJr!ay z?ws3pu;-D)o2NW^3I=)d6PUUrzJ+*HPsq( z{^P+#@Ohc!ZU!Wh@6i%t@&d%R9r>Ly%pSqwL}V>H35!M77{zwgJbNIsh!LkKG@_%P z+o*Se9#0VE7E9+ni{CcWNSuwb97eOOcQV@G>um>h(G!_Q*v{%uw%6);D?sS$4EcBt z&j^?K%BO%V)9$7cbRXC$S2N_B>sa5x{P#R4zEya%iBxa=2wle)yr1oLM_)M^-czJN zY*ToKUb1Tac`?>Md_0B?(ZHMC^LBerJ?Jee9?D5$?}&Ddoi8m_??pF6-JLIY-?UsD zYmzQ4ODBgr0>|~(C`ptwO)UPcG%esP9Hkbyj&Zq;sjd}X1XG6DEcv*SHJ4V%_SAhk z96Jb(g9>KQ{(*D7`*p4GtIofo{7Zh0P<=c8;q(3u+Iix3?oD_}RdTo+vyvZ_zYLdT zA9dqq(h>VsZ3#-qu>!qRpmKRFX#de*{_Su5JrKWOA}8{#xz3(4qykC61v;d{D}6*F zceTp+naR0r*Q`QInX5=vha}rc*j2=Tg}Wv3#kK6y(ptwS58B4$Lru4dy<~RE=hp^t zyHMQ-KR0fbR%IQT0utZOwsN<=uBayaRVz8!V53~+%#W(V{8Ue%gd-tWvxU_~izDy$ zwQ5Gattyq@urh%TDs$IiHd3ulcU5b}vMNojzT1nP=v*A-C#q<21`Dmb<~d#1Yos<)8l)Wcer(F~wX zb2-Ypj+6I#gBQ8(RTbEKV~7#)>N4!hc8O@8yUEmkp!SY}IQMoryvf`)GNpSuguW6* zvfc>&HSYiM`UvaV_r8$ZH5Da|QRe9)#{0KUR4AKC(;22XV)q+uYR4@6T$Je!cLmBK z+f4NVj5xy0N+LT(fi{!dc*O-`tc{ZkM1FVXV8h?&HQ?})k>*lmDo^5D*uDJJ!=@+l zVID=91MLBYRTuqyk~wi)-*Ct#5XpH(2Yoh_)oTO32Sxb`efJ>0w--LwotxjT7j7@D z@Ku^fPgL8FZKahwPdxUWVW{65^Fq9b=&3US1oLJw0%2S^dhZl=8MiEa)gGyX-^YzM zMjQ3d+(9dU!JhKB?wcP4WGS$7no+O|Lq2g*S;`(*7?|s~%_$cjVdI&$22jtB|EDqjL zwE+%6%|!4lY$~zwr`5k4x4EN!w5&;Eidlxkq8Oqd8H3KU zne9h~?e;R;F6sq$oVhmL-)j{TZy(ey4?rab4$c>M~oO-zANOhS{9yBT0ea)I{7q~%|a$K%Wo+ATzed4lRHO|%%*dkZsXg8{&lCQ zIXCluD{H|fW2M)d{%eu79myHW1YuEJYLRswQH@wMpeC!doy4gmeQBY?Iz!?0X#~NsJ_Agp z_~gaihE^hNuiXpXnFCh=f>v17Rq4Usds4O`9OcUI!hCukf}XMc$2-w}FDmZ3{c~{< zZzJfPkI292=Z|*R*6#{(Idn`ro;j0#7i!L90L_B!0d7HfR3_b}w={_GGh%EAxAK|1 zu8JJdtVaIPoMPNxTBfu=rL5}+#PCy{j*dQ;l8Bp><3FY}{nw+3B$7Du0Yv3uvwoi5 zLZ61iREELyNGo-ko?{vi2x+84|8Mx=YpB%h_rM+Y5vqw>L82X+ce-me4FPawY1p4j zTq4ZIoV1cwF%o;W-cVK-17`pUdu3~@69j*ciTq#z!7P=E<-9d{sDA^!r&c|U#lH;| zVXucZsO`g{jF$CFn8QCHU%z{=Ti;u#dmAN`8r1b_#0*^Rw% zb1@A$!6562`3_r>@Bd)x6~fRabOfN^>g^hePxe-J5b(VJIHw!&su%1HM^026vIp+z3`?!2-h*(jOuoQ?VrwyH)3|oH!T_Mm?WN*aTuZZ-f~Mo;GbHZ`0?)Mu(eO*i8O;O3SY@a=knk)UoRKnL1(LrQ-&itQI7RzQZztp z1d#QHG~hbf zGOU|$&GpAOzc;$WZH40^QNF~zYfe#afw&L`NRzwxxx zX(c&6mpLi6Bbi%^;T_^Q$qm20Q1f<}8us7!OH3o#5Q(k6V@{b08@X%Y*z~eRi!x9F$=%d)VuZ}20CQ@R1lLv$-eM}>M_}C&uY#7?e}*#^j|Zb z_kz8+ow1qOv^5jXsRQv|Gh)46b!)Ff@?wyzmIH8XM8(0cwOkA2>-@YE7_Fc3ITOhG z`b4e~r-+&aQBNq6@k=dV8nioG%C8MVXq}GU4~w{lr%9Ze-Iod<)ghq0d7_QjXLfu; zO$aSwE%1bp#?=mS`Vc-1qcoq+;bZiMmre_p-UWqtBi7$NPO!#+j;~xyYrWq9L1k}d zngYPL8a;<5rX{GcbR?v=jzmdQ@gca!6E}SZ*%cBkQCcMnWk}}{D{;JdEw4@&1X7k= zk)juitY$GsIyoU{sQn2xH07WM>}h5LKPLq9gcUxSG!^S)SoE|N;r1Vu)TWEMizE}d;>I=PWO#5r_s5~zBU$U zw>8HZ^Fp0v9!84qE4dtOmLP*>@AJ_&jmDog{72vdqxM?8P^AelVugr?i)hkv)dhto zNBhK@1%K9Qt=+vnjG^H#uOY{!fwvZY)6*_kW7sZUc)lLpBVy2FZXaevlXeeyO5LuJ z#YsBE0mFaU0$kOS@r#f0*=(^{p?L$}Z~gVRhyUtMX&k^WGQrStvEz5+M49HK6q*i_ z(2UuBkCNfF+se-aAwrjAgZYwL27bX-dA`s(-j|yr?c4dWGIMlgraa$epuW!tmz(=( z6R6^N_k@c*l~*wDy_9?w&+>12`H)U|4v}DQuxD9kPRIOm!z|}X=UBE&oKl@mO%$C= z)ucxgtogGE`JABsPIY6P(qc}5TuyG>e#;ajBa*2axGYAuC6$?&E_h;6R6Fq;sVVK| z`_rv5OV_s1h9qwfcW>XWII~%Sq4wVC9xwHu&0}S5&s|zkrA^fd(LTYi)*2GOw@bpS;VW0k z%<xz8RL;El8cS%r(2M7^YvKu+<||Rv5-G5&TXC zed}CnsnuV-a{l}-$mY~~wf4hM*TrX{#*MG+O!DBdnvt3pq`@bp)LP>~seinX#w&30 zSXyMq>spbtY-dB}*XJ@|I#ySx%oO$KJ~4-PN1X@ne2Kl_ zl5Y;5!STw69$Q!2t6x!Lk2*WuLKpKooj>OKXvJ%`u-sv<_>t$ZT(uF9Ihf>(8$Ue6D~kAIgcHlJht1k7+W7 z@ebRAS6`6876!CeODo-m$S+%@2hBQVE=j8Fb}vX}qUNXW#LYRTh#;1? z9Bqx#=4D7`TTfvq=$oaR-;(}H^}C|e%HNe|Q^iiL9=2bPt1Kk<8;%OV`2*(L=#+nW zbpSt)7f@`0cWlvqvh`k1536KL(&DwIxd*t+o@A1QFRVxVita3{wet+ryjptzs;Z{g z1%bsN=l4SH?@IH2WN-1lH&0JWlaC|dG!J&5%iA%Jr)K&F*-OZZ*nQ3~AN$^Oh=@NG z>I^$2%hk=Weecv`=UIc06R6Jf1%fufDq}i36%EP;*4#icT9umLCm+i?1Va{vh3@Yw zRy;fo@_qp>&UfM#^Qt7=Uc(POK<}79E5FdkoBN7m(8Xmr;d@rjiRC)*&g(M=@?S$M zh?*Vf?qpn$?A7JT{#}XdU41X?_M%1U>`i(^sMF`gby#XdaCYtE^o8xK*sD^rZ{UN9J`_ zb2_WQV+xYIwnT_T4}j~a&em)cbKfhX1||D|HFcCAV}p7$nau2G=Kl;mJQC^YTeCbt;r1yqN-uE=t?8CW z2CMlO$Y=G^YEj874I+DJ9?_n4S~2yGvwYE06|7&$avIFqu;P!lD*>fnQb+AiO3b4) zw7QCFP#LSZ`Dx~E`-PBT6EM4R3^fM%tI7{mD!55&{%QTVQi;gNYeXrI-m`1T*5vQh zQ#s=d-^6QVHSbn86aQ(nk@#;jZtx7VfKT+dzKW!0h?DNO=8S}g!8D04(fnbQ#i(1U z(-Qps@Rg^B9wfc4U&|&;s-1sjr`kIltO}2I2{GK1Y@d$)H14lVIQjh&JYZja)D%2t zZyOhRobsoJ^v9PJG@Ydphc(}z4ODc_kp+ymRZ_K|DlE!nUpmqZx3Xlax~&E~ui#C; zs8po`upCOgA7%LgopY;DQ<2>zPlD|YIzQ!?`f!B+?W#Pm8uk|ICTjrQFwW~R8gY#G z7mQ@cb&IQ?+0Q!EbFAvAOuxde6u?)7Qmi^AiXU6b87~h0h^4ko5H$4C>O$wB@@<+M z4K|%PP-$b|2+Pb&oP2q@lBAMA*!*S>M8j?7w4fkQyTf0X9I0sE4>eWdC6Zlv8zfVzXj&4gDLAQzT~1AM}-tIS0DWw#T!CXoiq`!jnhQLxE7yQ__-=I27bRY9J9X5txll2_O(?5S-XX50HE zmHb37m0S`J53{l!A4cyhC65IKDf{H+OvH2-Zu0RVe;j@Y@Ehsl#`VedbA7y}m}Xi> zWgbO;JjFnKQGa|9bOp)OvNB43L+1lGt>yy}mpJ%d592%Dj1Ea?Hc6;0e6gP}UPy@E zXPoQI`uMRR(MQ(Gfu{0_84}@7q%X$(ypq{wtU51cjXf4a#3+ad!+|AHk!l=iGskFA z8D|0I+V=;<;WG~$A8fDLpBujB`N>FYM8SAu;@mH}!O)!|xz^C>KL!g^r6>2cI>TC& zbWvvWun5f!)f^=?ED4X-d=L{$Phh~#&2nlOb`C8?>gcx-WNmiu%1Va#Fqmzrl=JCBf=1u$v~0r#>*qYy)N^=!~mzoVl*QR zgH6Ry(ip!I*H9LbpBEQ14&|MIB(o zPH4iQ?4nROF~LlJ@snL5B?Z(snmGahp3BNU04rP1sZQivICjG^f^04uS}a|jCa!?o zud)GpM5&x#L!-S&W!4wl5E3bLu>CJDbeI*S(iG{nd8c!QIJ=^;9k!MZXa279G|y4! z@c0mr-pT!|5N6k*RN2ti__}_IOCN)jN29t)(S|EDdIR+2w~w9TJK+EUCo-nIOJilXNd{QE{^l za2XEp>CdC#${?LrYXJxIi#>+eIilD(s@OTQm>+TI>bFn`Zu~R%8ZoXY67-k=7Utx1 z?)`TMNAB4qeGu# zU0shBb@-G@r3UiTq4Kb!^3bDNhmnPak%7>~ViCn+QN?1B#bVLLa22*nFlc_XA$Q+Qw)`>$QIS7TP_ly|g+Is@T z;fdEEe@EkQ1eroz>b>VWp6r)=air#%8?b@z90iUJS|h&xm*)tz9AQJaFAk!s7(~tI zXV!7q^c%uu$-j4Dg||@mglgWQp&)hBPa^D{qDpsn%E}`H!k2KZP%o}8dbOr)9b=T2pbB07+5NR8gA-ezCQa^Z`Gi~6Yy`U zYwOd1*bR7R0L2D((p_tLKusS~>Z_vMYR?* z`{OTQ`kA_U-&Z;4CRZ9Nr_>VDdJyn6S5kC29m+T7mY;JS;}CYD@3q2@&-nZ{%e%tJ z-K0?u383b|FB!s)u2E88lu$ghFE1lka|%#|BAQy0coM8tMX1linPT`^&ucKpc1 z#M-#@KDJSGRCW4kYy>rl#XyB+3F|=Vs@0!W?NGwEp=5=aa7(-?0d4 z7PLv*zt?qJ?Sv|1X;vHedZ{)n6fDf^quVP4mR2|ZtXrx#zZqfxO#I836tfdvsDj!W z_#v2X*k*P6y-S%@kewyqAZSN1p}Y$Q!51*I`52FJW59k{`ueyT?j>eqc0|5fR7+pZDJ3=%<`U#wF@y z8bDYu)QA}#ZymO#ny5FP*N(-L?}m)Y_&{Th*B(-CFUc>eE)*qzIS(pFC@iK&5;GtQ zjfVc*aK_DihsA|;Zl#Uy{Hs21HZVL54vPtm&e;STr-sSml^I$rU=`-oMMJ5^CQ*^oQgP&iq_44=5JCfs0b zS4$h8__HNJDFIP()j}F6m=DB_E?c>4|0VD0+qqKA9XlFCrqc@T#Iu#nmEuer0v2_* zCNao45qy>t$u00&F=W;!KG_F<9Sae5L{L>%k7%R$GR;Sahawx%#{Gq*5lT5kvy@!+ zK_`jm`Ue*|LKEXh!|}DwokE>ScMWlV?2E`CVNf{NCoBhe@}@(>qk(cP!@YBvn8zAn zIK>JF6lAYXsqhA{p%t>WG6k#h43#fP)unY_*Tt)oylM4n5aT4TBDsvtP z@*?FaynABaEoI(CF&@E#u4o0%PV2>SbBA+(59cNc_cu4h#<^bk=qdZ-TSLj-sZqU1 zNVf>B-_NBgtUQ4@$FM5p7?K5oI3dq*G;?9EG#s>o+fo%so~S0@F{yN?(X}}oR&7zp z8PUiYVFNJ^B}1=<6Cp3_Uy7n$nVt+YMsI|wyLp#(_=3VQN)g|S0x?Pu-*st7KEcR| z9+`apl4$=YBA`_W7h@|1%KP$TZVp+}SVRyyk@R_~z=;y9pdl%sb zcxuELbk)N$AT+a`hlRnIsnua*_IG>wuNci95;eIO`LIX3v9)Odkr8cQHkqczURI&Z zHe0w^bz}ym6uX_DT zlwC}T8x{XK7gGxMG7@Vdqyu>CYAEm{LaeBoZoz{F`vz-*_gh8#loFgTPi$XUi-VYc z0|iE`9C`wsxh7*em6vpEQ<&MW?5V^TPq8yWK|_JPqZVatQ`5tWV;_p`U5h=n1g{01C-&&%*qz&)k_JRkPr~R<#VJoM~BR2A!8J z&&{ohx`O1CTs&kOk#PvR@np~`W+~V}FUUi}r}zcd5lvTDy9@($TrH`wj~Q_5SV&U94$ii=%XLzFD~uLXU?ZcwaShiBDJh$e@4@e3^+wP`;41!*E_mq@oLYB8 zSsBjyPdt91M!414B7U^;h>G})d>TpVr7ls?I5v@(UQHo^G~Jj2jy>2!eOSldo% zIe!z~WPTrcFz|`L%3)M(UNzvj)MXR@Q6bikFKhDbli1_PEXPKDO>T28J$j=031b`h zkWv!M_@zjav!cSDm03eh;e>HvP(YkwP*5V=W&`w;VPHazwfTbtm)p-s3&#@IYnC~O zz9tkzVIug7$f>vw+G00JE6EIQRCPBh9l);VSK?+rw*k13^hjbuM{VZ+adD-q(9JCH z(b_G`^vdJJ+rQ+AM)Vw*W$mcIbv&m_ojta}d;f}BoGf}b^RobF%B6><=n52o$5T~| z<5hjo8IU((S zCmfzjud6FkT4Y-lY+RgH@`ov4hH{ysO3|7RD8B4nbn2Y?8DZpDaU;L!C zb&f#mb~-W#Y)^v@u5lFp4qT9Ks|4e4S0-SjgqUC!5Cd&be3n?guN0Wu**WDedmXIO z-B0O&C-3rR>00E=8iK5+#9l0@R^7r4=%y$kH0SM$ED@CcSQhE z&V}AAX+t4)6t$+3&*T+F&Tu?qmnmB&Uu)!_A8L{`j~HObZA9rMY2onb#Qv)5v}$tA z*XFlI$aadZLZAG!kO`Z;#n+QI44?s6PoF8~a?>B6fF-bK_prKID!}i&F z2Q)3E?jH;Oe*uOAdHfQ%v$#VzT8Ex%IRdcEv4Zf%0@sqMjQ#S>iQCb^r29D2Q56`6 zVW4QB@uSN%%@DVg2%1IiiwitF9wh8!6Rn5ONH+Yq1;=lKw^|!9B}FRCHF&*2jHL1X{g*p{lWG>4LS^-e>R)2^(sKnE?#|%A&uC77YoBiW zqJh506G6|kYv)3dxvqwFO&KLBX?@mnXR4kj<)m&fM&2P2G{F%h_H1A|AUD{?azv;i zmbSF~ho?Fl>t;qK2I13Ryg@%o4wt+L|H;0E;rDbLOZSDC}UK}{>H>oh9_*jC0!R1Ili1TsfXh*qY>sCGh0etb!b z5D&%(bP*X<)M^YX9i6Gnh%t~#Vv=?)K@BqEQxRjpN7}=n>qP`8j{$EJ7b!}@rVNS@ zNk;r}yt0Eh#EIajF4ZJocNLx0Z0-04y2OPt+B}wHsomNF3+KcbB+?135t~qp@22Gz%pk1kXiCCB-wRcKm}HE=mely_gXe$8+KgIP$_3 ze95|-kCniuNYH&Oaz{ur>tnmM3z(7i4i$~CN{01qE_re@Fw*~gS@3k#)iS|iJztiJ znWM3?;ygSkRpE<-N!L>R&odDre|T8et!WV?gzJbez@y%CUKI#^4ZQq?~-P)k?ExaUti`2boo^I(jWF!XfDnZd2H5m jvk$~I0$aMEmHW7ojBfs$EsA6Y$|$UyD02S;00960Je}&% diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index 96e47cf3057e983856b288829abd9fb581ac4b3a..335d22f916b6e6500ab506a0969425fb000f150f 100755 GIT binary patch delta 1059 zcmV+;1l;@P3b+f9Q-2yD00000003qL00000001BY00000006iJ00000004La?AB>) z6lE9(@a52Gf>9D9iHXSul$P6Ql&e4w4mkzN4GN`QOKI6H?IMSu7{Fi@ycG{Tzyl97 z9xNDl-@>i@?AHi?bE9GzHj69szafk7={GI$gXQ&V359&4Y zQ0`R!i9hof{>rw@XM1*Jck}I%uabY0f9Gyq%$X4n-_og#c~Npsb9@O>VtVueW<)b z9wwJ^6n{r^0w?lL$34g?>UYU0c{-~(lXaZU`CP!e&9g{e%6rt8HS{&qxq|oVTPd&N zYOdjZ`qs*0?Sqkr(xeR>AZeW%cz{mFXF&bT(N&EmdA!m99*7N%kvA)?~_)sX4V}>H4Zny7#2e zTQn=1Ne-yVOf9QPmWG1@!|O6LGTG3OEK64;`=%=+2ru5bp5dl3g<|Ki+}sa7F}pVEgDZmh3H>vUbvMS2BUi7Xw;TS zoENq|$M%l@IV%u-1gG_i=7{dm(`haYO&kBKw>G-8_!X}}yaMqGG{O~#err$nhq4jA z{rD}#D-f?hBVU2&87`MQH@wR|r+6S-7f?;xOuPc|3jAvYPCUc?e-DR$0kaSURsrzH8OZ126oz3+4)tQ-3BM00000000yO00000001BY00000003qM00000004La?AA$a zRb?0kU|KLv1zTz9Kq(gxK|u=w$|yq%Efla66$czT1slq}SZ*C~KoJLUAQ~2eMqTJa zSZQJu5siRDP$&qk1H^?c)M!FAU}%BXbDF2<#=wT%xyk8s-hc1=?m4%AZ%+Tt&d$zW zJ^p=l1i5Dr!{bzNo}v}jQ~z^*GRP-_qdhpYMpCGxRUzR;KeqPBy=k%UI8C=04(V_3d(n{IUEAOVzL7 z0)DE$gC*)Wa;JK^yi5L!v(y*zbM+hKFXS&dU%imK)o03I$@Ao^S` za=-cQGCj>)gdqc}u;v^-QED__Im>Q~AKc@;wSr|^0fv6v5-pDE8_8Rv2VE4bYI9^@kRd*#J)C98N3>lo(>CfVRR zE9F()bLwm4wOq&bOq$yuZ{#Mv&PH=@%5QNqx3I|EJMz1HpC9l(bKB(|+{s;>VQ#nF z#C^P9zgceKAs*&*b3e(y@K^rEY37c|M|q6xJb%HT&7YJ{v4dOm!wpFIyhvdxd$JeL zD2nA=>pncwk6KF>=$fA-6-@?k#0 zm3)Z%IKllUauO$V3STxqRi4HfEMhT#G=Dem;$D`R%l7&AsP~ae^~*Sq^BL|TQ-Y&M z_Z&V~wKb*+D{7YnyUTvtDsP5Hms@I)V1^omv>T7hT!QSKzGn8fUR_`3JLN1Xcl=?d4AZ diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 6ad876cc6393c652c1e84487759fbbca2d60db23..88123fa29bd38002965d44fff32e2cee95d36614 100755 GIT binary patch delta 12871 zcmX9l1CS;>&#PP8wr$(EwQbwpXT7(!ZQHhO+cs|b-~0WYY0@N}Op|n`oiyDwSTK!K z1~i!f1O3-jnf`}>+(G^yFEIZ<_5i-}y^J-Ha#Lq(sc*G4a376rt|xLcH!m68T-Lp< zvD0W;*HqnZ*RCC(y2;TDj?mU@t)S0#WjQr7dtGAI5=`j^Ate?3BM*-C4NTfYNOT{N z3I+fYt`b^m~XXF$YpUl9t?$})W-6^ zpA`xW?QU&tso!z9UvF%g-z(c?Hy4`CMQhcUguWaul@9U*R$Npz?!Ki1Juw zllHG;6>8;?abKQS#EtE=cC7zAL?Zjm$qmSr zdeU=u5B8$6cN}=Nl9dCK%yK8(iPP{$pmMMlXH0(pBFO9EK#U#UysF*TEJ+o$BP7pB zp+wC57Vmr^R3j^(hEvRHWzT_vq=#?ww(Z2D_v)~qKPPZOB3X{-WF5aem-v2J1G}M@ zH|&UF1=etKBohQ|3;t$z*AiU25fV;P&~ewStdP6!BAE$nbH`=v=mzWJ<>fdwCZtJq2<-^N2jWvo71+nUDwbx7hIQM~oNpl;^5oL_7W)qi)B?jZaB2zg_ z7;^l{$ktvheglZL##ftNwwFE6%mf~7HNm{5PTyi@jBmNmwY+hBVIUSw_!ECRDySjw z=uSKY<+&rf=^V(uZRm?ZMg&X{eX$Uj_twG!ASj;zu*Isv1a4I9dI5&^PsIPM7`F)? zH)}1l58g8ntXWym9*K&{P4a`{xZP&z#3eeHk-Q<EEc${H+0e61lm6oO?;gr%`uh_%4(N0(FlzkK`xx~A^g=8ata5R}Y#90RLj?f} zgJM!fLDzo(SnTVcpO2&$p`iLPvBNG;Cpg?L+l0I^9iEW!Hn>p4&8e8L8ert6+ygcBK7L^eJduh*4X}gk>3 zfh(*?P%RjR3)$7IO2Zo9Kiqq(s@|oc8wd$`>#&)aG$n;v`roM2Fp+N|NR)tyGRYm$ zx!oqFrG8FF8F;$NGopt!M@zo-)CbARP&~0M5-at>;D@u1bejHq81?m0lmN7*@n-hn8UzUWeblpP8P5;TQAYVbZeM=VX&>X`Y|^XA*Gmc7`^NmtT!D;l|B7V|N#g zUHeM>uhBnBmVe;bKqX;7SrWKB$N61_mH7$b35d3k>@Y{w12*B}=su=<2OSnWg#$<@%hoHu*-Ul$TEp9jaw}cTq+YE8DIrX%sjy3Uut9{AP zCQ9*R+K>UObGLmfwbG9AYqjMi?~bP50!DiaAYlYGr5&VO2xSoE%h zLlqUDHk+}C+m9SEd(C(o2Ag>Kr#)B?6?1H&Py`YS({ZCo7(DA(xUlIYSb44}ul-z5 z$p*zOotC84h4E+gNxwH>_a#4!QT5Gvap^8u@ZtZg|F)^gTa!%As&Osf+Cg~@dMl69 z8^*oG`pN-dJpVy%-V~+9%Ic#vJYV;l^O!hJ^95aez0;)I7=*Afl37AEmoShg?(*Z9 z#uu+T<1vAFY|()L{OPt`qX-AHYG_yN&>uUFMCUq=AB*dG zj1=?EwB57Nw{MNX%(f+sVsZG5kfq{y?qj7sa2}4#wjDWIoV^$M*17oh7OlmbK_1+<;2nK2+^f4>$+F69vH%`y}#i=*X%zvbyuUc|6awV|=-@=J{G@A<5lo&y+dUuBr zP`09Mv}tr^VDX!J3xHD`SxE~QQNvB@VcrBl)yEfQHaYCzU&Z95xAyyYLP_9n5(0nM z4tgqsp16bO6+IaDL(q@|kcE7y4>OGrLM@0}0_EI;#~dVP?od8`3XGh;79k&WB(ony za0Q}l4m~j&Nu2DA7MJ$K@lIZ}wI$d2C8_n(!p37EzAJe zGgF7_h=%OU8PQQ@B!%l^7VOMk`|(IoQZ`iiL9TB7D_4PZdIap92G)Cl)z;2urfcFo z{wGE=D;IyPJVMD3B#a7E?>Z`;*inGsczL-z=VmkI*y}JJd+!wJ{1h>zhH{1GOl-r; z4`(_P6_6W>86a5*LcD=oC5R@zC$9h!@_gm2q(WZnB3tHR#xsjL;<;?(>NZCh&&7WA zLk}Z~(oxM+UC(x~R-UYHFshn6Kg(f5SkiUZ4F@N)WCDs4p!KT8RSyed4Mt|5$qX4-x zp#3HaP7SZEw$J0!sCzlbK6KUrYr3Q(otPkNyfv_~to+vZ=tJ&YSD_X#cdwg`QMKc# zJ#_LtvoIm->u8wYRbeEBV`otKvfkgaRboaUZ?qM`cv+L@0p?iKCZnjM)lOmuLx{mK zbTH81Az~pbw!O3pe&Vq)w4vKqi{j%uE!>+TjL-z_HsM?a$}76D%6QV2?uo>SLG}v< zodPRg?zcD)LMNGTV66`z6%M!?E2f6`Aeq=6dTY1OcHCoa1|!9uVMh#&flj)=-P!)R z=965^J8ZJHL+G?TqCl0;AzGpT-5gl@oLELeK?5tc-CyZg$i)n<6lJ;!A~-Z^fkHWQ zB3@dai{|$FO1*`n5~6t`Xj|z^(e*K}A)nvb$=lS_(AV{O=3oQx@UW4+tQ~3nX7B2F zJhL4#9Kxmxp<}}?P`hvxB1JtjRz*@cU0vO6r5m7(+JE;(DOm@?c2Th=zh4^6qh$LV zU#q0{ieTyWr3JxFh9{Gn4@+UA<}q%5yIU78J!~MF;t;N5G;>+Y)Lz~26Zo@kxRQfI zN-yoSH6KZh8p0d!@jM}Sd|Aw0hquHriQrCi%ex?oWDlkOO4UXC`jv+@6s@qTfd7)K zu!b<hx#Pb1-vRHi?njJP6o%%-I+5VP=eo8(G(;I&E`kGVMuv<;AgnxZ& z-|K$oVc)6eRTnuumNu0{-cwL@$`ZBmx^!-u% zGAL9U-qcGrN88IcX*#0vzF-!`;yOJTgZZKIKad`H9|T?5ya@`5wmQB)xe18ctWvdt zMiZZP_8uJ_zE`5o*Ys|)T)S{e;PUt(vj?Z`cSx3CBCQ6mAshy`_(+}hslc; z+dN(uL=+Bae|>fJQHf~j`jNa-lXV3Bf~!RzXdb~%SDRQm?U6A;j1B{7uXBj~sU3&( z+@342gjgz_go!jd?Rh`*w_Ya+)iG48^HKi#@hg{?o^jHLb$43whws zQ}5^D(S;v8D#M(7wVksUTDkHVbJ?*$$^BJ=_{&{(6D8H~lW|sswb@Z3U_JS@g2+E? z(EAw>Ba5qx`vBsNm2vo+4HAktnt?7SsWy8LREH;)=Q6VmV!4dM4FfyW7~y#hF*!%k zehuHLs7E;^9}0a5#}L*IMr0@>3_Tkz-u}YEZi_D!U5&`Z86aXQQYoPc5JIZsbofILwZ4m~zO7O%qA+nF!Md&C+C!^Zmw(B%Z?KE71 zfKMWg;I^w&R=j|HfL&Q2s>!_Vmu|)^FK8(g*+O)$Nl?@tfbMwy-K_*ZE28^qvsCn( zw;#@QeEt*ke8n(&vP%^jdT8}_`=lpt#+FUAecOT~){aMm?xWmTKH-^^^YLU%c&-YN zxoh=Y*9jWIGLWXpyS3)lX!3eF%^b;`#XL&zn^r$8E%q>1xgj~owHG6pX-Y62~ z?a>Pzdh}p5&=%79wFLYD&4Sh8VZLovxL7h%qGkhbYO^y*1D(K}oGUYz8eWNMQ*L`k zUbmlPv13@~gRtf#HV7;$xSc)*^Q#p=uuNpmSt+&JBP*vYjnY^kIONEXqP}LKp($+) z#JM-==djROp&bU=orKU`v)KjOeHLc+v3lj^kMR%?MhUagh1KIa#*8l&g5W_LS*FGs zY4o;32#+2yrKzQ57TC^Ju;dS1v(3*3;|LlAN~>9T{u34A`}1~J4mvDs6;lTow+I%0 z5G6Td1+i&qWaWg?YlY%Ibv$IX9In=rV9hX$+p=@Y&6O3mN@>b}5zC~ZxAj_dY)HIx zhltan1789wQRW(9wuMD>&~62k-x2h!J}g|o``y){`qnY)rT4*fpyIApG+6mShnCIx zZmHUfn!a}e*A;7Dx}#zyS06GXB21g+8gUfIcc&q5F#jz^Zm8X&FQvD`v-WV@eTO}j z#E5ZlUPuQPWkO ze~L)jd9h4{Jw#qj;EDp^^W_xZjWD{TJ|wX(ldr`WbBUBpljx@SM3qd-A8^5@?QY+$ z2uGSbAYcr&Q)(G*@&Ybf?)h%?%7q2Ss93oe_3C|W3bx-khvw)N^{MvWK{ok;XJHwk z)Wig03(BrpIOZLNdvXG52s3> zJh~2(?hPA>t}srHf`8;tC-dnTlF{{-+3U-~%pY(U^$M)}=6hDa3!<e5+zeBjb4&@<&O}-Uiem&-v)@uDa?DqPVO=mY4d4zZtJqQXnB z*Wl8;?MjXLu~>|a8|A;zJ$V78`BEc2%J~+iW5D~d5*e48t`wgE(WxZ7X>QmIV1;rBB1Y{`5)PDm|Maonv(h1jSxCO8|wbnRp>d)=o^ zK}%@T0Ws8M+j34hoB2Y{v~liN)L<$JOWx*xYy{P_5u$sj;sgCfljP)(+eS$K;NjRg zV6p)UZ#>1#Sa(Mzh3DxS%Z3y=0-Xn#>(Q}Ksd-@eAl(A9YRdZUX{@I2+RI8_OiI34 z7_LlTC(&9+gYQgw%=FfXc=vIa`AN;b6>$z1;eE13_NM#H^x8l~tV=$+XS$Y{jwvml z3Thl}%=TCqaAn40#<|eMSXOL%?L2l;McDxejk%eAAl|)1efK6#(_KmTstk+BAEl>w z&=I<%lt`&8Gh%Fcr9+n{D=M>uGhK03i89;|J7>+AV=*8!k656)v+sAR`+W&~H)%uN z$AmQP-=waApfjoVVX|rJ_fSChCekUMNN*R01vk$lXO3K`tvM(v6h6BN@{ht|JY4`# zN_==1O6iB<+h}I$;i*wC{Xp6V73t@GVAFRC6=rqj?K>QDiEPs8wp)j&eT|fR&&p)h zB)?$2z!q9QYq7L6f1aLbW0bkfVz==`LPNZ0l`{d@s&mwc&=ly8L-y9DqBiqrBOEWd z+!DstCv&FOrm>Duk(35`=F2(oP+q_il$P@g=lRlkb3VeJ$>5p5`ONEv1DMF%Q1rvg zD*kfC22Zl3y?ztrQZwA+tY-txdrcjUosA7YKc&68vA#4lVs?8baz`S@VCcj^D&`Bj z?|J)~P-*RzT3sHfjQi6t@>?K<2*tF5B)Zyhy~kb6Z)yMqzm7Fj;n9dCZnwQ!h1B(_?&;G7EdFsCo$fJS#ymd5 z+6uL@6IJP;VJB@+P}9T^?jiiGdL^ zL90A71p_gfN#KIgf#+h`04cuY|D>1pMC(reiWGhxUy)_-&HVc@6qp=)I3bP5>6VmbHPJF_Z8>s>}O zWfe&$PvwM9TBavfgo~Z4uHUFKtZGjvf1ZNDd*$w~Ph%=tRtAuGOvq_Z8nmla_k?HIdS*s`mS?a-Mseet|l?0*nX;_@Gi{E0rrem4$ebB3ZIO>Mbs1chc@ueaXPvv#v#HMNk z`D60Zym;1@89@tT%d!UymSY%^n?>nZo_RLdfI{9N0}sHKss#Nh$mxuY;;_L~dUqf- zb;6`Kdw9mfx5cd0Ip-lqy9a77v;HmTiX2-loq z-bD63%F7^V5%f^5ax}mU?nG##+{}Q5fktv{s+fA?gz^`eU@I6^|5exv^MISWmYqT$syibI5`I%m>~WJYH5aq^uGQ<5ibiPL6pSh;byy?#(u2kkD2=o{ zU$g~?+(HKRpY2m7;_`(~W_3pL6F8q)i@j8Nkw!AwRxkZl8^%PXlca%wPZqK1t~xU8 z_Pz`uOAyTA+j-n4mW%r)lrEi7u4d%qJuIDS9Z&#;y8;nBLKx42Zjt2$z(7pXCr7}u6EOgUloAE=e zJqiG2OpwDji-v5uNl>EM&N}Y2lD&ePaxwWkc~i>3vU8z@j1sXnLDY%Y4tVPh=Pi_I z?Ne?p6lNdn3JiZa#IyvIG=V7N#T95QT;a)gt->s_e7F(7?L@&F;bjg@6SC`jDjI^d zS=?aX(X;^LV(HSS5g|S;QpfzlB5bc-?*oWPW2}#OPCA7=$ltUkxiaD@wF}Uh3?{Nb zeXP{_rs;mZNFFmBv*w_eNNBc0*oG_#zWLXDj?O%7hiec{d! zC*DfTVfa`^R+K1GJFpPPOzndd2oU%bWo?gpO~Ia=#xYu|U>rL!-3DsiU^rH2%K;q#M zQpdZ(ZzAgML(jXN_oU2b9W6MxW7uJ6d{g5QeQgeH9~07Ay7|(&5~|UhT-6^7^VPVe za$~)hkpRVnu`&=Mt?)sc!_av<1powiqK~*Sx)55uxc*yQ|_@4u4!jJ9NVF` z5hcZ0{{uOV|d#f~%%F9_<9*iY<4mb#w(rA|! z9##NZwA8&Xvo)5&<5hBEsf{qL45X_4E!J@;Z=~Q<=1LlAy{awq_YYj0v^;-i_Hep% z!4(}P=16I#RvO_-#ts|`r7mFRfy4t#141muVccKSTjaovx|#2D4@(U9eBS9M?nEgL z!;fj=g+R^aK2o8CZbzmx3R#=(>u*S}V2UnXNxhfQ#(`>y?LG9P?K$*fi%*E9cKbk6 zwVu#M6LfootWcsGnsy-hH8ne!?1rihL`D z$0>#A;~6+5wrN>Ht$&1v2Vr@$OwoPE0nj#YYsw{=2a8TYM7{d`8R+KG#d45u5v%@VLw&V0d?4&XAKM!B~{ zO@?dM`rbI9=q+kc;?N71HdVA9_AT>#;I#W>Jxc9HiO^c3;IT`8*elgsDTt}&-58C| zHPejrni!!|#{XoUsK~smRH*JKt5v8*e=a?NI%>ODM%^p;UU!U4f)E%kK!1KciRZWb zM2*y10`S_!KLGYhHI@p#&v+O9X);obd~Y44Q^NnBTt7$Rz3qOHvVt|}wpW;3VB(#6 zO$Ik-^jJwq;I%Cw13~}}DuryDx|f=)x`)|S%NOlJMJ~mVzhe2)?}5*c+VV20J{2<^<;3deYzq$7yPnP1Nr4g#V%x?6TrotqpojWGu5 zAsk>jz^OJ$kpgvyiJhxK9%ZcUW0cMq!*w72Pk=r&oF2!a)vm)ZSvF&co4JoN3d(KT zklDy(Y7EpLk(3-LRWW{Y);-|B{H8HZ_G-tijZj`CLp6W;v-$bt}%O1 z!{Y}!t)dj+EaHJpj_-XJ#2}iJ&;g}fiwcK{5^A&p50YYt;E9w-b8g;a1i zSrP=->~|cHFLRhJ3#r)YY`Ay%;xmt`UnWPu9!9LJh~_UUjx{f6)+B1;H& z#o34~pOaBcMT-La(ZS1T2O?}{CZL(F2`>KEly7ScP|oDoj^$?zubd<}DX7b3>u?JZ z31}#AJ98h&pH#bQ{vKZ4mi?wblWGwS>`laR`{VA>%-VEe%vI?E zmh@*Asid5ACJ6<3m--2ef8i6>>CfN)v22Y4bu<<{rI52mn52+hzGRR;0ozBH&)L|| zjoi2l2V!#<%T~-d#Q4UiAI7?nq0?WZ2_U@*e%(EaikeDdJwDJb*D}zb75C2_>lf}^ zsAm(YsBfwy9(kRXZa5nD4-J+5iqR#OU3q$5{qd2g;1WC;D@?zraeuYDF#YmG(&OY_ zU`-f*G+I|ol)+F=#Mm2d0H}ql6?0}Wx-I2^Pc#?OTgfn3Yu2f(A+mJqXnSeCCN^a2 zRJFEA%5qLPjqe)jG=v2m>${Tf6o{rt8j8Jp23zz#~5$x zi*n;-Te^%Km1{Vn35D4oeb)+{|B|I~EmuA1w@Q8jX;h-3xzb7(jQ6VlP%XC3vJ=yOMB5?d7(mrrhe_y{=csDjOrB8!Dd))Hg-b^` zPmCF>?@5tqKNG&}=-)t6ojww`QN_5D+pK>cjA7kAMJ;n!Dmv#b6&&^_vh<#sLf9%;dNVJ-PE{#@z zBNDYvwr73LA@P6V!$eb#gOM=D?lgk_k4!^X&J&&lk??hQ@lNMObIdDCboq4;+Po>2Ba%%X)?Z@C(dj6+JjWR> ziq=^<`yjs+C6^h(}Zb=$*NdVsV|G(5I|K!LoD4FMAWMLw^RW-?KV)7zndX-5y>N0kILzp;p z7)_@+@?WBLqCc>$K2M))Xn>gzJ;fFETw~B}u$hd)DBJ{-8l2Kpme-z4{yr#dYdCp` zprGepEd`M3(wBl?Jl*K{HqkQGZ~ZEI8G?Nb&o3s#LauB8kz@tXY?X;Et%%5l z$!b#64yE)dz2Hf1SCteJh@_7vtx_LGXtR@+dZfIYFRj0ME~9O$!N(#EoG;u*KAe90 zsx9x-?LLA4v+EttOoVd9j6%8xV7Q6-bnB#(ygxok*mJlgjL37iod1B$1Ob$VTTLt& zD+I$oFpB;UtRkI)Dp4OiwKVx~Dzg;+wIGyWSr9}|jhtVeepvHvew-wk%-)esL!i*E z_V%I(S1V;8_77vPT%Q(ZT~_jnosv}WKAvI(DEL)!L2zOOu)$ei(w4i{1X2NUgR3c* z{;JE6rUSAnss$=T>IKOwA;SP-vuy_|vz-1VDC><6K4E`j%PwXRxs=+kT{Mu?z!>%d zUnnS)5fmjMTr#Q=8~l4V1@Bk*_tLi$NZzbgGQVnsoP(}z9>zcqiFyjJjj_oFERMbReFL|KuD6ZusuN8_s9(=Q9+bBjD1C1@-ig zlp1x*&tAs;Ny)4pQAQf+H)K%>Pvf>*uRFir-udD9zAKDH71cxEIDI|PJX3a#lleDp z|9hbMIUP&ijjFGrgRK++vpU?1r-iZ9c}GRbOzF@tuuRiiY|fS#t(AithYO(Cfs>B+ zd7wTW7%qVM&6k=o+}guY@y)h7_RAOcZyVjQcIs?7fLjG<%?5*E3mf2RflpgFa{yB1 z<@UGA%h)11QPqqD*|(tivCUEDzxSFwIC-RHPB(_T0;}&+G}*V+RYB%!zp9pOx<0|u z=TzllOV>?+^r0UM+*hOvHULqvqw!6^lCs#hCPO+US|mwsU&i{-riXpF8Z|mZMoOyJ zkT6p^Q}#o~vIqSR;CV2^$GSN)R6<6xY;5_rJqZ)%l@65M{IKV8{B_s+q5C>Orcv^~ z!<=$`%)W@X?yno)+qYguZOBInMWX&=(bqU@_4Mm2pTiINm(8Pw4B%WwV8-_pAm#L#^(m(m7vfwU z=j|6lR?btaAmmpK#EpHas5bn1oUC7b8|n1mLD0x*$I!^vU=vQAqibxHrmfljcM!#O z0>v)D!%};#&F)LlGoT-MTab(YbbL@bk4nn80bW}F&*W5II^~t__+7O zZpu39vido^6tRVdnPw9GISl%M_!9J}1+5dFH;Gyq!j7 zg4ITxD5|hW4E&wYX1$&a?UZgpt&WwNySh}4n0TD50TdRz(GR2+96!$=T+T*5R9IyH zun=BxB)0I1|1fy$eZyle=Dw)&S^9oHu9u2=9uKM~G4Qt)IQDQq4C{jF&o}XN>Syae zF2y6-uo z_oN{i3AdX+uy8Afgv(3WgljNV;nE00sT79|ab&OxA7WaMa`z-n<(_yR)paRs%H%~B zFjSsdKURsV^u(>`L(FLo$Y?rHt0kFOPyZ-Z4Y`&HL0W@zbXhs;Mt&4YOy%|i!37(H zi4)o*^GC~=1!~T-ZnPIlO&yptvYVg zs_{iZE5s82?FC4B*TQ~5yEG0f8qQb)eAenTZoH~8h!9UEnGAO~id>Ba9i>?x;32&? z%n=JmH!fPc{Z}#BXS26BFvfiM-AFO7_=;-CyQKXZB)4`X+@*vJ&a6hicbNHSt%PU0 zX!Yy`xe+neo7TFY1-kl`N8L3o#d5(xap?r2A8QPm-uH&ump$d!J7Zs948swC>B@F* z<7jQ<&GO^g??+bhDhxNloH4ES(d=S-DrhI;+g`2VGv!0|%9ef;NTk~?5 zbPEq>(l{8rMaxm~FDJOx5n^KT(hi|MH;JBeUsybEwGOP`XN1;0QH%79r!0#TkF zgHprjJlwB@>iXmFUj_pY_3-2zMssYw2aK)k+)#uo*$)a-fOsrkSEAwNI=2LQEZ+KHR({*~fdb+x% ztEPGm&~)1{UIoyg0S@-x*k}G<0pU|D*@_xvyTl$(XA;asw*?zRp@oJ=Ds+ zS9510@Lu*y;Ryz#qWm(aeDktqt0E&^Z|tXY=c}8$XGP_&)(W#0+;0vdydEG?Bcx!` zojzKRVA!0U07*$O(Pwb-a59K~Z~#mIaX9E`@yeE=yxpbqYE#J<0TzAC6x8*vNz*!U+=eU zTZs0V6ouUj$-mc<&rbtA0O7xHq^AIYzrp8QFR9P9fH*{Yof0q3A!B|xV(gjpW5 z=XO(ARCx_o>EphNp$1zYS0*!425}-vhJk$hI8=!Yp&D*q`d4kw`l)!qGQ9ZN;N44e zEH8?{!+gnci+0Sk>zqaQlJ#!Ua#ej6u_KoSNtF5%WAx8bSD-J^&wRsV81uTVi}3fY zz5okYrB-;6kUgvD{SH}lP5{f#%4OT9j@_ayIDuVCtL#0*-wIIdXf)Ndg0_p9rMsui zUX4q~#2h|ELJ%}R1+20q`d;`7weyPow&vBoC3M@}wGp`RS8IPi4jtKTQ#;EJo2sec zEUM&5m}vJhRqB6QQ(8IUWd2#|ejjC2%~0c}lSsgN+eHCi+nh1tIs`zLD>u6fD3Cr6 z{7cR2(+m_iT`2Ip6uF z3dejh|7`bk&+7@P3VOv;FXe%M9L;4Yr2L(K1$ofKxfDA1f`sxX{E%8|bHypvjKHp- z#iM+nb}Mc`sw8d+Q5C?&FhNO!i)B=2RCE@SO6kM*wrA@^;-OH#72DMg&T$qF(gZJr zCLV2SuTma;uRvN%!7CxTeXovifiRm;qxcyh-kOjtaaLb;KRpw4+~0)wlsa{VkRg5f zW})-W^M;F7H11FSPDxuCn$2wbB0npDEWmt2?Q>vH1~nvdfCl*DAU*1xL58Kh2M`pi ziIKX|aq9;d+22zJ*6@zm1do~ZF6yozCRRzT2~hja zzty`HWI1<6lmmdZt*=81>ANwKoY>1h|LTRxv@rrXs$PXc8pz8JX5UKi_0r&2@w+UN z36{Ihqmi%j;!!Qy{LB@l-9{q7C3fkkjT{%p{Epub)U4|2%w;5LdxamIRV-3^?(P9Z zb4cu7(No+gK=_nyh4_-QU1C*2;sJDxIaiR(f=*hoO8}ac=Y3EJ${qQcld&Jw;22Gr z8PzrZdco7}@Rl_^;$&M}l^Y3vHj&3!NZ9uppBKAbb+onpxx*6GSr8SEP^wrJrZl6`nZQa*WLY^wZ+CVznP z-Bn9R-CZuPJuh^gmJ}N?xI-zURK+Mka>jnnA}A};${d$RwRqpDK@N!I2#cgOppnCw zj}3@ep8r_@MLOM%4$bL+6bwY>{S$}-_w}JrYz5#(u4nVpEmyuT>*X9tY4(B~zKp5O zb(bf0KGh~z`(^*Pi+}>wjXK`uRO^*G+0_yYpByGf=EN{PQw8#YGj8-Rcw{#b^n|F| z`EmTk2uH^&grYvkVP#Qe48?QjOptu6nhzk%3@NO-p7#t$mW0U_WBZNS6-Y-ksiV{* zDEEXwvl6XBd3FU*|Ng3gSqwzevHBIs4N)?xe4FTdntVtmLXac${ZAdI;G_d1vPa)5 zQ;aZTa6R!(D^mlAlJr?*oVO%c$r*2KOa*`aNwPwT^`0S1@S_^NWT6anWqZhVi zW50GKam2@(H>{pIGu3CYqEA&{HcddK#Y37db2s=|N0j3 z!yt3n%05AIkeAi+RF48P$dTz?2?X#AR3b`bO3evk^oKEUCyD-iv+VK1u`) z))`bXuByve4Q-*>N^2ZpyL}soH~Zk0G^x(w%$f4!JW|TAiN+L8Eo>)>p302RgO8&4ves?OdjhK@Z;Y3aLhT3WC9pMWJE%kfD_NM*Vj*S7yL^Mj^+K-x+ zGs3i+bVC3Jzb(GcS(+M&v2#0#U^P!T zZwWMRe^AZ_^g@^FH7h#3#{)RrzBUV&QD{U#77RIkgBR%=S z2gCF-`=iQ%m6ze=d%0H9p_tmN!wm$&z7X0h5E3e&ae2Pnk&5N?3dPTivgOVu36sv* zZ}J5si!?p-jSl6PL3*|Wbe1Akvx6Icm49ABi%OhIq=tuyaxd~>kBtAeJMWdBi3dtv zNo6jwkS5=&Gu$b~wLUi0N=(8EJpU#-`_Ole0o2T!vBaqd7P8SF&o0yMj!O&;w#grG9az`TbR|I zvA(b3$09af+-haZ8e+^fx?TT@de~8E5XXzWgTDRo#Nl&KDgbtY!No_zyuZR7kR#LU zTi4pvp{b{>%UD&e2@JK)E%SY>%WTSDEv>ohyKf5IWosa` z#m?u#c2zMT9KDGTevtcXkLF{%Db|bk1GNd^(VK4xoP_+s8t*pR16T)@9EC%%nm0XY z+OHd%ohVeO)-z$|--upV!+V$wfUQNys-Nj@@>m(h(XeB-EeK3aEn2DSsxP4mm9vhk zOzsdp`j($UiLzmsSpUekog1eC!~eU#qHRVEF!_xp$`Xf!f37JF_GhRK&>S^tmrdo! zDY-$+@+z;bQ%|T0>+1Zd@@afMY>4TFYlLoVad9Z7xmic%jDg{-b;*tqP`%gNJoJ!K zJX9QmtOwcj4F^Xxqlr}}Hi)=#)WX}mRJDfQ0f8KEOAv*eF|*2D?VRR1X{0%tn;MyE z9v2m*vKV*?^7FITDW-wE?NpN*0{K}QNS5R~?2UN@U4FW?D>Gg&M3au7u6KGlCl!Tw z9^+*g)_Z93m*G4`8cb;b0xs%0^x8TNeBN@XBR%fgm}Ehw?e?%Sd0L3B$i+rq_#q#4 ziekRqp7yu~m!-STYnhphOp~1sD8EoOSFco28J|9(ba6PcQWWM{^q(TJc2Y{%+rbpSR4R$pZ0a1g4FAy zTb0uZ&u#1Q z`1!_SQkRW*)fT6k|6Bw?h?KmAY#gNo2-F9 zoFH^ecdx_k;6u>_YmYI23!(+VEpz+AX<$QGiD`N&YLmHb#Q`E^!}>L%$edV$nB z9MM@V--_=(ch2YlnP1IsrTUZd9D+nER>mDW?!c8d{4YlqU`kcs3U7HhC5Qe_uEg`rW*0P0UqBpW5%?#y27^e3F}<6zXSQ*UL!q0 z%+pi>)kxirfSQaTsD#i|9Qj|%`qw0v?ZZ^7$hvaNNLfRvY zsx4ax^6&70!s>_U33k`Nr(O*1a6nuYM`Rrl(^v9E1GvmE{!;F*EPWhc=5Z)PZK9%z zq-UO2R%)Vptvif!=ygTqt{9SnZq^a*hgQd>$5-33YzmMWvlmom!|IPx)adO3M^Int zm#+*XhL|;WMKT=Ptk(O+p(4FP==TtlxiJlFFAt^VvA!6-nW8k)L7ZG9x~x`IG@tNN zsx@xH1e{{2&-O#uLkd>#I3CI&QlJm5njyLelw(d|o8j17{?I^foU=5ZQK+<(kg~C5 z=xEUzBLF7J%%(=zloCn>j{I2*t)U>{8M>Y3IDq@xLj1TFFYP))z(Tg(tsy?N*F~y_ z%l&c`uZ!7OA#$$wG^zYLuw4bZ>+(I|?7(U68x z#kRh?h9)-)wassWAIH@XD~OYLq1#vnFNrtUCnn9+*+8zcAFd1u)8_GXE;yL*gu<%T zSaI^z6!P&pHunQIGGxJ}am*rE;`S%g@dAX+N&_b^ynYKjKkn3;)`C@w7qQZS+TGj~ z4B#np)uhNwOXbcplNm34c5H@yEVQgk)!f)(No)j(hvx=%W@ayU-E*;D;)i~e24can z*g_lZh_o3@kIlKDGsB~XG~&98u}z>vRIZ^d>$&5`gf;#B-m|u*{`vqaiSjBe!yc8` zkK%hpDUD2tQju^=OGj}H47T)7Q!tyCVL*u;;`v1uct@M{MU9;YG}6H1hjgHP}jCobX|-Pbm{XZ3i;?M5^( zpzf(@PeBluL?fWI(!{RKFZAI1d*Oaqr&LH;wBNbW!QgzWR^45#Xll;VdYvY%2}sSn z7}W=< zT6eN5)S{;wiE&nnRq=Wjuuc1aX#2iNDU>W={|bnurwW@Ez-!!PTxrwlz^*_Pr;_e= zCoyz^&~wedF8OJY3Xl>Np}Z|EMenk0^VF#W!AQ0d61m$bWQ7#ym?_yTbw2zAr_aAx zsT-K3u%MAbwSb-`Q?d+>6UU#osNg;67lFLWQ#6qwfF4Hyfp2cX#;1jN+v0(UdF8cZ zo$jph5PI%*fH&6x#2(59&$O6CBE(hi z9|ltVQ&-a6o%4bpqV1)>_@2RW?n#r`W`SgkE$%*yV0Uh{ThZbN-81xaibw0Sa2*IFKlxC!tuVv+)W z&}ov7HX8jJ0F+IBy7!z<`?5t_s66RY^+Y8_9#yP*HOk~bR*~4AcoJ&B#&=5TIPAL) z3^Ug1JIYp9Re44Cf?s3*DpLz<#bh4hexxYmiNf9qBKu7oqk5bRwY0)gnP1%hS;kkD ziv3ijWb=b%cOHpo34o4rMz!>vq#>C&RBJ`dG)of$Aex=WWgeGvE?mT`Y?Sh6@lWWIuO7!CO$5__anwAc%|U+X3`B ztY9rXVAB>l&~|Bn71ia3QLu-6{bRB?8yxMk^$e z%Z@~nd;_NZH&%d$iTqA4>O=b#f}rUAC_)kO4V1BZ3buf5Ckp(C>fGNt=Yq)%SGZO8 z3jA&&nHrf>nSEw?@_-OTDRjoFU0=r|;&fGhfW4jyOyT~TR^z8@~AXSgA==1Qj}C&+~z5M%0DWG@JRP6TaxD>;Nf9p!2ssan!gU@IVS_03oOn$ z<$%?mcnOMpo~|wyeIryaCJPafeeZJ2u^VfM0vVnXB+%Ok*toGrSt42C5y_XvI!y?Z zM#Q?{aMh(dRduI;mhAx=tquo81KW@Rd|L&Uv}}ga#D#WE+O{zDSAOXDe)#ury!woN z^bVbXEmKg4Py0Lb(w^BZpQ9bCyawHVYfEE^Np6|S)+*EH^p%+$ZKVNMs?$jT9!tH- z^k)B~-Jz^je#Y7_%hHUZ#p<5`9@**wK~m4tU~)md(qmqjnys1!WT8 z07K%qP-nGopgaOFcMh{?Bd9GSX-p!JG8MICqGGt2EC0yM4FG&Kk80(R4D2?%6y^D6fNZ zjKHmcQAIIFE<31K1XoWc35&6SkOxN6hwJeRk(UEI*)>>8#xl!D=Kiu|g_y-jTRrsH ztQt}mPu>_nzR*Nwco@lWIw=7R(!nHdyg6Y%qP+w!pmJ;Wva}-rzpjaD)NA$n1P%Ry^W?CtoosjlX{+BJwj|{bbH|{HI3^kE0R`Oa(N5Z_p?Ql^1?xx?RESC z@scG3TqVW{SPDSxUp>`3okRMbL@k|OBCPmZJQyCZZu_R+GJgkAdH#2W$-^#yu=Va& z#5D(dz@e3V5H~=NXVOopX7TSzm^rI+_K%InFiZ8C-nuN;Qm(M#R}7V6Hw;at$|hS9 zguzP61fn<{FrIgW9wWGxI@~6Z7_Yc5WZY>##ymL27@FK0JJ|kD+E<}-zxtttUAot- zLT`{`w|h;U^7E#(CpC4M@i^7fZx5PbgstaX=oii1%SD73+VGo6KETJE& z!|Z4*frp1BSFFkV*IoSheiG}?cSKtLK?rHc!1KoCR7%9=Z-y7K`C5f~iQ3$~m!utKP&m}ps zjssAUG!Os?7D#K=Q8f9Z3|I6b+geRJ5bO-?np7N)Qy6DzVAFMylAA+oSyXNaJ5#Vi zHah^phVQF+s8{xn9&<^(m*^VMw8ZuV|mNloM)8tA-6v&uRQ%^!P&oRU3_mx^uR!J0UB$VT0Jfx89>@N$Xt=U(`RRvbJJ^?N( zT9pbfo8~^-ZVJ00ZBD(oQpu;L3bxBdqs%Rpn%uEyi6IanMfHHu^vhFriPwz3#s%FG z?CDSh&(u0JDzI|prN<;{VeN2SE26z*n}o6(N+O;aBgaFvnY)@l&Qn#@PnVpP${DSw zI#IdgNJe6EL*5(Q#gsVSOh%Yg2mtSl6BDH3mOH;s(e>I$oEroV!6#kE|WeMu_R za3A<87OOrNI0J}y{6ZnJ7KH`u5`a7AnhRB*Tb#gu-i(wQU*krYlnLIEqB0gqvn5{J zZa5gN)W06v#Q!<^Z+l{1ij>C>M?A&s`r>GGUs5X<);nV0&g@(6+zjA*O;JhTj98$l zv^&C#jP%5eT=t*bF#ePz)3i9NhmM&Z8aeL8UrT&7oKzfk?^Ve_JZCe~TW#&{aramy z+c`I$+y|$`*2v}hg5M8s)ipxtayioaJiu3XCu<7rcmGaw^rW1+76)aO^GzjGQDdqI zkrIuaMvzOYGwM9_Tebo|8-s-r*b^6x9^M@&D-EKEJR25?YR-MIOeibg&R#%L>MW~w z0|`~roKE|E$JX>Z#;X(^LEoH(w0)6bVNbe%<_1@*g&)k88YC?twK0lNdP( z?8HOCj#aVd>KMapoH<(5(Z)K0jk0(nSsrHTtg+B+e3-yw8f?JfwOmaSBMxyf?npg; z4j;)Z-N5FIn>}}@?2!XFb4HH=?as>WTeSr4Nazl6+{T2#)|T{e!~BBvR{QTvfmIC$ zP>6#av#IT~y_CuC?}=;?8X$wwKWPw7wJ&w6UK>B*P)(;c=KJbaqo*J;V>aP-L9eTj z8wQ23hzUwLOlJVf2=BF%^v;v(u!GeKNgh@H22%$Pz6cqI8HY{%=m$Fg9e+}y5aR7W z6|5MX6WnfL4~&z6`>*9}3V@~_b_7W{0hK>}D9z6z!P2m`3zHniyYozIcQwZpG;-2Uzl%=>P=B`t%WsjZRj z!5S&IM!L2I;qMYfN%76La!L6qwtk%PP~DABP24~bm7XA??GF=h=j~c@|07G2D^5R2 zl2S^@L~uaI!}{;__yq6)e^vaQo4N^}rOWLsDKsLk9nKib+x|Jo4qINPT}uXWEkbSd z(d+w8iS+6}Kk%0(bGdO{9%L}GaM_(V2BBRfxE$);_%yZ@_vU~@P zhH$b7tj#sW;wyq^M-RrZ_9?p@T#76y=Z`|#;nbFgaC-cq4Q{saS)YNB8)aCG!TFh?((WU1g!xs&r5KEU(3iQ2|#H8!~ z#q`fuV}dRR*OK15G#KpIX-I=)TFvHJAcK!toLy2f~{hMddAOEUPOfZ$tgYCG3T@u8cil^E^of6lu&Z!ROCcJ zJoM_%m2LtvSysw7(0W-m!o>tRf9PLGBG<}ii$V0z#+_LM)H`ncPjtiB$xRF;Gwl8; zBwNmM9KvdzXVMVm4~hi*3luNTy77eCjxS^GK7IZV^oR#1@eyVsYe3xXKWn*dzJJLi zZm%gehEe6U0=i^#XIK!DhvZ%i~`8rV_~ zD*I(DHR+EasZ*n{WG>r}s9_|(WGgEcQprJc#z&psKbJmS21pCg<>jAW!RE;O4>zTO zV{7B^o_2CIej3lGFSEf6-+R`d98c>cX{}*4CCEv-6A&81bj>yxdMgroz51S%ETwZR zgh8sD$?y@)jFePzm@ffrI{2Oc1BDzh-3L;`$yJ2T+uvME>RU@>pfy}Zmd}5& zHv!X_0r;Q9qE*n|odYvF)dUi4&C45vF*??rqK!DakR(^#aSN=|DR3L5L)Q9tW7hiV z-R;Yku*BmVnzq8Z7lQA2^J^A!%36`95h?*<6I{N$^ru+PkKr*r=_JUVrn+S z6PS(I$!Tqa9|L{CQF7~TmZ`WrBRrc1qZ6gr08*{YTM~CAIKr6NNR#guI1Zcj9ojl0 z4N?2ujkjLr#FBG;5}Y)fzP_b)>~2r`uK5c#y5XCFp}ydBaxlKRLR05gYW5j3+;gSZ z#b`g#uark@?;as71>meu9ht1M2F%e~=dC$6oQ!*ke&39eFU1vrwVl7HXHmQl|3@wX z^wQ)zIraAa`&w%0YI*L6{C&oS5K_Hd9~^x&6G0tV+)U+&4B&)_1ZO4inRIqm(OutI z*v9X}a)gjz+=f7IB?^a1uL>QhKK|x+eLeN(1k+yhh2ne3u z?EPZNUnF5U%_{}VNh@hyqm+RO2~@HYX{_|4%v<#o!k9guCV{tTVU2_0q`8iT;yhaZ zw>$w$AeHGeZkn}D97@b2?&d^d&58mhnQ;p%GY{%<8B0?o{|NNtFcZtSXg>k)Br&RE zK|jDy7jv}~6gUrjeDX)76uqBDSrz>%d1+qE0=SSZ@EFToFQLZ3_+ivlO7ZJ5eocW{ z6|q2;q4y%?l~9?3aoO@gmRU~Wi^zGCz>M2pHdAXEHZG+0Wfu1*H?Wqrq7;gVW?_6US9w9VQ+^GmE! z<$>pY%0AtCaa@VU%@!gQ5wef-4u@F*rN@{Vx^+A2C6%cg>*P|En0E}85L7|Sn{-aRQ zT3j15Kg~avZm|)aHF+s9Vew|{Y5Gk%78UhgE@kF(B(4@5Pe`JyX9Nh24HWH3UZjIW z%4RJVNh0g;iMYg4!n=JMO$SOw+`Ye?AMgS54YTrnG&8%)|EPPADKgqPn5nzwl7Ga! zt#Z1szMkX4Qu>J%0LpkKrnCiREPIfy>Af}9u#`jdw<`4%+%b*}^ieb{i@T$Y)MmOT zl7)EYZjitjrFM%YyKrp^ByzPDMzMEO=*V6QJaLJ$y|NKoT2#rFp$NW*?ga7{PDh!S z{$s(Wne-~v=DBc|m?>p^fUSw?v6!qP{zIt&zI_aqPUFD=ppmVxXhk1ap})DlGmGXz zWs6k%dkqPpj0V*S=`k6A?-Ejo^hkm1;oa~3L8o5mwUGBxov{H%h(^!rQ7+_DE(=H6 zHLmee`mOu9GNdr9_UlEMr0wfC;;oz4z@+5vLo=&(iUP)&{dJQ!B*eS##V+u%a%xZG zJ6x|R#=cD?Ksfp?T=>v$9rI~GbC+qOx2})Zg{ZXI(?e?P!(&5guc&=y$fw@17bN{I z!}wkG88JuD zr|EgOhjUL_wH6D?}VRboko(v;&4b60INY){XtjjB)r|9 zgE&a}SCm@|QE`82J+40(6ndTn9Z2dnWO94}z7C{r8;}Y;wO+fQ%0%YYDb8&lam~f& zxS9RKbIex&ABSEbYVgw!ut&)^iyKg;L>4iuCVlT!J|Dvd;N}?DfX~NkuaSiKaa-`2 ztOQc2Kx0SJqnuy?`_z2HT2@Mi~^J-V2RIFcrC>>&z-isOmO-f+=Kx>M83A3C$9}! zii28{-K_jWiC-fRm+6XNX4vT885Y%fERHi>exCgVLA8x_Q}j^YPYTf7(CgVG9lM-y z1>ZO@4S(d#%`^ONdl2~+4M+~p5#UpUG z307$Z{vm@d%y8NR=b?DUafloRHCss2ipoK(%_o(kcgiXMR!%j#1dy6`Ppup&(wIg1 zh&{m_Ry3qMbziIdYxPO(U$K=yRjvvM_s4#diftcWL(gY{XCk+u_3oBv-Leb5N=KF; z{<&*}?;$)N^y>H|JG{TLVF#OC*Y{xbLW`uzse`)xGy9@oKE|urj)nbfpbfSPL$F}h zt5;<^UEKuefa3ht4cH+vfln23zv0E@tnSLkpDwmz*e%;y9Bk~Xj@rDqUlxB$N!vo^ zqMayv8t~c6!`No$k6(8(u&cx&^HQXghHOuM(QiwhtC()0^>x4xT@OBCQo!vL+06{z7 zq)mfX1c8GTv{PDyV?75Om+SDv!a}%qfp%s3N7VoN F`XBrh044wc diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index b4cb68f..e9af2d1 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,57 +1,57 @@ -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1616150639150 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1616150639165 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1616150639353 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1616150639353 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1616150639634 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1616150639665 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1616150639994 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1616150639994 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1616150639994 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1616150639994 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1616150639994 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1616150639994 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "69 69 " "No exact pin location assignment(s) for 69 pins of 69 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nRESout " "Pin nRESout not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nRESout } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 37 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nRESout } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 153 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RAdir " "Pin RAdir not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RAdir } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 77 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RAdir } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 276 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RDdir " "Pin RDdir not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RDdir } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 112 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RDdir } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 277 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SBA\[0\] " "Pin SBA\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SBA[0] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 270 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SBA[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 126 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SBA\[1\] " "Pin SBA\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SBA[1] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 270 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SBA[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 127 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[0\] " "Pin SA\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[0] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 128 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[1\] " "Pin SA\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[1] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 129 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[2\] " "Pin SA\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[2] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 130 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[3\] " "Pin SA\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[3] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 131 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[4\] " "Pin SA\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[4] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 132 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[5\] " "Pin SA\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[5] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 133 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[6\] " "Pin SA\[6\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[6] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[6] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 134 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[7\] " "Pin SA\[7\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[7] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[7] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 135 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[8\] " "Pin SA\[8\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[8] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[8] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 136 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[9\] " "Pin SA\[9\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[9] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[9] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 137 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[10\] " "Pin SA\[10\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[10] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[10] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 138 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[11\] " "Pin SA\[11\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[11] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[11] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 139 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[12\] " "Pin SA\[12\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[12] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 275 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[12] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 140 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nRCS " "Pin nRCS not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nRCS } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 304 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nRCS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 239 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nRAS " "Pin nRAS not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nRAS } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 305 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nRAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 245 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nCAS " "Pin nCAS not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nCAS } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 306 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nCAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 240 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nSWE " "Pin nSWE not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nSWE } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 307 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nSWE } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 249 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "DQML " "Pin DQML not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { DQML } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 284 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { DQML } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 235 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "DQMH " "Pin DQMH not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { DQMH } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 293 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { DQMH } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 236 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RCKE " "Pin RCKE not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RCKE } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 303 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RCKE } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 241 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nFCS " "Pin nFCS not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nFCS } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 157 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nFCS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 278 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "FCK " "Pin FCK not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { FCK } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 159 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { FCK } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 181 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "MOSI " "Pin MOSI not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { MOSI } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 161 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { MOSI } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 214 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[0\] " "Pin RD\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[0] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 59 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[1\] " "Pin RD\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[1] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 58 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[2\] " "Pin RD\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[2] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 57 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[3\] " "Pin RD\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[3] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 56 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[4\] " "Pin RD\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[4] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 55 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[5\] " "Pin RD\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[5] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 54 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[6\] " "Pin RD\[6\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[6] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[6] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 53 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[7\] " "Pin RD\[7\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[7] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[7] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 21 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[0\] " "Pin SD\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[0] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 233 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 94 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[1\] " "Pin SD\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[1] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 233 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 91 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[2\] " "Pin SD\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[2] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 233 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 90 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[3\] " "Pin SD\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[3] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 233 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 89 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[4\] " "Pin SD\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[4] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 233 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 88 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[5\] " "Pin SD\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[5] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 233 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 87 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[6\] " "Pin SD\[6\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[6] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 233 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[6] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 86 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[7\] " "Pin SD\[7\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[7] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 233 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[7] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 85 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "PHI0 " "Pin PHI0 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 269 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nWE " "Pin nWE not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nWE } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 74 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nWE } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 275 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nDEVSEL " "Pin nDEVSEL not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nDEVSEL } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 60 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nDEVSEL } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 273 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nIOSTRB " "Pin nIOSTRB not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nIOSTRB } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 60 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nIOSTRB } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 274 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nIOSEL " "Pin nIOSEL not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nIOSEL } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 60 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nIOSEL } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 272 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "C25M " "Pin C25M not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { C25M } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { C25M } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 268 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[1\] " "Pin RA\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[1] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 111 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[10\] " "Pin RA\[10\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[10] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[10] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 120 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[2\] " "Pin RA\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[2] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 112 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[11\] " "Pin RA\[11\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[11] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[11] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 121 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[3\] " "Pin RA\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[3] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 113 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[4\] " "Pin RA\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[4] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 114 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[5\] " "Pin RA\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[5] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 115 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[6\] " "Pin RA\[6\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[6] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[6] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 116 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[7\] " "Pin RA\[7\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[7] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[7] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 117 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[8\] " "Pin RA\[8\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[8] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[8] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 118 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[9\] " "Pin RA\[9\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[9] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[9] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 119 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[0\] " "Pin RA\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[0] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 110 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nRES " "Pin nRES not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nRES } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 16 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nRES } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 271 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[14\] " "Pin RA\[14\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[14] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[14] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 124 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[15\] " "Pin RA\[15\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[15] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[15] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 125 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[12\] " "Pin RA\[12\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[12] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[12] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 122 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[13\] " "Pin RA\[13\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[13] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[13] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 123 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "MISO " "Pin MISO not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { MISO } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 164 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { MISO } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 279 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nBOD " "Pin nBOD not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nBOD } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 16 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nBOD } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 270 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616150640009 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1616150640009 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1616150640197 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1616150640197 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1616150640244 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1616150640244 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1616150640244 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1616150640244 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1616150640244 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1616150640244 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1616150640259 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1616150640259 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1616150640275 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 14 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 14" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1616150640306 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1616150640306 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1616150640322 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1616150640384 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1616150640384 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1616150640462 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1616150640478 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1616150640478 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1616150640478 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "68 unused 3.3V 24 28 16 " "Number of I/O pins in group: 68 (unused VREF, 3.3V VCCIO, 24 input, 28 output, 16 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1616150640494 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1616150640494 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1616150640494 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 1 37 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 37 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1616150640509 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 42 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 42 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1616150640509 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1616150640509 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1616150640509 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616150640541 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1616150640806 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616150640853 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1616150640853 ""} -{ "Info" "IFITAPI_FITAPI_INFO_FITTER_RETRY_TIGHTER_LUT_REG_PACKING" "" "Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements" { } { } 0 170216 "Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements" 0 0 "Fitter" 0 -1 1616150640853 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1616150640853 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Minimize Area " "Fitter is using Minimize Area packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1616150640869 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1616150640931 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1616150640931 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1616150640931 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1616150640931 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616150640931 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1616150641072 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616150641103 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.00 " "Total time spent on timing analysis during the Fitter is 0.00 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1616150641103 ""} -{ "Info" "IFITAPI_FITAPI_INFO_FITTER_RETRY_TIGHTER_LUT_REG_PACKING" "" "Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements" { } { } 0 170216 "Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements" 0 0 "Fitter" 0 -1 1616150641103 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1616150641103 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Minimize Area with Chains " "Fitter is using Minimize Area with Chains packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1616150641119 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1616150641119 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1616150641119 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1616150641119 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1616150641119 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616150641119 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1616150641353 ""} -{ "Error" "EFITAPI_FITAPI_VPR_STATUS_FAILED_TOO_MANY_BLES" "250 240 logic cell " "Design contains 250 blocks of type logic cell. However, device contains only 240." { } { { "c:/altera/13.0sp1/quartus/common/advisors/oa_resource_table.xml" "" { Advisor "Z:/Repos/GR8RAM/cpld/" "LE" } } } 0 170011 "Design contains %1!d! blocks of type %3!s!. However, device contains only %2!d!." 0 0 "Fitter" 0 -1 1616150641384 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616150641384 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.02 " "Total time spent on timing analysis during the Fitter is 0.02 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1616150641384 ""} -{ "Error" "EFITCC_FITCC_FAIL" "" "Can't fit design in device" { } { } 0 171000 "Can't fit design in device" 0 0 "Fitter" 0 -1 1616150641478 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1616150641478 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1616150641650 ""} -{ "Error" "EQEXE_ERROR_COUNT" "Fitter 2 s 4 s Quartus II 32-bit " "Quartus II 32-bit Fitter was unsuccessful. 2 errors, 4 warnings" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "359 " "Peak virtual memory: 359 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616150641822 ""} { "Error" "EQEXE_END_BANNER_TIME" "Fri Mar 19 06:44:01 2021 " "Processing ended: Fri Mar 19 06:44:01 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616150641822 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616150641822 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616150641822 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1616150641822 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1616151487348 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1616151487363 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1616151487520 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1616151487520 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1616151487801 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1616151487832 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1616151488160 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1616151488160 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1616151488160 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1616151488160 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1616151488160 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1616151488160 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "69 69 " "No exact pin location assignment(s) for 69 pins of 69 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nRESout " "Pin nRESout not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nRESout } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 37 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nRESout } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 185 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RAdir " "Pin RAdir not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RAdir } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 77 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RAdir } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 319 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RDdir " "Pin RDdir not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RDdir } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 112 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RDdir } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 320 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SBA\[0\] " "Pin SBA\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SBA[0] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 372 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SBA[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 158 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SBA\[1\] " "Pin SBA\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SBA[1] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 372 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SBA[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 159 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[0\] " "Pin SA\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[0] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 160 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[1\] " "Pin SA\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[1] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 161 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[2\] " "Pin SA\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[2] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 162 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[3\] " "Pin SA\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[3] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 163 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[4\] " "Pin SA\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[4] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 164 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[5\] " "Pin SA\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[5] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 165 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[6\] " "Pin SA\[6\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[6] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[6] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 166 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[7\] " "Pin SA\[7\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[7] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[7] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 167 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[8\] " "Pin SA\[8\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[8] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[8] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 168 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[9\] " "Pin SA\[9\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[9] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[9] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 169 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[10\] " "Pin SA\[10\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[10] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[10] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 170 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[11\] " "Pin SA\[11\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[11] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[11] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 171 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SA\[12\] " "Pin SA\[12\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SA[12] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 377 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SA[12] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 172 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nRCS " "Pin nRCS not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nRCS } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 409 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nRCS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 293 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nRAS " "Pin nRAS not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nRAS } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 410 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nRAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 295 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nCAS " "Pin nCAS not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nCAS } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 411 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nCAS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 297 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nSWE " "Pin nSWE not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nSWE } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 412 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nSWE } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 299 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "DQML " "Pin DQML not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { DQML } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 389 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { DQML } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 290 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "DQMH " "Pin DQMH not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { DQMH } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 398 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { DQMH } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 301 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RCKE " "Pin RCKE not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RCKE } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 408 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RCKE } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 291 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nFCS " "Pin nFCS not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nFCS } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 152 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nFCS } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 321 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "FCK " "Pin FCK not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { FCK } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 154 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { FCK } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 213 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "MOSI " "Pin MOSI not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { MOSI } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 156 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { MOSI } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 253 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[0\] " "Pin RD\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[0] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 93 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[1\] " "Pin RD\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[1] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 92 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[2\] " "Pin RD\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[2] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 91 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[3\] " "Pin RD\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[3] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 90 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[4\] " "Pin RD\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[4] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 89 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[5\] " "Pin RD\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[5] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 88 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[6\] " "Pin RD\[6\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[6] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[6] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 87 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RD\[7\] " "Pin RD\[7\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RD[7] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RD[7] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 55 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[0\] " "Pin SD\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[0] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 335 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 127 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[1\] " "Pin SD\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[1] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 335 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 126 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[2\] " "Pin SD\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[2] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 335 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 125 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[3\] " "Pin SD\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[3] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 335 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 123 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[4\] " "Pin SD\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[4] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 335 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 122 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[5\] " "Pin SD\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[5] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 335 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 121 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[6\] " "Pin SD\[6\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[6] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 335 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[6] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 120 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "SD\[7\] " "Pin SD\[7\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { SD[7] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 335 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { SD[7] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 119 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "PHI0 " "Pin PHI0 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 312 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nWE " "Pin nWE not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nWE } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 74 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nWE } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 318 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nDEVSEL " "Pin nDEVSEL not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nDEVSEL } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 60 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nDEVSEL } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 316 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nIOSTRB " "Pin nIOSTRB not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nIOSTRB } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 60 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nIOSTRB } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 317 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nIOSEL " "Pin nIOSEL not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nIOSEL } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 60 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nIOSEL } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 315 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "C25M " "Pin C25M not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { C25M } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { C25M } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 311 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[1\] " "Pin RA\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[1] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 143 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[10\] " "Pin RA\[10\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[10] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[10] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 152 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[2\] " "Pin RA\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[2] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 144 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[11\] " "Pin RA\[11\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[11] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[11] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 153 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[3\] " "Pin RA\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[3] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 145 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[4\] " "Pin RA\[4\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[4] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[4] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 146 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[5\] " "Pin RA\[5\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[5] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[5] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 147 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[6\] " "Pin RA\[6\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[6] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[6] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 148 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[7\] " "Pin RA\[7\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[7] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[7] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 149 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[8\] " "Pin RA\[8\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[8] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[8] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 150 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[9\] " "Pin RA\[9\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[9] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[9] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 151 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[0\] " "Pin RA\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[0] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 142 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nRES " "Pin nRES not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nRES } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 16 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nRES } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 314 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[14\] " "Pin RA\[14\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[14] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[14] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 156 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[15\] " "Pin RA\[15\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[15] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[15] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 157 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[12\] " "Pin RA\[12\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[12] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[12] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 154 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RA\[13\] " "Pin RA\[13\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { RA[13] } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 73 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { RA[13] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 155 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "MISO " "Pin MISO not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { MISO } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 159 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { MISO } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 322 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "nBOD " "Pin nBOD not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { nBOD } } } { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 16 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { nBOD } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 313 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1616151488176 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1616151488176 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1616151488395 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1616151488395 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1616151488457 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1616151488457 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1616151488457 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1616151488457 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1616151488457 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1616151488457 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1616151488488 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1616151488488 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1616151488520 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 14 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 14" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1616151488535 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1616151488535 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1616151488551 ""} +{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1616151488660 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1616151488660 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1616151488754 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1616151488801 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1616151488832 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1616151488848 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "68 unused 3.3V 24 28 16 " "Number of I/O pins in group: 68 (unused VREF, 3.3V VCCIO, 24 input, 28 output, 16 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1616151488879 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1616151488879 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1616151488879 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 1 37 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 37 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1616151488910 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 42 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 42 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1616151488910 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1616151488910 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1616151488910 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616151488973 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1616151489223 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616151489254 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.03 " "Total time spent on timing analysis during the Fitter is 0.03 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1616151489270 ""} +{ "Info" "IFITAPI_FITAPI_INFO_FITTER_RETRY_TIGHTER_LUT_REG_PACKING" "" "Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements" { } { } 0 170216 "Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements" 0 0 "Fitter" 0 -1 1616151489270 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1616151489270 ""} +{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Minimize Area " "Fitter is using Minimize Area packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1616151489270 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1616151489332 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1616151489332 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1616151489332 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1616151489332 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616151489332 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1616151489535 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616151489567 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.02 " "Total time spent on timing analysis during the Fitter is 0.02 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1616151489567 ""} +{ "Info" "IFITAPI_FITAPI_INFO_FITTER_RETRY_TIGHTER_LUT_REG_PACKING" "" "Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements" { } { } 0 170216 "Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements" 0 0 "Fitter" 0 -1 1616151489567 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1616151489567 ""} +{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Minimize Area with Chains " "Fitter is using Minimize Area with Chains packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1616151489567 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1616151489567 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1616151489567 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1616151489567 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1616151489567 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616151489567 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1616151489863 ""} +{ "Error" "EFITAPI_FITAPI_VPR_STATUS_FAILED_TOO_MANY_BLES" "247 240 logic cell " "Design contains 247 blocks of type logic cell. However, device contains only 240." { } { { "c:/altera/13.0sp1/quartus/common/advisors/oa_resource_table.xml" "" { Advisor "Z:/Repos/GR8RAM/cpld/" "LE" } } } 0 170011 "Design contains %1!d! blocks of type %3!s!. However, device contains only %2!d!." 0 0 "Fitter" 0 -1 1616151489879 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1616151489879 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.02 " "Total time spent on timing analysis during the Fitter is 0.02 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1616151489879 ""} +{ "Error" "EFITCC_FITCC_FAIL" "" "Can't fit design in device" { } { } 0 171000 "Can't fit design in device" 0 0 "Fitter" 0 -1 1616151489973 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1616151489973 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1616151490145 ""} +{ "Error" "EQEXE_ERROR_COUNT" "Fitter 2 s 4 s Quartus II 32-bit " "Quartus II 32-bit Fitter was unsuccessful. 2 errors, 4 warnings" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "367 " "Peak virtual memory: 367 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616151490317 ""} { "Error" "EQEXE_END_BANNER_TIME" "Fri Mar 19 06:58:10 2021 " "Processing ended: Fri Mar 19 06:58:10 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616151490317 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616151490317 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616151490317 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1616151490317 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 5be5cb9..b76feff 100755 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -8,14 +8,20 @@ C25M => nRAS~reg0.CLK C25M => nRCS~reg0.CLK C25M => RCKE~reg0.CLK C25M => RefReqd.CLK -C25M => IS[0].CLK -C25M => IS[1].CLK C25M => PS[0].CLK C25M => PS[1].CLK C25M => PS[2].CLK C25M => SDOE.CLK C25M => WRD[6].CLK C25M => WRD[7].CLK +C25M => DRDIn.CLK +C25M => SetLoaded.CLK +C25M => SetLim8M.CLK +C25M => SetFW.CLK +C25M => DRShift.CLK +C25M => DRCLK.CLK +C25M => ARShift.CLK +C25M => ARCLK.CLK C25M => MOSIOE.CLK C25M => MOSIout.CLK C25M => FCKEN.CLK @@ -175,3 +181,35 @@ MISO => WRD[7].DATAIN MOSI <= MOSI.DB_MAX_OUTPUT_PORT_TYPE +|GR8RAM|UFM:UFM_inst +arclk => arclk.IN1 +ardin => ardin.IN1 +arshft => arshft.IN1 +drclk => drclk.IN1 +drdin => drdin.IN1 +drshft => drshft.IN1 +erase => erase.IN1 +oscena => oscena.IN1 +program => program.IN1 +busy <= UFM_altufm_none_0ep:UFM_altufm_none_0ep_component.busy +drdout <= UFM_altufm_none_0ep:UFM_altufm_none_0ep_component.drdout +osc <= UFM_altufm_none_0ep:UFM_altufm_none_0ep_component.osc +rtpbusy <= UFM_altufm_none_0ep:UFM_altufm_none_0ep_component.rtpbusy + + +|GR8RAM|UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component +arclk => maxii_ufm_block1.ARCLK +ardin => maxii_ufm_block1.ARDIN +arshft => maxii_ufm_block1.ARSHFT +busy <= maxii_ufm_block1.BUSY +drclk => maxii_ufm_block1.DRCLK +drdin => maxii_ufm_block1.DRDIN +drdout <= maxii_ufm_block1.DRDOUT +drshft => maxii_ufm_block1.DRSHFT +erase => maxii_ufm_block1.ERASE +osc <= maxii_ufm_block1.OSC +oscena => maxii_ufm_block1.OSCENA +program => maxii_ufm_block1.PROGRAM +rtpbusy <= maxii_ufm_block1.BGPBUSY + + diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 5166530d017a77080009defda20acbd3d613f4eb..d5775cc173e59e9df6d70d67d1aa9f1d75fb8d55 100755 GIT binary patch literal 552 zcmV+@0@wYl4*>uG0001Zob8fHkDD+QfbW+2A1uwKJtSk+hPly{Bvb^ZK3Y6JBg?lPZ~2sQ?zuteZ{}gp|MiEtSDUUV#q;hj^=O2UCkNMaN1bGi^z+(r z5Rlle!}QR>xf6%-qHcQgK4w)Z=J~CKf&uC=rmtYqIB&#UX;BtH2^_}Z%2#mZ|8W00 z+&%2e>eP=%^w<$XzoLE~L3%f$qpaK?gk1dAo(#~(SA$%w2Km#gv8`rR+-(PFiK<9h qs%g4GNSvgKq$NZ(Bu&wooUngtJ6uG0001Zob8lNkDD+MhVPd8A1v*qJtS*PAmm6>l28%Y0-;9>%#c_m zkQx#x+UL6&J!6f71mpWnY~w@6sG zcyNM}B64|>Fj_Mek*tQ5pwS3Nt!fT?B9o~+t77f0!J|>q7Hjp>w6#pPfb#5V8Cs#e9>3Mzjd@kvu-xIY-U`m@LpL>kMD`{Qn2KPb zGY^)&8@t2Q-&8118(5CaM->m6k76)kw_kirF4p*nY5yndh$ExEFh9$%%qZICogIvP0KjzVci* zJJ^FOySwy*&|!%ax?Zq+t~d|;C=NICIO=v05B!@!)F8cH z`;^OC3HJ2}6$jLF%73E8(y~>;v8t|s5jfnYN3ZD7|MdPoJ=oXs+{>e8>0ObbJL(1G4(0Y3`+-+Po~q1&r&@z`9B47CqUhoeAnHWkLc-_ R9C^Jzl+Xft^Bwgm-?o_V3IqTE diff --git a/cpld/db/GR8RAM.ipinfo b/cpld/db/GR8RAM.ipinfo index 6ff9cf3b07faba4bd9cf4474e826bcaf9509ce4b..fd31defd82157f4838553359c6e4245fac3c70c7 100755 GIT binary patch delta 241 zcmdnUxQA&%aQ!w02>1o1L!q<{BZN`G`0M}w|MhNcY;0i))0iG^l1U=kJ}Hn0GF$yjl74X=RSW%sG>; zEOJRxIPHI5a0zS54i16q4m@kJ7+-B>dU^JYwjSea)>*MLA`dW!H0iKDF>>&AOlsoM zIj?_;4d{^n|0@`ik`j_Q5>gUw-1<{0%`EVNabtSJ`o-~k(jE3JpFi8)Lx4v@lBHqG c&$kV471k*TEMC!FUdYtR+?$*L^2z`I0Mo2sx&QzG delta 101 zcmdnPw2^T_a2y8%1T;ZuT`0W~N>?!c`v3obJ(~iE|NnmlV^T^&iaInput only Bidir Output only Bidir + +UFM_inst|UFM_altufm_none_0ep_component +9 +0 +0 +0 +4 +0 +0 +0 +0 +0 +0 +0 +0 + + +UFM_inst +9 +2 +0 +2 +4 +2 +2 +2 +0 +0 +0 +0 +0 + diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb index f46ce48737afd49e50bf75f2fe0eadf783b87681..82430f21923eb97a4c648f56975d13dab62cae0d 100755 GIT binary patch delta 344 zcmbQs{Fiw`aQzx)1~6!6gwP8i6vJOAzk+c|fUoyq2Z3A>k=ASdiduB!!5g!}j{f7BdU*Z= mYhd{N|6jqFl$ektkdTnjarfhgseF^o8ReOpO(#b%x&i=nK%K<^ delta 247 zcmey%JePSwaQzlW1~5>E(hDJ6hPhCF1>>Clvs{M^1X^ZyH$8ZNF?in5CJm0NkBm*6 zrU7P?^7v~jA0P3EwB0p<=VzMn%_UQUT7y>Ia`IbexOU%+6Z2{nVx>3TlPZhN`=!ge zKH^Lu9{ux97jmv-F3suK`k?rO_SdmKpI)+{?82d&2Q<%f nyk=!!`2W9xF)1-2NgyF1q2uny52Esur!&ekG22hx!RQJA!J23o diff --git a/cpld/db/GR8RAM.lpc.txt b/cpld/db/GR8RAM.lpc.txt index a463804..9336d5d 100755 --- a/cpld/db/GR8RAM.lpc.txt +++ b/cpld/db/GR8RAM.lpc.txt @@ -1,5 +1,8 @@ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; UFM_inst|UFM_altufm_none_0ep_component ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; UFM_inst ; 9 ; 2 ; 0 ; 2 ; 4 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index dee997a83ca3ca2b64f21592ac05bbd031877c04..d3af6de63a027154b3159568349def7c653ed578 100755 GIT binary patch literal 22369 zcmeGD^;=ud^FI!k;>C&-DemqR4Nh?i#ogV46u06Or%hcRQdn6*n&j7Y8?$ zl!u+8B^3`Z4;2?bCpQl#4;MEtm4=m@r=5irm71A_0~I%wh9Z@Yl{wXCOS^Bczl=^t zd}Z_IO{?brnl~88|JR!7{9o&haFUJQ!T#Cfbh7iia>(~+4NZh51zAqEY+^@bv;&e}aH z%g?z`Stq}et%yXE>Q$GkXjqpA+^u=I(r`|m@+-|SjOhc#JDh%; zA^~hR!VeS0gFZi8=?8wD&yxe`2|a|EYyb2`+(CEi!qc8ta zUfCWd#NfpgP5S&t`K7x+?)Co${C_I_f1vsQ$FdkWwk|VjfIloPEnNlpozWcJ9WE{X zidLOlcAi`a?#6HKW;fW(y_Gl*_BcR%fi;Eqmo>(@9$&PC4?wsEnUhnu0#ieN4L_F^gNP=Kxgv{{*&V9-?iF_9ZENJY;*d0uN9XXRYI~aHHg^h z@HB!+sFWOyM|*8YU#wM)wcNPBzz}V+V;a~IsN%VN;`rWSuB+MI@rrq!Mg4Tgv`Z^` zL8W|5H-Oe=tzp^PQMjr1;nFFfQNOc4F8*+_ElxjRe%4@3z0$dCeCC_un(p|TpohWv z=HW^-jw(d^q|aFC-=WUd-lf6X0ro7*w#PjtXS@KE&H$M1o6^#(FRGJSco>&O^kq#O zV0XVR6Vtpd>1fpvIAy4C_pRfHkj)J3U^Q!!>V;0Lwe@;+;c}Tww=;c%c*4FdTnrYR zVo=A6{XhZym7l;Hb-@oluF|T$xzrCZ4l!fWwQu6U1{cm*3w{88%poV${yq7)sM%5K zjW1@Jc|)_pxjt*G^ml$*HsRuMyt-*5_k8B41Y;~{*n$}n`Ji2N>^!lw$}HL$*ctd$ z+u_E5z(Ca)wP{%|GH&FY7u(-;A9=a0wjH%2!*Y6SN;cW>u2}>Z>dz;;*dDpPt1}*0 zxK%qRtfSl1E}`9ZTlX+Q=BXM$A_{!dRM0D7wh`a|#_K-bdkL3w-PZcs1$2s-~rl9dzi1EHDN;74%AK#QY@&883Vt9A)--z=Qr;!T;#sKj10*PjSCz#mbzfdsQ3 zew@524~Q~=ubqu?k7U$|GoC(^%*5)LHoLs#ix@XWI&%|K$5`Anj^4WK@?-%;5&c8< z*VZ0|3-KgWn|Pan{L?=znUIx9gDxr?(N3s5WjT*J6r06W#V|M;Q8>*OCv*Mw~rTq4G z_I++uwmMy`OH0ePX%rGRpWu2ff|hDzUWcdqbwKoHN%7;~{Eu{WS@msurn8bNbFn$h z^8=*nwbW5M5ub^61|#s1Z)zn+5-QQTDzcdzP-i48RhH2=F=WV?wd9>hWRZ4{{H2CJjfc>Oe4ECx!#1LBesDh0X2>7@?p1$;ilnmTDH*zY@0PoE05F4V&zT$ znjk`rdUNz6y+}?X%_n#lD;%8TbH1s|tMV2#>NY)Uai$+t+(2HDN3F@VBwnuaj>K== zv39L9va<&Vp*sQdVWLab2fQ`*czbs9^VyGxvPg)HYpmn18_*FFI6^uQ*>AGz2mXP7 z9nlGNoMq%U>~LKsl^9>}re*jXGADpL>B_}NCpfjy(l84$P}fNN&Au!Mt}-4s=A|RTY<8jIIiszB$dHmcqzd;iA>f12+cgt$yjmbzj*1wovgVr0M~g~ji3S&TGIx<57cNbb%ze{CHu ze~!kvY<``4%5Fi5K-=tbuyCF2Q})rh9RgxAQWXoB2jKy%xyve}i&cf$;EI#3bq z6N?+S^*i2m91IhF9_NNM8go9B#rEuMtKZYuoI#I>P zsV6BuE?oj0R=w+LMan+f&W1I*rjpZLN_~d84Z7wT`C9;sbRHewp1FC#LO zoWLs(tXGNri&|a<^0jyplWp$C!8N-Ye{2RH98W1D%&yuUs$_8T{IlHsn{sE3JUpJZ zlOmK*uEt5Ey1==_44?7`dLM^%<|$`fR9xAN0O*589z~eDbj#(fgRhmjE{%@nnSmp0 z&1W)%W<3<*!R^5rTVVWnESUQZEA~;VNw9s_&6Ai%05c5pU;iLAp6%F11!>=~qK3ro z@I$&2*`SNRH&0FA#3sqxBxTUYIk&)BcKqdL4lPxkN)xuK=b-KX+lti^{uomp&TbP)Zcp6s*Yq0EiWX zyDcb%{*wlQW<6D$O^l{_%`t??c#o8>GIDsFbh&k~Cg&m|Y3`(xIY_rd_l$HkoaoeW zI?mxN(OPICBH|T;bWu@H*Y^Ip9iDxoYKZ`+-u0U23Od!Xn+R}! z*-eC<7$ph(4Jq~>F7(wEd?egnR|_e)HJ8cC5@&nPiRdp<;*sy-4$>uKn{YO)ll*C8 z%#LLkd@ugG0-4A@ipz<CC|_P6b7lmqOW#E}C5e0FyTMwMDLkl?R)WW(E>c?eH&?S18uV z-Esa5ooTdi{Wj7g;&lO@&o*_E(}2`Fe%-zB}_Kz%GftYRW%2 zg|BQ6Bf}YcibimGy3*uibSV~SP@>*^j4e^EVvy`Zd35`XPU9@vyl0jewHGz5IMY>p z;qfJmMrrL|k;DkCXtGC(vpKnsR{#KOLBCb}{1O;`_ebL)_WG@%OCSgdOWW!YsZd=Stp= zD8*MF!^E$CeaovIlG@I>NHKDK&g*ImbbP-DwT|j{aY=32T)1R2+u=rkKI-hpC~=d` zrI>sKy`vR70iAaVGB!zvQL<3%zs?UV+;F(OKTIG}wu{yMRVb6KB4Np-z1ePg14H&b zY05c7pY>vuCq8YBwI|1iL5}~hAGKU_xXa*6E?q_*{2VD>$p>6Q%_m&ngzLXAG<5Y-|b<)hi94 zB-$cw^T3e{V&!m|`Y0x~{Pi}IfJpwbAcfL^W#9MhIE&UsH<@uf4z8bJd%Dco&j@|a z0L=FYe8k+`G<$xo^L|pNQ$z45qu6jWn(w|ekLhxXBecs1Gk$$~r^8EKRCY)W?#qoS zGzPiHeawf!5cb}pJ&j)MQ} zL@;O@gG&}2%uF*zi%DcTd+V@DW1kuOIfX>Thei-AEj{xFSAtQc@2F_^-Vr|6LoYRL zxF_2Cj!`uXHh}P-JT5*O6_d1<5aJ2HK1`U6rn&7{)<3T4lvptf88wG>v!QD*R)OLh zCHry*H@>YUaaMAwwzvJmZ&`R$UR2Q2I4TI@Ig30?^psx#0{yn{`~ftw5sc=B zbpg_}L6&{ANBHa$TyImP7h};zo_-KQUZW*JumJWl35a3EO=O)v=tqpqK zmu#u)(g2bzh;YjFcQudxCF%B-UZ$8zqfzaBNR0%e@B(P| z(A}}saBi!&PpBIKe*(Do;BFv4+rObrR3-VWD@+c>#1mWshLIu@6MG!hP{E7q_wq@} z9|>v8msXTm5t7$w%$$!fgD+frjVd)HMI1Rr5_b< zK;gJe?l?rv`XLn+@70VHw$%zEQ`wH$U@ScP1S|10GBoIPL7KAvSH8!CqMssN^=DAr@&<-;bF7j$JHg8`4wm$E_h0Igto*%ow&q3$?iLv zq4$hT$`x3@g0fALc3T_S#tek20cCO0X zzn}bDEL~P1hDKH&67#6dUPDU#eq(oho4JwSp-kwYH{B4jwU@(48L}UrVe+W**!o~O z`5g6UL@abX6bC@{92;&_#r6>A8a}tzu@B8aY_Zb{u;P~ zlt{D)q)#m6#baW?vlgm+26O&ZS4MdbjDL)}Im_UXHbcC>dss2xaXxZxiM~Mf`9Ty? zLOv9d02wu)pj%C=fKQJfXF32qK9m|yZD3;$i`d2>I?R*m^OrcIe&d!NQW{GQp8Hsz z-V#r5&Pn_+mK`kbx9>wO!pJO~$0dxS!}up^T7jgt&=(&m z(m}79y(6Rnh&?UIyc;3)t)E|#WH)b=9ggc%D&N&uE&qN}n z+LU!FXwvhRs7sO|7p$yC=G>HyWyUubz=88I7-z+FZy=+Roe7 z^utTX0Nwv~zaBHOH`m^Mi|FY$Q328`eQ2k@la(V&W!_xL z$lv*%7u5NFcy-pvA8HzO2cuS`R^Hbdk`B+-u10ON!E9>&ff8(! z#?3iIPUz!mMp3Q#7)#LQpG5dI(aKWyi{6(JQoL#&#Klg?dW2w7x3IU znnu5rV<;K&Nfva0q@^`$@NQibC+7}iNJ5>OseBQRTU(C*={?c+dur|<5Ywf$xNr@~ zVH1fxQbDZe{225Vk^y6U;7o(nL?EZL*XEXAt%!Z-@SaAr(B+->e(Q!ozkOW6Sv2c(afgv zWNQ@d86rl!@*gI}jt?cn!xTfu+atyIb*wBmr`S?BW7M*i!#|XT2!p1&eyJ+`U80gS z(wW4&H$HUm%rKh-BzH2fpQ@tej;K2bHUPH~d2gje5%gNcsoyw&|GJI1{k#biTyLAR zH=tAN7&wxepe_ji`S(1n#bp1~XTwFgg!J@I_X6DGn3KXdHXe1g!T(b9E0qxw3soa_ zQNl3SYh^f%WP*#-2y!W=u|s~%F*jBgfi0ho-dq|>HM*iv@N0kX7{JirH-FQ{te{6K zN4~qug1^(ElgT#f-H5)U7mX}g4sVU~?3O*d8V`Hfe6)hA2;bM6W!Q+GAQu57(?_;@ zF*ODgSL+qxn{(5ZzBgtF(1GmnbFdwd<&Y{jX$wS#n<;m{{5k3P{uhnzvka-tqE`80 zCS=ZrHebl?mNx-uu;)EGpPdV)@xdK9Sb$IVJ=x@v45gyV@WDUS!LN4yoO|>jByqN} z_zaR&zst&P^kd({tOqczGCxiY;EQzgZ1RSKoTKy`CZ)!$fthtBM3e#1QsKRa?LynQ;Lw{**%TeO@wI^;;_+Ra2y)#4~h3 z+9>YIuK*(azdNbH^t;c$u^q=GMgpSE9#1xkho)VXlVaq1?TX_xTfxRgZD#>k=*mf6 zi|H&!8qv)oTNjKrI|J;7#47QOzex#kfMb&a(D`+&IpGZx*d zmQLJQoKPu-41dq>bYn2+v`gG8DqEUQz~sd8O=;9;pu+hy8KrZCSR8BsRp&Q^)8l+Hsom=yPjwHYxLjt&BZsgV+1K zB2!5!=D`23z?N}rmIVov-903sESQ*;g6n!pkv{mPbrZv@_ki3jGO3? zI|RJU2ke!#c5f*dMjiFYU}*YE=nr~fcEb1j176UT`9}HlI!3_GZ&@ev-hNUXzYxYh z{~`2q?}0q&hV~3i@YW}7A~y_b403F;pf8E&=$l^$zM_T;QxcCOvf(7IJ7y=Fs^;c~ z50(C}sJ8uAEzr|@mK+b1Cl-lGA*wD=G-q)$m-<4Y zJL9if$WGB0>qO@%E{fje`OIxbo-NX`*&@qs-b;SHYSyKbnp(i<4>O`w9TM_7J0)95 zz`}o(RGA8o??>l!^91_rs<0Wkkt!32>Ung|I*H3f)pk|jNhoKN>u252aoF8@A~`b! z#6Scu3RQH}`nP`Ce|-@KKkKDi2befT;L84$3PStXN;9u8iJL}K+J*l>&;Qi}b<9rW zSs&S#4k7=?mO{wQm5R^g@mVMs4;^mA#yM@z^qHd5OdCIL&ml`-@ z>%#_IG}WA0WltqUZ-nmE%5dB$pXWPR<4>`X2M#2qahzSJ{HwW}NPp9z$B2++S$WCt-Y-_T@}oPv_?n&z4)X42act*%C(iMlu%^Iy2hh)4EC$X<1L5?~=s z0!nn}bo)ui#}2n!v!`cQ&Gn9(3(u04zub|E1Y?md{5iU5aQ?V%u=<)Ap~tR!cZ*9E z-Zq!Ys`Y6R7N5*nF2e(!M(x-C6A2uoRnbY^@yE4FRXIL~VcdHZ>tEV_ z(tF=#LOzMN#G#q4#FQg`3TJ|6WINtS+yq~xnFnoI5BmJ;SndO@?)Hgg;}F?EsGJ#e{winMDu4`T-z zibz#6@*Ug-_^O^ABWLdZzT;@IWN-T%A`+A?f+A=VlBsj$x=GuNDX9DMtsR21byOir zwkN^{KsP>(a%%gKQb>qyQ0lLuA>b9;Lv(~56>#690>j5njcnEx7>^TM_<){Icreo{ zHXj&+^xfgsWq1RFK6rcIUD%05c?4hCSo*u(=R<%3mn0z-cSW{;#%mDd+!@Qb(>0N0 zK^+2MEQ|mj=%Urg%h{ozzXnU0*MgdVSb$ts1;i60;E-Anjr%hceX!CCR5OKNq9-82 z=2zDyFazFWU%wsp>wOymmJ-d&k{E8(*@P(eg=sZXejD^%!S9gcle-Dp>kmWr?v)}^ zYTd`S_7;H&beA?VuW_afB!qq!*fTNOiae#nDZo9O4aMkcYq+nFrSI6Bn{-^wdo9&$ zVL81$c|iLG`g9Ss*z@D#Yoz)cF40H#tg@_pyD<5l8(!N__s8ZA^RvS(+HmTGe>MTG z4R8i6GRkH(k>Rc87{>4X2XXio*xl&^l^G%hcX{b1`m!w{O~JM11d|1lS_FkOGf>x` zNnFgajC*q%k;AuQ@--!LqlnUPqk6_S!V}M?3=$VBNV}SP z$Wy`$C_d4cfiWHwYVxMYl3p?d$X0EK&XGd5(bfG}dT^8DkVf6@hG=^pNlW2m*ce8V zpxskxz;V1U<(AY<0=z6XD9grJqRSG{la;vCqrM`TNgn&=h*32J%dNl$6Ezec-_d$Q zjYMEwX`{8ja?a01^Gc8;X_eb^a)`q{aq1Nq-JoJg56X~$jqCc|}}SjY-WFv4O& zAv0T02@QIuL;_u@ed^fouDzLzSFGa(|nURp;ND%jwMh&KN zf1meXQD+*B6elCo0W`)(k1kY#Z?R-&aX~{slrn&j^g#`G7NhyR5m2|OWP#LjeDyoo zf3>BM#t>XdF_nbe&SC60T3nLIFHsp0ugaB>jmt}9eb}%@mm6`V2>$Bfg`HgUG;9Fv~3p(&0|&m4;LAVCN@*z zmQ(bztD5^E1c@uZ-^qKIiZQ)Y7}WAx6>h&6q2k3vtqYRcuhqN*e%UiK%1HP*R{hPY zi`QT;&nQDRc?{mpqdJ~yM>HKuvKSa;37Y%mqu(?Z+V0z>%kxsm3Kw1CF@kp%h6FQ zl}TI9<)f>PwN1;U4H=Xv19CiKmg^Q%J4VB)txS1rekTyY*wBSL%Q5R<5jPpI5o)I2IH5k z`3$A}`(BlxTSZP^hkU0l#Zb)Yf#^;-w+iySw~&|G3%PvUs4IbH8OIfm1VI-xdWgOS zAjz;Ku@9x$Gq2%%c`>vz9r`r}jd-yzhxjG3i}@u^jyj8)SWD^?c}$4xu?Sn#7bm1r z@iwqQ@6f4?za z&kFW+-OJiDVFu+V$5WCN4NE!~LE|=0#^wUeJ3JB%ah7GHD`HSFJKSsG~dHX*rq z6%%HyXkqz2iIJ!{UYd`Z&K|_F{u@Xi@@M6K#QC^e?fAjNDdBb|NKmvgGzGYM-59gh zM-Q#@G4TytpqWZIIl2Q?fpWYmMP2|ctZ>dcz56D8NbAb|N?T>n=Sq`Rau}}3@?f0T z3634=iroc8s_|?i+9hJUudKguHD+wwmUKH0W%~Gxmi^QE+Pvyv-6h1{NZg@hz$yEO z45?9F!l93pj;do&UQ_Crt0~l@c=S)Z=as*^&ZKw?tMx=$JQ>0H4R40FiP1eZ^M4NXq3;Tzt zvb8Y}VK!OjX>BY-wm_+rc0oKZ$~;+WrS@9S4A4XtTd z>{m5otEXs|Xdjo0o=viUMN8J+(KTP8&$dZ)X*B^U9lfAVn^#$t`8Nr>!;~ME^AVo*3n1!Kt@{nQKo&iVJ1jrqdrhZa&wYV|E2pU$%Oi& z#=Gsm%QWJHLd>{yZsDeI=3s!(YVx}|OFwV1%s_F&E`lm4-;8}9OYoz+p{2`|(Q23H z^mI;kt3LaU|J&gr9{!x(;f4>v`V?};+gFbIszb3^;Ia(+f2T{Qa&0`57P1HT`^?+& zhXw)6r_?zq+8lY?X}i=5$g0@0iBQo~52|K7_|0+Cy1Bxjw$7lzi+QsL#cLfow!)8{ryR^TFu0)Kz7hGEdHIW62y$z4HF1Wef?fdN2~p^&;+?fxAcI z3VHXuG>IqHEtggZ9n|Z+wmQoHfPnr$y*B5$cX1TuC@S>tMLiXC^Yu6Z|F^bOcOq1< zs#vj1pfq8q@lG)4vtYD2J=;veX_@HTnY(=sqYOyw=%cX?g9Y!ZT|H3@?LES4SKR2M znc-f}x2x4WRyfo(L;MvJ>a^7%F@ZRn$hxdj$CB831y|M9%~eWc4P*RMZGkxrZv5U+ z4xPI>L-*#Z$Ma$Nwu%Z6md69Ap7D*M&)R_GT3C1j7q@st?9(Jm6TjZs1YNWN0SLH_h_atw##4afJqRycxZKQ|ne)abiwF@Ce6 zsGeKqHnQA#N5_hgDUhwqyn|m!Nu6>1_!Iu#4<_?H)R2DfM*EcB)00K!0YS`4nC<{`AecjMpC29Z6 zMO6?>?n6N+>)KnrRs{*+m}?Rs#SZgn?4*KF-f>POzp)n~Y<$fbo|we;E>lvXSMzD9l2;UdH( ze|u^zEpnLd(E@yM27X3WZ!#H#ccBwnnZMn7G!Bfk-6n84M8D{C{&q>bi_RMKQ6DE{ zNNn{g5gO(%DIr|#XD`UYmk*rFiSkKag7~g$nGcs3Cy#eH^H)FWPSxk!ecFN}tlsQE z*x$PDqiE4SSY7aO%Gn+#p-bPfiHc_y*3aEXJ0I(VZe>PwgjS5Q%k+m&m$g!ERwnK> zaz8Fj7N_3j+FN#ysa7DqR^i6?iMA@6Z~K0()mh#9sXwtj*)@&%?}1sULVcO9&+z7 zaXeE=t5JO`L9z0E?2J!4?j)^MrD+TxYQ~gP_&6Vwz9zSM z$O{%6uKK6(wGTYq!vx5P45H*ACv0tB3hisIzCP z;?lwQjyT*}#VgJW^ZRXXpUSFZhjx5m?_ns3Kc;b$n8VA+>j7cMm@BB3?`8I0D3iO& zN|vP;#3`2p;^{Bg&KEr7*g_nyM0oD#hw`P4o%=++veXiDKDfP9fu3J_kwjnQ##W-- zd9Lu0a}s^JEcezRm&d}4pQZez7$$GU)mu3ip1rp}<(Nbzv_y?56%<=GSji^Z)&!Ui z+r5{pYx=6|G#YzQN~89P2aU$BL-4EhkJPI-YESLBBV=%*1PtW69Q!rUE*JVps5dLZ zVGb(BoaM98`griKZg(5J6uHx|d&n=5f*{gwiF%(rSxJ?A$-s=4j}cAsmMt}YURT5m zuz32HUL$n5z5MM;xq)fkE%|ge^o;$s`N8tl<~EOeQ69=TFYCB5O7cwB2&4uNe&Mdd zW2J_wkNi2_KmI(#e?~8mX-c|r{G+prRO7dE>t*ToA~fc{p8UiL{ifFLp==jDxc)Ft zpv5S(XVm>bl#jfV-~ZE-)kzFWwi8(wG*5Epvm8j*>hN)iSHF|(SZr@LiH~;TXgp@{ zV?cGwpiL8;)Ft3X#tp5dR&(dmI+eOJ(WBlzdqWUqanxkAP(|b}>nP8Yl_yHhIrC}I zXOLhSK=p((=Wu`W3H$66a7YtVEjFIw&b4SIlfNgT}k8iB_95durBkxFl&^ zl=RfdwI_Yp^$mA;Fp(4bU^-~NY3pam_^SagoudkQX2B!)_}*?cXTKa$=&l-dIHY~y zxyl}ULs;;9n7e)JYYuFn1>V8p%R$;_$P?gp4a%s~QJ&9))e#?W^-tF0f^Trh8Z9p`? ziD$WQlLvK#y&s1)_V1&FAgp`6_PU^mamM9vqIK(!r^fVyyEx&9oIz!YNf)eKzF0@A zbDJrFo8txUM+GifgSnZcgC7;LH5--81H+v~6bN}<{9yFk7MSTMmT+F9_m=bM8;xpf2n%`^*D_2v1b5GNpz`lq{t`xXj=it&~c=OrkX} zya|FLYIt_A9K48=0BCsuo4Ut@|5O2*8*EMe-U#41!*#)PNZ#74e^}>GeahD45qm-< zj;78NUTt?sZF>6LJ7}G1M9D1f9Yu2oasEC^Lc1iP?+mv!ju8z6Xp;#X zh=&Q*rLz2&)iSrl<#+dJ^-@R~@l{$X{I{G-P#`#nPd$?5Z;mR2%?eR3RYUh6#t|%U8EXt4tqDu_o@cQ=h}EK zKaId@ov?*#G4F4I1li5rKQrL~(GK-In^>Ca5}+%m(d7|mj*A!^NCSYRw1o)uW?+Vyqx zMg5+-eol6cu}k^kYQasO-Q)F zdm7C8!PTui*CCqdDXKfw-Rkd;VkS-}zZWhM-h3gw9E;Lr_RB$#q;srz<5%+B`m%d@3u%E{B%}-O9&wqe93__?{ z{Q}=r%W5dL9#1FWyhKko@_l?0ds#I}Q~^_(Zq=C&r;Z_Z6fhi#A1qgy_!YTT z5C?lf3uMCn+LON24r+07hY?@@I~1nz;0(7|GsPul!hzSL<;r*Sej3}`u#nE{uGUw*2@?aII)bJ?4e)v{`gAQN z^-eRIR;&?YkEzaabd%N`la>nF!PQLKheQ-E0&|_xrULCBm*EkkU_t#7%J=`O(#Yq5 z$!DQTbnw8Qcro$6sN?G?l#X54`Qj~RlZ`ehy#VX%I=Sg*b>x4={fH0pv(0tU<7IW&=6Hf1vaxz#4b5yO zs5VezEsN5n^Zm*DiFLk@;L-|VNjGm$&-_hk;I=+7bjjn_tYF=PfT=>QrmHPgj;-dsC6eTe2s<8sX1!rH_T=Q2o3bq~PexJEN*@oK9a|sSbK$Jcpxps8Mf9x&Rw0Rs{3MuAW4Qc?ucQHrvXw* zlf8TP2x-FezsG6Os6ne2Y2g>moy)sqc0)LUcqffl<|8b3 zA9NO!UMoGWfbe>siwjU-=)Ts^MyV&W>mu(x66DLelr55s2x`?sucT!w(aWjtCLC-A ztXh?@&f}V_bEVaAaS@2(73qT3-;BW0bBAN=f4u!Ma|WP~-H@cfK7I#*0Sq$U*FP;W zcmEa7dB@0I(_hdfc+=41)T%w)!_Tdgs~lx z3~NLmMR5>fzp4q|8MpY{<_8}CBLjf|iHnH)@p%;n-q)c`9G8rQ7H4nQnhLk224T%~ zu=3@5Z%NJpSbKxss%ji068R}`X&aRX==^v}^tzL;(3ib@f9wZQMsH3foIhAO%~O`1 zkG>Fwm?&ZF0;L;=ZyN&-NxKcf`nb0WuZPh@tMLeFTT2r;WAVhCW#xg)dVO)!t83DJ zUa;0LSd(&!^VJO#{35S*@aY9WXee(hmz%C^C?~r;TL>ma-oY`P_BfeAH|QO!fQ`vj z{Qb2=&o$mTO2gzos<%Zxo3fQ;Cz@*mnZpIEV*YlM?btP*yiO0bED|jkwbDv z>(oyF?LY1h0F!(uhom#64FDb+cBd7+AIdNW=VTn?bwv~zTPK(t7=0gx?8 z@rnDzuTYf&;QmA)?)^D2bL*}je=lP{1ybbcg$}*$$MW;U&r#~f@*bdw=?(z!QZD)O z?**OdAa@3SdT-)g^!yN3KS64zhN2Ni%dC97^9>mv2IZ+imB$E!Ig5e?tXT+Z<8G^=h}>{Cu?@QZXi9W3m*9^p2wQF0)M`& zqKVNbI6xoX`Hj7qgkif?KvMu!IY$uGN&xf5r!Urv`la>(u+E9IAbJ?*+o00h!2m>& zG+9rUXD7NRU5A_sEW;x}|Gbu|eCh4XY`mDp{xBplu=`(8(7sx5{5j2+WB8k+W}FsH ze-w;Ub6085Yj~QL-Ay#GWoObJsk8@t%URmuuwXWc*%E#caw?|Kecer;*S0LS=%9Pv zal*ikav~y>b+(GPKdfD~F9;3t(8Kj1hXFrKRM8gPT6DT*Cli6EPoE{l4Z8c*%O%@Q zgE)P>uy4}=F018M#x)P9%&Xg>WT0Quu3yD-#}kwYZ`l4Os^28nB4)l+$$_w|xq%)R z>i2nRhqCS5WcQu&bzON-^t`o{mrlM|jCPaMi|#bkwe_VA5|(8S&n&!UX#sU*@eeu& z@|}hMGfcnD6K&zIa!U*c|5wY%A^SCxMoqTfL;qY~V`g18`uWr>tn((#OrA6VtYGJL zX%CAFn0>5I(gpAIaB#Y-RjyzHbo=4@DT zkovG)61M?i9u3dM0L1<}WAPTcgKn?0(3H*tdB4Z`U_f~OM6qsnX_r35jvYnW-($bd zTHp_UADlOn$WG)gr9M|zM<$lxFXBIU`Uf$W6_#oy$hOBVxF#CBWIvWNF@o$*lD<~H_u@#ni7~ z1O1oy)~CMBn;ORo?BBGXl}T?@dx|c>uu_}Y#A27(y9PCpQ~c#m8O$QrNyaN^qghD& zg^)<8&T%E?tVWwVDX8hVUEPp|C%{N>;%mgohW~q^Yl_^f@%Z8ql&U*`ZLYW&-jNeX z4|1}R6|z4FA-L4+mYHnk!#=jzOF(PUBzrq3v}#7u@-IxJ09B66_a~S5yk|cDBXv`i zhU--=RisVaUzM2sYmbN&2#lk1y=wf_z3B46y9PW#sv+ojX_vQsQ}#D=Sb52{#wAM? zMt-S$cr&c_Qb{EcUVqJIQbOM98g-Wohz-=E9-YXt`37xM6l6(${-al>^a&1D{|Myra|PV`+3~O`}xn1k=YYLd3ln?Gwf=KN3F zJLj&_CLN^KE%(=~n9C0X{?*A7!r2c=3(b0x5@UZp5w1AQ-by(BHt4`>7%d({RE#X5 z{ycklbbsZ_j`?$MZ)g$QTLi773PKX(-wW1 zJf1e%f6A>`1IvP(I-M8DEv^-1pPV_WUZBEUs+rveE6hGcX}h(@DrX&;CsyPRH_I9q zU4)Knya#D@L_v4aCulFO-m<>)nTNO+!bN7_528=hj&s5szCj;EHo`sPHy=(dAPjyu zSallLG;q=0jE;12(*qL&j9`^J=9|B4*58#HF&KxCrS;LUg(|Xr7@Xl#K;|dgG|1-q z;y=nE#O6|Oe#4_SW77Yxgli9Hy8qwxC@aL%ksik$ryQ~*r`jQ@gfbz=GEr14$2P~U zof!_Pd1HNKou@xfY8QETM1TsOD1)f7k6St zY*khmBCRiuy*T|{*0uHy6kIWK?WoW2r|yiIr;$D+^vhW2$MVoCGnZn4XAuo9gF=lK zh!g2W0|%)}^r3o$;wxJ!e|D4dG+Kw^OvwcK%BFnyf=RphQcNCtT378B)-Ye>v10JZ`Fpw!W^qwCleT-cf%Ow!Xs5k<;5!n7)|a zKXW^?Ep_?rE#6-7Gr{NZR6q%5ZtIVu37po!OTGEP0krN-L->)|P>2;URqUB_VUjZ1 zY7$2#?7NYI({>9Dz7Tc>^P-i00Mkn0`*fwNCypI|ag$jTwqYjzBS{)%qJzU1-)zxb zB_Y)zlPRy79BUZeQ_94>uSQNGcQ)MH2QoBpXoV_B1QdAQ+l#WHwJ zI-tYFY-hm@ZwF{x>zCzJn(NIL{B4uio3QwuRN>EpZ=qBTHKDq;*yI&jNN7BaAgqxb zJQq*ggi81L@KXA<^OG$)SYEf84CJBu^M{>q`5-~J%lfGbw1JF3mVq`IhbJKexc%%i z;Sp~|(?&Au57eX0mi)PH9t?n=#0il}2%9gJ42*iB3d0rzM{hOyDkNnsV&S{2_ocKx z6HvuE!7|B%XC5Y%|GT)Ewq6bj1n_$h9=8_+e=r);zw5&u^0!AwWbV{`8P#Cr97-xm z_KozbOi`7xwM&x*k}De2!qK7?)QfOLgDmE9uN^^-R1}6(*D2OSo(#>^6|WFLgC>oH zU{b`6WHjn<(p2p8MsMHyVgdF9#;F&#z;s=V7ydmGo0J+{!q?=i?foklD9<89f89P% zdRGug)5S6>1#hbPnWM`ft-4mI(HGkz26`|`tbzl(*YzD+wV&SkX9Dh3G8zO3D}5K_ zB0*u@F@>G%j8}r&96_xg%n(0A-!?2`NXw>~aN!*|FQa{bMKmt|rqXli(FN^c8eJ+$ zxU80-4-!Iqi`MjO`R*YHzFBRSm%^30?nr*cAv#R`*=*=Ome*MWJVsk{;}tVklUWo! zNgNC{6@PaZqh;}f4fBUwt)i32keu{#41Lpw(UX3N&i+!XJON>dPTygZPW^0g<2D9X zP3^!mafxo2vJ7<4zAs}2HPBW4s2)xn{^4@RS52JUEcjiGM3`%1G zzHII#6+59>WRa&(-2JE-a7QRl*VQO32TJU7g@OU=aIWer3cF0o*fHDs1HMr_dLluj zJG}i8r96yunEa(UFszw_J4T-@z`sH{6gRWqV=(0sFycQ9OVHmL*~PY=>YU5pGMkqx zn7Xk(qoN5tpr;R+7n;r5ZXb%1zLKbyfN8pf7}j^?Mo@vn%}uB%=LK?B^SB`56a-y{ zSomluwi%x=tW{lt3!iYhH>oL)9Mc*EPh)vFxA;$auz}yHtY%xCV0TFf+dPevtS|na+`%u zC^h<%;;3wplsGmNjgf=TT1~r3A1B;BYu2M=s)oRqr#&pIdsl5~>GU>N4|u0ysO#{U zOzq>eUxb;esls(eWn!$OX^bD_gi|y;Ns6Td!;S6%joF~V!Floz(CNNyTLk_;)_W~8 zJteWm2bMcyS?J{eWpZvXU!u?2Ptw($a_E(t7f8wXw0%cn0!t^L%EX5u6DX0U7A52*#O6tfAhszX!lUzXJ-DCvQFscInwlk4<5UaNw6qAsGB-}VtIRuvL+*l zVxZ?n&6~=bs|7ZmF z9QB;f*}qnebma~4blDb&BOb1tpDk~=twvs=*PrJSvzilpxc;I~^7E~$qRK}w21L)v zw|JAg(dH<%Miy;H8}&n*3x%`dd~k=9H^uaZ8N@Adj=iyfQP(dmvy`@-dd@y-gR9gCq{$6pVrl+*b~jOpho9wV5&T^=>~E1-dfz! zbJXkJhTU;4>oXpmdt*|_1bg4U=(GB+8uKrD>;!u^ve1H1DM!+;`vALqWcd6t9wpas zDd*3)-Z_xbNcMsa75!MA)R8~|l zNO}SlP5;o6ztIKnL#c!rNLF_)o+w(@ReY?@#S!Y5lx1h15lK6{45N=3G4Am(h=UH? zx4gp+&;e56kX!?76Nn0z)an8$-GC~Ov6p0#o5Te^yGLC)Ge{EA9DJ5Cby4xjmqVB) z3HR#A%UK|)el%^wR1-5|$MV(2j6}4-mqE~eTrCPt>*nC-^~;wzsMpM&8{P8KA~(m| zb0b8FyncIOx3Z70Xt|e%rm0%?#NY~$2}I_an?p?Q(Huue zgx?2H-f&Gaq=lf}R3OyHAE55w4^Ogbt(_^_@N>`SrFix*Zh-Ngb(r)|Dj(YAJdh7*rv}YZmnZ-U3gj-Ymtxw-cD}8IaXl$ z16c%o!G_r_{4&1bCA+7x&V?UM16_%vq95mFqieUk5g>iUcCu7724ULFj#|pYd`x2u ziB1Z9(6*9Eu_o)AlE>W|9@CBFv!^>jInG39aHXz2Y&*@u-arje!>1MCBd=S;L8KcM zRL;jz1>(YLJzpJ-Y5)t~wvR;0A(c#$Y{>ySbhwh^FMSD>xSPtf=L^w0IFnLiq>(7V z$ylvPRnTA1jB%~};h6wk^PM7KKQ>A?v z-iw!8y4?$@Cj+lPl|d*QegnhZ7aM5pN~*G80_ zV@r{WqF6B##Jf{z0B!SKwdFSY3U=|?{Cte-`U9XB@W2WQjQ+2FF`BQ%NZ;EiwQG2L zTN;CeevDY4F2tkJE<0o?Cbz?iVB zakCoYyS$>&$z$V08@d~5fzpKMhnG$$?McofDso*K?c|@p1QS!|q5hp!)q*TPI|nHL z4&R?Kot;I-X6vbh5n&rLvZ~T=9m{iw#mR3QYifJV2DRjUFU@? z*%BNl^w+^mexydIqJ?w4vu~rxgDSZg17C}4??}(z8Fg$-ID6K+msUV4!Wy&Dt0F5} zKrU|wOIv$=ye{Q~Wl2k_S*Pl$_!L^fMDF0+yR1Cb<^Iim>?0ur2aua+`+5gux!=ai zYP99bSYq|;*IS5J-unMsJUXfmtLx5LjrkR{4Ui)62z}?ONS1RTrUdz1W;}Qb_211I z5%%d=3BZn2rVAYC+&n5(0^^AJkf3uKblnxhxQvSqY2DDvL*a{K;8^Cb)y0GP610pJ zQNMcfz3QM{%DlYJd}8}wCU@Ar?c?^4u+?*<&&rt>HG(}Tbv&VDLAVm^bB%zKnKvEfqp`iEOK8U zt+C|oU1R^k-92vCb~lIR?X8z5L$8r+1%f7YSI)f_saB3XJm*P(cWt+7kLM*dHUFB5 zR;!lchoap1HGS0Nb-Li1qD7qv<1YrT7Hl@{B4hx9! zTZdl_=OaWhUJhj79;zr%kRa`-R}y+Ncn?U$neE4vT8t@Sh9CNrsRXODE<$yqWq5Fn zwVAFG=GeM7spO%`{H4!s9Ta8>anyG#?o=3P>a%$|B<9Cf>`1rSg(FJ-vuQ{#_&tqm zQfOX^G{X3^YBLAfgl^-L^U<>(2aC>Vo|YmlV^f`C-a(`Ozq|@-MSa?ce$0&M`TgfS zx7fZU`jmQ8(}543*>mcBv!rI5X&2ULf}OXfn4@V72~>KG==OH>&Zlm@!3VGXna8p#tGfy}OLCIvOSy}oZ8CUU#I$6eY{SAFKM+DV-meM<9dr>}a-cWtcujEBCB{(bLl z&1C_`m{l3HqcE?Yf3VvitDx!hQ`SGhd+vjONS>oldOtkgx8-f`vvBUX?oCHWOLjgh zOnug^!95C)TXM(l$!VkPmXe`e2ctvjBE9R8{{+@`?vkDIaD?4??FK%cWm7livdgsc z@Nuf0JQ<&9xM*i1H?>xi7{PQ&SNHx;UhpY7F}3i2CK@Ga3h{UJ{Zvp}s(C{tsB1@B z`-#r=3Wpfc{KzA@pB~sx$LvbHeD$aGxM%r4{gkGDZY4D@!({K$o_7j?$Y*iyCw0#! zujD+5-O-Nf2pAV%egP-^`Q&)`vpqm?0iZSi=T^%EKzqT=8Efd%T3^#%pO%@!`X*$_ z)OHjZemOvtUWPMo9ThccQ68nHrG33k`2D*Z%PXo~J*r$M$jHqG{%=w$kqtZhmu$3# zFCyz(!LzGFw)a+FSg6=d%uV8qubCIB!;4Hmb2OaFjJ1n1KJz2m7iR6u$!Ds#8eab! zn~4X-yVtp|x9`@8uCEsb9@a$4ICr+G?){6P_6KR|y07!+J=xvL<`RqZB;)!7 zk_r7?%a`&eZz-P+vS^R2HLs-&YyJxhbm~z_G>zhnx{fh@L+9gP4D_c8bD`ZBniJ1) i4f$TptkK@kH=rkCb1C@a>&EBd+fkhgPdQ4zar%EUKZC0P literal 22708 zcmeFYg;yKT_decIDDD(@N^y4y6oQo^r9g3aDZ$;{-CYV4hvM!o!J)W21P{(HeZ4>b z#P6JM_MDw0duHd(oh#3CXBYd;n>Q7xZ((N#*dY#Uf0)=iSb%IOIk;HaSve@hoh+=4 zDLJ_~DcO11I5^oj**Ulh*q_ubagO~t&Y z0@>a40ce+fk@Sv8F_QE$G=80 zaa2Y&zPK{g{01f9Fv*tF*BCx*=lA6wF1Piy;2g`AAIG%fL_F{^)a3Vt<1iJ8vdS{2 zcZ`26XR4{}Yk6t(N5x{!QgEatOk)%&2+UnhZlbCpC#oz3ARHM`%`GA=NtUs9*7 zlz+qBv|}4pDAscJv?Ot%C{gX&<_&ZosO4baCeGrt3$3)1bqE@nqgEeFI!f9ciFA^j zb(z^*s$X<$GK3x;yH_{8I33}yDQH7;1Q)DAk9m?<0c(Hq{Antu0$Bqk~WvO!+^6(lg0O%D8jxYf4;|FFtv8 z*`G){YqyoOCUEZke{ zcdaelwb$F>o-NhLUY2}FlvQ?v4_e&3UTdlB0UwDwrgQY-U#q*g8Z1+T{=U^M7&hB_9&1+#|v_pcehXLfJkS^0e=myzF&;6t|)znuOZ zSF#Rm8gHSg{7M!>0vDzb!#@~|gts7_=(mI0oq{RG0 z2~Oz z`@eDWY+@(I=bIqJAGJVIZoRm0{TxjQ_glm6FBrR8~)6W;~n=%6mG&=x;fH2rkeF1MBdm^M!c*XLD$PaONlInMSgu zYdrA$SEROnQc}~n^ZQ(% z|5L|Ld?cqxHy3p*5<}9#ObXL6LEfjD8nJ04hW?U(=)yCXGH7sMJs)v9rB6ebhbXz> z7i)ML86Cc$7RE}<;PR-3MO0>GUS2tEf~y)MFxGrt6qSv&Z4yiezq2C!m(sLSc?aA4 zoU?lFT`@{H`lyTKm74_GJ7bR;NG7->jum9Cw}(1mlj5dVYOQZ><GQ$J(Uj z_*BN#cCRSX%P_r&oP&C9CIg7pNnrRYcz%m;OHZ>k(ycdSPHXfK9okf<^bT}4J$DgQ z$LFhAeu=)DIZkjLW6f>~k3^EmPnkyG|M91;^}!aJ$4(q6Ped_L&lh=Giv8U;|3-*2 zX$t8e14yQg(?>>FWmdFC7D)YLC6T+pb-iMZt8XW^iT%hw?hP{cZLq}z9+LqQm&*3` zMSu!T@S7IR;LqkYc~UquFL7Z31K&~PDqtEDcJTDIaw zX=WP!T+p#HK}LMe&Q?8r7oGG^IAFe6#(%;M6DMG=z@ zaC!jKICnLg?Ya&95F{U2aZvrHmwrbi5Kt~x?jIE11Gl>UtL7OwVcI!iTzj_R2V*60 z^{+3gnwDF8MozCnjDo9gO<$H9o&|Z8-|)CBT^Ef7$njVRaGH3_h+T?h>?Aga>ih;6 z7tiqiWw@I31lHowF+q_gx!Dw$ zdJqU{my9&t*AU2hObyu`3`YF&XD%F_5CJo^mdLXFx-GAsU&kZxrYc$*Uoj_{ar>7>^kSA;zA?SU zYcfoa^5!^^#Fln}ECvVObrSNL@HH&VcU+0+h>+GfkKX)x{^)Q1zao99qDLw4*Ath| z8X`&9BGBXKdlUE2S2+i4PuzfIJknq=1wJO;6W7!Ls!OH5aTu-r|C}0bb`-KgXDYBB z9Gt{4o@ay>_dvV0Q_WvmKdK)4G{))CJ5U?%Y1hFgtoL3%Vml!=1UC4-rMj?f0$My6_8l{JT%>jQ{qVw`N3U7RUlQJI%KIKqkgNLOzYb#R zxI=+HGggQtSU5CW@9ulIw21LB7e5BVSu&($dAIHNOes<5Y z7@-ZW!Ii?a_3kRbnD(yd7#(DJ%IU0QHvkj!iN{!@(ETb%`X(to{kFFCUL2zJ-ehp^ z!)e;MQZ^rHECd}&u)0W6bkF3i68_;f(MBcbX{>eR2@12aOm{;8v+z-BP}7nxUwI(5 zrg9!EWY$o1hrKsj?aB`5P)lwHgs@@=>hJS^Gn5%)NPvyuU@cQObREa{QInf^m2*qj ze*XySKe&L0;~fx*g{A>&(-!sY#v-tCjMsddQE*5|UH;B885R_XcPc#`1kmhMVcs1` z^#bQpSXA`fW>09iFSWUZrPql=vgMVx&Cw^y>r8lqq)?OA8@(OB&F{w1)7E-%r6tk9 z&&ln(Gz{M&e=K{2A9p%{MrCe|)Zg&;;6%`-Z(U$OUsm;*NCYlQP~B#TmB%>Q=y`G^ zPy)g;=O}E5q&sXcIv#-aSqv?&mZ?Meec^pe?00_R5>Y1Mm!9fH+HD>SR0*d^9tmL; zLv3N^EtW@^ao@%=I{z3%>Qn+~5YO+Qa~at8Tu=;+W77b*{45ME5muA|Y0_heRST zpRB(nOz?`hmuN55D4nKl(=MhT-c3q9l1i8+6-~ZTikFZCC)3>a*bT4tgy?M*2O6zo z*F?^kQd5`vb=Pti#i zb47DX&HzdiX4@L7EuO%`>AU8NZ~O&Dp=Z=6^mGY_&%5dpfK6m-ylGW=!!jfjW@^>! zo~#-C4sl*yUmh47DX402J|xa>4HeXDuP?3(`6i5}q5~$k%i@SQMl<_aOj<@v+xB)> zX5MtyvCSamiT1`h{)EOVWr4&#WtIUmcOp_Ut>+s^Az1oXTmF=cvwH445k6K)6$}42 zxR%UbxO9jsVvamme7EaP^s|co8>i9133|(<_?_sppRPHaiNma5F+=0`|MEG; zy`Q~Rx1#Re=QN?8MZ)?C;h4?6h6#KU$cY9T*~i#@s8=XVC8J;5{4V`@jUy}Q|FXID zd?KQ69KlTFi#rrO=Od?|Sqq?H*V8C#%tyNg@SeSk1Y7{W}($TF&)RS7`7dcbE&+Je?D?L**N-LHg+r7MMO(G zvbD2)<2!4O^t%iZ_*w%PjVHcE`)TR??&4zG==e^7D4Exq+JzO zYjt^1T8oYBX4f}SzCk5dVpDwF>kpAeKx^MSLgH1(Y~0CGg~#8Y?%eO5_lRmG12UVV zLdh4>SR~5*iIDq$N&if3=_vuevE9hI`=<-aHzu$SzhM80KOCld#(S%UkPe`v9KH_lX0j%rJ!3pLV`Xy5Qcc z?Xc)>`KG_78%@7(iipt&B(pu~gAIarZ{2_-^2L606|gyeW^7q*-|bpGQ@0Z;Di0#M zAL5eOLDK>GzC45?e+w8qt89_%5B^*bO?$OqNm%_$7k3^Td-S2Y)j5}Q0ZG5zKujwk z_CT^IJz7W%XVP6n+`Tl=OO~4%$(zv6R2HP}Xt&W#KJ14h#jlb!J6o4y3U7&jjGUQZ z7C7|YNXqwjx4eO}?GREX!S>z6z4Mm} zCe}{WHNwh!0{~;GMJSN{7xyxWm=1~HGUc;$fbb!|0b8h1Wbwhi!3>JS(3L={Y4B$v zggU|T{{Vh;+#AJ|z0Bz88v7s8ME^0f{XKrm;QkeBqaav1JgNM+oZMC*Oe4Wd$OUJO z9*u+3N{;^t!)Z0K$1kr#TUkF$KxCkb!J3}?V2{g1eWyPtWrr>Q&TdRg%>p~<$P|r< z#39mW4?Qd;Eg@nwUv;I zG!v+0S`AcBA4Da&t(}WI*vFBCp?Z`cVyF)^>1S#HG>;hPblA!xvE^`@VhG=ccBjy= zZs>fB^!589FaP+L2aYBCvC*tv+AUjO({7DAO5e^;S#ltYs)hfY@S?B!CJ_79TkPk% z1*A;i(t&UucA1VCMqdyyBM-rkbp47H4!DuH$fBfRj@^p7+Zy|RfI-R3j%U-aJ|wI8 zS>C2gqHZZ_sp{EN4dHJ0POSm;r7ehaCZBwEqNsc}(@0fJGI$B3e)-Z9yi&+%l$vj3 zvKG6z{2;L-<-e`)=guM7EuXB3N2_x)ImacJ=Yr=9m87#v6_r@5YNib_H($D>}4Ud>P$O zU0bwUi*?NxXda5{3lfsK+LyHJ#s}tqqlz>OWu2&Ya3JMbW3O8^ean%JeA1-Fi?^%@ zCV>?dmIT&GvOl_>pxf`Fhog%5E|KV-`%CEu_cO)JhI}s*cSzLacj5r913>0PR8s;K zIsiRzNih7w4m$}!`bU&=4}5CLuX6-VD}Ho z)lnJnn4&$3{MaL+a+H_1{`lFS!?nEHxXMGQl52BIuy;zTok2$Xvp{^7S)l>U{wlo6 z$GF68FVfY6vC*P&zTN~j=f0I9bjQJ0FBcx%oSmWuBSpBjvXi1mV_T#A(k0&-2x7$$ z-eBPprFg1W@=a>BrG%cY)C(4U>2fp7_wY@pAJ7A;oZ^ZKmoI_87*;W9l zEKzUw2E73?ZAj!~|3%i75AJ*6UIWxU4%%ML=1UI0w>FyHPH|G|mU7j1{@C2cN5&&s z&6iSLZ_3OA`_EWX4ca(AFl}vOv88L)OGkDohV+0#@F!}Nw^DOkP`d4p(LA0mNO>%r z^i=#xn4N-w&|+X0T6E7>B8*AFZFP~1{^`{oa!=(M?}6UD3VDhTiZaXn&laCa{4RbT zYlh1G#eKngPvmDe4ypR(XICZ4vl@b}&@#}3O7_l&Tk3*w&_Y-d=f&Eg!`O`G%`)br(h5zJDjmhA2L5pC`Sc`b||vG{c%_P$ul$Q zK66GldLcR&obnDMF%`5vgx;psKM6Pxy8Ml;!}d=@lxe@~Kuwg4+EJ?Q)5ScSTW@z8 z>x{%xNUwKz=CT>Jq3b+8qC9^ywUwQ$M6x3ciscGZ#pbkk|zhA zgl3SsQbv)QK~EBODw72w3JhqMnxE@9Ma)c1lhh(3ba+AWeixBO86pf!0~;A-E^q5c zI1FkCz9kqnzn+3PKQZ&N+r)F^F(>bglMEVKBpLM#*qyTfQBXg$3h{Aw!d*$FE+eTY zP_`Rl;xjghT13h))_=N%YALF_%xocRw2o)|qFcG`na7=Ixox6jF2-JJ>OJ|~kl2){ z3;LPIefOPDmEWfsH0~l%(dn)hS0ruZd#eQ!^{c3_Zg242@{Pp#kFeMu`z}Z!`9w!S zZj1l&N6!r45<1lm!}tFAn5ceab0vtxkW@A_fT7{X@p;uhb?WDJFff8dgZV95ufZm1 z=#niKn07Tndki5FS-*R#BPOW^+tAvIrHprKD#4uq>#GNrW_6 zP{>NPX-%B;nsJt^INM#mYx6Wsgilz|9F%c+m{v?PttGqM$m8lzsRi|n= zgTkV!l?DQ%_P>0PGbyQ6AiN4JoWL+qmJI%P&y~&m0R#<>WG8=XyFxGR{mlg%fB}S$ zk4y5OZ!t;bckbeIc;bOEEPk=Pme=DFmi$|ax?R&|-*~Z+KLUgXCCWR7ths_1X-|R; z>fwroi>lOv!%|q;ScT!+3OcJRC1rNO?Ye~LV;G$#0>vshcwXfya^^&N zN)v_*I3pYMjd+T`qqr8Z29S(E>){f%m=SdRMHi+aQ3JSp1)_xwrW=YSd3wS;MeZv# zVy6ceLCO?n?^ZMgIsT__RQje`9ir)9?oh@*|H778P@@bxsWZ`CMIBLBVk2ad=qs#DWlE#}VRI?fMBf7N35b+-wx<7<9yc)3wyCd!TF>Co)M?GF9?5 zFZEv>O||#IfZ0-x5i>n3@$G*SB|Rf_>_;+N_|$|$ys4-LD)+^%BzhS#K7Kbo^$CJZ zH&!p@x?;VJq9#Z)ma|4|AkSk1&owEuh$PRVhbd1N0>Zr=+wAJc|I*Gon$`fSH8VG9VbY zgryy>*i8c)2rvEP_&t1=*j$4vf>*DTs+uOI#j zbRh4>Kh{>M1gDtlHjy-o>-?Z<73cusw+VdT-UMF6-D)4;MX}}HK%PQR4RY|kqJ{64 z4MAmSjK=L6P;49=M1^;XO()yBt=V2g;%FdKG>3Vsr7Z?wVEiD=p1Z8)TUgJWKp zra>{ z;$Mgi4tldv_scA#-?cB?LmZmkbrr~pfzbWJ*uv0ivL~;BR{e#jJDJ|qzi3%74N*N! zlg|3Pl==yI0RyOz$nv-L#io7)ZJ>Vl4mzxOB)q67?enxJ_6~F+d8iURq27b^%XN5V zc9D)Lr_rKs2^!ZwT^!`0rp}TVxYCqO#@+>6X^wwJ?!1GH?hxFU7jqLLF@m&*y*fQx zGtDIt3uKSBv4oZ1gWp)v86mYx+YAQ}MWNSF#V&R%+iBaA(!u!Q`wCaHvcmt$4hBA7 z<7ZTJ0L*Yv#G*cB!2;wpdrx*T=9A;aZvJylom%Z?p+RxqtZu$=G;;l`((}S^uPGE> zZv~_?F0u3n4?Qo>)F2X)DcV^zPIJNb30A+VsgH+J9qFQ~C(fs3gi;aawx)ehf$id$ zpJ0y~AT4Wk4bf%&#w?V{e~7*59r5T>qn`LjP%Mc3yKBE1zrw`QVF6MWx&O@CG%&$)ASf5aR1Sk#D?2H3-R~n> zMy$sZToU(@_qHcVFW@QP7t`$$-iU|0$L}NSWEzZm2QYK^aO|1ilFVCEDgQ@yEcxpy zwxyZQkexR_LVjZn!WQ*Epcy2e@^|U|7aDc;x_AfvBGRvfemjWLo{wSo1nO<*8>$Av zxwfQ)H$2Noei8^#s0D~3pv;RdI!{BRb1&vyw_e0cvrwNOn54wxSl6858|XV!pin!~ z002jeLpwC-X5waybk97vZ;{P-?45mxIIy|-bq5pi+nwnSk^om=_u=rFE5#-J75-v; z*TlFTH#tF9DmK5a+z1Hw2{&I;2L&lMI8whbO!!A-6ZX~yKOW3q;i~{t z=3S+B=2{|!{7y#ia{-`WSC1F83m~?a+c{}sEUA-zAW>M04T_VhHie8z-OmG&UPH75 zv(R3e+GsT7Twecg{<^#fA#GH=giVWBS5sUuR`0Xj#*|jBVugV+BM7g=|8YEC)pU-c zL+tZwJU%xVYVE5QFsaQU#nD9e zBSh+-@3Q-2mo={9fn?4@l`V4&?g8CBBAL?uG*|&_5!l|C{kBT=ZdQR5;mDqCPvS&! zx(7vy2ih-9n9f1(X@l4F?$aM8z;)%I+W8I)R-uWjE=Igm-uz9 z*Yx%&%g$crICXH$IU*a?yc;_}1>ea;AG^;_6h;%4k#pS!1=IkN= z<)&CJZjLcugZI*boX(P+CyN|YP!rq0{zBN^ zy;u6z_^XM0x2$n@FO{lYK zZyiuv%FRlD&A)f?q2Z=TPEMfZ-7{C7Bae`&z1nzFzE$$OAf* zyqLA~BpekWG!)$;Ky?r4p^5iwE3eFF;wriRHIg>oi1m`lJ5}`{CzTCyO$OFO2zi_O z%1v)4BXt**V*#W2fYnmyBfg*a=Kx{giccXa_J%Ev`tntcxM&&M{-&3JD6_z8URt~1 zAD75ZZm(+!wK1?rc?_Cz!e-pnwzx=JjNCybOL5{ZG*tyT-S~oX&;m#O_@zqx5Fz42 z^`zW|f5+MWS7^XvhojMiHEw1MzWt!c<>2$$Q%$U3EdhTKH>FE7lM`o4c z%u|ZU_}>8`%QG3Q>5tV7@yVa&UcAn#P8@s+n)K?c9)rAA*$6H*y^PKJ{nuI?l#XMbYh>SBX%Zg$_J#L#j>%h-kTjcX2^d=0O zU+s+9Q%8Ol7?EM9ALe#Q8k#ygC`56m z_NuS>-97Xa9M=`yro9Q-6>`^Dq8g4z8Gc-02|EGzDsBy1 z*h41y3?z5#Etm7C`Ng)NL+V!DViHB5Gh_ZB$t!(XX29y!V&b;Kv4q>*B3Y_R#>!DU zM~E0u3Vy!#I%89`a#YFL1AN@x^Gd&ck})n6l_{Vzvv3sGESPxaXO5AA9y$2vHb_;S zTKzJSFu77PFWd;W8ccZo808F}KDe%vqBwAnF8KLKOuL6s9J4lA95x4Ka@@d0!An4Ayn#em4yoAn0 zNCDu}qs+#UoL#X>_Px>eo=;+AF>KmlrcJk@kWNK7t24!DPx2Nj+SsAeANHA~JK9al zIOz;Fq|3F3(3Fv5Z>(7CDYk*3fy-s-7b2c0405eGv6fwBF+-bZHh)gza%XlK=U-4qS`fd#V4D zZ)=7)eO7A4BAb7(!lWkeq>;V{GrFagEWl^8gYtBBdYko>D;@FD`tQ+zdo7D}MaWFs z&z!vri}dx=nU#_}H3y1@r@#3n@`_eUkKvg)TZKU3rTjBATEA$jEl zo6Ow%QYlFIgMr>wKN;VN)$E~5T*+Gcp0`rYqbPC(L8+Oo8X|a&@d${eafC9;__A{5JPMbMSDQM2A-|Oe(DpF}C{5*FRi}9S^^2gYm58t^7`Ip@pxL*y4 zL5IsxRO0#?158ZB|Glk~ZGS4i`BP+p%mm%-u4fImA)B1z*ovu=m zG<79C=AD}dZXqTgb8`Gc@~J0FJp~rS*f`SSFZ!oW81_FsH7l%w;7e%-8ERZMDRDgr zFgU69v7=m6IIPI%Vx=wHDCT3rk#|)83=}n~Xi2ubT684)wNfK9kr9rQO@$ZMmP&v) z>#QtKrKJ_HKv9kqdlS#{6TU0#P>tdHTBWlg*W=JnjzHNVBGXiqzUs`S=~MvqSB32O zRl&&UuC)AKG6AU7H`PE{N>vjs=P1%-Tqe8V%TPevXG;4_LHp8@aDZFtqt%}#pmHZe zIeiirGe~9~_}O}GvdmFfoNEp9!oo1mlLztA6(Wj#&VdsB^@He|nkJqJA zsIS;xt*@PHP3#~Y3D2!B8v;Ip_WyNQw~i7?-dn4oOBZI(F!dC*S(H`f9rqj!nbQm2 z?M$9;pUH@*eOj&M5#);yO2+Iw9G;|Km%ZP9Zetc8Fe|h*;{noCqSyFc4u!TFHSD@E z?!PVIo;tWTZ=(^Du{`?4=*{ZIKXHs*gBFEv2KGE#ANfPKqQSJrrOq(jP#`o^{Z37i z9z#fGPUS>Hi7`e((pdrRa1g600lj4UyT8X>yAFbJQ_OW~9@-hT@?jO%=NMt}tJgo~ z`SOcFr556RzoMlmhb?IbO9E7soqCZ3%7wsOw(sFXSbS>D`}{B%x}SIB&U#mJN{(r! zN^*I|0D4dt39EjCGlQh`ZXFVCRC{VG8RXR~HMoKJ zF1Jf^rU!g_UK;faaPt8LifcmaF~GdkIti4tgS&sOJM(MH6C3C-zG1|bIlUiSqZty2 z6#go+dQ?S?7lNFg6Z!xUr;Bw_>RcCy*b}O?$bS`y5|tcNw(n5mPHQ$wjmEVXSB5!I zMN}tuyIYR6B1UG}7h;C99Ps>ep2Zom09lUW!ONlb8OAugi)x-r@R=KTUZMOjLVB;= z;n;~Amo8mINMT@7N7~Oqompw;#wDcE#4lTcgIW35Y9<0uUwvibTmP z_9;1Gs?6&6wYT-`R?c}HTYKe^cq+z#yF{~Fudh4J7f(QP(BfLdO9fU;E`?+O z8&(H*VdZyG^Mc49q1ROVfiACx;Hl`{+MSgg7n2iIIIYAF;sSiOfab3A((m zhT|2_-AZBRWoATHIgBNx(`6y_S{Nq(-o?tf;!#BnM=IiRDZ~vaAfRCkGYZAx1{u%EJ53Y4`N)|=k$@HIS;yI}lc6xmWan5$G7{^WyuTic;!`Fc4k zBt#@^fI7}}ty?Y(1GvLio#L1|Jq>o6LR{9Oy{z@3p;A&2(^n~2HKx`Wms@^EwFlS% zLwjlr?rjX)4&z7qd(nP2vK^HeH6j-r{0XlP-8tLNLhlC?$Zl7ex_8f`@7?Qu>&a`Y zhoOb3jiy+o%=I`uvlU`FPxNcNu32u0)7lk2={8Dwpq0uDK47gTn>9iHD!HSZn}y zvWFXTyM4r=dc9T2%jc>ct`_q4DujEHr4ypLmV5^~)hx&Z(D#PoUFL!C#qTpTy~A7r z`T2&6J8!@_PfK=I#j0!!gep%@lqMh9*}k^i0*p_71s$^*=Z??x?!|Cu zhj>rF29~@?-fpw}UcmHh#4!WV&daMdibJ3FhV1~HUb1yf+t}IM8QA$R1=}17gL7wo zIe+_W*O>x=B0}r6s0$kBKE~%vm?6(1>DZW&IY}o<&Vy|3`l6mpZA5YnTItWZH_B6W zjUF;pJR_?r$0MzP^6nWZO}BIBFe$}_2m<$Zpch3Y>4FYx>j9~k!mkH%5AE$?=oDqn zMbZn`4u3a6>xv9`a#f!8=US7eggGX(d6oaZ!Iw)U+jHKAmOm=VrZhHYgr&EGi2wd4 zm{osk=Uz2(Q+?Y)gohQH^xW|~)p?=I=X5NcC%!PF)TW<}mPDX(a3XR&hdu;=@A8z5 zd*>z6r_2@|(a#KR+*>S+(_#H-&cHFljw;TDmn7Zx!-+~n}%TGl4b9;s~t4vHKC59N}X6g zNSXW*Ezh!YJUH^eBw)XBQgkJk<=`W8$t$n}wCWs)Mimp;)2U7h>ouLP#E(KngPJR> z?Ug1MB3ieU`h|r%!#PNc=fg@Xy>SnotL_569{OP{s6H+vQ4pR>aQFXH_z`B_swLpw zzXCnEE`pe;eYP_hHRo++JSOQ+JJ~3`dbP)}iG@TbuHSHw3K|9U(>@|w&44(%YsrwZ zi1`%NHM*hwzmnd%Gk*BPwO?nJyxpIj;|{#kgh6Bnm2(U`{8a0rD7}m5E?`sj?O36b zc;OFDk1^%PO(ea_XSbgIuGa%`rT7Qv{dPM-8rQD6CvW{a_n`;jp0`#SVDCm0I|Sz8 z1jQ=Kbgzs*e`!rbvvkF16oB6SS6foxtFjoxbbL(V&LyUU$97(YPmfVA8vyM_6i0y9 zY0HA+h3z$RDjoXWTf&MdvmBZZxCK`>gn?n*5>?p9Sv+DA=fCz6+Iux_B z0v=BnVNd;fmLI!LTeWuanTXbuIr&x<=G{SoQ{3*^>$tBSRGEjz{i1s;u9t1-g$tl^ z)VrXU2C*NCddHaNal)BLOiLlRd|j}OnyZbaI7&dqm=kL#6^g zF$5{=I7J?K>E6Svgn;gX;J}&>hYqrMF@vWUSF#whk=5LYN@6o*j`I-9N7A$15M}5);YjszYu!r8bC%{7-|FGzJ;mg z%ko0~m9l3Z6l3bq{|`X&te@vGsBKEil2nlIeD%{o&5yw0EET5?wy&hrHmMyjy9g1< zwSIioB}M7nQ^%UA9fhMLb#?Cee5=nkb60dC-pS#USvls!^bv3$nsCCP>3O^35x@>o z6Y=?ofp9^9!ltmMu^rO++w`+y3}RR@c~Tinyo#^uxC165IsIiXQ5Rl3tPs4DtxX&J zCHW3K453cROE0B+jDNz;TTU*SepFa`;^Dh^P=ET`X4U$ooht?2Y8(*P&heZC6OYQ-tzkS)~{g%`FLrF zeEuMtku%y;`$elR;lW~Sb=yVqF=F|t4=bR2J-HY2CC7Y?^MHd}&t4RKee>k&;>zx` z=)MpETtI)SM*qKX;QW$yuv=c+e0CrH=cabQ80H~BZ`u<4C&*!bSR#YZ+YpKaOl#J@ zRXhw)gtDKLL0+t2#qR2fhG+Kvv7pZ0vR*;47%zw-{qnN}o9?qtXn}L(0)?1VK=Xs4 z(H|WG){va=;AdF_TRoyzE-!$nS0uZhYKMoBgNu&Yv4ArF9LQ4Z{N0(BFIlh7Pkr6~ z0qKYd0-lM%GhEz-4Kp95uH!}z=ZA#^$Y?%P=%W5PefNNGUJbu0cx$vVh|8P$&+Y_4=9pd@ENmJbh|&`OvqdKQ4F`4+3k|!lW3Xs1*3`R)bGgXB;YZ@)bD|( zaIg238b3uYpN{l`IIOD_K37X+#YmU4le8BZWu&fxo?)S0M?$RwkKwt43jqx zr)E8ruX^t$jvF6M2HxGmV}$Q$MSDNSnJ?WOd=u^}me;FV4@E>|%y@2dwGGh6vl|dn!BU!LF|?@Z1Y`{yEMXjJ!kTj+@91fIh_l+SMeVlY7J>T(L&??>|5% zHmxj5Zd;r0?4!8%5JfQ`mfWYV0<^;LJ&+nPUBMI1WJd14PVyVVy+98OTTLOgZr|A` zDs$6%4E9qZ=J$>;XBKL&$T&~YrrWMN4x3li|iD6pN<6!CoG zK6=b|tJ;<3xpr6ojFR9`@&W}855DBUG>$;RBY=5oOea_jzX#6 zI^rNCL;}1O%lEp}G7O7c19y@sIx7A*1CS`82X|)ige^Vdqb)Tg_O1CLZUc;DV~@T91kg=JSPD_`e2HM8f$Ka-Q)kSr>c=0a&VBVmE* zvW9KsrM&`ESafc5sw@?jU(TcK7&SF+pBpt{qA;PL*DhnHdlUQ+Mj;A7`EdRpyW(;!p&CuDIYoc zj&`X|EI=W?>d_3vT-SB2yZX;n`l#SBj`gMHaQ~X`=5<^C^D19ie)+z|o}3oQ^)^9x zj)K~qfz;DQIr#iWJk7o8y=V8Iwd#HS@7G;k-wF44m+7FkysXybUp6=H?WPQMA|pS7 z+ApvSOy>U*l)>AWG8*;iq`9*28u2=j4wg-R3aW!y zp$Si-YV+A*2nLEB&|v3Q$oA%^z?qc7TZmqOS5#&3peQnw#3Uji+j9^RaN=S`K5?hf ztO5vSfpO=V057e|)qw#BqCdHmi_uKL#Q=y36UE;BnCBjJ^L}$+o_0f*Vdbq~_JBTF zgki=}6CBieGh4*h=n2PVz0}(Ib^sFQ7hUmMWMXFMTAs1b7K0lN*yiXI6*%BHy|8+* zXmNEvp(V2)fP@5)lN{ffi27bwEu>|4X5w5|TVY|EIoH~2+6@grUc@HyE*{AbTR~mu zQKL}QMz!~6c$?%ru#wIf&^+#ht9*a9U5q{pFBJp4PaiPWK7t_>)b~W4uUPY0*%Y44 z2X?0FuWn7jT8{!OK5l`IcVU;Q>kd&Njx*$)cMet`Iz?}>qA#qxcmLkwieau^{l2-d z-60|FJi$ICvR%6~8M}}hf0ni#>x}&B@j@$!skuTD`E&bJYtaEQ zSbj=*x#wjb3!?)Qf4w4U9J77>H1iX4-E7s5c`Ku%e3c7kK`^5>scp9Bl)z2iU}HoA zz+(Y$(0#)Qy^j92N2-HaIi)SMF3|a49eWG`RyA6L|%U`MnefMty9)*j)n_cw3p zTE}2~r=?;k40sI3I!CbxdD|nbaUcD5f1Dx*^;8jKw{GCRIi6*HJVVqPUKf1d)QkrFG7=b>wjGz{(yWs%>S+@ zr(|-$0??w7WJo>DgY(4KwVb^5YSc%P;05;qFYx~8CSaOk@=0woQdbs}{lG5n&nchl z<7p#yvej;5Nnnwgne`>wW;9t>cS`C7_bwP7&~{J1pf`bA@ztbrT27p z58hDA*3tdw{#3#B=%po#IaP_F^2ziNvq$ax(l)-ZrC^7ohl&C!qJY27c0}l|+a}6? z{tkG#r3d4zMf2cufb1W;b#P_tybP}6;jf_earI}_8(nKxm+y1;+1i-hP`HcCo#n-9 z2k)CFlX>Wzm|kmDL?we4I5|?d^CGs(hd!DMG>l(=Mh=!kz;&ij@aCr9J9m5X8iX1{R!{S=k<8MU+?GV^L}5UMFzcK zRaTGHJB(qzv4@p-nxi=8{6JSGuErX%C`+VHZL!}NO1t3%$+1O7KF09cqX|W<8-y=u z;xZlKm8!ZZwMnNi%4#n%JQxAK;OHx*wqY5Tz&Ntg}ozG zZJ} zX2&`&n4%{_zp;*w`yC}HLO{skl5)_^q;3*(eKQ=00eQFr<*6(@LAI>6iI;v6TUB9wY1>%wDB17g=U1&&$r-N;PZx)y>dCvKmA40>w5&DAu`7*65dy?9Aoy`*z{WWn#I#T*lL`rkNlyw~v9=G^x#y{E5z`%XZW7h0=L zf@gRR@cxD>?uhM+MXtF*5Y-C7t%O)R)Irl$hx*@5cx|-G7~4rT7!aAIz5k=FqZ+wgXEW1wbadrng-gzIl&d9(3s@cZ?g_s0A7ayDd> zss56~o>^oy zco9&31!&0lW!1@Clb^vybg3C4E22cZ0zGjE(Z4}GOqjTo-{F`rg_)tnk&4)1_lp=y zkhEEX)E@;710x?~yabTl_LNBigT#$TfQ+b}^)GNiOy7S(p$5pHv=_YbW`8cM%Tc%4 zZ!-5^*oh&3S+UAgzc7XJjk*~v8jIE)#5X+?I%0lr4Bci;nWAtb=6E{pr@k*WolVOM z!$;8`nvX7RG~{m`Z~#oPa3rO+^<#D@Nmx!rVm^N})N0_ixp+!OItvgcjG%-;<&i{S z&mi=*N^82kZrDcO4-e&j7z6)|Uj1Q9YT+&WKV|)H8^kk74?#;{X$GCh;`e2i`=-qD zmZHQi*nDiL(dbBtgC-U8t!vvINb!S~o4#e_Ng1J#=n^ATFAEJ|p~ergMo(IC5du3% zCY}5efE19iIJ%w10-L1mKyL8{B+5rYh%GH^!<{zRA|9wU91q^qXf9T)!Eq~k-UeY zYnXEOG2t@|^|L8pbzTq&E5%AQ9V?xIwk69ucwKQ^eL*zl^2W=S;X&c@eW<&@4toZ& z?0Ieb5YnHUpR}wJ=enlDZ94lUdL@DnNm?Tgu>kZIE0qU3@^?boTa5*$m0U2VZEk$+=k2bdl z=#NWJ$m94!g3mSaiAC%QSR?2Bz!lFXT$kv6hcp=d*RI6;=s>KMeFBUOFsbURS#)uO zv=?+aER^~80u$FnGp5te%`5bGSQ_OPm!KZ6Bds6Dr4?wX_ApYIB#Y_w=XyvY*|@83 zgu0FtL2SYa63D>x&25=DtP_A!%#5Ka4E>=Rb0eNm7Q#hHf_a~&>|?a`J@9=3-OmC< zP$%K4e zi-qu8z=On6HVJ&Jd4ZoSzTaOtyU^Iks-&kA_g;7NUHQa;w3o@68RcFe%}Cr%g!Str z2eVMmPVgw#$78OiE9sphqM;gP(R3l)-a@$FPv`zUWM|U0UU3L!a)VK1D-Ex*CY~pX zZ@S(-pDvY5i0@tHBr~b286gF;%ZaBr%?CSA8q$8{?r47bM?;ZRZ*88hZDUimq zpj13+%OGP6_4IJ2UNup^;=tcZPJWz8nM+OS%2rZ zzPe66&0o^C&-)xiXuB67!-$~tnlP+-hUq+`?fqj9CJM<}(hL*>#WfhG9G3c?{QFQ> ze|2S$^fpb$re`M@L48biy!N%>>@J2U*ypd&4>im{`wf9wTChxcbN<4V>b^TZNOa^M zNrd{sk@Fi-GR!se<7kVyZh6-EoaDtc9fc^4f-2JAdKQ()^^oFN+}f<&Iewoz&=aSx zH%o~;M8yC!k1j>M&RFD4oMG2f$Vd9uW?@o@DE4VVpj$bm*#kT{Ua^f%Jub`7lUup) zBSdn&)l@-xJ~vFE(yd{(bKt96*AvK;jeZMCx?7dj>b(Y`=dY!WdEm2tO{T)XyAQC_9DR$Y3~ToB!zwW&5|K)xl=U>w&K|5bE` zGn$EO;s_P(A_+TumtH_RjYVC|dlih_r&a6I3*9kcv{(W9o)e_UbjYz4| zM?jO{M)cL?&LF-$d7pR;u&$ltBscOvw8LN&6-cx>X2Qss0OGJ%qI* zj@%hWfXI_?0hlbcZKC0L7G+dC2NtWbpTqpQeo#IQctwtu$yTV&HD7ul5AUzXAIp7C z`wrS@d440toNSktVc@g|?A6vrvc~c-~YtMqhMqD|3EkvYq4h`9FL{pEw&FwSaQUV}czU39O2FtrYXs znWo)%+&6LenZ;sC7LZ8RSLXg&lh*XU_d;H?vN(6?B0-NefwW+lOF}QHRcSNs4UWnIjZ1d)Wrh;QZX}J4 zxD2RgBb!w*FrIl`b26>#hY*0i!cWIVui1`{5`CpK5A#P@RLBPec=AC3F*(<&`yRWRGez9;<`z54>wNFeao4-#ra|{r@=2V?nv$NqxR|GVYMBm_`#skA<6oMJO1^^~$4t1K(FMqvA z6RKI^Fus*Pg(dJNiRYS*Sax-lzDU_0k{vi}YIhadIVpv%qbIn5sXTQlgFWr$J1;3Y zYRITfVHbT9B3k?CTNdI&Zfc<^LVEPCn{~KdLBpv)RXcsd@o-s&hU)gryQPR9mh`V1 z4+s@6Y8zGhGj-gJkK`JSkF5RnPC(%2hl~@%IYcy54pVc1KMT|3&J1N(}8A{D@W z?ij?OTr2u@&rwEVYEbYF*8Go6!X!*sd8a20aTq4VWA>D*h_$4OgRc^9_G(g&@ zWnWWRz-FmSnbjmpe?XLaLL7Sw3?`Ov#EgN6e>ybZ1>DWhz@o(5;$kWHtEHa=vvtaY zhkyU)QO>0B?+v9$Kgh@46zY6OB)KT5+B55O?pU!T5a0ZaG?h3D>pQ-&B#dclacQ!!F=fO=CW-OM=mpd4{}`zbAJtgZ{kxm^=k|uuo^ZQwC3y`^=P8b?c4Am zOpv<@?eY?T>GI=B!W|)i4v{&;DxuAciVx{ zTF|X4*0cWXNs&p{r2PijR2QWH*d;wXf=@GZ{;w(EUr#3U1`Ms0ZRr}Go@gPzoR4yO5)7)q2lOyPfyc!2^QO)4V#g9kH!gnEi z_P{4AtJo4ubBw-)oyv#wKVt2Ew}H?tyS~VE6SKSPiL36(LZhsvXoJylAEQGgh{8-b zXEqr|I{(GRvdjW;Fj(FQc7mnM5Squ0}li-hU(>SzE9wdkb(e z4e$mFHuU(Qj{w;ZcF%UJIN2O{dg~A2mUzx$_Ptr;PX`&`sM_0a6ZH0uh~M6ZN^W-@ zk9dlb;a?MNQZU${(~~IO)HAMg`|2uhZWzf^6W+*!x1K(_6#cA1KjT~A`xn)h8}j6* zbPkdk^m-k~mAj@5A&9X)gYo1`n?$D;1IxSN$IBvww{JaVZa(riID1c}=I@15+J5KqLc@jOi66)QRdoo^ zonuere!8}M&}_QyhMzJg@J55xm6#NhR1h3u=7Zl+8GCbo{9qmG^EHG-!#qUi;-4+1 zYO!IR2ak!$1v&qy+_Loexo&r4w7333i_Oljs}I2kZ)v0=lf3(LMi1rU$~dmT;16iU z@Y@c$X$IeyT_379o$TAtx^nF4771&D1zljioLzot@^!^ zBQpyl3llRdsfwwitEGu4siKhyfRve3MVeH@)R^?wZ%gNoJFPGNdmI!Ltl7i(v$VU*1m^S{~Ni%5CR;vcD6# z&-)l;^V|uR$Y*QYuD3p{H`#4=`gl38p`-TwH8vjU?>@xHwMA%}5dMNT=)g(XBzzwN zd{3~F=lOeOKZKSI>5gAGLSTRCsqDUaq~p54?yp2xGTRt2uMvb9NVmAVR!Wd40k_`i zxSsR)owTOgu+E;e7B?Z&ruOMJ5B;MT67>M5xx^0g6NJ?o5-9%5X$z7!S7fnd#;6I9 zf{u|&taN44nJUC%dT2c4g)JI9q0qjavg4ox&-yMTt>6YNYR<}?XvAi-b9A~!ZF#Yu z_aSb7PusA46++}_s@`;;zAfH=CgNQ%@Lfq6XPq5CuPQ20sibaeYU*O~a!_!&H%@$m za$An{l4Hp97`lb?^Z$kn!69*mj@_+j@XgDm0W=j(?ht^Mys z-7#fy=qg=4+cFr_WHJwB$!kmpx-5%v|23EmocDyI{yT_F@5qBqWGwLmyZtb!UZw;b zVobl9$4hK+2lWCD;ImKwJTc@T&uXVGu$i(c%v3E6%(^yPY&Q&QYtW55&y%%!AM8U0(SpPuQq z{FJfGL11K?v=oxjL`N!YZYdeU9T@==`1nLk_kndaK`}yjmFxufvIUvbx6jM3SkTqHNA=TDK1yezG3I? zxw`=|2&jb!;MPl_l_{Fli+}=xu0h(}sE(I=^uBe;bLLH`jXSQi--a0y8D$SNwN=eI z#b4#xz@!ouL~W1C0yn`q3Rm8>k&R3i?}b@AB@>|7`Z-}^P;}*!vdoUokvdVrW?6w+ zBRjo2y&#Trd56m^LuMgEet82!?;=-^Mb12WZ4Qvr!aXjBgT?_no(1#%@{{vbvr*~5g(ve$u_GNc6e=+#wctuY@&TI zz1W+mX|Jt5n^1ix=?lGw$TX`$@(Pv&e9ckmN^O=LpWOD52-et*WTVV20NO( zz{FhBkdjd>Vv}u^K^Jt2XUeLbaMT`2ZR2Y^vD8aF%W;_^vj-Z}r+P_`F`SA^%1To` zzU<9BG!`_%=V-VP^Kn#`8{U0azO5VoF_X?$)UN+Vf`|CMUlO!#;*E+!S_K&sA|-W>@Vp$Im+udGs{?JE@=Q|4bACNB0+P zGh>ns=87hHwvva^;F8DSI=Eyu5NAVoK-qPD$rQ~6xSoMs!60gn322`pFEObsh_Icf z<0<>??Lod8&inrn=YIs`Ek~%b&5HGb>KumSg=IZyl4rE=U_{+~bUbP#!YO@NLoDC% z;l%GBgBa zd@fx0I@~d)DkGWZJGzcPl4xjf)ghXn3{)+zM8o?sNf#}ve??)0`7hx;Ao`mfgRzGN zJ2Fo79~LZf^o)Hh5}pfg2_i?z)z^b`PI?|V0=Ki4myONzx5^5QG}-A$SknkcZ;$CZ z{4Yy#()y;bm?@a)X{WV>+!{UDsT1w6O@v|?|2(`%R^2%^qY)YgXAHU(n^vJz}t>^#Am zJevc_D@v2tDp@7%RiAb6y&jBfrYFRAQEt+G(w+|*i}@KxL;Ng^%6J>t8~8G>Qg5kLDm(HAB>X3CEAKH!@1EXGx3xi?e)HL&^V8jOm99Vp)K-X| zCWcrJ$=%~Vy~?-!UvD=JQqS_U>M!+gx|`)S2B(XAO%r}kPd`e^{-IU+CH));*5I&| zr0HbT<^n#*yj`=4$d-qL~*Z9dNL)SCUEhqlP9u1?Q`>2}}XM~y$PO|?_2jXe>@pLp*`)p*A zCx7hK4*a%3(B$*yc-)!rHdJ#K2@B;>}?3yuA1&^|GN*q-Z%b9Wi!Tn zyV?;Ii60%7p{e{`>8NQ(n@&NPB^f{%^LL+^bt$>e)nVDrvddxgZ)%a_9wg;C)6p~= zO!;EFvHOp8kKTfB)Rg*Ws>`UP4K;jdQyq1;G>33;=ZK3^O*38NewvC7KN^dyhsjKU z$`li+vU$JYyi-AIpSAFBAl7i_>`f zN%}$j#c^GWY2o!(%w*U7VP1I#ey_95>lr|6?Lec|0!1~)iB)lJTpX=5b8<+q zs;s~TGye#%;UD9$_HID&>%uG7TZ^iEUUTrTghVH&&!?~w)SJ)#ol`j?iZqoYZ$P2XfD%3@t2Ux4O{u*CPsEqajm&ri`z zvEIqF>+oGd4F_kirZlCx1S_&UqYc6DyJWTY7JD8EO7Fw60i ze+8I7{_ ztoJ6Zyg?DydA;17CdWf3%QHj9jRo94M)Wj^x$koy(tyG^&;t`11{$`GKg4ANqq za(B=mq4w6&*@0;~cLB0|Ghc;#NPX7jopSfCnF~P+gTO39ZoSoB&?ajkB&c&BletAm zdn4Bu1XV+C){j;A7LxTYqQBx>`E=Bb`)QD0u;`lP->K86)BC+ACh{bU`s4;Y^$32~&)`^M{%!Q?SF{E!i&=s%leZ@o*DiLynCFB#MY z$PetRxanKThx0w$Expf_Sk34O)Zk+J)`&@WOqh^7gjl|W0ChmZq+yVf_eVXx)c1o{ z-?_=ZsSE9=g8Ix9s~dhl3R@E+lZ{YgeY~U~X5O!J zBSYQRk4&UhecL6|)q16IG>M*tL!OeJhZs6kXDC!JRkunaQUPZ1#P-W7!!mAxzkoB{ zl6}v8+_weVI=cDe(Dg)f)R^j=vRdJO1q3Bb57V=?IHK6$0dD)(|fpE-pV7z&r7h%LYslCMMZuahr7)Edi?<*HZd z$T-H*3_Qk+0$@`0Z`v00_QE-VI^KtL~TUqIVeSd2WT)R#)i8Lv!ye#Nn=A) z_|ZeVKt}FC39O)y&oivi@DHIGTx-7u{$#8AijI~bhj4nSHR^nQnFgnq{dA{2E3{)X z-KHe6-jPa0$k}H~j!=osU4lhI3P+y_W&_wnnyb`VMB_!Iqu}n}ij9*`GBB}t*e-fp zH~*Ny#Kb&`-b*rl9^Gn*{8StxBAK?6jW|7azZY!kT=E^mShP2-geIVl5O?NGV?wL? zTgNaU0(sp4d0hi}T?ctx1$kW$dA!k?Gxk2*=CR17Tjtn*7k?%LV=)Gsa53tmMSL{i zM+?P1wd?gN6Bb&L9X@bPzH(S68yaG_k9lZfY)gZk!3sK1=dFX&JsZKcZy?a=o)@tGq`_PBaiIgdX4Azx zJ0?rz(8{UzIFIU_HHFxVyK^P2*0+ma{%!qAbbe`*NKjS9`&CiyYQCdfb@TifReez7 z;V(;PYi9D*Jbz_W^>XLB!&xSM+)f5(&`^Udd@NuMg?O-;-$Tr|ot={zbIK0*;^;Ug47j&sr-fxplU%fJENzdAZ+l+|8{4+THWxv(WdP zsGPU`KJ^}Io&nqXNyp&}>NTxII%9uqQ?Tt_3V`F*q`InVx`&6@IBb~%y7p4(QCPnA zUh>X5eySRO;ZCdbJCj)7@H3eYlsO}5f`e&nT;U%8ZoO{|b9;YZ!#_El9oV{#HyC!2 zq1J2$pw@Ji^K?Umx1e6aQejR>no(=~HeCJR4qy?M{5q!%T>w-3oKNo>2YBuyz-U6w+xq6P5Z1mdaj8@Y{CO8 z<|NqkF#pi@#uM!u?JGG zpNlI*&U7ZEGV%k#anj7u-q_IDa97?Ma;$%$t^m-S944@DXCTU1Zf8~R*a|auJqI!m zZ4FVEe4mIqfoAjL8qnaLog*S?pAN~)CNP#|UNzV)NL(%9lt&pvyi^i%&w)-9b!Qmt z(8p52t!uQ@I213r-Ieh%a!@mc%YHU9_I5@zxJ7$_bv0gNiGp#$Ow-~GUgcFQ`@|wV zkJS|6p_7M>DHXnV?@L)@R7cNY<*aFK7p1S=;=QcJJT4-UNwz{{`m4-?MVxgNR=h@T z$LupJbD!N21<{U1fB4jn$!uU+-Ogb8n0@j%eVIq**aS_Ro2&sk`(|w<3TkCfcR1@X z>MM_Zxj^yN5uq&I6mgJQQwFXkb~F(Yh%jb)ln0Z5o3kz&{(DMv?qq0~+=98+Rj@Oz zeAradRUR%I0oFQ4>y_nLcRx5Jb28TRbAJ}N*e%9dr_0ha@xt*dZQUB)P zBe6@v3IZ(^3*zN=ml2C7#|39aEBu^G6&?7ntFO6T(YZ6QE5?)G-L3j!Q;no`)aB&Q zb-Q5n(G(a@_Y#9np^U5-+Mb_{>C@8${EVNC+02Q~+z}~s74QYz?|)1TpALk?NlJT* zoWg!z!|gB&fU%btujrzoJP@>GleFQC%=3A|zfnuOAk;l}qf zO`a^m0>k{FJ{Fs}C;TwWb5G;O1*vRPk*3n_KQ%j)`l6Oh`QOt1G{e#aiz$1O+A}Nd zaYpYYtFd7(5-@Ali7}UKflzL_l$8!W@Io z(jw*zNQ+_S!xT&?^%y z$~vcZ8}B5PuAh?#;$4C4z_2ce3*#1cz`bgH}c4RmW(}ktBRikxM z?5CbrM(A$mho1;;`{LH!Cdcmre^(r&3TMT-~Z;P8slu^Ela%Iag3~ukP62s zX1UNjbY2v!Fd6HC8oe69Zia{CEEk@OA;$c>C`3NWYA(YJE`dm8y$A+0$5q-FA}xQH(+hbX`n1~wujhEh-7lOnDA1V!4GdMt@DNzbo8Q* zZ%@!hj$`V$$NuHl=G~HC&CFjIZ2aqbs;+}7(P&6m_e(u2#}jprD8P}fj?bscJe76Q zsbJJ7xTVB;eb3P;UtAWodKubf1&4lXZsWI&+OW2e&E}fIUP)n>%)gzYFB0q6^O^Owm*b_4x=bI|{}`W*WAE1OE5De%Mkq5sW|mjyh`tc0evVr2&n9CJD~iP|K4 zts}QCw3=H~pTE#y=oh5lc%e}%RNr}%6-q8|FV$tLgy)2PA;n1R=U%f^RI%r1yd+v0 z8YwyDp)gbGfY%3G8+NH#fzmQp2Mh=QZgyx2g-{O}N4lpfwr=Gk9+TK6=L6lsrq<7u zsK96Xvwg??#i;|9A#bdE#-z5tuygCt8ku=XoF`^9nR@p zCaMnT*^Eo^A&#E&P9#)+uOmWE$oZNr8}nr@3l%vjS?b*w)n$HL)El@f$(0m7$az|w zo)fGVa8w{apDY9H53GGZRDc3&{es%X{Kle@aFZd#5w+Kz#`!Z=1+OmmLHDH~7SEp$PhJ6|~-Ku2L>HEmSyy@BV z!9qjc{BMc2t4#-$ZUD-E{NZ!392xegOjcwGaY)|1pa&)>Z~Fp(#k_@r!QxkSn^AkS z(V+R%I8i*lo#wDQO#t^nJ|Qa3)%=?D&VEZ`uJ@!QvUr#pM`+%GCH`{c40wVi!pC* z6He+SdT3RyJ|z2urxxE|OS^SULydMMxG1yRKOw=hBwT3qQU(JspIOpbwaTEba%R)) zL>+5aMC5D-tyA~frGIxzrC2Rpu*upnQ&9uiOk<{48W}TQ!7thkBJ2rvmh$UzWQu5_(5C8=yD$Qu@*DksqTy z&BIQI{Xd7yz-NhjH6%<^o%uFkNy%VCU0L0)ocPF}$<5zI!sxSH6_k$?dXM-sp$~77 zU4JhB^*!k=xxy#v@@=}b1ST@(pI4k4P@E44Bee!0WZ%PcJ)T?+QyDVJ{fcTC8BGhd z5b(;8cdAu@ zJ>%Fdm*?>x4=;^tiIu(+{H=V^)Sx4szpLYN?tY$-b*|LfIWNa@mvz?Fdb2eDl1EHKjxWdnjKQ0uP zeAy2t1BR?_gUm8wCG>*Qe1cqw!)~F`&37Mj8{Ed8t-j4n_9mQaCzYr zYg5#lc87#iK{{{qDi`rh8Uwso?}7i#r(x+%ybf9DPT z=%tKJa%0YN4GIDi-%NbcUo9S(_zb|X6MyCD$06NcO)kdh z`gA4UZzs}Dd?iRGkM4(kC4K0QftrI0LexVO;S0jmYKTcBRn!QzQPtSLs(B%&d%$0M zW}UJVZYPRZAXf+^4{5lV?{adyyv6^|z(e>Dr8idqC$xfzQbFELM%f;-mDR(x>L1`@MCwb(sqBk_|2wb%{m+dvA+;GDXT7HgFsTtL!7FX6 zhoJ`)&c282)Z^e;2>n+OI$4EYnlsrDA%03;lpn6OISy=Wg&)xwfP2xxEWZnfjI(GE zvNKd*sK5BPShqR$QybP5b$k=?)u=oTE20BWg>?CVqnOBb|gj_I8PCh*G&NFZHe z+0oDT4zM;Fw^a4`VKzSLptI+|kT^0A+9&SCGj89J&aC;o2|+@JYIMSL!a9*rIp46^y3`zM#6V^C0X@jf+DG( zW0BoMGll%Hu1HZkvJo4R{1CFetUES8Oz20@Q{x%GJebbo(S{@ z*A1O@Tjx<1QIBJJtGGWXu9Nr*2K^oXiW%q^nT8V#aCk+$4F`5bKC<<{M?VT;C~Q3{ zOm&Iz1@DSaNsvQmc6QNk+HV#6yM$iZ`}s5ske^q95)Q9WY&iE7y9f66s2-fg#qXeK zDFakU7=|FmkZHtZ`+=zbW=ID@8W{8mqG4|@cV*fS#Ag&AB*}}s`E;>uj}<|HIo1YB zE;!}hVi$geUE&OcP@qmwaB7{xPxZeE7FPH4xJ0ZuxpN$LAzYwwamBtd8jG3f@1*cd z8gOcF6bSiY+-{QZf5*!obh2;%dV67i3H{oXGTF|#%6k)E|j1wY-!3WI=;5-FTW z&+EA*=v|}NIj9)|?`$!qxq_xo?+CX9LhtB9Be{NtPmECGjfP$$!XQ7)A$0fCUEmn+ z1kJk-VF~%$W=a>~?U1k&#f3>q6aFo)@Qt@)(Ow54+snTP`z-j3H+-et3x}z}*d1K> zH;hp~W{aH`hG)Rk=HJ(b-1l#{Sm<4S*TiVgeYuk&uVkZMNQayOW+Z$tY(o_JgE9_N zoFx6spPx5>z7tN_A&fKMa*XbJr15YO;{-xd_HOu|)u!G9ccpSSt)5JB1)(wktq(dI zbV4daep`poV)|RikAY$vsn_T4Y$gXdn>!HQySy~{rhs{Z_HEXWZ3+=<(JS`*!@7WZvse` z6KcD}dLR)9OXdUhlyTqo)_Ne@(4!;Oi!#)6yl>Rbrv)S;G-tl4tM2y8?zWFGdYRix zA9s$q_vBNKUGu#&!v4k<|11+udX5vNQ>=42Tx!c9`M27paq@@i&wI7L<7X$F`fMGg zExtoBcd4L8)0;8px;6lfo2hIrw_iN;yHGF1-0G?UdhPX5-~wHJa|vlbTqs7xPs^*F zz^RVH9~Av32ol-Y57@t(cbI#InLnohQT>Xj%dixYbo;#E(YBBW#YWUp&S7bmx z;4P8MZ#6iwg=u8>PQ+}xSPnT+Dda4L6R1wxx0LL*iOp79v(>TN)~chkEo>`s45H?H zS-*a|gZ!W~GQqc=sw}jBeC#O@uGAnqUtsz0!Sfu%o%*HfGt#=CiC?VDl@bsmVROUr z_sE6yx4LH49Na0s`rN0L@~5IoKA2ESYs;H*?Mih#`k>b&AvatuKMk3_9@!=7$8?wR z!5JU4J!)iKZ0V9~jYl?o$x)IYm!IUG9?y8ACm$b|d2m2o5Rvh}Xjj6@W zrr&QfH#iE6D^q@una&)@(MAy|Cp~$J(cZTUZ&tCfUw9y%)%xxDqpVu{-Oy2N{YDq$ zh~|T{oSU%esi_nq)D%7=cgNk!Ol;g;W!>jxF}C%tyy~WxSGl*h$P`zX%FUY6rZzuO zjm?>J$i^=MFAnRk?Hs6b^IH{AUNK96dm7li$ZF9BZ;##*^%d((;Uypz56}8%?(o$( zuQiWi0!jn`ag%k8<$$#NvHh#Q-^S}7uhw;NBUR-l;}T!aGk>sNVN&Y9H%zU)_Id?E zodjN3!~LQsQ!lejg=m3wHIF)aPVpQa55AeB()eywB&5A%@lMx>X@kARvR2kfa}5Rw zbfh?Y@TuxX;+chfoLpssF7T_Got8U2_}?J2LZ^tzzBL+hZ7 zMf8a*(|d11d}7hJZu;oNd_bTF6CX*?nrT;%&DZCQMQ6P(+1#egd)ZchWxrim<@VdC zj9r+nKE95c&5-9rV{D^Ns_S0avriijujAg8#(bFQZhJ}`I8aAR;}L*i=Feth|@GgjmPKC{LNivtpOfwzC+qvZ3AMv+x}7eyXe~b zg=mdJFm~s@B7TZ=cRj;1mnyT`L$6U(M_XNns^)mMUt|NW5>?Z0+Y8z2tc9vmG+v#r zr&{GtrkEcoz(SuRRvlt2mC=54+}C&i?r?Cm{nbF<$4`CS1>ySz^2BpdBj1Wg6%UhZ zs}DcOx-`S9?6e{wGqSyB;#s1nQ#L3%@1p!6M5F46S~4f-y6l(<$K$5-+zno5KR^3+bMzT%m^<6d@;~ADY_gljA^R9eXq2qzZaLbE#^IIt0 zKf28@qiR`~>z;DYv($w=@0fzUx%6Wn{nD7R5^GumVw~*P<(DuMIwFI2Eh~*@&Plfy zkdp@w2&9xD@dI=a23~)1ySoQfzKdLwz3=bdR8BzR4?v3xkK=oz2U|}}ouUxry|7o_ z)z>w@?5iNujGDxixc*yETkE^X-355`xu3st=j{gGb+rFpPPEQ%|9W=f#ym%G^?EfC zboTl+gXH4)sqXHghe2=^;#0FIxZyj2z4t_+zrha?z0SMBOPIVd2fW7!y&3Fh3Eo_f zJ|srmRIdu=Jx_87HdXV#2M;P#ZR&Nkq<|_Rac>{moW%yO92ic5P9PZ9le(#bI&TOo z5XGmuaZsh7$aRa)5i8*JYM}4Fc$2#WX#Nv+!&_Q1ji#Acw3Fy`(dgc!VFt4=ON$o6 ztV@GJIz>N9H*^fO(;$G%BuNZhiHDc3np$H-)xSHdJe&VbuQ4cHDiiU>=hHu#(W^=) z6g<%^>$LBqzP}N#hOE(*-o4*Q*cshn|xjjTH%^3BM;N|yoEk@un z|4Loui29L?iJh(^X8Aegp*-p5IPQKFOgW4Ah>89n7Gj*}jzcS?B zjP#<#NsLp!hjG0rI7A2IR?MQXl!apA9(YWF%71N``bnsY2Dt!%;Z~%*=Cd3>>BE!M zb-W{KMMq|$wkvHyewx4|+x8nnxwfVD4Isj)+OW70d-aLexWL zgBi_ChjFQkkFt~)IDqaZ=t|1RW`^qtc&;u0n|1P51~+;!_fUryn68U?+fDsnJ+4piVU#O;A|X+zDgUkT%bqF%vJX&TMiy%z6Dp zJ3apnsiZ%d0$J-x3&b(Z0uLIRnS}~0L zBn?W+TwR8tGNNNde^`r>!|qlzZnp+w%p67SaL6o^r2KnwS}|1<2o1;07CV0P9jA`gk@Ycm^gZ!oiFpXyD)HW9t-O4I44)(G~dgvzv-Jf~T= zJ^J7`vPB_!{j8w)4|>OZjt#9D>g`~%K9c4De)%Y*0V;}e^1+zD7N;GC>enC^t2HsG zz0QI7{D%`@W{7o7uo&Xt%RI@euo8T&(}U@@?L$kN#$+5<{F|&jRh(^n~J{r zOB*kZ-va&hc}~vWQ%5k_0{<7>)S>;31fot~2@S#gZ;<&xAQU0UbAubDj_4xJKjo$Q1%Li9}a#Hv# zDvv5Ek0dG&SJ*0|@XO^BE&D@y%L&7^P?aY3 zuXGh6t%s}T2y&40Ba>C`pdXTGOs~Jo99KZ$mxsE7PUHR@RK%g7>v@WSyt0*=)q?Nw zj`-07#K4(rxBq}O8YN@N6`>Gevie@TU|fCHk>27G;aV~fkm#}Vu`sc(v7k|vk_y@di{;wA^}r~}x8tFQW!@O$ z`@shm`h$Yu{!zf7;n~_oM0=_i~5hF-iLcY2!Qn!8(5o;!56w1Sj* zpb+g&EAZ2@2=!U#vIsM9iGNH4e)jo3<^9v#a9I_%y&~nfho>yes#ISexg$S@j1Evr zTovN$O@FJ^FhwDR;5qn%R3f)BY$&v3;Y+Msv;u<)f1XfGNJDfO8J5xTZ(J5Q#ID6a zBc4uAtNG*7{jL5>eAM=DSi9vz?Iu<(ns@j@WhDs>LUS3^If@!vS*_3t6?FEtdI8kJ z{*%c)yL~3kk8}N(6igv4p0j?3r1GsZxWJPfQR(cad6dHh*76Tt%$h`{^TZ%E+at4K zVq(cB$yPeIV1sm`J`O;a-aVs!p(rfEr4PfN=6+_M*RUp$f(1}N`lEsLdnq3MCFl1ch{RVacy}=;yv$+D;b6V_irN$oBQEZROmp${Ru&HEH2G0lj$t{IuVZp&qMio;ZFZ zv~HXUQ+Wk!A=z?6lbbIgpaA4ig0RHCSA_S_1N(`f2_tNO-lw=XNy>#mhrQ24W8&NN z87%i|H`-7UTp1ZrxjoRvukZ8)AksfyU<9<7!|nqS$2usO9>TlVIH8?>!|wkuG=83X zBX^LH*og`6Unk*u)wnA}AO)>2Y$iT7Ciy2Igpi@3ipG2qC5A?%CchAP5dCE6@@l@a z8^HAic%8G*t?6{P1TM=n?HdgXgU?Ltqoe&kVYak{RYC8&D8N?%zGVVe_r;ays62PX zwDppEeuPx;7>pt#%LI)H;xfn+2$;@ zSGp4gkukA0T4HMAGP$P8E|_c+E|{^S_4jD83(on9;t!e;l}(r^*0X0l-lk;xW7Mta zZA_9V))gbg`SrS*_oVhR%XdstHIi*v#{O-MWL`J$|E4!-;h>Mg~gj6RTf;Mn1aU41Plp zdc?LDSoG)R8vPzB9yVAEFTU_=O~lV*}tOCSIz=@Rx@PmmYkL3!Sn zd6E`D%@!8+t1g@*vjj6M1g43ndV*x-sEJ2I_GeG_sxI;$N`>rCtCy2u9SdMxMbHGh zUX)`j0>Hh!#mdlX?>82wTW0e&KE77ZdhP}*rakOJHDiQAn75;x%gqil@}_8J+mfVE z=$213VQrIR!UV#tpH(3n-~h^&6l7sX>6}jMmqsGqMH}N555-oFpc7gK1Wq#{mBkQ( zMVr+Irxyl+eJ~165W&lyT!e5l}Mrs@S`3g=nnGeSN%0)2in8KRu{+T zQvUX+JvX62<*D0JS$W|~^IUM(?KQ0*mhGfB?!&m9eA+HzNil&j8GW7nVgu~AiR!nB z=$Dh&VStKRJX(ss(b(ygG|dcP4TAdc%Je0e3enxVUjusF9CU+dMj}_v#s4gC8%G`{ zf*giJ=o|XTJtHSQ>DH)(`zH)(+I|{4IJl&O2l&RL6DZ8) z6fs&E(FrX?m1C<`f7mZ zpeI2U6)-0IrU3Q-xm?+6!%B^ZOK@A^pKMAQJds@7@M#0c&SARNC&vfBlGnQGw!&! zeWE~2?MTC)tDL@w6cQ~Vmtf*ckhkyF&2_3a_)3gex31}HEt2e_BBs^UJo@j8?I{d7 zlNwPdR&t+V5!jwoSNiL3HC#tm+-nFE7={>ly%zS#K~=J$TY^toHADbE)cYsO6D(Fc=|B_AHC79;7Fmf zZz7F`Q+wk+JP%_SnE{e$=V!@=P2%8FtNx%Mph*x=#vjrBep#4m5{b@3827r22@L9s zbA#DgmQ=~U=2!ajAfC5@6XNOj^%zF!k6)yS&s40mqlWizta9R!<>ALylUjnODYdKq z@f^|}E#ZD-|E({r(?;G}37Z#soC5oP!|!|Qpm(#glOQUH3Hp_9O+iCqY1hK%fBvMi&yfFM-%A1F)GH_~?Uv)g|0d;*VH=E+MrjUaj`D$O$1E5Z+jCt10JhDRZ}zcmv{dT0g-poNq|nxd@h3 zmoF0%rD-$_;&gc=lFo)2knWGE z={}Y@4Kc=#STSA+F!wQ9UIF@HC{)T*W2*=VNC-q|(WDB<+`x}NIr(h(l3TF*0R5lsDMPz?1&diyIK@EC*j6Jci2f=FM1<6?KCK#wRZP?UhdaO zm@QF~!J5Br)cr48YA`bTV%cJXO@i3W{P|x43#RJT4=KKlm&gWBR%amlFitV{Guyv( z@^4YS+!%I%(K`}c2Lem6!fqvfB})d8=>IBkBwZxlT$L&ugv{U*h)ynSFy?~1^%_bK zGf!|2rYcCrU9@iG-tTZzjc1v|PW=RR3vL+$A=S&y2GO+wsOibwGC%Jd2SJLaQpECW z#Wq*cIoyEVre#M5ZTh4Dn&rZ)Za$4s$ z`d-S&$=1<`UE#H(q1Rn~9`nk_dtl`m$5QKK$kXJ1l(3Oz)p)d&V*At)$y@F3bVkHo zo?~7}U#GxFLbIjiq?1#Y@>!KL8)m56&h<9Onx_j5Wt&1_(YvqFbJ4SmOGLS{>-0P~ z6<0L)EEaFMu()-kTa})@vP~1~4JaNS6oJm!nfZX#(f^ygTTS4n{cCs4kBOhx#YQ|@ z+FynWjLF^*ITZFL<8S*JWZ03+i%z>`!>A2C0_+bxYL2>vy`!n1v3rCA4i43oo7hAj zYK99!JwU7kj*o=Gu=1%QSDOR)+9%x$O+|0nAN6#FBF6F~~wffIt|x`tUXo@g2~p1HdHEDSh~2tY4m zyMAa82;bRui`e58TgDX=Hs)-^#=|U+TIN6K@XdC7N<&ak8GbV>7{chOh@*7)`Sp`@ z$S{crGN4FwcO-ABpb|4dw9@Z)_EcTOCJ4iuGKQm+v0-QY{OtuSl|)NnnNaFPHO-!w z)n?LstZ?^(lzMh#>c8A80K!%{Ad)|8BwW>P4jk3Uhf0GOLZ~Mm-~KLk42yPXSzB8g zXm;K?_lbS9wqf_i&*&9frc>F$G^Fo(&sD-NcOaMN&uQ2y&L;E2UxvtxWm%tur_1Ed$JJMl41t1Q#pA z#dKP?*EH9yp|^||%XZB!z>&<*O18AB4?i^ z7igl0P*=CC9|W{CLKk$9aa2D80FQxu!rH4JeE`{I@y+jH5STy6_oJsu-fzOxD56jl zN=n&*!^Q$0Gr0yWR-T^=t}=&xgPK-Y*J)M=u&s)bs2bA32xN|&5UtFJQSF41{P>a< zAs&hm=pr(zsMQ!&IyzIC6=NWk#3b!pf*NGRr!vNXkFPE>e_)O&Js+ zl8pHMR8y%uA1i@Rk)Zonv7j7%khpOwU&3s8@5SrvrZoc)q=dVP@NMP1Z z8yW)@gH3m)b;B*}k?jiSDNTI*u#%0Nur!zmR&`Y?9hOAQE@jM~%9@4vN)j#eDNMA$ z%Tnd6$A-8*RypjHba<83=^CT@dOapXZ>58T>gkQ;`TrbQu6dO^x1zfVq%?C+G|~Mv z&{Vp_-RlWvf&57PZt{2XK5Eh3Yhe{=VaYSPYH`o!l#6oWy=dmXB7}}?+$Oa&JC(2K z><-N!L>R)8dDq_OT8mMhdfw$JHW%Ovza3hI{j#&%?hM7@l-;_&dK#bw!S5N;087QN$a-zun4*&rF{~^b(Y5)KL literal 17393 zcmZsCb95%b({7B7ZF^(ewl}tIv2EMQ&F}l}z2{ty9!24-RrH!E9HVir~wVkQnoW)?;k zCT3P*RWoOID-$zfB_k6XVrF7h8Db4HV`6brE7$Kc1&;r7IS>$8{{K=S&q)8RZ$$rF zdxD<(!3OAwr#!-K2Sqki{OK3PG(tb7$O(07lm92}EmdmbLG=gQgo8NVRYVE`9SzFO z-&Lv4%paP-;@!g4+!Q};Hp2;AO-b3w0PuiCkfP^ghBEr)r~b6=bJ?ZddfKfZ6;zw} zcB;1Ep^(FKq_)v6+tcdz_IQD`V@bk~JMvzyWfDxL2=YK|orRz5NFCed#0RpuMDkUb zlI`kZvQtCQe$$(=7!w@k7ebh=#W3uw6z^9vF)UKx?oUvX^&sV^7~*$_|LG^i^ldrU&GAC`YQP_VpA65 z(6t~w_JxPMszWNpl3gZ4YvUw&XXc`ByBl{g{+s>QkofT3j>z_7yyS^F#-eM zOtyz~K;!72=p9Vnd_B^lv_W@+ti4w1sHy%^iIc$Ul0j>?O$W z#kN;;eT}TzX>~?t*BYyx@=CPmeK*Yw%BE{+h6f%jZKlMLdAMJ<$Z<`q7NmLjDjWzE zb{Y#4>Eu}at}Dfr^H!bF%*h>|<8JMAP1B*N-Q#BEY1tRNWWRlL8i{CZGTjP-?XjZw zajBl|H=Bi)!1Ul;>90pydWEZbol?_pW*+VEsjiA{K932?I`ne*rNR*#tfP}@qM#>4 zJX~y&uz6?fyU_=FXqo4;K4dTFir1%ElCG`W=>|aNkPNcEO&d?Nc?tSG7TPnX`$Ws) z>&D>67I!U8aOa#;d7h{Fh7=Cz8hz_K)F9w6^e^W+A>3r1=lOr~ z_IiEL4%CdkulDl$Xk!X;J17XQjB(pV%V$^c)l5}pupzWEnN~|RvWfCHCNLXpS{QQ~ zqgi@+hNSYA`X-8sAW?NVif_!Vqvmc5BYK}V(qLa&p-q6GNWU&|=>|r^c~-|%I)htv z&U+kVCDG$2Rf$8P>1+PYfwvXf$Eove>e|GAQ;5lZ&@eAM{g{&=);+xvm&`uJGaJZe zS2BT1`>@ez6Ue^`_U3D^y~AJ5@6Dul(1CfZ{l_M-2D1JC-kcX=_^Ms5qIJgkuOyAMre6 z#ZwxK4@HnfS#W^4?Av0&ori@s*IB;)(z7O8te$yTMQ+9ky4LV<(w;02`kDXb<^Q{J zPKf!OwK(BO6ks3{#0!x`yI7m?>s0~EpK$~dk0YnDyHLEsy1P-S%`1JoT&bRvi2AY79tQTYreaptzEAHU5}-V0Q0}U zrDn-hUGzE_FBB_n-qNoYnTaP<_a|hFZc)t+iwV};Klr2ZS|^VLQY+fmx({~>^`5(= zIaamCRUF|w@pnwg5U0HQnD~9=h!mk&7e+f)ouN7Y~iZJ zlrM{y;OaDv3SWcT8Pzm;*1F4ZOWVi3O&0ssrvlVko{iA^LNpyk5f=|-2T^KbIZNwV z&-GTcdJX#!$9O94W;IZ@B>oupEek)W7=HhrsoKXd-)6+3on zLvs92>wc9~RF#K|IXT{=C9e#`%q@XAlc`oYH?8gii@C;}_x#U}i-*sQ3cso^f21wn zj$y!iPGQZLl&=5rD{+6)yHU|HHHA070T#GfC5i zXz|+d!U-nPy>6hJ&Wwfx!@Bh23uF@x=J9ys1)vpvEBX<>T22JW+)q&h77}p)t7Z9L zZ+x?_KrU7?65~<*2k(I|Pd|eQK4jlB%_VscT#mepC4168Ul>Lu=0JTWR74`*I|;oC zB?Y*jNk=L71wLLnWPUlTchBSN4FF3_z%GcGUVpQJD?E>7c5;*|^9)iH z-6_0 zeAwwaUU*@pC}$SL*G&>~Kc*b*$}ijdp&x67ci`NU3&>WykKO$Xj1$3 zOLERrrfQjjV>-tzfFN8#rbCJ^VLLThj%25Fv=knzrwI?S72)zRBQDMtPJ%{Pt9rMp57^m&@MAVzr3*TVB}96>;_ z!_U2=S2fO0@IPB>!+AbKJzv6DQubem@7$1%r1;z#3evpX&lLWu^+;P!<&8j;<3iOp zr5;~3Zi-hIv6nJunbonrj|H{ik>_%}EvI7>jo2%^nX4(Tref1~e9l@wj_qStzdgm? zg_s`bL|(ieJ%jEaFS6OMIz3MCz{1zqhYUMkGM}G&dk?Z3&#%NijW=3x*Q-s9&yDX} zH@9ma8zeIh>&w8$AW~J*qbD3{*OHzGU`)K;5z!~uY0r-1OR2xV_DiRKW#gBv)TJE2 zMo@okIVHc{f5y0r3&iR2%J}|>_tEW@)tz^4YxRgV-oWB(fTV}@P3LW<=kmGfX6VjA z&zC|Kf2%q0X6j))&-`-A_nQ+1Hrd~U06ca3H_gwyfUVcsNe42XJaul!gpBvRpf7i| zzq?tj8au1)W?_0j1Gct*DNuM=>q+;ZSL0W3a5Uq;2JcdqKcsg2L~;3<=F zOn>~25^3HGe+Z(p~^lD`N4c$E$j4YqdckmbES znZT-$iIdE-yB}(d0A29Vp0KO`D^jVrJssoJsJ^5jd|sg|UqqPhFsdyC*1j940oCmupjQ)dbS)Cx7@?FtEf=;Jhm~|DLniKEqneh zcQtS09H-{y4MR38A`HeMV8R=^~H{vulZAt-9N^Nhnkp-n%X zDk7u#&mkkjGs9 z*OE(VCUL;s;&k~#U5F1MAGr&JHSrI635<+z3yzGud?QpSHZCd%p9l+vTYv%6*;kiq z|CtN=$g>B4W1J`zH^pDLnNH!@MIpQG&6?W#z(E&yhM)m)ztPU zF||YA$XUyFvbwA<;4=a>u%(Vth#C(CSlz2kRIcfNO~b}M<$Ifisui9I z+dIhV@N(rjXZ+NOC-S=W3%cSb*T_v<;hjt54Ra)mc;63&7biMrxIBQgh?QbKyj}AT zM=d1uKQ1$w-1a|F_`9{)F1TuHJEI%gzENYNT4#U)z3gBIy(|ceZ}@fZ9Poj*1o{}B z1b!|^#?|}T81vmovSK;{;afg?YK0>>5=|gD5)&TrQl4?LM{nASdqfG2u`6)m{mD`V zdC>|>m7bE#U{PN%wfgM>2L#KlqHQjd(rqrCZ>W|U_7oHFi~!Sb`ZAk0NVxrng`D4` zLI3telkiN3Q>F$y@}eLSG_a!1Mq$A}+4l2_c%M@)qWqOXGLl zOvN}WV9($)bG^(^wuDNaC6}-RFFF3Vr;GbNH&Ec?tkLx7i;S8ck>$JWC=0Ox&lHxx ziT8*bK8i(%g|AtCzr|iCM;K`{g8S5^JSW!Qfmf{Az^B!}9!SdK6rUg>$iwEPV1Ow5 ztQ%UwL8DH3J~v+od!RK@W9HnIkR0Z$(PHP|4BNRuMx018^0mz8BWfnd0*cLs6}j0` z-;+{bkWq$8htv%APqz2{SY0)@bv3nAj(&yTtf6gT@YJzG;oL6@?&=9s4s+4;5Ztx)u|D~W5Y zixC6fnbPt!Hy_V)wEQc|O6b+>pGGu)rr#rtb7ILr`Fo?T7#%WGw;BX><&cV!vzerjtu8#)L)%baHS%KD~pAUHbv zQFy}^SfOk` zJ@w-ZgeFT#+e*(x+qN-T+m|dK2ZZWoZ}nr!9ZXvX3@!INbHC0l*66d2t4edpc-jY# zuM32k!EsstJ^(qoM~EJTp-fE+sn^sG6E|^kLJem30dv`m5}un(dMv_4XkEf#cD%f< z2%Xa*oir{(s)^KQG|q)PJK;hRXSuZC)vTbWMEkg=$@ zBG#Q*Dzd`JJ5w<)p5g{9rJG1MR8lv3j1jcGT(e8nc<4==;qn7yOCVW0N6KcaZKZ}A zH2$x1Mr$ovzt@K9IlNxzRJ#ZJWrFcZ&6b4@3y)q4hPBg;mLu7YuD?RPLOfyPIYJ~9 zbT=^;Uluk<9bz_B1|WBm6B8$BxU ziNPfpa7hAQJ0%W?7-8d>3|sDvCcST1;zROkNQlE;J53IeB5>S&%dj654Ek6!Jg?pt zy5$C76wdB4)sTpX1}r+$N-1#YQT=a$vhuJ*GDKHkee{2e)CAyHuVQ%K7L-#($DV4a zNwc`WG%0;T4)Y!}LlrnC#IB;l;6K2f;8%#fare4hwBm%uo~FT(1b!Y{=HKUA41i9O zZxV=WlU!olhC4XU0`@fu_|kcOQE);2&^%@{-J87M)6P}r@!mOP_#)>ewI7_ z@`tA=$fJw4f`h0Pqm#xmh=lJGpAcgetPH0_5_uySp1UHP&D+L3Mh1}a%Wu6}<6>@6X=Y_o39BDEnW@?uyV#bJ@~3iI z@(XK|Dn-GCL643=SlLGhNOnrSRk?yg)Px`${$|$k!P4H0WbM~a(zINh^0ZYod6}Y3 z6(-{7PbDIsY^c-VKERdUl}JOx^`#U3=~LT+gAKK%0#jq=Q$+_Tbed3Xywr>L6mmav z!a$dB{@dgZw)1ik_xc%2_`N`(Pm^nJPJ3&!FcQOcF(nvB{Qn+>R?|0FTwg^f$$N7 zQdSJO>>nPi>!BnlhU2Gpp{I zcU+%{n?mp}UE9MF6?Xh|@Tvy_B&8KwgFZUFE5bZFisULLDAye(6)&Mbf?b#%gAF@5+<*wY;@ zS$}nq3&c^nrP`y)H)cK~K-nF0x|Kvd>1-`ZSn%}bXs5_gV6A?1($&6BIcT}*ZJJVK zApkhjOB-|QkCtR|x_9Z6Y6v%F0rnJCx&=X>F^;JcN0oUO!*M z&ks6&yXJXWp{=UxW+*i%h;6s%?2`q+$EcI=O)bdxt~hyYsJt9LdTIKXAQV)9@|@~h zQLcHVsp-VK8WkzGj7hA*Ut|lK*|Dt1OYqEn8R|{|O-&-%>$o|FcFagq_$!sGCL=qx zGRHZbu&c+;xmJ7;%`R^r9>wUxe{2or9hU33$uDh^3oZ(JhFq(1hcW%sPG#Kl(#p#6MsS)%8Kf=3yf#i=zbBysDfYo-i zK4ruead^vzl z{SeSGB2YQo>YYGpwF_+Qt^BMZ+i59YcbL0XC*;>CVC=q4E(sA-oKt(ujRj!Y20#63 zO*wkQU6$Aome+rxvdrS&Xw~~5$~Zda->AJB%nX*D3lX$%6IjGBJr|tdU6Rgk|8g#6P7Q78d?G)xwlcTA zPPk_K^&nZ95W`%h%HH>-a+Z4L6>vmsr;w*cPllUZecVDXtQDYGa-E& zZpRtnehUP5Lrj9%8_uaWN>W-{xK$*o{c1_Z(~0ai?+G6&Tsv$?Ti|bH)ZCH=5_H25 zCLBT$7VO_u#l4Lta9fdC-`__vAa>|6eRf5h%5EZ>D~S%$acm>U)M}IQ$8SdH3|*vP zU*ti&ggFv6ei!dZ?gLh;Jo_}3tNV6GGXa`A>rBrC#sg=Di)4W7_?-g8*q6*hGH(YP z)WMAcl*=^5p$f3lY9sHM{&wQyHukl22KZ^XtB3dK!Zkkmg&z)EdA}Do1No)nbBD@A z%AdSDReg%ygZkmvt=k8?E!A4ghYxNi{J|v=o5tg$M>gfnAm++eDp_D!Ly`#h5Gsue{KP-y8Y~U&#v4fz+WMU>P@ElCaMPfsnCiv%g9t0& zOMKMIg|cfOW!(C4?SkM4$B$W#Oa|e> zZwm%sPijqfRdVm-r#OQ51B^;e)V=p}NeN%jx1pne-|ry%2Ev|dH*wLPt zcVIjK>7_CL3;9KP6cF7R^IkgoeT$<}BvF5?TkwRfn0M*XDd|9%vIkWs2x}cccK}pDSVL*YIbez;1~4 zP7pT0%(F567DyLFOhXY7IJ{qA$;H)x6WMVsX%9B`EB0<<_YsI}TMnT^(E46)939UF z=zCm%d7h%EKj|$%Y=>gzfPd5=`aLfpFZSIafl=I_{T6orN>U)~9eniUu9oBoPQqcJ zFX4oRz^NCESwjHP z`OQGzfWJn-Rjp7b;S23Qp2fSr_-;BvOo&@ZnJzDA$NR$b%;sFRNRaY$aj>JGwD|LT zUesi3{^-ubh@7eW2}E}XPXh_zTibO+`?311h~WU`J- z6o+&EF!bCup{eUyk~s{^btk$UEE~}RtE2ge(sZRo8CeXOXQ|`@Rv6k2M{SF;yQ}2V zfM7^Kgx=|c`4gf#Fuf=S;eg>>fbq6zREA3p-cH;TJCNJ-HKBK zLwVMex(N?nM!z@_BSoge+0k(c{F&cr}&Lg+l z$+Zx|{95|@WO!4`CksM)5qm%Ib)o;#=PT%XKMgqHSla7YLccyq;S+b1+XU(vgZjw^ z>s%%|T{nc?<&X8Tp9$jKGCeZ(-e_Ilw9vopt#`tVNK-o^JP_o?q*r#He}b9X_1<{R zG$sIGxxY3~Dfi!f+Gg>dh`+F%V|R4-mq>}kKCQkx`Xk2T2?4;ly{PljTv~~#;_!RG{Ah+B-S_dFbpNbE zxUjBD_dt)x_5yWR^`mchsCD5d{j9p$(1rPd9ktSD`bm#I__tTvo*bv;R1J$-Ts2@* zVsE0!<$?rkZ#(n|xod5;`91N?n7f8+amt^Vh`Dp!87(;ouUA5MED`PNd5eNjY)g`> zfoE(Dm_U@KS?N))X~PX|{4bD9Ma#cbGf$UasAl@7pM=ni2!L>WtxD*TC7~zMqiU?h z8`Nha;V;Y)G~qAGY70CdsIOE%yH2-d?9sVFerzl8L2dAx5CGEcW7I+BrS0n#YsO<| zd)41U8vt|6D|GjGaACFKI%5FEAMh?~wZSu^5!{V1qAI+Vxp=3NbJLf$<)5%f5B}jd zV=%~!b{}K);XU((n91nt1bAv}@<(MGxdS*kGE7QjxFBfRfFs_%BOwZaCd=Ai&Ux}C zw+1;#)vs^;DWd(@QJ8gD>+mMF=@MjqBWF>1&A+Sz&Mn$zk)QBDu;{+~ zPaOEv2RS2ecND(X*%4RBm(sjmD2s{IspX!ZvN;`tyqD1B%)Px-(#zz_wAb(wZ4J~E zcRlXNR&dGIaj+SeP>Vhs1t4xHu(d+-(*Yk&=nLH0I4^fG_`KwQ7bgfZ!+lr(pkJl0 zc!xjH_5EVYbdgnu&}q|gp=#NkR~w+^UHU2Lb*4k4Zn*orilWoj9qNZ%Tw0Bs;(8;` ze3sUHtOvUs3m@Ii(cZtGZ!qqXsp6vgqNV_bbjK$d!1WFqk+0L!vm?QZl5m83Q~!4* z5=^(9Hah|Zy<;~3^!fiONs3tQ9~~{26QEu;_&IKaVz{R107#*(g-)s4`~*uV+G(;(_GS87C|W_7gH8DoNFtf^o~~5?TW7jnSPvR0v&WrHkSdu|C?O=5 zLy$miaa<~6LQ$G6Jn(KLm<>M#wksrWc6MPI%z^C7Ge0#4cW=jIk_~sX$-hns3ABZ< zhppsBi{GDoQXNR7cRkPOxNs-AHvS;-7KBh2oe{t7Kx)M%VJa8U!@aanQ1qcio_uQx zHPqwIum>u=ANglUb^tJ*p*?n{WHcU)JJ{w$LR)FrTX);pj2CAwAN(qr9~4fT<2N=q zp{WRYtU-2RiR_a_#dIFmz&i;(W{N1TRMrADqPRvMZ{b?5aU+)}M5mZI;B3n??Iwl?eLWV{D3)}H^- zV=mem(?W1;ce>$p~=w(DBku0^YYC{ z(B)0^l@)w~Ox8gMr2GO09$L8i^CMWgT}zjBR(XqRfug(Kn%Y~;&QT7IkH;{-{p;va zDfWw-do>>Kny>eP@BE*XYchHB%eQ+9gHk)U&qij+6Lr1Q)&4z~i6)ch%tpbyTycXg z*V0MQ(}PCFw^|#dmy+q&oJVf;9N&+yVU>}iyDc@-*WD^?v;|GL=A?n6G~}yk`%-(i zmh+~m*Grb8^}VFxUuOm5>lJqd7eX1`=`hV#RZfd5Bc*3GGsOx(NoO6)Pq`ti#h&y0 z{}>}Jm*-Zmykzb-L|fD@P|33}Mc=a090$~ja=znGnOZHu)Oh25gPYiA_f=QidYw>S zXkK{0dQ4g~7s$BBUE{H{aB$;Uak{l`u_jtO+L&fv@z6H~xY>0($%O*DW42ptHkQv^ z%Wc+hSgMIGeUD|{rFnA_C>yC-?V>}>?cCv~UaG#O+m(~lSx~|{|tm3eo+3InY zo~^ov<*FuGa8mD(*bg!7KrudTnqu9@r8+$^tl8T@$LK|X5ME_F!)Nbma)6)2b! zdeE5rS-jqVHaBY7a+G zHuEuG^3xBjS3R$Mzi~r%8QDI|TaI4c%=6+u+k9KUJUG784#MxRS`;rnrA7sPyx*o{ z^*dMY1~9t0O2%U7ue^Uf@`wDy3<{I4W$oTW#%(V>IkimVe8I%?>-*;Wpxpua)&rzxFQYpFIn={?hGiDi-*i`d)-q_Q%dZatYA($lV_ z6uAYAze|9!446c8Hpx#6iz2!(`C0X?Fq9PiemY((Wv!qCTGgMK<`{?3;MEP0kwcvr zyvB40?HeeYPqD>;gdLh;Tc9FB;2aXFj( ziDXz=di)mAL^8?G>6*IhO4$q+On)G?>aRXVYP0d5rcGKy37GL@`)4^UV#RBSKL-gh z@+I2TAd}dILVzU%mfA+;=PmlWEt;W2ysP8e4UuHQBJzyyNleJFv$EIf;**V$k%TFc z!T&O&i&2SEV7y5>gvK>DttY&OSSK(R?1N$at$wO5%8E;vLO#-GL<5s0@n}pWkLx8z zVQQJ|qw+aeZ#SPC%7Tu}>qC-B)>+yk!dHY+2tIQ6(iHZZw4&7&Vt9+!bhtU=S+1^< zUsRmj%_^CU7DgYIUHXlX7F|n2<_T@%B2QC#tBHSNq#$T7k2#* z=-Z~k4X$o)u4cU9s}s&~8%`sJ^acHt_I|tQ>Tmk17XH$xY9`aap)+opFv_>!su=istk>N|B-?Kog@W8WzAzkhLZW#5wxNhydIb_}0ql=ll* z>F*2>-m<9iMx7hADCLZrsT72~xWw`ss;laWnWW{=lE)(IPxv`5tVzpXWz9P z1o5a3D{*9ik&+52#2vq*+p-?1m!xh^NG;v`aD6i^Kh+b1+|0G83Z^jct_&?7?Z6|9 z4esT^&Trp~1Taoxoz1+I@maQKZ& z;B(q<*ZNfcB;G?W7V-+LJt8?VfM)DG^eP;Xwpe_q#mdB&OS zhTdUTo-P)j@Ix;Wz{3wcJl2 zelZF}66F9_5&Q!;Ai{w7qm7Mj-%Xgr7l)l`S1^nO+OVHTB0GRp9=fEl+kBW13Fc^| zlL9VERsE%IiHtJAU%NVkFe33b?OQmPLnl7Xd9xG83Rn6Ipkq3lU^^#u5EY+mtiu$a zX>5FprNG{wONoN5lj(r?nvE@xZQ^+bTmn2C-Q`?Xo(50Po$WzKD*_po;l+(@n88H{ ze&ph1{s6AYY>&BiESL&?-R=}pTQ`~l?~KF1GW*;F5zN@!5^K2qoq&+kXR?5um8I4C z-kKj5bpnzl@tbH}RR1i(NF+gnzUXVCO;mB%Sv`JXv*5*hGv?xB-W~;9Bdw)HBQ-;h zteJ@3rP1Nz+aUQUmVW1NzSsoJH2FAq0Je^%rk=C!kGn=!ZSP*&yREs=itr4Xyj&bu zMXdaSs83+qx;v#Ih+zHDyiw32BjIU?%_HH%VbD>Pe?;=Y*bF9cvRP4}fL7$*_Gq)B zF=9ZQklZb?1jraMBuIZ0M6qEQV#R>ka4ZBxIwE~GW*z}aagjh>^i&Sq zu;@^dJ)=rtj(l#)H8uL5_CQ1P=vz*eLxUQXeYLxX3Y!zvr@8 zI?HGVqOw@BXOnj*47YvE>YlGjaea+#pCRas&_wl3dYZT=ZcD7Ys%Qox4`r%tS4Vy_ zu?aF}Bh>WT@>9WcW5vH->tTsiA$hRkpga3(jvg|Z%GTwjfupRV>%|)%!p93d3C04I5?rv2)(4g9Leqy88+6w=@o-wTo02$Dj|sI$fZMK#HX5}v8oe+YB`_Mz zF&fn~8odH3kDI$NB1uNBTi*lS!N0IlyoCy9E6aNy)!d`EZTG#K*KUE&Ypy9YPYo*B7~0}o|_Gxn-1O!msmHV z^QkQl5hQ$1aE=gk&`C(`$_jzOno*0b+tYITx^pML?c!$Vg&odP=*2z#cO4T2)7)r7fLb4P_vJ^^^2UXSo7HJW%fc6Kdr-_nFFb4eVPm0KE{k`IYMB>|M{4Z zmJ5oFUaM0Y2m9z|t2x@(A8!FJ5<{im;r+au+#m4k~w)}2CFzyX46Djp;WDH=t|Q1-iWRP zfbR2VwpvIxd4?0ddmo63Fv+zjXe!L;)*Hvx7|Ff?GFgdLw5X%iRj}5dwqPE_xrESf z7tokqw$Wa^`Q+%MDb(DPelm#KkpC9)8=kC@$j6Ga5fWu@GvPSxmz%s^tRJ(eC~WAh z^)?Q+7s)Nhd3+Xb5p*~l*87*TvrqWup-j6_kp-%DgI`|YBo+JMDE36r&^!gWF42FF zPdLqtl)}d%y)a#gf;+6nA;qS1LP(_24Q{k!P2JSyX@FlihMaD11w}kTqkIvH`GQ0Q zOx3`B@68hJhL-vW=pn*%{vJ0;O#2){WiquMd!9bXPLiwgd0%$_1dHM`k64~UNS;DT zp2A6xz*HRky4}xUA=+9F(=xq@E$d+?N;-&8SP)=-lzSm~FbdV3t21VZ4R4b43RqDg z&P7F!fb?7GdFjXhVUd6H9xQvX5kw+K!SN&jMb6F5?XVo!$8{4CNkMf*SJE9y+eOF@ zExb!3tLwZG(G=A+36g3oY|;%|5hFoD)~gCK`%BA?pns z1+DILqYDDLhQxEr@iLLy6V1ZXR*bt;hygCj#|pcF58g`)y8#SY|ECEr z$6w3Xude)*gum(ue-{$|vM2m)4ERogUyi;&JGmFtHTPyn2bA_e0?YfkQPN$=n4Wd; zmrP}DYdG3btlhX6#pTlSoJKQLtyLqBYdg>AXYPU{ruy_-iXt+GHuOmSnzR``$mTT} zK!SMV3kqZgL(3yJaoRMMWCq2{BTgU#es+>#D7Ptv4bL_^LO|N22B5%VnLp=dqm07~j8<7cBZy2dAxyzw~|!U=oV_)*>l_Do0oK=s7v`KoO4SpZ!Cbx(mLhHz~2 z&F*nlfMalvqvgp=|88PFgdY}l?8#t~nwinqv(ev5BGBDza%&|)1?Chx*F+EBMx-QB zZ9(aU@|!uJO2i(IEW`$wR2Qwo1B|k0I|A}iT`|_ahu0uE_hA}}u|H(As6p{$Z6H%C zUS+L(S+_sd?2_MQw{xh@S|ALadff2u@%%c}TTNnJ5p+{0?Av~(eNm&)aDHU7wl9c5 zX5xduuUi;p@YgG*eG{WmDv+JR(7CW91v*Xrb)~Xt0i4wD7l#OnuRO%zD$Jytk(&Sk z$subm*ZZI0j}_wGSK?hLVz9oKHm3bMqfxB>c)Wpl#xN2Cu%bpr3*(mmx{y3!sO-I-;Kn7AO(j6eg~t z6Fn5p8++p$lOt2=%pz*BJFMHnkut!NGJppm9ZQ7Wj3mClZ5|Xxy)(WTB#hq)R(1Vc z-s265Kq`j$Dhxs@h56E6 zW+nC&g?+Q`fT-^AF^{k7Y5#=(;&F6)IUDj~Gw`VC&HFXdw^w?X$j;{g4(0_Dzf;nd zsF+dE<)WQ^sHanUCcVUf_-I^x{kg(rgx+$$&cbUeeTT^E1{eX2r9hX#+2A{STtJfi zLppc#M$cYzRkI94NSMiDTwVR8#4^#vcg1BYnM_+p_%0{x)kOu)Yj4bs~u?+21CNOWVuw8CWWshs-F2??V$_Q$vNq^d~|JvdpchW|Khyco%5yDL_bmi`l@;F;u?|y0JRU` zrdM>BSsLkU1o0db-*WzhT}W%%8MO0_s@-3)67Y9eWmKpP6{sW6V(D(DsO&+}^nHq} z2frZkmihE*+OzRXyeeYJoBK%|$pWy^U2%4i zYnb%)y~FwI%M-LnL&p|F96R@b@<9J`NgJ6qloT>SL`UZ7e8r{x;5>ALUkbrV`3Jh( z4~XCKe?pMSvC)J{!aN5;WYK(8lu;?t{|J#ob@EwyZVLx{{Dws;5xW2S+*p~j3rwvW zo6OC5Im`llp5ZI<{d|QX;rMKMu<`yaV~ud47Qav})Ou?kKUTh?J>?1p)cEKe3C76M z;jGr1Yp-Z?wL5ch(;Nm?LCo>to{fhjGghLbJFq+yg4$kVCo7I{v?k6`j!a;uE7qJVv?-M~Yzd?mR+;3Q73tYp({loiB8F$QX2LHH!Qj0} zyP7t!He|ynfi0F$`*(bUQ%xB&0)5$@pfV9Q`JM*A5IX*WCw6-jom|;2A6SSBsi8#; zh4Q^f*-FqCNizF`-ohpde2M}42(&)!aPeyOn!C$*%2kRN8`H1+0gJW_aCe+-;4`O_ zOP(AEB{Cy=p5NMy+X+pkf`+Jtyj2jbos%y6(f>eZZ!ES-T21Bx;WcrcvSW-pCHMN2 zGq-d?PcUf{#3(@mfkrDjq?XyL!MRZNr}Z9d!hK4i*O=0kbxFaFe4EQ!DX%<>X5#{a zV0h+C@{KU4>k@U4u8&k%rfjqHvF{Vop`Lzf!4JYw(kZ;I6|rl^8Qu`b1omkd;wZ5^ z`s4QEH?LA((F@nV1PttO`+&02&%(-uDdg4=Tm{SwMuqD-&-jKpc{U;eGOe3E%xtbG zHx;sq0n+fk!xIK;oIR9BljXwh4RgExcyt;Zn>z0eiR=qei`l>7w23D1WRs>|dy&BuZrLGh_mdVo-=Ho7eRNS}LIvI%sfIzX1S#`tlBIu6+C< zWS7aeu!cb(f0*w_PY?W{5kn)Wg`!YU$_gA77H~}G9JEMzVK!K04EqKZtuU|Cs1Ts7 zh>^$|Qp0d$_M8x{Op8%%gpz!GNsADV#0XRo=~Yx}3@aP$smzEmkV<0Gc0NS~GU6$Z zG2oH)yTl?zN!b)Z5hBfqKTcM(6GI#bj_OiQ@^x3y`IxQk-{359qKp=g zWnXHuwnD>&{i$;MM#hM46A-I6@2#Bt@e=^09MRn+PT@dK5X-2q5AcMHA}_~Z$?k4dfwS*AX*N4ta(sqgTh5mss7 z^EMYhvjrGwf4(euy6S2T!D79TmIgATv9kOkEGUn{7r%501pEvV8|b~dq-`f|E7FFl z>#9wBNn{X;CcE zuPZJ5=g4u+E8nqYHP{i-%${nb`)i=7bcws)9n6IM2>dSccd$Nk(Y>F-N}R%ydvrd< zeeY8$N{M^H^u7JzIVB1{*qngR|9)U4*2~Ir zyFC<#Lw3u)$|-;*(y3-!zG_%etYdmf_jc%1lkVLPZqMv+-}_ABAb;(%U5Ag*$)F?C z%Lcx_%n#`Dsq&>itgAS=xJb=owO*KgFwPNJ(h03R$eCnx^WUsd1T#=ZGIJuy{SN>D F|Nru8yZ!(G diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index 878a0ea..b6baba4 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,31 +1,32 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1616150630415 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1616150630431 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Mar 19 06:43:50 2021 " "Processing started: Fri Mar 19 06:43:50 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1616150630431 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1616150630431 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1616150630431 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1616150631900 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1616150632103 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1616150632103 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_0ep " "Found entity 1: UFM_altufm_none_0ep" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616150632118 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616150632118 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1616150632118 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "gr8ram.v(109) " "Verilog HDL warning at gr8ram.v(109): extended using \"x\" or \"z\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1616150632353 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "gr8ram.v(233) " "Verilog HDL warning at gr8ram.v(233): extended using \"x\" or \"z\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 233 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1616150632353 ""} -{ "Warning" "WSGN_SEARCH_FILE" "gr8ram.v 1 1 " "Using design file gr8ram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616150632353 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1616150632353 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1616150632384 ""} -{ "Warning" "WVRFX_VERI_2106_UNCONVERTED" "RDout gr8ram.v(110) " "Verilog HDL warning at gr8ram.v(110): object RDout used but never assigned" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 110 0 0 } } } 0 10858 "Verilog HDL warning at %2!s!: object %1!s! used but never assigned" 0 0 "Quartus II" 0 -1 1616150632384 "|GR8RAM"} -{ "Warning" "WVRFX_VERI_2106_UNCONVERTED" "SetFW gr8ram.v(230) " "Verilog HDL warning at gr8ram.v(230): object SetFW used but never assigned" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 230 0 0 } } } 0 10858 "Verilog HDL warning at %2!s!: object %1!s! used but never assigned" 0 0 "Quartus II" 0 -1 1616150632384 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "RefReady gr8ram.v(263) " "Verilog HDL or VHDL warning at gr8ram.v(263): object \"RefReady\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 263 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616150632384 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 gr8ram.v(34) " "Verilog HDL assignment warning at gr8ram.v(34): truncated value with size 32 to match size of target (18)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616150632384 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 24 gr8ram.v(125) " "Verilog HDL assignment warning at gr8ram.v(125): truncated value with size 32 to match size of target (24)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616150632384 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "36 24 gr8ram.v(126) " "Verilog HDL assignment warning at gr8ram.v(126): truncated value with size 36 to match size of target (24)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 126 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616150632384 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 gr8ram.v(130) " "Verilog HDL assignment warning at gr8ram.v(130): truncated value with size 32 to match size of target (16)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 130 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616150632384 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "36 16 gr8ram.v(131) " "Verilog HDL assignment warning at gr8ram.v(131): truncated value with size 36 to match size of target (16)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 131 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616150632384 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 gr8ram.v(136) " "Verilog HDL assignment warning at gr8ram.v(136): truncated value with size 32 to match size of target (8)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 136 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616150632384 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "36 8 gr8ram.v(137) " "Verilog HDL assignment warning at gr8ram.v(137): truncated value with size 36 to match size of target (8)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 137 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616150632384 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "2 1 gr8ram.v(195) " "Verilog HDL assignment warning at gr8ram.v(195): truncated value with size 2 to match size of target (1)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 195 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616150632400 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 gr8ram.v(249) " "Verilog HDL assignment warning at gr8ram.v(249): truncated value with size 32 to match size of target (3)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 249 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616150632400 "|GR8RAM"} -{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "RDout 0 gr8ram.v(110) " "Net \"RDout\" at gr8ram.v(110) has no driver or initial value, using a default initial value '0'" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 110 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1616150632415 "|GR8RAM"} -{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "SetFW 0 gr8ram.v(230) " "Net \"SetFW\" at gr8ram.v(230) has no driver or initial value, using a default initial value '0'" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 230 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1616150632415 "|GR8RAM"} -{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "WRD\[5..0\] 0 gr8ram.v(234) " "Net \"WRD\[5..0\]\" at gr8ram.v(234) has no driver or initial value, using a default initial value '0'" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 234 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1616150632415 "|GR8RAM"} -{ "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR_HDR" "" "Tri-state node(s) do not directly drive top-level pin(s)" { { "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR" "MOSI WRD\[6\] " "Converted the fan-out from the tri-state buffer \"MOSI\" to the node \"WRD\[6\]\" into an OR gate" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 161 -1 0 } } } 0 13047 "Converted the fan-out from the tri-state buffer \"%1!s!\" to the node \"%2!s!\" into an OR gate" 0 0 "Quartus II" 0 -1 1616150633900 ""} } { } 0 13046 "Tri-state node(s) do not directly drive top-level pin(s)" 0 0 "Quartus II" 0 -1 1616150633900 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 77 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1616150634400 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1616150634400 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "350 " "Implemented 350 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1616150634962 ""} { "Info" "ICUT_CUT_TM_OPINS" "28 " "Implemented 28 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1616150634962 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1616150634962 ""} { "Info" "ICUT_CUT_TM_LCELLS" "281 " "Implemented 281 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1616150634962 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1616150634962 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1616150635150 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 20 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616150635415 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Mar 19 06:43:55 2021 " "Processing ended: Fri Mar 19 06:43:55 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616150635415 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616150635415 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616150635415 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1616150635415 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1616151477988 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1616151478004 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Mar 19 06:57:57 2021 " "Processing started: Fri Mar 19 06:57:57 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1616151478004 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1616151478004 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1616151478004 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1616151479754 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1616151480004 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1616151480004 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_0ep " "Found entity 1: UFM_altufm_none_0ep" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616151480020 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616151480020 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1616151480020 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "gr8ram.v(109) " "Verilog HDL warning at gr8ram.v(109): extended using \"x\" or \"z\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1616151480270 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "gr8ram.v(335) " "Verilog HDL warning at gr8ram.v(335): extended using \"x\" or \"z\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 335 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1616151480270 ""} +{ "Warning" "WSGN_SEARCH_FILE" "gr8ram.v 1 1 " "Using design file gr8ram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616151480285 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1616151480285 ""} +{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "UFMB gr8ram.v(247) " "Verilog HDL Implicit Net warning at gr8ram.v(247): created implicit net for \"UFMB\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 247 0 0 } } } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1616151480285 ""} +{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "RTPB gr8ram.v(250) " "Verilog HDL Implicit Net warning at gr8ram.v(250): created implicit net for \"RTPB\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 250 0 0 } } } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1616151480285 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1616151480316 ""} +{ "Warning" "WVRFX_VERI_2106_UNCONVERTED" "RDout gr8ram.v(110) " "Verilog HDL warning at gr8ram.v(110): object RDout used but never assigned" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 110 0 0 } } } 0 10858 "Verilog HDL warning at %2!s!: object %1!s! used but never assigned" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "UFMBr gr8ram.v(252) " "Verilog HDL or VHDL warning at gr8ram.v(252): object \"UFMBr\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 252 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "RTPBr gr8ram.v(254) " "Verilog HDL or VHDL warning at gr8ram.v(254): object \"RTPBr\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 254 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "IS gr8ram.v(354) " "Verilog HDL or VHDL warning at gr8ram.v(354): object \"IS\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 354 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "RefReady gr8ram.v(365) " "Verilog HDL or VHDL warning at gr8ram.v(365): object \"RefReady\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 365 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 gr8ram.v(34) " "Verilog HDL assignment warning at gr8ram.v(34): truncated value with size 32 to match size of target (18)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 24 gr8ram.v(124) " "Verilog HDL assignment warning at gr8ram.v(124): truncated value with size 32 to match size of target (24)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 124 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 gr8ram.v(128) " "Verilog HDL assignment warning at gr8ram.v(128): truncated value with size 32 to match size of target (16)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 128 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 gr8ram.v(133) " "Verilog HDL assignment warning at gr8ram.v(133): truncated value with size 32 to match size of target (8)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 133 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616151480332 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 gr8ram.v(351) " "Verilog HDL assignment warning at gr8ram.v(351): truncated value with size 32 to match size of target (3)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 351 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616151480348 "|GR8RAM"} +{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "RDout 0 gr8ram.v(110) " "Net \"RDout\" at gr8ram.v(110) has no driver or initial value, using a default initial value '0'" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 110 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1616151480348 "|GR8RAM"} +{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "WRD\[5..0\] 0 gr8ram.v(336) " "Net \"WRD\[5..0\]\" at gr8ram.v(336) has no driver or initial value, using a default initial value '0'" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 336 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1616151480348 "|GR8RAM"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "gr8ram.v" "UFM_inst" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 250 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1616151480457 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_0ep UFM:UFM_inst\|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component " "Elaborating entity \"UFM_altufm_none_0ep\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component\"" { } { { "UFM.v" "UFM_altufm_none_0ep_component" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1616151480488 ""} +{ "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR_HDR" "" "Tri-state node(s) do not directly drive top-level pin(s)" { { "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR" "MOSI WRD\[6\] " "Converted the fan-out from the tri-state buffer \"MOSI\" to the node \"WRD\[6\]\" into an OR gate" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 156 -1 0 } } } 0 13047 "Converted the fan-out from the tri-state buffer \"%1!s!\" to the node \"%2!s!\" into an OR gate" 0 0 "Quartus II" 0 -1 1616151482051 ""} } { } 0 13046 "Tri-state node(s) do not directly drive top-level pin(s)" 0 0 "Quartus II" 0 -1 1616151482051 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 77 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1616151482410 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "SBA\[0\] GND " "Pin \"SBA\[0\]\" is stuck at GND" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 372 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1616151482410 "|GR8RAM|SBA[0]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1616151482410 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "351 " "Implemented 351 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1616151482957 ""} { "Info" "ICUT_CUT_TM_OPINS" "28 " "Implemented 28 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1616151482957 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1616151482957 ""} { "Info" "ICUT_CUT_TM_LCELLS" "281 " "Implemented 281 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1616151482957 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1616151482957 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1616151482957 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1616151483223 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 20 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616151483426 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Mar 19 06:58:03 2021 " "Processing ended: Fri Mar 19 06:58:03 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616151483426 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616151483426 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616151483426 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1616151483426 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index 248299bce7ae4af84b5bbec7fbde19296990fec6..699ff4091be455ca756228cf33adfc90e4c894da 100755 GIT binary patch delta 907 zcmV;619be?3D^mcQ-7EU00000007DZ00000006K700000007to00000004La>{eTk z6E_r20JZ8Ap{leD=F+1{RzGx5y2c$CC@!M_$TEj*sng{mwadPESuy-(YmwuiNb;AWK#~GJm|%#w`xk=k6J-UPJ0t zl>P@&KVfU6n5?a^bd9F9a>qQ-Q(u{pEwaQp&@BW|5g z1>I%7;CA8O`rQ2&s~2!_eXKKlq5l^M+(yNmN2K?8!6ZG_~*8}gQu&OdN`-O?P*R48&YQpRNng+Hwfw^r6<{p>HEIZB*vyaAAuC$~B>F`6 z;h+M-$<)tmW<(X3X;c`_gYn)1_c2n7)Nndz;ay3tF~iG)ZsGB%T(FgF(1O6L-x z9wkqNJAVeWU@Jw8wTBp(qk55iT$c#E3CwCJtUM|t7DciSZA@Ln+)~Mu&spZY0hY6R z5l2Wmv@}~ui$%Z|b-+A4G2-uly|B)RnRnXQZK8?f3BZ`Z-BPSg6+38ggs zg@1nMGrAM&H427j=nzd5RM7)gwztaH*uHzXdpH_Lwo90fbhRb<372$7IpOM0um|x2 zRtnj^zhONd{Q>Wzx*r>LAIsJ`oDO!|;J;n6uF`Q9dpGy+gYP0nOzHb+3;!1aGWdd; hcQV)c4tpu>0GSO+`+d4foBsg-0RR7Z0kg{k3;}nAw?hB` delta 907 zcmV;619be@3D*gbQ-78S00000007AY00000006K700000007qn00000004La>{iWh z95ocrLRHkE;?UAcMI7FRkVu#;}vNEt5hfAL>& zLoK8~k7suWNI7zWk-UDk-{Ul(}iSM(%}Jx<3|XDI*UWGVTMc_4g|jpoPbO3{;}jU9j%2B%TT3uik@l|ijxr&+ zrOfC8C)mvh1}M{p=Q?ytvLRF|{XEcfehDO~G&(n|D1XA1hA*P`i0pVooXErsW49qmj4d=mlcY*sDsYPly9X9Z;BwNhzGUCn3Qi_z#Bqz+Z1-8<; zM5s&2Yk%P`04>-G5o7Hl2Ii<*Bp=r$0iyoNI^Xh)!}@qr19PA9TPG-hY_LRSLB_>*9i4yR$sI6vlaI`V-GD zfhWj6I~>zY>hx8V4{BTA``;r#?;Dfr5SaQjMQvqX(iR;WL1SjntJK?j{BZbqcb5je zK|d<~4AVCr?c*&SAJcHKH4~1Wb7N6Sk)?;JKiuwZ_vvdd0RCV&fU2GTV5e^V>4f?; zeSb7*!dX0kN89GA~1yyv)isn}N2-`I3juQ2wIKii diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index 2483a37edadcc7f7b1396afc8fa8ae94ea8b0f3f..75c2a80ad4a3a38971f31af6e0d43dba29dab5eb 100755 GIT binary patch literal 13376 zcmZ{qWl$xtwzhE}ba0o!-F4&c!{9o&I~#Wf*TLQ0-QC^U=-}?|a5?w>IrUY2)&1mM zl~i{pwUSD*I%r^EV3mmAU#ZjACGw?eCXP-PcD5ueY>do|EF>Z>7S_fjtZb|#%$!Ut ztW2!TENmnyCXPT0BNGy(-$qs>EF>y2B}j%1KJwoLI1*{K0#bO= zJ9-BoPlaY~w zGTveYkBI?l?;F%h)K3JpHvidlygQkWF`o>$E7tM zdrjnZxFM#B&8hy~Jjn)dxnMSo<9%oq&#IxHb-vX=@be$CUD!dxmRZg6Fft*aM^jlo zn%j2$Pg}O3QP%?J*y2>v!rjqG{heX?ZhW-j1kP5*vNR#0GyP1h&@jY*83dPD8tvBS zbz1|HLX)Ko$rEQQ9+P*`(v6%wgZqATyMn!{Zf2*;Te$>~!>{x+v(-la(a^kDM;bZ* zkC6in2=wg@^D052gQ}0`D@tzU&mS(HuG-*fo^(4#UN@HOu{EQYHC}5qH2efK;3p=)BZc(CfM{0~+V)*@Xo*j#NQtEZv%sy?Ok&0H zJun32s#OR>yfPVDaLH?jQU*)V)jU8MdDj~tV-8S|0xy9iPK$W5sFaS?O7&!*+54bN zhTZlVN%n^M>GXqa2Vt!w1YXm~z2mR^S0Nzfk03^4U?b? zPiY`A<g7)oB-I+&-uT+&g4n`e)9ZRA2Zhu2Sq3zL8P(o~ zK7?5fynpKsg*lR$GCoIXoFiWYPjHmFx~DhCTbolZR;ZLScbcksj)0lQ+1Yw7Ohz>+ zRG#&XG|KeOy2w0%q9KOw0b8lcurFK%-%E*3kpI{k5nZgJLMcXAO{up2Q{f{udkf4i zde=aJ=;F&kn2p&X##~X!^8GcQ)G*M=y?^8)TCA(N)lwhh4ut*|!}p$K_?EWYZStK6 z`$6$g!rezJ{3FcR@>=efyUtT_J~2ZL^G8&4U!3^$DJJp3(_ucM#7$nz6(V`}ELTLC zuhZKNp(JGfs{FHircD8K+~!%g_&76CU=ES1oB!B-)~c}8iDqb4Mo6jJ(eSRW^T&eY zUIZA=bKz}yO7&ENmk#3Z@E4G08SP&6QmItepzR@oOo5>6EZigau*Q&;yrQ-Bu zV2|73d^+GnMVn?d!ngC~a%LVva@t3EK=OSW^f`+Y)_IBROZdrD^{L)o<(+ta{3`d> z7`f)9-2!)tbbjMJ>+mzidHfW$RHvfds&Y?lxNYcXoy(EdrKYLAuQ2nvbt5hwq0RZ+ zihG+&&3x`4W$}1(xE!>Ea0jj_)YP=>{nUiyH>p-;D1OPQ4l>z$t-VIHyZB z@vKya18Dayb)6xp#X$vux9@TJRA2&i=G!7*nlIWn7lTpaP*0v!X;W=}OT_*ns`Uco zaX{PKd*q97Hq$p3(dL|$kw7Sp%p@Lgn-eFmg#KpzbdFV|LzcPPY&7iR5s8N+nqLtf z(^n0lc$Sutp0$B5ohAGyE-_&1^(YiQGt!iwn2Uw2U2yXkVh zt#Yk6y*S`vHMR9POl=3=*N%U1tY7_wt%87Gw--&bVkoIx96vzq2S5#E8JwPG1uHo` ztWy+O8W<5iA_?NOijrS!6m2}&GRR-D=CnM8_VK_mqlDX#&Is`kL}X-HexnKEia9HQ;=?Suhhl8G1aA{HokP3aZaeBy_C6npKmJr=6jng15H^99oV7=B(x) z`>1lsgyn!b}m}ndoATbnQ2^Fma1tca-cUaNQAM;TaqP=YWNdx>IQ3E1d6aGfjx~&KXHzNf~mljjbVn(Q2=|%Re4T~P=V~6(a-^jz*%KjF&AZ8_6aGL~PZ2?1FT$4rSKL;N;ZO~!I(^$6_O?rHyBL}Z;jgTIkzPL5~ z(l2g*BQ>?hPA?tTm}<(us{_~I<(TlGd~6RJy%qwq4^=v{Z@>6d>ClHOaOICoWDVZVumS;0uZ2Eq`i;13(}PvB=D}dle*8p* zdAF5cx(HrA%m5_1OyZAmMa&*v+vhqA5c{zG%&ojTe;{5(`{!#e>E_CQaCZ)bH72@@ z9_8;U@NJiU0XbbLyzd`w?gfoacfzkvw|~+4Me`SpU(|n5`$aYUI>4G|^N5)|1GK8X zx_wY0zx6<3E?{GKBe(7nE$NWr#tgQ6PWDHx*A}+XZ{xxOd@ZwIFtcbD&m-PQuUJu}awDA0cU;40kx_ji3c7inyjU;2-z^UkvDIzw~pTD0x2 z?$hG=M(yqLD-ccTbwUqB(hm@6|2WNGR&$Q+0!<6WjI`7zNi_!B=cc>h*KN0#cnV}L z9_$w#CNrvRe-RouZ4DZq#r%b+@qS?dTZ`%b%BkIe zax(7^+yMGw=e~QDxWDo!=f8K9%=*|an70!@AG+bp%83~%PqS5UtlDBAGY{ejo^bDu z^TMq0q<4k&`V2+)`ZRq}6x|Dy#U42mG)z++&aL&U{|p5;`$U7Z4?`1e$VO462e)x0 z`?Sph0MR=gL_4jj#(@aKCF8lCUbFQrQ76;wO`e;3Ks#wuP&BgM{vT5z8fmYAXuGaw zwjvxrq=i*s?yafd`Q$+U#omJzRrOlnE3v6Y*^<)x&aiWo;l(~))dC0X^&A-Z@tQ}hY+q-z$pE#F>=c%AGfQO!gzs2YK%0 zr3E@oBcv`&d0IP7v5=uP2JEN{WO#?|{arg6nvnQSFZ02pFdQC*Z#6bb zklj{-m$zq4H$s?PD3)%^f&gWlFu`MlrJkKdV0b}!kxO1s#cU2Z@_?m(N{Z{XN{ceFSFrVn#D%3}O63X44@|`7#~QRUB?smdZ=T}F(pS^z zTrAO|kK<$i)1YsAHCS8>=Oup>p0}@`J?`S-*Ft;rchZHoN(U%qp|Y2`MQ`HsAHk~y z>m71kqVeh2MO%{I;ddq2dK+;pjVLP}k3L0ZKf^1E<1(3Dby>SL++GStdq3e5RrhY* z}_%!ZS|-WC=Z zGEyc+A#(r0Q;$Z}w=1(DxHvw=JH0r;>j{c0TFxW2dZLoQVorQHWvNW+!b!X3^v*k9p?vdj%;W#8HAEPl5 zdOq=FS2F?2*OQ(N8LBeUTE#(R?RXAxZp86pZ>LqC&ZhmJa;0_%(^g%+pC2#&oUUp%T zj-eZz*Vd(6>9lNG&T%KVk2yo1-4XiD)x7CAUi?9_X~YYhPN4J)%$z*XFk9!?A$ zG_oC+37eAe6O*Z++c3R!?lYA{pKCf2IugRRL(Q$6Xh>nvw79GWr)WbVDr_S7E!(+Y5s{b zV}n#ez5SE#rpWZEGz_A*PAVCQ92}0rq{A&^lZp%Go4xe zotiXZ&$;vrVPf@7mAGLMp{$h6V%s{k;mVlNqPHTeiTN!)sf9GEx!}*G5G&38S+H6C z*Rqh=&dMx(^O|Zt=FnZ$6mKHqB+IG>k*(el9pwDF=v0190)bNQbi@7#q%>S?{l$Dr-naZ?J_GXQ^WxHs&^(F=%gqh)#` z{CY0>>aj;G>I1fxNv$81(HnAh)n4O`xv$+CD3-=V4)R(Z-9++O4Sn;P7wGv!HF$7E za8x)w{Jp!s2|eQeK8ff!b!EM~?gf8SO4oL4nfXC?)HLX9C;ALa{fX&FwBww2sXedlpHF-t*$)Y}1&?~K{_UuqD{q43#*EsD ztU!>PF-1i&%f?~is^D0@M zFgoL&E3d*rKg9bicsWJn9{>HQZc4L!oLB#f_r&phiYHB{@H28xWmg51It{O6HiW!p zZl_!1Gi(%4CIc!g!8fv^8C#4i{jb=M(Eos2Rp&xyH)L|z5 ztylP=Ed6a7xO62v+B7dnLhAF-FMkW=zfm6A9zWP#AWSqP1|lTwD1(Kko;K@C{oK)?IhaySbC8Pd~y5HiBa zA|1?%#}vUe{#c|g`5*RA>$f6*o>9m#-i$C-!|88;VN$O zEHe1EUXOgjdC0ChmYJ)L((J_*%WWo~;qQD++1LcU&%)!^tvBuO+3gC^ho-{Z zSFXgEaFejRSC(Hj$B*BGrKE&15;n=(_%tww?#m|h@>00TC7bzeBUW#3CYlA#`KH$v zIX8X0kKE(^wAlrqNuw}}WAE`7=}FGrj$TAVJ+Y4(IBH-=~pgXdF(W8c>% z+2>jxq?N}gtYMdEDRfIEnH>&MojW@3(GxYxN@IcEcK*(Pb#t2t(ufGN;!3YG#^6ot zK(jq<7jinT5V7}bPE8*lO(e~OVW(EnsW*2e4=?J6N?9zBo4xK~>#ELsL>=AM&RS%5 zdmlBAxNeR-CqBrpMVwE!@~2H_r>09vWjD#*4W6U8d`^RW&^wJcoxMKfe|r_2Gnrex z72Q1>UH!z_{QF)29PPTbQ9K9X3c}6A3gZ>eQ27v@JjCB!Hk{tZ?_BTOWqZ{m$qe7c=imohZu2I*BWg#AXo_Z$tQ#ulNRP93%n!#9Sz%$^Kua{pH z<*0|u8Eiwg@QPdj%9_s(SD8{6EBcqKH&hVZh5 zYxju+EpZm>{LIb5gR%MPP-MDXW)m=T!estu>Bn!6)Mf&xU=}SCcoYU2E78U8p9DX| zsYt03KY0`p@2Pt~%bCo17Rs8QlF-0~~Y2>4sHrKBsay-)U2<1)iWT5dCG(}hy z@jmrcCAcu6Vb|0YDomcD*6{hAv>*y>;#Rn}3|*2R6mP%SRDL=rG-RvowPT|B(vUgI zMbIgw!vWt*iGAel$(bmWa9vTMs+r}M-~REqwxGGbpFea1$@M<_SHIZ~NUOiC6>o&P zZup!g-@?tWG}}CZh?W0{wHn?Nx52qL(Kn^wGE;5Q>En`D9}xWMjXDBb5Em= zqO~XS$7H7Un1s)GE&iJf56+KNL4_EGgkK|Aw_+)sS23A0<|}$~1D}2KDehv?0~Is+ zN(w1sKAYc+9{)hZ7+`D7V{{7!%9ES9~+?FI>G?lCnyBG8jW2K zy0KFag?qonGcQu=yuc9(1Em`U&fq@aNxq`226}x&yi1sx>HK$KX(%X!!nfO`p8BvG zULKlo()ZUufaAz25npJaL`?{LxJ;~I*q;BBeP6IrRAa_w?0dFffQ zk!13&^jx(5f^+Ny@o>ByUz@Qv9kCr>KB-l0h#HiD77g)xkOYswCpYk{_7|BuX_dkr z9pdf)3wK)9ycIT5mLU(;j*M)y$O=(ifg_V7<M0naq!O{qKLLJ#n=?n&Zh#C<=R8R3Af+ds*dX$)(-oS+| z7t8mZB||UcT=6YKEWqcsD9RBXE^mHjW6;K;iO9qa1{kSyx28oBlNg&`|J%X+1wAwR#HE1*g^I&9LFXQK+U>l4OritT{FbyMl|C^#TRQ zc)JN_H5k+G+Xz4h; zGJs^b<#aKK zhD4R*pjfX)Bu&WBwTS7zsc$SKUedlDn&rRJ6D4fkpOhMvOSMsor(wYLZ)4y&IVC_` zW&Qp4arcQ)E~m9`ib8`-E$qW(d--4Ku)#v$(JInLQSiM z@)gaQBM*idFZyC$X39WVnOY+H{x-Gn`?jA)_a;y)O5rY@-(Ok_XOa^|P{0|)hL}l; zdqDSbFUw-y#?}oy24W&b++`g% zy2wS1S8##vWXW(sDqCi`>1hVgjiK{*)AuHtz<+#)@~T3@s*ai2GKzW`Oz9i4R5R+X z;U5*#tkwIKWKh?kq&@afiwU(Kg9!4I!Kq|QB3%P>2)P8J)rwx2su|Zwn%1cYpJBYZ z!=uhg&o;7{=B$>O9IzXi2{L;T#)GE&xvv|h0zu$Xf;o+LHK^uu5F%1>y^7= z$AyKd|0vi5NJMR6!~ln0sS`(wekE)u=Yc0PvCl`5+f^iP^0-%4;6uKz(r<+3m=c?r ztb0_fd&R7KjJP*!xHnF?jwmD-+yeA$q_Dk?F;GE94dtxk(9wO)2+WSC0<;HvTKgUi zum>zXa3X?g!5Oro3h|_h;6ZGP`D%n^T!d`XsjSt-W3k1fvGvAM;=vgVqEm6Chhwp~ z#v{+Hdl0xc&bW@bNUdZZ`+G=GTH{ctH`)$LkMhYy)z}rxJ4a{a zz))X=q~X5Nz`VMl%7o zGhs#DgIfSqF@}Ht=Xw-60%5UWIA1-}8bHqU#-0wNQ_Bne%Y~n#L@APP1`5|b{9}-} z<|q?J{LC@;JsAj*ibw)e7_Mnl7uQ)WRA3>WRZ<>gtGG=MQahlZP?U;Eq{ZX0Y+u6- zY+KA&_Yi;qR%RqPmjylWM`#b!P)4439d|IaD&(!($*Ezn1mdHd3a39?sig!|h?7aP6G*fFl4d87!kUbjfjPuPPmjp~s6+uw zqFp4SU2vh=t4yPET}W_D@qhnFCLqq+uvYv2CEbJyBJNzR_qN0=AGfli9A&Z2yTDTs z8Y!Ut;P`g1i!nBpUpJT^5>n6M7Y_qRVz?mIjp#li+uFBP~0 z>|Sv=ngmz!7NDaox)f0Tv%0uLxs81T(cwFmt&0z*_g22(L2Fkno~x}2BlTB<*huj| zZhu$HTQJCE%kZ2_berXt0kl>$2-jvRwhnBen*&b!cl#4-qXLy}f>)PQAsbN@WBlHU zpwYe8aCU(cTd_2@y?XwwprV{gYAhG?WzR7Pcojxa&IpaW$7fZhlP6WtvRxXI9$N{+ z2_rOYJ#{>YLz@bCvDR)yOzcD}*RD)=)dr6GuVN?a`Cc^N>RL#;o|7~9HE>cSslE1HtOPA7?>%fvK0e2$+MnOu;6x?G$|r6vf!ETDm)dNyL}?gc6b-mGwvKcp(r%=)f!5xWNa94*ib$Yu|PW zLd4>={VdRu#B|=Mn~~tVNhu~dQhz0#1kOtZtO%=(?%s_KngcFsfM>86Wj|{?8ZIlX zDCb!0xQP@b>S&X^!8#fjrE7z9ur=1Jdsb+Rh#0><*4`KnE4!^g`>To&cgU7|fA2Lo zf0&9D5C?)uIA*;gIR0R2O7~JHx)8w&J#P2Yl)l%-i>%yzH zcP68=J8BKX%>ifs$hFI3bT~+qVnc+}5uw7K($`R~I|yeqwoXX?a+mEO6}CI46L~ZV zIJMb&2o3EtWO_9mpgmpLkQEzf6uLqhpgsCX5r32seUZCvOA*&ET3T)enEuwc>J%1R zrzX?WiiA@UwxyLQ@Y`x6+i@Y=xgUfh_xT4F#D3g83wc|#lZ6zu2_ZI1x`=vEIVgve z-!a)Y;P=Lbd&5|p?K&>^&&(f(wtEwTrQkgl+E8Qvk^wbvJj7%~Z~%1}v*!@Zfq31n z@y_VTD;?U9`VJFuKeVq+ltv=P%!1C8)0E2zF_$MSfiqtXNj?)yJ~O;S1ZQ)c|jURXHI!~iNX025gk zSP*K4egdifU()8W*sqn*n(>G_n8Vuns5y{a0UOOMG*mzcF3CG}WN)7}UVSvSc_g+w ziS!bDpf1*QBINR3q;nea-iK)cwnK^dPUJlk`$QD@D23aqf z$`&~^G+lh4+;8h!+cvLW(%x$)Mn3sN$lE5)ZT)72cgXUterPDl0NiV30Ll>Ds|qzS zG=xgPyW!0(H?#e-Q9*FBjMandLKjNL+BZQ|G{Ns^f)Ehs?H6aC8o}$N4^G9QPhp`? zrJ*gdVsCO{Z#rUcB4Tep#nu_fe14Gme5H^dvZZ`1Oy#NWr`zXzi|^)s5GRt_!n!7ggH+#i5>H z8P`uXIssh)P2Mf%9oaRt54<2RV$Lcw$>uq#sM%wSW07yxkt*Zn2R zI#*u?ko4zvtOgcyYp-4UG05}DInD}ckRZGzqo*DF=f*^6NN6o4RA0)g=2a;!CtkO>)8^c@{ zw_j#3vGciHI8uC{pp?cYVId{Bq8CF>r(tK!jYJqw;-i};HKQpSaP*|Dby!$xa_3maLJzTX3r+u_FF`q!0Kb)Tqw@J z99)LJ4ob@vp~ziX5))TSp9sR!1M~{cYL-es-rfY~PhiS!+7q`KCc-1nygfSM{Ci#% zPH!xyki~h&W+UE1#fTWh7|ZHaC`6L>N@Ej*jCx%*?Y$uEwO)pfckHnuUSRkWX%z@e#x z%k$sig(Hh35~_&xo5@=89r_|W>!?`lQl(I{qiO6|*)hzdGsLv|d&Y2ts`e^prS{r` zo9tj)(`3~0kfIBC@t=mJOboTZF9@RZrzbsaR6b6KPm7y>v&{~5|1ev;jchP^2s;db$l~DIFSRTca+&9`M|v}ryc{h;x$`IW z+B;6OTUNW&Qp60AD!Wf!C%i+?w=j4Ol;A8awPj^im6bnbG|wZBireuc8@RVSe3xQk z34__9CC1|RG0^yKhUGrboJp_TZn?nCjFWgvepBWIvi%y3kMJFtIu zNTGMNeHSBy$&i8+qA+(QM^_GIqnL7=mFfPsuHpio9&T|}6uC|9TK|*H=jDK3>IWK) zoN}SUulbW}O&iL}h+4GTS78|ee3pCt3wJP5D&!nL_O}BPD+--O8)SmTk@iwyB+`X` z6dPYezF={_hKfoCM0P&Al=cBYUgPDzEBH>sM`sy#`g$4h9kiGGu{d|Z$KvJ%iUfbG zARMV(qUdOReWZ;DObhs7pto1VF*{{MB73)!$*s>-92y~Z07)d0Nv(#^-rza;XQ4X1 z#!pE{Sf25#L{^qS>iAFhcW2yA6C}4uxMb=vv_6^A6bWZfd8hZ{yCk(HYtR`4K`+wA zLZiw#6oDe78m9|eZo6(yKkmz8oPWsH646cM5A*MsK_|YJf_)UkznThL+hPb#=`WZW zNei*HVj$?}d&XnG^(V+t3T-)O4=qpYr#D~>CF>m+i|w!?&l}Vx96VMpHRj+Z&ik3h zCEpem_rRe8>r#)l*}}gG`pkyaE2(ISxoGSu;VHV#+Tz4a5RCgj-UF>Lk_x087=Evd zrzM9fvv+%6S;8h2r2Q$<7(2n_0t}xO9wIQW3-$VEvt&?#1Xr&$X}lG4zy;>3G7-fO z=CGlc&6UOPcoehCvlPdqHw+>>M9E6V&?p{kjCn}7mWLe0N(_^lyk1-tojI2#Bju3# z%wpXVeG&+1Jh6P@T4!7$90i9Jud|SyshjYprnz|0e>ifMDT{nZI~hy55A;itI0`^! zjXp60+cG1`u6Y=Py%YxGR9`{C_&;}g{c&&D+n}V6iu6xNsFMzEBo?=%OfLH|rsCAA zy5zH&(}kX-r&X9I)HWR*M~yJo2WK3efm%5csyqz$ER%9KUTJDy9aTB6QkV%DcbP25 zC`eb_h+~bnD}d2KAc`|)SA*BoCh*Vgp^DReR00}@S4xXuc4fxfhbUMf!R$R*)t!<7 z8E6CVx}RVq5FA@l>c=RZ8Swp&2$_-+pK@mkS||p$LwGd6>6?Dt1u&c`n@spocn>*; z?G(eaQC1`F0U7g~NX1`6Z|yOnHH64J!AB=WbzKGw`uSUv(jXqZayq8Iz@M01>EeTE zI>Dje*sEy-n-H-Pjzt$;7EQZ`!TSMtV*+iz9|KfJaNs9aEkuoS{+iBb+S+vcGt`xD z^Z)1{Mxk4&K`ZEGH{eolock?3P}Z9wkjT;aBr~URp^{?mpIkV$;`hzLyTWmq=J=|q zbe=)}{vL)t_(KX7esLb3?#34}t>r-VV!aJ!J#KD7U{*|hLP+H}%cYo#o-UR+Y*YS2 z;h!W!n>Rxpw3fzAWR=$XHIIrI^tB$llRlb=B&Th>R$Atmx-&4u6qT%FNp45iGuxU$ z!u`ZOxj03~Z~D{2p5tMB%CVm9dxQ$`^y8$|4=X`svdL?)CQk=;f`W{(SgM^dca~mNVK1)yx424=H29W(6+f1w%_76>;M@(a>Ac^X{mWf9hiC zXpmX4+o|~bmaS4c&84QNr?=H$ztipg=M0LnGbkpx$+YQycY>-Z)bRm#_ctN}3sqT(gI#&C6>;(U+5FSd-7=v1Q^BT7FRJXILJG&GMp96D zV0W}3=XFZR2sUg>Fl;xRe{^};N`(Xhd2G2mg2ZqjuWEzd&#yj%@Rl0f2ON9GoF63qE) zmO5-t=6j$zRLuxu1k>wR@%uTHKm|jT-6NZ@vsXgOz^$;kf^92Vq`ErFrUK+%aW1(8T&2|o@jr8=yh_Lbzf^yDBw zN_-?nWKm)narF@0dZgYCYt3{+m5*+yX zY+Upo$!n<8V$w0eaRI6Po@_8`7d_>8F$4nFXIddk(`}sfj@P3>!#BrczliYn)!e`7 zScQi<{(M>Z6lfLz^gHTRAcWaS_nsQ(BIT#&Yv|hdo#XmkRvxTE?x$GgC` z81uR09mnyM(r1ZJ{jmc1x7j1XILdi!Nv8ZO+#1QN?3?&&FcipZ45I&Zyr4I^LK*8b zZ$-wr{Hnuts<8#&BzJGJt?tvodLMPYN_hOMy_Yuj1}~u3T>uu5;Vn+%;R)k$w%31w zV42wYvwB_N(l~+02j)9m)nWItgEoVJ+{B_*uA3QYbp;~pOzM3>KCVr0r zA*aA2TkFVX*)7vHFmZY=*3N6X8{IwH7=BJGmv(Z8BX|ITzN6#xI2jMuGhR&Y-*vv= zNxd!06)ZhMKzSTvT2dWt=v@nc$tfyVupqpZJ*Y-0eGw zkD*v2elTX^g}wk2>p5_vVJXj4tRJ?+m~@gq1_c)HIPiZO#_r~4Tj(Lr=fDol?$2Yg#;(F-KfY2GzF}lv zd}EAuF6*sw$@B4e-v}Ulw4*~G0oWpAQ) zl7)cJS+&IeM=)$crQnJ-f+RXXgaf@}hyexqhr}~n?Ss}?f!^7T-dTg**__^4f}Zs< zx7uEXqE_JSj|cv3J?}385o)H7%d%b{Gu2-LG}N`ZNNB4wAuu)^M9VmhIwW)qn5k~7 z(6ThxU_NFed@7oOxktvGxpzPK`~mEsy8uJjolgwn!KW9|@Ozs`)C3`V!E2 zR^^W5H6T~(df-cSW6;&=0f<^1fB0W5&)B`LOM4>a?GHjr_W?_1=seMGGC9K?!3qag z_nF2*q`slPD=Al3w>RrV+dbBy?kyZ8>l=HnqpaIkiI!gS@2-c9H7Bch8xu3#b3x-X zok*KA?LTP!LGuq9e^CE}+8_Ky+T6Bf+P2^T#dW(#-Pkj$iQaXku*hm&VM1}6x+6pY zp@#r-&6FfIuH6v)>6-_3uiXKw-&Tnw(7Pq}IwrJzY<=m-ZbdcJ?_|(GAfdB0TcIhe z<)RMW;h<=X4*0tE(z%LoyCXKgt8tM9S;n&g1a@!jE&67smPp3Hzk+kltU?QGU~(EL ztXp!kkE}usL4{0m^b!=>hMk);r$0SRK-#?CxJAa>^=+y@h}pSxhq1vR1+}p^fmr3= zHSxO=(fUb9n)zMnae&$otg`ez)BO1N;mcxvDf{iZ^4*7^lLVkY4Fw%PHzI;wRLuu1BAX11!srN;p&-; zzSR#FCex!7@JA99e%=X>fb&|VaskK8Yt7or#nUX4<9qMT!d6WF z{V1nw~S?#BZQ#qtmh)wsMLT!ypv$LZ@VT>CFp@xb$o~`1($$Ckl*$z>o21 z+z%ku>Y63u75GbTN=>9}{1*Iq_KK&|^H))>SC>h-47zRAEWm0Y5Y4lEypvhdFc@8H zfN6Y@n&z`N?vJNjF#h9PZH#ssErw?s0J|D4l&rMh#d=OH*+1(bZ8-v4{^3_w2;Ew3DLTLB?(u&dbE4NN*L&MoLio=aFw;guQOb7RahlV+Smz!ss?51idMp0(QOhxu< z)q46&g`U3pkOe)(mcDqh226(W+m!R6DuzX_el$2MTV;p;7f^2ME#~(-b){whE(PMH zbYeO0@1gBda7FpiEy!qV@#@WsVw_PvPTd;MY4<+t-vms=8aMZ@K(+XP|1pMoTb!C+ zNikwYU3hf+tRL|WM=f5kplq0V6=`U=)Br=QdgkV;8zrCMoVRz9hPUykm9f$b%omj? zZWk)qT6x=AT@=M47+c;)7wNr<&(qtl9UV2&>`1O(v0;kIT|J*mF>Ow`?fvpjMey9h zqPncmg*cx+iQ3YihLS3=?$Tf24!@%NV{FY@`e2#gc|}6Y15-?YvNergw6`0}?)d;n z-d~xG^H|IExK!82lfS1ZK0)d39@cqS2zoNZhrL<)%pdK;TXBDq4}Ln&fVtRWkby+=?t_``@fl?1*zY}czfb+ zg18cAx5Wd3xTpV+Jl!AE-hSC)c(<_ZX6R^3z(&h~fKqxilaX-^GC)#1LC zy;Y92<`sjw;-2byoobZc(aV^c)HZZ@Hx_nvrHT)5mZ?J>?q;^RV}Rc1ur?!LyOSMR z!{RBNbyo8z{Uiu5e*!N1+sUp4eu1^z^&bGvC=mfb0pIt_HzxrTTz$dPfkZY*DqG`YVz@dHEnSI{h zUkkIZDt&n5U3)N5g!3^LF#P31aYpWip|Ad`Sr>w9I0lM-KuBY%cdG&&=td=08}` z{lV7o-%E*#$#s-yVL^)&r`S~T(zd9RHwnNCFwjrr8Gb2NGGQ8X(D73Cvg|r10qj~v zn@ZsOQD5g0S z3Sk92XkdPP2Th*| z6;$28q7H1$cHJdwMjRSw28!%RT5?jo0lgvM9}3!CwZ7?|Se^`WSv>kbbV8;u^zKv* z9PW%NqzbXiLB51+reX5QT7GQx?-a4Fzo~H}+7}r|-TM_%EGSP~jt?A30`i>Ky$JbR zP}rRP5$?IwLQ{51NVf2kZiw3>sk#&QFHZlpj|WaVx8I<1Uza`xa^?eNyi+Z^u%h^; zonlaZ?Vh3Jkbb;Cg}uq=yE6@)%-_*T53KY^F?mj`P<8tmjmiLe0&A2u#qI=DHbt21 zntMS9Rvt`>1oAQ6jJr^CC7AHJj%*j+!|k-^yrbp~idjEEy8#`m{ne^|?asb!!Sg*5 z9-7VK1fe}d;yvQIRC9Nws@>`PJNcNMKaz-e&X!_gOk4E71|JWszCDs!^tX>9B4C*U zC}+5;fw?Kc;cF;iW6pjNWvh^$3L@Tfe9T$mk!X~Z2y z?XnS`FJ&q$(QG|E=z=nIin;k`Fr*UAgju=8M8f_}0dh|^GL}J|j3k5y7c3Jo&O(l4 zRrKyPzK#=Z;Z0ys91!s4>Dq1>5{h(Fs8#h|Q~D9^(UD$J*>sfLq`2YZCGg?KC@_*s31$+MKU! znc3|SkE={yuu80qS4fs5?#gE?l(g5ELpS_Yo;<}{pSP(+*-|qMP8z1Gk*uSJQ=Fjt zHaW(*2s~0|*iZpoDho_Q#?MM;uj&@C{ju1D8zg)*hXYTJB3$v|NSuoq^!kYGA0B&e z`R%LDzinD~STjC8vVkA{s{9s&U=f!{@6Xptp0O!Z;ioUo($EyQ$F9pA8EAvL?QA`W zBHAej-~_1be~*azw@RhvEhx9dT?iuqAp}lV<1}ZX;fn9)N?~!<_a}FV@A!K`0d+{* znJeuxw#E3vAmSHb%b?a|UTW5N8r!-lywryuU8Ks>X>Bz4c(|F*sIE9l%kQ(Rga~ZD zmVe8T-s_{z2$8SoBO=g8u2grK-LYk|=b&BkdBz?IBiA#M4OIWt2jQXN+)rnx(hY<4 zl|ikxH(2LtD=KxeeNXsXe1*q1(O$An(UD58*J1Z6y0T7oAz>E-*09z@w2u+P+b1I(7q6Im>OW`PvJ zuGf&-^xAN-L}u5VnMSrQ7e!+Aw)&~fvvqLk)Jy`uKQ@Rz0h-BoC@+1wjjLs{Tjirg zw9K{HgmC5#6dLqL8DR~dI|MS=O37QwgsX*lCI7mOPDZiQt9Ju0Y`gQf(<|eOfNrC% zmW9D$+@nJ-w_f)iHSAR^52;4BW%?{S#?J4{0hMu5gW0uVvACVk} z7`DHY+s>WN7R1bH4n9I?PHb?SRj$ub6cb>Ey>}PVjqi0=cZL>92pVs84x2#B8*`_d z`8d7X51o^PUEQr1^r6H>R2i=)ew}%l8hXE;3GxM3`=~Mw`}=N5 z%tPx5)+wcIy$E}wnFdc6LBRQH)wR?S^vVePa>4xikr`b3NB1H2H$Zlc&mu+9Hqo+? zI_aoi^*d^!e#7V2I-`JzLo@?@SL^9UpoLv12$k535{@BVxj-Sy*;&WYDgyOuY^!wp=9wHwjyqD)ZhvV!b^HUy?|To!!6A zBJ5-@J>OaLy?XaI#jMz9m8Gh%Y~ZJu&QSC-w~-AOTwJ~)+lL;o+$?2W(d_)LGHiEm zKkcu-DWoY{$A2zVHpBVH|Ex$pqiF7p(9^vplzL@O&x1>An*T{ZwkT6}!C-byR-|*2 zH}UMT?V~2)eRd*QD?5sUGcwqfZIsqz0MJFzx)K+5#0Q4 zHvV_kUN@)OH|l85-ACUF7uZ{Odd@txXZ32Q;)~N$zQ^G^3C9IIOB5XVefY@Uy5f8I z@yzvSjUtJP7yr#fZijphx|R;bt=F8M}Lx`Wa2)%uKaJ)${Qb$nm)Fg|(ny zsxNSGuYO@4lXD@U%f-Rpy*k15iFbZf{q~aoH@DS04Ze6Jww1TTw?%vUNx}E4dR)QR zu7B+dU>=iO=XG`>6&Lm(@b~klwR^Tw0{O2r-)7%QHt)(0uGh%>@s}IHA0Sf;h+<*tu)0dip2>27PNtP^ z5|JA`ifxiVfQ(oatG&r7Gk*hl$x(_jBotR!J2*vYP$ZJy0FkQiU(_06cFj=CYz{8b z?G-Rp(z9^&AyoW$t8Tm`Gf8$cU999%f8rz^F&o&0LU$2qH1i=^Xi)^SGIoR6q_~cw zDi6+bOEXz0E@WD$-o=~9*mE;22vaZ=QEqCG$K(?kv6No?otj<{RQ{jh$t1CJ3gIHv zvTuH|AW1A9u>imdu_$Two<~;xce`@XY;`oVyglh$ZfOK!xmD)q?3*N0@;(icNfKzE zfm~)Bt5`yYZCA09F%`14wMuj(v%Gowxc03y4jI_2@nbpJppv+BjOws(GD>{W2g#98 zY5@!k(ilbm1Lr~1aFlZs?Y@GRXoRIJ#F2PH_j~Kix81GFrX*$bm^3;Lb(MhQu(91> zqHojP%w`ziGw&XsV?S)7+oc1?VM63(D_7hZGl9SMe8&gsu5j3t<9jG_S7O2@Ivv3Y z)4P=>|In1PP|<=9*Rfu$^&2gzohO|k;LeftqVzQ>t9^1tswuY6UNNkFB-*eklm|A%*m)wX>*vjJ(F=qZklWhH~FDi4kOj3yl))laN3= zp2j9MrR^hb5H4puDf+>6H7rY#CA~}_79)D1f%dQ1pe@Z1=DF^}>1)v$teUgWXGkDn{NE$0cL~r^I?Y-_PF(Bk z$CY-9W&@@z@^4~y)ix-VlU)G+gkLZyEyc9W`n_bD@#j#cl;#0uSEKJBSo%dt4KduR zOJhqWB8xY#kl?(rS;m=|Dh&R>=cie7y1q0b?TH)RHMg&e<*%pHQ$H=65)MREn#mKl zv^KN1NEjwwljDptBBNf(#$ZB4c@G=sRZ_ME9S`;!3aC%OWxbc5xg*5bc-S9u09Rya z)|ALJQCNqNhLRI>5;KRESZF0xJowxZs{J8Rru(QXKC=j-Tj1ZlblnkV4~CgT@)T(H zLT1l*Gyqo&cU8wwjcQkS-3W})-~Ki*q3=@y97)%utvfl1(BSA}p*v;#`wia1F5W|L zFb9se2HwPXk5P?=3nYiko|Nb?;JzLc61b@^8lu_U$I3#aI}y*WG$2?iH;YzwO?=8H z1VD@`U{z+p91MsVF+MQ(XumWJPq`QZh>#(Jo9N znz8jQ#M9;%Vs?tVhrC8y%+NCI85ZxMY{2Yy@Av`kP+{GPcmYHoGk$#*p&d zqx^2i>KO}5Vvl%=YomUqYYlQJ{L84Mi>LE*>2m#YwTUsM*)mV?{5rbQO`h?wG(IUUqI~cJ1>@HI2f9>MHnHc&+zE%o$HMp-qu7Y~e#}itVh_ z-TF>2`!Si{9{K?-$iUCZ@xzrOivKLCJ^A4c3(+d;cXDz~cxyCoMr9b|4a%B4E;J>; zUTa`AkkJ-&YW9gVPzJBMakK`>yE80P#$0p8-~elV%MbFxzY`1GsATQi)TN>g~Q~YWZjg21d0$QVQ@r4gFuk}kSTx65}&P+W*E}MdO1+izBVZCVwI>C-tkX}6mH`P?&2ssMpbwZM|cmh z0$M+v31+}>F{iqs2u};IiY;k>n}npIgt!$HqEW0+uQ1`KX&{Cmy=me*;sUfrMdXQ~ z#B;QZc@?X9#B)||JM||-PjM)3Q*t@u$6Qb|X&<_Fpdko$~2Iv5Pm)dy^JeHP@3^RnUQ1eHb zfLY&A8r}&Oj~GJD&%8fV(zc`BPIuRUz7?enXO7-HsWFi$s#gKVH4$Xd*tuY26=1&_&NGZ({TS4^VEwgfk{B% zSxjM+&zXPPU^ zAWhM*#|(9kGFEm~g7Qc8_sZmM<*xR5rr#;t7`AuIb&_Sha4WG9m$k@>O*>^ zhdd;ouH3gJi zVnMKkw0Q`myZ(2-NVgoK*Q3?@@Yo%VTb0}*>3+ivA{@!pU`+ZHRn{HQqh0ZNMwEcL3FMS933qY=wK&&zkyQa=sBViGZ}>;B z(iU~BD!Awr$YI;qS#W=~f20h31UiHv*1l^XNbMPft;vLTp`CO%hN@1$e4(i?Oh+84 z6-#Lx#q<#~V0yvn64`FUIQ*WdGe7r99uL`%g{hEovnA^1d~Ke!@lKS_o6{E5D_&T~ zD-cq}#|taZ$vj(i3MBfL`s(`TPbCe~GV|Ewn~~TK6eQxmV#tv{SJU3E%KC`nb!E)4 z&h?Q3mz9_+g++D}(Zj;pbfu)=##B~p);ev?ypW%U`*xfB9UCel`}$am`!2>^4l>;) zZPxs4<%_Q1e;f3{`J6X!mQh21~UD&7t~EZ8-j;Sg%aNYY5*^^Ls{s zM^GrQ5MtQ8VK(Pim>6$)#aZJG`DbweXU+uggh2vlItG^ERBQ5;9qPkvpdytr(2+TMKIAx4*#w08h?ja5d-;oMXjuf#(QU0TVa!1VY7(BZXCr8eD8OzJdNYCxbE4Q4d|W;MP6wS z^aK`#hR3hJ&%Lu9oLbpZgKD;8;+P`G>cOYWzQaW1>gBdDbII!$)%hD*gK#<%2*H|G z*;`$SVx5tQY5tnvUE+18ngwF^Q8j9`?KrTHuN`Qx@7foCGzVR1_up)SW|hJE;Mc-1 z5jQ7^ePYGDC~*w`&4fbt!M_pQ^?I>(kQWXSP>3#*Eg>GGtjWR^e{=_j1HXdeJHqPB zl)97W)(J+De@BBGNxr+Ghvg^tvrkK?9CK|+BTfg}KlE;1`>#z!I)y@J@<1On59rbE+o3xEh%iq&Q$xLiLpMi6 zu1|;nw{gD2VLJ8{%5^vn$dR1sVm1_Dg=;VMvfCppZSkRAS)m_TfLH4PP4xMwyW0i* zvKjaMuVL6HEr!LDPDS5~;5Qx~>A{MBe|W}QKj?`G3^xxUs44Vg@Hlw12I}sVMWcEL|@Ain^$-iSMeV#*`a!ss1jM4A3f@=k+|78iUy5)QMBeIgN+LPauz=Ea- zgit>!TMm82tiM2?un+h)gb~z+5g-SUy7I00CWZ12FF$Zbx(A4T{4C=!;v6F+0W%~4 zLnHxHB!z~s+`6#bhA;!ffVyiI+Qe@|q#hHbnfn0VAG6Y5>?1<#BSY+x2&}Ln(qe69 zi;W?lK3INxuBo+4l|YJrgXt>_8{Os(#9CtIqJu|&XG@C4%Oi3*8F(@v=K`jSC%t52rN`XYKP5O}WX~qfZY>NKXIkrZ zMU~cL^EpF!GN%R#KOx4L=NQuo^Xs1W2<2`ePBMU=i&l^*+cM};n4#04g{jQwvA;K6gcl|K{S zXa>k_ihQ1Hg5aC^FJF#)PbNYW23XWJn1suqsZk3p9MmFR^;;?Oz{L9}X!sPg?Cb9k zyWVxZcvkMY&$xfT*iBfjTF#cMURK!S;_dgNZLA%;4-V+JrD3e0S>fon+YKY*2Ma%{ zdB~fmE?2t^MJ6Z~GXu?Et~NY)ha?vWN#xn*PhYqRem1CKFuwjCtv-U<5kx2Q% zD{k+q_+iA-hdW@fq8G%g3Ciy9m>j-$SLK|vDbTC=(BsOP2BYXP6elldu91bov&I

U_Z3%0Mdo z7fsl=or^ro%kpb!cu4m-v2)dtZIpoVV)2B9HpxYP4XnpXsipUULWQR#a$;E^#E;ES zP#u16Pe$wADS2)f9A9(shMc?r88!$Sae`&*-|LPyt0`UA>t1F2X1vT3TUby^`Fxg3 zAJtBt_g59KHD*?fipjOr{WZmwth;*^gya1!moD3CXKY`>2X76Nqf{$xn3s*ha(#!< z-|EnkhvR4C$_Ue~kx{P&2Dgxb-I{X%>+@_Fm>uM>K!&4@gz`TrX9&qb;Xs{h(2K!LD+%_v6 zhs5G(I)&AlM5`gPFG3+!hs_dkRbmsB1pyszS0N|UJRFXB3p|^KNNUm0SFO#eJM;7 zbssgHMZIyphQm!Qd!PgvyOYYgeLTBru`#!sA6D5?HbPmo9A&A|(^))I?83-d_R=#> zc}9HlBh?o{a5Nm0l5i@IYcicm;VDBzgALZs3K10gX*N)2r$46e4uS5(B2r|E3bQ14 zRiv?rXT@8%W{?k08GoVx5dF1pm|?)hdUB%wn35sNJSz;=9$9#>eiG(h0O< zL>(P&gf(@eyWWa{9*>lb8o(F9Oa|mu7`YlrT!OfzwWj+`+*O=xJJP@nJdu{4+U97)2Rt(GmrS=MeWp)wsu=R+ zT{Z(u>5#~442>-Yp6{8x8p%inmTYXDgHJfZ9l?_(GaBbq9SWRcxobWaq9k3SLioE~ z!SK?&Ms78RoTO`VENsvew*=S-Y3jz{ueH#9(t@8^T`FuzX)lzDe0%!o97_Pafa_P? zf})DHsEgL2B(}lJtQ{ucxRC3%d)>;uHvyHZoGgk*;X#-w;JD?*z-}Z#wP6)CQnM>z z*gU=KQ5Pu$hm!M_CF0@mTw;S?EFVh()Dt@=F;?_=9s_b!Qc3JlOCh`bT4G#k>sM5O zh*8-%66tf5ITsz^UXpOVWS=c&=a=e^AWL>=PM z#R;7bMJ-IV1Gt4L$6{}knD%qP{Dm0`Bij8faqiN`qrgDRNy)DcRTc+~_>-X_yo&f_ z+kprD%At4R_ld=%xRQjJ3L=nt@M^3i$Wp?0EAW?!1Yc02mu#%Rk=se1ShsmYjL*Wl zz|rZm6sFi1SgGHXrN`W=RJ z7bQ)}8#D>xh{OJ)z{ew+*I4@oQ8!2g7#~nPkKc4v?A0>;FR^tVW!9)#>5wN|sz1&w zjPu`{Gv0bnjW(^C3`xbx#}pw;jbSaAfYBMUCulSH~ z?-qzJryUHE=(4G0D)@bVb&3v`{fxUne)u}d-=7F3fG zR;p}E;x1bd6w#WIp|WG&rPtF{QPdFaj_G}!n`#7U&4RBaYe08`Q{)TzW$ir z{r!{7PW`%Bpfr8KtrD(`#cFX?UzDYHGi3Ei{RuF8Y`mm^m3fir&-+aE@rTPZv=@YY zs(!gl-RJIwH@x%HKi_`$|K9SMhTluWV=TW_+%0aKUlIJy`wY|H5bL&}?1spFXO@3< zRh)HKvV7hI+qrH+pQI-~vIypzFlVi-r4+-!XbxL>SHdx4a%x+lLRPut+@WhvRhkf!Bt}#4f zb|@2_;Kr^{s$lHU5M%sC;g-O`cMOJ&VSn4X76vHnc3@zbY`|y{$T8uG^`0C{;R(~2 zl3MiQuPc;?PyeA1UVi?8z;%TMGA{ha%={BV_v@MpEOF=S5Uf%t`>}(K{qR@AO-)zd mb4HrUu9)H|=DN4up=0^_bdgD>J(G7c%5eT_ZUlMi|9=3<@L5R! diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index 6ba5306b033c012fe31d01104cefbc68645214b5..ddccba4949c6c79e462de0bbd5ba06c2931e2736 100755 GIT binary patch literal 13291 zcmZ{qWl$Z#wzdfn+}$;}JHcV&?h@RByL)h#5Zs;M?(VJ|ciF+++1SUq=g(Jl>(0zG zZ;kZo>D4{cHLDvP0s^86@yqAl<#QGLR84bd7b^#QGByroR%SLbF*hq)GctA#b~09O z7B+Skc2+hHGBtB&cPmqKGG${^8!|RBHCZw(a}zQNGb`86l)~eXdVV?cLrf!<$abKqMF<)PB=NvP!fC;|9Q+QSFv*_G`X+TS^qnJe^n^D(y*JG) zQJx)*1Y>!`z)|J8X}jfO^M3v9Ve7@OU1-@&UnLR&p>^49adQ!I8C$}O{x9t~W!s0L zhL*?uaWz;gH(iE0pW#?-QKJXE{GqYK+d#X6{5}-ge)xU%$ zX;RX%_pzZ3LMeXnOy{#ZfEpr*<%EpwQn1^Z0|p0{0wAFijUljcZ7fOKSE9CyTbwt4RL zYg0JX`H3sK#i`i($U^)yhiSvBNQoUc%grA@q~_a28InqtDNV-=RA&27Zmq+o9k%%u z+=q+xSQQnNIM+?jq#KfasB1C)UM+?Zu|I`77Q4@y#((;$OHhsE8O8Dg#h^lA5RkD5 zXgQTF^JYcz0|EL_6*yHtu!!C%FkE~GB>wOZS7)rS(=Wr+wh|eczZ$nAvc!qO&RCH0 zE=UE6b^k8||2-iBWtbn(x4WV)$pEcZs7sB*bv`$6(O}c8=)nH4am@GwP5QAH zsFW<^`Abyx+4_+n@vZwrdZz|$DD(NCWu+yc)6`{^agdJ`*W~4rCyS6^fFr4X;BjwJ zj@-2UljyCScdu(qB0p~lf9=<#uFyHzyiV%c8j@OBe`zk<;+E>lB)Zr0l}*IKUn4sI z>hl=p4IhN44h7aaVM+lA<-wJ(5)8-Y z&2*A=Mse|9XJ_Nv5v0VtR+rB{R@#lAYrqJ?0bq_7mMtgSgBcL%TlX57KjcmrU+=AZ{-Poi@xIZ; zYn{(5y_d&_EIr=!^uOQQd|+4m7?%022<-+aym9MO6W#yNx3EkusUX2AO}4edPa0jV z?=Wkfs1vG7aAo?Dq@STlPXn+(>6nr0@=EA4U)MB8yUkDaO6byf)_Xgm&Tw4?uT*>C z`RF~ktmZFzGq38KN>8-spc>L!Cc@zKdM*_Z>vc2891zt6FGKg?Xt1r2nfg&Hg>)*V z%mgLyU3q85+f*kM9CW+`9Hhp)MIFmy?$ZT~@2S5rb|~m10pse-899lU69iEVLJBK2 z3~fK3HOCBC(2(-%dL-}mHM*LZ&n8)^^bAGlk=N5{-gV)R1djgYWxZw&dbKttv<00c z)N@t&k^Il`ZlC2twp5vTufGf<;z8xwxx^W6ySp7All-CO-(4cUqCbq{yu8BqNgRaM z*0(wLxk#;~7ky_t4bp0mwl61d;2R|*)Kie)PZl0L>$P+8b55)jEa|ZyxzL9&j-Mt| zn3hk3tkJL}e^49B_h1Or+qrt1SX%%YVe#7LkRx+Lkcnli)<}8Szc&H)GM9?J*1{3Q zcR}>>_=z~0*`B7@-LZ)-(uyZFEyOEZ$3%*h&mGrIW%lORT_QOKl0t75K*lR6nnxDq zvEjY>xO$Ih5ORb%NSazX4osq`+K*!u;4?7gdo6_;+kQFR@$&WdJ&nPgNpRfI6>}71 z6Kpr_mlF59Um`XYQg#%bjVx0k<-AC1QE=#T_D(7Rb(Vk0-D;a!W*@=uoj9r<8$-VUVK;>lU=PPZ6U2P9wirkLIt!$hbak*|sNx|sX=5>Upsb7m7VsuId*DKC9@ z&9l5f1s9#zQ&a}eMnaqF{&XJ#>=hXOZinoNu8krG$I`3*OyZ8LB*=%%fsH=kyoLb?yrw=*MX|>PYa|LGn^{>CasvXU$!8!K?cy zy1N2XS_Zf+1*y|4Or0mFdCv`OXb1-Fw4ervWQntC+d%$q4`3Up1 z(v-9Q&fN{Bf~<0-^yk;?BQjSpLK535hx%|6#0}}o*c0WjCv;@>yvkF+Wmhc2+7=o# zOR*URT$XX|qA31x)IVsLSaCG4yjmFfi6C^hQx-MAbV-KxVfTpd>RZg;#N0D#RtBKs zmE>gVIg+uqy(CLReQxN*c<9As=*4KL{Y)tHq1eFtDwx|1gKuJ7UGYl+t$p}C-0wpHR;U7 z9rP5Iin#XgM%#beARm6g=N(=@N5lnwu_7qj9Jht+;97-tuc(7RTQbI6sp_S!)%8&@ z>NvTg!FD|iF8}wnVspx!;POBq)`KH=c>S4YBq-veK43ZJCgrW8x&Ot(F4V)vRCcR= zogC=dqEvGI{akn2%5bKRzd1G6uMj>t*Nd_>*XZ?zX9esWjHoX%_5+Eb^^oAsxPOZe8?^*x^xEHY2A&W1$YyW@_` z6Hkt<+|p6;U^B?-*0l$bNz4Mhjz0#m9y$rJ9v+HR>(~2v5MGZ|+m&ke>wLfgX2t() z3SzzHoElF*lTo{QogeYs0-&%y6G3opArbq)Q7E~79w9se6)y>qfpagg`J?chPZah~ z$gz}xg|AGw5x+T*n|wuS^^@gK7RgttkCAfTeB=xFS~-6zKU}hjul9_f@k+&iS(^!) zPldDNp?J5?>2`+{Y;9iR-u#)_-*>8dwy^1RAKAy3b%p~#7}}ngIogZP#)M9$OdOJKir)#U1;uc9vSu8&IYTC_MEXMh2o{#(nTdgVWGN&#06iNS%i10i@2-;Z$~E#Qme>}xt~D=eecq- z{n!XH+w7N9zhj8&GVhjUeUb>M%s-w~u-CGRdz;@QFu6$&WAC^4aw%+?_sm|Kn1d6` z%_F4R+-nda&Q^IECMGNZl`R7pn*su!$PMJE(|rn$Z2KnvhB(@5+qJ#=0GgeW+(sSi z9i7#6y?fpL=Jre!wVsZ1#!3@bzJK%`UofV) zRxvT_58Q8adbaxyGs~DNdBa6_UJ$*kNY9>xX*PE*Yq%aCnTiPS*&7z(TA#iCW(IFI zfBit*&-k%IZWWF?p9aWu8+>zhxN_xvg5iB8CkE@u&%#5pL&W!s@q1|u;MU!g6hzhOJ*MnCV0jzC8{PYwuxdo@;DPq1#rL;ILnN$o@eDRr7 zHy!ZCf=(qey?-$x3S&6X9~j_q9y2=e|BCpI*oJS7Mg_5ckcB^`$&mFcixEN6;Nr0} za(Yc0_IBb|GC>RTj_uG`4F|Bv`P;NRr+S&>L0&8D%Wsh#x#VL;3{_M@W3axAO~hW% zp4Bq_6jtbsA;fn(ER*{KfVjp-71It`5EU>^*^zVL`?duA)lZ9qIsvZ$89swqQu}E^ zwAxpaCO&fkBSlUb=s8H?l=zEE(J{3mSXxgT1Ms6@dPc#08rVeVuIC)nu>ra%>m9E} zk=bU`RDm+y7;jsX`8%#nfFvGts&#}zr|4{l#dww&Qg`tC)Bw2F8o4BT1a0yq)|v&K zr4X~6x@i%)B5&eO|eLl}0C-7J*+IAxPB)8v})Pm4nu%@w_Z0UX~Pa&*J7czDq* z6->Bz%}2frSWN%(7QqH+4kdlsrDH6k$26*8dNnoSw>bDhSNU^-KN-L6Pvm>pPtyVl zxxOpO-+5P2D=QE%%vqh+ipv!66Q248E;NOW&-7S-`8SK7Y}6Pd%bFf7YpEVDxAU$d zqmA~Ws~*GygI?t5K2)d;`{`C)zEzONn@r>W_ZnHG;K;HIjNmNknDO6+17})_HF-+a z%v}V{#qkf!T^S}$^v8(w{Vr8Xznc{c@#qKV03%LG;V9SPt|FPY=-o2nBgkOV{M)Uo z*9xqF*y-Ibo=>6vA8^EIK@{l$D8&wWoJ45Tf$XDD_;;3hGj2KVELnwdLXJYqu){ps zkal|_1g~iVoGs6*%EMY17s7P0tFU&Y3ENWLf97XLH2JpVy#97%&uix{?z3b!6J5Yt z1zileZb&BevVbjCX@LR&lp}|*@nz-LuTdVrYqa8;BD`s-x$gM+YbM$4P)vlsE<8gS ziVW8;WkRmd-rc)0{lX$fjUJpscuO-SP%Qz6sxdDP8wsW%QuxCM(X{W}LkS76jjDH8al*#U+08`0Sn z61IRG#2O3v2MmO*J45lD)O*N09-J}i9pYdDW&_h5zI?`(RN5(RcaF(Im1;(TUp5v1 zM?gw)vy=|?0bnRDR2#7y&_U-1Kh&ZU^GZltb=sXdeXKqkNCv6_&sh;uYL&WWrNjDH zuks-`ja^YLhMk%6q2^l7T3sQ(>=W?;t*$(2VKBH7{qo0PKeR0cuwVbb08g+s6yz=b zq#Nz11gGE#KnP_c+=wKcbDY&foZyyjGBshiGdDfcC-^qh{M z8ihvTogO%VjHnbv-qv#@Q5M;-+>=df(PfoH+61ka@z!Zp_v;Qp1A0AM*@X_?2c8 zYPzqg5ySVhz!!MNn~#L)$7S?LS@K9}n=+T+ck-POIEJFj zLP#ehu-Jg@b~MOYw{e4u6c>#WG9`r{l-ZW3?tN7OwLreRLg~;?r`9VQDa=9U5aChS z{Tz-0f+$InVu4H-hr>V6!gv-J`3F4Zp%xl1<*}&0xpq&Y3b4}@+VZxZPTin!6$#FA zjig%xI~0r&7a<%~>2m0Dl(~NZb%=_TJ&RlLmC))$QF+yA-lDU3>Wu$Jn{}sPp@$_09zX_YJp2jX@ z;9<_yZqB#aAD@1xj*LrAA}YnbRoC_z7!eZF0R2OmDBdSzRy7@;uS4!gxmc_BlBsvD zPgM6Ipx9S93=Ic=dc}rC7q7lTL29*=_Ss*OVgDgh~M zoUlwHz=<4WqvkhZ&OiEHm()%pMf0@nwOb=YqRaKe<(YL^SkJAE-Q2J-;m#?STfcjk zUhQGzt)*g$Qz>CcLMzr!PR&(ihMU>hyx~^Ec1n7DbQRF#q5V^E{RuInnmrYX*{}U% zb)2_ncbq&rnudzzBGAs;kHSSh2lb`Ha_tW4`5j=upCCK2lej4X)ZixNOeQ8-_u=TG zg%a&I+DPr2e;!XldOoG&H(Pd@b4{juK1eC45Hv45d(bmy&ZtTX3=W|A7(|EeF%UPP zHyUM)F!S=xl1&j#K8YT4enzOC9i+1_`1q#wA+3)6>5Mv(JBJmKZ?0d4Bz4@k)xh-Q z2-z~oc<=Sr4lcsKQfUMFnn&AiIhM8kjK(h}la#KVoyr5mSYX3u&ip$PJe^`$n1%H3 z4+?FCJe}qk$8TOPd{_L0Ea}(BVRay(Wbk6@u;a%L>|e7`@v#tth^XM*=m0nK*$ zzU|=PQ=u7+1M8Yab}b*ovPzBTA5|?0X2MvWR*NVv9XWE#)k5d=>)Y&C=VO9Mkio{E z)&xGZM_N1_fgrmVOA!o&D)}hfETLpW()djpIw5_b8e5<3tnrbWd(b29GM_<(xhzYm z#d!62{UK(#ynSTd5uZ=@;QDUJq)(IPORl%z_nvC~d-c`$Ic(MX3V-$Sq`*tl$Di}+ ze5X!N_e^o#pW(q0Sad0=1>;lfV^Q!DA_((vPzd@*RRSINB_4oM;e{|$te#RBNrv@IoHHdQDnL-I0rq5yf(d6RQ%9TAUx(IrNv^R0KK66tzjw^ek3xtq$>OpNJ>l* zvIL6|!kkQ#K^s52H(|{*FYT+%{U~y0BCT`TM^J=DTh>D|2Rf!1o1|~C0zoH0PdjO7E5>%g|g6*BUwL*UDHhUfLBwGQgpaxRd4$(T z+Yax#JbQwu>NOseajmby_8yc%-k16wrRV|Hj@~nC0UvZL@gWm9AJ5S{QWLhZ=_oFE ze*YNqLD;;7%9i@b-&g53J`iL#vl+35J%xVU1AS!`^$!kW!90LK=^xc!{U)R3ntDfqsuG>`a)1kFf3$93Q49`K`+utzT<;et15{vY*pxz6 z5#Ej9lTvJl#9x)xV$&sy%G2<{h~wxg{u+UX;N)n0YSYUZ;X|d^6uQ_Z$BzDO@8W8a zve5LTnhBQDG`=^ah_t=!7uP@fM-IE=XjXz$8~&55-``Ab)o-ws(<41CI4J(1ly!eF zQ`w%if@dfs_eya(RKpT;-`Jj?yjKWCbVHCwX(`GzBL1f_eGQ)_fqoYsEsCK~Y!XUf zFjINLB)_uobw%R~XZHZ5x?lTuF31t(t(umWucH?%cjt^CSWh zf?*9KOEmA4N*5?BH14t2Bny$_pON1{Uh985b1QuVbPlP(QYnB)U_Y}n94*+NtcapN z7obY|df$A6Jaf2pg;59#N9o5pjf4|LGc&XaA7EiDPlS5JTe8f&Bd|)siR^)+}I zc7|?y{Qa7(>&#@CZiX&?hie$PgWfs(WP`O$=ox!$FzV8d0|OsC3qSb%0L6j*1fDWG zeE7X?LvJOeRE4Yv6LggakTLa>M73<_Ua2VhPo<`iUsf8s)Z0+Ym_T{da8hzeAH}q{vxyp4WRj zj+Jin+fO8$PX87to;?N&heKtvqlKRsp>R-YvszsynqM^H?r|NcjblxZ>KB8lNA-C8 z@Qp>PHVYQC!UGr_?h*zPV{*=7i@4ck7a^P zLZAEV(``z6&?sQQQ+gbmO3-+5&FIkMWk{&VX&O^^?m3hEaAF@&{4AHC$SjFY_A};P za*Nvp7thf)XeY$GpBSkkbS{51Tvx;+86%iWJvmTU`vLA(3E7`l8>4BQq2xez0>3kL zC{|*|kIwN)oEhD;TLwo$ySm#(5GY8(ZsCL8>%3ceRy7_6d5Fys@fCvi{t1ATJV)0* zH~2jqFi_xkhu7%Gn7z~>djJC=I#f`=lq6op8;@9?@UfOu;rL_dqwh9(?{(6;u<~Wa zT}nGF7{;b6IX}aX$UDfIwfo+Ngn@ywF=G{2758RvKU0hSM)KkK#-C!{B5n;WH z$Q+w58=zFYWh$K^ZLk;VgS?CPSb+wO>JGyYD`}_SwD5PyCK*I)$=MY(yON@IOtROv z*(Uu6BG;di@OERq%MggEoRVlyL3~@&JCbwB_ko}Clq406qt;yp+W;t=-tz+`TXx|i z&4iWgeuXc1Ne71`p+jFbDf1BHkq{7((BCiEoX%b^Ex?AoRQXw_(R9U;UF4eyk~_y@ z)=cQ`-gIIcvCwtUmT$B_9TECeek?HQ)dvTJDo0N6eVX=k%WDq*@cU|Rc4Nhyre_5hI*KD z-wfCIijVpt_r-G0iWs(ritG%OhNmxu)`DJ5Bi?;{fo$9m7dfx%jM?>@rad#fGnwo) z>()*n-veEITA&e`zN_nC34cIO^vc4kw*@WjeVyhSRh16-Lb6AWdYd5SIa(+HVk5b6 zA-VY`y5Zj*PXmb%a}Y-X2e#C;LO>)+-?i+&QoP$sNVG{lr6Ng7#RxM7<9#+v)bBar zSz>500{7O%ElZ9u0;M;Niu>fHw+S4c(vjg=V}R*Bayie@RRItYi8C{*7ZvLF`0y+x zH1MUiiT)I(&H!Ku9i(SXV>+(+!;tWY?hnH%y&nWc_qB-On5V}-KQ?5=k9vYvvl&go zBsRq0O8C3OscsB#oEWwzUpz-&yhg)4M-6WidUnY_qQhSuf{cvLD;^fYrp~KN(u23J zLj2`EvvLeA^`z*bgwqs0GHCd5*}@|Bn&Y_BzM*-dThUXJx`Rifgc((>{4fFLfX@3s z$;mA?8NSakjXa}*W_GNgQ%=HvK!-_$3Qm9*qjuU4)&)iC%JW+DY1`Xfvnt7kW5&Ig zk|g~)W_=Z1N%LEM_$u%l_ebQ>;vOYjp8`1kuD5d(%B2zZVCd6vo<;^If!~LrTo{dg zeUEsRHm-A8Q@!>S$%x)Oyyhp$i0o}1zxgtSk~>qNe*bjfBtVfI4u;~C@39Q}7w-eZ zIerLlJlZi=m27}jYY&_Dd39B_hL3FQ8o@}f&R)782WY5zP3uN{`jGr1He3(4e%@ST z1DU*FAj%Ulx;5Pb#7%NTMRH?K;>?e_IsoVvL-V+NqE`ah2!K#XoM}*>`9wE1{DWzn zc|;vEv6uu@`8YNPfM6|>8^55PLM?vo_;@|82J*SYh#7wo)|kdZ4*r%B$6=^hnkO8k z0){oj2=z8UtL5)bh+_+5LV0R%pryyMkYBEEP{oT)Th{flPiLG#==;@9V4~(K7cYt$ z5P1y+94|?`Z|#y@E|0-zeFLX>qweE}-XO&qT;Gv7z8pw1XY@r(I`fVE%n%LQkaMIP z#ybe8U-O{x5>DSm*(`Mw)NB02I@4$PgDlJ@U=9+!J)P_cLv#b>`JZjb+@!=Ek-^r8 zx`9^tqRCoP`!^v>SL~l8ZvI?bS993&dTNHEO7^DoNf_6wUk3@_Mt;_~IWZS^6RE8! zp67__GzHEZnOoWwilchS-O;u#@oZ=j5S*#BMXn8xaW4LRJ(eiy!BxY4TS)_-Cy0{& z-Vm|CKQX`#9;QuS%*(%YLKGiVuP*o&28z^QZ&th{!k6lBVzpFIwbyiE<4r5zwAAO~ zu6O%+*o<%MGLE!PmzwV;D0)?kfO@cehf-O9Oci@FizY~FYnE6vseAM*R!f6GgYckG z{g=dxWU}Tb*etrL< z1d!U66%$UQtp; z4yxuW1?R73N;K%y(&(2KMFl+EZ{zGR{Zt|SwB2#;JD)|vCm)f$V8B$z3v+>sMt9m= z%h~#UGoDP`E;0-=Crm*`1ELBst^^YVnQ3bbPVEtX!H>X0Q-AuYi4MKt-n7cE;UQhJ z>2J6)aHIO!w?*k@$FhQS0C0*;Tq)x=I)lX_Mw7q^jN|>tyW4~>B;NLC6aXm*m?e?5YLpyn7x%1pJ6HzO*iT~eTtsGG4eHDAZj=I}vh*V=1R@nM6x|`c z!I`yLPB%YMC#g}9x}yIqri*VZG~0%-nc1VFXM<;W2a^XDTqBO8&+nIJi5X33*2m=| zwC%Gu^`|JR$)SIeX-ay8ejA_QJe|63B9ELtfb{d%m+tRg@)nG44V37lA|&U;6?HV_ zrhtKNsg3YxhK{`ooLi-PZLjePt@fwv{ zbr%}Od@lNG67fohIJooBfD@6Q9MC9&M4!7){(&V%Kc{vvLzclt=F7`9Q0nodAV*#Of%bZ{-lC&3q zJCVCLSqSI01-^-}bOMqZfu!fC*q}0S0D5=u{kzfuE{PcljXX>b3`ftm5IuWW`%KUI z!m8~CyK_qMC1vy4TgZ0hHhiHVG^F36B+mG#&zz{wNT|<5q8pHJm`b2q0Z^{js2y}) zVd#BRPJR>R68sRZm#iTL++m;LL+{2#;OaobEjB8`-Ovezh& z=cv8_$ezR*74?}~biJc{1+gK_y7hjh2j^Sn(k-ymH1#=5>|SRbJj4);tL`Kw=%@`5Qrd%sjC*W2 z*Dg5Mh&b20(VjsPuZa?`Q4+5iXfbZNW|6O960a!|0z(`({3)YA#yxzTYsP5LIJB5) z=6rKukLAv!b}T-xHd8CzGPX3|X46-6F2$G=jWtM0fW_VD>?B`Zma<88Ax1b+M8N-7)U`Af1%R)YqYTipeuL(zOf-A0fM z=KF01lehAB7yT&Tpx!k2qJ!Ze-^5XT+GK#L05*|g&Yy+d71jn=Txnq3_nc$Nf+#`! z>})%R_V$$2R5w>f-L0m^&X{+%Wd76|TZR@UIcY!dQFH^b)3WLcH!UemEwFW0s-bk% zSV!O80cKE;gDnys9#Q%IqJdH}%n7Bl7v6o|deVXNSAs${K|8X%{@?{>=zq@;3A8O%WM!$<5$M|vJ#$cHvPc|pUhjPKP+#gsyz!U^LuT} z1GvcGiir1|t1PUGs#_&xVsLr%Y~4Z2_xGZ?6y`#!SljS!X3%C%^(kQhuWc$dTO_yT zuhhf4xeVG5xsc*`Tp7Vi_8T|d7M1UQzoa)X=LKw3$XE?vIZ@OYSxHXQ-qY?cOv{eg zqWr+6h?oYLvzq0|dQwLPNqGEvjlL7jnEHmcftfyUGs%igmu7R6J#n@%nLtK)7Xw}z ztJQ7RKJK34afs;v*<&*{$}AD&-|G;M6L zG_blUQFRfLZv2;mBCw*8!W$clS^4=p_{|S@CmB@%M9BzW|KJ3PODl`PVZ=n{p_%#` z2Z;prs3(cT6JSLQ{)#6h@V^2CJ48kb%l|%lo}SD;x6fxXPV})j$hpk%**fIg6Jk?_ ztGiCEGMtxNm>>OyDf*)Ya&tUgl)j=QlM@IpV0iCB!m?z0vC?R7H?nW1amtrtJIw8W zfaCZ>+(z)bF;!U&D`!{!mr9>~E#2Ol>ylRi{zGf;1orwjBfgqn$MxN5*3HK&DfZ8P z(fmEXTm}@rSL9d}F*O(p;9^}>c91J+$bBu(oDMYIY2ovB;MQM34ZHeXYSV43>t`dV zLG-O`$N6-c#cZ2T2#_L)~n9MRn?If$!{5h@q$fW?Z^Ki_kiESO$B~3q6aD_5TmTZ3s%*ZuRfRIbkq%3_ z<-6Y~)igbw1j*^M{SM6y!B!s)X(lkD75yJ4S?GB$d>?}TKslZg06l!v*y2gQV8Q94Z`Rchxb;4@YBhtmwZ)ONf>5rJQPn#%E3a%t< z>HnGV&7E^q#pcfIX3W&U_?-9 zQvIuJZ+MR|& zd5!r8-TE;1Ff)D}K!JRe?tZRE%f8%LTS99pjy!iLCyW)X;9!;q06FD)UysB)Wz;^X6vg(t!KG z^D~NMf%vp!x{3sRKC^>?FrKAV2!(5cle#bzgmCavNK>k?Cu;qGXDAIT++^wPCTOnS zL${Wb9!6Dl`~nxpO+DATT2wB%^f0hu(s(;B41G!cN3kuuX#W#kFRtZ9GU_xzu zV^&QHQNaI9!m-za$)!(Q$Bs_4SeyYmtxh!J;&1N`Vs^@p;f_Dl=Cig74ZS^7TfU1; zLU(gc^MuH)%6fVSKok?rb&)ggDjOwg%eZ7e88d_WennFj6fHqm{G7pP#&Ajp`aU_n7Hqgyj`NJv-fUoY~8K?b-TsZHn!H*wrxK(wzlndYumPM+t%Ipz4>yJ??{ANx~s`R6O1E~RDzx-#lQU8~HaYX)K{*&QQ)w~&?wK}s?~Bkgc(TEC*Si-C&`-FC3S54By=1)ao2<1aqYu?30RHbd zgY!5p7V5%0JKM@!3lb8wBRNM)Tg@+Oj@jxD9mz61(`e1=>akyozO?!2b6UlN_v1z| zgGIC=zG2Fh7f(kKPNhy(f>5D+J%^$a{l4puz%MxQzf5=6`wN{y`+AAnf)T^X#vY9i z6rt#u9X=hDkyA+Q&d%;G(rh$10p>Mx(;YjWRrTmD&Q2U*N%gH^9){OHYEQK>Z-P>a zDCj>SaUUICe81VIORNYy;q3DWK<_9=Wqm`&@(k}kC@#sj1nAO>;&N18_E6oy=s+D)VGWegoscy^HuD4_GK+^v^`Tt$@1@Z0cJACATD6Kju8F!NxSYD{Q zMC5AO=rDMpHXux!$Yp_8^=3u$vy#8|X4jhG=CdpjbPY(Ps|xpZXioQ)fQSFt^zl!TF|Dha>X>tt`d>GRHH__%Ug^YVrd< zCtG|L|Ez{9%dgXD)P9&Dn!NSQ8+u&^dL0poQ)QJ*GK{9;!HB6PP3V9FVFrQQquaCD? zfMT+V7y zRh=3n{b%?kzF(IsA3ROlQEy9XWoLFU6jH`Vk4IwFhw?e$Lp3m!d#CXvoBv11WOIM| z?I%dc-|8j-cA0J}|2X~e1gXW?t>wtpfN&%^kqMm#_^X=Um!(6T~tAV}{p<*f2O-3Up|I$MN6gRs>dX@DT8&Q(LpaN)*WIKK0XOBt;-M{5!c98vQ7soLp zA;B2(MALb>lV+#ppgt!eqL_$ar^Tof;1EO#<)}Al!H*`zz0`#c-q)9TzFKL9P1dEb zHHa`c8wx-~o#SO?rl*=E$9$odcq+KsEG7PX7f(T62!bc=btzksffVFL;Y-p-AO8@@ z;#dh&S482AL9u+zER%P@Uh!+VYCcYIB!Cm{6igugGeaJiu=`tuEIDAL5;c<@S6B@} zPOm;w;DekxIWHnvk!zse0t1_GEEC*++$rYJ*ZX^JTg++W>WY@p2X zpMtH0thX2Zx`c!dv3hK(ZU0TkCk*c2;d(i%@;0}qyV0uX@IYC9sBV4EH;ad9`EvT{ zF53wF?+FqMrR)tgU%j{<#*))R(^{9SWOz!f98ZY&RlZVu`lF$2sOY5p{c(n~IP7lf zz73pZDa_HdN3i#C!^zOYWdGAVdv!mYqI8Ppo@cj2g@9Yz(fvoyU_+4X4#_~Me6t`1 z2_w1wVUew&lLJQZazS67CVF6i9ba(PT2pjORZNMJS0f*UD zzsPJ0z>X{6URFvMMnMd=B=ro|B0@Ne0FDZjuWP4xny1#Ar?i@$I zsQr4jUw6e>fkCBYO`%La353$$JrH~y?y$4pEh)W1r<_T4E-jBFDW`fZO3!D{ z8-_t`bb%LlBC_+;PQXTjmd8M&nE&|*y>J{|L2 zgwN>F6VT8YrBmxs0&krv%J|5LxbWrF>#nhXB+X>wpqF5V-~Uc?5IXX8?qqHON9O@o zCnGiG;ebz(u%vwKSW}C4ZFF$woU6gcUavl7Z|8K1-|KMiKs^gtV2}A< z?RglB);wqD99ENivFkS?# zOtS^)l~PoosQyk7Td<3!s2kN2V%y7>S5EnB6E4XANIDd(yb{Pu*sj`BY_g_pDP@dy zz?)TU%yNFWwlI^H)cUZzKd9q&ia~(@((8XUnR63XPo>X9>4% zzY**LI!5@?*l!SiT4sz1&=pIsbzepR*yh)4yYb=#k9J4!X6T?3rAZumRqzWE(Y+I` z4Wus%LKuVxRmh0#e|ccZ{q1-r7iC-929vkly^KBG2Y$IbDLvxq`jkIDn~^EIb>vix zO2#I){tlGby&;h{hui1DohNUcBX^O0I{KMNzA3hnU_*L-lc=RF(|Qw;zpm{M$j{AL zS7xI3wtHeBEx0WEEM~;?R16zsUwBR|o$rT78Hr`_muTHq_TNs-Gx52*rO-GU8?K87{Fr0A7qQ$L3R}Z(Q9(RuTo`dSF z#ijBm_xkav+NAbv=hH?RDWvBV$XRv9=FQY-C=Xaa(Ff-TgeL@xF8!U0uzt9DwnSwW zuc{koei=8fDY;uk_N<4XV96|ATN$BOF6IP~xWKE1PB#sil!x1|~2p@!g7{pj=T;Kf~*ev7A!H4tNon)7X3KegLK=AS`zb;uvfm@fX!ZO3^(XmE+nW0FrQt9W4LzY^er^K12-66%O zf0F0dC9PQI#4@8}IX!u<$mW>qH)Jr`8u9=kE$$rzt7g#dHc1au4>d=f^u$)c?MO-N z?Rz!t4zq&+mf6*vn*uGco4E-qsB9fyoE(}~8=?Vk&EN1`r02F&5gc_q!ra1-P_1T~ zIbzle=sM8`(oZDhN^~;)%C&o%wR_)0pVKVsBxt}HTDAn}QA$ByFU#D@zRdWjl z(mVC?voZS+s@@9x-_S&+hjBPX?CcR3IvpaPRmW%3B^*)7O*- z*Y{nn!tW<=$Ozu?CnXh|yEUi%XXQ{(=UpLuoV1u&h8zYnZpn$Ygc;SI&$k3!b@YV4%x2as zW$hcdwDH_bAbxI~-kM^Q!(k-?>u0nER|W5)+X{yr60X-4_oeSX{1w~j z&u4(`X4WWLdbYbA#6~W=T0w9WyWD|j6p zkN@Bq>8)XTVShtpcwu>w3XS9|xWPyNMC#vqSC{zhsEgX)rK6{{P~jgOFb@5( z6}?0&q48AnaGtCWNIw6y`T%hd?miLpyaUWUcXQ?zDhNsjD@RoTxI~Am7JrNdV-~Ig zL7tYLt7Dn8tAmBkQqqz2vKRSl!lV59P-CLK?`3v$9821J!5&Jxo)WNo!LJHTH~R#0 zhP>9B^IZB@S|mdWqg3@6^Fu`vq%j-Lsq*=a$>d=_tL8?G3Do2+h~v|wcEP?0SLBQ! z7DOnB(|H{j;+^;a26I&fa{<2#eG?X|qsxPob9we2 zgf*4XQ*V3LQ>QTTCD-+sf&Q$>_EwGIZDCoGX1mvFuI}gcqy`-+2>v(Y+QP|xg-ADp zY=ii=pyrbXkZUUWS~C$^z>|@-lH^Qlae1V+!LrOd-EO&DIR0axm6dkgawo<+0K#(2 zg8LWNuXBkU(Q2O&f@qq2dlBc9x-&hEhszqTO(7B*D|U;{c1!QNcdi=5<(h!=R}f40 zLI=|pG1wQ3{B@z0;21K+4ynAvDGC|cX<9iWJva4CKzVexWwuSrsC?m6=+mm^You*9 zEJS>P-xnTB!`e7x;ApN;MP*gqIPOQGKZJP7zfEHTqsn)|EbzxVnhM4auVwDuhxIJA zEa{2-@(o4`FP~cs6%Lc{Nd#68qG?)A_WS8l4elB_wab+lnXG)0FA(N15Z;Q>?}Rr^ zhBeDTfzn3#Odbnea~dtYl{dH|=~bRz+rwKL-mgmWd)lP)sR?DTy5$CD$-|phV>e94 z4tzFKH^sRMh1A8HWJ8 zR}*18$#-Kze?Y#{1<++LQTjt;8A-4OYUAzNEd?uI*qlLMWmlawsoj$;s(P7@T#Q)i zP>zz7e89Hj;d0cz-g(B7-6g%*w;Ubhjuq4zpE_LAj2v{z))~7zi%IAb$#*v$Z22y3 z+tL;;2PjS4al>F*Nt-P5ZnsQ2B(MNK!ont$Ha)2w>h* zq|ZNGTkt%;_t!@*TB;Q%F)^(YBpOXp^e{G)4dkAlJ%6(aj$^up(mp+Ulpu`IZTCK>TJ;W%TJ-5;jJFW zD}%w-Fx?gitPlBJroY?A!2lMP4P40 zcy@8?d8(7+-EKeKTZ1<0UgeI^{#TACTFjlSOeekLyWKhc$o(5>EAV^@ZL?eS&QzE+ z*5*C1U%s%3$Fm4D*;{*h<|H}1aLtTr++UJt@!7rp{4NniXy@kqX${n;pJsi$X(VKQ zPzKb#6OQ5W89dKS#u1?&0-CS?)a_fy@TI>od|G}cTf8VgJKSLHmzPJ`K5(p!9qxAhI>EU3}}PXai}hcYcbbX z6nAqGnk|w|^AJ4IQ9&b9xspQ^*PuC_F`rj>Y}K~0PTyXKt9ASld+Iv2PT)G zhkZa-BXqvp3VeMmi7>T69&wDJD5sSM!9VzkB1J>k9A+WQQ(PR^Xy^x$IEGO^v+;Ox zM8jUOGwWX)D@h~{BnITxh5KJI=T>S6`f#X%y!5cQ$)}RSu`R^A@GUT?f{zj@RAFN zLzK{dovExKvp=LPtL_GQ0}7-I3x&8?M(N{>3H4hEtP(KUzp@fE>~_NA@k+u2sVR11 zZldC$wH7Fs2634t%*Mo2Mu|V-Me>u4P2{ZSnq1QFfaOiZj>zw@1q^D#--H71gD2dU zB3#cZ8{SjCU;AFFY#fW?)oyDvHlwof*-o~b3Acidy(~WNp;7qEHJxvDnqa)^#uk!~ zfBu>dZv7^q9mxb2xX=rt&<`j)Qv3iARLSnM$-4v6D$ zv7F<;0{tQTTjO#>v56Lfgbq6j(nAb3;tG+YlBubdHxdIn_xvH8Ae~W9fuVtzpD{;8 zRKvO9YH@Ra_f75+mg)wi`m$!N$C!Ic4BzGV#2meqe05$D!f*<8|H0sgvJr_?8LW1# zJ)7hiS)^|jz?-du7 z%0=w{{Sgg5pt$~JvQ`AAq`x9QXU_zX;|oY*)Cu?a@h&hzPlUQ?Pv;2MRVUM*%Sty}Mhg z)~uGJx0EO|u=cJ~G5HK{=&e}3<_$RI3uQexMR++^5` zB1@Q=uDU|JS2X19i_T$(!$;?pd*a^)4@5@i{mJLWFH5PLwJc^qAfox7MiTJo)y#H!nWl1iKQ}v`JQONF_Eg$*=xNHh4dotv24ioA`JFw zlzPdBG}*i$^|~tZ+Sdb;kKi7y@>v^EZeQs;EeWlzd}%tjU9Rh@4Mft;EM` zsR@u8jvdx@w9X;u@Fw~eX2*IeVNMgQU`$$hdYf9pP{5I+f7Nx!nV=>ucnqa)J-f_} ze6dDqW1Oiu#>j8HID8{>A4DM^?9}+QU%JTlpeit?#GXZT1Q3>qzQ$=e>3Ggg>*?jW z$+d+Czp)~_u_3!53#>(aFi-Aj6Wq+7xeKoyR2q@!^IuIa!wA)W3c}n`yq}l~zh$=^ zzH)xWRJhoZv&vr6Il;%pu6RiLRr+9e1e>etd5XooN9ETv|Mo+W-|_S+LP0c|mEgu4 z@|aMakg5n|2apRifj($@wobmERQn1zs_*P`Xb5m7s?z5lUPX)i=luzTZhw9mOhyPJ z`t5TYLJF)Yw6id6EE=fT=SHi2HV9aV%?txTL`_4O7Rr{PfQmGP$tuu!ake7QGZdnCw z<8m8+NZB+ii-(&H49^F2pC&f}1lgfQPIzrVkWW>df&LwmUsJ@DjNo3{g?3q~lT>`g z==hy`=JCJ3r}MjVm~gob-;hP&xSe99e0)sPFhOvD?7lqsx+$#tVw2U!CsiPI=@Agj zJtE}YCq%Fdin@&^yp5)S-FcV==SH*DWq$nTmmSrRR9Mv&gQG2hy%8FzlCD{%N#P3U zYKvlv9-+AniE|r*kU9K`u-(iP?1B0VY&Y%xTXz;@j=13Tejy3&Jxc<6BxJQdA@7Xf z^iiRST~OsVD9ml>`Cp7gk}W84$IWva;tNdVxic187TnLruoT=U+^o#}EDzRVPgRv= zdp$(b7yQKUnWzfkq1ho9{JDM&P6Nat*MuNn&wC~Zdj)m!R zQ6fu`64flYN8)Cu1M)sgpvh3w19g5UEGJp%^f9?rCxmL#@&=)-<$tOokJ%99==+BlVFk8)a zdYL$~g5RK!-GaXr43;)oVC0VeX^IqC8-l-qB(R4^ZcYeZ8xVT9j;2TLTj#PqOj+v| zy4VA`Tt~OU?j$~pa>xAxN>}quw_|2fws|ub`EH|a&>#rgSK6%r{j)zjY2R2vpU$`- zdd0XpOpJfQnQ(vc@~gaNZpm#AQFU^pJLX`k0HtuQLpwtGuDy6;fTmiyb7o4-0W!5; zo)6}JFVxm(VMU1;fuW7|1i%omzPpvSBv-}mH(?>lI(7mgPH@X33 z4hR1$2tQCBqF?|&`cwlQaGvhtawRBgf0F$VhE)8-d*4gy8L_~e5)ed7%D^356V!G+s#P^SE0!Oxtt9i zg0=<~TUiS>o|HUpTg4K0gTdt31kchzJdU$GUGHfIM0YPWce2hpUtKI~{M-)`k~`0; z%;8u@n_K{#Ra>n>#WFdq*l+GV zGlClgWVcKr>vMzE@_y92b{6gKYW`wU1ZGR)#XKOT4i!uaZ`BqHB_OE%jyCSV4jr0Z zT`4xleSEhcLZH!^hn{_5g4~i8u?;h1>dQ(bKZ<519nKm9i!LNQn5kQk#mBZeB){v{)NbAD}xn zJIRQ%m4a{+4I*G16QbJ%vH01xl%oxt+mU#RoVpIVH)OI^g&@-GM6?lo$H)ifI9ol? z1bzlhdG~fqYp;apF1Hb#U7VEr_VQX>>P$jWu8?xW4kdORG+>H_w1OBtQpk^bem%5m%U-u=Zdxx=;x> zelQ_1R7*(z5LPj-Yz(?EMrE?3^+4B8adLiS#k_VF)P>T=xHIq1H7LPsXXp^EKC%XA zrVcOVXwEFkFAY%_YeG(IPz5DXAr?*krFvVmuqPhOYhTNNZ9YO$2(}|&2>f>YEHxYx zh(}RNQWQ##GGbzgzj?(ZhuTAS9U8af$=XWs906lUePhE60iAEE5d|rKY=AK|c_s$G z`TMb7e7PVBdEN1jDj>)ke^et9^#?lieX$W+vVuMRiITS-RTh+9;)H@g6P>7VR_gXK z-8^>iS42AV07}3q>1V;u3bQ*Go}b86c6iP&9e&IkCOG5X$wWAX%;8rdfuT8tzhHY< zUI)3;vRtd4sq`54SOT7LKzsIi4y%1}1Wr8T3r;>LYC;L1iLfKVeat6pLExuUklTQWQZ3e(MgxX!&d%DqQGU{8_eKx2Pq)$*jyDavK0&K0B-q^yPc*CB) zg2eO}YDJ4G}*u$b3OnAZ-N*YJWr7rbJP zZun?+l4y3Qw4-&Zr84zUCu>3uRr_uObRC_kXkVLK57bW-j`r}iBv&NwxA$uCccY5+ zx-sdioE^2+*6r+E0FM%8xr+M=DyKV5xf9DvsToum=(akm{YnA!%N%fvzU{obYFdp( zY4XiBRK?;RWU7Iog!Cue#mL|ilyPnAbq4>Wx+`3}X28&KzH4b~N;h!gn*d)-ueS9} z-JBd4KLu{B$fgnprpRY#r%6kus=lnKi_7axW~LFoWNS+zKzFJ(Qyu?tUq^p_VtT3C z!99J#lpyR1TV7dHv7&*ap+r#pVAB+B9_QkJJWc@-xVD7-lbKrqzqlhNB*@&r-VNJv z%1Xk4$aml

HLqdV^*EL|1cg%hcT;4TebBrg=l-qU&gRHtV&|#T^i$U7n@`!3BwP*kpRevux!nNS^=8q8`1S_pC+YN*idH~meOttJ zQFx0ZfFo^T$aCiex_n_Sw|TQuqL`HLlU@A=PC?_dk%>7ba@s7Qp_kA`{ei33Wp%i=(wS|&w011nJvqr0L z5ldssSm(30;P*L~+S^bi$@kp@X876Uq61QC;PpGXTm-k(VWDSo^s+4{p^LBB>4*DI zgRwj$V=ob{;DL$qlXu|X6zb=v>(_i`GfNC5^p0Nb5#@(^OyPXFe4?3|#GW>{+l{mv zV>@F41tGiyILJ6K0b){05CrT%Uv4&obuv-(7Ci=;ydNx_uzuHmaFDRKsclm7Q!jcb zka;<_=9YnJ3LCe^#q{X;xK^8W8sZ_$2kINpY48@HmzO39UVX=y;SNv<`k&C+el#;ep7roHp&?BL)V{PiLg|E69uH)pV^N#rJ z=G;AhM`W<)(e0@1cRZ7sY~FCZlxY17@Pu*kbvIuGr7KM`)g&(e$b2MsUC>M_Dm4O| zAII!sxJtu+H)hqEf)BciDYEF$)8Mh-QNklCS!MhYjRY_ z_@J3~c@|n1IyS;PLI`~VymOyl-kjnf^kFgojj-MGRAYV6i-uX5Ra}s`afWe)Tl8Kz zlm~xJyguI_V%MuE_)TO>usOUmsh!mZ{;#JJRf~W#%r52MuaLUgsksPdH)_UTJLF|& z7dYr2pJ7ez{uFN9E34{w#{3{2R*V#eC$W1>_X4vUW53Iu+p%H{SoOrBQ_+13=aO~E z!q!N4F32?O)PH8bWNS#zQR)D4@-{KHtrVaN;3B^S{C& z($0&j5i*+W0ctD(m-XB+R19Id<#peMUgzHDek9Rc;R(?cc`E3@raHIvFWCjCE!qC* zc`qDao{lFe{x;urdqm?u$8-A%=<;Sx>gIM_h3E3eaH>~2pLKf4}=k+B6I!*&!QPmR-!!y(-)e~?vKRh014DwIRBx~A{KZQ*o%xd zUKo5Z#i}Ac$EU^(P!%!p(ikCF2MfRBV>6dk(W1qonGG{4iRZvAqn_;bSHS3S6zNCR z3<^PHuB79uL1TkF7MgdOH}1&(+RKYOGTx}S=$8NtVZ)BCstFln$Lh^ySZV=?8iuVs zZW4)6gvu>nY5h+uO_fyYYxKnX%p)0Ee^{7bCl%Ut%If7pYG+cLfUlt}4pIka#3EM4 zaB6ib>ezJYAC+51EAX^e=elmPH618jEY;wy#mtQH%nQ2=^8Y>tb84kvCfob9;}X{y z_b3m9S!;zE!P3&WiK@_Azox^<3$$U4n-d01#YC*;CmNgl!N^$8!3ls7a%H?+xiz#9 zpKRqOn}mEit9(__>pY`bH$8u1hjI3f0lkgM4&TauZ0ybyhZ|ITyQ*&wASIR@uh_rqPm}z||BR XZuJ~T`^OM!L`+!*z4`OM3FvL4pVO3m)9vLU4!R4k18rcR3t_ySuv`c5vK1{_lPD z?N`mWGE$LxD!e!jwkF+}iDRq@*U|YnV50O27TD zdQ*q=e;Q!+f7we=UhD=EIWepv6)7H4Tn*D%A@Brzww(`j^F zE+=|@so!nUKF}`sY#o|>LKwBV|DY!OOdBjlU8U#gv%D{h+jCJ$5DHx4~-vMQ*2PqCZjE3n}ZN!=YF; z$BL|(P|`b8PGLMv%0O>7p<}N#4u~!}iw@Z*RfN8H#TAxH4nf7_EEk9huxfo1%QMC* z^b(rV9!fuick@1|_6Y1`p1M25M$H=*Msa;WyiP>z3*Tjja0AjLT1D(>_k+CWlyF!a z#T1uuCU0Wy`aN^m{X+vf#N!OIf&I`nO0to!(`Cv_Z^X{OEk=UFiJt7XXJBlPx#6cG z7CK-W$uPYM{e9(nKg_&ipL7VJgw;mTjXpTcy=5y(HG-=Gr>#MwQ*4n#XvNa@MBtf0 z%q;*-d1Bm-U~nGbQn{=6+~-0+DJr~)xtv9r^KCJ9^j4sHp?N}JH_W0*Pwb{Xp6>dO zp`y2?VGjXS!Iu~OKDodyskv>31#6{n-u@ZI>0vIHD3zx!J+Z-gxiKLm4X0sMGTfU{ z*J2j2C-(Gr;Z{9(!Wzy3DiZ>~NMP);O}kgk%Pyk*GNOQ6N3ksk5Wu$yU|PjSy1ff4 zR#~wSu||v0#x5QH!*@gbOkI-{pGfXKEx}jZNbP;lRqtm`I)+)0vGhGYoQRU!Y@rJk z-7|IJl=rE@ANTTu#IL~fG5@+*$@k0QRZml6EOM8(VP{MHQPJYHg-b~yQR1zIOQN3d zebeGhFIT>vt&2o;`pVS;r;Y812p?Da1#^^tHy_)->s0nkIeHK6LXtAA-7 z8JB_U$l75Iq`9ZjZPmtgB*G!#ow3byS?_LcShABB zY&ED=G6rnvAM(xw)e)C2{mELIb+c1S~)ScZ6MW=2og9vny9QS=H^&zWn}Tfxrp z_wPSD_N}V~f7FxY$P{j*$eC{xX=fF@Nyed5J!iOKpFb>P6GXj!@4Hek3l2{{70toV zuy4h7*EpDaqme6o*X_@Ep|<|?2#GMM=me7M-SPYxlQ;>`z`aFZJTL5;VifZqtU&bJ ztGtfOv7g<1t3=oM?Vp|dlqPTa$G2UffLox75<5!?lT;!eS@U{w#I8mr!_m{)c`{MK zy2|NiAqstmN};!=4Rwaqd8gUlEPMFA=g+B0huJl=Q?mo=XHQ#{QxOy`{H|JUX(%Dr zZX`JzT-`6<|6wEs4)|N>g#XZP&8p)nby(k+wno<*X zI~K)MwsD}<|5FvJdpy9AtP#yKfbBGvDd;+K%K}dABuurWI-M$`qnBhf@elrJnuT_@ zKvDC3yG}54Yp?r6C&bd=y!cx{gaEE?gWVT$(%#V2^36=Q2`T(1Q=ygN*#a78oJ4mHuGTW5JXI2dFZvv~4F>dkxPbKgbFUMd+ZU~MFM9OpJQptI37#E(&XM;c^e zOyXsd#&j@6bA*hV4o=Y`ZbxJ=nO0$G_IdneI{6xZ@zoxK$obYGkELpkoBg@tLAc5G z!6n9B9a{^NVbh-Q8JlbD-BbSLul2Sx#tPnddcS537`ZTK-tws#T=;U^tk$30DU!Z5#|iP#y(8M~)p zlXKtFolS>K{Y-Gxu{a^>qD^;PJ^nLuJNoE(>H3kN$82gOyMousbV)9C4v(Mq-s!|& z3%4vkgSL7_8&QIDx2&b1B4wp!>jx3{e8Lp?>X&%d=Ud}io0-CFkCEbk4XlK9kZsqZ zQock*ts8>XBP_mu44;=Jv(W^NMjuWE7VX^3TPxG{k4TB!6Mp$}OgJW3v9KY+O37s^mm3QcsS8$Bq7}^u2$|(Gp z#d{W)Ymvp7-8dgZ#Se3zqiL%Xjx{Bg6{;8WMkyxuqI{7G)kY+&Lva9yu#6KG>KQ=^ zYd*88%T5=NB0=cznyA$l1}5KR-M@HLFH>4;0o556QuwpW*_e|orG-vM`cU2X(@T#; z+4FZiMvxlR#(+20cxY0YOv*?gtj6=Ie+RiJlfMk|C_ zpl2G@(v+W8n>D~#9o>FPz7pTAi0bTlL36RU*3u9<>#6QwXi*+X*}op?%o4uEQ{pv_ zk#2STG!2wQBx6=QV9)UEy#|=I5u>)c$qz8C59WfL65|sHvMQId85QG27dWYzWL4al zBsQZrjL%d)=WS*jVWy7hwf#`fN+<-JH+da*AV(jx410SNM&~w|UuUI`3D;IWR!AJ= zb|jDT+4r{AO#*p=sWF%#JuYxGVpp9R2o*t@=!9Qq8oTJfXcauz-;^N9zkA{(UMt7t z+{Wbr3TMKze&}(MH2yeOIIE6NXTr?vqL3v5D=z(x?>%QZMA;2;A%f%@znHfnbUH5S z(Dfg~;&|hzmZvPyX%woLE5mA|e)igO>)AnI!A;Oj4}8kcw?qWhIr{n#g~VqdRzlJn!$Uk6fc+ z?DFneBu~JKZ+|sB?WsodFeF7DQ;O&I~6uRUztuRVP!^s-)TXC1L;$ywzGC_1rhDkgJ)j;a#pF&-Qx!n!d~-o3$)3gz|o6$|&Gs zl4ExzV&V?%{EyD~A6JLz>9ld=Sg9FN?S$JLfwoZkuqt&rL`B`d-C!>T|-j zU+ymJi}UVN34-z?d2_~+5(M~ymxFAy=PwK=2Z?mlKWgHEIyQ4(0?k4j_|An zC>wA)UPF-;nKE4l!YxT_y+|%AfvfNiT#fmFQCq6yy@*gS@z@*g%X6|nxyi9c`l}V> zS&hyz8&)-yy`r~0rD2?jYo7I+{>c&J-nS5`syj%E2!bdWQ zmEBZ8sDCFD_KfxW9dD4p*YY?%%vN?`v*v?x0LkQq#q|D*+^BQkXB=^oUInIkCN$tB zjgq*%xy^=*nHIC;_jRj@-@Ej&8tZn{0@KS5*c^wTd!e^`mOpPPv=MK%$#+V-$12;= zx2(DqYiruQv#Mq)m)yip11zR}-hQu)S||%pA$9+H;IEf#V3%x5_!*1%NwtT_*XSPf zQ$R3#Y`HrGrB>!ojxc-M7a_A#k8-$Q_25N%t6tx)=(g%g@w;^4^|;MV(z$_gZaoK0 zE_16z*y>YJoHu#$jp_^+j@}njV`3yO^5Q~Oo!zk&;-!^}_7U5d}c500rbKD z=LDw^RiVjRSU&hx;fclUS!?E{vw{xTBh#MtLDSRm5W6vmE z@Rs@Kb)%nX_H83;f9UbV=1Fn*+f6}I@?%^iknrMo(UDVTBSK-QEPlxcn=F;3p?t>I zP~lNwr+4-mm`p_c}u2~<#?$X8A@?;2AbC;S4hMg-p67X$&{?Jz{ zpbITqV4%{vyAjuYfggv?*R|1DT45om2L+`Zt5=us-#5ho4IZaoK}lHI=4#wZEF_vJ z!6V<)NU>OhKU(0$t)L(#pQMeeLoiW>lnyM9cl56 z`wmwWjvHEhkFHX#$4_@nk^dmB196IlYPSCH=TUF{k?i*^Cf(`-!`Z|%Bf}Z~%quj1 zecB;6La!tXQ4LP)3K4I|v2hJ^!t1nY+h4e^WLK@~c5`Q~*j0;cwLu|Y|6`a>K0g-t zNy?$sw27b3^@;u6N3<{JT~5W5ygcXx&cE4|EtyAF~{6Qo!#H46oXMcRf95 zLD2&ahl`tLjfc4mFQ~ccA$4U2aZGwU#xY+Xx!w3!EK}xLl|moZl_L29`AU?|kIBbK zhnY2ERWm$qeibs`cU}GM-x(;$A~I*mv*tg2(NE;9+~6W+nLT-l`p=AK8fowWIs8#7 ze^8YB&-D4v#FT$EfzHX+cTF3*Q6j-Pk%*T6Wwo2Z&V&o{VOJ4k4s`?Z<6afmPjriT zru<#x&AH}iZ?guhu%gUl!S?-D`kY{h{F-LKBvh3MKBOIIR&S<)pC+dP9 zEfp0bCmp0pau`-p9qa|CG8=5lP_h=vO3Cc>%ZqJlUhi!4cW<#@Yqp5nN7+!o2;;T$ zSbeEMTRE+_xY4HVyLki7J4)5kpjtp6Vr z{)5PWVEqqd{{iDaJoUc90VQb%rApcOQ&1o30w*u)cMr?zPuf3R7-+;Dk~G^)c@go_ zbnD;tw|DnVoZOPuK3o(Z|9N|$B-jlel)l0FA8TMNp~{cu^Y z3~559;mau2_q*XWbWYGgS7NntNlO&o-=|4@TTtV^frO3seJF_0V*KCHZMZ{+(6?in zI0y#Pgo!mzNVwYNu&SZ)n_|nx(-SY*f+E>8uAv&^T2MiCZMDk9LT!bfUfKO3KYpuxq1@|MIR$2Vwz1 zYF(Gfm2+b8$VYbJi*g~HN*B}Zna2Hv7RRBM^*DlZTD=Hd{TjDp+xV>d@63hiUqdOC zAT6ockRNy=x6w8P2IYf>vjsrStU3Kg?!_ZeWp=dlukqsvcTZ;n2M&c*g`Z^Ui(+#d z%I&4w?^e-{T^dem2`mvQ>WSDzzh7rVFb~>|K^tnFuAR1LW0r0BqqOLsC<|ELhiqJ; zG1pilDA}z_MXhJ%G%8!$ZV(Ll8IGE0shCe`X&$DQ))pC5SsW3LO@uOENEjVj?szWD z6=;cmrk6iV;P~-ev8B@a^a>`n-m)Ss=Wj(~l{Z=f2Wc$W0KNtnb|3$KKf$^eAS&JM zc=qF3ySO%uD|gExn}xi8ZdD47-Qf4JTjmpe+Nm!eKA!NoSMJnf&ZOtiJWXMkB%~ai zuA9-awMKd~%S2Vpn3M+Csj-^$Pbltxm>6-0p*=2YTFG)>>or?X7}L=^_^GTu@rOMe zKg7`A9U?2=S>KjkG?d8*Yn{_}7p@;1Y^LTc!gl{om>0Y~Z`*g#96qNPt}VDF_eSJg zCs{ukG8JpucTv)DpHW-ApipH$MDAHduv)?Ps8my$IDO$@-k+)#ZixqUMHn~m+c6|w ze=>w17a{154-(n-@~wQv^}y{DAI-=R-Dq7<8MFB6kV*|y-ba1sTA=4vHwDu4_+=Ds zIgN`-KQCgwP+{Skx}-1ehT1DM>O!O{KGIuB{k2wa;3hriOMTG$49OWQ35yY7*Ne%Z z^9WCQQGyL6r`Y(lSk?ScqIQ{+T}9Rr=eAyN)K;kG%>J z%f{BmRWnGS(`3$!yWj zjckW#J`OTd`dRZDLgeZ{f0=2d#n<0&t7Kp;Oph0V(qd*w86PzZ$wY^SGCoJrq%)a7 z%Xc@8;yc%hyUJz--3_DhF^x{8D5_E~k36aWTCjnhzb^`uhc+%)p;QDxBulWSghzVy z&1%17D50XCtM3YAdmnbLF)cc%?vT9y9EaJ`9;xRl_Iuz^;LfpGRvg#4^W3BKI!yV2 zB6FU4^2gE{I4jn}S^JLuGBeONVX~cExcTI|v{?g;MaPF|^jE_O2a9Q;*ZW(|Nt0$B zQp09Wi1JD{BUlBH>-}=%Pv1u@#=|QX*J__P2K*rgAnyEGD+%_XYL5mmBwvA!P!}2tI%3RIGo+o%o^Gj|;)L6AOIh^?#)(uV#o@ zp!xNT_aEulpW{yo2i{X%jFxN*S|l~Z37i9pu>vI!s+2t!tisW}WMzt>s3yl6Z0 zB)c}$obOsj2ue65%*DsdD1IQ;_x?i0MJySQY6kU*`H5^)OjU0c25m>@jF#;@N5qrXOBO{q@HJVc582sK_T2BF6coSqFUKc_2)7VDAtwWM*u$A6E<7d8a zZ0Q-E#!>_3ky4PwH>wi^gxQ}G{F!#uL|)KnLpqOV`>(5_dgJ@sKKYWZvoqJx_Z_<>b#Vn-E`*Ag}R9hd6*ImR`0%NJ)u0a``?)ijO#&zU;}c<3$Wsfy(_B#Z zQ_y1wC?#y2k^wg@7{->?M4_hI=#x-~A0tOLOsKWWvu;m+ ztgwB8T6q+4*HC8GnIKo8H(s7T-ka`zf!xVcy`$gBKg{-zy42C2=nF1Q{2zn)GJ|!_ zkB)OuE`kU1_xUFnk^52V3doBJY|#G3NbPjjsyXb+DfLO$#uc37I<0)7{xhM<1XUR` zWAROEdI;k=)#dU(c|Fx5UTpe>3ZHKiG)8!4Rv#U2yS-XXjq$_1|BR}GyuOK4e?}D6 z7==xi>*}2lSMGQh$+_zjcwE$|2LcZEGnxD?uc0=rGyY1HY!CWVuA_vriA_<9E96=K zYT9h?y^L#d{-7iqiX|?JY-U;u_?&jvz)qQ8!!Yi%-nP_!t#ZpCBlj*c0J2EGOv9nj*ogrYD^k;+M()hHS1=nY2Hv{18n4qs zy^O_iy+1h;udA z&G>NdO}ICszr=ay>y3Rhxu_OiT}M;K4AjVtnm%hx^SV>z_}_~!R4w?sud+>?6jo~n+EfV#cK+eo$`WyR# z)ukC{J-i$c*~rRYVc;XfmZ6&9o7nhOdf|}=dpSHwxBOFIn|{`v)V^H^K?JeJ1% zuI%!d&iYI?se_y(2jcYKVij3Yx1EovF{!DK=f%B?Ua@UX$Jrg>eY2lgc@Jrc-s_RM zCu){)QRboSnHzqv0*dewj!3QcPQXIxpM5&26(rx*c43umRmJf!mT0=}o30@lo{KB| zu^G!r`&g$Nx&GD-&B2YupVIqZTFXXn?^hc|oK%Sek#jBz35%rWy_ur7>F(OaziS)TsCdAqKy;KEB zRg_AJBZnv(s)F`7z{7n;3w5?STm_wc+CrHt55Xpa!garwUA)Aj(!$Dhq(y7lOuO>; z5P?l5JL}J*$d!-bo3<%0f$blU;Va>HcPt|knVbPt)*TW<`01dF zy5lb+)4XY6;X}OF!1PEsM`+*zy+t7UjZE+q3w$3p9!t_p+8`c7JchyTh6~i( zFIP9{KntSc`eET!S6+h5ya$@Xj|hxCxfF(K@+SeW<`Jg78?gG}MP$`Qbn-v<;EqdH z&5i7^Cc#g^6R4u13Ge~0={JH@(#=d~eO8?5n?<{Ac%P!dKR~TPDEG&F&)=}exIc4d zM!pz5BP z1X+F9o&>HBub@`bl8`~q*%gIgP_2eVFa;bVe3$B(0O-uEmMd9$Z*=x8FBQI7yyuO3 zz`W_OYB!M}*EjhdUVdh1`B64`A7%Lq93M{m>T5MY{4y}2PV(j%mwTQDX~!|H=|upL z)R*#rl7Ybp*P)^&wIpQ%C1V<&I<>abN*p#jk4leJGpMRFCgkx!X>R1hSQMxR-_qlv zW8VPxa}&~rGztRC!N0=x_Rmq>xA0&wbxZASqZkZ<&oZV66ynD^b5gzT*Pt`l$n>xg4n zS8tcvh6G3lcJ?S^n3eeiT+DqJ3Uxnm9iK$zZ{Z4AI8*ZLibceYPYr^Kbq^O>&|E$$ z!8o>XPb(YlXafzXG26PHGBa@x!v(rG6F@&ddOM6Y;C6XV&E$ey(DC5Ju~3>JM98I- zZ*z5HB1Azm#`X72Gb09kg6IjMT-#g68s{;Ehb|5A`E$%~dBo=rW}i8qXC&GJ48oq6 z|5j%%IHv9TlctGJ6IBH`a7R~dI;h>Pb_PFjG-v^z;?+%QK)hjwClK%CMg%vicbTcx zA}`*^$rge4swj`9orB zSIf1#OUIjGb~yDFA2C^Q)n@8a2kU#{Iv%Q%r}%!Vt7yhDE2FNkZMdVyKC*3c;kLZM z#vkRL{@~{|0X8;Ei82*mXXYNfh!>HV2Wrp9U5?gmc&$S2Ln&l$&X2`OnMUGw69p(< zFrc>Ydm@}~1O`_Fb4-k3OB9@=8(~~s%ZI%UygVz6jkB{GW|LUFUxb#P8iUPL=7%HQ zFdX7BgfT|wj&DTpqpnk%<;8ISA;PBU=ATpVd!CC5ikK&J{6~5jF+J3sqXX%7AKxO+ zn39&ztIXj;2DRx}+dy;p%-*bYJUjlJGBOWoB6O*E5Via~y)Ak>Sxr@J&Z}s0f}XQi4%OpbBA#IOX5_xXp3w}zMT0$;HW}qK^fHePA zx)gBgQi_~JQDPD(M-}@j9Dz9kWD2zcHzQ_U8C2pIs!TQ0ASV%ZLx9NSs!&%wiA92ViMd zRW^5ef(d0q^n=iDGIW9;T6VU`obojHt*bIgTV@i&WS8;C0kB{1%fR1B2Y+_C50;ol z1a+B4K6T_t!t4d52K}k8>+mUT}JF$Z-zdvhY;wRql)7(X*3cw zcM!Ds8>jxG^Co`+we49rFpiJ(^lB3+Ko7*=5*E4kCX~|H`AGxIqsJHO5$pzzc`(Tr zdpVl?jk+(Xa?VV1%XIkD`6^p3=i4J!gP?r|@C`fv-^YStcfqZ+Lw9+sU=pmbLZfvIrmHjsEji})Yxb%uz zuR4YIZIh|OXQKi=c+QEm=XTcM;tDK1-h;;Wnc z(8AdujbiR6;cM4(@xI&viSC!lFYj(`>w()=Z+9Q_WcR(1u0CIb* zZNg+fEazgpfw(@bZW2EGn{?RhkzUSVtEQFRN9Vzpi)``|{Ex(=^1yt=tuH^XAl=`m zZm2_EeuhYnV3`iX3B$Q|pSW`mBrzU)sc-(h`~dC1O^E_8<|OJQv$njxdD6ONNB3<% z|JUhX0^R(3QNmCItGKo*2*C4JfAJo#-d9bju5M5Mdnr_KNz|k&#sDI}`PmX(t_ATy za|V=5%F)Q3vKA2J4KX@MuFhRD0{3TVFZatNk{@U;Y9)_fh63hV^2EOF1UcPv9bA-r^Uz1EwVP^jP%Z+lu0^#DMuFqM{RjWz z=eWb&9zK__SGYBz2d1uE@SM+(^b5O|_SicVfnI7ROJ#do8&7(6GfQg7A z<=e^VWdMxVTlk&yvG}t$`#4+We{x!ItI1HpKgwLbG$brd|QtENllZ6bIjq| zhhXxN9|!P-*FCjM4`}Rg>TifDBHWkvQ56~P%lD}I7C!XKH*jXY$4xAls?`-WDhxid zB}oL$bAL^e@*rDqKNPs(RbCqHB+nN76AGNX2VR5)TMUM?kwWEy;E1Hq(QbGT7L0KV zUb98z$Kd64@%T*!_5esTvKCOKD?$#8rc-DR*`dpcaUQuXLGzm53di z`~Zh1-&u@T|6WmqQVk&OfV;7A(JxBZQmt;_rgrlRoCJiTDvvF-7^t z_#2ADPeyWF!o|XNpyTJi;REdh@P)IGK1gu}64)coI$5J!gV zZ)SQ+HcZ#M$%c$s%j^#Jm^&8RXxeLyyEQ*B?(w*;i0aqq&@#=UF5YlpPli<1Hc>uOeAK31 z9I%R=R7(R|5}e-HKIc!cC%k{Hs-P{F%dEe~yzSA=={}OS(5wPU33z{3X{Oqdas3qV*Ez*gOK;8E|8Q;? zXhfZB6bxJp2ism1g0}WSH7KCek~se>3*30P>C$20!eQ8;!DzbGiu_StrqpvFuH)DH zI>%2*^$I|ZNAfbDK+xI`)qCIR?6+~xIqiqhGmm4IULrw&#w_W*ZnO3crPiCE$7 z%ySQV0GLCd9{1ka6wRchW}u_=T{#Pj=f992MkO%KYujrxVg`Z_fk@PQUh-E%86WM# z14DhHbCEo7BY;DB$l=f-wmZQel5`(ag;+$TvFf_V>p{ zICFs?<$<=aFx)Pp@U-tC7!HY(yelU*+Tc93d(V^l`6FCSz#z|M<#Cw=_apoMdM8Elb%#nnCAy?i6|{r zPXM&Upa})CpCr{ESbCE8=ZaC_2HY+FTGe&9KQSYGhRMIMynfrxuL6%YD~Gs#;a!`o zn5+?hiq64x`+Nh}t@Mew{)HkzFf6%YN*woIJg4;L0kC)Jb5WUgiYmBQ{R0oK<7L(i zD&Gvr6-k2I|DBw4dx?F&>GJRc+WhyPIPXu?NS)!oNfuss({T}{%4g6?dk`pL;$EU5X=>dt)03y5WA_$yN6&X(8WyFKHAcw zTLpM_sP&02;OR>!sgNxlTsvrThN%G&*Z6b_htl=*tEgjA{^rGu_)NuxTojrTv8c}b z)An`b{czPk?bW8F5)e6&t}^Qx|129@c}awa(-y5wHaV%3FY2(FpMnDJ0Gn53v%5=)rl12>;hBDqKIV%UQoG+UbI@}=I&5WRZ^a= z1fApCx067AIwzsWJb&C<3~(^kT4dhs2~;FLNqR{f+$-baKJP`_f`5ERj?s!XA#l8a zTe`?N6>TfHX{0>*IB)GQWhEYfAIE|Zsg9U}!0sx({#~>Vg5FBQ5J*8=A9D{5eDx-g zV6@EwFQ1pBCC>!JbvBJhXQ?Dc7b}PR?78Dw1}j=WfRWAGdJEeyZvv_IuW|M|SI2d9 zmAw7PPx2Bb?ij6tU^vfIL*QMbiK|F_ky${Y>d`3eVMdT~a^7t{{cW>Q0j}JmnTO)C z70!)0$zwszz*bM|-wnk1uuq&n!9N_Z)n{=mgv(ZHTXQm+4-5T_!u=Yq6(}U=&yADG z#jCvOZ*trwT)o_e6h(rm;@9FI|Crd1)le<^Q6B$_6X0%ivZOV7h_0rM1uJhkIqbXL zA`W4DM`8(HlN#&7YAON!|KKKPT@}t(4oej|ht*SJ7*(}`!^_2G#6!lqR*T%m&nSC6 zB9!&6RfED~MYj7qrq_9iFAmw>h5OE@B=TX}RHfWV52~jFn}i8t_lCRQ>`k|q6yMA2 z^5p<^%lVaj!*-_}TcJClPYdn(%E+GY zTZLhC$|yRNpmDf}nh12V4m^{X_cttYxOjvpf4F_r2z;P!KL;qqs<=gL|avk zp6MMW%lC-A52RrvFC^y>uQY!CYi9C z-@M@d)scSUN|61+_2R8u$LB_w1ml|;^}VJEtYks)Akp&pUY&?fux zcO5~F`qf`Nknv^rfu^eZ1<_9&PV;iUSuh?qF6k6dgoE=Ck>F3qkK>*ngLUj3Gvja; zohX!j*yCu3IrCaPpKbE4Q#vj9I7@tfn$yoc@k^9r#j1HOaSp)9c6Yu~+^b34&R6l( z+_R1a6MR!^4!(ZI5wCXP_`cY-X?5aE4dTCZYk=g$Jqv#HQLOQB6pewT#66MiwLq-{ z;D3G=4%LrpO3IzOv)=kp+YNPj!DFmO{Wd4}pOYLq_SsE591re{ZBUJ${`scl0gQ8_ z9;5?}v&%<#Ap6mQax0r}6GIC=&;j-W-vRQ%;YtFNqkdxR+wj#rUlz$zWP}y98_@hU z7ynH%)Jl4?5K2*wKHsYL7vi0*u(RncvX6V6AzeXn9oJ?M>fywe;aQdNt%7;^JM z<;CzmInQj4BnSMDV%4)8T6|Zxqeirn;XX5q0N<+*-wqePP1$M&38^kCkEzv0GVlDJ z5;_sdPrJABeen6?`;kU+HH$Ex4Qn^g2WBYF20I%$_rM4jR1<4CYc!T=X$3sRAwMxnww3T!k-*^ZqTF1YIv& zGM}2X04{VS7hE;8>jw%X#2L9%M6PPqzGS=eaz*M$-nIgqI-gR1-GF5T^#zcD({{~b z!OUB0DM5WO;h`#8oM*|v$?okZl9Ts4SLLUk=36zF6wj1Bz@Wa(^!Y}<&0si!+=ofZ zZiog7&SDXi_a|6rYb`gZPZ$fP!j~Kl#A?UB6}NrDeLiTAj&Nmm(*6;ks!TDFrxdrf zhMWyYeAyImZqfb_2FBf5i?Mo`@85pzAeoR10vm`K=n;gUHktxF5f7Qfd~29+hRk`g zeSf$j=878-OzA-5to7oj|JF0!;HV+jGw@S2oQEOST2X4_~4rQBhA|MUCWN6A#miL zt=}X|8iseM`3Sc58Vp#BUH#QJ!B*$bBQzg~{4iSniyiJU@7!4PK0eDw!{MCtiO`nu zG1%LLAPBnq4yyazP;bc2 zC5-AkCKp+yh+?-YiB8MAcjC_#6R*iBu{Gdx_`#+&@Dg+m`2oE#)*@N=?%p(bj)6RF z%V-dh!dNBbo*t1v#b%r{yWayn%q7aVY}*E>-R=w7%UEz-GGhAz3O+A9wyoKS zREfje_Ayyi=@&|J&G8%eZ}}UZ{Yt3rsRPdUaG!BUwcsBgd?CJgRh>A_0V&ahhC0pv7HHB#FyatqAUOA?p(s z)1Zp0H3j%k)yIitr$uacaBAcRGCSQuttq`>DcukjsFO%;C$d#6*!Q-bGkDSn)w>Z+ z5$qdh-|Gh_-BCq&d3lR-=wb>OLJ$peRYo`@+Y&<@Dh(2geg}|L-EtCF$Fg!pI9x%R zfHOI@YS)23UvLN)!`~{Z3~R@3nu6z{Xk! zNhaF)_P5*9NG5!Fonh(S)2G)bNAA7|;kG2MH>bGh*}h-novU61vm3=gvd?#P{U)o$ zr_>kik7#its)k_2xD!Y< z`A8+bYna=;E%A-VyJh3D-b+^0I-8ow2}fm1EaDL!YBi_2Yna)ck=X5xb}boem8`g1 zGyW%s3&m(P19S=x1oT3EI3FL4bG^t8>4%d@CTuBjz+anz9xrsI^B#wH2?OfokO`L& zcbZwA>Qd*b&uzWc`(L*Ij2vra07_ka+?iC$vSE(@O9p~N!6jks_!oYH!v&;?NnU4d z2B)fj{jH2aVDRf3IvhH4wP%{RBmngIDmb6h?N9mIH;B&1m8BB!BJ!T zUQz>N0iN^y%cy|oH}rOC5a09j>oYR}=i(opHb{r);o#{^K)O6-E>X|6uhs}SBNN~o z>^X^s^N=^zxaeP$t`6+9>VktRIaI!)rVdpQ6IBYojV@<6C<`x0mLjh`wTP zO8%#$YY$}ld;jH-9Y6{eFV6!#+J5;Ac1WK-MGOtX>-i zPKm7%zqh+KNnbu@-F%cJCVpSWyLV%oGplWIR?5(Gv%Q50{(pJ(6*-W zF_Fi7&z~dx$N)mFP4y67+EXGlgJ^gueR8<0+VtH0v6!t?{c#J2QHxR<_yun z*;KHW<2cr_Dj242rSUA%rYg!+>gdm@k<7Dy5npqxb`(Y2eL-3ayQZS*?uN|6R^9A) zowI*E3pX(NN$Ozu$_s}~)u!a93kc_`r!PpxIX59im(g{sv&4@o)Haymwd5q!BFULDoRdPD0){q{#$~NW8s{8%} z-R8wLxOF*}9p`gzSI`Zl_uR?#mqf{#5AVi4)58-9u3k_5t`(cVEGH(nHVt?>b3P09 zz(A2mX->CrY^#CTefN%OTwG`7=dB%9#UR8uTkpk>taxQ50pP}}q3tRf*oM9pC5o06 zYRL)}j&EYLHmR5?v~QHiTvq6$EHHHV4LU)a6efBPn(6*ZoJ!#+&eiLZ;~s$IlhOWB zkP77?V)VzP-81ayl^7AAmof34y0iPF=|@z~N{n8H-fTQoQs;uk9}YmvK^>c^3^eTR z;JQoDIx~R88&fS}Lv&FVi+MORp4K`IJkw3OC|2m~*gz`@Xt&s8WOWOm#r9abGEmv* ze+y(rTI_nClspanj7e{jB9X+6T$}p)Ha7671e9Wr#p%F8`Z^lBrUgoYS{Iub{?&vz zi`mhur1>JEVo9^>U^0`ccK(`$f|j8)NX*gv4=$!Ta2p^4&Q+pepZ9>f0dX-NIL^%B z-7zI02?Ckpqw$~08?25j>r{>%S3A)Bw|KeaZ^amfctk#&yn9~X(6T9hTu|T#NeX11 zlFZHyRl=50&LDj<%_@nht@p1rrCy(XgkSn%NV`O_`(g9PA`A{*2`cngS6k^L^Wvhs z%3i*~RbxwGEJ9#Jv`F`N!usK@--hmT6R7RzF*WMXbG@VJPB2L{nYTeNQYXEnf_IqW zBJs25MQ5gnhg#s&hfM#uCnaIwKD}O-j8M(Hv!A}MM(yBnml~(hHh>{x+L}WT(QF{z zYe*}HXqYn;;-5G`k;IR15+*gK5*!Qc1V+c0DGuQl2dC5|L54%pm_0Auq-f8y-1-NY9McrsAdTixHAW*x5c-+Di(74L$KqYE> z8@p`saYGSq{o)R>#>cbv#%y*N@1*UGVW?~{Qb^1@GkfheLkMB5m$}C53=ouK3yl*5I-1i>Z2J0F5R9LORUYKevamo;e*pDPP0AD zp@T!S@zn(Q`1I;lB`Udp%gUg&hGH!s;ufD=6v@CBsj**g7g;3y7y$_7lDv+EY*y^d zT4t+UMq9O#5!|y2bp)gZwJ-}ASR#FlL7@ZUM`Z&I6>WGmw>zZbZzs*303)X%LeMH-v%7bM>C zt5Bp;e!I+v!Kdi1h&XcKw}?>rg~qv_d}&~QVs^DvIpHMM4VhWo*D}W#F$Qn+Y#imP zN2+L&MuA;3nGTJ%Mt8e>)kYE>(a`PW&i|8cTGaOpxs#-e-t|k;I~;Oe7|p7c zv&H_fbR5yFZ}~G*u!)bAXx=m0T-h=}_F9(b2V<;zF<(MG&`QRN%NMK1J9()kDD62- zqB~#=EIi7IMmTb<#Br*Sd+u|e!<$$yAx9PP!F}=nM%0(ZEAw=!JcT2MtlM1MZ`1_b z+>Z@O{N)IXl}!3*bK53qOiiW7Tx_Q+Y4dXuX4^%d+Hxxb5EmC5N4LW6;|eBYUa=m| z68t4~1Y1X*enlpr!@HKoU&=0ix2ENRXmN55AY01=Ty5D3kIOD>56`9zb%HB%>RqJo^@lI zHrm$P-lE;AD<=|{c@-a zJq4)>fP7wapWwyKHs5P}*mw+i8dqZ5{!tGCuFJu?nDkM;XDm+yDnbV)N7md+-3E%P zHp*QY?1t>hkxf!M{WkssGsbUNbW$*E?KqN4;S~+u7-{RZqN;ug?YjDHBk4liJJSu$ zW<+_V`)q`HI>v!YuNn_PK+&{eu+XyRYs4jXp5x+4)6#P0u$enA2|=uVjB>@voU5Cc z=BP9q%s3+stc$^z9r4U5!-=&2$xZ?9-Mj(zkIW>iB`{i^21Jh6M3-Ji9&BRz$AQM0l-*>O5ep_>ycvfXG zQ=|5hG33(>9=u=P$IN85-!epd8(b|$TYkyYEhf@avVC!Wg_&QgHj0xg@y*+XMhE(w zOWkU48~LvZYM20YbkpqF^yY_*iKUs-3LmEJjVv*Mz?rio!h+O3*tPoARND z5zwLU?D~0>gukp{fafS03|vkfyh!mY3DTEG#w|~UL@fLX{;V5PO37*KzZh6~{;T zO=QaK1Al@f-3IiNe&GukaWO(~W0(0p>X!u@Jd6LNOoieAmcy?s4haT{$-{8>oyfZ9 zfQ`>!IO;yV(;^r|FQj#ftEv1X!xxduwP5N(AfAP4b}?K*j*+>A9MLcwSw?1?*t3Jb zfCXKaAR1*_7T*9xh--4%DBMnSA^*PN)`UbE1tDHKQ{dJc};fEuhfk%o_+Gn9F8bs5=|jF0d6Bg6#mw zdQ|KHU3p+PfTKnzvI1fE2+W@!3$$AKON;x9te?*}`@r2n5}8Z{ap7`s{CDJf9b|rl z#c|u{4h5H`FFD^D2n<~aCQBY`7feJ8<@>xF(3GoIod=Td5+!SMYxY#Nu+`;#YTiH( z(QcXX)ezGsfpkVAOkJL<>IwAU2}5lY1|9<*u5UFqX>F7e&}?9=P?{IhemBfu2T4m& zt-ScWtrlxkr3F3H0T{z%R`M!p9KbbOIdhnbRG5! zNEVY3EnCXKuL!Cbcb7}aqcOI|s!Ism0!fPWFawBooO+TSyIwCvVhr=+P2kJVx$Ez< zM25;xz7$D6130DyyL%h^H9^f*`1O{PfU&?`Fq9)1AVngHcG&HE!cb{uKLN| zw2HpV#EZ8aL<15~{5G0RYa;+inJVq0EbqtunhVn7OiE?pZH>2_v`OpATsCc&yuPR9 zG-#j|WzlmKRmm*Qm23&BQ65yj|%QC;LKa|Cq>H*7^ z*RfJ0_Y7dBHcTik_E(AoG77kGeQG=_cKuY$dSMQBGBviw0QUa#dh|2iHEoiUGThvy z&BIoE-^vq*Cai^+ak!^VGLgl0i(A`*ElZJN`NOFK*e6WQgdvFkfw?+wXa zE=Ln<{Ip5!viR(~ZHfvf_>~0<&v;@|25*m*Q3leTVJ?^<86}_?y_f!n-UHEM^uEi% z*OZEB9chRf8nxhP!?MM4VMvjT#1zrH*6@egBy(9T)LTq+^zvxD9IW5lSTQ?%+8Lsl zHgv-%U`4FQSbMCgGBEQF%!NNq6(5M7!`Gxlb}n(n+j_^}w2mBArd5cESi{$MTJBEm zKSXY_5ZFmT`*tPS?1ByOMs5m>Bi9A?SdbR1P7Im6B%qesq-9xbnNfgn3Py;12G2A) zTG;5JmBo!Sj@zJ{=)XLb3#^Pf#ZDreteFN;9^cSUw% zeGeF#vHv;wEj(&^NxLTYuR?s&??(MnUTPE`^VxdE6BV~j)|2O8bxpT z#@HHrpHhx{s`jfr1v2X<#k=#~W)u+CMC8p#C2eDt2B0L>NFMkjt>HcrF^p!B3iTCL z(YNG0sc$cOmc7a^u=%t_!|1?nF$Ho=doX}la_@d;xV5%Cb^5Oa7P13a(cdBFubU-l zE>jD<)!WVhlnVDm(?Er=a5dQ2WV;}98?C+45tN>vd<<>vSVmbJp#LmpF-!&>kF(%L ztR-5o1kQe;wvx=|-L|Zl<+)X?E5X071ma1K3+yh!&%R&JOKGCraP{aWY}&iIsOM39 z=g&uK>rlTP5Ia zL!`iw#qEMoso4g{?KIE&yWa}uhQ4s>+@?%&#)^X%O^Df7xNm=tDscHn3GKATR6!p2 z6b0^XpO2lO&|_bK|I#=$;A9;ZFBC^--?KDa3H?dtvL9Ji@WrEJqXeH2r#G5o2X-Sn z2^;M(O7H-{BFX@wpv{7dM-hG`SA}zVfhKUt7@$v!4ZrXOIQdcqDA#|pU!IR2OnyuF zV5&0AU*Y7Z=TQxO7KGD21HM|Ur3$2RskWMPoP$|1K)1WbEwt$v^RZL^VNgj|?n%@@ zJlYfWe)dO`;1tnUiCDXKbFIM0Xqn7D?CG@Z?B8xChyDz^6El0VO`c?N!;XOp57+5h zc`H`zpoLn1;M34r+5;IVuBb2+QW2;G-?D*_WL#_t00g~UiVw1!(yR<$+j0gG0pX68 z6;9%Q`fIUF3droH)47xcaWBSX@$zD&AfyiX!o{O9@d7*^T8^W)$1B0rf79Sd!(585 zxE4c)Ws)PV1YALqX%1C8rr+;Ax=-fnXd52g6S~YqPd3}m$@z9$>M@5O#1$TG61_tJD1`& zAQq4EIhFM)@x-K5q2`f(enBl^vMaQy6!t%Gjd#?44_q*(ZtC`rq4$zWS6WbC+V8{$ zUx~O}5o%R|%CfbxCnP{_QCx%nqGos@(K^l(7P2#Rp92S(X zBjd&C!N2&EYB>VPEDQ(mJiQQ{knSn+#Uhn~t9PwNpRzJ9L8u zHlh_rk)L<>m56S(Zr_^?qqP>uQlh3asP$7P@MnxoV{oUZ4wjpFD)7W1Lw*wB;;&Ra z^#lQ^lecdEc(5^hNw*$s-jSQQFA*b6&8o(&8Vc^-FgIE(kD;2Gr{xew%cesDcA-Rb zGCdfXJ?L@Kc|uc{H`f9$PMvv4`~)u5WVuDaj}2ERPl8t0%ZULJf{zYc?OSB6^Aq4l zko7?sVey#Zit}j&uic(LSXV`wiy8kQ;&YN2Ef>N9B3AD#4EbWcawtz?ATl(!DTKTi zupB=C48$TyV!D|uNjvx81<+X>U5E#Xqk>!OLy<=N*>&mA*wmPip%Bys*mbXgkjcXb zjaD;BP8UATAk>4}6VQij82&i{8&c>eqDcSau!i#MtLI5?a=KxJjMizB_XkNH@=)jB zHucdMU6lD`MglJ`5aCAt4O-3_cEjk~sVb)K6X!+6`=;SOLW{DUInVC*2%ptFaMYan z%k_S;m|a@{C}pMs$CJ|n3~zC*cv-`&p087D%Vi?QqVo>m=v7|xKh3(vUqCRt9)~ra zS0QMdZ~isx+VvmWNK$I5@VOdm=9wKwjyP)Yxc7c~cfg6zz!e+O({@|8*?N$*xmk|F z{)tLSK2F95n=UEmc zlC4GS+kbP@jtt1;0n1v)1lL6+Abj8<h<6z8<*i$82qUq(1PXpKrzc6@wg+T=(7KhSS?OwzpT^ zxCBV%yB-Ug?*m+>j;=<;?z%pd9k@K}E{5{r`+DQ-d90j73Ai) z#!U930l*$uNQf|0GusEhX?o7{G_Dp*lqUJE{tbwp)t5TcmqV2#h;z+l&_E)`bn35y z2`6UbMC-WKI~jcingrL+q9fb{2HpcdK@Ovl(`@_NIpd($Qr~iV!z*Ra?yD65~@$8MvAUnsqjMr{xt3nZ@FT9CtI;m|DI0gpK!3W&2PKI zsd;Rkop_2)YSm$`{;)iAht-LrYxeG+vZZfa|zc~DBOx~o#IIG z3ekmp9Z0l#=da*N$E_Xk$=Aqop(J1Q2#q=kdynZChkh?^rwv!SzGrktX+Nzbg|10w z2cx!h2C?Gs7%KO#RVp#b?4o5hvNG-tKR9+Wjf6=5})l+Y|S?Mb7Y) zYk_A(kELjQ7c}kgy4o57^6G^NkR_^~JP~lu@zB6Fnx-{{Ibh=nEUotf4s-m#gHht7 z2bncpb4ol$C%_l3#$bAYaeiuEgh?`FE=Ze+a*1ivDMMVeM!30veR*y^YK- zZ0T!w1%E0B`g1RpPlxM0C!s`A2`Vg$(mzzmrf11L^!~{Z3uqi}+pM<0!Oi0|RhP3g z^4u@+OlAAW>S%q&-jXSeKk=Wuquw51Exv}RxH;Y%4DhznRA~#pRKG*@w}<^`?vsnb z=+;kgbqvxjIj3K@srHx0fnVDyq%*DCdhvf zn2zflv@I79E$~M7cNIMOi=j=KEx~}ef;n+mF=?R_mC?1B2+s2;(K`u8Xu{RwkSJDI zN}yTH*Ns`t;uXN8q-b2y%W@;1Ux@z5xv%~Ys+4_52EWn9>!Q>aI{VPd)=YQ*t`C!u zcSo7WG`{8&XD*LF`Z!Pv#&S{)x>RT`SVR07p(atU{l8fnZsh70o`Phzy9GfENshLM z#26pa-PpOovi)XwGB*1e6^XwPMC|t1^}idT+N>G>&%7$cav{rdLNj;DOmkzq;kB^A z48UFe)wi9rig}_083y{a0iAU_M4MmfK7xy6u20PG?0sLjDJnw#33RMdxv{9%D!NtQ z-4qYq^#8bG{oUre8i7_g@dR=0!GD5D8z{3H0MqhO2I%P9y&}bh+PzQr%~94P8qS*} z9DyvcWLQFYA-~O!ogdezcRB^(u*VLRzf3^jEfY9*vdJI5PE{z(F zUj5ZBviTFL_w&w}LVXwLI*tE$k^0ub<@i3uL)W+CS;`h(kjMGDj%}<48BW*sEM`Cj zRA`_B(a7{WLFVa|7E3iLBsw!jh;gjnl;(pzbolJ)@fzIoPgm%VCXFjvjY!Ey&1E~o z#zm7uPn*K-?Tj|>p0lUBj;1n_liI+UcUp31M3Drwt=5wdTW&4cWi?u8+H+KGwI@WB z3!j`EGLp&G8I20UqP~-ZMi+S7P*!(TXc7-zQolc`Cw>pAHEW#RNjeOPiKfc@1k!bz zhkwMcFR4*lRG15lpasDYD$Fp9nsl!5ZqABO{Jk{(w*h}+8GXiWH+WHt7b+acg3ce? z3O}3=DW}4p?m`zDU>!5y56rzml?TJ`9-XK}J;3rWQ|LE)Djo!6yd4UZ7I+)y5&FHh z2WS}@fAY2yvG6P66!7fJ&t!l9mj;9Lx*Gg&D#t@rPocPj;+3~TD)d~ie}uhp?QE89 zmd9DG=cq%`X2y#CFGm$2Uj*W9ylR>s4>LFCNAy|_OMeZ@KIh)8&{C~>Vo?hG^5hr0 z==@R20Z65{)MjYf+#k1xIre!6Y%K`{_xC=LE0SMxqO|Y{&;v^+->c{Qr|dQqf7|Gg^UPE=R`aUt<`ND0e)-I#GKH-A3ae#Vo3Xutc{aw8=#46Re6Y3JBh zBi#wnob;Od%v$B$1Ct57@sQ;Aoeb~Buw?9`;j|_9;Idtz=d3PZl+}Y2Hn?++{tZrf z)PCHw$%598&&{E;^e)|NH)_v(ZoH74fHzs6cBR`utLPG6`!u`u@)so%E}~H9MsxD( zyN3e@m1Vaq96>7SZI4W%i*K-QZcm~qSd?OZ6phb&D5-q+3RDZQ3ZnmLHi}rfBlqRR z(Bsq1yx3PPqYe#y!Tj%Yt~PwYhk5>@ zVR9q-P~y-*fcL+Mle{2;SFF|S_$SG2v@w&Bs~Nr3cH=zu`6!?Ef93UWtfA-=b?bDq M9+3Di79!FA0jphZGynhq literal 22218 zcmb4pg;!j?^LGmrDMbntC{9bExWnQFid*sG#ocwWEnW)6-4=IuUnuTg++}fD9G2ae z=llB$-gEEy%-ke*l9}X8CUf%k#fuje*e{>a_4$!_rdsAMuGWqYG@RUQ9BiC467JS^ zW;9&fTr?bf?3`TeTpXO-H0tIq9@eJjG~bL(ZD}}Z)a7Zk%}r>e%&gs>|NOj-@+{`X zi%pIHkr(Eu|3`Fa2pp^AaWai^SWPoEqYo z(?s9o5V=Ix>NV$!_-I5@ufF1o$9&kZ)czt~@*9&c!EpCUY+^KHv96B$_H-q#oxkN0 zaoSdAIU(#ip2}@*X=ypo-`_7SW9LQXOhQR}0y!i*nAr4vYfSnc_^+14HmDbz!TuWn z5{5cT^;#-W#ZkEtI*p-!5JsK$LWZ}`elzp4va`b_VpbE|JQ}#jifos`KqqkIJ(7*4qHs|-ctQR1gnMaNuKTOvHRAhmpX`T6~13(6}(se zJ8!|-i^b2ve@OzKXg*s)QQlNBEuY?<2X~`=Qfik;;4Ua;=Pp{*i=dlG`qxg;n}d*GAUg@S;ic{)8po+4HfMo2cYOS&S( z5(v>Al|p-2A1f0RIuPbe_h%bsF8-ZjpkjXAiOd>PQ46P}H=p}rd|An0qG(V_*r)6u zUPwjQbXXC*U~{MvFR1?a(?z<7`@<-skT-&&JJ|#_r0#LXBvQNX-mkTIfA(if52eIH zamlvBuU1`MA=z6(yJY^1>AJU4&2dum5T{MPLsh$k|CH&P-k@NuzHYXh>AV4pQZSwF zY;u_n7Oq6K-+F`3h-owbWJdiM7|vnl`1@`Z2V((J!@K z8^gR%&GVZXlRL(z|Ck@o%x;02=cL3+#7?1RR(rAyts(8JvnZDC?+l{v+zPV}M$)m; zlK7!)28V3JD~8iixhU0k{X|j#r)hlddu}~Q zVT2KeqU#o-fB=N)YjGEtGxK(U%Cvdtkt>t*LgV3fJB{)Sh0~y_=oq%|sI*#%TvJq~ zdVHxQ(=}~Orv2e)g&@_VEDTrQzzn?TyjPf(!+cWy(W6AD*g_tLTbe4rD$c9^-`BGW zbBYC8bCDX9UR1VPnul!YhUZDoAiFx&74d8xK6TZYc2v)0YIO5Q9-sbyyQ@0tWiuo8 zTXOf|0_J7}j;rD(jmGpBioAO^Onj8T!WquED(dv)lf8s~EKKrP((gF-{}WPlmXncA zYaFm`?yYM&$Sxia9H+>0sJq4$-7Ykpoo|UOQUVWcM&K-)vi$8l5PuOb!D_YOA(kd@ z!TY&uS5^|a+uN(UJB#+2Kw{e?jnLUw`3SpJ^hU5~aldIs&{@>Rtg>N^2|q7$_rcE~ zd6b+cdck%$c3@o4t|RtcuNuVz9HVvwPnBD{AgqQ(Csuo#Yn*x{rcMr{!gGu1mu@bV zIw#u;VVjubbfTA-@7)H`vj^=arT1V)P+TSPU#73YUyNyj*nIxkRvdbTLr7g}3U9V# z$bYHSyv%UxdRbZ-|6wgZT2acj<0lddE63=QsH*!mhjb$~U@6=7Z6^vD6gQRKX52H2 zwQWDwg zsw!931psLnBR}G<<7xg0prc&+R1`FtB5J?qgS!IiH;bSAkRW2f(D<%falKvKc6kXd z-=ZfsTG)skQewmd^jxYnKhLT+KC3TKTENT!ncWXcu1sq*hfWUv*x{A3qt$=Q9fJEF z(`S?e%hf!a%;O8M`1@|P9wN32e$%=Jp9u3O?R$j)j zlNf%nb}MZkjN@ZJ$7M`00d-;vm1S%N%o*w%jo;CPv7uGaoGk&z8{PU7?1ki2)^+he z?f0epZY;RmV7x*MB&qm(iN#OSDiQ%G1Q4XF^>X&|qRoKXGV~fM^mpZJgpMJpl1we+ zCJnMpH?3vBKK?F+=Tfm%Lh(sM_BWIVA{i?OQs2vez zUEYl~8m&~a(g<%X+RZqbGtKm9G^)2d8HMSd^pz_lya;<6rRU+ENmN)NlsZBCW;w;! z#oU#wkIl}(90+@(#D69D5b*lMfoG7cc?_gv-$+`qw1nM7VEsAuYnT@PPQ;f5|8_gu zp?_9()mQLM$KagqttxDU;b@C(XXGjoUD(rKk}S>$;KT3e+Q%=`>geO0V=(o{3I1r6NvVPkax3e8&9m?rAb)!yp#Y_VdFWBUKGq#lHJ1kc>L zvQ6_^_D#0sf)sAsAiBX0I?U@wC8c45D><9|p5#qx{0F?4rB4>bJ-zjT*qQIkH%;?} zVzJILn;#{^LU>aAj!N3 zt$(BflA5|^9)#mLY&%#c zB8}GIILqfXRImLQ`i*&8PMSF*I3Vs|vII+~n2-C;plsCJ^e?)j-_2}rxl%>_VF^|Z z_xE*fAp(1CwNwO@ZC zfN9;qUiJqQr}&A4X~|lv_d=(nqco^o(0)f0i(*YhMd+saI#S>b+Zfrh{;<9q(#7Xv z@<=c+Zsg&TE;$_7Qau-oNWaxNuDXs6O}yRSXN$*MLHty_|jr+SeKTgXOb=9n?^i5lhAAc)+qPyaN z{@K$TC!c7_;7@fFa7;^f{XPTmpQKb`QKo)-rj|Jo>;^S`T0&SJa%i74MPACt-2ire zq88<86Q-@r7Lia5^AYu=Vx?}U8d|LWh?$8uZIe!^vSt7&E5+x`BYpmmpn*41bz zz_xV$Y*RF8V$;y}r8O2H?#oUJAN`-GQQ6hLCQr z)`OIdlIOC!s~opLbW}a-S6&qDN!ekjJq*MY3_q&0{%gsh4+%q+tkl%|(vPM;r}w|2 zjD?;6TFV!N%3XWzW^73JPQ;&eeiI{m(XYcI?E~j!!7lqIL!%8t^>i~sGcVQ<=NSSQ zs408Qs=u@)*=(3z&o+WAoEuhWFhJ$*GNBYPeM zx3;sX*W2B67(?vpwQb&p=?FB~-2a>$DPNG&sd&gFWKg$MTxzXCG?Bw6+W!Kuz~7c_ zB|ywv5#r|mkPcaEqCHmskXfChWynmwRBhzrBUcr|^WNO>>Fj!>eTx#AbDmkWDB3DQ zq!xWaj5?BjZc0c;-tp09i9&SEG$X*k+@^W>H|_0+zKG{*fB#`Klf8BwW$9^;G;?pl zVY43iknpw&3jDqV0iBOT5bf zyRS9@jDmha=NkE}B5ba4QHR!3?)qi4j`Vktd{!Jf{~|u!tguPiB|G#9Hmq7Nd64sX z?JqJXTHR(^!g!A#@QaE}sghN_-6l&8m>inKg9b_jPA14cyGP}O>S=UizEMi+$muSx z2t}7XF_x?(cLIuA?9)jylw93OGSuL5mXr4UeundxE$Nguqzsj6J-Cl4 zS~j0zA=kpHd)fE!WK^!X$LpAeUN+xuO=f5KyuO%rd5zatt~k7vQuwh&>B-# zpME9GDM&P}hK|`jS5^c2NW6nj{2i~-!cO{wfeQ|!LGMgDMKUmsx)w3W*Y`nnn{|hv zvi~6OKS=)%;{St){~+i;@Y$@B$K!UnsT0PUOTdqpzE|3ddl z{6xcDrbjkIYdV*MW%6CMCi|n!L-7!kh%j$cyjIDMp{PFco)+$PB#zYZjJLQi(i3eJ zWIJ+q-lkQy9S&+;T51o+A+Fsm?~gMUwCCxFuln-kyQ|SZ&MxrE$`l`^A8q)|OSe>I z{vPjl(;nKFfV#m}UY?&GIfnJZTj?uwwR6i-O8l90S>Db*lJoS{C6lzgJ-s{pe{~k~ zk3qegk0ta{j&%kbFfS|Vy`ncfi4K4H1_W$N3?|oph?ivD+G0DZL}#o+-3S>yo3g5+Qx6mGA;bz!i4I2$&7LE{a<@;5fxi;L{UytNZl*y(yn} z%N@;|$bZ43=4I1AWXcT7&mn{!W-aM2Wvn4w|H@Fd2ZNWK^#j_Nd;5nK?aH-vYH;EL zYA^ocFVwRtfwz3|iE}r+PHeXQm@>^6L8Y1nVO*}son=LULC7=6uFt7cvq|An!xiSz`2P*Y}KsylPz!N}LVL#LZ_M&qR_>xDY;DC1q?$KQNy7_~GO zo0gGvm|`(blOIi8X$%L%0^hoeg_F)E%1Hk<%%&2z?;t=s+Gz3D)1YWY!(( z^JcT#I%;{5hi`Nu#b%ZEu_gb|K{J_1+kj+G;-rTyp+SX(BjW81QAF3gjA1l$2a7=? z{ZGmqDFxP)8T-#=)fETy&;||VHGbzXzW&c+j(MxWxI3g{Snh^2Fj~N{W8EY zQfi~E6v^uy8EM0E=ARc|d9WW!NgGF4K7Un=xQKZrWIZe+_Cu!-M~W@&9KUv>HwjHa zc2t9tLqNKix44ruMQ@ZqO*@6&=6KIUEt>;di`Xv0zU$Dtu|42 zdBCoxJmu4<+C~qfD``=?^T(okdowe#AH6YYBKcs6dxE5DDV3AJe({!>zpK+psU2#`o*!YVoFfmcjf3ToOp`C~ z%Hh#O@953_zQsPlPtzy4YSl`pGt{yTEL|3#`nojd0o-yZ4AE-2H7Z$gY0yPgtKrTi z?xol@YJ<`O$7zQ~s-mYuerV zn=prgR&D@A$?it7W;q+qZ>@`3-9_M!@1hjyTii~rvX0mBIjvs)4Tc9f_RI+$^JpSX zhHteWnED&|8;4!}n~pDPO~_?&KDT&%*bdqXIN*y}+P`s(ssEjvI9oQkO&sZ6a5NjJ zs+DuATGq{8R-J(^SLW5BD|M|sEA5~0XD7HLqbQ0?h*m_t0Wuwr$rw!UChjo{jkbFn~Xwy{i)o=xZxXqe-^m1G^Nyn0l7B-G_FX$@f#gxVKICTSI%*1VUf z(LV-21^^6m^}4TgPjGA$mw-}b$%F++BDuM{MI?{mjXqLYZWS9F- zgW@FI6vw>zDA68b24^+`j`-88gc%UU9i+tn*W3C{}3qpCods#Wm%+ zt`b#6w2Yt_YeIk5Yn7xM61o|sJp0UI+YlJ(V!c$SqMT4d99IYr6K6P`l!zxw25Tu_ zLEe@hepN$0tE)nb3uPwm#MPUTzkamK3*Fx_z0U|uUD@v#k*@3 zkR>$&Gg(dMZuA^Xk*8lTCk%#vkhJ#-Q!4Cc--|uvce63Q=?>pR(xc;8vRUtvcy~Dr zC-LaBTZkjLDL{h^&_GGV;>P;QeZD?kw2x57AY^eG_~6ov-u|I?7OI=&e+f1n@mLw0 zar7G?N62tLQCBAKial+J^$0LOAqn8kiV<`ddY+5acf?U`hQbbhkPU&s(D7zm35HJc zeBuXhQlo{tGj|VL8*s_^j~%ERnex2?`kPWeAkK*qzj`Ia;Ecu!d17y*F{`RD9G38; zVAI;!zRvm9=(1>QgFA;2Ns9&a4goVy#E6*#jVg6^+o~5`L6)6`i0JfA79SIn^(HWz z^$03)QQD*iRVTC`P3hisB$>bQjnc(@_3@wkiQxpKd+J>>Gn{+&PV0DM11`Ql>sePc zu-6WJzZ~^?l{?8Lh4lW`r=B93c6r}xPxcdDt}A`3hhPZEJ5}XEop;UkuEeY#kw@I- zgwKkX019n2Qs7hw-7%uPX>nAw1<%<9rj6GncIG8D(Ht2>ImAmLNs6&wRi4k&y}8%Z zv$g`iteeopImHk=ax?MUso(8x#7%a5LKk%HagQ5>r2kEJ_U{tSJv-wQC(~wlWt4^r zKK>Sh#NXMZV`L8;^|d_->Ic1nr_4>mdEu&owBP*st2ED7Ho0}NP`>zw8EB9O5{^|} zpz?u!`+RUzDYD&Um;OKo8G;9W`R32|p;rJjlzo9WYBvGNh0`Vm+{rP(6dh=y+1BY# zkQc)ULRw_4gQ)AfvM*!nqQ^ve@MST6K4^}4g~*vJAZN|%*b3$T_S;k20__ge;#Gbu zk|71WGJCVAW+ZVF3t>ihmOOS7A+#w_E~>1*8E$X~z(F;&L{Ys#`Zsj6F-VK6`HXp} z)GTFan#g*|4rqU|MxeaMEWx|G8P~FfaO-jkNBKVffq5}r18WD$BJd0TsfXng-ptQf zF)ZHu33u(mk#$}N;hv5Zlb6EuG07*a%C89!hcI(}jIjxx=6)kCD}?7?vF`7mEn1G{ z94BUPkJvt$FTNDBcBFJt@a?p{%cz?u?kibT-)4Vx|7!hS5@-EBv4lMVs~R3I#_^vG z4gb%EDFcHG2@rC4ozb^p>R+2Gya;12L?Ctugf!gBKe7NyakRvL#ruZ$(bkV7?5O}z zxD-E-=!eLLFJ36z@bO<$laMVM#l=A6d@Ao-df!7sCUq&pCq8}H*sQXr5d;0$c_wF8 z^hnE1ydp6RHx8fCUaqN=Ig*;}m%{KrKMoj28nrsx`@aC)fI2o0V)u~ct}GG(OxU_U z6hnY&5JddY#t4W*RS?THCfRjN+wA6h2y6nV4#gAYqm98-w)m}83gorB9pxT7SqjHZ^OlRP3m{ zR@-ZyOgyW8V!;+8U_RAA5VknTrnqthAmFG+BOxCzV%&sa&;`(7RXJHW?g=61?+@1_ zq9o12zd%%n5%tjT*9%-RNMrz*T|bty>B*6Q4c+V_xD+y2WiVJ8-8)eN$vkgsNzF~% zXnjhxu`jugx0yi*!0_(33$mY(YIn<_jc-ORR%?jb${rb)h=k$J%n4ei?_H4#`R&a^ zjHoqD-FJr`k8gMv-&UA3vm!-P$^aOyfl)*vFXqvt5|cI}O~UVL2p@Muk!1e{nos0TdJ&R7 zxF?Ln-$|b=-2jN>tt!d`Jcf;X0NeRIA4?>H+fHo8f%7V?-rg(Z=DueOc+Ko7GED5 zu=0jX*3lI&)e{>KQIx>JEOKI9h$!(QO?dLg*!e3bVAMnEZ!> z)e&*V)}JN@XoMahfurMK+k-3@A`|Mu9l46+@kANO8IW+PscDfomf&7cNMhf) zkjn{;{|DI5oKFycu&yLQcP}P&Q?EZ6POlTGgcwb!Pq82-MFG+12Gkc0 z$?WU{`U-ryhE^Je)6u_#D{&(+l|Ls;4xK$z1_}of!mu;pD6p zbKtd*N9=0i9Y>0yD%mlDl28`ilzSpzo%M=@b!*nk|H4V zz9GQ>z-NGlk>f_KJJ-1|?`8p5BXo+Epfu!9AbqrYh?8E+G@$gp} z_os(Azxn(P_xY^(oo^E`}?{w8CnFp#*;UJH2_0~D#q46sE`m0SzbYaxhiol_+8LoYsE`FAcpg9 zOO*TcNGLCI>W-=#HuscFp$nXZLq7x6wvER?Ov}`RQam}ygM5wYwNT>}$NQAkp3i2N z(7+&5XNtQCSGdG&B-;l!7z7;-8tO874+o8JAW5!vF{&iqU$rDz@@}l3oU0@9?&n`1 zGvCA7so)^Hp6Gj1LMw33>{D92OzkaGM&7Wkt1x(JGO9%;LrmuA1tB3)I1+m62B+fq zCEG!R#Ib=N+{_dYeH*&(g1>n zA*Jd+Vbp)l3Of5mP2;c68}138$A^Gy0T<)3`l6L3iXb<29We0)ZE4iubYVkI718eWS-X-5s-DQzgPPo zl?T>|N}Pu(LIa^MK5V~RkVPkHq!;nJkKg!AwKRbW!)Vk2u_E+8 zPnje#8aZ1VW2N|dmA&hE7T35l590@BRqi_eWq&2>SJnIQ8na@zq>E&lqIz+PLMqGBAmH6PZWPAI0 zyFcv<)3!G{21B558?l<3l74ctr9rS}nl#)S6!{A|Kdf+G;uvhiHXoIF&K~iI{o);O zHD4O8E`^&(H)e;Z%(n}ffY)C z)iyUWx&$IJ$FBqZre1#&m6W{fS%@O_MkoErcqZ&;;FpJ}>Sa&3u=@eS_aLf1&-IsN z0;<=%y^G|~j5@kgQ&VDU)opG5+_MLQ$`Zp?8ZcUUUeY(&;DIo$Iv9=axiG3HB8q_GqBnQ7}&Zzy@L#x6+drg1a-{G&L?lK=-WHkqGk#`~AbKJ3Q+wlcGHUiDlzg-!Iw%@+%_on?5_|mEpWJ^( ze5*u1sl|}f-nswqc{Pg#58>xCnPnFy%vSn*9?0zpkzlM^HBWGCC?FWb?H+JSfh z7HmjMzUbM;_&M&8;WMfHdk|zFJl+z9_eZiL3U8-~8fLJd{zjx}WyFL298bW0pW!dn zgE8cw<>5vzYy4aXaw_p2@%jkM&~yo0tM8=oP<1B$= z#(|~lL8mtcZwFD%K8&}pNmx?x<6%B&4c_bGGo$?%ITh%82Xl?fxpb-s&KM!u~!sxYFP`YyDN(9(B171tdHf z4Tia~Mju;CdJ}e3Yq!;KKf3-2attHs{V@sq{`;xw+M5`Vz&Lv(yf1Z%;ZzYWT+e+o z(!JeKDy-RIhq!b6CpVdOYYH#f%|TY2?8(*}DrjE8(%w4m z3Q>B{-F>%>SC4ng*}1H=^OnIK^rwSrPw}wZE5+rjglYj02c;Ei^L75RK8#Us-x*<< zLjol|9jHkM2Kfaz6ZhX^bV(b^Cl(c4%d2Cnxz|cOSEVS*7KSrYo1UqViECVK-+v8FJ8zGkZ}?SBuGGX_a6fXkNc*o9LGoHV zlajFwO{v*@kj*@yREygKlOr9zF-xHmAG&K4S{qQ!eUp#)r~cU>d2cq|GubBu*yeah z*hSBdTM}zu-Q)G)9es$hg?%!Y{TeFdClL2=BtrybC z5f{9>9iELQvpkT5k*ktg+ed4O`E4?NwtfENu1gT!K1 z$qoq+_a~h5K}dYSurp*N9^>N#A{$IO`7Sz&_~C0GiLBs=&y*r)&+E}^^f}`uX3MX| z<$=I_xQ+3D5Bq#jAjZ6WxQ}9O`57=fk_4N?l@$7p{pN%N?|5<%fD!mk7Z6?tY3}+n zv1SR$V@hT^y10VRsp1~-Q1~5DZyW)GKIwzp$RCau;HXgTv<=~qE4b>HKa!MR_vtU0 zC?aCTGN8^!vp5M!VVA6<$?L!=Z)ef3{j<7RhrY2gOmC_mi=6#YG78T1(4IK#=~+tv{tpPI--IMZ~rDyM0j#nZG9@1W`gakMKc$_C}O#_*Kct()Vf6C zKb-rTH>`zsB2{-8(vaIxNV^b8|H>lT^(}E=fYp9GeE@s{6{3#A*CpAUqc;7I z$n_spLjeEM-NEq@*{!zCdq4tm9epajAyl z!D9pRcO(CJuK;&vv>^G$66DEwIN~7wl)GL9T{0Fg>a0cRSe<^SI5|9VOr#t%+C6GA z6m6ner(M+lO0mFmaC2 z>xV1MCxS`O@JV)bKZ{_0+Wj`E{dIIdJjsbmaNn#Co3Fo;tuw{+4#I(QAq(D4J$-B5 zB!KK+!~Ns*4;^=e*%#sOLqD#Il_93#^tlGBFI3q->)`Qg)RYMf{uTi0=6win;@TRW zm(X$sbhZlQ&Qo@E-%68hJL&t`qCu56R?-7{+*u*f8!N9e+PIwndmSQyuKZ4?XsbzW z-|6hBMInVEJuVOo00=Gfa$V_o^qQ{S2-ErW74B|(JMFmobH^{kJlb+;aR+8OfrvL0 zNgr>rt@7syu`(WiNsT8dUx)zo$f>rcyXK;*7}`JF~+N$PFh0&`j%1@-h-@V ziv;~3^}VC5=8X4Y(c!4?Mt;&WOpC>VMIW(N+C=RRjfNlu4?1f;;L7P(Nbir)y$IRv zI@!-3c;d*W6@cH*?kMa>Y;+&KI7<#(3E#U5O+5TXn5gWm=Vz|3H8}bjmM-6qsGiQ7 zZ79CNo!th}_Uu?Y=0`R&<5M=Pm;q#W*vV11?qcm!}5Rw>XRh zW&n0jQ-B!S_`36-iC8~t+N{6ELexDiuK~V}&d^Z6RTJs>T-WBKA2-=nbtVI@`@ic1 z(_EDe%K`2Ju&K&#iQ_zI4Yhb@#-$f$)DrIBk-WyDc9}*B*d(I=c6`Bzrw>RzY%6zO z72fWsGq%?+Na6#g)Q<4XUai*O1;Id?W1u8$>EE98cN6mGXUQ94+})rrfk*``L=XYo zNcJ4U+>L}_AtVXmV1;w)qzy6tZjeVHXdMkHfQ9fQfI~ms-O8VXM7klCfk<*Jga`qg zgznB$;T$B?{VWSfdKht6Rv9hRQ?z)-Qz7H4qJ9`#8BOv+48ziMqn+wL^aR;N0Psx? zgq?-+wE6*1pcIb5fOX{!_@{u&EHvEpvfl^SP&8ab0e-ayIjXxC+yF=Y%+Z`(Y!dGu#? z8~9;QqE^N&MiEzGL7fUeFMnP_@x!k9LR}3|pa`__WkSF_gVU+P#&KfTy)z2bVWS<> zy7{cQaiS9|gPZ{1N$<3l+SS4t(9=?xBD^reZ39gw05pAa@{0Zo%RM{vFg*#TM)Y39 z87)J_qNp0hmMyo=Q@@XbuiMjapb5fwpPT@lMaOTHobEa%QiQ!btFUm-SWN)k-s;Sx zW{L-Wk2R!GS(bJm@Xl0C0PXAev}qeonUZg0CLH;?H#?Nygx#_Vz^cW5Z|(!<=wats zjG=Y5g~GQCPFKDTgg`GmqIk<&Mc}gn3qUj51A3Sf8#n#LGS}|CI!f4MzH1azk)lD^ zGY6?lZoSZSaAWDZ^Y{_|7jYz>4<(J-{`#lqg4DUVrhk#B-u?qXzsZSx+R3-b`BZ+R z{dpEW^DU`eoqxK@pjg(Sjf=%(`Hg;hIetb6(C?v|IReX0i0)0lfc`^Mj_tZ9nO=|L zS;up7@Bpm;^^0ueJ{th4kSEQT#byaQ`O7Zfmu*-ALjZb06iIof5Ws{KnPmKZf$7g4 zsGq_Y8)9W7Omj3xj>a!?S6WG2x-_kf(b|e4Lhhv@T z0}*3}h9A;RqKXI?9MF69ph!P1f|W60vE^haNIwDP`cH+7*zg`pu4m`NPY8`d8;5c` zBT~BVCFsYg2>?c;y_?**DSn*^;jVfp(2-OCL}g?D)+23pX8n`Xm5=E2jEyo`fa|!f zTR#Q3deGy7{rYz9ZRp1x2?0>Et@HP~idnAQ&i*G(QIepd$IOB$JU`;6ZMN^ol98i` z{k;6xxf8f^7wyyXcjj_u1kTDQr;`XA=QWHqzn^b!MvyPZ)0#abBr|U5ov6lp1^5Ck zW$AGrZ&M6c=9y*KZ_YR=#@qHbszK44PShh586OD&DlecF&5cGqu&s70#ViJHXMhTM z50Ht_(gOv0phz)p(6^>U z`!~)mP#eXPg%G-dHjcT}LjlB<-(ZOgcm084$wLVJi8h{_3dpF6NPIL&1w4LoqHl$@ z54ucoibj|>4uTe9W7^ioIebWZXeEMg;1>hCfV{Os;OXkKKYjUS`}Waykk zGsC%s0xnY(GkORC;IUqT(M{n$Tr<1Z?2!z}V1F|q{aY>Rm89UOs*#9GCcPiXRPrEa zdgvJ4pMIV9{?ZS&97%HkBUB_&JN50{3(E+!s2`Wb&XqyJ43b%R=zxr(I38W9sEOM? zGEk-E6rc+I$<3GFc;ba+*>lSI3poaz!ve@!{af4)Z zT0^1eSg#dkFN8llb-Jr6TjuHmRJcE%-5$8(iUoBFwRNZvt3H+%3`Zb@e^XZ-!O}Ep z>Ta{QcDs;)m)0GQ3T9^T-V`l4Nw~X*2dVV)Pf=webCTN(UuW zo(_C$$ii$3xl#!NPsAAF0x8+??8qbV0+D7x>Pto!`&KzBJAR6j&&Qn7$!pPmm@ga! zf{_b!2;Un!RA@m9aaNl5*l2(7nDg9ADJSiVwtau?{d(G(dYm@Y?bOqoWZ#<-N3=sKPYyjwn5fQohKF^hy74ywfZh$^ z%A4m~>_AnFU6WvEn3G6T#*v2?@i7Q(_~^Ypb%Mutc!tNGAI`jslE#C$%CBJ} z?cp7CcFk_TGq}UP{0|H+GoM%0%*7DZcqv5t@liq)L`5WYbbDnEp8m#uDl^P07nGlT z16IAmJ38nO06QV;k*Ee|>n~Qv{B8NA_v;nj!{G9d6FObQ6enV5KZWr&muMDs9;4SV z#E%;AuDmIa`p$@tmr)yvz{4VY*aYJOMBStDKxvP20m2@|qp(#paFYKvEC(xU zMWyD=l~<7IoMpyS`a+n8S=u-D%CfpzCziw5H-FsF?V$_-lB*^FJMEz}RSG`qHxK^7 zfLX@gsWU&GSSZ%UwXts4V&Urkr&9fe!yCT5+tC zm{-4C^waT{r__n4s&trvWbFA8RQfI(DUc2%0-?hK&Yn<#M;s4NU%3m7*7O&ofV}!^8&0d)`PPAT=uTG1bz@wOTTgw3lpt50 zD{r)Qo&}~DC}9^puKO&kx)QVV-%-#;#I<^_u~?tK%yug5Y3h_i3p!#+qp>Dj8$u)c(j1Hv`lCcv{pO zNz`M9Om!yDJEmqCf?KZ4R%KIO!)(PqRGo*Nln!b%PHkww#l^HTf^G4(Qt!*)S++@F z0N&UA3@F|~T#=m4leUJ+lTO^YpPeuXt6dPI(M?bzgt(T~sFS=pZBCVqw5{e0>-tqt zOY&O`9;xgD+{xQZe42|XRn}E@X#`=8kz-3mV(KPeP2+09)z--gcKx|vg^&)eTD-NOg}hJs0Z^@(R|nC zF6f6t^28eYE<*b(uQr6ta{mI-SVy(qiQlM?HSwnBnlHMT%OS8%*lGA8pH=2zIWHBk zV)QZe@;m)_Emp<=w{z0-b{iXB=Y|3uQp_NsbIKa-)nN*_bfX`S!=ex+Ap+ZOi77l6 zkM-&Z2VCmYkDFj+AT737(z<}6gqA!3L1{_mP$>ey$JCR4+2?RB1)A_kx^(~Dk9w>Z zxVBmTTOdkLi+LH$pUt}d#aLi*6hJ#o-B{p=DLjQIL#e&9HpxhRONhXEpu-vWRS8k1 zfU-w-DpkfJUnfLll$mm{p)jD_JE9;qsn(Zpl7EjSj9tN}MVkBT9dY+U{LwS2T72YJ zA?;%q)Nk4j?kT!~1h$yvJYV~KcCj*=wwG8eeV$Vtit=#V>G6y=a1xW_E`C2JCltU_&r8Y@vCFPVhm~)d;8fKQ(YbxXnh~|))Ih3ZAD2{2FlBS_1 z;80>&fFq?PkQye=fJ_2E-fw+>-L=ly=iGDd-D~Z=pZ)AD+xnr+Lbz^pNws9Hrn|;F zxg@gl#Tj{S5=!L9yuE)oo?ds&zCy5DUr@hCD=B;}BH5^aazCHy zM?43BJ@7;u8iVJGaqK5#k=O4jQBSuw@uKL@*g>38wV+?b3mOJBB#nwm=OpcB4)!|S ztGlF8%gIB;A}ZfsRZhFR^ijPkE(|z|eP)}O>1}+57mX4=E!veA%B)}~ynP-KaAIrl z0ymy;2MA6&6DHXePrN@*^c^T_s91hMpfj(;Flqd~1~gTCrVa9s8_EtdLOIoD&MIy- z;d`kMar;xz$F8dA`inK>*)DG`&5nO=#Z@;A;L;2WG6 zS6dmE;5urw8<5?nPJ$$dZbY*bh%9{;x4`f&&z;HbRd4^rkj#V$%Pg zVQO~dL}1}T{ybCC9wq6P2oA$HX0W@!Qk;O%^dA_%d8S^Xd|L^XdyM>dul3Yyakul2o#~kF z#{_+Jq@jqoq1r4M?s!T2oZ+lfyz2euE^=q6m8e#Qpul{%Ey z%;l}f3LtyY+DYbwPeFPUITqa7n;##U_76S5u*Z{1m_A=CpUNH;!Ar*JkL^e4wwuS- zcJ$b_@p6Ps5Vqvqg%d9g&Di3OW#FGM+sf4>H1$$!Up=S|ycZQ&(`n~zRHeTfH+uTa zYrDGvab8s@=OmpR)7wMeTOaex&w6HC?ga?vPf*BTbY8EYj(BPq0RN)SsYA7piwH|j z1?4!np7Uy4K&Y(l0Z}7ti>Rdl{Yo^VYR|4TRDq|iN(hn1#uQfLdc@X|QK!$tk7;W* zl16!oHVU$iu5#?MGy+`Oyr|e@>ud{9`-}9@2uu17fGDDuY{6qMCI|D#s6%H%?azsp zq#m@YgiD}V-a!AB;i1v!!sGO6PQcCGKj_O6c2Ht7Wz%(j98x8V9or2r@F#*pzryv& ztSzQzLlZ&lm@j}t1s%JPU2XG3PeaBdEBPJpgI4L7FqQp){#Y>P_MOl%jIZny?5h3Z zqVM8$e&T^*rsOKI_uebf6My0rT3F#u`n}b*r;l$Wk@!9t{J8hTtP!UP(^Zbt+zWV| zV%b654&oj5TD-`^jnUOe`Som{IRf^VwyOUx`VV-?idJCfJ^QLL zmbR=+{sU)lgjdK*vD;VU+{MPD*ze%{Nm~MC>+e2f2#&(@U5rl(rE_NAATNm0`N{v@ zI4M`duUL^>%SGfsOYw)Bd4GyDh%Q2A88snrTb&cW0K*%|0pQ>9=h`M7%PE|KHS+j2 zje=6O^wV>VG5B?!or{;^oFfkc60FxqXyv zF~c$cF8-r15+<*ysMn$UVbbvhs7y(=N+aqoZ_gDF+3Suv{=2$b1wH z3mwd{zqH^mO6GsdD$6B#h_ua35I9|{o@TWn0Bfs->a$hd(ALo!89HZ|j;NMTBKB}a zW6A86I7iSziDln(HD59?zkz>QymbM8tOx&P$3%@msTr2iy3&5_ zaX-L)CT0V-edEoe^{)0mbp&*koaVNLnel6gi8`TKZpiAF_JO}I*|c|5_8p|p19Yk- z#e4P>&qLgnSl5tJR246^WWezU%XMgrYE8g} z$5i%X1zH$C4f0bbID*$+*?Q&$zhxDDV+7nun-z-KA22wRvDyrmJPWia6io8ufI zV9R00R1T`JHZabYJ`GZlTooH?@|I(l?6Pd)QYbN(fFRi9Qomx-wjc9{7XS}y&ch7V zW=82V6yhl%Ec@gPuH$SH#L#K|4Z^(ym6_U#vYIJp;X9|nXQh2Mu) zPknwG_*aurFfENLupT#A$ZZfh2Mg~yzl>I3SgGn9ABj+yI&SyhJV(&HS z^pIK6zjnqifxvo^wx64AH6VSht4;3SHVAvT!4 zINp1}PU^EV0#;#+*=e9bN~}BApl^OCvpSW@MHVnQ(u8bGnXLEeP+21hVJSH8uJ%uh z*7+6loOEUKTnSo-&8)=z1K-jBTh93hO5X!!t z*~2kFhiZadLw>)VfAsm?<@HXx_tQy2dnCe_c8NR`{vZ;R0mqlAk=+&4`JvyzDaQPp z1t0zOI*|4=tjw{98QR)#EsTLS7``Mr zl{xA9btvAQhD}n)XwFZ8yU2Ewlsnr>Orh6#j=77)j3vm<`|qy5wCTV-V9tp1Ojgzo z-s3!UOAus>p4o^HtL{??JMQ%)*0={9Jpg*IbT~oqXpc%paGox?Za+8$e9=Hrq#3_> zU;CG|0|;I_8}Z#}MXd2;3}Ja|FIr0zjCE%~7m>e{j?@O;!Q|!)4?P`cp!FGV?Tj{| zB`NTBm-VDD@AKPK+e;@Vr)=zNYwALNGqw{3R+>19$3j8b-G8=Tg~Z8G)Q(eHXlpGn z98&3wXW%2h0%(^{9O+`B12;R-<>?6FDMgiI2i`oblQdD|hhzWX5pJa|`@s`~XSRmA zdBAeDNPebTEWRo_Mvk)b^h3^#d@NggYtZv2|*_1!P6;vJVb&! z6rA+Q;^(2-ni_@T==&yMv}e{2p*+|`)3cb!Hz~o>-T#?_0hFB0p-U#ozh9*i`fdP8 zNYPcN^*c|v&-Dm>e+Oh8EfWdijmzOu8%BxLq=|>IsF(-SwjG%c zl}vLo($g{Tjnc`K4-xm~$43N@$z_gif?eANq4S0 zj_H1pHQ5Nce*RA-7l8!q#jm}|dx4njc#K-;2|Zv@RE4ol@~OVP(h)*ZCg0p@@c9*b z)8^?P=J%q`n2@KGb4oMrTnB<3hV5uxQ_JVlXmPr+U4eI}=WHooWX6C1iIF*Z2 zy4VWdwlAl)BoaGh>%$Bl>2q|XwA5H#G#1aGq5yoN>s^T)Zxoa-hYA zWG!B+C{OfIAS+)>1DmePNE|U#gL!NqpJpsQ&o2xGngZru&UdIoor9^dCcVlwlX!hf z#8GlW+4hjNA#kJ{qeE}4k#{iAm9do2#t2JLUuS!t!&6qr07=jZCR8BJGXg-b)-AD7 zQM<+61c7;J(!+CDc0Qb2H(^m9pIR4%mnl1pK;vKfG5z;o1 zGwwWOz1x`Tv!69PmKTwIxVfjbspr|#4XFNASm^MkovpzRm>fY&m$>Ok&NI1No-&yi zp=QzCqj+1zKi3zy@Md+tx4(}Mx#v4`-^cWG(r*Sbh`>9a3Of*S5jnV+CyRa*O86_T zZITk4vO|?K)--WW=kJ*9)->2Y*pH;oPhlPX-YVzKi2niS!KH2g=LtB=Z~G$k>*6)X`fgsy9abyxbby_l>oAVe)x|V zn>rXVv$|Aq8qvqMc%Md2ZO`HVPJ(O43f~1H_@eG#;271}z=_a3|JG(@iCK6H2~ zuN1StJkmA8vzrkQ{3AI{^?XRBZxWH(tKMOC;wKS?lK-L8}A& zM5op!TSLAMLA#D{xeb_r+a4(~qAybUPH5uGKK8MXOuJbtrPSL;avFx@R{0>*h-8EZ zsjZ6fo`0!R(&eL_%*0z}lt2qut@98!}?~xCCauBjktHG3>Z5pRlB>@qHGd;Z+F(>*{+3_+gYDN?aZJ6?Mvx zZ%zLwhABh47#(U9ka;c@ry@Zpl+1HHN*BV;?o952p{e24p2U(A*g#AJp&zM#!@M*d zBD?Q-0VT01qMh5G3OM?T$gUNiyt)`&vh1z2s<%Wby4KNU(~KdyX4ARIeokI$$L28# zO@S=+?T2pV>K2GM&9iQjx(DEca=Y&o_kG|*~{CF z%4G2h(HDuH+78;N${l@JYxP?Nr#Cv5YiD#ZKp)5PezExKwMfYKY6b;q_1=F}6Lr(v&EH9^?3}{{+D9WIjXs{aZI+Z1r&b-Wk+S!Z##B~3O z+TpOHMfa`O8_7TP)MdJ!O>f1?or-~Wb4Cl5#Xlp9PBXn`-&7tx(m)||i^VuXEVCgY iWcd2F`|%IrubZygEe|zMR2_AD?&Dl7kT;l>$^Hk-g8t3` diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb index e6e4232c97dfdfe1870d9f766bf413d74198a966..4f228c05ba48227d6799864699c42be7ab34da0a 100755 GIT binary patch delta 699 zcmV;s0!0180k8&;Q-4zl00000002<}00000003zL00000001xp00000004La)RVbR z!$1^8hkgGGL_>opD5$9DD5)b8n?(>ivXg>}@8ByzVqeWYo{Sk=b_5bg@T8YX?%VEt zGs*KjpESl?P8jp9@8Rg27Upbg%9v}#-sCF+T}(dTG*7NU$J;~w53~J`(H^P`fz2E;%*n=Dnjt8}_FM6CN zzs|*#S{S`?SL^yQ7pv!^$=8LZak|iiFDegRXu{FU+b#OKq9`-tM5=Whl zs9z|lVV!Q$>nk1)ERmm-$E62p_w1C`S9yM6N9fZ=!jl+8jCVK-V=Y0N9Um5aDk1LV zV3?iTL}T=3Y)86;I%pRSb!I@A9W z`+TsYK)&WO8qb|Zv>p7_{PG^#=hq{@%16V-_Mcz1bei^VBdzsqeg70b=05%uPwo0k zd_DjG00#g6cmd*Ik%}^Zj0J$??t+wGAQ65bMraHUhbm+R3bQkS6!vgy+XE>E5gm<>`5 zaW+s#P$WnJgBlQXF!%t;jn_QDK1Ox8pTCPMBP)XkP{EGb7hZ5G0BMlp5r+DQxCZ$G hMHm=3ftZCs0Z8^cSnd}B(x@su;VMB+1ONa4|Np#UKFI(8 delta 74 zcmdnMc9?NOu(=2W1hheEYbbpfN>?!c`v3obJ)1%TlVAWy?En7?#-x;M1& diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index 4aafbe293ae8e998cb88c64cee00ac9ca785b49b..f6a9266faf984faee0c78b1a8c1367378daa2546 100755 GIT binary patch literal 22875 zcmX6?2{=^W`%fyBt&+&zB3lu%hDo+0B%#8LERlWRne53j){tcg3E8qtmNByLLzb}* z24f#H3^UB~_x=6veV+S1_ug~f_q_K!_x*f6=UhE==1c`M!|B!Q^n7}1P3=6rKDxOI zNy|z;l#~{F>hsarR!BxxM(Clul(dYL%tL8eA!9pF-;XwSLNDLjI0;D$89x^?v$Gb` zvi<0N+A}(a@zl+kGaRP>GiR(X{J%AS`~TLTVLOEB(|s*Gt(CD)R9*N|i_W#{n%9%^ z|AlK_)x4|s>WTCfO|5V~-PrK&G|qE(?KO?A+|_#>{zd5aM#1N^m%prd({Wq7o0S{6 z)4B7r+07@T)P=c&@z-W%A(ob7V`H+9WloRc2}k(aQI$3N+tYrDUe@lg07w_jD$J=K^LtRxBQkQ_cKlUVcM6Z!XJ$)7-im&uh>ezbEl(i_@FsUG%ZS=l$Cmf1c-g5^F~4Lk3eN zg9sV*36dIuV?Bd(zqIV$LdnAEb9((Yz)BhCLJ^>s^e2K3c%^3X;2EpHs8qVqJs6w5 zcX6wa{70xa^7m648g}7pT4g|ynm$KJk^agXeL`f-Zc6sS5TDiXkO)~-Eg#w^H~ZHpJ<{tjKglUrSqRt4ypH-T zDgtX2|L$Q^nfd(B8RoCW! zZQOdJL(&yD$1lp!cMs5bJn|}OU zI$!ZkYU+l-SoEq#7UST8lwym~gEW06H4{0>SE5l7O=Y1{71B|SW!=SNJggUM8y2qg z*51-_-*o1q5H7ShcZlt?QL>~ayn$DIl&>%`-4K{6T2IcdD@C>S=emLZ5F5$nIhZ+@ zqj_IfeIIr^_e^7Lp*wrZnpV0ChmaP&rw?tka`(Ep#r9+3q2Rcw_f4ZBT&X1%QOe67 zxgf`-n2cL|24cO1+gU#6dykx$Y-~&d0}4!??(wSf_heU>+b{+6+Y;K1IBBKe%>5vW zidiu5Bq>yL(U$i~U28D@a%9mrtLIJ~IwS{ddj46mmdkwnpx0*RS?8v6hpnaRL2$y) zAg`ZcE0TV4+r-Pw^WCab=G-Ay&g3deNj~v_hI9G{+QllH-v6ICv!_X76?WH&b(^kyNs z8%D`GYa3ull#8p&AL2G^cbQ!3u{kv?MED*OYGQ?ASaxIx7k5@N{N8(2k(U>OB;2m! zw?WEfmbuEzcxk=5MT}Y0X7oh$$d#RkbAPy-)5JmbjeVV1xi%2u9Se4V50e!VA1!sT z`o*$;^vc9lsaI_l>RA--xNcpG!8n5~tq&P2g?|+H{=|UdQ6^8l*Q?SG`Ch`ZjmI78 zA+INKr;STh3o7(}O}J+~{HqMzVBao3S-*yI6q;%3e1972N7Xe6_6vVmFRWDJ#Yfc^ z%w>$~(dC`X>IOj>Q`HbjkMzUH2FaQa9hTM!R=LOnlyK$7sne1$hplVqZJ6GmN`86g zcZMuq^znrmct&<^`{D_l6|!x5IXb>97T1=Omcuy0E%5sh{`(pvaw#i!?v7 z-mj`35-r~?o#VZETv_HxLUMrgFEb+C^-SL;X(Qf0*+n0!#I%RZ_`Gj5zpaz|0JB*b zcUEGT4`g!Q>8+Mm<3{J>mkGry5(P`KvVx++!zL5M&8<6+XuT4}=+Va{u_vw8zvq6Jb%_=2rRAN=Rpw*~zCW(_ zH@pmf{rrQc=v7I<6NbbBM11_Z-4AMmVg^cYbt4qs`73m5>LKT^M8G`eyt~$@ z9}_8Po_X=ct6Qo|!7MzhnI{Gd9+l(T-AJ2l-HUgL%sn-?^KB7*d|d{UE;DsQPPp!% z>k%(KiPu&my``4RR~m6#pZ!J9p#V}lZ`_lIO+H^_&OH^Fl|e8zB++6;F2WCFnj-aU zt#7}2d=p#r_~Yn6<7>?43*zMG{%cFuH&%+SUw^f9X58RBbJSrwVIKJKaug&C;u!BZ z(fdzC&D6WF<{a!yT(dO$g}UzF%Ztx{RrY=98CtgRIJm#k{jX7G{N|=~vw$6zM-Y3g zT`*I_Ds-IZL8&wdTFeb`@h-Wl>2IKZl%uLs@r?Xb?dwa#@Ev4R&Re5W zlsWWiiDH6Zk~mRr-rV8J#jQtr$%F>|vI9qhmw72iZB5-zoipU`}sy`i}F3ub}{yQ(N<}a^qeN|(PVJme$;e> z_vOE>7vM-j-M%H5ckqDegP{0WL0?vA383}Ly^I;bxIDh$#{T1qJ0YGwOhB$re1c7w z^$Gh08^j_HSg(=)wx6a9a=b60VU*L2ec*!hr9;%YTb4bHdeQ^9aotm*;7>-9C?^9rkfS=iZrfHBh= zcFMjr*7V)AzCU}N9)CSqg9t$pH=jh8B23}sI)<(Ma#7zhK2D{g1s%2Zq>1+X(4d1A z-nyZU)%xsIMJ;>=Fvpj1k*oW+3P{N}S4QXv%qw2{9KAJ4#XG+8j`Dl7O~YL>jqj)8 zHb$v9Zo_O0W0O%MxJn-V(?uZt1Z<@DlyTCRp3HQCgNnaqWvAS#bJQa~w@%Yj*rrLG zlht0O9UZRI5WJ^7pQrz?Cs(Vdy!a74N1L7X%`CObX{vcal^Z9c8i>NlsYx83xF4H4 z6e{rq2f32Zd_^88h&End$d5tg=3v;*UhiR<^WJ>@a(_CU5B_ZPQHt1o&3B0Rk!WF_ z{#HOFATG+#=W46*l@IAXHcjum0D6Kb*Kw( zKu=2s2AMqn4-53CqTuhzLPV6)r~U8Fibv(Ik~V;@X2)N`3QYPC11-exO%bw@O#OEU&OsR0`gGU%o*7H z0ggf{2lI0s*pL5u3mZAfT>q16OKCO#+cs>Ur7AUTUGCOX%9B2|8Ga9GhW=glbZ}Dq zS?qtQKD>YSL%~OO)_bl|K3HK7r+eN^6&48T)oaPdNo#jY{KTk}HSwm8`u5kTS|)y+ zz0TBjGc~d6n5Lv-lgd4J)n{H=TB(@OEi1Rm=OhL;yHL8sJ2*YVl;d^=V77{1m+Ss` z{UsF;z_rw|_xwvor<$85;rQIk=NFRjMC?V%UWyM6WM2XJsZ~W^gU`)@59E zm$P`1m=?(HULB$0rD!!?Xj2{J-9O~cp?S~Wk(rHYwYCN8zTrD}Y9J`jJ})Vhuf9#| zY_F)wUhtm3^)xQOrds~Y1VQGO_(v0;yvb+R-6kxtrF(6+eWrmf(+a@8XKta2HzvK9R5yyy^>2D;`zo1g$FJR z6_E>HJX~`7ST7d%{x zg1-cJ>T^dlMs2yCEqn1LA$>;I=l7?w=$Z55iIE@Sp&MRa@9uA$rKyzM`^7T!&oD0~ z;MXTbokz*=tpoU3b?^@7=LhxkE}-4zTEx9DMYA)R3AHWHs0_X< zd>dnddEEQa;9`^Sn)qmv-|q=yl&*5CQa;4h zlp9^wl`SV?-_rPlT}TBO=-{qxqa{`a8PZ5r3UW_MQMA54%g{*cU?r zm!m32(mEm6Q7cFh_?*1*$nw_-7I1l=L*&SOi?i$b)`OdNSzbSG==n01=1cBOlyW@# zR;9?8AnRL2DH9zyJ>6r^t@)_dsSJ_!{>0N{{hF{2B`^FhR*#1 z<|pe`!U$IRpQ~*fj>A?rWX4j%oM6maBN@P#>r#rl*F7|f?|U=EXo^orKGV(>6=7BQ z^(2O42t9K#~>1rY3|zUd(xynItX# z`o~z-6h3K3Rc_y)i#OQt>s$o5KS>``;CL*@OlB^1%TIB7P8WQzZ65K^AAezjL2}AK z$k%WISa#>k?$sdvsDp`Hq<0Yl5#yywKZgei7n#33{Av?BP}c`CY_cs$G;x?lj%lZ# zax3R^iayhMb0Kf%D4gCC87PDEVnv@;4O83~D)zj0t{&Y3g<#vv%kKwNoJ!@V z%MoV!R%$ypZ5NCkC4>1+iau6M@}^cXCYzUiXcbQuQAN2F?CBJ>txxj0dVoEK%OyRd z8qCiF^rqu_oP`f7A1ZO_hmc!uJ5Zd%>b$1&@`?%r{y?mFTQAu&UDaIBdC&P#;7p~4 zEo|5a_UOuLaqUGP%cA^&uB2z&ccl$~K6<1AD<8L5x4`w>oYzv;S{HoD!l8Avnf3l& z0PFS1nqS5VzwX~PDBgHoE@ZO$!?+^+{zI$=F`pHdc;12Q&9CV{^~nv%WZK%MLQkaS zeB<$=aU>}_0fx+WY%qNCV?@pVg;PU_Jok$ezGFloeH-ty+>TfoIkRx?|Z7bRuL0-cea3%FMFPk+_UBTy)pjr z&kfR>^{uIIEx&he)k9o)(noEo%W+Dsxsf;xDuc#1VA#~FAcNT$X34)S^|YJSO=FOy zM)7%ZwOX$o%UQt^qGEkW&Q!|bCj;Zks1i}9ryZ>y1Rx)SKRAVOPutqFvdb2a9s0Qm z7sIqJ49UqHIo;{#fy>CmYjk)yHzgNmO57u)gzsNqvrSqx%>uen2+t}d)jUyeGQhv^ zY4-0pw4gN&N7}f?x}(et?P$ZCYgEKw>0f&e^3fBhQs;gKKII3Vg6!?x^LzQ@OU8kj z34P}LZ^PYo$kku^<1w?0vVOY|DRD9`=)Emz_$EJn7--Oxx^>O2>ew@$UBq8W4589> z2XH&}Z-8t1#`$98tka$oxtI6inrT*DFh!++;SQ|-9NgtQjii4!g3&cycmIwB&qL4h z7vJc)ssw=CqXxp(`W+}vM6G9hgnkxsj*R?SKxgY0j2;m*ntL4{%fVwf$#4zU|7en8 zfBda<;Fa9=gunGg+m|`!cFHf83bURxpzQQ*XxVv-HM)i{ey89WgRfT_%125fCsG2_ zq2%%;?}K=x6@I!Wj_`3myZ)K{Z2BkRjn7$AG;x>*>6~5UBD4{f?M`YYOGBcgyGWJpq_zgu6$Qgc9 z=q0vqbISMs4PESJ-!qed8nf4;&8_O0PP9{E-zuCm9e@+sty_0t65a~+r2XfT0q4!sewFvr^!tBZ~ict zv*nwLW=Be26(r@Ue>F#G(n|l(mB-QGp;t!B^2NxpKN-ua0&mIrf~Blrqa=b~M>IZX z)ev3e*%e`F3hq>nSnc{MIMLAe;bwfF%Xf;_ICTcVk9du1d{;4%M&la#CxcYQt(=_n zHz?;B6C$qIuC%@y%Y^a}AH5+c1;;@|OsM!J(YDTQ5>ba-acwz(>j2%=qp%73Dq!Nj z+*iTCu8_s#&;fQcEC?p(hI3n^qXB>~TLCNsFU;_bzvu+9#y!306Z|FueQ<1i)W!ET z`q*Etu;Ff*Chb8Ej#tZdK$7n~C#h`Dq8G+>E~~lP65Rz5Opv>=;&XJwOQ{ zzA22YPlN*YH-v~nYEB31NIldZ_T$2{et;l8Ko1Qx!M}YMNooB#Z_hZpt6KVgufabCiV0r&vYltd~i@(~h89e}G zkEC?p zKjbumx^wbbHPOeCcyjq=C~mS%XC{p%yAu4%U7(L9pdj zDbO}9Bb71cq24i(?4phLWQ>kg??l8AY@OVXEyx1(CQZ6 z@H)mTR0M>$h47fN;(C=$apF`%cfD~|I${A*WUr?UvZ-m1{osy0YteUcX5TBZUqDB< z>HKmO^{rY-%Dy9(BmMYUR2t%I6YUF>Nh^hg98(=OSmAUVu=bFZyU|B~MZq7)sO_45 zDOf%kELfjcUDlSgY=4vQwA8)}t)h?~^*%h8{d`GLnuiSElpQ72m^!|||8?TA5eMJj zOAC12uNKU50Ji8VmzvxI2c&*Jj*o&TeH^n*hbSfG1M;A}eC}J{9Nen#S6bf-WuDd$ za%QcZ)ykw?GJWc1AO`FIVz=aF>m$|x@l{;;veyJrYb8n0j`fSz*0LUyVbwL|Ji)VYVF7dLWArCY3oL}&!-oB50@I6?oveW*9lwivmU%ZGQ zf#j9@VtT(SvRe9RdAirzRi5OckQ=2OS( z1&e+n+F@@a1*J?qT@Io8FLt0!zh66ushVihxqgq{^#!!PA#n8abW$D9xm^6Jp3wa3 zP%dR~gI6RS?z*oPlQnovb-H*kX+Q-So^{qa@!*fAs%{owpXqZXNM>$dSw?`g{bP^p z$QrC^f6N~IZ}GnwqjjWH%FdDqP5LV!0UDwd3f8uK5nlhe9j%jto;;+dtI*N}BZZ77 zTC2(qSNAiVDAdq&I&ytg!&aTjFW2Pc3GI9tZ1k(V@j>p?CuLE&G}JL2bFc zWO-q56%Ap{O3xr(NR#sM0C9rzUXsu;TexXU%6%PBJwbl1P#qTDREJOEpP`!sb8_MPE8 z`4no7vdtyHZ_Mk>BGn78?03fO?^KV?TpjwNqO21-EEV>FY~*4-`-74;A4eIOAi|!v z0;SY*H3cnU!&^kk3b_3QaA56NyL2rIYkt+xl(>cF3pxSCOF4hvHXjd^J=S>aS1ydZ zngpE%%;!{j-%t9Y3qZbmIfM-gp4m+DyRD^Gl=Z!HIhnqqvZc^kp-k9U%Pp-8-ht{Y z(YA7FR57=oXr(NQ#Et8xNoC&mMjsA%#uAwp< zkNxP%nn1a(ZBAF^zFc#WY}o1V`~VF!hUa*fKm|9vjx-El__kZ0MW9D`CY-_VVfm1> z7qvA_t#iy|jI_X?Zcr5*CraFN3bO15z?NvsS}^UBF0=aP>61J43$gxRra4rr6no?i1F zAUv>=RtMiI|PAftNtq7mQEWVS`)V zeUFBt&2~-+grDKGuvx88P(l{Zm`oWRMV+}-w}TRfp<2G@4vQ5j077H zX>W-whDZqiMRPyRo8@t-W0S2yOyrWq8RAVO#L4($pcWqYnYr8EyJgsC^yOTLAruA)>Lc?k39u-A)1w)E4dfp!}f zhIi$U?f{pPvh^dnbr2BUknTdnVz$9w@Qt5ghN-lDsVKVtlI+TC9&K-syN82H+wh-e z_Pa>i3;Yb7p_4;Y9>LzHa?pQ|7tsytNR65aq31=(a- zU2-qdyuJsb?OXP)@>MI~^eOfuw1?##YKs5?l(7pAoa!&*5JWtoVJ2DwDX~GRmsaV= zK^B-Ohyb`%1P|_xeUyv6v@L}&#wV<(pCC2Yr2<>K`w--=3YG9+#0yw=dU;c z>Cs<6{vbkP0P9-=Wpb5LhC#(j0?iz#jA9C<1DqeEw3QchZ3m8mvOHGDYtoY&4l#%N5A`BXm-6{oI! z-VQGlLOtAnO{PVg{TO|bN|lXxPX5kCB*WTkp9>BF5Cr=Ivz-cxZOiL!X0_~IdIJwZ z%a8yt(r6vm4RuvKmBB^)eR}j$8C)4lVV)cjJf&wKw4jNJ`!$v|B?-W&{Rk?<=U!Xz z@DG8DGjZsYi&RT@J&$(2s8f)BUE!xs{%1qDqkVIv(d0)hCk^=>JioZMI3-Zr2Y}V@ z)JyEOEcC>@`f$Yu4C<=rdbq*~um_5iW*kS@zgc0n+?SE^CRCjcCQXEXMV{}Ji}j+N zz*)xiZYJkFE%PbKJXC(%xoOQ%SAbZz{cMJ}C3kHUtv-POMoV5XdNDxK=$G6;xDe_A z)hr9B?}jnHV$a>`-C65nTVNVcRX8HnQVj7GAxARPR3i8{&m3eB(vUdyKHSYqa7V+_ z{E-#^00D`yErt{8kU-TA^ASY#@F0mWXL7F}eB~Ihy^!^5C6!|Z%7_t0e|TCsmkQoh z>t?*-ARbl5kp@`t?}?|6@{mq(U9xQ3r0na5Qg9U&ZWcri6%@x#${9h;7n#e+L|Mh% z%-$+2tbDm56s?Z{Oc^N}yb8_TitD{a$2rxZ?8Jc~Ts55t%;?#MV%_yL#HWt#B%{j5 z#Zgc0f~$6#YXZU5&nsyQQYXu={F&lgenLtggaQk;so6nhgKxAA?SUn%R0blJ8s%n_ zT=mw4CL~PfX^|kupYH$Xw$*(~M3Nd}-}d*|HyRXE79``aA)k?P0kky3L=@rXCivp= zgdCf30Pa=irJ#YTf!_q=tDP+jm^beo-S4SyxM4PBK3xsWphi+Xqw$Kj5Sz+R?1A-0 za}g<@8uhuBR`V!sCN$$NaIK@%X05Xkv_aYRHN{T@%Bg~=Y93SC3X%kd&_*H`V(1#rk@!pEYJ+=oQi=1GzORGwNax@u_Re_3_j zDM+tdRxHS==^y>-BKQ-6W-4~udvpIhNdz&iU*M(G=R(>pT>&#wnuF*)wb}B(FQm_@ zHdL(Q`l$etZ(X82D-#jZ*XHx{dD;&#y=LG~F=7Yq)Ui zn-{#>jiqmhhkg+x^|jOu2yyr-hDys%D+1p5bd**czvC(rn6kiyt7r8xykWPV}XHe0mFgK;@y2gkI;G zuiuW0iWDYE2KcX+{-F*vZ8@WdK`nKrX$WRZ9e3Tl7ir<=txB9tc6 z+|hmI?56S8Z}C_uF-i}!;NMTQ>eu1O{3;Mc|4a~(Dg=If{0t4Ew7fJ2zeO`@1|OOp zpUMN})+HXtm;5k~IJYLmUZ~EN2K?W+EqIB_yor@}B~e}^2oC?HbcCqUPOyG1v_ddo zJ^1L?zfDNvRYF75Ex5p)anm=m+_WlS{C~x96JfZ7xXA4L&1F0>~y?aR>Y8+}FXF z{Z;j&9#w@sJQbYYpg9$D1CK>O1C z6JqdrlTv%mT0#eFcql%Cu|AG?N=GQC3U*` zZZ!%s)RvhW@assHQ~7$ZvaFtT_1$m7^;LpNRwN)tY{VV`XgHA$-EKR%*WX;_ zj}$4Fwo1c~J`Iz=>}x9vVF3Vr!LzyN)n$=pr2T=vE^)N`2ykB@d53yu@iE2HtvBtI zj#F(s-Wr50ylelc$zOSdLf~d-T;lRh{;H6Ursm|6oYw^OIhwhZ#99-X_U*2Xy9FV5 zIGBZ`vb5!1KXdzrVE-&P_eW)CX|CqRf0GXQCDj{5K2|ja|9L>l;{N;IVqpRs(|#S3 z7qw$hM69v$d>m`u5-U#78rlK%TzAv?BiHiodd=@yh56ubIkFq7k%0HBbjH@(@lQ+m z){b=exw)n_XD)G4k7bkal63>De;|4HsqcE0jK#NS7{H?9=1_!iK{z=J8SYiiO0(`MP zJSN0k^Nv*FH3t=@rt3AhdsR_W?);Ujg|Qtm>PX`-IU?V+^J$WIRqxGw3av&7w!GU>vYr$oKOe0U2 zGz40iI#oHSNoW@eyZ4-QG6t&iPA!41v_s-4TvCuEXx090dhN!Cbl3)-UGVVTI=y*dcsn}aq9I|b7T-utr{pLN_WFouQ z?xH5CPiT9l4-x`bwXx2K1Rw8bRmXWL^v%^R1r8C@adB#pj)jhV%soZi$GNw(qoB|o zx^oFK9L%)mKnF0=5MW(Ovfm%k>&K0WmcQb>KU$qE5dM93NkbRWWoe)3TcmvroJi00 znp&J+#p`R}e@dY<3AQ6ltg*DT-MlUQ7X>V}KX zSB~_kXSinkE6hof`0xTh(pLh&u~6}r2}_S?_t$n*fn0E%JD>^-TFj6vQPF?mN{I|UQ6VX=>8S3DG- zFR>T0o^+)M&PjMxiq4jcvpF;w2D>sboYv`wx4pIjh>my{JZLPGg?(?e$&c`|pLGTy)R7WyP@yVp6@)nKSg%H0GyB&57*r zg9!K`5)|I8v~DMjE1-Hk8;Ur$A*!cj%|&>no{#5Sf7MAj_nRJ1zT7WZ0banYwkh1b z+2>wG-M6Y6fT|!4kv5-Zd8->eU_Upum)%|}Hf2u82oerMzvA^(naJY)0aXo8!e=o* z{rsHAMV`g5>>TCmo&O4Hd6BPobryE0gZM!iT|QKF&(Bf*Qv(95k>y;`XFkYUV-!cl zw`km=&Nn0rs&=Wd*p3hyzHDLIVC4`a)=mGz) zJOe?20m?-Br93w#`pf~-?5=?t+3m0I{XBF2@|Aqa+PiB!Hn~Pn^4}l{TdH_6B-3Ux zDqiN;HOWV&e<)E<$J!b$kD;?fxsJ=|kORR9Q%B#xl{2w|x3_bIuy17%jIS|bq+r%R zlYg<-(egd{@XftA3Z0kqn6mCRgN^3P0$(-%e8>7H5!TBtfJuD!V8{^Ys&e!Vr=2!b z6TP>kC@<)r@$}a`6DoeRvxZrS{tO_Q9$3xpqs;Tjqwm?h=_Ab&OW1px`~Cv7Lfe~G z)@lhPcTe3pA!s*mOdxCcQUJ7_q_S$CyRrchz|5|%_&+GntM#<1hw-BoWd{MVq?<7! z!%&Qz3c+i4?}o(@_~Weba`o?{xBV%a&Rpuvm5k^W*$W~3Ef=s0ITnas#08Q-n51!s zlEjcoS*4Wh0?tv0J}U&J6e&L7r=vLmYa@5FvW-66k~&eZQd{L)Ba?R`?Z=P#j+a`w z8Jn;hx4Ylp)8TOA|27uyizhQf4r_d4yCw7ZE^hw`y(`J}ZOS+Hux{%ycgFpA|0rR7 z?UM&!^se;8VQYLshm$!ldHGlk5fUgy;)*fsk$oe=a?JmJa7!hQNN7z)e?ETyN(u~P zN9eiroCMCb2N(>`8aE`)wg~LaR@!4Iww<8m==S)x8u7P>{Ho;x7e)7+=146YPtb`x z3f;QLJ7ylPzZZXC$MRm29Gcg{;J9|Ly_jDBMevR%IQ*zC5$$vN}8h48;J7jiEBiI|&CB9kh&N#2a;Qq@xY8o?LdvweqJE6h@-0!@-de?4{ zZxO^y41G>!U(Jc!=~0Ki?00^9JBE_+{xQ4E*A8GnU4h`j`B^^I{`bupqZ={F0@RpL z?hO;q{8+t7_B-G-V-ws;k=eAw!$0`o&cCD6z#PPK?VxZH3Ui~utcYt>Com0p_~qcU z?5xDUI{=Tw197sEK{Dd`ux)|aw0SY2qW})S4R)1^i`v1BR^3)B+=l{Z2PVuB@G^?Z zWcG=f)DEvlIcnF0D$y@?STzUS4U`@|HgnFf4l>!eIje9~guz~H!0 zAOzi~Q)(N`y;Xq%)&Optn5Cum#N3Fpo%`n$L=2>UA#qV}!OXy@`qMy5=mo@WS{Kbk z7(l?%OeP^^l$Og#aatLTlkD~XKDohV>+pt*)UgG`cZzjTSLo|v!(Gsg<`rd5{Qc!g zryvtzm8b~JOp0Vyug9snY~kD^9;)Mp1Jwv}&X(8m<IDvI7rDTCEyCAK=75kv{(O7U1*R1d z+ej1Kr~#f%1(U8X_03JVXvq|Co?J-zp40af@@HZU(17A=0G)prUtj<)T(U&g=61wFxo`b*%#KLH=-hRDM620U8(t z(Z3Mlb~sNgji7Jb{`;og#~HkI9m+J&gAeFj^$bG!@3NEEL$Be#qjpu{7+j!tFC6ps&z(%J_*g=&L3Zy z$?!Sur5l?+(~v&2umSs@SmPfX8om=Ui4qm+CtKH0e|ZxGqvcoL zz1Mq*tJyXGOIPe~VWcqKMN_k-#y9TbrB(%*7L3E_<|#)drVR8HMQ|M0>^Vsna_#Bx z9NR+b9&kxg7k&UUeuA!vY`wf0e1&juf}&{m!j_N{1@WQiFog6Fjy@RgRT$tV?O-vO zx%}Qae%#hv9Kv^BgT z4&ApZ=j=8m|E^gSivFDzSgml%PZxKr(Mig6mTuAdxG+hi4S=Uv)A#o&;v8FTrv!YQ zse?g;V@}{zXy>&ZOQBdsv!JH#2r~O$np>Nnq0aV8`AA&0#l@ywR8NE%>EI-V9-T8` zgpKqY&gpgHs&0x#BXGtb`M7s7SA^aMUr;*^|(!C77L|8~YBn%RXrn z-j^M3zA-~_n~Y9=BBD((<=;4dES_V$a`c8oWwkKAJMCAtB6Lbd`i;Cxg+~0@R94hAKxCw);Mad^c&eoI;6&C+e8fj(94U7|j6!k#eg6oR zF&4(&!#EUd-|Zu{e5iYH1dVojws*f)ZTsETo%-r7!=^$fuF&!{?;Y4Sj>5)LrPqXz zLLMGo`lt0WAOHI2#N=w$2+QqLVdmIgb#mh5!1X%} zwg}tzM^v1^O9w*uL6K<3IUpl#_Wds^&#=>+q&ghEKNVZ^vV(7X^<@d0|MRQ0QqI(r z48RPHcA@usUfa|EC^nD6;n7W1@C>>ny!GdAMlBaG-RTmQCntzUk*}2Wey*)mpNDmwgZ9X#@POniKc~pOp&eyvhtz@Fm9V8JGHbRgDT!C(ixT z0$&)*INJscZB7cD#M^f>%yb=2(B_=@NC~i=k~R&@;oXC`=Vu?EiiShjZP&ALT_85) zMX{0T6RJi59VP^>^Z4YE7dZKM$7-?LP3|`^fwxBw!4P8f&!#M%5wRc4#fj&B!{;yJ z{q_uL_R!>*qPtOoIWwy_<;~}R!&9;6Nc$j5y_2C^t&}M!x2NEd+jDl|Yc%nut;D1c zhcT9(^P_}}Fnt{&H`A_q);JV&zXAQHCrr)?M+G7a&KO=b46#gAtY(;fN>w|LX@d%t z?D{VP(O*l1Jr>p1JIJ<&%=}DyecwmE7EJu@kmsmRu4*^_k_io?e^@8qr8w4kEW-Dg zHkIyP{!eNAk!G9w9QdHTJ;CFX%zaf_jqhkfX_wd9EpYIwtB|m+u&8{@<4<4$_1*r@ ze;PeIfba~=QkOdrhBCLR${Z`Oj1=BW5lV=14paNKHNQNvj{_oanJ)h~?@jP^EchIq zFs@#YnsboqA6-p??fBg5HZral{(DvrG` xkqO?NTC(U^x85b?S4@BgizN;_J!0 z@~s}U<*G_kH(j1@|1rzhO9KpI{9FfEV7(9RU6AL42T$$8_O5Yqc-)~4?msrEX@(7g zw>`>NLy?Jmd4e*M+VgGQZ@!<{(9BdCUiV{fklj~OviLn)6LwAmKh&_m{91YF9LYHiwkOA6uC4>yd)giUz3W}Oo} zegJ*%8C-yW>lVJWFG!lbJmPh8-GmDo1cz+?!QX=*CoZYwASu;=k{&bC_0+3Zh@?%6 z-Zi(WU%Dz2ew4_Jlh{N42ULrX5)-X6lA$8Qz>KgS+d8M&%gv;gF!X)wesP}z%9qm@ z@Ar;;l9IaNC#$pTg?cN9*?E(M7^uJ?yVw0PY_cyXlPnlkeCUBS)-)Hv>4xq4cM_^HQW2goimG4zK1yJalX{F$XmNqKosj-5b!0kxt<|S+=0KN{=<1 zQomc3V~$|78WYLG=qKkf-YOf$0reo1(1j%*NSqJbM%q*}mgmu%nwlfELh8 zN>eseTKwx{Z97$Q#4Nn67~@pHR*Si*BZ6=~JQkS3w;)H`q(-Xt(rwE}|;@tdL2T;(R$bmPD7NN}QT~ zHD)hoG;Ar>-NJrZ(+V=sZa(}~z4c~_s4}x9N}9E;+tTZoB$X8{)=FVoXn9K3xmWMa zw^I0#f^GIE=72lb%s&9CAm(&#$%h37JxZq)@b}h}DI#F1>m+tz7Uq!Ax#Ukyc$1iJ zc^rgjf*(d(?iW75@!I8(3yxxnLt2h*WLq^3v6R7cUUwUl9Dg#}Tq+&j4sY$n>Ql+@ z(=?i`gJMZ<>_E=-rtYc34VDe(}3X3+0PHlBsMv3R7s~;peYn)0tDb zIEz zb`|u9d}XcZ>k-Vp1we_5U>1BZyF8GoPOamon@;?L7PVqeZ7=!&I{k)C5pc7kK8tDT zogRWiS^Dwy<2?l)zYc+5MpO(U=*_W!w5;i`=c=k zJ@0A7^$xVin_5jlH5lpP!&%0Yd-$be6E%ewvvCNUn(our#JhrGqcO-GQ(mSJHAYJ{ z)9>t11R*!;$LyBzh+XZqkl|>x5-X=But)CRr0}uD8O#4`=RBX9;DR+SFDeL15dmINC`y*DFFmRC-Ty3=pa>UXi4bl$>rX^;(mBP zo|%1ScFydXo%4Hk7W8j@AX^2e*Eo!*OSx%$OyoNzvLNga_L?3+2w(=^&LB~YW9HpV z`)bTowVr?h?Dd(9!JdE}sI@6yyrs8$4>qC1l(7nw3tTz+_Jf**N^8{fcKlp)d~*C? z3m8MEM%VOsh*Lt68|L?}K)%9+Pk^{ogA!$ld%Z|*^pjx@MX+}G&d#c@p`Vx2^gr~5 zt6IxU6y+@svA`aL_Z_H4vZ%p7Hq8cL2Ky}glim!#k-h=TZlwVKHmI>nCnR`Z({wHSM3bYjFm5b&>s;5dNi zg?o#kL#m_x1g|Rh44P(L6|GHksc0`YK)pb4Ain6O!+>)b=)2ezucZpgMU=RgOB?+? z?(gp;GoyrE>vf;{SI>5JO``Oc?*ejSfgTt~74@whn_sWEwjiGbV*xN?|%14m5*(vc{?r*JOs1#B^&bq1Z(z~(dY95QDr z6txiAHXO8WSFt}9K%lYq&Sy>@&$FfrE+)Y4gu*?t%SO0jU&_qk6E%8+o(_srT2E`*zvLI1nxBTEW@uv)pixE((RSlwozK;NluLo z?78>m{oK!tuUnpX6U#uh=he>Ah;ZOSF{SG@+w(*TN_vz!<${t=R@P@u^H}-ZF^8cN zusy9Tbcf@#ym}N}Y&P)jxO`6PY(*^XFz%Q2uI-e(#5-gKa?iW~YS}WxN^>B^SICHK zG}~`R<(xRFpgDHyjMLi`Eb9i}UjqSl<_*<(4qpX%wPwz-uURfShCticw}Ps&O$`$I z;1lu`p$p{rv;%OhXEd$Z{`e>!l3&s{isuxL$>H+DlB=DSmyB}Q+KAed*oIq1UH5?* zy}c`u$cIC~aPq}{ea@xI`jX53JVM4D!iQDyUut%yZ!E>=B`nL-<39Gza}I^_5-TJJ z{%{MhgJSf7IE%O_iSkoXdSKs5Gxx~6%~Lyu&afuXpE1KHgA|tA39*3gl{=aOu3+mL zlV4vOoXDIzT`%+Q~JkS3!WX4l4#_tIguy{}u z*EBFltOlqU>gs<0W){dz958O^#3}C*l`sPd=q0^{EPay83qBdSJckh@v5t_!Cf|pTj9FYw&>CMrA>}@d+{oK)u?}BcXE-W8E^nTJDEUmOM5|7TVz6 zzOi&tBzcBq$eodxdS0>uX(0mCu0CM9)U#~0t1?jaF6PfA%;9-NRXNdlV5OyX#u==A z$e_9&TTLzgU_u$(^1>@?kzKzV>Ba|q!p!IDd%jt7UWdt^8L8U4s8f=rU&Oij#YZ~M zV7d5^l<|*1zFdsuga73`MqF7ty=?uJ4E835S)BW(d1P@kdzUl5kou4Pb@cxHOMa%2a@N$I z$RmlD9i|%cLRZ+>iKoWs`tK$Uv77RI{kO<30;vmTs94Vyo)}#Uw##$IdP07rJa8Jg z53;NjKvRZ(dd^pk1se_Q=6d2@4=83t3iD<@kvb+^w3ZaLj9Xbv)^$cnh z+w@d0E`h57Z;1fCbu@$r{UrD?Ayl{dA0;QbDOex!76HLHf)-!P5zPU*Iuwg}%5q7; z9R#!aL|}*};7yQ`!%{Hiy4KQ{BWw=!EoMUnR-c}?juaBm%)o;OzfitOBGry{dUm!; z*LlNf?l@?XUXD@3xf7R5(a9hKDQ(9Zd2Bq?omiBUB?6Tj6@GmDeaY0dg?U!fCwRFF zm$IKb#a?<^81C|0Ro71D2hs6@eZrJp$3$*{eZcZ?sOzx4@khbKBeMC;*}UhVf}E_j z_jJRphAVJ|$7;2&nCBhM(J)ct+***_g!~}QWI@qQu8{B(1^yHkFS#pWl-?)m^U`@O z#P+Kk8>Usqd-L3~MD@&(?m86l9xA_|xuEj0<)R^ap*-&_arWn1wYQIL`OpzrgllL_ zQ-ZbPKDbtv5PIdS+|c%{iWMCl?>)km5y3TwSC(P@CSoqEH$#rxxp#Wr(?SHcYmTeN zsIB&!j7aAX0)1CJbchC{Dgz$K5I%vKr0s}awA78~7z}vPA1g6Xppc5P7cSI0x`U8b zu)T*&XKXUJzqYWPLq0JAvv-URbAvL98GU8D_>jHU5y7l`lD-2)Sj2DdB& z9E8u9Fh=Z?7b_O>OGBkLYcu6p0}O87PR5X6Vs6z3i9`$ zhlYIn`_&YPz7||6ku(_e$t#S~lvZYuIMLX?I;asWxbszZjZc@B!*yNrA))3Y{uaZv8|JJZhTQhTlflLTtXZbgcA#!JP$W*3IQ#HAQWhRnw zf4J%l@R>YPvvk9PEfM`}#zJt8%sMXoh@c4z&_2$R8B4|Aq+g(#RPefM!uBYGhqZr$ z&99PV~s(vq!eyK1M!0nDB6jrf4him{2{K(VK=@+XIe$o!~#qPIe6$09@p1 ziYUEP1D&_~#YURw-oD|{UE^AcGw#=*i1q!g6^YP)O7@-#anjw#T^Ull_@L-uequ$x z)tdI;J@We2>Dba4GR!(NG#_twp`~%c{Zvna?$5-3<;4a_a*4K96Wz;#x~oubzgx=} zGxT>9HbPN9s@Aj(VDwPou{HTaUV2xA+GLgVP3LTU)I0oIuExvV-?x+ zDSX}Ug$Hn8Gj8tErTCA1?iJ^*__qFy=KqD9m;cs$s1Jl?A~;uM@<_ccKNu3=Vw z#)&7joulTuP=!W+CUw-0ksz$xstpE-x(24$MDK;o=FGH_M*9aIf(d~o;wNY@!{mWw zqRi1lguNYNe`cu{!0?3qzAmXrABh$RMh5x)4GT=Jm?@xg1ktbk+Q?ZJfIoeecik2h zC^3Zgqu8f4<=gbE*4pN4gU!1fN^UG(63r!~jmYPD+P5UrcaUkLryZumBBY2hg$p zxD@K|b2aDTdZy;bqSP>Vhi+w({yG{T-57CLJ8GS)!bAk!db$QoZV2|avj`Gg)9JUj zGAfUiEOX~swV65_laBiQ^EW1-%W4{v+J@Z^Ehqc9)MeuJOa7f^ge?B6fJ$tpydsF+Xg(b88nS(dZRlV_}#-F>}l_O|?X8mYH zAx$fSc6oy+_hjH*uGz5o8XiQA6~fvTpr(^LYl5^) z1DFZO1R#DT2aXpXr-TS$ChM2%pSSU&eZ!!e{vex%$PAGtvLv_UW&_;(H zvY~UDu5m;ZhOR}g_-;y2TpHYHe}vm(wyglYelC(j&LYs)H3WpztjKa!fwu7jBQI2UQsZG=VZL zbve8uu}F5~MeRP$30aun-v}l{yFD$Y_I=l7?AY*PupQCPVSrg59nHo7k{~yogD&m; z+@EY5tHS=izW)0g#zr--Lxrug*=_0JPPAwSHqqT zf8?>&`U9wg49bruVQDhsM&IKAD5K_^7I5&=!W-|X))T?tf$-7ZhU`jHZOwJlrIIU$ zp(bpTOM4vXa5sN`Vgmyh^rp$2RkcFK-N0Y78}ZSvOW-PMq}jQCR_uf*cU)O!(2 z)-VCL`?M$)3S5xrah@jQLiZb2aIlOhq+$~P(p4y5u9`VWSNDEb+V=mEAkiq4;3Rk4 zw>Dc1k2t>;%hQ7f`LgoRWjWY8xKqp+8L~`n=XI9B)X4rNQ_Wz0)3jR)^7W)eNw#5M zE|HyYo(}~kxU-)r+wwiNw&9~&y>RZfRMf?clV%}I0*U=%$F0ExH$i2#`yylml?ag< zN!L7xJih4_$*!kK5G@!VaCrby)avVI_pMv3xA5!?dy7E1w+FfT6cLE<{5zn19#ih*TBAl67RF~xo3a8*!tmVp8bL4{Z%hpxi=Paw;r~7kHaLIL=SDdYJCu!Hzt20e07el<=%K+coYikL{!Tmk!Km(O$Ul8di%@-| zG|!iY)?gRb+QKIoU6QhvBlrow&Q{#sF=C01y1~7o6sfHOkdc}#?UYr?XH|9b)0KLl zVa)8)75FSt=eyQxLv1MJy+%`|-x1WRY^CHM#gINJKd{1)$Wq9_drnQZ4L+muU!)$(3k$U z=iLo*x-|lnW8?mi+n{+a=MSHgp4sP_9^|5U#>+%z53AoShAHsJ%0)>`-64p~UhR*D zmJ2BYm)r(-YF?icNVLLQU=}20#h+|$Er6IMWBR`LO_XU7Z`ePV9N$_{yc zy^_NFl2xPh^9+@Sg~KO5ToY|J|1m#rVVh(Ov!lL*-3|}P=IJF$7jxXR zf)aboE@1CxcYn<&<9^h)F6Zye-2F%B-?Fm3v_phUdG3*9aIbW_{PgY8v`#7RCy-Fy z?<*sxk!;UjNrBxnOqY$YH&zt{ZaX~|yKlB1j>YWw>Gg?+`9G+!xVUYg-R^ZQfZ!vf zeOSipk=(o?8hSDGs{7M`x^VX1h*Y0o{+~(~=bK{KofkODghtmZnjx$Ky%{&4!KN_$ z54C⩔K@~H~Z3FMpg57n*UmB#=pj-azgB2G=n=Nkd&5J8fUdBhcTRo@1+Wq Go&G<03R@5W literal 23178 zcmXVW2Uru&^S6S4ihziK)KCOeI!KWgK@gNCpddveA_CHzbb`{mfP&P}dsTW1y-VmF zLJvg>>F_DF17|m1o;kcldDkn^AeVEwezr?Q|axy5?;^5)?jKkydYuBr5p>5l>*g z`kz+4A!+UCbQyV7F_hB!$-* z7@j~lY`B#WwNk^Z$(CC3SC@;f@P`#&O`l@0`gMmsV@|uj|9P(B6q2{CI;7ihGiOR4 zzqw6>*U&ww`o^8zeUU65R6J~wr*qHabWrM~AbXnEg5HuTQz#(K*G#TmHrr3l9I+vq zkn1#=;;?mi;>N9(@c5k9DUc4wM-Myq>W)XX{7^xhTcRS9Ctt$66gM9xvj$$TOz=tj z#neyQR0TYZ-vTX4QJJt z++&;)5aVt3L4_jTx6z5%91H7K2Iy^~C7!=JigZL3Uu6_ApztkqO z$Fk=ECyPsu>~1?>u@&<)UG1FX2P?6*3IIWUT25kwv zonFkUJ=CyQPa1v-qboBNjVe17b{kub8?}yK&v{qd4PaW_j|O(R0x{O58)QK)bA)l~ zZk5kfA>CH~zCR4E>2Ke~1dLDlpr7bd?mtQqvAyw*cDp6|OwcFGpNVDv(dpIlR~VbH zKR6cey~YoVPa`mFD|TGv4=sfXUz1IOT=!@e@MQh_sRri~3qO|aZEmwcwe{Krg z;0kP^&%U{Hs2*#w_JQe1Wd5F3+LvcsMKqn^gb11)Jk0YAOMSVCb)FvjYS}>t1kPI~ z_N)9X8ZWPZX7T__+oALLGS zL{fNADdlfM5OO`l!vDy%}4XCAbrhCIWs;#7wD=(52V14+4+ zITfbyDt9;(7^YYkyZjdpNwuFBoc-U-dTBq0m;LtDD)4^H_EsY!sd)8wbV>M|hR&O} zb#)D)pBgJ}@BTmv>u<8z|B?h;?JP#Na7qHg8n`bgKCJO5ow}I8yVGErYoaheyg-Qw zd4l7J(NDeOjN8~~&n&W~^R|n8MoEKHE%dmN#d%P_?66MK(+ z@zh}omqV`SZ9$rF-;p5gT(3-2ahLBAAh!8itkfW%%U<0J=mcM3zIj?PL+Y-m1=Nl=5z)NX3F8x&?t)&w?$Y*RDcfQ?HE~ zqd=;B0Vq}8^{IE%US49fZB&?qg3lv#-pWf628GdyC@a0VZN>VM1go+SzxZ40LwGvI zL9F7}Xg;4g`qiggn2dKz*sR(~NV!n_apphUHv1&a^NjG~dm(4AafD?(?-&2JV?|c3 zdU5FICN8OWq4QRByVpxg8CfV5vtATOWjAd`^{WDe~Y-EofmB!9>zj^JKsGWK11QWUO-b$wcI@f zw(HC1EwHrR_jYUw+THx{i|-dkn=74_S|@=K_fVQJWX3fT*3rVZaLo{LTG4bR{`+Lk zV&#Wi!;EMuxQG(6_#N8J+h7+Wn3V|nCJ z*XUV*QDgQGx$e^#^q8e|`@cms%<4A-CB?Uf(5&|G+a-hKB#EJ}hz9h@kNV;oi}}^s zcip4vvaw%Fw6`{Xx^J9CIW~=F(Flpk)kcKWP2?@lFTy69V(M<$D@I4Nv<6D>I*IbW ztu<~HW^t&`I4)ZFyKh*&$T$9cT|4r=(>FQFa9xJ?;tlcdnCzLsvw_n3G4m!8#oaeP z?y}(9zKeBOTn9Sl=fCo$eOobWkk4Z3ZzOU-^XP$2fqS#-J;Mz*rbX9WpnDI~!hq>a zSGVb4+0hs1u2)?D{Y~E##H~#~-Y6bjYTEUv^83`i`?AsEk++uf=v`OQ**fg9iKkM} zVoCEucaV>xZbl%4yg%3$m<0cAS$QByJa8>7vqiZA5KMauc5+h9pMSrp;26-3w%fuo zD&-G8Ig8r*r4v@i>RC}ggX~Gn&cD$cxPE>k2QJ&h)s^@Nwtly0BY4_{BkV{AyDD9W z6hkfbtK4`Oh_ikh!rhOFmJ6%Tfb`Hlu65pNtbMM;oMgcMg7|XQX>2=cPh+S>(mT#B zy`w9{&D^m1-dOHI_P5ahvi3sxOPPWaBc^C}s0TJYY)UVpyv(myr`p;4)jY2-ek4k0 zQCB+b3&XwfmYF(=nT;V~x7s+>Z-sUtw;XybbZ#*W+Ged6yytX+CVMc>gERVi-&Z-t z0*cv-MoS+q`fK{9$%RlgCSKfJf#LU=c5_mnF>{W$bOwvCwJLp{DmOPfeP>n@5$-tT zKrQJ^!=XhqJuNpgJFT~SSQoJVUDP*Z{8YzeUw=pR@?mxT=$?F@2@iwFRJ4(t9p@lW zndc0w`@Y!1M_2od+-f{Rd?rhlp&)Nckp;}s$zI71JuLD#Q!g5SUdWm4xTTR*((fqL z)Lwu;Kiz@q)TFyxebPP~7&eX##n3MhOc)3WBZO2(d(xbK(ddbh;U${}DYEg1lGqts z+vu}3i6Av6p&46F*WW_lr#R~LJQg~KTWE*RBnupKEl|yzt*Il0{f%DDHjTQA-ioUK z-O|?&jLefSTFW(RjAn-!Z|!OrHYVy=QTCItotc)9&oaMk9X>tvc)9+jzB$?-HUGSf zMZKkJBugsrub-5z?wivR?Y;UaJL`Myjv?ltM$AKvpna|3Q4L%6ekq~1B|WGs^BvCs zmiGdaS{uJF<9~?1w;0gVnpKT_a384TKO$wW7`Cp>FlCe%nAPD(z;@;=12ne+vZ(KvA3o%hYVduT-EzcXZb z3-Cy$Op8DvkSSL-_|oYSwFQexxKI$TsUV1`{LivH(p<5VD^%qyXsJ`Plq-uuMl%g5 zO`jLHm#$^F6A=tCuADxn_lHW9EmEWh<*I6kCH#BzjmPB8zSGyA6wVKPW)I$u{>=PB z$IK{e;9*f{BzNe<8|n0#yCP4wFqq?J$DZ>)s^wQ$hB6dre3R$f?DNS8W^n@n|8g9? zSws${h4l8-l`-iScvP73s%OEdg|ixazk9W!+x(~x-rJsqNNKGaAIloS@kO(YwWRG&n!)eeTS*mHZSzGJW`97 zKT{`qsA&kAnX1ftIQ;o}m96&~t>#^?sJQ)Uq>lD@qu|a6xWCERCBDr6rhxd{#>o5( z(c~NY=yjetJ$6SOhmM>`-6U9-_vWuTHM3TTuafLLJ8r~E5vS4enC&Z7xl#8rA>%u~ z_P6&GIG;XZ6H(gB@>{ukTdp9u6aN?>k2IF7Gbs#y&irEiydrE$a`-!n`EXQi3(B{k;8hw`5@Y1&8%=&KV9gnmZ=?@yTpKnrpplpyy%>t`F z=DOYLWn`D6RlG6YFwy%QUg(8lBIPAhx7|cdxkx3=MNO`m-mfwOvj<#fcf{-VW9*u> zAuMJrI&?;i>y%<={4XWWizA_zRi_l&)wq-jTy;F}6B|vPA(LRwk;`yT5``|Fr-Sao!?GJdnJzyWvesu(8^gJTQ-W>{H>c&1?pgU#jG&1EBzLqA*eMN-80K>mE|kP z6q=@J@f#=ku3WP(csN=*$(y&O{@#{#pE$i8>o^zR^Y_9mDzrPOHiJfb9(`;>jJDDR zb++zSrmx&kw@IF9VuaqxBkDFc6Gv;iFk`$_oq6uLtZ2BLvC+2Y!5y6XJkvzOhwqYa zvm#KRC0_?<{#I=?*KkJ&4OkFklIAY_--zkF?og0yxBS_I{FWGpN4ZiX+8;#(W_%2Y zp^148FLz&ODq|ItN@nyeNU?Z0T*E1QQhVOLEIO8P`@M38)}iU~vlIa@Ysf5f5c%g% zul+X$f=dc#n-@?0Uo}QM7L31Izs7oLx^l8STz{D_@$4pz5aSPl>u- zd07ku(E{cv(+YP^WvV;F#kFsJ(qprci4eSp)@!d1lg!E1F8Bh7w^Hc~75|uh&Nvt{ z4L2H1Dk+`g_haifZy%i9p6z?VA*#q?5XC{6!5;qD?(Esgx+5Kr#!B_(Lx+s_7vLQB zB^G^d$`L1Zx~3_sPiE6mTTN^ALw3Dmobj58!dgZ`&Nd(oJWZ9MqPjD<0_`m8QMl|GDWrc_vN1N%QHQk@T4sse_lDAFK^x zPY<4Zchdh)sXhq!{gg@F_a0nUEWpy1(>=hHvw0(==)~kAGnlv^UB2q|u>K%uNo#Kx zO^Q`~n_)}*>$#0&*nRV|4SGaZ?f4^LZ1R@-SkBmdYgavg4d>DF-N;I#)+F2viX-%? zMh8XYXVvsr-J76*CdS2C&!wR1gd@NmNG~<7n`+$G7Gc-%a)F{s6EA~BnQ0xZy~C1+ ziBE&WL{r2vzqCJd6zbP%Pzh%cl}PQC(ij&xGn;R`TU2*_TTa>&;uV92+fWH6=>hLf zo7vrovefu3>Ks$9X71!1qiWr9$J{XhDEjMDMszvGpP#fFtg`RMwO#R|IxN=?k1V49 zGVk=kx4?U|j&H`f;Y>wUz;Jzz-)*%rZ~D&@&95yqt+gBxj3q599k&yYXiHDe9=-ia z308AJ{1Q;UA{%RJ1?%#XwusYEWXK<1liBXB&T)zGDFaxgU)!o~rCxzA-Sh}v`I|)? zu`PNOHHKl?y zOJu&!oc->~zfbio*>Qiu>|eij{y?#&fZky8E@8U8+mH74?4uA?e#GJmVly^>MO&KM zcG4sBboD-)+T-@>yYli}p^t;N%ltPI`CQhso9K?5XiM8Er36H}uJ(Rra+{24|JDb7 z5%v@7MOmPs7_;UQLQD;F%1=FS{p>G(PbY-mH2r}uO`W;>P|7Lw=IYJg=gbNXBDe4@ ztx2fT`e#ltZR3Awo@uZ&449QwS=bIv6&iPahh>R8V{yi1G%0d$l_ULD>b&l7_BA~J z>!^$(EmT>N+sqyt?m`a3mx1OtS(7kxK-NajHi>(FcAu%!Y5V%y76oxZJnc-Uq!xP) zB_Kh&z081B@tY6TQULP1lSd3sr8r6m1;f|>9VjYtQi(#wJ>J_o>kFsN3J`lf;ny}P zI+-nacOIxMnB40mTf;@)Y!LlvB&jtvEc5UOfigXK- za)8)z;NhGkBs)Fc_hvROo&G~ZYJ5>yYOwY#uX-;DVyoM;{0^;;qW8|7pULhwGCbdv z#>wp0mfBBSm8{LkX*^dmLS;ow;p(e=CF~zl0d|W^yedN?XCF_3jq(6ok=B5$mNtBu68%(r_asC}N>ooY&RkiVc3Aic8_~_5wBqqrC(7d5|Y+Ux~^85omy6W15 z0ps_jzaq7-S8?2nQBBlz@~d6nG%fiVw3YU!RAoCRM)w5dh;tD}3aq-9!U`c=9DT^b zd*56w)2`_eynAf*PO(1+uMBt7o=9e>;Mk^1dju>nNZy=4ABF(a4BkL);kLR~;P8&k zh6({$JFx=VI0jnuOp6U?gXxJ^{@y-UXRtLDGOgebbbKk%)SoX)G@k&!qYTgm*id#W zeNHY)NTa4y=dQmIu%u3^y*jlPs_8U|y`D-PdHJ#*_@RJ{bYMOS@})SgjpW)`x=ObT z*^p5UJQ{y$c@e0x0YBEMYg7pP9Qd35*k`&Zs-K+glGc{F{vo8)hMKibrS@HL)1@tM z@RH1aipM$#a5I!8;H=2TdkCY%k|+&1XUHug=f*{G+kB6Dwg|`z$Cw?(utbZcs2+)e ziuDUYPGPKGia&tJ8@EAIr<*JjUQKneljIYh2A`qjDsE!lOEM-jT>}?TX)T3HXE@=(0b-uZm`luogtC|?- z5E=S=H?5dg^gz3S+;X9?a~(h?20~vW48j1BIXoCHI&0sH`Km7OThANMVMwnN!mL(j z@5WZ&S+g>E8uoP!Tv@zH)H)N|_P?u=0)IfskS6rdYYCwgii#4t@v#J-L;@ER!n_*L zIL@o?KcQ^`4R)cj9%D;7;ZV-~3n3&z(fm(kVJXo>8Pm+I`;)A-{j+B~vu*tZ3?b5t5G0Zj0(pZq zBYU0r+PeTd>rZ_5xY0nxJ2JKMz9*(f;?pqTFm6s{@wCsKzN9XZjWv?g)c0nI|i zST^|Q{F$Nh#+hJk9|dvfH-hqqGTeODcFS0p(q(P8+}u8@x#>6T zTp}BMQ!?g!zn_6YJSPe@n=SdD7FLm*NETr@*#@6T;YuDzn>uHS6bHd{PPHQOhr zMkxai&-F>={TvzpA$%ERHboQc3(2WZRyd@XXKue0xoZbWm8(JQb+DYkX+hv#Om#7c z9lBDAJGfGBxpoLQiK!4l@)iJcySQJXE{tOaq~Sq9j;Ic9(y7*cvmBr)$^FlMbq|M< zKG%#P5FzZZLWOH?k5G1D_AkhRT>e?$&melI9KeNzz#&3p5U=l|i2~iPW%dq-&NJ9p z^#Y9-gtq&(OXxtGk04BNR;QiAW_7T?4a6@nIkbFZDDA(MVoOdwA@JSQ+Ma3KbN3Nu z$5~~CEOY;$KL-g^FOohy&KmmNNc*EbuD3l*>0S8az%dhW7hZ8+>S8qO7)jBg zwdfP_ckPGfp-;lxuSjs?sV0pmd4{0l-S$h$m`x4GAD`NfCEIl-1dRMZc|Sw~9_*bt zWy@?>Yv1?X8-|(lNR;0O!9mxbQ8fK>J}&MZh|$fLm(hsbNVA0dHkz9sM2iE=%GZmv zIBnsm+ZzYxj0|FXn%DSL5a8f}XGs<*XJhOg>IAwGtN>0*Ts^0fiJFd~#Q1DAo6v%XpsX&)BnAxSE;6`Hl&&)7=f!vzD{mU7{d zmQ_hXRR#o3^M(jRX@8vY5R8%T-&DT2|F4BBVAVd@icL_c#X}+Bf$Y6%JtKlk!bKyD zWnf8wxW@dFmOrrVw*9NDh}}O0ke^DS*xuyV#o$C%pPk3c)#9h;`{)QI-fK*_dH$ znlju$n$~o0UWQ3#>_rQ(gw#@?3JxqU3>tflZWAX2nzL?xo-1xhP&OQAKsX6L1NuK8 zt_@^7pRi4-E}>qDsnx-M)xDFd2~#J49}wr>taXSBZh&v0!3GR?M2C2n%Lj6~M%S%_ z7iE9QjQRkdosaXtfu|Kci1S=y5I z%du!?R3*fyyq18sdESGMcaAf%cI$@arK(PYOP>yDeZBa&fDm{|2H2N^+f}M; zCbo6W_s^~t!a{Ok9)9y@8#1^|5&w=?duNoQ=L@shz?IJILh&3yei|zSr*G@0{)EzQ znQJqBw#SY}(a5es$GnqslE;et1%xbK|AA>n;)~esPSar{j5itEAShrH;vIAAfU(nU zE!o-zSFWjHuCyVQ2nZnOssr;BTj*O!NYUZdquxIgFaptRr+zXWkE8z17Fg*_+D{$^ zm?Bh>3H&7%G9-fE-JGX@kAgOYX353E%&owv6jG%3?OR)Q?&`H#m6=))49G&VZTQH> zGBr|v(P`I1nF@8wes+RYZW>=OihvcFU4F9;2*dYX=r>=CMjD7*RUQYi;nQ3`e}!Jd z02@0&l-Rk(PWW}KNnIzL8*AIp31`L*U^<~jdx(Hoa^oq!J;p57)=xQ+7$&Ur`B3^0 z)McAhR)E)@s_qu}*B1^=G`Z&G!4kwl z@8^sx(-^J-o}Z~H0(!BkFrXby1e1g@81%18fN4`gO~|-<#b=8F)x~9Co1iNXSQ46a zLXt3zpWC(`3_#nrRwz${JUUOyKz!1P$z4PKeezo?%%|Ln?|tRIC1O7obOyX4DmrkU z9$oWIz?_OvWiQU30YCrq-Mo=v0)#pQ{$3u*_6}_;zV+79cUAK&TuF=lHF+4%^QxS2 zF$t68tvHC!zdB-$=@`n{n!!Uf^oIP0T1B^%9Xd}*bJv#6$gw?OGRJB5WrvN~?|orh z5p6CJZ9qUx+mc>Qn{C3g)i_?H24xCng-N8k0+z?dA0+H6PF}fkI>uhp=5+uKi8d)t z$Oq4TZG*Dav>`LrNW?Drt!)wlbb$~*@}Mk#2T4F-FILk}`e_8;S_YkEqn8qtp;VVX zSy%Qh(d^uiXRfq=@8i|0CITLP7#8B(Qqk!4$;!0;32*9S+!V(FVxuk zXc4F~E5dm^{iD1SK1**ju7KKKgkZSPhNmiPA3`P$i?->Mf(e9~zi+(zQgOWz|9YKb zp*KC7Ap;mR&z2g=4a7%ukzZ;=Jz)sP!bMG$RIlLXlZ6LOZJduxPV?HwEJXKuKrI4O zhk2Lj0Xjc0ZUW-#At@$c@)y1DsU&(yy7)D3kpq;N4Gb&zt4oHv{>AD*fr{^y#0cGx zSzBCt(@+fr^MEJH&fvwYEt<)^@&Isli8 z&*AM-FF*Nx40=fV2Rs!K~B;=P|OCKu{E zxe$Wb-`*g6uYOE7wYpR1Q*TTOo<7-6W#X)MeuF|>!!N+&oamgw39k#S785|N5?j{4 zQT%>SosG5s*^Zy6gIzvf{tf)pVtyc5@PrL+Nq?fb;rjWR$0_zD5TKhvI>&x4?_D)~ zcWQB&((x$!croWN`LWk$>5dQWs!GsEu1Nr~5khDG2U?cY&?t!X-^apapC(7>lB00b zn-S9_jia*6mI>?|dG_Z7ryt4(4lRT>Ag>BIX6IESH9RqBuBk~3x-#V zz-A&9M*AuxT=MPrrA|NyJC5#yjf~Nr+W`OjYD&{}Wy8HRE}wwn;$yq80_6cW62KMheBoSO?ilZ|(a1%)J_s@3IB9~@WG}*@o?kVp6xe=(uKR?X?)ZLBY(;~;w5olqrzLJ4G&~bS9<5y5A zE4?Mb$+?q1RZtXC9P6DLCuESeo@JAv1%g3L_$W)D!0_f-gJ2ylv2{Q4{hgqwk$hV| zAV1ylIZ{|=ui6BgViY(lc}*!J68e-fD3t!v1k2QfP2UCKvarAS4@<#o3+e$*!1jB5 zq!IS{KmTF`Nwh=QVsnn(2odEAR`y<(4-D+kDCN4oInqpMM}^UMvLH4cFx&McVewkl zrQ}50X;MoIHHzpN)R^*N-}OdBtZX6xuoO%4=F=khBp%jzs2XJQjZjxl248M_q@cTK zbpvB=SvtQqoM3$irLUk3aih{wcK?i>88E2-#vPcv-w#|y-sCh2OYPQzz5o*wS|FCu zBwP(%@bw|_^%A1Y&YA`@_H;2oehv*zH$x`NbKVYAMLi|4!9zPbTh}2A!5z~=f!K~q zA+w{fPMfepx__YRM()`hu%wVZ)5Q}Sw1)tCoN4V``jdDW@GV25#D+^nhxfZ;a^poy zFM`-Ihut5ECK1+b^jSj`etDPb_z`jU#xWjW>JOlnV#BsYs;f&Y%DM&tV-+HyYAfOQ(e+NyJM&GoFDtleUDGF^wjsfW_;CI<3cInp$W zWn90|SQL;g81@U|tXBLJ9!&3Ix;XCDcwshHxsoQQz z@|nA!Er4?U^974nBZ>?7M|t1gJDCfG26VweN-CXwC>0H|;4!!v0~aK497~UE%I%4d zG2}n#5j;2s4#j9~!C44^1W*zN`F=Y87sKYexIGoi^;U|XNa+sQ&z)F5*?g!@KjyK@ z*Dpg?wgX@94g6tiJ|7h;JvOCz&t1PqNxJD)9U=0{%&$@l+(O#p!XyZo-F3AV6_ z86rlb-T5UB1OxGqY*on*$wcyXbm6t91Y|yxv~h8=`SlKwpP38~sUAg>XqSZ7e@Bs{ z5ATu9#lm^|X_UkxeYndnO&)4gHa_ckjPYRJ@BZ?q_FxoEtz{0Pk--RUBvfLlZw0tc z9;E5Wc7O0sbyq(nsE%k2+b`Ln*dp#L=iBs>% za5U+y9BCR2Qz#kh>6lZp!;`U`<4~clxCa26ZK7Rxf{+&+z$3TMfm?CG0UlM7d=q0&=WQcu8j!rWCI4><27O?<-sw~f%XGO z5x(793LSrM;9F5d;$$!P1Ml>3Uobp?z6NsklQ`NPum}nyV9xFo`uL}JgR|20d;Tc7 z?V-aw8-V%1s@yG6p3>xGW@7XE5wNRuyp$CPL5%K*hw(@s6E7TuNkYOMM8iEAm|cE3 z>KOC)XOMH|d+>l6RMkUCP?Y;K80toUhLQVw75%6EHv}p(4rBYLa~~%@TSOPFiIr_Q%%IBg4fP?aTr=bZA&LIO)0WD ziu*yUUQZoayc{cSiep@`XTag}wrt1IPmx&$zQma{fM=yI2Fsl<1uQa+JKX;!| z3`=3HqUDp-*1othsls|icpaf845~}E^z7KhkgjJb|5)Yr{^&DQL7QR5iQy+S?Cs9~ za!7Tx{Ba*2>*jo|p8y%5R-;QM`k_zE*L|;_P*tGLU1m!47tc7cOzG3eRp1zwpO0+P#!Ad>`+^Iar$b z?Qg0_W>Q3XfD*1Wa5V}W0E}y5{Qwx87i~z{zA#$@GfL?WcvV8Y+~NcE^LwZL-ZJ7& z{OfPJ?nfLJW4{Y@4wM6qPSU!Es(9*G#PY2}2|_fGR0XX!7gkrZh@ z+>bwgx=OyMonewidJ%zcsL2S4nz^zm!wq7K4hnuP0}JaCs2L(&RcpWNu6RcR>G_b%*q-$5t0Vn10e=^`Gi5oxxnid=~W=KqlW( z!TBpE^JgX#LT#YY;w?4*=Zl`cKgzE&*b4rL4x_MGI_s#35D&pHVCz-vjcr|2qKs=ab>3B%1YdbHSBL4Y{UyEEO^`jU`9n}NxY@Y(P-~Y8WS+i4oa>LIukFUdE zPL0)OZ~YSZP7TH#_Hj5z9er0jxb2ISIM$aN9o~`pKaqxlhV>LiGy=141aezSlGZyy z<8mTqeg^Q^A3I0!9^Pj=et+Hk%^sv>J*X&zSNMGBK!xoe<74-{bMT{MSa&T`l>-s7 zd11?CAQ&C)bh|&)U7rY|SPX#L2u*WTla_D~ZLC`t^}Q=kj8?rnc1~#4i-kg1yta}P zzm0@EXY%R%d~FVss6RItrre75e>T^(L(MzK?i^@*PZ!G>Yw^Lju0DpB6;W^;XS9-H zN{xV2ALqC7AZ6Fwmac)>$1qiqKsY5S<16%15ImXvAGm;zBvQRATT2E7lS(7m3V=Fz zTLL3~?gYW-A_QahvTf4Of@#_ld@GY0nUdK~j>D3%A>QTB^{KgrIVO6%Ek&-MHOkh4 z6DT{@_V9uOp0=CwH{?TVmaMSW3ZyP?DiQKx)hD_KRZ^c|FWkH|X5cM=xv=(?aLEx4 z96LGE#&Z;zBS&^-3U*(5IDc;~BmtPeM)urQhyzw*PCH&N0Poen>0551A^ngyKY~z1 z%!8SPMZKU~zJOC}G!L`g=qt}FtQz;~xbCbO_VovYMpaJ`-s6bT5C3@K#xBLLW5KH> zTXYl@f+q-j4O+E~gIpNsbuXlznX3{!2AxX%(&bf22GR}cCtN)=QX-!8gZm{;Z!8F~ zJ(QT+)K@9a+&Z~D{qY8nqpFE_k$JH}R0DcBz&9I}++>0rwn#_EtcsaOd@fYp*iJk( zKLRRCI{x=ck;K>-+(qL($2`Y=b25SJ<(hnW2(as~04b%W(D~Fj0dsbr+DX%TI)}Hh zmSI&DGW-JWjBf(=ArgX4jhWtl3_jZ)Z~a?;I`7>}Bl{MoF4b@OU~E24zI&+j{@1HV zEO(k5QvL2d^FMgFg8fYGAExONn!Vz~+n^n|8se~Qo596#~2uIYw zC4FdyZXdujve?^}BwDVcUIZM@O>@lEjcKF4D33Lk-80#B3u0=wl5+60tkcGA&Tu#- zd#3Nk1+`ZW%mw}RLbSVbMjlKj>lfH}T*o5rV2y*B5KQA?XiZb{hRk6?`P0=yNgA}+ zXl23x;6)=}8lTSSAD)>1uQ`Y(&onLy)v#Y^poyi2G*C&UmdiZp>gNClXzR#9+%<~S z;J#X4M$(nt+ZgUOJ3=3G*2z3WitAtcY<*Py{mV$BU%Mj}jKOsxfu1%XP2egb2s(07 zk+-c(H+M|r5DwV^8+E>O60R=3Yt=>_Q2GIV;vf}3_EtJQx@sBU<3m!JcQW!#MR_#R z`h0m%eLNO$KXfSGw>tGFz8`dafL%E@SgE4(SW(y(*zCpLf74q3Saa#(-oIprM|zeKsupXnH<(P&Vr@BucLTy)2oM#)pf}ie zU3xR>Y*`N728Bg)4pJu2Q;)rqGSoUXcHLj6;EV+A9P~BZIzPMrfk4rR5AL(cFoVm> zfWL8JXBe#=yst_J>K&{Lg*b9UkjT^2Zibw1z7+Mg-C%JV;-_Q&zpS?Yiqu+ zo;(@2kjL3PSrTU?L)%G|LJz+82Sn0(T*dG=(ey6G{2^~r1ZrM7{$w(%Pa@p)3^nQz zsi}q+Xl%K2f}kR%`f<2fEIRC%h9%Ut-uK|%CvXs$Tw5JxPcP^az!KO1i$j`xE)t9N zd@64$Uc8KbHuW*$(BCuD0B9k!vA`iAHt7~}vgNZIkN;T|ZIS0PTu+N5sKr0zc^2T< zjTBv9T-@zxjj6pQ^`SbmkNMGwIyNN7h{#Ww9QX)JntJEiN3Z=toy zs}iJ0B6cqKFO{o^v(p#{=NiMeF|rlspvxc8V8B-^J{72!ezs2)J_eJ}KE9(~N=roE zXgWBtW2gv08u|J33Msvffavr5gUiQLlLlz#B>S)ZlUT0Slx!H^)F)c7l;n$n<&Hep z{?I9tmT$SOyJQ2DNfxU0#Yhk>W$M`|?GoO^u4_`jspyFlVXw)3lWi4>R)uD+d97Eo z;aDLz4CN1-Z|afDzD+@|!>hZ+JHCBs?E>YkGAK}aigl}!zNNU4tN{bwJxw|oepeB( z6PLHMGd%x3avpq5Z4VK>)63$97AQG^5_vB#r ze*@!1P*kpg9;uU6Ht=uH+tR37iB>-0kyr@z(P~ZO(*@?6n}*HLaTdj!(uY6;7J2ux zosDJ-DF(_Q`bA<~i=M*3#{3n}YZHhakFVZpncR`&Ku(`u+}jGlW!AgiavQF$LabHh ziczkb5w43?p_&1gMFh*|z42APpaP@Nxrww#$0d!Q+@D-ZA@95;|MAI>LI7{Q)g_b$ zE{xnuHkXy&|9~}sFmmsGCtpwSj6POSFru;)a`7gO5Sh=7{#7d|)UGUpj5TGQ)%_1D z8$W&7#T71t5`&JaWpN394n<@;#NT5s;t9qCHIN6^AbBWN>|+{;ZxEnFJ!$eVar+2A zp^|Z-J?$Y)P#{O+#L*^P#7GFSd^lkrSE~o{!g`UIPdvc&6X0ocX|VkgC|>2Wz~;rg zb1gIvFmsYjEY~GB99Cbp^>qL5tGY7Js`-1m~Y%7u!*`KBJ**1GcR35Sy;ex>~gG+><(s#P3+IsCoV`Ob?yTh@87Rk;a7BW)#|@AcpYDf@zCLC*4*lm+v>mwZ&0*FBZm>U6< zfft`D3ir-YapTA(HL_wJa^Me0;qBAf_tC|PWIm-#0ql&c=p3GRDmTc^E zaouNYL!oeWYVFBOEN7d=NUpxRpD1gP5gXpPR_M+Fa`R^l%H-TXEYQ3kp~BH-k#lcx z++CC+ts^XGtC4+x-z1Uj%ChMBy=zclQ)jqN?%(%1{qtsrV(Zn-?RPvCSxdrJ0p;gi zQgE-ex96BI;EG}jtp3%_h3FawV#kubJgZ2%KAv|C8HV^)eqtfvg&t_Z>ML7BSetP2 zWx)QTq$-{fU{8?&Cgd@7@}Dbs!-@VE!;Z0=VaM$w3Sm?q7?VIgYpF zghpXki`$TOEw1UEpg&Jsfs}zQ`0!u)1DOXWrkaJr^1%mGO=$0!tq`q18UiK;g-rv-{2W$`~c9UKbvxr*Cc3`Ms zpVO|mrB4y2x!L2Uf;Vl^p>4pk05z5u&u@;_Ljj13;^jp+0|nP` z1`co2*?QNDzBp^(qb&8&o(yR$a0Qc}J-a0Iiu@if&L=XM#`RVB<5kwExXxdcVsA!= zN<5Sn1&Aj&ML4rimY`og6uP)sOzIF^n|d12hEq@9K?WDQ1>;%0zj8N(bx^T&v#r{0 zTWnL252=K*>Yv&gjIUm`i33+E%(<<>qZznpvafNeEV)GZg+x-?vTRSaebwDtrGP~f zi(GA0rh8a<=k%D}-qRgR(zb;wp-M8)>B@6DC?PYSPG zt^@Qr*W{+b$cs>sq}aQ^E=3`Yk(}<(kgg~ltw7=wqKizq5CqY0g|6?w-rxN2H1r+b zCFfsE3|!QPYhYUiF)A8`JJ;9FGC-L~fD7mQHa^HDw#atQ{iPYVV7Py7)_9OQ{nm~k zIOtnahU*yUEQ^K zx82qT^Yp1LEtV$R6E)X|5I2IF(mS&h4Iu+ht7KxFekYl-NGZQ!qLJ4tXJYt%Ql6`g5ZOuQ)_Cp*^ zlrnr^A!ur!@XbK+@T(Q?-nQu>VqQQGyuMVDg}Y$!^0MlqlQFT@??J3XlgqjR7&wW6FTB(XBop~jzghMjW381i@J`kLl)6FH z|5xhmVw>Q`8NScWRlydqOVcZ_e>iwBGt^tKs#O(Em>9jOs&v@Gv2C^7ZczFeS)lq|t*h{}iOANN`1wStd9xIEU<;&*2SZ{j0 zIF9a-X=fUzq5Yuep%lb>b_jUwMs>($KeZil;$4Xdv=S2b<(Tv%iN3GnYTz)V0&4oL z_&3>&Z7}v62xsyuR2$Gk+BuNv8H~faMN>;dt3gCJ4)jlf2lWdvR?! z;lagqa6blrZU>3fxjnX)V0znW^wpQI+Mj|fg@w-U9h0ss`dQfSU4dZA00M`G#zDXf zZZ9C8${d?7EHmnvj5W)n#kh9$fXSVqqR$=d#h-fTFiO_~CK2<46dQmT@g`i5TU+-` zQ0?tCXs??XmOTNa&T>=0NS=1bcs%NVt{{cQ$hj(#sdw5v>!zZQC~nK)9+Qqnb3BHa zJ*?4+FzePHc;fQ3g?Z?%Rn7g>*tj24)=sFz~%4fj_SMMTGd%Ha`L1Q#Xe9F|Sj ztDDs)5`}x=k2j6DOo6YuU)R$R32JQfxjG6RPFEFyG_{AFZv9_rl>?h?TT?z{9C2DS z{kQ$Zt(jHPjvr~(f_K#aF?Y`E45qnkkVe|6Xg3yLM=e=5%98>?X_#DnF%9^x+oF&w zI4j)RWRR;aN(#B@K{<9p+ux(gVEA6e5XjBa$M*l)IrDcY+xL%K5=BGyeTkBYN49BV zCJB}B$d>()LXo9mkTJH3gds)NNk~1)*muT0M23>F3^QYC$~MD{eU^_tzkL6M?=PR@ z{_%Yr_kA4qbzaAHp0D$LooPted6iAG7=$E0HZf0WB*!Fa;ZA3~^L0Pt1t=o%YUE4U zAP(Oth&Pa?@BxgWT#->yk0x>j5Wx4U56|4sVY+j9+F%VvAOiAdkKWL|aI`=Gv3v+r zuRKE9eZi4QmK~vxn+O0mgyKk}-=onRjvXeUEZTvdlmo4&-B-?<2ZA~ZVHDS4I`|NT zqV?z16c7t8%5-@I$e$xicz_{geYOzxgQ6eFG)su%A8doq`gyW^U9BT1FtI;lMeS=u}P9 zz2ChFRV7t8k~rM7dQB3ZYb2O{?Brth2!|&wA&su zHz7l#CIv82CXPjyt^ybd&BF&XFMkaI3y>>p;hB|6Ofs%rU4gNoFQ@vh5J{T$_~pir zWLaU7L+($tC*I~OKP)Eyn?Bm%Ugfyn_4;dPa9?9ccH{!y2;CT?`N7brt=}hf32jW2 zqXcNYLEr0GTf1tn!j`E@B9f=!XJ;l95~9>c7H;cpdesM~gf+#6TMv8d+zOlt@%nO! z3nHuQT<_6JO{hDiIFcbSBg1GkU*8tAJX~4~%wKOF>Txiw4DBU8+eWEI*i#iB-0t4pid$=4V3dV%I1db%0ec3hHnjHz-?}7rS=1ra8HEgh zX4#W;%&9QbH?}ZjZNUcu8}L=GlN0zlVqH@$Jg>-ma?y4Ial)w%&gaF#j@;=mc_+e&D^`O zmVz-88&SFCINh|mL_(nO{<3?@PrW8XLBjzeT-!6=3dFg1So043 z`l9tOW;fjyMg91OpYS!;M;urs~^J(eEX&)+YwHl z=^H)!6Geq>rK-_>4*A(5Ljk`6d3T*n7qY<u*vPP4lrz{k=fz-8#@|Q}|c0;eZC? zPGdcs9YC(C6F@RjgU|!(`0Q5U%>%u=Z&)9HM;C!=*TOAP#zD_~&ZPT^gG29MB0hC@ zbB*l9h||=zzuSB*0>0N!$lzD&iT<5*8Jl)5{`pn7B0;sO?gxgCjwH)Nf%G2Ge1`-! z9+-a49ZS!FKr(b0PR?1o5WBD~`WdXc=DXQTBC794=emdwg?J;PLgW=V9O@yR94UofpF#vBrte$_RIVayocs33_}Z+tOtLY7!PIufDg^ zX2Ene#p;Euz9eO_p98@!0_LT9A@)D;j410ut1+e#4V^f=-XW}|Hos}q0hA;YDo3$p z)8SE3{qt{9_2I{Hi98~^x}}01>9;X2)(|3FM%XSBla|?*rVU?Ghg8@|)j@i{R@7$f z#bxZSylW%IN^0cnM=M{2@hGFPz1WF4)j}&Rr3A@G2bV&~H^*G$!gfr z*ZQtqap@g`ozToHMGmwoa&XRj^E7Ed*T*hbAuJdH;Y4&?y(_Ev=yi^-Z_pUWL z_Gr-CWx?K<4xaR(Y@9eot{_Hq!&K%ugSbw{STS(a$j#Z=C<#ulr2(NFYk{tUUj#h~ zHM!#x-go5?VFBHw3*32<6(hd}U+;(3qtyLpFD6}O8;1Z0=5M_c(kI?e2F z>Nj>TiX&$+cpEhZ&gX-cl4bj)P%?iVq)FQDbR~9X)&fn=0s&88p z8c)(xB#yU{njLR(r&CNdrT2(Z*n`UyI?P?|*Lv%9%^t%YD-!l`)Fdv!8Wik6;js*g zEeSSTT#-P#nGlXi$8>IMWn51Rcj^r-U&AWLc^kX%glwCH1RmP-8!zH(U)I|;&#&9n zvBXCVYsrkeCU>++Sl{2emmvSBrYh&03iOha?xBP)n>Jt?mfu98%6cb{Y-Dyu-2h zKU6%D6%yE#)J`;qZ{w?zbu|iDj)$n&v1`i9@sK3y03yc#`O8VHa8U*vmdvUqo-92P zO*MHyxWY7C0KGs0J0o~dXAy2+IqQ*C!WwTpx^U-#0Q+sTL-eUEIy4;fZ-K4yNSZ&? zvA5{q8vWy2AJ1tJiBVX0eK2w-v42(Xwi!XPvDH>X%x~(2T0B-EUMZ*C6@RB2JWs);jM;K2m;34iP z{Cla#x>6H22qd}^V7=;XGRisQA9Jj(-$&RT>mm`>aD7+&X_NfK%FE0vFB=oSO9gFj z;*x6K-J=9YvH1qd$oQzXapYaE7m3KJb6Obw!`4NnAv%{D&6$reEkYk7icy?ZIB%c2 zU64evqFv)I?f3d|4tc*H$EnMU7Xj-vxUit5T>hr&vPuw&;($~oXxLHCq49&u61hWPgd z>4sJ@|I-I&0$Vx%#?{UWAXy_YRYH9yth{8_ezZUJDz2^Jn18!c^ZJf@yTTk@ynkrO z0wkC)(zp98W5zOZT02+Fn7uVf?@Nlq$OS&ZJxoX?d>Lw(h5Iz!S@O{6RE;@|UGpea z!kCK3$m`A2713#AIt|`|?e{+H6u~jb-RsA9u;Og3U6oa#fiw7PenIlllZJc`pn}MZ zvr?3c7vFo$(#_?+$!LrwZ_aVW7WnSmxccC1t^ph~SNN&%0@(fN6s(4Es*q1SW|m5r zIsK|0gBAqEcGmZeVHP$biVGLt|F>6@RC@#iSYIpy??|-@1|DG>%>%5~@X5ygfzi{@mEQsvsc-&es>yaii$ zjqT8?o)QNRR~m6zc2<%Zf7E0**0=7ms3j-1r+H}v!8KK3o;n}>`4{(y#QM*jfDTTq^EWB?w)l*o>eoH9mwM8L6D4079TU^rD zS<#_17DP?_`pCwGu6H5?Ea(1UgV($Q^)8nZ?7#O~=1>~+T=WgbprKagyi$Pkk&PI^b}&$mxiQn)Ht|FWk@dRi=DrEm z_U&wzDaBz3nJf`IG%y?CZo;gOHfpdeS{GYjtsEw4u0^K`jU*O%%CEiJf{`{3T2PJ~ zS&S9ty!1dcf8?u;ywgXRCXU8qtjK0ObxS&D836~-W{hpE8QTt~!Ih4ixEL&DeXs;IirOo1TkH z?aG-E+M^`tyYjGh(P4;XgdgwW5AHUnDb%*Iry%!z^H zz{;w9-rm!#R9ECvKDM}jRa^F!pfI08mo?@Mo`CvV}}QBTwrr9=pY=6PllS4vftJG(z! zc^AdGabhhcbnjN(V7rv7=e`~)cPdB>Vfc}JXc&;g-i7S2Za%)|8%#pS7c5B5uD5s2 zj38a|>JAKlR8G)CC)gl`pVP6<=Fv%4a61O$bT^5Z&wjan7Y$^*#u`37>4W^#^p6Ng zHORJMv}x^TMQG&>9KiaJ>Cy1U`}c^Hh2oy*ac+N~VA}MP5#Bk>*~$srSVn}|GAI|# zhNmHbUd>0ROAGy@N%ZAQ?Zei)9TdujnU2>-b_Ciy zb(h!Vm~^24@Hy4k6vQ|@NC>=SB$G$m)9F+HX@C`R>!%It!XN;6BR}q!Hkx!o#Z@%p z$^$`FFyi%FH4cbt7MLh?PP81nZ*ncD_xqES$7gOhUCA4IFMiX(b>~6A0?{?tH9F0p zH2ypn>nNZ|%!+g|=}Jb8;^CtpgcDYiyjLh}OS#y;B%QZdQ4U|*v-3j!#WOVhk1|wUxf=1m5B~3${x4|$AGU>{U$_FD zb2v(u!-?d6;$VHv!A`uxwtRfki(al}ZEl)0T6006#7J+ay?G1Kzq1asIbvk0QDt2} zYCZbS@EA+v)jvZI>?&NJWQfmSc=u@RlxyAbL;)efRVNA|*9bTGT)QBGYC^fhq0Bnf zWYvjWdB2-)GkHyJv?@qQ$iKnNL-8f$$P2|uB?i*+q=vJ?u}<(eus7L2K>YbLbG80? z9@v9W_0zx3mZz7=Ua`0KE$tO3x!yJ06o0Oi>w~B37|tvIvJFCWcpvpzcGF%(EzIQa zG^gcwL+s0ihD-+U;Xun3^jrS$Ynr`T>7?Pe-DL%OR#L;?0yE0+0GZ`)r|nWBw5EL3 z_e8U&-voTt{|7QlS8&-ou{dN9a5TFh!yW&D%sjK$1aWIH3J zOVXFG-qEl<{o3)Ya#;w>D=k7*u%b!BNPHmMAmb?TGsLw6SxUgI| z!XmZjPxfX4-g*Zmhjgd(`a9f)y?QqKqM}0n6?s}>b?x_c+uO(9Mhu1t?>I^=eYbSx zOjxGH6_odBR;viTl^lr3`OL?2&(&=}9hlaBdpA`ceI%D;c=uUh;HlTN7+BSP?&`0X zJC>3k_B)Pr|78LAp>l1xR4#G!Q9?6HZt2?iX*=GO;JW1zoa8&@^Avr%}~(0JkQG;@~m9nq_K$ zPw`*2iqB1E8m6eYT5Duy4Cc>wtB6n@CqKxmvHmingAT(b67sXdT#6mM%MuEBy2f)N zybGq>l}MLM(res&_ZDMTwUya5@z4^7Olg6il)1_}3DGGzh>e`=KkpQO$MIL(8W~UX zvGkndiI_a1G*~l`^%o*~vG<5#I?G~qPUu#7wt$|(T;mfBeX}1+0>0DSqU$&8sv6P6 z*mL>U#m9#vohYNPoD)AtCLIeEd&a@m4J3mrtvQ#K*)OFP^uIXFiVeIB&>2>`${=rC zY@6UxId^I7)Tb7QkJ)5ufd5+O?~g-qZI`V- zbe^6`(pBknrl*q(Is^nnB{Jk^?(}&Hf1;X+qmzZ5Er^+wfr)_`BzWdSj< zGcvO(!Swi-aO&)z+vdv+#tLsL;u#34z$ELV1s%PMSI)8yV( zbF^J3eSYtLFKkjaJMQ#+xY!6F6LyD*-j5oLTK&f?$rrdD8$c-6=KvE8FXRcmBS4qSDZIcQbHLu&0)3Nqj&@Q*ZZM~)O{<0>@Z{?wgP7bKu3rX{8#jPxi&5$9y$ z>>Jbyvrhi1ZSJw-x4b))XxI^HA4Ox$xmH$oG>YsnSH&#^0$c5ko$nBOW1@-s5w?j# zH!WX9(Oj*wbvy@eRfhK#D-+bS>VLjXI7_G>#ygEJDy+}Xo6+n2v0>CB56@B1eaItL zl;*I@>N;yyx!`#Gjqbh71Ps4cP+~B_sJqyX2&m2b_E9ead>bN`n~9mi<_Z@wIgN$?|)%2jgtzBM(b z$lGONc|vy_qg_RhPCH=h@oLSMj07Ck?~8a~Zk4^d>aC>MWVG1KN%PpuH_*>C39doe zApgHiNIGm6+8fF} z{NmG9tzht4?V#W$@1ZN){1-zjGO2KVB4~^&(QnL&ziJ1Dp>$r;hUeA`6~Dr&B0JeOeB?gp5N!XV_E74he2T(1xl5kf*^PCX|B;wd zDy6L76Eb{o-;bXgn^bqw-R|m61}_*VZUNvDNmN&0DFgG3n8?*SoUrAM(hAye|~={H4B4@AA)~w_Rp0 zRxiFE&%U+itLk_;UDJ)-!rk;KgUNge8-{l0Jy#8*eNoAx&2?YH#ugk`om*CF+=S#l zXLIc&>P<_CN+r*qnxxur;fyxKPHl_v&;^|$%z zo5P*AC+xL1N6BmPvkdGXgE#^W(j3LFpiC7TtMZRk=NgFfKEf zqXT^CER*$3o7pYO!;4M{T|0uy)&O%Ft0XhR4YN#r(ul7|tm2w^p%FWl8H1jeY)e#M z^nREn462!)uGNYOtaUW337hRB3jJ_J7lX?nI;vCOw9<1*HP-Cl4WE)k%11{pXLTTb zzL?CZAij~L9TF8x(8wanZm{0wiK(mDID3QmGsN$hJ6_d1Vo2u+Mn0EGg;~`+$zU~+ zxPa$+A{wXJsMaIa9b-&k?hrBflQt6ml(ACf+$2A)*qIo27m9ZCAh_^R6`=FcyV$M! z(QD&t^8(a)5m5TV)jE6dD_WpiU}Sf?U-!fHgQw*!rg{y>^d3#K{r{&)BKq$N0?9`XU=iQRZ{MBknp#6TSNq~Ol`Sp(Nd(X;Dv*AZd z0JX&fV&n6q1-jT(BF&89oN5I=4C#Be0;R|edUYugfJ3kF9jZZg{h| zc${_)TaJcF)y}JUYov*;zU7`{VfZ$|?#IZhjGGWDwEe^YeHA1C!&K`!KQ#H64$jn9j1fX)O(ii9zpsX?NFKSeGzFNROPa;todi|-8BmjF%yJVM@O^qQh zYm>FwkgxQ!S8(^rm@I~kr>S=W7FSKup)Rh!$i~VWZzg!bvZB?xUIu9=(;vPcC}j87=m!q1e$8y1$XI%r{IKAMZ_I zb5Y*-MUsB!W^!OQ2ojl$^z!+B=}-{;Y+oZ^S8~^1{G6n9SBh9A)HrIxc*|E#R4SC8 zYypAewM7@M7nSca+RILfi*J~ukbDTSTvE9~LZGG;n*Q{Vly}6%`D%4vt7rr&&UKe& z&XM|3ngG%Lf zBvCU9XC;~iJ+^(Dps|X&fd~Fp|89**cofLHpla`-Y(ECK-97>3&_I`mOE6e1`~dsg zGhl{K=;#9Etd5rcUftAei}?(^*TaC)BZRtlD!}TWj=?xP6i2+j$%A>}QuKfGqV6@t zy>7%?s7S*Jc%;xZh1!T9@XJ|ijr?Kx@5U?m!O181;l?-k!3qk0vl`pjy*oH|`)yVD z^TAjA&FNnJ%`<&=X6)1$*^I0|l(D}w?6309@KHs7dwzYnin!TrMdj{kMR&YrVi#-D(fK3!%J2Og>9+cC zIqPYBy8GCFY`XK?`gHpzwSH3bCpCUj{U_CaQuVj>bsMhD5>s(bMn6X8{yV*lzR9TE z7HhlJ=p3j?*I;5J`6qR3-PR6jyydP?xO#4^UrC|8>iT5hu zmnVg*d#60%7qH^*xAl@4Q-Nzn$vQDsw5T6^)25X(rWrK>h(nL)H<651i0n>_-ekQ# zL&*jL+A=jcr4-B7$4F^!p0f3(X#}*KFAsS3_m|8N@V9t*pT@9?F=I+~EiX{sn|_o; zdy=Ki^JC4~+J(Zonb8C8A^#POYP-VR+N&L(R+tZc+A(9TAdCU5-REQW9m47lVYZ?F zKA@}InKj!tn%p8e3Y5!F090jmSOPALQ@7i!V4N72?2D_oW=c?&7Hx-Zpg26GMUXu< ztM(U+y-nX-_3x4Nb5^<*BnbV0HqmU!aO_pYzzdb8Z2RV3KMX$5~Okj?i_A@lWIXPzWG-aHhA)PujVsA6^f08p2BP210M5@r*u*?Ot^%j*-r zQtv*GQPK>$F-<8R^T@BLJM^s0TwG&+7u$iUj?)#y1N2J3#nR*!+DjaAF~%z)<#A(; zIs!s!^zZPR!^|e4T$#ZJoxx0_OYI_5G+Kit3bQg;n>4zMzCTlIJ2}m|5dX|uHAwE+ z29KVz)z#4UkoxG7D9^2i(01$=nhb5W&r{h9mRFms_j7AEH`bRuGB`F`r1Bi>lbr>cAQb*y3@EMzlP-v@#l zhsVn^aR&#ZQ&tAQL^XD9At~z^qMfs*J3^~g6;-csd#9=AZ+Gx8wICt03HUAN)P$n= z$=vf3%y1&Q0ly!fh*xfnvk!cYVL#>fDkZDUR;RdCteh3VVpo1}M}ho~AcjwLi=H_( z@OpIaMWbc)ol(ErwjI^8sx73b*#^+=(O~@G7tG-Qts`J$&0o=< zgV+_pO6d9J#Z9_V*uG293^Z_t3dL8r_1L`69i% zcI)RTG*hz833mn9|?8v0{#`T)bF%UgcG49caZlr=$Ema8pF zz{uqf#xC0YGJIe?JH4t*_P{C`)~JKzABn-QZlT;AK9eJ_Sa}=H1dK`HqT+?-2H4RO zq~Jf8@@%l2VM!LwK9+nQ@ zKO)F#{(mA&RKEDefxM?qIoTTNzRpD?)Ta)?q~&NG|Dm$zXrRHsPuejlL>eZGldb!y zRhYE>hUUH^Uum6=GFvWcqEa!ZEu(dl47yRKZ44?vyh$c!p;0}rWU5p`yt4okB*M^M{7$Yv;vds3Uc z7+UsO?o*0#(_!7;K22iP#2Z$N^VUxnFRHaZE)T{qqW3sWASV$YPr$R9)o+L+CyD6& ztdfkRE39T(mmF)7MvkaSxi2N`jHp>ZqNRhJj1&hqD5aK(s6C6Qr=4tErq8|tP)AS$VqAJHi@cqBALp za#C6&bKrcNAXEC;Hd((^DW3W+vF+>5 zpYYPh{<7*280Y~_;_g7XKO({I$QbZ4L#~}p z`8iE*OdPMdLwSZd`;YGaC$)HoTKGUlwn%t8XgePjjo?%x-CDlXJr8(uuBaK~%~W5SsZY{eluKae86A3 zTXH6NgG}c=Ee7D5-?E?b3Y|uS7rEd7cRuN~v!6lXLM%;`lL*A#L@o6Kk_O` zps99I+SD})F0*rq(vaJsVPqa`!z2U3`MfdAt6hh@XNAoU8uc0K4$j%Cw)6rPF z?0jsV0$$EqHZ`L>Q;_akbq$eTjrPHJ|gh?LSC5y=#9jPiFD^K~j znnwR{fvQ3*EDmppEvR{#(qpG3MBN~65sFx9Zmb|HG(uK@S2?+ zgSK81%7>kFlK^l|!*qY`1wSMl;0YM!v)du&=&%+2bP!I1up9nu@dcGnnSYnUdVN*iGvB>6EtZ?;beO^1GSrdw3f`sZY;B zYjeds3SER(#b}p(4m@)yBnJ?I=9iuhUPQ+kV1L1MZM4%4;dwgXDv0_j zBQz&VUz)GBkeN}b06ck#3C(PiEm1H29Xn9byS_wZb5A}hh%zSs>V~GET39RP!*Y)g z6)Z2zt4uxbP;~nNw}BmhF)8_hckD)b5HA7SQi-(*L+ITXkpT~RBv-i@*H|3pOH(ADMw@I46{A9 z3*xF6o%KVj0Q* zRNEk(UD;x6*>dKoc`X0O(*L)B+S5M+#eAqgHHknv$%Z(uGwr0?>m<8ITEd2QZZd>7yfBeBr+iAoQct2q zruFL>)ZOVaZ|i;dacT5ur8ZSHw^{#l+HrK%6h$fDNIbH*(11WPC{ju2&-{D}nY2|c z2K~tty2I#EmBnlxA^K7g{w!u}1)80rd~~oCH+rBmZ-23biCW#xTFRlcaMRRYns&oz zs=koYsnRCzBmWfYpSZH{!1!pj9!fNVEe751L}Ly9PCTgp!bVZn+$z>Mnd>b~J@TA9 zSRI|x<3v$A3}w40lJ@ib&JBI8H%jP$rKwM&9Cn?-EgjTXn46T+rNZ*Jg$oul!hKjG?CU(&hF`R7ctg;A%Pf zG@4pxaGsup>}tFIi-!0v_UF5##!>tgW%Mc>KP&fhHdwd?Cgs%?lzyQ-zc$}!TUKqi z9X~ZS%BIYx>utsDnc{qRN2jyaXs@u5{*&1YD);_W%uS5P!kgd-u$xgi2p-zs0&bd*UR@Mgo;znTgcx3%&}| z@jqksxOgAL4I7-c1R3WWKT}VsRawpKmE?r{u;xnZrn~1EUx3GrWNF z^*+Pa@|pQHhfCAKF^$`(ahV&tGv&u*aB*qY{u+!`sNwqvTKkyP&+CW?SKkz6_6&M$ zdKs^G>2&IYm@kIsJsAOt&Z?z$D_#;j6HQS~WisW?O|Dxs)9kvfA1kXhb|UNhhS{_+o%118IbCZO3Xf}oW#grG+Tp#oZC`+Vf_KSQH(>EE!-ma&wLMpl ztWCmzKDCFi?%x8ZVudE6r11HO)RO<;%B4L1PM;c@S2LaO6<@N{+{w2jvNow)G|S6} z`3SxrB@6fALA7BiA~OlS+241d(f6?{Wjq)kJT0mb(8>744Z!dK-r6u~LiLudVTv1v*$4^8IxL-(?j*T>sxG9bgaG; zjwf8b;uksu-fFf+bhW*1Uwj(ZwAf{x-J-ytawjt&>g)gZgR0$@{)tPIDN$rgaYfoAdr_TGDjW z`{I%A+py{d0T6hx&+tNYv0rbq71Hj_^43Ctl(WV1eDZ!t<8~*H>#fGG{nX5T>uaE;X8qK@XO}W<>pQTYBhu;R+l-pxeed>G zzwcYK@3tVYcD=GXES58x!dpKiP~dkdceZ2f0_%(Be)G*#_)G6k{F8H2R@7QxIV-(M zXxd;n+Dj*Q9{Dj&B1?hezZbMG7UE%|-gjhTiOvqlYQnr!rEH~IGAa88Ki5fxJ1zx1 z_POrxkH3rHr(qAgDw2p%d)9!|3U@@AUC0uj{VT+Xi!GgNlcy3fX~{H|q7+t>6J=Nj zl>7h2nP%qU;WYk0mwxk3|LrW5rguaS?^i1tLB0Q*+{BhHd~aGvII+ydOyRGFG<_Zh zqLYy#xvzBSitz*^%YHLF9#pDcyaKtgR@+2CP%XA%+2W5nU-Uu}4R2r(xkWmODWPPN zX^HE})G}^ciExep(Km ziDX)t-ZvJpxK}B3iry|&(Zoz$Qm!J&Io$~bUsn1`HH!?>Og(bNtwL$^LQCxtg?nmd zlk-|EAyG(#2kTY;0*d%K%ZRNp6Kbh+Q@qdq6>7=JyKAK)VRX#}i^|?U0tfOrHO0d$ zzU{)KC0tWC#wa1Tl~6BdgyWlGv5qZpquaTWO3RkB#%6q4!Bx)V{E;1)#%sh>@swN+tX^Bbf4xLmbcMxu1%cW&g{8OCa$IVOO>e>ni+T2C0 z{vQhW!O7;*PnKZda@Uy?;*q_ewznjn48Tf4mb_n`6DdOYt zgIX;*4*DKRrnz%NvZa*~jKxRnZ`5f=C%zAU{`#BbFA|n_@s99JA}P15gN1vT7A!

_lK3VN ze42Fs3p?PKMH*d%7D-VT{7m~r8gp0v*?a16)B4T zdbN?F`-ixC+%42dqV?Iz@IBb1A8dLs=EaDL^?^jce_xYT~| zTDw32NAPuM%@_qng2zwb5*5(w4pLepn^p7gmiuQIW+_w#~ z^=zSVS#mU3OnZDJy_8cRZ~5v*0eK2Yy(204vib{~tKT(|^}?f*S<@IQwSppE?I52kZ~M5CQ@ zuThtyHYt-6n7$IcmArCFW;dw(5j|1Rwp6xTEt-5QX;|dlOIB1~tYMynM!59ar6v-L z3yUZ*@WE$o+&nI!+5G5ewvG44X&%pniSffnbtWE7*?KSt%{QJnx0%glM&=94%dvVD z#Y@m*Lo@p-@@>SW}WVC5%4(4SHB=cDFc9j1*yAR9FB|>X#bBm&1ChKv`k1% zqMhvHVBQ53u5lDD09v~+qkkJj(mG0Yi9IZaDw=AvdjOzr7G>oi*cyJIYDSJD!758u z@5IzGAOR65?y`K2YCCduRO1>2r1JEx9Ud}Z=x_a1<4Xqsj=3#ttcXdZWK5Dmi|$@i z!G*@dT*++2ra&61Ka<%~Hn)&`RJUbwCfuJcgnmJLtH-=z6s!>_RhPgt#5?zNJbR~v zpaQuWH!$k}PL6;?dYZtps0HL*g-o>T2U`V|M*2z2ZZ<&{%Gu6e%adL}G^`CyulfdQ zw8~_#?T9kDvAJ`jc&bb`Qm9p^01DnzR~V7g-l|lHcJ@3)ft8;FDPKPd7be`hpXCR`s8z2Z}fpd zzTS9@zt)0`-+>WV<2y4)i$Lg|Ai`-cXmQ+sW?TeD6MdkKIM7E9?YR$hi#8LT%=~49 z`Jan-0YAGU5FcQ3Z$(d%Lg?TGa1kM}m7GVxhYq}K%*}|7j;SOBp5mGRQLo*lw~LI9 z!F&1Bl5s~PcqTGx3GyEbI}FCqA(Z~tq2MngjF^oI#jg$*u_R&suU7nx_sdVC0CA&k zrc7f}l?oXVM9TgkEljOpEwsRC(TN&L>-YQuem;GzXTI1Jk+HgLRQZ|7g;?4RHJPRLilQ^Sf4t&$SSyji$JSi|1>TvuXSn5 z8|f1DFeYxfIp%e3NA7;LEM+pfuzFyF4EKL~DF6uOfLXH>=wiMEP+jN-uN;g!b|R(u z4;vT3uj?qS{V1*TC{ICvYZ;C`V!^X>=jseZE>5}!bHQZ^9v;({UPb(9$f1qU=%h^R zcAc239$p;0keT6DlHqm|sumJ?xIi*_qZ)PN0BL4k?e!u%-!HjWQ2F^CXJNVG&(mCHAqMHlTwX3mx`*G$|DUvjrJNN ziu?X4!1Q_X>6;T~>fdg$<#V}#uT(qYAi|9+HaW^_7gD{$s)IY*5x{yZM>~n{ad5{x z3OELE?F+fx!_CX709*ooP8GtpsmY^3VX8*}M=~rqnkL@9+@+wn%RRs9nEzhGfd#L-Zor;zQ+))!^b;%6$=`2u+I} zg6EjVV%J8np)@7qvSQOg_C7`#pZUyF` zZ>Uixn2A%3_?Z4v;3BkBCj5cBf!FHHF0TWX`wsDC7!Nwc?FJ<38rjHG(7_D0A13d$2Z==Er!2}Kz*L}3~N0R+&i|EuN%6F~V)qV88WSpW zT^ouedVPldMK{>RyNTvG_u{SW)qY+S;+xaQt72Q;XR`?IM2_!EI!b15wu0vu&oPxN zIFJdd??x)i7@#FsB@>qRt*F?~m%G65K&P@V+Oem9T~I(BnuCCw@euKp9l!X4zsqU{XaOaH3MP0sq(E5dZ2dXH6dZeNzm~DzqccWP*JFWgBm(e(dCvH_(QcgRZex~hlky4gdct%MCKoOu z7j7cN_9Dbk1Ge7$u2$vFw!-zZ6!xG~vq8)3=jV$-a9hLvOR#bf(K>)`I2O zK0PHal;S?|kLBN%lv-^4aFkknWN@Ks(2 z7jJZ^b_}U@z_vmRak0N0c26#ze%XKNy$OcieRIb!t6{F-qDt}d%h*8Ph(+YsQ{phj zK+~f!;!*<~a|m!W3}H4eVsh+CLAd!kWzWm$?fJF# zK?k@wlyNI(sy4O~{EqsnO`fOzh945CQPd|RlbDY~hI3oV*i`mFrh4iBUywgnZ4{QA3B zH9%yDWLMxq2mhSI0v&K(J@EPsDN@LYA50(T&&xfv!Ftv0a-2b@v zy6C1WdHUIZQH|*lRVAqz+A{~0rJBbk*^Y?Wj!3M>#t?wg_xT|ugM`!J-+OyRm5&l^ zM+DkPa^M1+anzRJhty>8aItb6oSx}GRXDF)s1t{LOzj&xUcCX6KYCOig;=j~vqBlU z(DmW^W;wfeg1r_{T31k72T@uVP@V`tuI+q?gRTQoas#7s1MDEeWbe|1(eZUzy-!y( zivmsoTtfy@*8?@2^-KQSX;mD z|1|;cn5JMlbYfmfgWLmbTcT4ao_sC`jzXVEK9;0>4l)|;92OtdsCLLOes-z;Qw(Dg z2)d3DG>~M{m-Ru!gl-lPhr3cb&V3?k1VWm*^~Yp|=5V45z(QfHU7USPptMeEPJg=5 z>8wzm%ut@JP<>AHZf8=TebM#LbT0b38ul24d8G{^-0U14-E)&0xXcRm-0)vNG`{|Y zc?D0kBSf`B0wQE@hgkEuuL6^_Vex>~r~Rj*55`_QiOyq69Quzhy0Ikc8EAzBr?~fb2OTL`kmh=Gw^~~UcWF)RK518 zXYkmHkSyp~dl?2ZT3oU1tj;Vy)@_Hy&Bh63nvg`3x=2J%JND1@3FCvLzD;nusG6)` zy;1eEB@Eqg7d**|+u0rNbajd8B71t^Fq~-5G@^Yxw|U^wAD^A*q|QI9l(Ojy1MqZI zFM*jFYJe(KT`yuwO!a{M5P68gbuT6Z=Y0+DmUmvXD0a$$d!}RawfKEW;fU>|fuTXn zH(JZPxQghwt7~XE@?4F#MpIuKgP9ajYn2#X-tUqZ4KHU2#n7yp zo&Yt^X&5aaYoxb2_*<;7v|SolT*x(0>g59=R0xc^Md5rVUTsGPUv=@8q;O;DyXaxl z%1WN?biaLlHCMv9D1S*IE~x7Pb_EUSpbkTTw7kLQgI@Z@e5*Y(SWm=8N<2sB=ADehY4d; zBLz%5Pu;QJplanm8h-9&_Owa6*c0~_Bm#J>JG{o5epNFzMXf#DZ>h7Z+7yQaS6xnw z^fJ|chJzh{%sG1QLY0loJ6DYl!=@n?U&|-qE$E{fPq_T?tEkphXSW4PH$B>Y{`2th z;SO1#W@U|~iq+LWo(xskk<$oLLlQ$GrMLMuF*S7|w*uy5jrfBmQ7GJ75ClTUNJR68 z5h0}x#M4g&#r|c)rcm@pL=rXZ8Ae4Bc>FCi2+w>K@(qJ;E3LJD17{V3lFQBX;_0IG z(7T$97%LzU4dAnJjom4VqDYyBFxQ5Cq&D?MNt11(Bu(z`Q(4Z9d!2{!%TVLzH;iqi z#@f3zX7Uwlv*4U?`sp`BRw?h+-^X`%Xd4+F=}=&l=A^?FF7^3<#JKa288EDH$~}cj z{g2@$=kZJJjVIXnQ#)GCJ6Gz?YsOfhH@x` zI=H^)3c5d!x1KsZ_}DlMz>gZi{fxjax4WhI-7u*zJ+NNM)u5=Ks7H^Qkl=x%yA9~O z8~RJkQDKLxE$I*an>{+2kPCYcx63=D&tW+cMaA_^_k_KKG}XZ9!>z6-FCu zOGutmQP`+%)XoW2ipCKmzAcnj(ak={j+-kklo5cUGz`5pybwb2DS_!A45=6)<#d)d zhh_*g6((dT)cLWfA(EohKA&R4>=I9V8KZ$BGdklKUbNDb_~h%;+KoYyXhn@^l2~4_ zY0R`OhlO5bOe!CT(#c9#>4zgDGy-2OBt^k?GmIwLcA2fr-x6_tSrkLO-^9Mwr|lZd zq*}jCY-}`hSHQ#TWcL`=Mu*SSN7y07GNVT6KWH%IXO>PS4nA07H|OFN;M36znP#1* zqBHcrZbjiABM!TIzeY&siP!!b8YIg97>tw+Er>2daZKK(H8G@gD0;tHJPx=`?!D8B zg;#tgcaZFeIu6)|M?-VHw3L( z4=I#QgN_D)?cX2%0f8L)roz^?n4ROY3xo@lLfqA8D7u@q@mQxmEg8z5zua9hwfY@g zCOjQ9{lluk;l_l)zYV`d0re{Fg4*^)Yv_>l*LBdZKtHLpLxKE8%U77VS)36rb7W=x zG$E_*Z4pj^SJbUnnTlK1jqHZfWmp`DL%IdEQjx!}I-%8hm?gYDc_ z$Adoo?@ySKDq;TK!NR%Wq{6x@4Ymj~bBG%=LPLsgUm#w;91tz)JRLzF=}D`!KnH-l z;I@8b(}BD3mGg~2*oC}reMQk1%7c97Vb`y`l1Xf50B3_hz9iHBMGYddS+;_ZxRFdQ z5-l)DoQNzjaTvD%K@p#9rq@JGF@vMRxuKYac1VymOlq|ihDa_hGmRO}u|VJp_bOS> z8#BZTnX1&XiV(UXJDCrU=0+F}Epo4-?uk^_1q~v5edmqUG6u((`4!Amq0u6aJ7>2* zR(e+)OI`vY1M!F_EtT&ho?xkjvt6h*=a*DEwHXaspG;(LK?H|DmG9YH^}CGUF%;EC z?hJ(tSf&}IW!uS6(9LS7x}c*c7X2%vwTzBsk*z3paCxdFGKXUcE;}uw_Y#5*Hu|24 zx;2!Atd?g;&SX`L66GfyuItuTxl5TmvWxWc&0^C>bo3d;0ENO|U3Wn`gdV*ff9R~N ztg>G}{s=v1P87L=&SgrRF7(Byv0q3kWJxlsO24d{!;mFXja#xLds8K@`x3BzQ`Tgs zhjL79k(_N_)NYhp>g?CHMwE_xE3y4B(=Bs58*#B7ZUU>O;TUtFwtmNto#$`NnBLfo zP)desn-Kk-C+YHt@arT`52O~2JBMTEV zE0Kzsv%8gv8Ihuqi474mk%}~thM6&un5mWP&za+`|4|17gvRvW0>~Bde`F-b|42{Z zOF!srJ+aIiDMK`+1Tj^NJrK|^P9$$Bi`#f(o<;y{rhiBmn;Rq+5RliBAzR<4Gy;T1x0ZB}>;ep!#s}wYu9! zo#xygBU|T#!$HlFL5fi6Q%k%}inHT{WH{GyZ>7HhKw7GW>djpV@`ZD#CDPhSz-2(4 zF>$WHQ$d$tVgV?z9oYUZ6>5#A!-$qcCx{7=$`Q_^h;n`K5;U;t?!s?#eXJ1S>hK`% z`@4d8RDAM%Hjjk}K5p^l z{$5TS(InfB_ltwzJ%P?WrHoj>c^6|ml0Aj>Bd5Vd7ZP*Sojd_4p1b!lUaBWY%=NGq zkgHPot zsF+h{h$?F$4}D5UPWJD=^lLRvq9nXzFSXVS8^y3e&EQg)XT9MJ{i#)~0_QNtPJegk>X6BKU&&yx^dhlmCxcgw@>1h8V*D{NpP&xr>Tqw{>a-wniL4eCOoM^TuXY1x$;7e*vJy{m z%+Ne9^Aiq0bOmVsRQ4|akc9f!iMU(-Wcayc$Qx;PLRf}va1M$8Zh^8fpIRZl$YJ;s zbiYEP@7akw`bsbqvQz5YFES>;Jk9yM0kM3fmM7zwrI$1MRU)S_fG$&0%!U;#Xx?i- ztNWqd0}tQ<>FcFnD;F8pOMG7Qa@24b|7T>#`sTLPyU_3b_8Z(&Lu$YV-Wr^R6N-D}bd*=rG0Zw>I)YN9F7V7rZZZ#8 zU-bU5sCZ-MD2+Rjn`o<*7}Bnh9*WnAQ6a@!8WA!muf6&k)s`^^cFx^LfmKRUHcf#^ zoPL!^^PhP5dXH5FLNl4~>)e;+_W+8hr>L)`IzosM$p*{ISl%hNvu9I4_i%R6B-<@y zLyU#=*Iz-a9V&!V-l+J+%Nt9=uJ428;Eo)wf9vd_@GLK8;^!u!f&+ z;4rc>9~3JuYJxN4tYw|oeQ8HU4G$02)iImxS&Oo(hil}f z(=O;fPb(?wB=k1p8P;l#G+EY%j(cYJ!`08sQwc*rqwTip9iBmQId++VYuX&0XB`S7#kOrOj5KH%s3bH9z(o3F$=L={|^0*tyy}K z1zc zf^HLQn$EP)BTn=37~}Y=8!l#2!QJLOWNxlCF00%ti#L)gN8D^JeKoOeegO*~r8IY_ zeP=cYtbI)m2UvY)HU%`MWww2NcVH8I=ia={xPA{TWM{4|+k8c;?^TaDbi7HdFtV=r zcig2vTn!mm^<*{~*oCdsrcXM)&ov}e#|sF5JS@eny!ha|{c<0^ao-qG`Cwi1@A&M| z`Q^R!)fSsXk}i_rjrr+q1Xa9KmjMXRjQ;{_ih#@-GVI7thOZt)tCW@g3$Mc{G@L3vOau)cZLq1I1JE5|8iK3b6JJF3oG9d}m3o<$fps(#QKKfzc?3ffbS7xgJ z-eqv(ow`W5oTVKi)_NT1MN1ROHecZ)DH)5n^~Z9IHAbnO>WVG86Si7X!#_&1AVUJb zO&#|-r6R@>!-p6O|G(E0(OqA=VqO(Qp&xk8%`{iw_HqmtGLoeus`0aD1 zS5{Dw=853qbjH`g|KOqXe=LrNBH5(cYq1ECEoYTOoR^*56wX1GL=h0r?f_a2Vug^z z@Tg2-oCf1D)KG5cJ+4VWV5ckbMig_XrX58=cWGB@vVLo-aLETjC>YV=41x_y5i2;M zLZXWH5#vJdSYbc~+hKIgQu!mdkHB{I!fsuKUf&6Oco*z_8~a>vB=*%0LUyh~ULH$Q zhh-6xuTI6HTpo)-ySo&Eb-XGDO)(6zlF|H0#0-9E%P|MpipB}d+NkruY1%!01-m)^ z_`x^W4F(AGHa!eVrw$RBXN#ufKl{Ce-7UQA-Kj6Jh>8$E?4rAgd9S<74_JSI`vcG) zT$uHmFYLt=S4=~yL_=3iFt`&qqyyqxVDm<@j#fs3G{5AZYv>=YTyNHqx4Ud4Jbl=X zAFdi9O$i+0#{k<4Jvq5wZg?H20d23G`igCBoM9baKj8ksD@RyI+Yh{c!2N?)_OPrD z`e9sj^Ir!rZ@R3yH%-i&cwlR0J`2sZkPZ>SA!EOK-7%p}L7I7~K$|-#L7RDh&_My} z-oW;U8BdP@oiV;o%?o*#2ND7}sj*=zIEuAXO2aFSmXQyDwtguBs4MZ5LBATF_%$^g zY|WE#X#{a0JTWnZaP5q2uLv*sdm6*CT|58GKG0kUmW_{omhRBfH9dMR(*1MV&BYte zh7aFu;*<4s4yEEnE6N`_eSSh7wp)_Z#M^N;3lb-OFv0hz6f{;=dB{z&~0^ z(xB|zNxsr*ehUW@=quf1oHN-0k(@i9GH5)Q7A?D;=xubm`F1ssCCh}rO?wEF=7*3m z7*AxmUB?iI!J4dUTdrD(3~Wngh>xuFxP8n=W3KPE+km-Ht{5WzU0W$f_=zpAXjmUf zP+0p->&;P0Q9PQr$u1mx5zSlL`w*w+_ef`p1Y4c!bbIBqkdU zdri9m8qUb6m4d+I(n$7Le#{^)OAOBfAKh6-iau%xBH4!J8)4)te4mI~qjY~oz>AGV+#Utx~L@butSOqUP>9kN2nIc={-P2uE*5a+h5Q7 zd6u2)FufyTakRw;l9qNuP^4TE-0)P?69*~~m#v_ZeRFtSiwo6aK+tnU`{Y*5x-4F> zHzHm3aTWM-#G@szOY=76NnzVTv<9nb$)vU49RoeG8)a8{J>IC)v){D)w`v>Qw0N`5 z0nE<~HoM1r{&P!5n0k8t?l;abnWAboXoqT#_txoo8XX^<>sN{M1La-k}PJt>+!bxM|wF~v~hCWr( zs}R*^x@>6aej#QO1)Hwjz3ahfcpn8ttly(l_EF-KRo9crf1S@Ag9Na*6kd*LEG86C zmNUIbI#r&-i6NWy_ZNA|mn&?f|G4y!VQ2HiYu3pAS!;Fgw`(Ig`uoJj5-7R8c*fQ$ zwJnd#P$v&eUdR9Cg4026K)J=n%lnFJ)MCB09!bpEVyGp<;IVp{gz-Cw<&7SYNRWXU-VTctxq^a8bWMbD^euA+9fbbA}+YUeZg;R{JJo8G7Y3+ zsXt0Jk_d&eev-CkZ{@+TgCUc0#H(^;PRi6WrmE+u(xf~DvV@NhCGqN(vPCW`7bRLG zlwHoM;zN!aaletM)nl%anu|3>PbxA91jRAUGNtfiQRPO37l8U?L zMp2Vch7Uzi(-axUTSpt{Zq3$u`>6w2p_tIV5sD^}@zV~lMb@EjNhJ+hWTsItH=}%x z^5P_uMb6BV$Qj#~2x8`W={N7Qj>(|pNpZ^U7e&v&QR(cJ_JBmcPD<9JOZ|t5Ak|4E zldm>R8F9E8GCbgdH?!3I%BhOlqq2Lu3-7VXlu5;i7h&^k=k>Si z^W*LnAzY>=z|$> zr|Qs5=V0q;XJ~9G3JYiIpf$JsxRduN3U(?<;67POKdrI0A`Qj8qI8jybBdxgDGN;r zBbsr^pzYxabt5??b2{v}CVyTeqs(DhO2+UO5*bb!n7WFKOA?AI59zhj|qFIS7R0DMeH0g_azXKwtWmPTjbKEHSTaaDKpmy3P1j zdECMbjdqAW_MxW>&u83$RAf>G>+_I2^2bIxbDeS$)lQTExvR%68bA}enT`xCVAW~YXfRpGP)5gbMyOX%#ZhWkF@b`?ENopL2S zqAUF=*4gFhX-S!364?f#5(v&)(;G)8Gb8N^rBsbbx$fE%)A;*1yUGi4J-6$``2%7x z>O%tcrfg5vKi)Z`QO?ZM&8N0;D_uzSC zMZov;9i8h-2W%HkinZvnDAsKwKtJQ>OSiacq;RY!Mu-+~E=#N)EaKcePRCU%yHZ+3h$ly^RRu7e_ePwI8mC+ZNn@-Tq zXL5~}QXOUB*(*>#Zv*NYN?XhHoLgzci>M*A#fI&vHP68`lxRY!839%)ZUTozhZ~?#lII0SEMz@>K~* zJZ3bSY#d9R)Mdp;pwha*LkhYLs%33bWxxc`EKsT zCsX`l#{Csol$<`+!Vhz^`uN0JdD-Cpji6lD$gU}=x;vC!qN_eBmR=&!_^_$C1Euoi zQg6hMM;-bw1pCAt`WT5H?!6B1LS+DRTc1(FcKyx;)RY}i8R?4=;d>AUo_g#S+9ok+ z5*S*aCbixu`^8W0$a{79M$+}9jw>X;)~bBDX0E(XYLs_UO!P>;qO*L1kLMBJe~Z2f z{fDQl@D)unP%8b}dPIQ|ZEZO`QYxAv&4P>J$}pz=z|VwSFy zSvfLyXmf%YWa`RwapQK%K;+?`Ea0E3 z6e8+Z4^%{RT=9V~Pp)Vlp$dV?Vf<-?(i5aBAT=%_{aO$5TYAKv$3H!e&vj6Y$J22A zo#=@2tbF2|f##y5KKU#nE7?0o<|_wh22t_LXAqP*{wro|CdIxGX6bwHLZHZHbF&vO z@GF?~7(50T{q2K&$)WflZx-3tK*o#b5|A6$yITn4s-T4J8ERO!qTj&@8nz^lcryNzbSGQD{thFYzUW+Cw=MFhFPt< z)qQ@E=uxYKG-5T)p1ZjH#$ws9sl$*&d8o3*F>sk1Tpxc~Tzo0HU#`u{E_ZdOi@X9( zyZywu{Xzm&((v$zqOu>7ZY(Di3o%P3YD9f3j&S@;MC!_}2j`)emI-fal`JIAp6ie@ zQ*w1<&pDA6{&Rg0&L#2(erX-X@KGDs zl%%SV21$1HSLRE1)zIjL29_u-O^gQbXDZ+JR~83>A^TkIAE|0pfTi>M&B416G2wXf zr6QE|Bk4NETLy_7!LV>;k%z29pAk191NoDP>>YpwI}V%Rfs0<}k?`=D1a@QNSZ zzRYlWroC17*GEahVrKt3GOh_0gI>GKe9ZItJk-V&aEF-iYpwp0yPZor9b&0cB;yjH zPZ*1nt_C%C%jDuKZ}!HX%4l{E%QhY7+&uhR0G$VI0_Dtuu)=VDPclSOz9-_^A9f3w zyS*($yi=4pIlXMl+mY?Id8uim2KG}y(!sYdkV0||_2FOQm;%FxoqN++98axo(2((N1ieayqVzmX3G_d>V#0>c@o?w{3j?w!?3%R5fj=BBYF z7naO*yYT759(T{*`*irImc&rE{^+u3`!W=D$1e)Z zar(b6bU1eU5Uz$TtJ)i-Eu!Dmz0zD?zbvASYQ9%3+uBEaEQ#?C80^-K2h=fN2{j~} zIqq46FmZP*F9+~P*$ieghsA1Yx-|Ln6)|#8_(NSwZ!vjR&uy>PF0G1Kmz!{`JI`jq%SSJ`YDP?`B_fJ|%q;w#pAhegKtwrO32(LULq-HP+ zkFQQNdf%cojHMSt3Me8&*>Tuk@vIMwAXX8KnT<}nJGZ`Kb3 z_;6?H?Vm^-lbU6`vWVw{gxg#KCjaL~pnU+&x$fYauA%dTw)JB7I?;yQI^pklYU-jtAD<95qx{rT^%%OW3C;Y3U3v)?>~yFQW8Wm zueN)34ZiRF?YC)Cf2Z(YFwKQz}t}?2g|{kM+t;oO+{k`XqqW zbIM-(_*=Fp_QhK?%aB;zqiUHRaqauVPu_o3>8wxeNCa7sbw2k^S?#?P9?Z|`n#q4>OPs%Bo1E3+MK{1r1&HZbiaL{13I1# zPPyMHK2-qaxFnLMFnc@2U$OL$J0bi6kTYRlQ)}^6Czl)ce#SlZ--=veEF4Zqw_|J1 zul54+-}U;{3Q_Or9e!WZgQgt(sBe+|udEdgEuYJ$ZC^iq?BL+OE4N{1TYtyi_vY$J z>S4foG{9fxH2PgYW9CsIj=#b|Awd4CzPsxad0N27`?DqvahkuQ{nPz*rtw4f{c5?zhA@slkD%Yj%3ikHf~fZ>N%Kr$ayyvQPN2$PPA*3 zRQ%9&4}5}HpRfssz53|hIiJNAnb`jZ$oq)<(R;sD5E~ml1b4X`{pwd zgN_LzlC*RCNcW0K(-@-iOx=b&q9;1cq^e{iP@O)4P-4UIiAv&e$ZbI`z{%9A*my2v zg|ktXfgu*_2qc_fRT|xgWU;V=F(P)u;M~#Ju*zU@ z;QJDEJi=DE$#_}hO0{KC$Zh62REkfd%wiV>XhL`Zqz~KmpknT%P3sFhYJ2(l*4}cv zn9(L@x(U^UT}rzY=s*HLZ9r5q+F`~q3_>b7bG)waA<60FAHx+h*tiLd!z?r8fGHAZ z*=X2uHuY;e$bdbCU|c8Sf|1pH^Zw(RxQ?AT&lkd#fWbJ=8@{HA%ZShEuiGj2!AFlx zcOL@gKT>a3=-*C^wrI2(f4=T9`{L7vFcv_~3B8>(#z&)_#48%T*$&aN9G`KiJlt4x zzz&Wq+2ucDva^moq#6+O9TcNFMi|Z*xh+o8_(&76BJnzLrA60W=vPhBLsVg%C$*Dw zckhypF|s-nYqwm24X7l611bc~G}U1@ptZ_cbH0VEQInC0mt zBeJi0;QkjHw&tfne!T%xdARg07&d#(p*;`dQ-W==nYw?XIm=Etx{zgEA8$Nq4$?17 zzay%1RqQ!2PLuq}J5qeXWlKr7Q^gMZiC3O?3A#?-r)d`y=p#=QEimnHyJy`ZX@B z!v(>5#@*x*xJ#X8!c)`uLUnl6Co|qs?_(@$*O6g2E;CN*8GQ@z1an~akK6YFbztU) z@siT$C1wew2`(DZJ_p1yEZ*@0+~ZG52U-B}D8xa%q(M&<(R&W0RcMuPaSPV*4eZke zX$sm(h->-vSbaJ5bWbB79K=<{=b|A}~Mm~!9|i@Z|XDGm$%Pw8B7xc4#! zxGDcvKq+ahXUfR`uAmz}Ywl+J%x!>pH?B?o(tds!!jzpx4nPLj17e&h_YwJ{Lt;T~ zDec$(O7X2>tBLMxG@*X5K>Og4S}@=)M+LXoT!f_~LtOIk^0-Fi3d9htT3^kOg8EP*_fUqtOxojNrakI-OHbm|Wo0SBf-bI1cF2m-NkSuVYsakMc~6h>-4@tsBVlK5rW zpkBd28@qlt_hntA%qd@1h79a~%m^KZD>8w*6d3rndpGX5#g*QZR{jqn{aDiNZ|(Z& z0-Kzf!AS&fj-%|08gvjY@4%9ILwCKp)iTbbwKv-0Z+&S?K$^-G{$5{~{-1YW&6Q3~ z#(QDLXJpe*%!_u+w@3X`*3Q|_5OWffUqp<=1}l&kq$ZDji3R4h7|<1$L^E~~;cT9g z$>A|bU_CYk_B?DnEC3$mGn++?!UK8-xL5?7_eH81Z*rkakue%!&sbE8SCs548OJ!r zzP4^K2O>D*(NbF+Fuz#|^SVpbp$tz0CJ8aW>ODwzSt^lL1q^hig zA1h*81kuw!y%`dYe^avcvJA(WwtK`nYwp{^Q1(g>>XB#F9M%=ga=dLJOfTFNHF=Ph zdscXmjO0Wgrdn8Cu|)2ay5dmbM>P~XNA+40!e=lJr5bm#Ew>3*V0$as4ky@MSwzN1 z1-^<`H!FlWbCbwm0q3Cph=FH4UdRCgODtVCzyxs5S;&{|Sf-+t& zirrq#>me{9!mDywxf8=Xe}_*V5K|(DeCaf6rr*k@ z-6y1iaVM@*Yu2l&FG;AYsxMVqsD@byT&Bz|`nPWjaH62TIUu{kMz4;OY>PmZ@^+`2 zIm1VL67EdCdyPVRjzW5k?)*zYyibU~O^8PY1HBNeuH~;3xUTHrexaW^_4w(CX^Ngf z7I$Pqf8T{xem4#0d!g<>hXgO?;HL(npWAs;M>^f16Z30b4exJEW}3yrDi%h9k;1{;#FN<6?N?gpBQc47=WHpzO$9Fids z@sX?U_Lqfc6|d%TIep2-FV)z@ZIT-E&;+y=-@%i2WXM%Uyy4${@p4izh`3Dp_Ur|{`atmzc5ng!}|#;eiey+q@AI zscO3Bm5-8)zSu>g^;aBO!q&C~GRQhSf?C?ryUiI#QiBF^3|LF-0_9)3oKaRUcEnfG zAi@2_hVYB7W48n9KV0|Zg%d9yGZl1&!ALQB81=H-BdlIMA+8w?{a=JZyRpZfHG$W= z4;h-x&k+4~VxYA0@zrp-&oc2?@nXXH{TzkcVx>T4H7L>`h4}^$5)w0sm9xDA-tW7>}=u6== z151hMQ!rbb)w9*RZ@s62W~Qx-G;&Hdlq#ZAg9~4#J)jYyTO6hSyoFAZW*Q4xfoA!-d#)DTvZH77Qx9Y8E z30!Dovno>d+^~fl8(=t()1MLPOCY6xHzgvs3#`(aN`>AOAo_MTuK&qoT2TS#xXM}r zz76T^wG_Bo&e^gd5O5%J4J2)Z_(~M>O%n5s67&6y6f@=iFG0t1RN-fAcqs!UcX*D@ zc#Z~x1ybb`H}34XyY?rU=BngLhchxRGaxr$gr8uO@JpCS_@RDb7ZQbSd#7obCiB3hlr z48Ao_lE}9zh4I)+Ysn1@l5qMipawi2U4zg(*=1XIId8!uz>rj5&C^i8=AT?Mc-3l6 z7tFdDE=|lT&`H?_=zj*gH#$l%i*;}2bS9(wH)Bx{sA(e6L?1Sz_vlQ^DLAYQ%PTk> zB5hs~h=^gcjPUF+5gf2R+YPc9zJ2kRF!j3xHCtYbx`+m?LGDhX6KTosawoTEh#*6_ zf)n_6ai24(Y;6-k7kQd@@!YK}&rvKy?)dPSpI8}h#H&Jh`kjZXeHEc|5TSDsk>xn3 zC&;B+wh))C6$y)ZMBW_tVU>>QN{}m%5 zOy{D4GMD4CtRuu|j;yn)-Yh*xa&Uf4Pq$wk(hD<4bxNKjb|Osf{p49;T1TW)Fug;e zmGP|2Xo8&H5J4M-T#!TpRYNCE_G_1qZhuB|&_`>KJ!BW+^Es1A8?U>km0a$?2D(qS zVx>-&-_o#f?P>-dNpAIG&E0-C({~+cPW3AWxKQey8&ov;>Hfz{N3ejyJn}(j@PF_@ ztCY_ha95T*0UJPbXm4@Ah13szprSUX;b46rJw46!%MN%fU&)HIR$mo3&x_=}Ile02 zVhR27h18D%D9JkFHY7V{{g(BgvQf#8$;*p;C`nz@^V;osMD7~=hK7Yr_e4T4OUr|w zp@Fd!6O8z)0O<@6FLon;F{rw&i~w6%+9b(%Y&>Y<}cn}&@vDa=MyewPjS7^9zVz_T6QESuR%5BbiPtp_+Y#ERKkAZs9laGpY(KbS~;bbHuZ z&VD3(zmo~vPpu=?(SMOgzx)x+a6sRrLOw22?3RSWL7Bu%ZkZkYbrs)-^I6_#{uR5v z71GeLcS5&MfZ@bQENQ%v^ZD*M`f1CH_%{MlYw$l2k8ukX{Q@5SLLTjzA_f>H@gE}b zpCa)Wfg0|JZ;$1re(u2wAZ1C}y zDB;fTZYlaiX1874hy0VXRjW1o`WqZSbzHD%uA^{%&BeK-s)$xjf-Ci3ksWCOc;SKi zn_)axdn&*CSNkLCnZb6*PvHBx4vwbkzgWABe5yh>VdCax^u;&+W8Js@9zCrOtm`Z2 z!^tU0Y9|f1Xd9dF7p4Zvp_+U>ucYaq#-TvlVb^6PkJyzSKgf&$hvmU|exN(oUES(( zRGRsi4t=OCGcoe8kT}^NqOOil(>{=}^lM6e++JU;KNsx!zEmk^cVf>7cnQf*z(s_B zgO6W?JU>C2Q#6saMGQu0p3*`f`-}BSR_FAjc@t&r$7E&V$oBmU6^cmRp=nL$s;Vt1 zBKAD7;oW8zp@XrC!^Hz5<)f+ZeBR%9D_|I_%cqEAmG*(d6$S}epzHz2=r+I024ou@ zc5^$-W6om70tL5iSMRdo-fK8eaD=zlqAM?nVOt)-2bv9TdZ*JqIc=!=V@hYFtl759 zbE~~sp_~&^;c~O>iiw9rAz0QkBu%16<4DuK3P1sVc!p~HA(VSuVuz)zO3+^QA*4+p zl1(!Li=_HBl+yE?pKs=RQ`~IN)jvP|jjH>+^R%3aUm`BP^2GC;>!(zJO0VHi$eJ3Q zr$3T&p_%U6aknk)Bpm;1h+pl){V|cEZfpCvW_c|2J3ysJb#;96tYtuh?Mo)|8^KE+ z!lU`MEFx_C9Hp`-iPPt!aXGEB$Sl?}e4F8LGq=!<&tI}xCOShEm#sJ2#oJ0w_e-sR zej+*qKh656vYJtauj?1pNAscAW;W5FC;lv#>JjTV8`a)*j6o&8aaPK1uXn0zWhKzrQ_!i`A`c(bdqp2PjHx%?xaW z{-8yniE6JaXK*@fcTziGSR50x4=PnCGEpOu2?wDFCm70tg<|bPK!ZSkDJ!9nXXFc# zLFoEhGVO&!ctl1D$R?h?PEY2ntbouPCHYt!=icP{Jh|vO^_)_MXns!|GoF%LTbeFZ z%+6jZw%n^{j8%vinYR@XmJ4m444ubb;i}hK2h=wiyS*>Stq#vzlE~j%Rr6B*DSj*| zEnQg&eyo03Lc6tTr54y3^Z89+KB>!?F->jU-bz{e+oUb7dP8Vtf0}+@H$Y{&@+K?H z?lI~FR19F_Fsib|QgDv#

vyq0y5nck&oS?0a~jZOG$3qpg4pDOt&m*;W03QeJ}g9wPCfjEV~7nn5TX0 zH>{m8a^r~8o7J7RMBfzQB2brIUaS9R0+pZ)v&4C6Or39$Q=b3&ER@bwRg4DyhtSg~ zfyQz*T!EjX3fPG>Z0;9Y94uvsj2nEFF&?}a9?3+G9;;F~Fy%ZD67>33geY2J(!5Wn zWl?P~Z`F*63N-w73cFrOcIxl1g_RRQnpi2NC>mH%_-cZzHT$`CEL_wM!s5jSWY+H^ z0}v$VeIOV~R!e9X^Kq4~v{|*Ope&q0Lt(zR(X^I26U$DK3GVeIMHiT`HknI`^>zFU z{sN8tTzTqvv$?!s!CS1UTKwF17Xxn@ITCD3Vi38b)7G!o1U=2fG)H{K-k$Q}IjZBi zPRFa!fI1RTl4??$flfP!aVX{-s^o94`$ZvdnUgpAh+r;XMitqTzR>DeB{X91vqEs{XZpwPk~ML&h>z;D>O{ng)P zkdnF6`v^ztOqaeJ$iK{d-?doeQ*6%Apt47vnVuRrDeOd^6Rr>FM8sJT7KrLHj-P86 zTZA{Aac1e<4U~2FZkdjXbs`A(idO#}VB!e``^}S7PLN2g50y|)s-6RvA`g^8uF{x)AZbX?rR{gL0E6@&b_CIVx4bCh@&tiD zwepnbN6EC&R#i!vEBt-hkjJajke8C&-iHMqJ~%ssCuIEWod)V0Y)2vyLt|t$LEGb3 z*-&S1YHEzc2ReB1pyb|q3I3vxc2%R3qE#3)O3uqW>%D5v)ic{CnX^}l#i6o zS%VGK$R>>Gn&GxY1Pdha`5K~@F5rn+KhdwTIzYsfH|&u-BC}&RJTHlpNR^UO|1Ktm zzf=}wGfQ%`#=T49!o^f!B+8zuUNoObk7qKO9 zPsPgq^qN+#pb5jexZ~6#iI#&>BENF)-1UA;Hp5j3k=9p^>x>pWY|=pP5hivB8f=cO zisq)3I@qChkJ))QOKepO(kkytJ5h4vDy`wmKb6%32ET_zfI}lO-nc8XV;x15 z39IznqmcDT-P-*t0=FZEMs>t9l>NFY3LSSi+m6-jHX2bj6Xz%SmSjCf6jjbZ+{!4~ zFvsEtZzuvNZ;CLsx-n#;8vh<)>ov6=xX_4#-|91&JPU@z*=}Mqu~Dj&pI#zNk%^-9 zCws2cSgcEXZy(WeGxVPLZ?%BDn_0BYVMh&7cUUP*sR~AqR~)YfTCY3R1lvAehBNS; zJ$>#zOe1>uPMgZ#r3-93o%+nfan$>g!abM?Nv12LGHxmvgdP{hU0&B~&CIOjEkfCz e1*u;jOS6I{rz7LKS1&T diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb index 147f5b868ad479dff2abdfeff5c12a53221f68ae..ead8e2aff1e51bb78d25e79dfd07c3d3bf7532fd 100755 GIT binary patch delta 906 zcmV;519kl92Kon(Q-3TH00000007Jb00000001li00000008;}00000004LatXDg3 z6hRQ}T_b)Gi3uR%1RS9)LJ}lwa|8kq7a##4xB*DS5P2;)0<$+j1aJcqOvq1y9lBms zS6Po~dKWxF@AlO1)vKzWnQ+Q-LmxX zm_6g+d>v15Df#r`FA!gXD?aw0A&-2RZ_q6|hM)BZk=Z49xE_q3HV zl<}L=(Mk}k96$TtgWk;bdH#CwJU_$VWYGT+Q6<6(WyFZ!obKbognKaS`1GX5AJ$9n>U>)u}@JaK;37A}8|fPd;m=3T8~uWwqh=X|4n$KUVc`{NQ! z|2!Y%w#0h`d3?{*^RvnyZYcMBEz!4l--!9V4Sz3vzCU0e-*Z}z;>GMQLLT@(gRhWt zyx#sA?Bn~3>*4l|`mvnt^*oDw?*sqB^JV*5zYM*U?%_A^y}`bmPZnq&_)ERE^m(j& zM1TLXew-&-??c$f^Mvv6p7X2cvB3KK^GW69%hoT^Um|{dpL;wDbQ#(=;yj1`>s8Hv zsNPJU`?cr440^fZ`=vhrX!`XZT>|38vp?R|C2fcE(d$+5&!@I delta 778 zcmV+l1NHp+2j~WnQ-6FA000000024z00000001li00000003wM00000004LatXJD{ z6fqFgohfYd#SawW2q-eZ5Co6|rbq!-kpea`po1Jp!u;|qVA1r9W~gRmty%Af?zHpj z?vb>+(=<){o0J|Vxo%)QsAIuD9b~?#e$(`GN?W~GL-ewF#(!)NqxkR0zra!l^S=xG z;r z{>zloHT*k5{B_ss6nBNc+ByFj?BD-8z97C13)j~(_y=BHjL=6&KZ9Vb53gtVPhd|eC%ssI&i4xbhl~APU#oY7G8>O?{p{5*3agH` zKQUh(-@`9ymgC?4K#P0tqp7Jxqceq($a zaFbyfzqS45^)I%lR_Dem=mWgREDrU%waX zCG+=)kMF}Qh6}zw-oReT7=M0$cD%+n!$01acT}(EuW|o=kB;(z*kAa!3+o9?(Sz}g z>Rp6?E?>{TSHFlsF4L3G3&uO*pOI{NKNzos{{a91|NnRaVq{=suwYuG0001Zob8=$Z`#Nf$KUU#uz0_PW1gin5>4nX+hmgq(dI>Ug-CGj zq9i0sOw~%O{p{C<6x@XMfBpw|#v}Dzi{r!i%$&#hjXAh|jK|ZfU_QBz-d27Yc80yv zH}{jr@zqr&NUwd07EiN>`P+)TkxKB_atRr9RVA#3HK_-qXz^)w9R=r;>)Swr(Qm2&c?+LX)&kU2d1&}ZZ| zjmFrd#@I5E*`-9b@nlPblGp(f2WP{x)Bf*_$Sx51KA9UzpwxiGca!-oxhMtM&9Ha9 zTzm{Up~!ZU`RQ;Hk?{=Xv$%I1f1-pU>lvJl`X>uYBr>4m;nB$lN+L3!VRSQ${+d!U zk^KyNN8Dp0^GTc~xrC7QjH3ADVKR-V2M>`2_1NhNk^QW^TZ@Z4$b2q`$E-+XKl9Ng z6(~YvJFDmBd~j$MeYk}bBKt{<{9^cyTJ9mTpWblv=jd1`dm;FSUlt`Gv-7i10 z>99c($C-K^nNaFC$t8p=XtmhMoE;fZn!R%7(=duc+*)rS>sfnaZqggbfYN8@LR$kF z(0te*aW>gN7L+C*v-{3zR%mi<632_#)iREr#&^kWUq8=Yd9J+2AI>kvgWoU4{gc7z zcy!Ub7*`HQJvpdu{&FL=x>GEC24u2{rb$I3gFWv5!RE^iWU_0&&*c#fgUX94ijl#d zv%Q6;S-9hBq^3c*X5T+3PW%JT+m+JL-)nfyjDRPKA<)tY?)vP!f^t z91o8N^P*i;tvDCeGzzX<4$v~nPRZs_%b>Z)HpP&!rpw`ml4ufIxlE-68zl2tJebGS zvTY$-O~y$M(&o2GfIQ=!;;D%+GeqcTkst-Gvdv||vC$VJ~Vibtd_Vastf>s-aqL57r0 z5NSvq*tkFDro3yG(Q!u9H8LVjn_ZLoi?hqFNx$)iD!;nOg3f;()D|Hn5>{VBuKMc2 zJ+J;R7vxTK&8XoRlKY4?WJ06k zVegdQI$DLiIg;5{wKM{{*0x^}8#Zx@LL6BnY6rw7seE@;Dil!?p z5Li(VbOM1Do+%PYtf*>72(75fXNZw#01bgCiDJi23|SH~_k%DA4G{|DN%)qtK%#`E z=>+m5^a&V&K#2xshd_yD(@r2#LUWk{p%M*FBM>Z6w6X=VC484y%y18qqYyLTgY-`j z_~1Lc0*McPdq5!bpeNxke!hqByQAkOX0&0D&Ng;=ryLu^{Z!n?xuwJu!qqSgB^MKKA+H zjy8Q{N+1$K^8o^Z5Y;(oh=eFucY#odhAI=th0uJuKrTdcQcxfqqTt^I@*&SiAs?e4 zB!V}@cL>Fhl>%xAAuGZIdm&^+XwW@`pa@NnhmaDX(c};kBK*0u5b`04bC@ASHuzVk zm@yLM4txk93C&r12uXlVazTd8F(t}egeZyy&ApTATNld(Q#q*T9(*?e<#{nfiilI3LRv1ELUYA^@YUCR32 z8r+uG?lqXHbUo5wqeN>kvqk2S2DeRvGH7tW!MM?2vrHQ7`e?=5!ngz)Z2A~Uy88{f zSPgEW9*;D*g$7EX!OnhzaihTuw_gGkZns%{QsI7sE;yz3F`a4d7yk-QsrQR(jvQf` zG}ziNzjvd-ErDAC4Te63lCVr`Q1+WnN0DT|9IRkJ=%og0Wm<#{A45r4s_x95Rmtkw zIG$YHMbC0R%@*-;a#soF%lq;5!`)NzE!*zyMsJz#Nd9PfKhF$QwqL)#w*B%~_a9`Q zKTqb*IYM8FIH+cxEB$EKO4+$i&??EDmrb&fHz_Zt6o&h*Yt*P7{NIPpP)H(pWnrgXEJllSRzEp5I%iSa| zkD_u}HanVX`Jt)0w%=HorZQ&-O06l$1;s5bDs4q&IiGT0_^E@66Xo-8Woj!M-1Y0JUSdFCl#la2BzSsHWcl=1E#eFze?+se+PP$)H zZ9j_s+%wUZl4(EsxatWlFq!tFloj{kJon6Zc{HWw+Y9vQo+Q)miLl&P6qZL*E%yal z%a1HUz1dUXn(_m5avu6JZ)q*HqTG9_x*tv4%#TvL>$v%mPN`4f6sIY5d-O+lWbYKw z{p_prdCTG4y{6Rf)v&y#oTrGPCx@GI-yv+N==a3>-0#ZfzJ0npno@&P#c4`iBU(-p z-LEM%>SNhYxo>!@@iEJO%DJM{==6_ddhd;9_bH+$jSTgxw2ISI*ALg*a<3`%tJf@- z9VPI+essqg?!&n{qp+O#xVOHp9|f8kaw$$zZ9i}{bzitJO@-?2xaCsTc_T^GM>Z{I z3C>~AF!vW#%A+YYkWk#3QiFDuJ-Ty6so&~g*-g2}Y;u3b<44i|J!e1n2O}&M{eC1q zY7(uG0001Zob8?6Zrer>h41?mgzg)0Nc{p%0LOM(H%*;NMRQ>khHNpd z;Fxw~%1D5s&t6h;EX7uM_Ke6~E|9xK6i3V9o|)O%kM!Q_&A88AJ1o5@aO7KAn~*C znFKEvvk%iCilZRxwIymtp~S&BncvMWlHl#+;xdq6{7djIIS-Devn)Te_2P#h*t<+V zpWR%~((Lx^HpznQ^G!a!dGbD)C+S7f3jUcT^Zdxo^HzI#!saQ#Y?_a^^>EVPv)e40 z-+wLtVsWuA($T?quf6=|>h$)*`CIKpz~26JIzNs6Vob)s_$K%tGvxubgmbPf5oQU)#l1@G~2b@Kup4=iiMkJr(lkp#;my{v}2`GJc zl+R4cBoff_{&4zoD<|iXSsD^9x5Z|8hzrOu(ovo?$YCN8Ez>$V zN+hEqTXIn;Mk2bON+D$wsc4nn$oduOXm#H^oKd8t{j0?%w$MgOS`Cy-#WB)SF3&Dy zv4eC}2%d|c9i*b%B`QSyG2e4J7?%@#sKZlDIKh_q;siHhQj(s~Cf zsJM1+vUiYz-u`?X&LhgCQAH=5gt|yVOQT#w>LLv-ubsL@T_mD(v`;OnyGTPt=-_18 zHIoo&6iI05OQB2}EQ#1zgcMZtD9FxC*DME-?nD|YRxtY)*&WrOF)QbUtCV_1>mt&o zSyPVlX%D8O<>hmMzK6uLGRjTU9uiY}z(tXsft0ufN6(}=$617#h^=UPNJQ!Q-4WH0 zL;^}*o*cfX5=I}Yr>KfK_4JL@BV3`-N9uWX@S3t`l!Z9m^oC4cE_M!)h?WVMvWP^KhdHjzH#BG_a3OAJw6n_HaieA&IWrBC5T~D^nSR*KRiiXS z?u3R&LZkhIvIw{F-z6Ba{Os$G?(JvuNqV~iDmGw=e_IMG@2}@q$@Hn2EtgjVB_UxA zoP>mRkP;GB%t}aDIV~Y!^}K|HH4qaL*1}BG1WnXHP1Jx*)WA*D15VUHPSk)-)WS~G z0Z-IHPYA>(iYE4d`7x^G5C~9cs0@Jwg$BzINKw#ShL|xFB=I1SqR0oTxK&6}3f@B? zO2Gpk0#S;hQ>wUr*nX!$5`i#B9N-kFe(DE3K~)o z2vsO36@gF%kEsZRDm0vmK&nE+ln5j%6i|skwn9Ud2;?hhtVAGS!E+@70Sg)|5r|jN zWQjn&LV=cu8DBxNB?1Wx8ZHq?SZL@HF+(iKS#QQzP<)9%$U*~{2qY~Ogo!}bf=5gQ zq82<7B9OJvFd+hQ3mys)j9cWDIbZQW;DU!u#FV!nt(q*O*j~Lr;zGrS2xKl4aEKT( z7i3U{(1nKNkm|_`sUE$M>e&my@Wtpru`;Ux!H_x_45QA7U9a zK_L*z&=3y-!3+iRAP~;D$9DO20tpQTO(77`P~j8;DGi>d5XflIK!rd?gC;7(1kxZQ zAEY#Rra~a6p@1p`k{UEsArRD1VHIYu2KiVcWHmHc#RK~=DJY5+6|n_@`1)%PKYMwz z^~Dumj^BSHn`B8aOQ*@F=dEz#;PUYD+SW^>oB8#p&#f2vSZ_A0w7-~n_~rKMr?-z} z6X>AFvazI64Q8*s%~^GOa9y`Iz=Jyu#*GKptJ4N}aL2*8@!+~NX@Ca@K6Ijo1JWMqbXr-6Bu59_;Q|%q)+cWs~y{$-SMs**sfJKD2^#admca{o%HF zq2cCt8`I0`(B=da88#+VNDcETuHZQ*l|`w&SU|Yz@*NPib#xg`O%a z@0;VPsH`Js$5W-{NOL?@+B2}@sbN{!(Hu{SHb_*M`}~MgrCk&|p6dG%r+V(yryhdk zddhw7>z1wQn&YXcZ1HZXO7O!|>Kj_&oYIC$%X7-Pqe4H*sh<1P7pVg})iQb7F!^S? zC9N@(S6xqe4GYpSUj))I+cw zrrggdb)>ZHDfg+b^y!ucdCGm$MZNO09MIjTzK$QeP426y(2p>srm?E?RP0BX68Bwn z^$;v~Je@l#^kXR_?uiuj5G+qA_nsC+J zmeuFJl@R+8r`(6B(2wra(0z?C^rP@pKi5^Ar}}>M@k(DSXvb6PhaoJdKKF4-4Z2z0 z>~p_`6{(Aa<>%j@ZrLGAx!;#lCrZm%%6*Bb?vL7TBsuT7Me1IbHD%{*PHJ? z@~Vemx$bGevcr!yqWaZ{6-E?Kvbp;ofIULv@}S`q9K!53@o~ z`4OkoZ%L@oQ+}vV{f40GJXQKPKbqqy^?CPBJQ{N)kCmcak{Ug z)I0K)IY9SwO8w3)%N3{lI!gWeXv=fTy{Ah5?jGl<$DiE)!Q|qk>}-1e;)nkM&Xyke Dm*_Ob diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index fbefcec..9f384ca 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Fri Mar 19 06:44:01 2021 +Fri Mar 19 06:58:10 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -50,17 +50,17 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Failed - Fri Mar 19 06:44:01 2021 ; +; Fitter Status ; Failed - Fri Mar 19 06:58:10 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 250 / 240 ( 104 % ) ; +; Total logic elements ; 247 / 240 ( 103 % ) ; ; Total pins ; 69 / 80 ( 86 % ) ; ; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; +; UFM blocks ; 1 / 1 ( 100 % ) ; +---------------------------+-------------------------------------------------+ @@ -138,19 +138,19 @@ applicable agreement for further details. ; Total logic elements ; Not available ; ; ; ; ; Total LABs ; Not available ; -; Logic elements in carry chains ; 51 ; +; Logic elements in carry chains ; 62 ; ; Virtual pins ; 0 ; ; I/O pins ; 69 / 80 ( 86 % ) ; ; -- Clock pins ; 0 / 4 ( 0 % ) ; ; ; ; ; Global signals ; 1 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; +; UFM blocks ; 1 / 1 ( 100 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Maximum fan-out ; 99 ; +; Maximum fan-out ; 103 ; ; Highest non-global fan-out ; 36 ; -; Total fan-out ; 1002 ; -; Average fan-out ; 3.14 ; +; Total fan-out ; 980 ; +; Average fan-out ; 3.09 ; +--------------------------------+------------------+ @@ -159,7 +159,7 @@ applicable agreement for further details. +---------+------------+----------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ ; Name ; Pin # ; I/O Bank ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; +---------+------------+----------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; C25M ; Unassigned ; -- ; 99 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; +; C25M ; Unassigned ; -- ; 103 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; MISO ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; PHI0 ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; ; RA[0] ; Unassigned ; -- ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; @@ -232,9 +232,9 @@ applicable agreement for further details. ; RD[1] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; ; RD[2] ; Unassigned ; -- ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; ; RD[3] ; Unassigned ; -- ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; RD[4] ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; RD[5] ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; -; RD[6] ; Unassigned ; -- ; 2 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[4] ; Unassigned ; -- ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[5] ; Unassigned ; -- ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; +; RD[6] ; Unassigned ; -- ; 3 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; ; RD[7] ; Unassigned ; -- ; 4 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; comb~2 (inverted) ; - ; ; SD[0] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; ; SD[1] ; Unassigned ; -- ; 0 ; 0 ; no ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; Default ; no ; Fitter ; 10 pF ; SDOE ; - ; @@ -383,13 +383,15 @@ Note: Pin directions (input, output or bidir) are based on device operating in u Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 250 (250) ; 99 ; 0 ; 69 ; 0 ; 151 (151) ; 0 (0) ; 99 (99) ; 51 (51) ; 27 (27) ; |GR8RAM ; work ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ +; |GR8RAM ; 247 (247) ; 103 ; 1 ; 69 ; 0 ; 144 (144) ; 0 (0) ; 103 (103) ; 62 (62) ; 27 (27) ; |GR8RAM ; work ; +; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst ; work ; +; |UFM_altufm_none_0ep:UFM_altufm_none_0ep_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component ; work ; ++-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -470,27 +472,26 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+ -+-----------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+-------------+------------+---------+-------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+-------------+------------+---------+-------------------------+--------+----------------------+------------------+ -; Addr[14]~8 ; Unassigned ; 8 ; Clock enable ; no ; -- ; -- ; -; Addr[17]~19 ; Unassigned ; 4 ; Clock enable ; no ; -- ; -- ; -; Addr[4]~10 ; Unassigned ; 8 ; Clock enable ; no ; -- ; -- ; -; Bank[0]~1 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; -; C25M ; Unassigned ; 99 ; Clock ; yes ; Global Clock ; Not Available ; -; Equal0~3 ; Unassigned ; 3 ; Clock enable ; no ; -- ; -- ; -; IS[0]~4 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; -; InitActv ; Unassigned ; 23 ; Sync. clear ; no ; -- ; -- ; -; MOSIOE ; Unassigned ; 3 ; Output enable ; no ; -- ; -- ; -; MOSIout~2 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; -; PSStart~2 ; Unassigned ; 18 ; Clock enable ; no ; -- ; -- ; -; PS[2] ; Unassigned ; 17 ; Sync. load ; no ; -- ; -- ; -; SDOE ; Unassigned ; 8 ; Output enable ; no ; -- ; -- ; -; always15~0 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; -; nRESr ; Unassigned ; 36 ; Sync. clear, Sync. load ; no ; -- ; -- ; -+-------------+------------+---------+-------------------------+--------+----------------------+------------------+ ++-------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------------+------------+---------+---------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++-------------+------------+---------+---------------+--------+----------------------+------------------+ +; ARCLK~6 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; +; Addr[0]~4 ; Unassigned ; 8 ; Clock enable ; no ; -- ; -- ; +; Addr[20]~16 ; Unassigned ; 7 ; Clock enable ; no ; -- ; -- ; +; Addr[9]~2 ; Unassigned ; 8 ; Clock enable ; no ; -- ; -- ; +; Bank[0]~1 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; +; C25M ; Unassigned ; 103 ; Clock ; yes ; Global Clock ; Not Available ; +; DRShift~0 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; +; Equal0~3 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; +; MOSIOE ; Unassigned ; 3 ; Output enable ; no ; -- ; -- ; +; MOSIout~4 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; +; PSStart~1 ; Unassigned ; 18 ; Clock enable ; no ; -- ; -- ; +; SDOE ; Unassigned ; 8 ; Output enable ; no ; -- ; -- ; +; always18~0 ; Unassigned ; 2 ; Clock enable ; no ; -- ; -- ; +; nRESr ; Unassigned ; 36 ; Sync. clear ; no ; -- ; -- ; ++-------------+------------+---------+---------------+--------+----------------------+------------------+ +-----------------------------------------------------------------------+ @@ -498,7 +499,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+------------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+------------+---------+----------------------+------------------+ -; C25M ; Unassigned ; 99 ; Global Clock ; Not Available ; +; C25M ; Unassigned ; 103 ; Global Clock ; Not Available ; +------+------------+---------+----------------------+------------------+ @@ -508,84 +509,84 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Fan-Out ; +--------------+------------------+ ; nRESr ; 36 ; -; InitActv ; 23 ; -; RAMSpecSEL~4 ; 19 ; -; PS[1] ; 19 ; ; Amux[1] ; 19 ; -; PSStart~2 ; 18 ; +; RAMSpecSEL~4 ; 18 ; +; PSStart~1 ; 18 ; ; Amux[0] ; 18 ; -; PS[2] ; 17 ; ; Amux[2] ; 17 ; ; PS[0] ; 16 ; -; RAcur[0] ; 15 ; -; always11~0 ; 13 ; -; LS[2] ; 12 ; -; LS[1] ; 12 ; -; LS[0] ; 11 ; -; LS[3] ; 11 ; -; LS[4] ; 9 ; +; RAcur[0] ; 14 ; +; PS[2] ; 13 ; +; PS[1] ; 13 ; +; Addr[20]~10 ; 11 ; +; Addr[0]~3 ; 11 ; +; always11~0 ; 11 ; +; InitActv ; 11 ; +; LS[6] ; 11 ; +; LS[1] ; 10 ; ; comb~2 ; 9 ; -; Addr[4]~10 ; 8 ; -; Addr[14]~8 ; 8 ; -; RAMSpecSEL~2 ; 8 ; -; LS[5] ; 8 ; +; Addr[0]~4 ; 8 ; +; Addr[9]~2 ; 8 ; ; SDOE ; 8 ; -; Addr[17] ; 7 ; -; Addr[16] ; 7 ; -; Addr[4]~9 ; 7 ; -; IS[1] ; 7 ; -; RAMSpecSEL~3 ; 7 ; +; LS[5] ; 8 ; +; LS[4] ; 8 ; +; LS[3] ; 8 ; +; Addr[20]~16 ; 7 ; +; RAMSpecSEL~1 ; 7 ; ; nWEcur ; 6 ; -; Addr[18] ; 6 ; -; Addr[17]~16 ; 6 ; -; Equal15~0 ; 5 ; -; Addr[19] ; 5 ; -; IS[0] ; 5 ; -; always11~1 ; 5 ; -; LS[6] ; 5 ; -; Equal36~1 ; 5 ; +; RAMSpecSEL~3 ; 6 ; +; Equal7~0 ; 6 ; +; LS[2] ; 6 ; +; LS[7] ; 6 ; +; SetLoaded ; 5 ; +; Mux1~0 ; 5 ; +; LS[0] ; 5 ; +; LS[15] ; 5 ; +; LS[14] ; 5 ; +; LS[13] ; 5 ; +; LS[12] ; 5 ; +; Equal45~1 ; 5 ; ; RD[7]~7 ; 4 ; ; RD[1]~1 ; 4 ; ; RD[0]~0 ; 4 ; -; Equal16~1 ; 4 ; -; always19~1 ; 4 ; -; Mux6~3 ; 4 ; -; Addr[17]~19 ; 4 ; +; Addr[22] ; 4 ; +; Addr[21] ; 4 ; +; Addr[20] ; 4 ; +; Addr[19] ; 4 ; +; Addr[18] ; 4 ; +; Addr[17] ; 4 ; +; Addr[16] ; 4 ; ; RACr ; 4 ; ; RAcur[1] ; 4 ; -; Equal7~0 ; 4 ; -; Equal16~0 ; 4 ; ; nBODf ; 4 ; ; LS[17] ; 4 ; -; LS[16] ; 4 ; -; LS[7] ; 4 ; -; Equal2~0 ; 4 ; ; Addr[7] ; 4 ; ; Addr[15] ; 4 ; -; Addr[23] ; 4 ; +; RD[6]~6 ; 3 ; +; RD[5]~5 ; 3 ; +; RD[4]~4 ; 3 ; ; RD[3]~3 ; 3 ; ; RD[2]~2 ; 3 ; -; Equal33~0 ; 3 ; -; Equal30~0 ; 3 ; +; ARCLK~4 ; 3 ; +; Equal39~0 ; 3 ; ; MOSIOE ; 3 ; ; Equal12~0 ; 3 ; -; nRCS~0 ; 3 ; +; always22~1 ; 3 ; ; RefReqd ; 3 ; -; Addr~4 ; 3 ; +; always11~1 ; 3 ; ; RAcur[2] ; 3 ; ; RAcur[3] ; 3 ; -; always6~0 ; 3 ; -; Equal0~3 ; 3 ; -; Equal2~4 ; 3 ; -; LS[15] ; 3 ; -; LS[14] ; 3 ; -; LS[13] ; 3 ; -; LS[12] ; 3 ; +; always6~2 ; 3 ; +; always6~1 ; 3 ; +; Equal0~2 ; 3 ; +; LS[8] ; 3 ; ; LS[11] ; 3 ; ; LS[10] ; 3 ; ; LS[9] ; 3 ; -; LS[8] ; 3 ; -; Addr[20] ; 3 ; +; LS[16] ; 3 ; +; Equal44~0 ; 3 ; +; Equal0~0 ; 3 ; +; DRCLK~0 ; 3 ; ; Addr[9] ; 3 ; ; Addr[8] ; 3 ; ; Addr[14] ; 3 ; @@ -594,49 +595,49 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Addr[11] ; 3 ; ; Addr[10] ; 3 ; ; REGEN ; 3 ; -; RD[6]~6 ; 2 ; -; RD[5]~5 ; 2 ; -; RD[4]~4 ; 2 ; ; nIOSEL ; 2 ; ; nIOSTRB ; 2 ; ; nDEVSEL ; 2 ; ; nWE ; 2 ; ; PHI0 ; 2 ; -; IS[0]~4 ; 2 ; -; always15~0 ; 2 ; -; MOSIout~2 ; 2 ; -; Equal15~2 ; 2 ; +; always18~0 ; 2 ; +; MOSIout~4 ; 2 ; ; SDRAMActv ; 2 ; -; Equal15~1 ; 2 ; +; Equal0~3 ; 2 ; +; Equal44~1 ; 2 ; +; ARCLK~6 ; 2 ; +; DRShift~0 ; 2 ; +; DRCLK~2 ; 2 ; +; ARCLK~1 ; 2 ; ; MOSIout ; 2 ; ; FCKEN ; 2 ; -; Equal0~4 ; 2 ; -; FCS~3 ; 2 ; -; FCS~2 ; 2 ; -; Mux7~1 ; 2 ; -; Mux7~0 ; 2 ; -; always19~0 ; 2 ; +; FCS~1 ; 2 ; +; FCKEN~2 ; 2 ; +; Equal12~1 ; 2 ; +; Mux4~0 ; 2 ; +; Mux1~3 ; 2 ; +; always22~0 ; 2 ; +; Mux1~1 ; 2 ; ; Bank[0]~1 ; 2 ; -; Mux11~0 ; 2 ; ; DEVSELr ; 2 ; ; RAcur[7] ; 2 ; +; RAMSpecSEL~2 ; 2 ; ; RAcur[10] ; 2 ; -; RAMSpecSEL~1 ; 2 ; ; always10~3 ; 2 ; ; always10~0 ; 2 ; ; RAMSpecSEL~0 ; 2 ; ; IOSELr ; 2 ; -; Equal0~2 ; 2 ; -; Equal35~0 ; 2 ; +; always6~0 ; 2 ; +; ARCLK~0 ; 2 ; ; SDRAMActv~0 ; 2 ; ; InitIntr ; 2 ; -; Equal2~6 ; 2 ; +; Equal2~3 ; 2 ; +; Equal2~2 ; 2 ; ; FCS ; 2 ; ; RCKE~reg0 ; 2 ; ; DQML~1 ; 2 ; ; DQML~0 ; 2 ; ; Addr[0] ; 2 ; -; SA~24 ; 2 ; ; RAcur[9] ; 2 ; ; RAcur[8] ; 2 ; ; Addr[6] ; 2 ; @@ -666,87 +667,100 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[2] ; 1 ; ; RA[10] ; 1 ; ; RA[1] ; 1 ; -; MOSIout~3 ; 1 ; -; Equal17~2 ; 1 ; -; Addr~31 ; 1 ; +; ~GND ; 1 ; +; FCKEN~4 ; 1 ; ; WRD[6] ; 1 ; -; Equal31~0 ; 1 ; -; Equal16~2 ; 1 ; -; FCKEN~1 ; 1 ; -; Equal30~1 ; 1 ; +; Equal39~1 ; 1 ; +; MOSIout~2 ; 1 ; +; MOSIout~1 ; 1 ; +; MOSIout~0 ; 1 ; +; Equal44~2 ; 1 ; ; DEVSELr0 ; 1 ; ; IOSTRBr0 ; 1 ; ; IOSELr0 ; 1 ; -; FCKEN~0 ; 1 ; -; FCS~4 ; 1 ; -; Mux5~2 ; 1 ; -; Mux5~1 ; 1 ; -; Mux5~0 ; 1 ; +; ARCLK~3 ; 1 ; +; ARCLK~2 ; 1 ; +; DRShift~1 ; 1 ; +; FCS~0 ; 1 ; +; Mux0~2 ; 1 ; +; Mux0~1 ; 1 ; +; Mux0~0 ; 1 ; +; Add1~112 ; 1 ; +; Add1~110 ; 1 ; +; Mux3~0 ; 1 ; +; Mux1~5 ; 1 ; +; Mux1~2 ; 1 ; +; Add1~105 ; 1 ; +; Addr~29 ; 1 ; +; Add2~70 ; 1 ; +; Add3~30 ; 1 ; ; Add1~102 ; 1 ; ; Add1~100 ; 1 ; -; Mux9~1 ; 1 ; -; Mux9~0 ; 1 ; -; Mux8~1 ; 1 ; -; Mux8~0 ; 1 ; -; Mux6~6 ; 1 ; -; Mux7~5 ; 1 ; -; Mux7~4 ; 1 ; -; Mux7~3 ; 1 ; -; Mux7~2 ; 1 ; -; Mux6~4 ; 1 ; -; Mux6~2 ; 1 ; -; Mux6~1 ; 1 ; -; Mux6~0 ; 1 ; -; Addr~30 ; 1 ; -; Addr~29 ; 1 ; -; Add2~60 ; 1 ; -; Addr~28 ; 1 ; +; Addr~27 ; 1 ; +; Add3~27 ; 1 ; +; Add3~25 ; 1 ; +; Add2~67 ; 1 ; +; Add2~65 ; 1 ; +; Add1~97 ; 1 ; ; Add1~95 ; 1 ; +; Addr~25 ; 1 ; +; Add2~62 ; 1 ; +; Add2~60 ; 1 ; +; Add3~22 ; 1 ; +; Add3~20 ; 1 ; ; Add1~92 ; 1 ; ; Add1~90 ; 1 ; -; Addr~26 ; 1 ; -; Add3~2 ; 1 ; +; Addr~23 ; 1 ; +; Add3~17 ; 1 ; +; Add3~15 ; 1 ; ; Add2~57 ; 1 ; ; Add2~55 ; 1 ; ; Add1~87 ; 1 ; ; Add1~85 ; 1 ; -; Addr~24 ; 1 ; +; Addr~21 ; 1 ; ; Add2~52 ; 1 ; ; Add2~50 ; 1 ; -; Add3~1 ; 1 ; +; Add3~12 ; 1 ; +; Add3~10 ; 1 ; ; Add1~82 ; 1 ; ; Add1~80 ; 1 ; -; Addr~23 ; 1 ; +; Addr~20 ; 1 ; ; Add2~47 ; 1 ; ; Add2~45 ; 1 ; ; Add1~77 ; 1 ; ; Add1~75 ; 1 ; -; Addr~21 ; 1 ; -; Add3~0 ; 1 ; +; Addr~18 ; 1 ; +; Add3~7 ; 1 ; +; Add3~5 ; 1 ; ; Add2~42 ; 1 ; ; Add2~40 ; 1 ; ; Add1~72 ; 1 ; ; Add1~70 ; 1 ; -; Addr~20 ; 1 ; +; Addr~17 ; 1 ; ; Add2~37 ; 1 ; ; Add2~35 ; 1 ; +; Addr[20]~15 ; 1 ; +; Addr[20]~14 ; 1 ; +; Addr[20]~13 ; 1 ; ; Add1~67 ; 1 ; ; Add1~65 ; 1 ; -; Addr~17 ; 1 ; +; Addr~11 ; 1 ; ; Add2~32 ; 1 ; ; Add2~30 ; 1 ; +; Add3~2 ; 1 ; +; Add3~0 ; 1 ; ; Add1~62 ; 1 ; ; Add1~60 ; 1 ; ; Add1~57 ; 1 ; ; Add1~55 ; 1 ; -; Addr~15 ; 1 ; +; Addr~9 ; 1 ; ; Add2~27 ; 1 ; ; Add2~25 ; 1 ; ; Add1~52 ; 1 ; ; Add1~50 ; 1 ; ; Add1~47 ; 1 ; ; Add1~45 ; 1 ; -; Addr~14 ; 1 ; +; Addr~8 ; 1 ; ; Add2~22 ; 1 ; ; Add2~20 ; 1 ; ; Add1~42 ; 1 ; @@ -755,67 +769,59 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Add1~35 ; 1 ; ; Add1~32 ; 1 ; ; Add1~30 ; 1 ; -; Addr~13 ; 1 ; +; Addr~7 ; 1 ; ; Add2~17 ; 1 ; ; Add2~15 ; 1 ; ; Add1~27 ; 1 ; ; Add1~25 ; 1 ; ; Add1~22 ; 1 ; ; Add1~20 ; 1 ; -; Addr~12 ; 1 ; +; Addr~6 ; 1 ; ; Add2~12 ; 1 ; ; Add2~10 ; 1 ; ; Add1~17 ; 1 ; ; Add1~15 ; 1 ; ; Add1~12 ; 1 ; ; Add1~10 ; 1 ; -; Addr~11 ; 1 ; +; Addr~5 ; 1 ; ; Add2~7 ; 1 ; ; Add2~5 ; 1 ; ; Add1~7 ; 1 ; ; Add1~5 ; 1 ; -; Addr[14]~7 ; 1 ; +; Addr[9]~1 ; 1 ; ; Add1~2 ; 1 ; ; Add1~0 ; 1 ; -; Addr~6 ; 1 ; +; Addr~0 ; 1 ; ; Add2~2 ; 1 ; ; Add2~0 ; 1 ; ; PHI0r1 ; 1 ; -; PSStart~1 ; 1 ; -; WideOr0~2 ; 1 ; -; WideOr0~1 ; 1 ; -; ROMSpecRD ; 1 ; -; Mux10~0 ; 1 ; ; PSStart~0 ; 1 ; -; Mux11~1 ; 1 ; -; Addr~5 ; 1 ; -; Addr~3 ; 1 ; -; Addr~2 ; 1 ; +; ROMSpecRD ; 1 ; ; RAcur[4] ; 1 ; ; always10~2 ; 1 ; ; always10~1 ; 1 ; -; nBODf0 ; 1 ; ; Equal0~1 ; 1 ; -; Equal0~0 ; 1 ; -; LS[4]~33 ; 1 ; -; Equal2~5 ; 1 ; -; LS[2]~31 ; 1 ; -; LS[1]~29 ; 1 ; -; Equal2~3 ; 1 ; -; LS[15]~27 ; 1 ; -; LS[14]~25 ; 1 ; -; LS[16]~21 ; 1 ; -; Equal2~2 ; 1 ; -; LS[13]~19 ; 1 ; -; LS[12]~17 ; 1 ; -; LS[11]~15 ; 1 ; -; LS[10]~13 ; 1 ; +; LS[6]~33 ; 1 ; ; Equal2~1 ; 1 ; -; LS[9]~11 ; 1 ; -; LS[8]~9 ; 1 ; -; LS[7]~7 ; 1 ; -; LS[5]~5 ; 1 ; -; LS[6]~3 ; 1 ; +; LS[8]~31 ; 1 ; +; Equal2~0 ; 1 ; +; LS[11]~29 ; 1 ; +; LS[10]~27 ; 1 ; +; LS[9]~25 ; 1 ; +; LS[16]~23 ; 1 ; +; LS[2]~21 ; 1 ; +; LS[5]~19 ; 1 ; +; LS[4]~17 ; 1 ; +; LS[7]~15 ; 1 ; +; LS[15]~13 ; 1 ; +; LS[14]~11 ; 1 ; +; LS[13]~9 ; 1 ; +; LS[12]~7 ; 1 ; +; LS[1]~3 ; 1 ; +; ARShift ; 1 ; +; ARCLK ; 1 ; +; DRShift ; 1 ; +; DRCLK ; 1 ; ; FCK~reg0 ; 1 ; ; DQMH~0 ; 1 ; ; DQML~2 ; 1 ; @@ -824,6 +830,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nCAS~reg0 ; 1 ; ; nRAS~reg0 ; 1 ; ; nRCS~reg0 ; 1 ; +; SA~25 ; 1 ; +; SA~24 ; 1 ; ; SA~23 ; 1 ; ; SA~22 ; 1 ; ; SA~21 ; 1 ; @@ -853,12 +861,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SA~4 ; 1 ; ; RAcur[11] ; 1 ; ; SA~3 ; 1 ; +; PHI0r0 ; 1 ; ; SA~2 ; 1 ; ; SA~1 ; 1 ; ; SA~0 ; 1 ; -; PHI0r0 ; 1 ; -; Equal36~0 ; 1 ; -; SBA~0 ; 1 ; +; nBODf0 ; 1 ; +; Equal45~0 ; 1 ; ; nRESr0 ; 1 ; ; comb~1 ; 1 ; ; PHI0r2 ; 1 ; @@ -989,7 +997,7 @@ Info (176215): I/O bank details before I/O pin placement Info (176214): Statistics of I/O banks Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 37 pins available Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 42 pins available -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (11888): Total time spent on timing analysis during the Fitter is 0.03 seconds. @@ -1002,7 +1010,7 @@ Info (176235): Finished register packing Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.00 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.02 seconds. Info (170216): Fitter cannot place all nodes on current device -- Fitter will automatically make another fitting attempt and tightly pack logic elements Info (176234): Starting register packing Info (186391): Fitter is using Minimize Area with Chains packing mode for logic elements with Auto setting for Auto Packed Registers logic option @@ -1011,15 +1019,15 @@ Info (186469): Finished processing fast register assignments Info (176235): Finished register packing Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning -Error (170011): Design contains 250 blocks of type logic cell. However, device contains only 240. +Error (170011): Design contains 247 blocks of type logic cell. However, device contains only 240. Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (11888): Total time spent on timing analysis during the Fitter is 0.02 seconds. Error (171000): Can't fit design in device Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg Error: Quartus II 32-bit Fitter was unsuccessful. 2 errors, 4 warnings - Error: Peak virtual memory: 359 megabytes - Error: Processing ended: Fri Mar 19 06:44:01 2021 + Error: Peak virtual memory: 367 megabytes + Error: Processing ended: Fri Mar 19 06:58:10 2021 Error: Elapsed time: 00:00:05 Error: Total CPU time (on all processors): 00:00:05 diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 78008c2..0f65cab 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Failed - Fri Mar 19 06:44:01 2021 +Fitter Status : Failed - Fri Mar 19 06:58:10 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 250 / 240 ( 104 % ) +Total logic elements : 247 / 240 ( 103 % ) Total pins : 69 / 80 ( 86 % ) Total virtual pins : 0 -UFM blocks : 0 / 1 ( 0 % ) +UFM blocks : 1 / 1 ( 100 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index c3ef97b..b34702e 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Fri Mar 19 06:44:01 2021 +Fri Mar 19 06:58:10 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,17 +40,17 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Flow Failed - Fri Mar 19 06:44:01 2021 ; +; Flow Status ; Flow Failed - Fri Mar 19 06:58:10 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 250 / 240 ( 104 % ) ; +; Total logic elements ; 247 / 240 ( 103 % ) ; ; Total pins ; 69 / 80 ( 86 % ) ; ; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; +; UFM blocks ; 1 / 1 ( 100 % ) ; +---------------------------+-------------------------------------------------+ @@ -59,7 +59,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/19/2021 06:43:51 ; +; Start date & time ; 03/19/2021 06:57:59 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -70,7 +70,7 @@ applicable agreement for further details. +---------------------------------------+--------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +---------------------------------------+--------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 44085571633675.161615063101880 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 44085571633675.161615147901060 ; -- ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; ; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; @@ -88,9 +88,9 @@ applicable agreement for further details. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 301 MB ; 00:00:05 ; -; Fitter ; 00:00:05 ; 1.0 ; 359 MB ; 00:00:04 ; -; Total ; 00:00:10 ; -- ; -- ; 00:00:09 ; +; Analysis & Synthesis ; 00:00:06 ; 1.0 ; 301 MB ; 00:00:05 ; +; Fitter ; 00:00:05 ; 1.0 ; 367 MB ; 00:00:05 ; +; Total ; 00:00:11 ; -- ; -- ; 00:00:10 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 0574e7b..f52674d 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Fri Mar 19 06:43:55 2021 +Fri Mar 19 06:58:03 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -13,12 +13,15 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 5. Analysis & Synthesis Source Files Read 6. Analysis & Synthesis Resource Usage Summary 7. Analysis & Synthesis Resource Utilization by Entity - 8. Registers Removed During Synthesis - 9. General Register Statistics - 10. Inverted Register Statistics - 11. Multiplexer Restructuring Statistics (Restructuring Performed) - 12. Analysis & Synthesis Messages - 13. Analysis & Synthesis Suppressed Messages + 8. Analysis & Synthesis IP Cores Summary + 9. Registers Removed During Synthesis + 10. Removed Registers Triggering Further Register Optimizations + 11. General Register Statistics + 12. Inverted Register Statistics + 13. Multiplexer Restructuring Statistics (Restructuring Performed) + 14. Port Connectivity Checks: "UFM:UFM_inst" + 15. Analysis & Synthesis Messages + 16. Analysis & Synthesis Suppressed Messages @@ -44,7 +47,7 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Mar 19 06:43:55 2021 ; +; Analysis & Synthesis Status ; Successful - Fri Mar 19 06:58:03 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -52,7 +55,7 @@ applicable agreement for further details. ; Total logic elements ; 281 ; ; Total pins ; 69 ; ; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; +; UFM blocks ; 1 / 1 ( 100 % ) ; +-----------------------------+-------------------------------------------------+ @@ -151,6 +154,7 @@ applicable agreement for further details. +----------------------------------+-----------------+------------------------------+-------------------------------+---------+ ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; +----------------------------------+-----------------+------------------------------+-------------------------------+---------+ +; UFM.v ; yes ; User Wizard-Generated File ; Z:/Repos/GR8RAM/cpld/UFM.v ; ; ; gr8ram.v ; yes ; Auto-Found Verilog HDL File ; Z:/Repos/GR8RAM/cpld/gr8ram.v ; ; +----------------------------------+-----------------+------------------------------+-------------------------------+---------+ @@ -161,53 +165,77 @@ applicable agreement for further details. ; Resource ; Usage ; +---------------------------------------------+-------+ ; Total logic elements ; 281 ; -; -- Combinational with no register ; 182 ; -; -- Register only ; 31 ; -; -- Combinational with a register ; 68 ; +; -- Combinational with no register ; 178 ; +; -- Register only ; 34 ; +; -- Combinational with a register ; 69 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 118 ; -; -- 3 input functions ; 54 ; -; -- 2 input functions ; 70 ; +; -- 4 input functions ; 106 ; +; -- 3 input functions ; 52 ; +; -- 2 input functions ; 80 ; ; -- 1 input functions ; 8 ; -; -- 0 input functions ; 0 ; +; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 233 ; -; -- arithmetic mode ; 48 ; +; -- normal mode ; 223 ; +; -- arithmetic mode ; 58 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 28 ; +; -- synchronous clear/load mode ; 25 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 99 ; -; Total logic cells in carry chains ; 51 ; +; Total registers ; 103 ; +; Total logic cells in carry chains ; 62 ; ; I/O pins ; 69 ; +; UFM blocks ; 1 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 99 ; -; Total fan-out ; 1030 ; -; Average fan-out ; 2.94 ; +; Maximum fan-out ; 103 ; +; Total fan-out ; 1009 ; +; Average fan-out ; 2.87 ; +---------------------------------------------+-------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 281 (281) ; 99 ; 0 ; 69 ; 0 ; 182 (182) ; 31 (31) ; 68 (68) ; 51 (51) ; 0 (0) ; |GR8RAM ; work ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ +; |GR8RAM ; 281 (281) ; 103 ; 1 ; 69 ; 0 ; 178 (178) ; 34 (34) ; 69 (69) ; 62 (62) ; 0 (0) ; |GR8RAM ; work ; +; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst ; work ; +; |UFM_altufm_none_0ep:UFM_altufm_none_0ep_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component ; work ; ++-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+--------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+---------------------------------------+----------------------+ -; Register name ; Reason for Removal ; -+---------------------------------------+----------------------+ -; Addr[21,22] ; Merged with Addr[23] ; -; Total Number of Removed Registers = 2 ; ; -+---------------------------------------+----------------------+ ++-------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis IP Cores Summary ; ++--------+--------------+---------+--------------+--------------+----------------------+----------------------------+ +; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; ++--------+--------------+---------+--------------+--------------+----------------------+----------------------------+ +; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |GR8RAM|UFM:UFM_inst ; Z:/Repos/GR8RAM/cpld/UFM.v ; ++--------+--------------+---------+--------------+--------------+----------------------+----------------------------+ + + ++--------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++---------------------------------------+----------------------------------------+ +; Register name ; Reason for Removal ; ++---------------------------------------+----------------------------------------+ +; DRDIn ; Stuck at GND due to stuck port data_in ; +; SetFW ; Stuck at VCC due to stuck port data_in ; +; SetLim8M ; Stuck at VCC due to stuck port data_in ; +; Total Number of Removed Registers = 3 ; ; ++---------------------------------------+----------------------------------------+ + + ++------------------------------------------------------------------------------------+ +; Removed Registers Triggering Further Register Optimizations ; ++---------------+---------------------------+----------------------------------------+ +; Register name ; Reason for Removal ; Registers Removed due to This Register ; ++---------------+---------------------------+----------------------------------------+ +; DRDIn ; Stuck at GND ; SetFW, SetLim8M ; +; ; due to stuck port data_in ; ; ++---------------+---------------------------+----------------------------------------+ +------------------------------------------------------+ @@ -215,12 +243,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 99 ; +; Total registers ; 103 ; ; Number of registers using Synchronous Clear ; 25 ; -; Number of registers using Synchronous Load ; 3 ; +; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 43 ; +; Number of registers using Clock Enable ; 49 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -244,12 +272,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[0] ; +; 3:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; ; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |GR8RAM|Bank[0] ; -; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |GR8RAM|Addr[4] ; -; 5:1 ; 2 bits ; 6 LEs ; 4 LEs ; 2 LEs ; Yes ; |GR8RAM|IS[0] ; -; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |GR8RAM|Addr[14] ; -; 9:1 ; 4 bits ; 24 LEs ; 12 LEs ; 12 LEs ; Yes ; |GR8RAM|Addr[17] ; +; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |GR8RAM|Addr[0] ; +; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |GR8RAM|Addr[9] ; +; 13:1 ; 2 bits ; 16 LEs ; 12 LEs ; 4 LEs ; Yes ; |GR8RAM|DRShift ; +; 9:1 ; 8 bits ; 48 LEs ; 24 LEs ; 24 LEs ; Yes ; |GR8RAM|Addr[20] ; ; 8:1 ; 2 bits ; 10 LEs ; 6 LEs ; 4 LEs ; No ; |GR8RAM|SA ; ; 8:1 ; 5 bits ; 25 LEs ; 15 LEs ; 10 LEs ; No ; |GR8RAM|SA ; ; 8:1 ; 4 bits ; 20 LEs ; 12 LEs ; 8 LEs ; No ; |GR8RAM|SA ; @@ -257,13 +285,26 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ++-------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "UFM:UFM_inst" ; ++---------+--------+----------+-------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++---------+--------+----------+-------------------------------------------------------------------------------------+ +; ardin ; Input ; Info ; Stuck at GND ; +; oscena ; Input ; Info ; Stuck at VCC ; +; busy ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; osc ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; rtpbusy ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++---------+--------+----------+-------------------------------------------------------------------------------------+ + + +-------------------------------+ ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Mar 19 06:43:50 2021 + Info: Processing started: Fri Mar 19 06:57:57 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 2 design units, including 2 entities, in source file ufm.v @@ -271,37 +312,40 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 2: UFM Warning (12125): Using design file gr8ram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info (12023): Found entity 1: GR8RAM +Warning (10236): Verilog HDL Implicit Net warning at gr8ram.v(247): created implicit net for "UFMB" +Warning (10236): Verilog HDL Implicit Net warning at gr8ram.v(250): created implicit net for "RTPB" Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy Warning (10858): Verilog HDL warning at gr8ram.v(110): object RDout used but never assigned -Warning (10858): Verilog HDL warning at gr8ram.v(230): object SetFW used but never assigned -Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(263): object "RefReady" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(252): object "UFMBr" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(254): object "RTPBr" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(354): object "IS" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(365): object "RefReady" assigned a value but never read Warning (10230): Verilog HDL assignment warning at gr8ram.v(34): truncated value with size 32 to match size of target (18) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(125): truncated value with size 32 to match size of target (24) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(126): truncated value with size 36 to match size of target (24) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(130): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(131): truncated value with size 36 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(136): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(137): truncated value with size 36 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(195): truncated value with size 2 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(249): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(124): truncated value with size 32 to match size of target (24) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(128): truncated value with size 32 to match size of target (16) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(133): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(351): truncated value with size 32 to match size of target (3) Warning (10030): Net "RDout" at gr8ram.v(110) has no driver or initial value, using a default initial value '0' -Warning (10030): Net "SetFW" at gr8ram.v(230) has no driver or initial value, using a default initial value '0' -Warning (10030): Net "WRD[5..0]" at gr8ram.v(234) has no driver or initial value, using a default initial value '0' +Warning (10030): Net "WRD[5..0]" at gr8ram.v(336) has no driver or initial value, using a default initial value '0' +Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" +Info (12128): Elaborating entity "UFM_altufm_none_0ep" for hierarchy "UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component" Warning (13046): Tri-state node(s) do not directly drive top-level pin(s) Warning (13047): Converted the fan-out from the tri-state buffer "MOSI" to the node "WRD[6]" into an OR gate Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "RAdir" is stuck at VCC -Info (21057): Implemented 350 device resources after synthesis - the final resource count might be different + Warning (13410): Pin "SBA[0]" is stuck at GND +Info (21057): Implemented 351 device resources after synthesis - the final resource count might be different Info (21058): Implemented 25 input pins Info (21059): Implemented 28 output pins Info (21060): Implemented 16 bidirectional pins Info (21061): Implemented 281 logic cells + Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 20 warnings Info: Peak virtual memory: 301 megabytes - Info: Processing ended: Fri Mar 19 06:43:55 2021 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:05 + Info: Processing ended: Fri Mar 19 06:58:03 2021 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:06 +------------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 63e7356..1ce30d3 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,4 +1,4 @@ Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword Warning (10273): Verilog HDL warning at gr8ram.v(109): extended using "x" or "z" -Warning (10273): Verilog HDL warning at gr8ram.v(233): extended using "x" or "z" +Warning (10273): Verilog HDL warning at gr8ram.v(335): extended using "x" or "z" diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index d4bb560..c323180 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Fri Mar 19 06:43:55 2021 +Analysis & Synthesis Status : Successful - Fri Mar 19 06:58:03 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM @@ -6,4 +6,4 @@ Family : MAX II Total logic elements : 281 Total pins : 69 Total virtual pins : 0 -UFM blocks : 0 / 1 ( 0 % ) +UFM blocks : 1 / 1 ( 100 % )