From a73cbf10efe8df2b6e686d9ead1aa55770fca5f9 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Mon, 2 Sep 2019 01:42:07 -0400 Subject: [PATCH] Clarifications and bugfixes, will try again --- Docs.sch | 12 +- GR8RAM.sch | 8 +- cpld/GR8RAM.qsf | 39 +- cpld/GR8RAM.qws | Bin 3628 -> 1871 bytes cpld/GR8RAM.v | 34 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 15640 -> 15205 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 3364 -> 3346 bytes cpld/db/GR8RAM.(1).cnf.cdb | Bin 2358 -> 2353 bytes cpld/db/GR8RAM.(1).cnf.hdb | Bin 772 -> 787 bytes cpld/db/GR8RAM.(10).cnf.cdb | Bin 2189 -> 6074 bytes cpld/db/GR8RAM.(10).cnf.hdb | Bin 907 -> 1312 bytes cpld/db/GR8RAM.(11).cnf.cdb | Bin 680 -> 1207 bytes cpld/db/GR8RAM.(11).cnf.hdb | Bin 533 -> 615 bytes cpld/db/GR8RAM.(12).cnf.cdb | Bin 763 -> 919 bytes cpld/db/GR8RAM.(12).cnf.hdb | Bin 519 -> 525 bytes cpld/db/GR8RAM.(2).cnf.cdb | Bin 3189 -> 2189 bytes cpld/db/GR8RAM.(2).cnf.hdb | Bin 980 -> 902 bytes cpld/db/GR8RAM.(3).cnf.cdb | Bin 6077 -> 6073 bytes cpld/db/GR8RAM.(3).cnf.hdb | Bin 1291 -> 1312 bytes cpld/db/GR8RAM.(4).cnf.cdb | Bin 1133 -> 1127 bytes cpld/db/GR8RAM.(4).cnf.hdb | Bin 649 -> 644 bytes cpld/db/GR8RAM.(5).cnf.cdb | Bin 1133 -> 1126 bytes cpld/db/GR8RAM.(5).cnf.hdb | Bin 649 -> 644 bytes cpld/db/GR8RAM.(6).cnf.cdb | Bin 6077 -> 680 bytes cpld/db/GR8RAM.(6).cnf.hdb | Bin 1291 -> 533 bytes cpld/db/GR8RAM.(7).cnf.cdb | Bin 1212 -> 764 bytes cpld/db/GR8RAM.(7).cnf.hdb | Bin 604 -> 521 bytes cpld/db/GR8RAM.(8).cnf.cdb | Bin 921 -> 657 bytes cpld/db/GR8RAM.(8).cnf.hdb | Bin 524 -> 519 bytes cpld/db/GR8RAM.(9).cnf.cdb | Bin 656 -> 3183 bytes cpld/db/GR8RAM.(9).cnf.hdb | Bin 519 -> 986 bytes cpld/db/GR8RAM.asm.qmsg | 10 +- cpld/db/GR8RAM.asm.rdb | Bin 1332 -> 1315 bytes cpld/db/GR8RAM.cmp.cdb | Bin 33580 -> 32375 bytes cpld/db/GR8RAM.cmp.hdb | Bin 20816 -> 20910 bytes cpld/db/GR8RAM.cmp.rdb | Bin 15225 -> 14795 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 9802 -> 9582 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.fit.qmsg | 6 +- cpld/db/GR8RAM.hier_info | 1 - cpld/db/GR8RAM.hif | Bin 2317 -> 2267 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 177 bytes cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 413 bytes cpld/db/GR8RAM.map.cdb | Bin 13781 -> 12799 bytes cpld/db/GR8RAM.map.hdb | Bin 20344 -> 20400 bytes cpld/db/GR8RAM.map.qmsg | 72 ++-- cpld/db/GR8RAM.map.rdb | Bin 1187 -> 1177 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 15986 -> 16065 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 210 -> 209 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 15931 -> 15981 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 12673 -> 12628 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 195 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 15979 -> 15897 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 29554 -> 29614 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sta.qmsg | 44 +- cpld/db/GR8RAM.sta.rdb | Bin 11297 -> 11151 bytes cpld/db/GR8RAM.sta_cmp.15_slow.tdb | Bin 39310 -> 38449 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.tmw_info | 10 +- cpld/db/add_sub_8ph.tdf | 2 +- cpld/db/add_sub_rnh.tdf | 2 +- cpld/db/prev_cmp_GR8RAM.qmsg | 148 +++---- .../GR8RAM.root_partition.map.kpt | Bin 227 -> 225 bytes cpld/output_files/GR8RAM.asm.rpt | 54 +-- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 336 +++++++-------- cpld/output_files/GR8RAM.fit.summary | 4 +- cpld/output_files/GR8RAM.flow.rpt | 94 ++--- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 70 ++-- cpld/output_files/GR8RAM.map.smsg | 6 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pin | 2 +- cpld/output_files/GR8RAM.pof | Bin 8022 -> 8022 bytes cpld/output_files/GR8RAM.sta.rpt | 392 +++++++++--------- cpld/output_files/GR8RAM.sta.summary | 2 +- 79 files changed, 657 insertions(+), 701 deletions(-) mode change 100644 => 100755 cpld/db/GR8RAM.(10).cnf.cdb mode change 100644 => 100755 cpld/db/GR8RAM.(10).cnf.hdb mode change 100644 => 100755 cpld/db/GR8RAM.(11).cnf.cdb mode change 100644 => 100755 cpld/db/GR8RAM.(11).cnf.hdb mode change 100644 => 100755 cpld/db/GR8RAM.(12).cnf.cdb mode change 100644 => 100755 cpld/db/GR8RAM.(12).cnf.hdb diff --git a/Docs.sch b/Docs.sch index fe670a2..f3d58d5 100644 --- a/Docs.sch +++ b/Docs.sch @@ -44,8 +44,6 @@ Wire Wire Line 6100 1400 6150 1300 Wire Wire Line 6100 1150 6150 1250 -Text Notes 6300 1100 0 40 ~ 0 -S7 Wire Wire Line 10300 1100 10300 1000 Wire Wire Line @@ -212,16 +210,12 @@ Wire Wire Line 4300 1100 4300 1000 Wire Wire Line 4300 1000 4600 1000 -Wire Wire Line - 4600 1000 4600 1100 Wire Wire Line 4600 1100 4900 1100 Wire Wire Line 4900 1100 4900 1000 Wire Wire Line 4900 1000 5200 1000 -Wire Wire Line - 5200 1000 5200 1100 Wire Wire Line 10300 1000 10400 1000 Wire Wire Line @@ -803,4 +797,10 @@ Wire Wire Line 10400 1650 10450 1650 Wire Wire Line 10350 1550 10400 1650 +Wire Wire Line + 5200 1000 5200 1100 +Wire Wire Line + 4600 1000 4600 1100 +Text Notes 6300 1100 0 40 ~ 0 +S7 $EndSCHEMATC diff --git a/GR8RAM.sch b/GR8RAM.sch index 56e9143..546c112 100644 --- a/GR8RAM.sch +++ b/GR8RAM.sch @@ -2186,6 +2186,10 @@ Wire Bus Line Connection ~ 7100 4800 Wire Bus Line 7100 4800 4500 4800 +Text Label 2700 3350 2 50 ~ 0 +C7Mout +Text Label 2700 3250 2 50 ~ 0 +PHI1out Wire Bus Line 2400 1150 2400 2150 Wire Bus Line @@ -2216,8 +2220,4 @@ Wire Bus Line 8900 1900 8900 5850 Wire Bus Line 7300 1900 7300 5850 -Text Label 2700 3350 2 50 ~ 0 -C7Mout -Text Label 2700 3250 2 50 ~ 0 -PHI1out $EndSCHEMATC diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 92bdf28..38e9ec9 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -261,22 +261,21 @@ set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal17 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to IOBank0 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to IOROMEN set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to MODE -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI0in -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI0seen -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b0_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b1_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b2_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b3_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b4_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b5_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b6_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b7_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b8_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b9_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1in -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1out -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1reg +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI0seen +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b0_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b1_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b2_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b3_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b4_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b5_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b6_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b7_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b8_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b9_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1in +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1out +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1reg set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Q3 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RAMSEL_MC @@ -318,10 +317,10 @@ set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[0] set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[1] set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[2] set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref[3] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to S -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to S[0] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to S[1] -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to S[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S[0] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S[2] set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to SetWR set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to always0 set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to always2 diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws index 10d80a69944ffc7aca5bd344e02ea2ecfeb19bdd..158b0efa53f062fbd1af5eb82a82b511dd57cc74 100755 GIT binary patch delta 199 zcmZ1@bDnR4wkRV51A`Sq6cFn(1TmyC6fop76i;^G(P9BgFi+mV9W01V+MbQ6f`Nf? z$z(>ZoXIX6v6KCH4^6hobpDgfHR BGk5?1 literal 3628 zcmds(OKgl$6vxju^=PCK3u-}R(ljEHsm^q2S}RqGC`MI;NG6?`Z)o(Dc03Xh8zQW* zk=Tlb*jw0ISXi)+Zy?39ht8)F}FciJ%2 zE=G&q8?Y`LupZk>uf*;nR)!jfU!|vi!78j7VUODSuPfSEQHE>Ozaz&^WQ*l%v(uck znA&gWkS5Kk-IhVy3{s@5ov-Lr8eW;v6g-WI5?5b|TJ|;row4)(iWP&vEGQt&XR3YF z&#WPdp9P6UQEjAcoQ^s)j)L`AB*Yq3OWHQ~SrreC(Ho>4$9F|6F)6^%pP;{%{-fx)8r-r#r3)K{)&Z3RPy*g&#!{ArN<#~7 z0#&!etrL)GP8Xj5IfR2s4mVe$LH3w&wRBUtz`<{(#Y)J@YnXbI_7Zxpd=C*g>jTs} z%k%Xkeu0=Cu>ItKJoI*`UfUx>#lD^pp*2m+3sF$Hq*_1YSUh7H&QZ=G)4&hzQwLe- zd|Hj|nh`P3o~?CqLPq)y>8D{}qiW`A!v7TqfPE1_l?YCFtAa!3Q#wz>inY zk*zw3x=wF(0i}paMQ8|BOa5t(#&(arTJp~jkBY$x|14h`-uc+H!CiKqiAGsi_ey;c5C(A0F( zKSAaUUe4JUXmXyKhiJ>B=%PaQWvj%IqrS+$kH75WPX6lIqU122{ybQqx3oL@D)P@D7cu&^FxbNdUL1S(;vSIyq^f?xz5rVuXKerg diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 0ff5ebd..86b7a42 100755 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -29,11 +29,10 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, input [15:0] A; // 6502 address bus input nWE; // 6502 R/W output [10:0] RA; // DRAM/ROM address - assign RA[10:8] = ASel ? Addr[10:8] : Addr[21:19]; - assign RA[7:0] = - (~nIOSTRB & nIOSEL & ~IOBank0) ? Bank+1 : - (ASel & nIOSEL & nIOSTRB) ? Addr[7:0] : - (~ASel & nIOSEL & nIOSTRB) ? Addr[18:11] : 8'h00; + assign RA[10:8] = ASel ? Addr[21:19] : Addr[10:8]; + assign RA[7:0] = (~nIOSTRB & ~IOBank0) ? Bank+1 : + (~ASel & nIOSEL & nIOSTRB) ? Addr[18:11] : + (ASel & nIOSEL & nIOSTRB) ? Addr[7:0] : 8'h00; /* Data Bus Routing */ // DRAM/ROM data bus @@ -49,18 +48,17 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, inout [7:0] D = DOE ? Dout : 8'bZ; /* Inhibit output */ - /*wire AROMSEL; - LCELL AROMSEL_MC (.in((A[15:12]==4'hD | A[15:12]==4'hE | A[15:12]==4'hF) & nWE & ~MODE), .out(AROMSEL)); - output nINH = AROMSEL ? 1'b0 : 1'bZ;*/ - output nINH = 1'bZ; + wire AROMSEL; + LCELL AROMSEL_MC (.in(/*(A[15:12]==4'hD | A[15:12]==4'hE | A[15:12]==4'hF) & nWE & ~MODE*/0), .out(AROMSEL)); + output nINH = AROMSEL ? 1'b0 : 1'bZ; /* DRAM and ROM Control Signals */ output nRCS = ~((~nIOSEL | (~nIOSTRB & IOROMEN)) & CSDBEN); // ROM chip select output nROE = ~nWE; // need this for flash ROM output nRWE = nWE | (nDEVSEL & nIOSEL & nIOSTRB); // for ROM & DRAM output nRAS = ~(RASr | RASf); - output nCAS0 = ~(CASr | (CASf & ~nDEVSEL & ~Addr[22])); // DRAM CAS bank 0 - output nCAS1 = ~(CASr | (CASf & ~nDEVSEL & Addr[22])); // DRAM CAS bank 1 + output nCAS0 = ~(CASr | (CASf & RAMSEL & ~Addr[22])); // DRAM CAS bank 0 + output nCAS1 = ~(CASr | (CASf & RAMSEL & Addr[22])); // DRAM CAS bank 1 /* 6502-accessible Registers */ reg [7:0] Bank = 8'h00; // Bank register for ROM access @@ -154,15 +152,15 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, // Similarly, only select the ROM chip starting at the end of S4. // This provides address setup time for write operations and // minimizes power consumption. - CSDBEN <= S[2]; /*S==4 | S==5 | S==6 | S==7 */ + CSDBEN <= S==4 | S==5 | S==6 | S==7; - // Increment address register after RAM access, - // otherwise set register during S6 if accessed. + // Increment address register after RAM access. if (S==2 & RAMSELreg) begin - Addr <= Addr+1; // RAMSELreg refers to prev. + Addr <= Addr+1; RAMSELreg <= 1'b0; end + // Set register during S6 if accessed. if (S==6) begin if (BankWR) Bank[7:0] <= D[7:0]; // Bank if (SetWR) IOBank0 <= D[7:0] == 8'hE5; @@ -181,8 +179,8 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, // so hold RAS through S5 RASr <= (S==4 & RAMSEL); - // Multiplex DRAM address in at end of S4 through S5 end. - ASel = RAMSEL & S[2] & ~S[1]; /*(S==4 | S==5)*/ + // Multiplex DRAM address in at end of S4 through S6. + ASel = RAMSEL & (S==4 | S==5); // Refresh at end of S1 (i.e. through S2) // CAS whenever RAM seleced @@ -195,7 +193,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, // Refresh in S2 // Row activate in S4 when accessing RAM // Hold RAS in S5 when not doing late CAS for write. - RASf <= (S==2 & Ref==0) | ((S==4 | (S==5 & ~nWE) & RAMSEL)); + RASf <= (S==2 & Ref==0) | (RAMSEL & (S==4 | (S==5 /*& ~nWE*/))); // CASf gated by nDEVSEL; no need to predicate on RAMSEL. // Early CAS in S5 for read operations. diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index f5ff821005793a5a232e7b43d9b02d2b4e65c7e2..33e7c455cf491b584fff6fae48c758be883b15a7 100755 GIT binary patch literal 15205 zcmYj&1ymd{7j6rsv^ca_k;N%qptx*{JH;JJi@WQ>0xeE)hqA?sySrOqu|msq?G+d4bZ@$z%Og6Bf+>#g=0Ki+!FR{e0QZtY@<83=F|!_)Yf>S6TUm%$$e!v?4{%frnvI z{A6wx{f7^G1o(1E6Y;V#)c_eHmxmXlK|$YXl0SZaLP?TT-5Mq^F|XH+jd> z>hO3JxyDbw-bnfE7H`^fe8v%Hj$D6lhb0ju!Tw?gVBnI?23IlQtY0KT=#O-}EA58r z1rb1m@J!=^$T=q*vF95Rml2Nm=i2{%pR3rJg7Qm(pGA!9LBdC}5_vg;0$NI|MBSB--!)21!Vq!}8QFqmg$3?Ls@_)$sNBFk96R$F)CX*EzZb zrYekK&)6AZXM#RlKNx5!w+goscuh&-@Xiu_EWW4pGh((g(Ne;g&&1bFbm|X$KP7*M zOQJ3l1ZYa+bv+Ac4dx#kU@3o)(C{DNu?_>+v$54z0ACCN3Ccw_m+0CXgk#)7%kh@R z<)yX1`m)m&oMM_4>x=<>8M_&R$i3{jrZE~d3g%_JS*Nr4ege4jEX)}eeyqwd$OJ#?TwBF53 z$+NX1s*vS=j!HZAb%GP+KhlKN%3^uAM2sgMI44yLc`3TP7aD1OGJZgc;71gd;6>aO z%{dU8KCRTIDlj4dQ2rIyvrIA%Eb^Mso}TUdsFbwfZaO{t?E^To_3%_(%NrrbNQ!fb zP#d%^bn+B}On*o#N-R2AzMq*j@dIc2nJz+#a(-Or<{sMp`FKy{TO4!>b?@1!Dn_6T zE8DxFzJ+02NUeJYJy9amS(9mEqJ%cVZ1f@0j|9be)v9%~`6!5g^ z?%Y#^r}M5O-ew+1vbn`v>4+)M%{Pxh{q>J}vMTy7&OCyYxP|d>;4%+{m~CSK_jd4ZXM2y_woS1O&3L zc;YTj!iLaGKT+MMoW^(kjn{6zA#sjdeaYjHK>!%!_pGy_sw(>FF>dEn_{V21Voi+X zy12_jyFc?#ex_RKCgfwRWDvd5>>NF8(b^(NY<7x}@TwM!SQFKHz?=$UgnlbVxfU!xl8O+Hik})W&>6j-eB@458v{BpA^-u)A)Az4K zCyY5BqjT`0k54XkNmgMle%*2{Yc^v+$%+m5@-kGFFY5&)2$VVCk(x?UrAH#bckrJo z)s9OuJpVlBh?4+Ozt-}p24H-CwASRCXI%&Bqlcp4_DiCyIdNt6BV0eHy-%-KxRYO- z0V&3Rk59<~-OI}KC3$XNek)U9;I7{H*dH&s4?+E~{#kakJ^=jrk3z^P+u#iPVzWMEbP<8k7Zmihtfja&l5n5J5#$qbF+ zf|%s?ANQMVSJYOlS1_YnK<2mtmmT_@=EWi~W_IqHkWrxhp--X0H!RF2lW6cHn&B>n%5%7;h&?j4fFPOB>ar*7ZbFUg>Q47MOCWNx!FY)*U29H z%e0ca9{NkeH>HZb>tz;pdi|4f&YXz%2}BPD*CH;ikJkSldLJg=7;`O1yxAIL_wKjK zN;NFjzy7yQJN{2cz5@2%FEHt%p4jb|z$^BrmA}}~O2*Q7p9Ys_!}yuJ=Vl>2W!ZO4 zuspgTJ=%cL5&v@{UwO|le=yvVCKO@Tdi^7F$lpXKY>K;Nw`?}O?JR4}&qy;GbAvQC zPW$@hzmvd3u=lSmyX&BKf8Sho#ub^dfH`m6*;v|{<%d-Ryi0nJ@|adm)(yg?zO=edr0dNZJK3O0?=n@7LTs} zD)~F5x}u@wwYszFX7Q?AeTA3*>Ltr)fP(Kwb0#+P!-8I5l3 z9Qf`3AIbJg(_^yjf2^#77I4wPZ@?S+(U{Su5`VuMb|aPL!0!d4zwb5;pbvFia$G-% z22>HTj=(0a4tbpOlas7(FFtrv*RbGiM{Uvhl;l>qvZ($c$o8|ZyBmz;@!)N4B@ z-%+gziGZ)2S{pTG>Q-~Ca>KplbR4iCbj3h<7bShEAO{Td;Hq7Yug>E_z=y;zBZd5G zo2>3qII#NnVtG66jBiWE)d_Jed);~P*Ri{ztI@PHdY{#yO@C!5XgGr~aCAFP;3O6gka2KH}^y@INfmWV3#`g2=mV;yk;$b8Z<@h{~J@ zqlJ#uHs5?5dY<@X!*jN6Yc7}V&io( zQcgX(<~5C2ADyj)MxmZJ+ay$#^mImC{v?Ojfw@8_bl6t>&Hbo99@3T{#8e$4(r`pgNloMIj zxu(w%;Ow8)_qE319tiN=8DduZxUm@SNehFeaS8`Dm?d-m=K#;*gfuw0-_o zHie{s^1+GY`yI%`nGGbwAVFfZ%K2Ndo8{|ja&KOdO)lX=b&`Tqv(!-k*7sr*;hwm5P=FODD#xiBds^(oAuET8u3@rEc zn`?Pk;H6=&d=&YBXeE!{uIsMUuxOf5GNVtE&^7QVTekcu$sa(>j;yjrYP zc>W*F8dNW4@yfM@n>+8pg!LfkHlY;|VCq}wjq8b+&% z{_IT2@;Vxph~n%rsob=if$gyJ297G(p|~=OdpWhtL$}hqmE~0~0Hei;)1zkI#Ul+a zTDk+I)NW_bmxq?3wbi@T$h!XOngwhehfYIKX7?|ua($M7XP;41S}3`W`@4W(WFG15 zhNOLc;MIV_Z%TjJ1N5#e8dfd$0F?kf^ch}tbspi}Rq3FC z-aqcqBKFJZvBz*f*Ng@`J^~Am8K~hV3jJ5$1Z`dEibjvVxk2;)N9_A34tYc`=9|$_ zqfOL*73@EjM}K{kWDA^tJF#|A0Trw0oQ}Z<@Z2Mhr{Yn;#$zJmu~=92-~*JF+}`)$ zqU15_|ETlU0?ZZHWnJ!yu9F=xyar~q{Q~;yR1NHosi&eAr3JVC7Ik$%yM^$rN4xxD zfFesBtgpH}pQu%mz`aLUo_KrC2RTo+2rhH7TaX4_v$5{begYECdQpk zT~?ig2~Ru3nfPYfct3K#laMz-$$%hRVxnmOpFFZHBA``L!JljSZapIJkCrxt{*ZFE z7xxFQOq+j!I$O5=Ej%gwITlNMeVEaY z>hGz!pIyGWey8V2_Dk=n@Iwl~%@TX`NCi!Q+xRDp1R_6*M{6+x0xX+BX+$a?HP^RS z40+&y4O5GNC=Xdy5c@qBDI)XL8kq@VcQyhl;LHOU+8aCnP?veEV?(}|vse~q%;C?a zU6YoU zh3=9xuufRf_f!`bv_!8e#2E*>4e#XkYg!M!+1}VcOP5V&uZ%dnN=6fx$tkn1L~`J^ zowF%4Fu4N-{|NrJ6utcq*K7@raJuCG#(75tj*COpi@-f4m~PE)Yc$kb9WSVns?dlx z1l?1wP;Ar^?r`Rwk+rNGgrm`S*W(|p*BzyEqf;+{HWwHcI?`eLE6c956L@$Q%E{|= zin(**IorPgo#+uGePv`0$T!ra5SAb&8T z_bIpj^tf$#NJtbwcnoFL2SV7WEwDww#s^dEvq@od)68*fEX`Zo;*}ahF&5bDn+MqE z@{yS{x};gXiU>>@$BMfj7#!{zJf<8foM8t~(bG16WBgEI`UM~TG8AOxF0$HXE&J1- zFsQsD&pJ2|Xegok>(O{FVR8L3ydjBbckx=b1JQ^sFJT?SeD9B_R})6 zDEs%FTxK>ne~LSTtno8SJ8}XRVkt35V1Qf5N;3{Ds-U(Q}69VEG4vM1%!MKeyE=N&HHl>hT!c_qy zFW-j|0#Y!Yp+lEX2^Sx16 z9L z{A4mIxaFBhK{?XJWJSNnk`ZFcd4(JBx%cD0T#VdW#XQ&Mj?X`KEA~%C3fK$qva!Ah z+$!6SZA3GV>VF7yukY1&NmF;@jNeI0*pxo_mlaRHYt8PC%Ufbmx2h<20M=7WA-8j( z<^MG7Fbkhv_~Qk;D@l2#ze307VC}YC1Y$x<r(XB~9CmEDZtv(?{aEF+ zS$3r88;%0Bb>pSGVZn^Sk7TEUgMRCURh9?U%|a@+q(s5_f$PWIkN@PRgf#D{29<@T zIfj(M9Z61)n}vUN^ClEBtbWu#c&dr6Jg-^NYE78XIIZ%W21=;3CZIZot^Rcyad8Vt z(0PcnSte%DKIx^2DNS_$=g1CvBKd;S2wHcHCG{oIB`oDHa$&DQ-9q*fydXnH4*C? zEaDC{)W{|du}%TV2bF&|4pB zNOd_m^SzRIawQLv4(W1QiS}8Xgjfqbzd`5;OXhS*Ai@VX`Ln42aZqKfM-brL1N#>O z4RQpDqgA;ODt7ir&03UhtIKim#`O?8SsR0-5C%$Q@{n3pWQgYw*H1YI`brkMh`2GP#&2aGmFZ;a69zv2h&_ zipWW{e#d>_x03cAt_e?5ikN&2?w5gt-m18ssZsZGlI0J#H{zGFa^?!O=y&TYJuF^yh)0c}Ypw?!m9iHx%Q3HP=!<*EWN4fsTmIpVNd?ozBI(B9w=A zYN6|H?QAHApd8)`ar%v}l{3s(H4FH03)bO%_nvaIceO7rLJBj@n0XBl0m|U{hqYFW z6WI0Q0@=ktfqZE1&f$1+6KL429LJ4x`HXy`xAA~CU~)pXE;>+MsV*Vs*7U*{WsYjD zFW_@{Y0d4bH)1LMO*}B{qB zJ2cQ(bF!CY^lK9vgwY%FP!cIbvSoTkxkZlCaeQ*HXmek;=$Lkbzy0uYTOh&s4e9T6 zR}=DE;JAF?6=>`Foq55zO6Tv>ge|l%;5OwVVJY!iVcSv1he_n-M{_JoLEmI`jgC3h z;Bz?|?-T`qqElHfd}GFpv*$8@|hko&;@`ml2CFnhd@6A~?T+vNT0rUP(!C zcyY=^GAj}a?ZjkvBfq2h^LT{~2WOiTZiEQTJntDO_>f(z3^%9^XCKoO(q0Jjyqp<= zUxzXTiV%MWp?7^DnLkMc5=GG?E*qrbEl;UJ(6N{?>Tv=x0MT@MMEyQJ;(b0nB8{NG z%ZCo-kG;|5PuD3CUvS*eU=QmI^q@lns3NMUf&0a4wHW zsULsN$4UXCqd z{ffE$+Q}bP;Bq021Dy22-$nzt0)^FGHoljLdnNsrKYDrsc<}-dfT~8*4_@HhSs>Cw$zN@joV!T|PuBfX>b-`uCm9W$-L;hT+ zqsj-Clzi15>Qtk8t1qw|dz&1?njRJXc%2;mi&J0B2n%&5(?dn4B*rAk_-u-FY0+E( zY!PBH?3Ruok!i2RdFpA$MPW_RjvngieTyBsCXpkBZ3CXia)(&%@k{nyj49R~p9%J_ zf9xpi3*OTzPJHR19$hjHEbt)1Z7mQYmv~>k!L?de22nm+NRXPZ>?% zHix?tKjGIyz2%k9uX#JRn-sVJ+Df}-1^+%+0j7V}M&c&8t6=M^`i;#Cy(Ac?PCocG zWgWSm5pvsp>=T);Y-K;k`t9Sp-X*@oq+^%M!FcA`c8yuvomSJ&>5KDQ2w}b6JQF(e zd(q1edOu$~Qd<1>GNS*qZ=jAyZy#5Zb~@n+5iiX9fg_yic|zRlh^vNMemT_j>iWje zt#*HJJ&Z1RZA`~}aUZ7o6ym)8;R-=Dr-}pD>G|QEF3An*bW}czv*|(0;G(1r;Z-(0 zU>95zs}US98-ga}LJ&Iibk$;^pY{%6o2eo%you4zdpdw;UAN=+01y(g;rtp5*FtNV zb!&kRIKrK;QZ}^AL_i11e0mh5?WkEj0>~W(#C3S{zbGy!Od?J_U>jb-1E;iT(Y4MW4o74eUgmaAhOWFSgj z{(1tAKtPu6UIWnI)XRMyTYp$(!?Okx=@~+sLE*(6LC~9<9N;k)VqWHJ98v0b%yP9D zHhR*tbXr{z5cA%cCdO4>x=|xVRM_{A=O?xPrp>9mz3Q8H&b7>p@OiPN0SbhCexetG zp47(Q#9)l`M-QMQF|zynzmQ^8Ij7?D#$vPT!*YCi)p%l(I%XjG)89`Nciyy%a{ZaC za8LGHCpC+;$X|2}^?Z>}>{F=8jNg)J|K!ChY8sqTUQ%6!SnL;_=uAx0e>K~ej5*ED z9VHVO3%){FX;@br=3&3SGA+f&uPd7{TWc{b3CB9j2-h+WCC~`OI$Q|X=0B!3hjU22 z8RYQPu9Cmg?XHP+P~59KP_2l5yRg>xEYrZl^vAAJhr7%L$^4s#t9m@=<6rvg#q%>4 zSb+x#J0d6%#an1T`tRtNMoFaZ&qBoC(9~WG@FFkg*^$Iq0_*J0vLZPSA0_hPBx9~; z>>c6;TDHc9>zhxB)OX2P^f9ri;oa2($>Bv6QwAEK9b2I%m(pNU~*E(fHPgSCq;KNTpd`cTkJGEPho3J|JYIKOy>t9e(78<+uf;bpCiu+sFwESqak_BR z?3>;PLhcM5@9t3>{`V~%YhY-XdH@%EspC7)yY)Jy&*H5)hj-gtF~Ju|K)g$=7XOsZ1yYDWsq=zz~ZTdnjs0jMPhmr4~{MSpG>}kg`%GS;{o?a6^7mF`T z+ITc826Z=6#!`DOO!qb$3W+6te9w_;g|X~(VR9hc_vlyACh0S<@@oLFKdSuMfmEq!MR$;8>?Jv?8 zpUPdG{=0Tx^6}(l`Z7u-hb$;b^wgG~t5S?zfg-Fyas&&JOWqE^LKDNvA`aUus_7gq z+xE{dZj5y{2i>%E-zlVfQ8m=Me=Rna$^vtk%L{$)Fk;g4Y)-Icx@esd62!EBz+)yR z-^)x1D1X2G(n_o8uAFQ>Mqwje%=z7tF=fBNh$$V3|BF<2ORmZiD`!{L;`UD)l7NcC z*G=pH*+m zg+0f`XLP_p%KmJRft_@WOAdy3Q8t1+$ck&SP#vEkYpxHY>#x9iZ%Gv3uYc~V^FK12 zHYXY{62$p!rCLE|>j%5TIPvb7(bm0;H$a!OUo)g&39eW3t~OlL_AM9lN)U>y4)~OO z2T5}WoQDhzpa=qnp@{;>kUH)(w(Agr-93_Hdxdslv@{$hP6S~?5TQ<~hS3GVNSH)C zdIa-!rU}0$?escqZ3aTvvl}`>kMO1*Hru?SLm~IZhypr!*BYjEupFtiGl=1*tNY*_g_R^tlhYD_OBKsQ)!R|F7ga@2f zNu@hb2;)h{s5iJ+dJV{|WV#(_a59DTSyn_ybRWIpn|OQ0hL=j4Yd+8SjdAFHWX)0j z1hbQ_V`I6t<~VKYJ847p2WO%a!98AtCUN@vW0g2^+RT@c;x&f3*~&mh@i<7|**iI4 zJ2Qo^m8izuuW$Rj%3vR`ZMOsY3QXs&D7_?xXbo5}*9}gYu};N<+fMazSgj8pUe9Sb zBXf}r9yq6~NQfX2M3kZz9L(d~P`q*Td=NV6^9e~91vR75?}`cHi(6(5^}Yzzzx??8 z^z()~m;^w3c~0mYVp{sAX&a$+`S>j*)azv5@MbtiL;09{R=7Lx+3%Qtqq!i~%)&r_9_^YDlId!`$D&;DVz1KbA zmdMSaDxQgIp@Exrx9z`SaiEiH-+{2@~k4Xg_cnm!JnYc@+wdO~s#sK+l2d%Ak7^ z-(v72czDJNE`jETxjP2Kj&no7WT-P*{r+z^gC4#Ox8dZp;W6app7=dOtMrKTe;8m< z2XTvdFa;P;Av#!<6UlS9Z+q*wWGjaE=c}M;{30pySS0Io)BgYFMl=hF>{fO8cJ02{&1wjOu zYh-QgAV<2Ra!rPsoTyN7W|-O_6-iLLbH zePF)CelnHJCjz%jy%Wd7 zetVkF2>?9va6Kwew8s#65rk%H<o6^9Y3U(v^V%S$H z`4~SW!d5-#p(;_Z`SRU5@4!JX*yuT=ab*yFOn_cZ5b`Vqk zxG}T~%7xSju;4v`!UK#89xV@>IF$LF=i*5X)k%2EKb6H2ao1M1m z?=N71lPOlM7-G`j#sd+iv4EXV1C)Hy;#ab;J5UG>m3ocyr$=L z8)LilMJPGtP7B(Nn{jCs@=4`mo>DuIWl4aFjk?7_dPSJ$@5VUInERDeuNTKwdh_uV zB|0SUND9u#eO|uE8z_ES5P$zZNc*NjZDkUzX3AsTBh?bbWvQ75cYoHTY+R(mzxnnD z4ozu6kzrtv&ry=v!s7d@lIiZlprPB;jj-W^qZqO?AyE9K+qQP}?55PqlIe|fHm=IK zXS0VI5#0w5pGEm@KH;9DYhK3eTWkTMv$2}n0&GD>{K@24j=9-8;RykpV4%0={tk1#jAK8xOtjxxPS6%?}67^|bYoSh@`{qBX`wFPsXscOB@ijCc1+X7I+&wF-A1nSfK56f8BndLB5v~I6 z%5CeKccy-uXRg7bB%F}%rXe-fHEY=d{yQrSYE+nBc;awE+9#Durk_D4mB91sOGBVW zpATC;QrY;o_lv3&sq+iUb7AanYraU=i!Lc^Y-J`NG3VLQP-DIL04zzOo3~TxV~-Q( zS~2!%R`Jjm9lyR6BGWo(T1%F4p#&9ud0pA$54nqj0g0J>ctX}`hpgUs@YWyHF;_JM ze?zgFojP4)iW!0l z0(qk_9YqhJ%0pvDNt;TeXrB?O&c@<*<6^90TeE{IU zeN(WR~yl{%RmT~BpQg_ph@{{)M>yTs2H@-PV-GQ@?88 zNLH+zcpAW7;vIs5$OdZX`GiqFuGfc{hke7$%f1)+;EHfOi;jF49raH%UtG3&<wJHg#XO#5@e?#Gz`9yy-2OzVM)<20tp@-!Cf7GX$uo2u63b2ois2C^^n zHp*IaG)ofLycORl9?Y+vtlFaFk_X0UhGhsjZzyS5AUXPN!FG|uBt+Q>R+VM^e}jw; zrPSGwz4dERw+o9LE1n%ndE6~EIbm5q&Y$W^$gg}PLWcn_3tQW`J*;{Oi#El+2te`5 zC~t5M%HCf-+T7&60bUxIWrQVE5R?|E%Fh3~?trALRB zk6r=nSvLtDX&ZiD$Gs0*=UwT@l3Q`0Ksj|Cygh6~jZI*j{TRfs0b!UiGBL{v{dA{) zCz7@2GWMX9b)QF4)v9d~NkYdrp*JM{%*<_FH78>YofG_@xeo9iI0E@cw4-IBlnXr^ z>k!6;yIp+%xFAKyvmrTv3=(@EXz?~a0`mmj_k3^{duy!1_0S^IUQg*E z>CRoTzwI+!|48fAU7g;t??BG{ib!cN7c9|$U1id)iFB?)Zy&9Mn~FCa*Gbxti7Gkt zoVHnv=6t&@FvoToj9@?8I8~-98*5sPGF0`ii5HwP1vUR_(xu*D#aguxB@;S`8pz9u zTCwvKJ09S#HMPk2Dcqm;cP7vIu-)gV^qe7J$Afc2)?Tb>kQWe5UfR^CzvZ*Qb)-0B zCrWmk{dFZvL9bH>C`wLhCE3lALqnByEO0cN1wk#Ex_PrSPd#ugcfeg-g$zSwmz)1jB~4pW{PO`Qwv?nyOy4Sx`IqB6+uj|ItotC1xm zk?B+v;GpCrJE^dGu3bGaSfSCf`pXIn+%K}Nr>ldt$#dTy3EfEN?J!v^eO_A7%H=^q zMqgprV&lSoF&C6YFke9wkRqA;2U0i^m4ZvQbG$VuJw|fp9&YI(hH(S2^7W}-_^=*9 zC;@Iu@B1;2)5jL~KL?@aM~j*P!hAh^8WR`DiCmMY25hVo%%@5}dk{S284Mi32^qpR zO?su>cuLlT*2V3l-Tz*bz}vgIB+ahxk5c(WsjLNB;(a?{9g^BN@00u&Vyi;{5u@Or zIn+AftxyNOAyyFY9LLgpctCb8zqr>9d% zHHQ3B-ct~0-0n`g-s`h)cZD%6huX{b-bd?=9w)LIF1;Td3Lp!F(+dAO`$V99xzuR2 zE{n<9Wwsd+K67(_;r5sH1Bp-Qqx*ZM{{P<-E*~~?Pj-uCpDRp#=h-<{1s80ZcnX{? zVVL^<;^aiu!M_nk%8JKCgzw*aZBK^+X}>|0FdzAi}vMj=pRdGXOJ=xSGbk35Un*erBy z%=NqVXwGWNqay<4e@5uj|JCl4;`4Thd@$;e??HTXxIXG>VyOCN+GfbxTEs=~aj}JF zOL*JO?+1bV65OMdBV%^A4?QG^;D)jN_~D95&b2}gvOxXE(;(fwkIS$7+)l#Q3vDyw z)l&rI4WB%sv+MhDRbbm(c*l@x4o&g)V#;f8@czso_-U2+C$gxmWwpoly!u~z)_$E! zuRwS#@7x10Yw{jGrH%7mKd=F@>^F&F6#qQ$BlUlhKi_b23?r_4K$I9+U1yJxv*wt$@H`rcp_^BkpRN8`{A*6OJi88-cE-zzBk@D1# zI8wk9yN?-cv`j(3G39dzp68?zg^)G0q)r!QHGYg1i?^@ObDlis7uk-(4?cdiD?F&x zhfkektTnd94(bVdBayq4M0Lp$(=4but^@hC(?_qqQt8{`Z=*^m0EzoJZawbObilu` zbDC{2lSM?Or2K;6#-87XIX_{NQj||iV{5TksbpgAPXvAMJjM8(gNit=LsqDnS(PjP zAh9HBdGul3^7rKs4|4&6%(Z*FvDBdH_9^$BxNEKD(6yGAlUR$z8w|(orm@w+clv&_ zdhxDpQ+`Hrex82#CJa!OOYtK!9+~q${b^~+m~h*7(s0)$B9Ke?8sWD3&AVu@3E@?2 zt{q?Q$Vl#hN-<6_i*(g0IY4ZKaQJ$IFwmjZ0GUO=)dxY;aBH-x#J_v*RJA6vZzbf+T_)=#Zh5y#G;xAPr z4)t^F*wKvdzx*bD6Dw6zGwEPbx0lKshiSXj)Y*ZoV<(*k6Zrr9Zu0Qlh%!{D)6Oz; z7LSga>Z|Z@3GN~wogn#;_6}2$x?|y&c0;Z2D0Qxy4)@kiQxUr;d`-K3T^1uGETKYNWnN;(lB$=(_G4zc0d+G>Gi6XV;0Lo9uyi$y=%{6shx7#?P0jCmT~{V}1#YWW|1 zHA>(b=IB3KFhki!Fcij?!K%1wqSI+89QP^Ey1=(!l)R)}Ybb6Hp-*F0#wg^NoZ(F3 z{>Kx}FZzXtZ;8(ppZjiYUQm1uQSganP@9YWAY71<>$2YS;Nc6+`YreNE(bbt;Z;poyJY*8^{G%v@Umon`Y8Po3m?D??EY>|R(t5@n&h2xBn}ljwa%d!e>HX8 zR6+V=`*Yxm20u}P~AkHjj|s;bLz`;NgbD!P1j96enIoG z=DNcl;{|*4?EIB2!*9;$<%rHdvEEnX&p4+uoo31umTX*@eP|Tj*6nrR_?nmqq-R?` zVDdPcy0CgGo8$N?pW3u2LTtsXEx#M2a_FaE)*4dy`$eyC#~${iew>1DCBmPZ>up#s zljMdo)6R^s@fRT*Qt#6oNAW-$l>wh6%f7Ak1C1SZ5!_j_zn|OQ<_KAMb6;5mMF47{?!DNF^i=( LXoCB{gZh5}ozpa+ literal 15640 zcmX|o1yoeg6Ss=eAs{8Kba%rlh%`uxlz@PAH|&CdNJt0>f`oK~bT8fAAhAm~yX3NL zeEk3a@B7X>_su)MnLBgueeb+8Gj}feg9i^Pi5}kHz3wl?dun9s>E+<&$|5W(AS58n zqUht`{E0|D*m7kFyAJ84W|Q%(@?Vo1I*E4=JCfI%@T}R%(W_=qd{7 zlD|}Z^pHE9EA~TwJC94HVO#SYNnxcScCCVbzAV%fyH>vtLXfGkR6?sT;!0OsnYBjPuKns5Vv>&AZly70Y6FQ^$+CdS9tDlSd~hfqA`HG`|GOh~^d z{OO%logMlK$pd19FN$l;VsJXDlH~RWj~%b7=*eVCeQ{NVY9d5G^OKnW>T9ZNsR96R z8D)ZL9qPC;Ik9?Qt+P17)f^nCB)I&v+T3sKaJ{cwLI7aV4v85A-(Y>@n6+7(Sa8}lPs}w^7(vvH^g=Kz(lTvrMMHa+XLC7 zDjzn<9`q#~(|!aE_^Yjo_GxTplUq>S5W{{n+XtuhB}e!fPM7Wd&0~wW5vC7X@Gdwt znNMo9H&;2#PGu|&TJXIG*6x82NDe3?^SX&4 zsIYdOg_$s7?icEkE;LeblKBa*JO7^h@uOgHNfqI6fHQDTRf~X zpx0<(P!K5#s5iiMMId@fK7V*(5#D&}0K z#*4+K3hxEIPuCo9%>(^1y4&kz$3IG}M1HL*m%uww+|GK`37&Y8DX0SvF%batP6pUM z$h@_O#G1JZqqf{S*eJuvkK};-)C&%m%(6#lWphJCv8&e@`19?r zahG;)5JoN%%ERJy(4fSWm?%99sauu`;8D_#9q&u$uA9Z8f~eczn6pvOTRPwxuip~5 zeHW8!p=Tj}>x8?}fvQ_H+|lB{a-B2{X+IW#F(1<`ge!6$p6H;HuT6Jz=-T6Q`me+1 zwe&+w0v3UMm6rKUb@SEc|5^mwhXDhN6ELj))fzVOa)Vbkz7%HM3Q+5YW7kB0xbYLnhFd#`1oyKFfWvn{)63qt$CZn)5?*#!<;vSDs<6l5A z3l*Qx?ekg$l{Xg!ue3Jv86{!>oO)vVqIg#G!z>eR5D`I+cM@ zz-*je;sO1jGn^6)X=EITrJpNKsGW^XB@zUg!LQp57<^-1rXmEL@St80mPdv|;NI3K z`b6W&>DI->46`M0W%&xY!>0F!SsZ^FsK~It6Pfh>Xb|z9i}Yw+JqkL4 znj%n2<5Z6`UcPM0ACbtqJJtewU`9?ZpuJIKM_NQ%eRNw9j51jact!}FjqA(t7o6x! z_c-arZ5{Op98OjSSqVXa&&f1&JmSIbUP${H^fL5&{Fgd@`X8Jm&hMFAmdHQjT}ts) zc74}VS1jxG0)B?bN=CVq=LA5DywWDu#J_w=aD57rox0%SjoZsvluljrMYA2SN_-#W zlK(5X-;$@S>)rNVFBtt%ET7(fEm|Pd#?^;vbdT#sTuMs4?}Mr=PM|wzMhThBw32gK zqfiiWh|H#wHy-cWKB4Eh z*S6QW^gfx43^&wvq!N7JkE@&f=ZSpc}5lVd9UiY)1r``=|AzfIhZfW z%Z8qNNXzpcy8E3%?xBA@(DrRs;9Eh-tN?!DkONJh-gZ6F7j93-Z$*nf`!1CZD%vE_ z?H~%S6`8Q1Qr2uHcD;?4ADXT&f4XW_qyP1}eQ(tdRMFUW5eRne*<2@ABhp-@sF6LBhky(4g^BO#RC z%CHF)*)5WA{;{g7k3FQeRleXSeB`e@Q~bNVIR52XqHjPTnQHz%3n3ir&0jOU7;D8A z4jZ;G-gzx+c=(-#y%{5+$~5)T#NTf%p}V zl-FM>&J>Yl5zmUd1+l*>oTc5n{%CGLKBABuiwXH+phBZ(QM9k7o(y*&E;arpKqKT7G{-7GoZ+M5vJyA} zAu=moprOR!H;X@EEhDCBDvwF_3LQ-rmsYt>3|FK5^pB6N!cQ#S+n-F=U=zu2j~oa5 zu1A|^SI{-&MHP&cbQ|Yp-_4V}Wn5B9p%X{=&S|VHT1w?#AF-B-@CY8R;O1W+u#Uuy zw7GS5mjqoU8r%!TFL+@+!*%^m|HEi1y$kp|Vv2AOki2fzyXQ_VdSM;IbwB9Pnm!|X zaAXV!ydtm&-MRUzDVM1Q=(G)fovIa1mL%`jQLlIOPiyWbDCR?*E4sBIpd2_nYPkN! zd_&)0AB~%%9(20#x#rMG{tY=$#Z79rq+K_Vp!nxlNqLZJS(zh@ziAI$iJPymsPxRS zN@gqWLZWKSPhIZ(YIqF!hRh_L>eCKA?25z=txu+lQ+F<(Yr$T@E_$RS|H;s9M>(Xp zo3DdV$k$$2i1$pfW7$x{ZlNu3sBCNmZ{X(n81jfIkEhOvSb_@XYaqz|CimCXE>kT} z-T9Vb0I$?j*Sz&5rMa$(ZVVyL1eD|n$mA-#`joX?gco29H;PKLwL@F|*XkN289Z3T zMDTyS&xMj~9EXweZ%D>Q$ke^pF6&K=VhGAL=A89R={+E~emmi*P&T**rUxj`;J0W1T=jm6?{~c)7 z={Sk`fKA}H=jo};n$Gfr#G*7z@<|maTJE}9bLdOXAF0fDf1N1bM(&XExP32B4Li(z zS~31}8Hdl}H)VZIFJV)6abRtCzmtY0XJk~|2OHk@l*V>A)8_|KoNUiksd?Wl_ev2v z;YB*TuUM@WKfZcShkk`qZ4Lc6jweBAUwXwEWN!FX0C{9(W%V`?-SDaT6*G2EMo=7G3D7(mJ)UZ* z9qu0DfR%lvlq#`p6Yx?3_dhLo>>WXd0_AxJwvxv3FHKE;rVHfC4!fXbT7702``{ti zARpBaxS6u5k!_KZ-0+Ze*&(N1c~bk6g2CK%sd^4y9(;P-aP}=svSBa{ADgk?R!BYB zDKBw=4Vpo_l$G;(TR0*UHkb_cZF%^Te^VlIJLcd6JNmkk=Zk9&dep8XjvlsV-z4e% zPB-^wq>d?a1ob+4ZdBnWj#vNK6+kLTQ47?aLH}M=H1kEmI$=qFG_wv!7GYbp1X4e` zX|hIJG(0|9MCCNfo?&APn@){mZ@I6qMETh2Og(Jf+gDhjN(^?K`v%*SatFFgxx?P- zpJHQ+R+k22pUU&5A12SU~}ZzF_xv$Un*S?x0x2#7VisfKI{P|Gbpfjr^ zs~jV&rO*xr02)Dq*6$Vly6*sQzG=5$Z#}c`ZX8aqx2M32MdpcLo>(mMUhMeZ z_Uyeq`+Kp;-=0{vdq$4%y`GQ}^yD@EtQ^KQ;~t^DvIiVE1a}6PU1D|Rer8~_ z78BCvXVQT>yd^F-!9m&*l7d} z0thCP6Y`Y3I0M&bc>o-@%xbOc%M}y>VtZ45e_!9J>=S=+X)`(r&g-^4wJVk@I)pq~ zXfZyjpj>1%e52|gksF+B9o?Shcza1KTCPUS-knYo(W`u(k6(3?ZB!z+(;{mI7XK>J zxY?U9@VvoAuVl#6X1{mkMr}H=2-zsZpMS!i)KbmRTXNRI&wZS?f4Ta zYgBm6Av6}e+Rj~;RIXERaneTT_%636Z#nR>7~8Z`ZU5qRK-I1Vm9_8GF!h&Tx^1%o8xyJ0)^!Em zPO)qAe}J>G*9*edAPJ(6PwZ49fqun9Vx}8;(e*q37-g(!X1y1~?xl3-q3;4mgie{) z6^@VG6q+3@mU}-aFW-7|#-3*7HY%lgN!STl&Q`JWhNcpHL;oTM6&Ywc{vhhs+&uLL z=3cl~IT(mbTR)P#un%y%_@4Q%En_4ns?5Nv_t^ z0v#mvqLp7e{0r*ot~YsJgDJM$4*EB73YNt+Mq&G1LM6G1*4q}GCzMav^cvi#TLyk ziYue~ZJRp378z1iJQ(>^j-nE{?DZmZU3HFC2

WT`Y@pa_x{~czz!uB4B@=WO4Rp z9ow!=U&BhCNueD0gsD~(OIgTPsY7=*o`df6Clz_)zoV8(H<`K>OYkH-JxpD~Y!U?E zIDwz^bfXNjRUuRh#1^gAyHUTG)yc+CLKopTT>{Zjj$FL7!wGb!U?P5cDhKdH!-nfX zf}dQva)Wn!T_r!tACDQ3jfA^4AZiMpR3Fft*=;?)+R=laE69eYt*75w?MBVuhyqp# zfh7rkamsiD%1K;uaejic&3(n$K(pC_+b2>7&34tFAAudfKZv$6FdtMNI;$}Bay_)L zCPs6>mZg69bHQ~CWx~^{{=d3oMF8}OQ0RDAX@mM zcZ{qM&kop3f_`_l2S~i!)2pxnSpIrv(31FT{Nf0cK7Nr8i0Kpbl@3qK{=Wli>m$1Y zVyv%@&L+q960{((k~tfONCN?$GBf$)F8eLrHTgO?7xHCv@`#40|3Svo-5Ca*WPn#-H%x`f3_a=;hVbu<@=N&#YbJF$saxMuJ(fUu-C#`7s^>PJZ)X zxQ2gf>BsjQPbe8C+c@2-W7;WQsK=oBo9M2E8*C4L+7H zDOSmZvYQY&yL$i4E_}I&IAZ9Gy%kJ-cw;*I>)uyg-h253nQ0#87L1K?f!2&3Uje^j z?quW?CWEIoU>%?-W;2Xfkwc8xC0>q*hLIrdVxuqXLrHXM^#Rtebs&elp$E^-eR8k) zn}%k)>_?3cnmvO}vS8gqYKe;6A)%#5p(J^YlQ>zj`X$Jv3iWMWMrww{<)h_B52}Bb zl_pKVwUv3!Z=*T*9d>M!BRHpoc2S5ISJ z;y9b5Q@P;QjT6K>=;}DI4IO#avV;c;j|joPVG zNFk^z2F*Zm@4l*{iiFr&QuUK1@*x~0NETYz@PzCdRf_2nxRZZoScr;h@%}5inQY@H z9g5)stUG7ndu27HA#}E)OuF+B3DKuM9%TsAMDK1;9_$zQt1URlqCHNh)OM^V zU*FLcOr`v&>HHZ8w_5Iw=HMR3XSVy-OJjD1Ue|y-zdqLg7C3>hacbf&{&)vy-uww zYFP*2%XtQ;BlSYodG!q%el@F}JRo0~2du{JAiOxS!l}X^gF-T3AnujXh_0hLwZ;9J zqJ-NpSt}I5soQ~b;6vk1NbcBXL@K^SB-SO0h=lifoc?y{%Lz7!3>AE;R1@|Wv#kfO z^}q1!epa3MY!phSl}m(>$aLz#>*PCB=w(K1pq2*#3n1UC;;V8|R6Di}F^H5j8eU?F zM_@&>LV^l5u3h4Bm6$cbTI*^3!K^|mSA!g%d8-qIw6dK*6=9g}i_rLM4Uk5*h$&sW zQFGM(bKt(Zw3_5(q;~&51Jc#YJuL13I#ooY3^V5kTc1K;nR>}PaV}rs7<%Ui0q}8C)rp@`g!pMg9{cu$4e6l&z~HIXGn>mqwS%OF_4T7 zKH7AJB>Ru-m+H*1euSFL)3^sj*>-@J~}OFu0o z{{AG;&{R0X!UfbeE@}s0%f-5(1`}!CHKdWcm{9%Nn2N|38Ws6mzwt{~Uf;5ReKr|6 zVSzkN#3}{M2Q4kO1sIOAV|J3s>E!`$v`IVEyt!U~rPN7&I@!$1qy0?A<8yTTJEHeO z=26EaGWxDgDUW~ps@sQTKwt#t<&mVfu@odC+tq|4WVG*NbCZM9>tVS5=S#U0jPJfO zZ0AJJU_Xf*3|=M!15&E8^L9h3gT1rb>17D(y8m_h)?dcP31qW^{8BJ!$!Z{Pz`@x+ z@tK5UPIRxX%*AR^%>g8!=#SR6@S$h+9_jCN(8;G66Yp0@KxbBd9O%F}I_4TZJo)zGq> z-ubQ?o2lT2My=0G$2Xms>zd`_+5DC36kcJ>B!cpeBA$qPpw0 z;ZJy*RSLnsplM7aw^0;r30*lG?g|Zl`LG?qH(0OOLpXRo6yydaLs^bQVVme-pw5R_ zBrYmQ9D=pS!N}9Yz_X#C1YFda1Z1P*AvTBv;TMbWA6TbT+iJP|fZ#XlM8;5~Kz^wE z+)E9yJs|!ar_n;h*jUgq^bIss6H!rotUtjHi4VjQV{{W_lJ zaTmx^$aEiH^TjHXZap9FzC%>STy??R+w_d&vo@O0$wVn(T+?Zs?zn?;s!*H%wf16B zskV!GX&4GEzNy#xuGi^NqL9s_{^T|{1F913s~=`FygLDjNk0bnvfNjbIM4FhxgYzn zotF{^#UO?_ zo@NQ#VbhpTyc8mA_>nI&Uo4LRKjB@ylx=2SWk{#+bxuStn;dTA=mWKy~{9UY$^k!o;cME2=~Dkw5-u*9HRBt^X} znPGnVJUgw>VQwNN&R&I+sp+i_+sNfh=u}R7tulpJ+~C_f&E_$3=j>c~fGR2JoA}NK zNfCoswkJZmBuR7v_OKl6Y!;E&r2lxSun$xx?fZvJ@kaXmlnIEIJ?zYXb|SKP0NkK= zJ44R0SE6M)Iqiy5PW*f1_6^=$2RKe=)bswa)24q4-V3 z^im00U$P%nG`po$MNueCedVVqFc)&OD~Wh1`xsuvENH--Ltz07LTBBn;-599tn>@X z5@Kg}o|@G|O@6_f8b?0m^3!#?6T;GEJY3Zwmcn+H!He<@JygZyqZza+QmC`itqEP` z%{>0F$lJ?IweOW+(zNn%%3by5(GnMZ7rJ9c_aR{M zr@PTr4}+%KQ`h9WV~?t;C_R%uypB|@h7!9)R`|*>j^3Zh_T<+J z?KUsgyO`-*2;}9k5@sV^mXg+&eRNI>9+rPsmwu&lIlBI$YW6zVLXu1#oLApAmrk>A zdF6b{yEFg|_4fUc5L)$rSE(4FU_&&g=g(zj{M0A`=kc!^GoOr_0@*~NAeI%L3hf2M z_vZaaJ8#QA4i(^+@RiH<5sfBg*j~xpsTy11Ew%&HY3ISft9;{}j~NBR%BX@;k>kX- zCXE$mYNPn1^xn^D9TE&68!F#v-d7dzIz&mRj~a@*$_4fctITWNH6urpZ3Yv%#0+~# zou2*t;XW>w8n88xdew!DchqV^TB(k5M>oGRu0A&O9BoJ1z$rfp!}ysuI!&xpWYbydkaw}1)S>S@=}(V@Os zb+|c36}8y+cQ{GQ!L)zgl;P~j=p*kcX;PdFY| zyIz3lkg~`s3S-VK{Tac=M3Q@J!J+$`^4b&J;jQJewuM8TQ)*@%6xTFs_8<0njt&hm z$K>P^HC0U3g2~O3zU0Pa`@HO@qx@OZugp3gb{K3bEWU7kW$bnz%&hM>WNpvi;cdfZ zbQ*UlSSb58W*?PyJyC9nq`%~)vBo>KCmi@>q0I1d_Dnsejd0OI#Z2PMmttZ#RsW+o zU82wf{b=&zJv+n+Q((g(?|K)_NxCh!hj$k(yz6CPgQLG!oFjrEwY$oFypB1ljK>w&tJS(YWmG+!SY{!!k+;>C{TU>t?fqE&#j7YgW>=Y)ep zml?{}d$BaX0QAFSn}1p|(yW6g4v(;|A@UX{N&OTi@5M}|xvZSAp`XRTBp24^O&l;N zvu(}xVg|9L>XUEzyXD*pVh+~)DrcU*9o*NA=?8ah8DUA?8u$JN(RZllKs*BT84A_9 zRX~NvuQo+{MC4C;84k8r5$`+M#`VoWDG|Ys{yeF9N;6X}9){I}uB+VGu^hIFhn*db zZI(useBJnBuWSR&R47t8bz!#|lkQKGb?|CR?FY0KA^=`;H#TdYq0Mz$e z%oBuItt3sd+uh`^b6j{s07cv8{{H$HzJrTd&x10`&HyzOH3=3Wq9_Yb14qY<cV1+^sA`_Dwy-pxD^$EYxf?%_VibK+t}|3 zOk4ZlCB)Kb%xtq?(0-xKr@I#tAwg;F3n@rT==2JZWCNL8*oBoeM+tt#(C~|3IR!+p z)$!AS1XHj>djoDJArw0r>wFdm^5W-f#14Ls>K|zG|EWUQE>Z?ky&?~BdY%SAtVlFI zuQvTv{uI@`;Ui3#<_Y`z+9W>7;p@if3zX;t%IYgv3R8dkcG2*)gKnS#zh7_kR)bB2%sHlH7sxGKVNiVkq7xToLl4{72%W@ZOQ8IID9jc+*+HRTbh>a# z_>nqigOd3o9O~&BW4azV4!r}eUj!sx4nrx8jVvLVn0B}$VlM1JErg)*aI&&<-#%D2 zlBU!Ndyz z@a3H?=o$TXo;~RX^6%U_NAS^L>n12y`F@s**mGP|$WGI5%%zPqVAfJg7B~TLx!#3= zryw~v+c^vv8p>cN6_k}(Z}ImJ*jwfv$>s#?{v8j-rB^$folYT`58Z;;CtB*MI??>S z14|=Z9mvYhG6yn5Pa2ka45Dhly^^NVHswu=s026p)z4KwL3|S=1HXiMqOfRO(7AlN zThzeOHm<_Z+Mm1Sc>*wVnROzGAPRDNrYK9bVg3EH^SbtilSEMPsZRF}B!s?~^Q|Z1 zhbi=eq#b$``;A0bb4gVmlShuYW z$;Rd(_%S%`(^PglGv7p5h>4WMWN|0yGuJ~&%Y@atB`MF+^J0RW8$&rzm90joxgbN` z5q@`eq0_PQ*Y3YW!4rM$2fZ}P`{87Aod-6EqDl5VdH&6ykZ(^AICn|zDP+UQ90ROW z6EPyM6n153K1CTK2fF(~oIZ#>!wtTh;q6a2OU4b72Q?Na^(Y~y+#cv$K~13yoy(b< zlFLD+P)cTLw9OjHvIZc%H^GM-hL&pK+oS|A)F$7x@+4uMx^2+MDd1hE56D(zn^_E9 zhe>Bh_CQBno&oM=6GxvE149sbaca6rYMLB1JV#p*HK6v*5tEvcyhNMl|lQ>^L_XBdUl(^>%uv~=^#0slkt#+51 z{$S#@?^aZ?I+o%j1i#zKHF*KDWlMb<*)3h(@{{=;v&Z2|{!vl307*Nk|8IWC27`&q z=x6eqe{VP0W%T&<5{TFf)MI<2>YLxm_)9o+9JPi<4PX;t{lek=P4e73+$|$S5TA3$ zBbTX=!?zYL-D?T$D=}@%0S)YafOAKuQ{eVI^%{Dpyt35?tnXA)6BO|xA>c`B+0mDb zzl25W%ea~yG7iZ@AxAIW*7{=#=u9THiEH)*m?3vy_Rw!+Z!-)krn@W?*n9Bv^i?f5 z5F>Y@|G{fwgWEoShin&zH zZq@c$@hoS%Jyi`pq?jMbkle&JhOIkP@9eX}1!K0`?NZ8HL|l7L)$}0u6~(n&UA^qj zKI$cHL7qKbNPI-2a*m^te3qOf@V&?TmLy5e_~uxiZO3Z{E3A$!2X6DJ+T8t9Nj{>R zN<0Bm4fCJRv<~{{26U`gX!v8aqA#gE*ZvTzfdc#vTH&Sa1(qx3fii$F6xCW`Iej(z zkPEeAw%gyVHh&$mTi!N};wOm5x8-gBCgfGr6~20BfOXb=7@ZURrl_KXT^31<`Cntk zP8*Myz|+@Yn#`ip7X$6%b(&8K{z)x%`&I(Q)WOd6-dAi55#H3|!9i}(G6ZfZnE2@# zFrS0g4U6xc^&$D69qn`_QR~Wq`OB`7yEiXkojz&XYL`;MPepOIzfu@teF!b*F_sr8yMe5@YpT>B#`6rxe0en7}@N?~@$JCj0 z*WP8)3hQ4VVG8cQ{x83yrg`7swz%pOjSMB1_^MRxl{P%Sb$B7od+1`7#EQ4gORSLS zMt;k_Hq;ao#7WvYyjLd)Is9(vH4Y1t4taGVyO2XhLt(cWYT@tte z3}!3=inr90I-l><)jI3J-W)Q;@hom|RQ0#HQ?j@I7QPEIL?2e$hkg~p`f{V?t|jxB zURbh=6uqzBSDENIPL;uwF-yCkEq&TMn5Dm`cAvYThXiR3n2-FO0G_*?g7e+G+4o`K zxN4O;gYtxyxy;~HdP`Tuml(B0hbp{PO^!pcfgc)>gF5g?&QEwNa|%S?00Bbl5G#+x^$pg_^^N;%M??u5@k%R zx{@zO%A;9}%eldW<(W2n&VED|UzV=xpP9&ox0?#}M$!O=KVBxouh(Eo{q!f_Uw*zK zG~$2FYZse0?!Ibc@r;Sa|gJEb%f&moaBS z>(;Df_4T&Sfeuh5$*G|u_Q-SQh9Y1(C)h0sPz$)tdIR(aoy*3eAee1G@|M;#fvPs$ zD_~vuT^rBm$_}wCW`S!*d*xZ^zi6L8?;roG1U6=ni;7Fc_t@Bb7=iGGrj^&u{vpp0 z$!_tHS&b%TsxhO`Bf=wP*=pReAv&Aovz5% zZiEkclEGA02jtc~wSi}~73K9v=DvMRBWxXG*6<<7tU%NRt&{7`QI73To%nPG{w)%t zId8;{Og)P)5&GJ_eKzEfQi1vUa<-^3;#-~hj_<+qKjb>`Nd#tECIf^n)KY!Tw8Lij zFmNz&Fv8Ps%tR$ktk(@rfdM%mjOIP5VpD+>J_;bizC7VL(D5x+ko@VN`z`0zhz<5pbM zoh0Pqib}gnW$~E!6hG@iYbV6z0UwTDQIE)Px20;fuAIPq3IxIvvcF}u9p+WwK3+;F z=vQ5?PV5eVB2`@mpdLC$WQ5wn#gj%i2Zs%(dM`MA z)AtKdw#GjJ;U7qI{Ka3&V}G0pVf~o_1!PXb(wHt!6gw|O|GGDe9k&M2|Ng=8^iwiw z5y*zQikenP`P&hL_eFAPk4glq!TgOlO%1p(UV-?gJv@wkmbnowL)P)iL>q;x9J=K@ zc(5**9+-^r`0=IFXo1m=_E?ia*Rxv_|sKcrurYDz`2Imq~Z&UcgAn6)Q*6cO6PB zhZMTJzjFApwJ$g+>|w@JfoR)|ZwdXZ$UFGrh93e6ySB)5D2Cm}g#Qzu>LT-&knGRA znuJJ>gHDeS>6Bf2HBPclr|qJ}obIgl@Jz(^02{&|#ksGDEq{}}7x=pp{r=C&t6K)w zovEPt=Xp*9o{VANnYCYiAra)xt_ObmZnxC1z1%fHb8bW=*vyavefe_Km4(7zVe~no zf-yPa&77TN(WD2Rf`!}pT#qt)NYc;cD@f_yM{&kh+O_ZAe4w;baqY6fC)9i{3irNX zN!Pv>Zx6I$i|$u9dU@zmeHi?#o%D1dv=r&&^Kfiv+e<*LnKIhfEA8teDV#Lo>=yS6 z3gu^zl4N=cJch;FGM$Zn&Nq_qbrw`P4iwx~NsJCM* z%Oz@;HCJ4hF!TDc{nTv;rlJm0#_-6Xv_jgWIfrcPX ziG;0D2{R5Gtw6sp(VvVjWaFPPqr&)(!>Knq-r)g*vp+7?3;fj8ZV#)|+q)^vUeeYK zF=!@lwQ#pSI+D581?mh>IfeJzNBHGWINw}R?JyTzq>}{4=gmN(HrioWlz?A`%E8JX}1+rk}4z`7MeIV~iN7C4-H?uiZDX z%JI}plha{Yh!~ue6B(u#xAw|QJktz?IKX|4iidYJS#Y8{HhU?C7mYU-4r^bkh3$+W&Z_T(-1I%5~B(dd1qGAL22hPEh zPXUL!q%xnA7XVX}V(>GZvpoIeDsF}itl<4=RxH;4p|_r_hS}?93R^Ebebx+#$ZM5V zlM3GHign(sXRQTU-K>wV@+v&V<$Y3FU(=w<`ko8FH8=93gYC-~v1E^9KmPe1*+8qm zi}(0^{PXp$>rmG(QLoOkv4F8?@_fS=E3#`DVR>AA8nQD`;J|h8Fr%d=cjyR<)|{nw zNQoF5AL3Nbto zEIL(%PRkmMawI8#{DI}Q)adRDR!!ca#-xQ;nex1HVI{moOVwB0uZ$NoJ$}ar$r6s7 zQ&YHWNoHyw8k3-UFtH!oJ^Fkdjt(S&t|6?>KjltgPcFE`;De9Zt&dhQM9HQ7-yPM;%u-s@m< od~r+imVc~1cFbYylV-xF#a?LMIr@UL-|ENPo~>ia|GwA%18guEa{vGU diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index 67524893191ca69520ec30e5ff116c3710ab5c8b..2bfb33aaeb601560ef209b56689cc31fe36b09eb 100755 GIT binary patch delta 3295 zcmV<53?TEQ8j>22Q-AF?00000002S@00000007+r00000000sV00000004La>{?rI zV@VM{S#HZsu)qUIT%3myGZJEbj_ueP33NQMcgG@$caF1@MOKKs6DMoPdPL&vq7~ww z@W2ayfER>>1W&vG;sFV9Sqa3$BJsd-TkZ_?Rdsb&J7>ZqlYf~7ZI0x0eP361*QxHV zuC{aM&Ye4NPLkx`RNBwiiTf9E7oQ6s{I9KnchK7WC#}tU`H*Vzsf8r@2kBpYE_`sY z1}@gX8)XgronqeK=<{BRf%vShbXQlewu}DSpu4)gwxV^8-`P31zFCy=t9RkoJpUfw z|FzNd3nlbZ*MEEIvsKr7&}KR{8&E%YI7JBwI&?nW)5-bxg$%IaiVMyGQ$ za_4E$9Yney*ZQ4qM8b;pYt|$TUU&*9f#uW9;|)975Pu2@H;gH^qqlxthveSghTb~e zo3!1PXJ6nIr@j6>&FVyN6xSkkdB?VYNA4T`oUXJ7RaK4HG-9QLmAC^T`~F?Iy^z5H zOu}56y4y#ys8^Va@G;M$&14!m3|=#8-icu{nYvKBaEOGA)2xsLi}t@fIPmab0lV9X zfn0@K)_*(~TCmC)|KJ?L;|3S-fAtq0rU-x?FM&Y!{$$E=hyw82sg9G0VQVYMO(QQE zxnbnGk!wcIjGP*|x`i<@)}vIq_^Pq9yUL_QQ3S5`FqH=F;W9UDlY!`pIA z*$J+{&d~MO8M^*DL)Twt==$pnU4NaSm`>fnOC1Wy)S(xbg4hURJ&3g+W!Y82$ye^OiyO(28 zUVn~7c{vv4n9Jis;116gqd5FWh+Hm+lvjM*CGSrC!RsRP$2ZOAQP!v*c{?cRe1%-<}o8 z-B^*_pnY#kUTQi^gB>kjx9sXN%zqx+omPX$VMbV^Pzwrm*W6GI)INZXaq=R>7L@I5 z;zwrUNA^aETW~)X(RrT?=(pGB?H%TSDTqz1ULU!5T~JU!sZmp-s76&p(RWcj&TO~G z1g0^}Vpxk|J%)`K`rRu%`_1b%vme-DKeEGqXovmS4*S6!_M^LW5{s6<5`RDpyuq8E zwgz4Nu>rA3LUsvH;YN=plyFJTLxSWyBuLIfg5*3TNX|pT`KN!d??LB%F2%%)-%mcp zf#Dp|M%aO53`_c?l$-Tv?PAmkRWaX?G`}I~w^g;aj`KK|9{@y^MJW;t*|8;;Uu=4%6K)H`K%IZ5wINRT7&-ekylWp zw@qMosB0B|R#O=r3=|OWE8G^L&GKhrVJ@}@XhFJ{L7*-iRMpnxHdf@4r2t<7xKg#6Ql<*$*C3*ncO4mf)n&5}X)< z-~=KX6sIW`6jDcMs4Hn03&|P_DSrgS?8rHw2weqLm$_<7m(wbAnG_(V9IFs=_;Eox zqXa3YeX11Gyo!;{mC(oqOK4<;B{Z_l5*m4D35{&Bghnn}LL*--p^^HQ&`5$oyEDh1 zX@>L1p5gr2WH^6*8Gp{7afb8fpyB*kX*hqLf{PM@m~d|ZjcA5Eq5kuP&Wa~=_B^4! z^@MuW6FOI(&^h&ly4Djq1@?-ESD8yE0u^~)v?9j~75QDL$n8Q!UKc8Ix=_b_9_mV)PMp<8@^XtB#pIBnk$kH+=+xn7`(vsRtLnFQIO?4^DJHdjlAnMe2BzSrdvBI z{0Rzx@aeA20b-V_3gwQ~jNwuY8!@cMuolBCh8#m-hP&dS7XDzk9HCZ(*d(vX7J0-5 zdBpa3#O8R!)_-`!#(2a&z|}Cw~wp0Q?K5Z(|erxXmxE+RrTb47FDKax8Ow@^4!;dwqys4*y)^+h|W9a%=>B z@~8u9652#oM;&~GTB~ZVJ)t~jaLM$YV} zCtC66JLoU$IMLKc>pB7-Hzwppp7X&EY}YlTcYi_~qm|@8v>m+9ROR2ZHIdaHvuaVz zPi&h{Sherknx}0ILb^Wrrb<-iMo#kI+71wev^L&z%A(Bwa96cxpGIw>8fqWL`LP92 z%~`<9wznuaL;)o;#^t}ZZ6e2CwQ5G5bK-s1eCMtfe9rWp|Hi6C9{sAVxn|pZ%hp6M z_kUrlMp|J?eq_PpRxMgpzp`pZE6G1(Q2vTlTeLM)5eEIf1^5a@pZs^W=KPL##Of!D z7Jb|B$rqj@`R4dwvVVK8a=o)!866%@_NLE|r?bk7ql4*Wx>p&^Dyn_CR=aYwGCMe$ z-WtuuJCzqk`$yx-i<8-NmBYzP<4P^7%ztiIo*&I_IpX$iWi~q48_z12TUYLFtV^}U z!^M*Zxs)#MEZ%*bOBq#PLFgfCT9N4$B9qrB6kefn^JekHGux}#_RVh5+wMN|6eXX7 zUVnXiqj-9KrM=PZY;W|ppXhCTv-#4i`)&cD_#@5ABUCQnf_>v?bTB(Qtn_*dNPii> zES@3_o!<$ur*LputDf1zwTJ5A_tT4!hik#Zu)RVoKc{lBHbQxiE;db0?c&CnU0i=X zTwJ#dVEiBp;zy_r!M%{r|V*KYsuK0RR7Z0aQ{wOI<+_o$I$gKjOt0^MRmgk|xn6 z3PNzbuJ^6@?p}7U#FrEcqJmvY(bn2HQjfSl=ve-LdvDz$e5; z&!;Xcr}gq4kR4wBF5En9#_kC)C9GU(TKC33EDD zQC&jN?JqqhKL3J_dV#$1eSgiMjYbguTewzSt5B1q+T;gLAk;&zuzvaU_6UZ$fV_^L znw3!&wE6~Cix(?QKdRAO^{KqU-Eg(#~ZufInoX=pK6;0z4R5^_XE#QVQLiLJy__XX@m~Xc70WD ziLMWU@*rKmk?l-hfiUv(g8BerUV8Z}DoSRJuS ziyCc_;x(#9V!y;HxA(q(+~+>`Jm2T}ob&HF|C}`8V&PPCfPR_bKV=E}$0??NL~#CN z1S8FMz~5CL3@{30$!%U>WD>^@CFX**qQhuz;F0aF=^MrO`ZW{!#AL2c;La` zMz}ilSeF<+JVEz6K+64{l}%is(V$4bTXr%a&+VfH-aQ!tx5LXt%I@B_ z`S=(ld&`501)|gLgrQ$J7Gb|*u2k78&Q^&{)Dth=mzQh=lr zde9_mz)jI#{?uD8A^+lKxdy9ao$B*fxe6EA4|x151MF2-bUSOOjgTB22cDWwUcg-? ze#5NZzDs8bcCY#oY-Bwg+5PMhxw4ljlf1Rr^BdMi0l-W`;eR8WT*x?Xr|{AExdb&{`y=Q@tiv^!O1Qmg%?v+Vu#$(o}#jq5ZjED_=&9i@z4OZY^qWtcVH8_u*#OWub=^ZdS6Hk6jZXxg7 zNWs+&E) zur)r+0+dknhfR zqG#wG>^HTcT>Fof%#k|n@T^u7LT{T#vmv>lEKwR?_71@L0|-v;q+0om!w`8#K-E-Q z0hZV~b8RCNa~6(s=Mm&hQoeYVr+lK!P2u?X8G7N3<(&*A9U9riI0DCq6opx_?s|IS*lw*BuGU$sZyp* zr19euXgnjjGy28B@`D&LD9SZfPzs z)!@O%4~`LAu{y!)j|P!qGKWeXls()c2=4Y*W@lJpBopBxIz(;WWOoKm>HuN6p;oCR;4LTV1<^;+NQ#sRfY-m~s0zsE=PY6A%_KjfVh@&bKum#)hS zZ0iMG>yZJtO4($ly>R^N-@1~*Wvpk>DfG(OZjJ97b6VaJgQ@fMDnju05@OWek@D+3Su5kqy z1rZ8eyO7T~LRZ1&>hcJ-Ni&MD3a&Zxi5F-hnE;fovztLk8~Sc2+YS%qB+JWB#w^|n zNfpJWoN+k4)P?o1z(`qjSMZ*x&dnr0Txz}kqIHf?$jHn2N~f!Q2m_)nHR*kQiRFm5 zm%Xh|4oEI9$vnt_UuEtRQma;;tJ16<;e6uM zlh*%$4C_&)ZC>H~J;!_rTD#SDi*~gIArJZ_XCoHaC+_=m-ijR!+@^A(`-WM>1r>ys zPOAx1^{$$N3ndDDh6MT)L&d7KFwP@a0R|m4ru=ucp~bY8@rwyq#HjCJ%&5Z`w@=JD zMv+YE%Omb)-;rKfl83xDVK0zH5RdyMOmps%wu~ElS$|DrWg|E1BwgYoiA-tg*quIE zWnkym(WF($O>{#HnvLxi~0L$3LF0?Ui`0V>8;Ne*` z`R!#zue$-e8(sL-f>T9pUGJkVI<)Qt# zC%K}w;Rxln#Q0^s<%-O1y;z3}Ky6z1(X^o0v;b-9R&rZ#zmjR(4-@%|#K9(&FvB(a zs=YLEx&(wCTV%D2^A4n}S^GMXV$jZZHKhjGprj=Sb>Ec`wg`G_7T$(sP~)$nz*`ON zIxb#nhq6u5%>cCQX-vPoO{8c?RnxIHh%a;f@`^$DiP+hl&Dj%k>Qxf(kifKLems(N z0kXc;8GmuAdrq@rdl9W&M@h|DHg8QfYl%3_tp7_l^F4`TPlRw<2;W10Bw8>kkUc8Y zK0VXkJPLl~&&92K7*6*}o?4*n&dz%J-}6w-|LQo77R2{NH?Hd6D0!nKY(=cN!S%F< zM3U-!LD~Lsc)gPXD(VA*8#{-SJ8g@~ZmfM@^D*&b?U|aQxE(tCtCl}^AhY-7>IAnbq@ILK|_x#C;hep)8pW5OcJfAV$yyT(R)pDCJ!q1{`%u?yL& za#dA+RaQhtho#r_Ry(SJ>IJ*tp)f&ec?t=xx5Vx6?-x7 zrSlcAm(Q&UL7%H61xGh;Rz&T^C|%kLkcbp)8cY2ACD+~uUuNEF{jrdq>oAEXCFh-h zASzYKKNdr3H?6|LAi&dBqGXcYB7Sb}8|OTIv|l;}B=XTM=Em>PQq}Lq5yYuJ6Eiuj zyQZOaSqW}wPl2txV#9FjNDpQl_w;JTgk7@DG-R1YK&yNs?3NsHd^%wq!8399eOH*^q5H&d;3C(-}SxH-1lLUnoB6 z{yBWUQ--Oi<_70$Dad;6FExIpMbG|x@3oEe7Lj&M_l40`1=A5WUP+5Z*ITUxHZv!c zk3Z#{?2iH3k(w|}ch8#a=$>ILem{b~#2ek}#qdkfciZ{?9?3D27?V(LZf`$y1wNyG z82Gb3$PjArLon;`r=-&cne<|wHzJ<_`FTM>xY9TK$ypmP6nk`7Nc_9}A0 j)i+tEVnOh#>6H4UvHKP)mz#`borP&Tt0%1gKIp#y^ldw3 diff --git a/cpld/db/GR8RAM.(1).cnf.cdb b/cpld/db/GR8RAM.(1).cnf.cdb index de85ff32fc3f4925362546a5b68eb07b4fdd5daf..f1124080fc80a462311383439157e70d6e5a7ecd 100755 GIT binary patch delta 2270 zcmV<42qE{j60s7HYkx5b00000004La?3!7R8$}SuC(9KOAZ`gBP#zGk>}GTFG|JbA zqR4m?31zhlI1z9710lW!k4Q)mAj=_fi2FXm8T0@3blElSnXzYvO@iXG+TC4U|ElWh zV|v=2OeT|SN>685_K)(LG0C++x9R(D`DiJ!Lw1M02OH}fuYcX{xmgB(w6T7;hQA5_ z>YZ73K%avVdO-PKvP1r-a@g30B$^GkcZU~3jW47;HKFg4;BfoG*>gJ)vDO~Gb8!Z5 zZ~f8>Te~lt^>*+O;^Fp7(e+6y*8_NT?&363ZNoMg?(U4b!5Oo`_U>S-lkqTvX5DTd zvr(UJkNS0c)PK3#EQYPY#lh+IGo8XV#c%Y)AMT0Y?1pC_89r|-h3%nCP0O4q^P&ar zKz6MIxMS1`%N;{jfIG$u@NoB1_Z50>8ZiRhCfuxVp*w~wqC3VcqB{mHqK|Z&q7i+x z7y4K)^zmNk6TQ$UyP3BDc>k)Cy;bAc6pyqmjc3}P)>rarM9TG5 ze9G}iYk!O4bMUDGv8U%^ilttMU-64*?PDCIx`;n_JnY$p#hKmzlJ9j>reAiqz zFK!PpJ@{p^xT@A%FX}(*+;@`|^qBJJS1*bUol~Tb$KA z{>j;;j$M+MkFm^CdGj-sxBgbi-!I+7H0mq@p}NK4N9T{}Ck}o2I@^V@vhlI_n;(|9 z;Xf;3uG(UMv%6Ks*N^-jsEH z&VQ793&&KXH|6t$PJ5~X@|qrVeEN$X zFH0G|W=o}fU3|WgJe&R#Wqpb6>l2@*ar|07>Y+9EXI6FjhWW`^^2x-$#XlVbP8r|J zcllc)jbKd5dv6?3I*nSbAyK5N-; z-w$jwQR+{VENyM&75BWY|MB^d*ZE>`_5a7gFTL>QJV&CRb@0GX`yrc+`*kYcs9cTv zAwTQ)>`e(fJgYQcYx_OJ&0glfady*O3An2M%y6?ykhEWm;>N!7MqwkbvXSzTk85^m z`FIXlT7DV*T9;$?kJLZ87k}>L;M>}#%sf|SNyUQPzH6+Iqepv2USg`^_4_vbA@-g} znK2}#{5rdJeC9!we%_H{-%2J}YsBZ*h|_&xiPSgtzp$rDZ^juI0m_iZApAw@l|zB0 zBWa0%6J8P!bIstqX@@^aMZCl&Ucr+1jWhl5hkN2Td*YAu#2@X6KY!K}f4nFDL{I$5 zu6VY_;*V1uCy*)VJOfQZ=P76kI?q8<(0LM?g6S;u8!D@rRs5DV4!i1pG;VaZ3m_9n zyUX12=T?H7hN|tua9P#1C965F>y5zF1zhDB47d^aTZOA^u-wc8w9Agv08fUwJ`xz) zB3l9XWNjgkC^1IG8Gm+m=Ae&qW~`=Msgh>6@|i>3$^{@*(hOHVb9mu2682Q__Yht_ z+Vm_d=T`9fN`4xRReThR|L=S#HVj?g=bRs>qZ$kJ%lGZFDn|TP;Hq)g%K5>LWOc6T zNLD|kGAWSZS+9`sgN9e-5XSo?Q-}wB^weQZKRsf{tdqNue18U)2M>QL;75BdR(K)e zB}XwIlYWjleB*HeY-N5<)`>cd1uv77t?iJ?Yq5=&hcDEw)B3dCvPO&N-8rJ4us5B_e9xoos<91Naq3IwG(wKaj!+ybTs82E=I$@uP92ab_I&=~ej< z6(k@JP*Wi~&*_LnQ@~naOKsiJO<_pKHN>)RXwt8!fqw{()A?edu*zoQ`a#MeF3e?; zpg;9I;s5nq5u-ffgi^5y?%OwW9Pp04hmNhxxW{}Lb!?{8o}Gm ze741z2_W+7ZndTcmSzj-i3)}kp3koE>7i}#Y5ib{*O3CELd(UGXcI_M4RQBa?#IDt zAhH?dvRBd<>XVK3%q+CwE*ldHj+7u1{LI9>Als7p9SF8@9o4XM5BQ&X^6hb_ScBjE5OicDsGd zMt!eua2=YMXq7&ZqN2B+3fcM97SztIzauqXadH$3~u@Hty4Y!6jxTINid7c6l5 zva21y9ivuQ?ijKH+%aB&hdUR$uh6s8h!N;E;bwgc-7#bl-7#hn-7#npeYo2cjp!r2 z&_{crkM%+y?}a|m4b3x{+0t=eGkC|@X7G;F&EOs9n}5MOPB??R8OK^R(ot!$JDH3* zJCWeCjfTUe2_?DKdG6(t=9y|2d9Q@CqpzoB(=UEbTe=Uy^Mt&wOZ`IqUVc$I)xV6q zkLTI~K&qd2fhI^Q-y}=h%_#5VZ|@CtaZ2OE`&XUp%^J_9c%*G+d}Id!?J0Mx8|yVV-dbAU@gdg zj7UKO)Vm1i0gq4_3kyMUxlEz-i#~nO~f zCJRhNBb$^TMyt5&%hatKpGpQC4nWiS?|&|5ik9%rKzTA#?>bYy>`lq)d{gck$?5(? z^6C6%cQ()M?>y0#QhdvIl*5Rd?K-L@lVHqQ^xo5 zUH(=G`MaYI)|xfK2Sfegm{W#NqJNy)fcKSj>?-NB6Le`_H4?Vhl}x@-SdG|hBhOg1 zk+-w4Oy&5ZL*8`aQBNtAPutV_N4 zX?fmJ?fIF$u%$N8&vC}|FIvAQA2Hcls!(+f#e?n5Gtb)JA5=G-in&s@%ztl8pSA3_ z?*}%TDD|gFmbSL?ihJJH|M+~!>wK}e`v2qLmtJ^to+HuEI(Xox{gBPZ{W_IzRIbLo zkT3gPzA0gcXO-q_ZNE!g`7#HNvzz8hz|HGVi7PKb(ta(98~e`dg^hfkjg*IcT(e8d z$8*Ti^2_Mgx*W5Ar2fghaDOKU-_|~5=D9LUDi-ASU1NnDJ=!z!3R4xY-?!lpvG+X6 zj3FuI*V(P(GY{tJ=N&2btzv?;MtpvaINcXkNPT1f3wx^cW}JZ$pbTjY!e68=9SAHP zNh<`L@RER-YX;{{JN!v1;w3im3YNrgobHD|*b{%KC;o6x{E?pcqklc|$9m$A_r#y* zif3yq{y5cf0-1u&Gtd-to`R;J^Bgn(2;+T{Da3<5dg`#IpB}Me*2!H@K7WJDgNHvA@S{B!E4&c# zlB1Z9Nk7LNzVWyKwlY5_>qH&Kf|p6k)^)Em*!KyrSuPzOX!Jn+fUy!Zd~WRk_u;GYb6G zs$57z1au!|LPVEIU17r{u;CSbZPU^Xk@GD(Csy>F=6|~d)e}KEJ)2IY)>usJ@23pn z;(Q{?olZYb_`jbWU9^Sxa$>CV03(3B&M<|eI=Uf0ua~Nj?L9R=@7|3Iqz(ZuUg@TN5D!;x%`);3AaAfS xatWQESt69h=`_3aTt{wKnl0rkxOnit(jPa6C} zuzid#;Qt&O*y9s>EE?=#590&*zZ~!J{aBy-XJwTQHw6rPg?|&|LPXP1UfsEMWNlVX zWcEcM$7YrDfdy#vm3X9Y0PC=H4Uqni?LL5dCw~7GoKFz zUITU+0U8&mX|k_@_AC{!YdfY`tE=Chn~pTHqX4rsuKsiDG&=~Mce@v7?D*>F`VG1H z*ztnc$%5Fa#D93qjR34P6DD~j1*69op+Lku4bfB+l!aLOCWrzM&XlAl2T7^uuqKsM zTBD}YL{X(tRmD`3xH&(K+E_?Wobe=E+mSl2$abLgHd>$=dB(>t&I8tQEtv+hfS*qL z?ihYrq|gl8Q!_j!HN(F^a`?IGjWCRE#gyUoe2P@VAb($yX84$+Ju2-U8|k$+m;5|6 z^8%0x9H2m=k&+ztCeAJ><>V1<6HP!~Z#$J;Kls zI#jHusj@n#P*!d7F2rP;i4!0%u|xxSw=dWCGCkZDRI^D@PvigATvobPND;|YMc&|b q|L#&EQZ6NbH~OYK%lSGRXYboWt^QE<2-mO^3?$J!!507k0RR7QY(_T# delta 693 zcmV;m0!sap280HXTYvrm00000004CX00000000C700000004Lav{X$?12GWwTLdc} zJoqcbkDI3)w0F-UCCzR@x@OxZ#ed}g69m6q=gsDYEmZKJ1If&rd6~>6lWm%&SrIvu z@g??ujxE{aQ}$RkP{Vm&Eq@=AHJ=pqz2B6mb=6FD zC?h!5)jmWduvKjfghHZ(L!Qo4X7k0s(ikFMj7EVD zyDAO{7El-*($UqLL3Zu>Xfr!;SGN_>vr9v?7Eb)sInACpFZ%tI1 z-{}(Hnfh2B@_*!S>;enW777b|942iXHtBi;0JyvMVo1Yvk*0S^T6@K$*+fHvwk^nW z+ActPG+cmoppEXy^a_0jgpbnp14wNF^HoMqZhA-J5TkRNehVZ=!)`Hn#2Gvac$R^L z=0Wz&kt;k7MquCpLJX!CMgUMJv;l1omWaXhGi_lFsDBWH1Em*HM1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEK^1Q0VZ z2mndtn}0$(Kq4?3d|iWs9o=1#)T#kxnHdy-q+Zg*-$05%6o^4KxCi-%2E>O%1|Tcv z1WK}kmH!nvvlgUW3W%K?gIy!yJv?259D|%aBI5)6gF@mx{oMRP3c#LV1M8Wzr*#R) zagspn8|)q*;u;Zx@O7}WhpVq6NCyMRRUBX+oGvz;SpgCe0b-CAco;!cGqQt2M=a%? b5=a$4RF$U-Ff=^ffDQtQf?WUr00960cTFW= diff --git a/cpld/db/GR8RAM.(10).cnf.cdb b/cpld/db/GR8RAM.(10).cnf.cdb old mode 100644 new mode 100755 index 9b1f7d4bf0cdd729fcf8ac9bba2b4e2605a811d2..6f521e7105f497fc5d00faa9b5401295a7b9300e GIT binary patch delta 6045 zcmY+IWmME*xAsLE>6DgEK}v=k5d@L$29Xl!ZvIHu08%3%LrBL6NDU1V64EtD%8-JD zFhkDqd7t;gIeV>ZUB7+p?|a?%hEO3y(+mKHcB*MPm8x!s35=CQ8T#{E5Iu8*vGpq^{M^RM%n9U@LSf<#n zhjr0~5z*fLeGU9YEXACo4efO}fyFF>n`G1BV6)5WD+go1cn)i`(z)E|t8M5sFA4RdHENNg9n`!d^Y6#g&z6-aMEnof^R&}=;+~1aGeJYSNKm+!v2bBP+ zIz;P$CT0tpx620IS5&@?ITt!OaM=kLe*b8E#+%gr3Bk*W|G2zxR37>0`gXrK=AT+o zz!%a2FAxE<70Z~*Af!oouy+v2@$0qSVVc*MdjDb2qN$uci)#(5IQi3g$HDipsRP@G zP~=hjD;>uUvu@9g8&oxOLU>cr)=XNMm(y8_O4yfaP#;liSCE$|6`Z~kvMbj-c05PB z5ZJ!Bj0ip7q&rY>qKBEP2MyUeqPf0Dy!t)M6oRWsz|SaFUSBW-1a<=8I#l90eCRzqM* z9K)YIcgmYD+}m4y_-q+w1nbdz#fyuI;Fbp}rz^?w0#p)OwiIk20JP|&J&K>UGv9k& zkGN7p5~kXi8&GFqhK0Ru!V-YmvlcY2M~Nu(BKr>)8`S$>a=p`f4z`fhMf+-ukN>bi zwtaD!E42wqZIT@YtHnr)GM7r-6tS3frJ$Vvn{17nhOQ3qfT%+H8tjS>trirp51Zz? zylvWs4T0OH0GLylySk6G{e-|xE;=>$OOC{1u!EhR(}Eq2yN^jDq;;S!g9t6FwdZ_c z>W=aExTL%RwxYnAVXLF~B1llGG}~6s#*0f@Eav9yLz&jGgh^iP4c3Ert%X=c8N+V% z0ox59#)6HvurQ%hES&BVi>AI|cl9iWKfa?oS^~}{uMPgVad7g1g&y#9ZLwKGk5_Zf zNaj<8bvm?Zf8Ip6sds<0h3>h@(5+)X{GMe}3PhBMDPndMcL>k;3$nLolyVp{AtXf^Fo$APy2`l`>&Ago|K;d3bvsbRV|?FBEnB zfY8~azb%WYtDT(e>|k@QgwXYK@*zTt<0%Gyw7=e<0E-H#M%V z`14l4S&1mJZlm|3Tf`27UL);?Z6ryP$WIV+8aGF6@cT0c$l+Y@Zgc}i^^V_m{OWRi z6#BGo)qi<@wK4a0+A-mp)V!gzO#QOgR;2#fNenMd{hu!_Av-@-S%2%@eir%TV0qJ| zPmNVJaC?>(?$;wHxj7jVz(#8ad?dGe?%a8%lGPcoNaj0=RYNhWq<7eKfu0{f%XpGQ z3@RhL!k=Y#Jznls#RS=jkqoUt$ldnnDaA{3GcmZVTEa@0Ax@SoqHT@sS2bFCtHKE| zIR`tp#6H8I=|T3yY(L=3$zua*CBSQ7u#|hgjNcUh`~I%a6PX0L!*D(BO#uveV%fK9#LD)~!RH}XLi zSXz7-ldcKhY+G(m^=!pA3S&dmt<$Vb1-=O^LP6L$Bid%K((vhX7Rf3>r@^C++rBJV z#Ac2tt;j_5Xp7COxE|mL>Wf3~6c}%ATb+F7-2snt{^BWO_jPP^N%Iv4lxT-A2+ ztACMyx)But^c?eL#tngr;bNMf_Zvzo96vyCDc>TF9_n`2$suRp2Pp@C|3#pGk*Su2 z6J&)b9wzyw&9EACav5S3*)T+CE))zHSdP(+1s9}iR+Ap zaX+nqeu4F;apY_hSvn+^yi!ja)`v8w_ZA0J(KzSyfC(RdolMX(KDeC2-|H%ppU3h2 zHtw$E#A{Wtrw>c2NR^53Z)m$c~x9*RweCD6xZCU?}C_WXUl>fY>!hx&oU~W&Cx3E2i-(k{qZ*nZBG7J>(g5!*1a9A zN{itBdGGTSu((Ctjhdzn1`aUgH{*KccfB;Heb3AX!RCJxU^ecOT;7U0SOdR!QH|7y z+>ep1dBa?n?5ti|y^*cVBTke7{TR|scOn>|^pk8&BEG#SguqMMRaAM*y!WUq1c)7` z@NeQheNu+UuAf0dA0ZvZS{fV)hO00|7bS>R`;8Hh0N{_}fvFq)YYRGiTt7+IX2>(y z&oFoc&9(F!(c=83ASR7i(foVXhtI;P(<#UmPrunqW<7uR_*3*&)UX)wcfvfoe>w2V zI$n0B8(H1Fj)Du%^2Z!7BOf5!bK^qaR#IphRmh*wTgzMs4@r z_%+Y6nk}Q$-crGk09)Q1X83BU*r{hMcf;(I3Dkp({_NBopoJqP^RVU&jAL*0J~ugy zS$ie$5lYhktCKQbB9IV}v@)vc-rzYU=u{@&P-^g#BI=V=IRnk*rx_cH&ox46%z7%b zFm4M`ot)p6-u>FAL#DfP{B|dkmgjJfSt+s-0h;{-h(Lyda#$yYgYeg1RkMQirpW93 z=AM~sew_;V!e>o?ksyIT2R!_CMLPrX=S%&jdSRW+4h=v(Cy-Y#$l&p%`QhI;#Vw%8 z9U4U#cl78&kYE;+Gdfmr+nIy5zcs+rAdAC4&!`R-r2lt%yucL29f-v>D7#yVc+LEw zO7VHg8DjXc-ZlufFu%Y1a~ZmXKZ;cIDbhqRDhOD_bqB9*zFy8Cfrar3DQ6+QHPe6`u<~ENBjKjh8bq>#`l|vcL zZ?-D_GB16Q%hC(etd&%melE+V+bULOLG|r-2kj&(uH(Na%a|_$O zO*Xv@prklW`Q*jN&VY+}NA;*lu^6Iylp*a55xOD`ABDR7gDffdD1(}v)#Xk&RA1pu?t?E)mt7_B{I9OvB(k{+xBa#yXwwd zBS`b0Uq#8{>q^BNNH$BBf_}|=nG_#~ospnxGm#boiZn!Fd;E)^%2!Fe%@D;Vx8J96uSUkzi)G2{87gcb88V z4}FKR3w?Lh&#q`4fWZ~*W);KOzzb97d+!T9n7rCT1uwb6J1Zk8Sn?DoMtU}kqQLr? zKYmxmslJRWY)%$^f6te@3AoS~$oGKOx~qv`^(lO*ofP}m9QPU@qt**Bw&}gTY8323 zPgI32BBXLNT;bU~<_d9}?}j~)DT@nu($i~)n`DYLflE{5XSkk8xQdleK@+B%`!#h9 zu<4fGMh_MK{vl7Z&-YcuZ;eQQTHLQYal#7V=YyngH_&Ov$+4EQ12B9EwTE# zeiY5{!;E-NEn9lz4smXPdw z+?qx`UJg_&ij0?_6YorDVh0vb?=$@zRPGN%uP$3aFZP46mM=U&VS1Z`*7sdIz7G4t z??v{kEB*NPh_e)YBIV=*#cJ{SAd?{6O*m!H-V z=2LekI;Mv$H!w@2Xn0Ei`>0| z7V?i$i%Iwr&c9oh5_@?-T?&y0CzqY{XV8swJ1a7B_OL_BEMSnb1PAQq_>h0Q=aBeN z=%d4%)P`)r^B%g%sm21Zr%{}*fJ=JROh?ggQVa?-4~`PgeE=OJ8lnckM+!83=`3_)yS?$z4xJs9I?;y4V6qYE z1g+Cu!Vt+DKqXHnQcdw2@d!B6UjN_E#nTx_Ff-bSB2eTl2Vy-(mtOm(evaB5{iXvR z=a4n;8A=WoV8HuTRkkXk*V58g!cJfOtBUu2z%_4m-vCo_6dO@}TgO!F3{6Fx*{gYP z1X;u@HQckxZ)iW`qlAOzMJR{#(=AdWgS*{?1OLNIK-V_$XOf1*(W8eZQs>|N2yuQS zy3%=#2A1&E$yvPKYT#G@=n<|<~)GjS?P+UG8r+}E z26>*H7%Y_f)(EG7Wk0d3KSl8fYg2P}4VK~V#!?&mR2I01i-l|B6EEi>Lyzv~l!YUR z2-&G(08?IE=R$JBxm={>+<<6me7Sm}LNlZDh`r$oWnVwOS+lSdZ#6TqCr&D5NOH2C zUpRl|JBTxrKWogkZMpzs#`_HRi4&&z`y5h+JIVUJ(_tuu6b%ug*}0$V&QDNL5JsxC ze=n%A)cx$fxbB7W!I}Pbm^D3g)8mSpF{85{_=aw9ap*U(nspk1@q|Ol<7yKx`dbE8GQc9R8|*YG7Un#DB9vZOhNW+exbiS>3?n z{p!qesCVy4)e%(oVP#HJroo0_Tf{nF=Y5a?jtfOtk!tAM5qopL{%N((Q-&?t?xS(z zfB>f`lSH<2h~`UJT0IcKUGBGjWN0B_a7M-Pa5zze(0pcK8i@A@-}dP@+_V%|5;(zD}Ky#gNuTy zmn9bD)cPkV-S%jeA9%-fMCX;FTUC+pI2|3%Y5n6lqnKZfMg9UZvlouKaMdKKf1Xu(I!rh^Ms5NYiKFDniX@C?=zU{N5Pf@yss0d}sx!B6Pp4N!mqn9hrN4y811yyy> z753?!{0&XUqAQPk#CHEUuo~sSy;g;+h{YpgBJ^EIt>sIVi)|V0EF2D524(_VDsPa6 ztNNEmhFyiH2l{4Qj)7r<9u=WFg7E4af-J^evlj^M1qfm?uAIP*MduE#d#8x1VGe_i z%u@BB1+h#h(&eq$v!2)Vcz|fqFvBn?bm;eoCcp7u5I!>ytOe%mu~rwxEmzokcoTr% zab6!p0WaT`tv=A&W0MVym3RC;v2b1}5+=wr3BCTKTX%kLs(RPxr-x>)3Q6&H%oEkW z49Pl+zH!TfRp+xGwFzZ?hytvu4ipgUgz!AS&R1x2zZAFc2Y6i}K%Do@d$P5o z<0BuxBx;DyS#oj$(pPe%BqCKvJGF~e;$Lwo)kGVY7Ew`gkYvS1K37Hwov`Q2**gV@ zeQ(EOWzkrK>Z?o{6KJ|S4T;ZkBqrIq{RdN z`Unm%(P(MfqT1ygR@)aJvUlaH!AY`$wJ#$&pEUK-&!7WazpWBP_-r4o8rfUCwE1^- H$725nS&j)M delta 2129 zcmV-X2(I_KFO3n9Q-5VJ00000008U;00000007kj00000004~$00000004La>|0B3 zBSjFNEU)Da2ZVsb?g4S(lI5+O1~!gZ2?5$9Cku@{wnMB~o|WSe_CkIS|AY&7#1SMo z@D}ga4&T?^)zj1T*dC7;lE}Sk_jFZtRX?hFs%o-%p69nvFMmZ*^f%i7t3tdjle?p6 z4gW40$Qs(eFgLb;q9yna{-E58+Ura@o%Z@W3ZRFYM+=#U!4+XZ7UeSE44!Ms;Q6Ku zUTDhT#ik5iYRcf{W(?9o4;DMdwB1k-(sn~VNZSqdAZ<6)gS6dH57Kr+JxJRN>cO2b z-0omx&9{yv^MAhS^m@tRQEJBX7Alu%Hvz7#s5{KM2WCiKYxG?h)#(n$R$hJ42Yp$1 zy@}rkndAQ9(QqQxvliW|HF<}_g689JSkQhP4htHP!(l-SayTq#LJo%oZOGxUpb=3O zyV=oXAywoXIeV`^-Wz7emVx_#H+cS8o}ciQ4tPu)b$>m7hEL%FeC*PPsS4bv>@o=~D&&9rF*(^Q^$R|DpN+ z=D{C;8h-+m6HbkM6!klt8^=~v`j@jReQWCVJe6{v$dpPLeg5NYd~griCs5r8lJopl@19M-0i?=9_+>}i>!Ady8a15Hu6932fWoQ=_2jE0$J)Ya zGPiR)=uP%7;Ng`If#+4QdF^`Z>dv*d-el@%v$gf=?i)MAJ&f+|b}PBMoxHZYwbi=5 z&3|E6h$=Bs5I=>@dkWOi8s!#9omT;eIDphClM1BHhEyPRqN4(-a~Ks!ot~&b>dZqB zDJk0fLranLYsJVZ>y)%<#mFh^lyqms$SLcTG-YWd_0gmtOK>MIW4~2I-%#y&&uI)QupD3Xf5Pkx4~z z3_yi7q&F&%&c5?9v4d!v%w|b$6I}?=`${4f+!H7871SUNvA?m*z(VxHnSgVlT$?v{ zcB|&ENa<&Np~uApU=L;$;TO*$H24+$l#O!y*}3+!F8z-<+dBDFOtn`2bwxkraDO!_ zhR;H~jAv3BdUFrWMNe8|_jA+N<-ek@J~{49-Tj%z9%<)BZ%V=)`#<$?#O78f^_q(i zk?(4W2&!7rd=A@@Jr1?p1c@LnIV{a`{Z*0m4OE<`)=?-_uqY;l7ChfiiPk+8>3;X3Y{oAFCSc1AORb!5;bi&d~EwM z&an8w%t~00<=1*UZA30&7{{0$<5vsupaku*0E`+xlZA0^o3Jn*a1$2BO>V-%_|i>S z7>BzF3*(hHVPRZ%t(kJ9T8qI}z4pmo(zzv3RA0Z~E;=y|cG#S<(M{ ziSbyQk$QXYltBgQkYzc?P#Q0-ch2tO6@*9NEaCV#nTc8w; zcqo?uvmQ#O%sA+v&?vG}d;t zb;{QH)>+uD+GN_UHyP1mMu*XT5s*%m*tDwo*y-RlP}~d%uWu?({1|>%rB58}IF zs)|SfUKN2W4j*xE!Eu>Y1enRs#aqU3*8HP|WzMG#uP3n=UqU{w8N>%u=gvSLA1z|+ zDwCA?_h=bJ5l)%7rhiU|tEyZxuKH5JIIBW(%@H=W;9E@W67W9`xP9ljME4H>00960 zcmZ@$Jud`N6uo1y>vPvfAxLZ?A}Dqff-GWY_U+Ed?hG@tERjrf3Y|i`5yf_d1PO&k zrP7Fq5QR!ZL7~wJeuZ->2b6I2B>(pZS-Fs@3R=>z7RoU0TJRTF8~FwqJTmTak--)#exAzQh*euvQ8nXmrYA5VBY zYo<8&&rOjAoa>tgPFkv`4mLdOZZdTNelb?Lv$7@U|6EEGk~-=~{CfL31yLtZX)>+9 z7s>5JXa}*k^fA~B<|+Y?B8BM?$&Jq^B?4{<2$Vu5BT6hON@b+aU$g^IMGbxmQVp$Q z;_~S6V+I@p{6$P0VjdZyC7_z75x1wuZ|A{5r5;emycd*wJzIm2+5oQkd-tv)+d}#V H00960ouCj* diff --git a/cpld/db/GR8RAM.(10).cnf.hdb b/cpld/db/GR8RAM.(10).cnf.hdb old mode 100644 new mode 100755 index 2452028a5b78d1a9e98b9cd9ecbd6b08f9dfad65..e92d195187ae7a40be9f3c1e6e5bccdf18e5bd47 GIT binary patch delta 1245 zcmV<31S0#32cQa&Q-9SB00000000>T00000004CX00000001Bb00000004La`(fAB?gCpBs=*rO#R`oS4{^LCF3I5JxnYamjT#K^P>RDw3?156eY!U zavJkAFVn0S@RP<19CuUQ*?Q>1dReTLo=J za@LgLN|1v=yJAYd+7TuZaY86tm&vaOY=bF3xPR~AR<_A>Aoik`c*c!A z5hu)WQcQX=UvHGinK5^CAlwyjarj|ZM0BYo;s%M}1O&b;yfImtm%>OmzN5A3?}sJ_ zcTk542MWYiq`jQ?YNH{LZxlln_+=|Ci&`Y{q7q59ql)o7|8Qbd z>Gly*oH;1Hc=}ZmN8kP&RVSVsRrn4Ujg$#+mH3D&7JmlBPC+kxK#A|JKT@>-;cM#N zSb_yT9)C>H^HsMaS}_vrVr0>3W5H^30lB$=+*&|xH<7HWqc-nATHb-QyaQ=@2h#Em zq~#q*@V;1F?&Xs1NSJ|zmdg&Jn;th|VmyqQ?--eQlKM%Lj@lntp6hHw_3=e1iN0uZ zqr8dHXjSYhqr@8{owr8H;>N1HUJ&HZ!y+6XZGVCF=~yBNC0HT|r)Tv=(r{#rceSHX zeRDLo2#)^J^66=1+g}kzE3LV=5C$vAJDJvYQIlc8aYvD)j-CxEtJKAAaW$3FkePR< zSkxyY=CWw?gP=CyIyX+PbaLPDyg>|S@NhWr*2vriG_0>R;69@5^O$fr{+NAH3Feu$ zHh(Ab`sJv?+k(8SL7DcLQ6F4;kC%Rn@cAupxc5l&v*yDpfey)CM+n>$QS0CewGCN= zgk=^9^-V%X?<;p#Vd%McuwgwpnkAtP$8w3TAvVj^*s6FyINVhjSQ%ddv2eB#0WS>> zC-3^zWM06@Ck^K@RLn+0p;|YH%5-fq9Q^?R0RR7Z z0Zfp;N&`U<#wRiAb%qc{MJ%)rSf_D4H+u`-?Xt6hoXtYe!Z)zB@By^57p&|oEPwI_ z<^_BSUqAwWbN&m%gFN6%)r`E0Yk0S`ZpSZOUK|-+Ql;`Te2P%*yB`_8ip}+J+rW?>>dygyaVk z+}NjB`(<3fRqDbn`txTv+J#OO>sCoBtPU#VMV-70G1+S51Zb96qdubh+3ll(-+@Xt zDe6i5-N36P8RUlWaz|3Mn4d6Z zBWY&W2Lmbud>4HL-=bESV~qTq1&r}s@?-+Ign-Rjz&^@xdWMo__A}K!0XXBuEK^1Q0VZ2mndtn?gH4A}||# zU4w%i-CdE?ssUx085Dq|Ued(hK#D;Wh(R{E2lqtO0t5L{}nm27NlGX zh@BjRT_fT>JY9nvgPc7g;{*JILgGFB-26cbz@A_O>zTBtb%`ucL=uR7gWcmpTq8md zzCI3i_Hgxe1nFP^xrzhqgVTmHD?lP5Kn&6X4%`F|D<$$hoACvQ&AUaejS zLko@FWSK&6Yy?nrWm*PL_aNAGmM|lOK(Nme0>R8B+*Y~Pr)Q~XyVQ?eUcdYB-ZpUI znw{#O@G}>m@H5wbPx{X=;rh`*T+L`EUvwC#v=`$mL%ub*-mTd;}>V(|9_JCLdF`w>wE5r&rL~Xezf&* zOO4eJca!GRx0!wt1|5UO0F}%+trrMG4Jcc<-Fksc+y$Kp5$zfs1eSNfWZ=(O6bouV zWkT`l#liWGA)*GzE|cyc(Eb7$9-!KAad6Jh5MzKBipG8qM#M1}#vBj`2Z08PrzM?8 zv&Xcz?0+Kl6wv%kV+Uhn)7X?tm512)<*UcP#=~Z^Fd7*C->^Uv`ry#w>%`-Oez=l6 z3owJ!wExs%J(D?q&6%9!gD-7VuEU?Rda_xsEcjRD|48{*4ae3%{=D?UdpNYD2AxYA zV|8pcFtT}#X`_OF++#oLEQdM%ye)!W8-F~jlYjY_JJv^KAI%?5o#m|@s29x@f-U9| zW;E^yWA+gp>zC%!JM+Bz&eS+$3moV~pibg=aRnSNyBrr;BHEj9*~Qpe3P+eyg%oVe zhlFP1$C{@FF*?l$F?(S6sLhFs42917<_L3cx4z92DSkk`QWXDzE)GskQvZeLrsAWDfpgD$_n!Opk|l$xMAW%I+XYb$ z2pgXAWaK(wq@))GI~<@PV2nBj(PhDcC4ZU+wjx)FwrAP0raZqU*R7gRVZ)4Md|fD2 z%H>TKQxZs10d{36mjeCC=Z631bH)&rV4qIx4IW?y(CutT24-N{dfHKXhhqPs`vHnK z)9Ua2I1q0(PLnv@F6X=KnFF-e@|!I)a)c{YAR8D`1|h5BZoPDhiI1t9@bt$?#6RM+y82jzXHe-3D6^D@qVjfqz`4@^*Zg^GCCpG7UjP6A F|Nm<#GuQwC delta 608 zcmV-m0-yc238)2-Q-2i%000000046V000000006400000005{000000004LaoKr~- z!Y~X>RmAcG9)LLWg!}^`Bzi!-lpf&Rce93>ELKtiRdMAywr3`Z)f!{W3qDm!JrQ$` zmRLDgs=$L_t-$*M9^)O6#gZ@B0)9B|>t?&z*@C0`rBnt4+J8iV5~=+k3wh|r@M3E=Ak7QJ z2#ucpKv^yW11Au3F?0aQjn_P;gGBg&7@-UlZj7u989>1uvoE{=nInLrz#nL%FHi(x u6bpj`kk#*CxgS%dCyGjLaBv&mTAc&ZD-6USOW^?(@8lTl$_M}e0RR61z6FW^ diff --git a/cpld/db/GR8RAM.(11).cnf.hdb b/cpld/db/GR8RAM.(11).cnf.hdb old mode 100644 new mode 100755 index 39cc4def308e69ce865e8dca4c7da4054648d272..70387e8b5b8c24d13b2f21a6596759b421fa1c3d GIT binary patch delta 543 zcmV+)0^t3X1m^^hQ-62@00000003SA00000004CX00000003tK00000004Lalu^qH z!Y~l@tp^1^!n0KH0iFVaR}XqGwZ&4DwukzY{*Q>yI+G@8OF?j9lbOlxCc8<~G_Aq< z@DK1m-bBtDIoesL2Y*5PQJGFE30W$lG4cv z65FEXIRmg$6n`CU$MeRCclZJT0RR7Z0ZfoVN<%>mhSS>W6ADUcMMYfHeZd%s%*&b{EuoeLMefxUp2=mm=4pC@V={v;${m?Rms=!A$~?ryH( zs1LEJ(z(l3v<`vgC;hUGt0VJ%~fkEjiCV!5>Rwf|~wIH+!wJC!=`s}|; z=J$tEGb^K4*ez7oXcu;T`LGmr2+0p7xC@_R?N{+Gie1`<{Tj?);b=XZO!N_&um(lcJu+|E)PMbg57xlBu$0gOB5 delta 460 zcmaFPGL>aQaQ!q!1~3qU(xp&ZlnEkU!I%>e&DUfg;3D$(k=DFM`~Hg!yu23!>>jL{ z=UQ`RCT}!v*%;GsDYi^l`0aqSO{?x6ahuj>^It6e5RsO(u5j7woeLx#$`qcj z)Bo}Daz(;@$yDZJ=^uDe!*79wZXyn$}jgYyPh;#^;F~14jZmG--U-yzCXYj z{ljd{tM6A{u}xRyn;&9SzcJ>v>WQnryH~EY?c4Sj=)nK~D;OUoB&1{{BqT)Kn=zAX zi9}0-#f`iA_m1DcX?Wza{D}`de2kt328@Q>%A?s?A_RlSB#7`7_Poh_5H&e zq|J3iLHbVfA7|!A&PvP^rfz0ZjXZejf7hHy?jSwZBYu4c*9m@s z92Gy#tIPYRz?$H{q4BHFt;@Swcr}zbkL)|>`tGCRIzdH_cy{aR9lxxaN*ZbpFn&9j zd3dI%f}o%RTV`Xspo^)pwMEI1pnIB)As?MXD|azCAHK}{LScf&4OUPj{r?XD;wZsB diff --git a/cpld/db/GR8RAM.(12).cnf.cdb b/cpld/db/GR8RAM.(12).cnf.cdb old mode 100644 new mode 100755 index 2459738083c4b18ce248559b8ba2c209922fe800..a117a7f0749e87fdec34d021efd50a305b40dae5 GIT binary patch delta 849 zcmV-X1Fro01(yeqQ-3xc00000003hG000000006400000005T*00000004La>{&}r z!!Q&~gg^zv1z022B>Z<-a|OhrDqS@KsgyNW`sSFXP^j;GUS zS2f0%k>o5%k}oNLdzNjC)6GGWr1EOjJ*kwRvPUgHq}1*$eSedrbMD)N_U*}?ZR?xP z!?Y4U3&iJv_|riASs?yA5PuPfzYN4*1>&zc{H_?y`Y$65=m|&%dIHjdo`CeACm>De z2}l=u0@8+_fb^j!AdTn=LY$ak%BZQQiQvcJ+I&? z*b@sWz@6h0L@M%o!@L^v@+MiDg^uaLVve;oJIQ#VS${?~FHLd&Vu_+YQ6E&`FZcoX zqcH~Cll3~5Om@$0$N6)mu>(<`s1GU-{eWsr*O%$jI;t>wPnt&C`%)46qYlmk^ZeAH zU#3=i&hnh{%;Jju)hF;@bQsD;U3!;07Bq*|m8&?Eam}vn{zr3dH*RShUhiv~9Jggq zBRXN%S%11k^+`9ejw-OJy{&sc-v9sr|NnRabWpoW13?r$8;wzeDA6)tDho-G1w|w( z*~hTEu-Vn!6%mBd-p*gJw-8h?e_&-JB0=#FtSqdo#Lw{DRU9NZaPFPCbIyI$VW9_L z@Nj-WhX#=ciB41zM|q)DQe^w`feKN>zyhvX9)B&cMC=r?c6SpmRK8A9Uu}54tMkAq zRQX(P+nvsqkWnQj(V|^jY1HUO^iuGDy(lVZ(>|Yuo6^7nVakP(%ACv#O}7(xC*$aG z_(4}rX4pUT$wxWa6y|ulTWt;{vPc-Nm-e@vB64G;GZnB&mF2=J&lnErFZNElHvJ4? zgi2A$C(tFP5!2qjhL;kVB@9jWW>pa~cz^x!DS4zBLr7V%&&YSG@p6f0z4fP2X7q}00000004La>{d-r z0x=Nn#z;_(+&CN0A|luQAI8IG<-|r3z_b6DJAP2#>u#G_sj%CcXb3~5-I>mtw$s-J zt+n1l`%0-#^uMJ@=j5WRRDvHmBMI6M%*Fm4J)LK4AdGp+R)5*U&7-l|FiuJ%{4f%K z8;Ku9;_o8y<4F8{Bz_Wx@8F>GVxG^c11E3lvhVcI5t`-2!Xes#%c*D6=L+3!>Pw&& z)8$LCveZq~w$$N?fijIhqb z(>2I3$bZ=b;aWC^4xqBceS4k(6@q<+P!07TNCNCLP6h=aYn!Re9*~GU5F^w&`}+ns zhIl&pxW)&$x&s~Q8Wapt1qRFv3xM>r@M3FWAk7QJ2#ucpKrRD=1Q2sEbO6bX*F2_! zMEHRip$rsmjI0b9K*1feFT4PmBY>j7A84a5P%Q*v6bpj`kk#*CxgS%dCyGjLaBv&m aTAc&Z3sMcT6dq9VPL9E@i~s-t0RR7eYdEC< diff --git a/cpld/db/GR8RAM.(12).cnf.hdb b/cpld/db/GR8RAM.(12).cnf.hdb old mode 100644 new mode 100755 index 86dae75f54c684f15c4a4b6734d76ad879ff5ccf..4896105c7d298189edb02687c9c1d626ec17a1c5 GIT binary patch delta 413 zcmV;O0b>4#1dRlcTYm@v00000004CX00000000dF00000004LaWKUZTf-n$7iTdR) z2k-!#fvYqHBB7B4*2DY2I@7Y$;3m`V%uZ%o@4e4Z2jGK#i#_xiI-$Nd`Ym3|Ts^fB za|E$&usy?#bz>Cz=5~TGHq+e+NA&J+vx<0yGr4}mwbjrkHZ`xCNHj7Hu#qI?kv)J5 z()dGG1s=ZmPx4@hN2M>#&Jc`~>DskYO5J_|00960cmd*IU}SKU3;`p55Hc{Fk=qgg z5|II7klFy(pkSaXSHF<>;E*6sKlgYbGbj?Ign<)?nHV^Lr1DLn9Uu{y4Zg0y!H({( zXlj`mB!Fu4k|zEJsTBockPYrZ{-FW!A&~*d%2|PutPBD`@~_C5wLpqN3W%K?gIy!y zJv?259D|%aBI5)6gF@n5J^kGLK?=a0U}F#gvL@|mT>>&e5{P|+-Qz=CBSH|q4tDl% z^>qYFL43dg_Q7exnH3-rkamz3co;!cGqQt2M=a%?5=a$4RF$U-Ff=^ffDQtQf?WUr H00960&PlHC delta 407 zcmV;I0cie>1cwBWTYm)s00000004CX00000000L900000004LaTu(s`gD?yOB;dp+ z_5j|nuT%xJsTGqTd;Hi&u{*LNB3X?c%V|OgAw!kGivElO`WHH(z7P5{-uqlVoe@)k zSpTq&;l}#uC%AsBAx!=J^uiInIbIegTFIr#@zu};oBx{(-ltHH3*uQ`a0(l|p z3La04MAb<2bCK|JHdzB0FZ1m_NGsj20RRC1|9Ao7U|?iulMewSe**`QJR`Ry03;#< z#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC^Wc5Hm3d07>PWLOVbrFdKYbgM%I2UD4Ds zGbjMn=p{}34N@x##2_2ogZx7S;zJ?>kd?CnC0W7B|B9Sh3sNox#7>UEt`YGbo~}WT zLCzkL@d5rpA@QDmS#JIy1z=CGf%Qz<)4Bv?f+P_82D`_HxJHB^d>!oU;p*!M(gF4X z2iOOv4QEz>L_~lXqy-*E5Y>$A;Ls6Ed8Y(Y#Sc~G=>iN5PdA{0K%!t500000|Nq7F Br$zt( diff --git a/cpld/db/GR8RAM.(2).cnf.cdb b/cpld/db/GR8RAM.(2).cnf.cdb index 076ba87faec70dec43aa6cf18a0f27234cdd2e8a..1cde712737893b103d71cfa54580f5f33d4d7707 100755 GIT binary patch delta 2129 zcmV-X2(I__7>yB-Q-5VJ00000008g?00000007kj00000004~$00000004La>|0B3 zBSjD%FR$ed2ZVsb?g4S(l4aQi1lfG8IKo|$h~TJcU5)utE#)ICYz>ddVd@FLKH=Rqx`=zhPUbM z`=Y3Uf9DOPf$}fRjpd&x3BHRzNcSW6I@3<4wf2qz=&q(}A!Qg`5(Z?EPvvItLR|)z z>oRz;E`uv|8CO=4M zW#QE({s6|D^bZb)Q_-GAbi2~z9S#eskHcX>{c$)fs6Y;f1vSXwu%HS#92V3ehr@zO zL{aRH52p)>B46b3d;Q7oaC~GLxD~wM>1Szr%qJc2n149Rdioq|;RJl_(ub)s=!!nu zi%@N~KcQX8V0U7Fs2F&f+M{u=FC*QFafCNZ@^{Jil_?Dq8;ve(LuJN2u=?amn?HvUQzB_#e1GKMBivTp-gY3jRChADZWBfph;u z^Z(6*KYs)<1Sln(68SjtcQ`lpEy}bn=VjW~lmjSp$*)?1i zU2vN)O3vUQD{}zzSizB87Ats^%VGuBa#^h4V}CA-6`ajwv4YpRELLzobF83&Dv5M3 zh$|ZRlP6!IIh4iWc)Z_++N|r!Ucb|8AC3mbKjjd<_HYD>8YP@{SGpf2Kw(uy)qIg; zUz<2h#&(Vdz3JXLR9^lFcwPk?*KahhZC`)$4Tg?3nwzieyuMA`qiF7IHQU#=+OO_x zZhtm!Y_Z!VqH>HB#1CQfo&t5$;J6u5$5p@~4j^^NqyVX-Aq7Ys=qNzy7)Ak7hbIb< zI`R-iN{IHs&{8D)TF`UGIwfpc&~wH*CEQuibH+L)OquIRc{Cx&9NdY^*l!ilHxzpw zw1dqeXzcG}v*=g|n?=4t*et3Q!e$Yx5Pvp{Muo6hq{(9Wk|gi8oj6h+bu);fz@yh- zWI~bb15l<7$;|?!v+ul2Y$xg_qgfK$L=%JPeI*eS?uldA3aXQa*xy)YU?IA4D&Slw z*XGTg&7%1$QrcNx=zcK)*n@dV_{H-G4Sq#GW1}23A@+?K&Sc|9{=*l(e!?EQAkjjDn>~G@@v{d~i{L1Z=Qss9D`% zW805$hQ$wNR>FcTzt-DnJ#ra_evH{Mezgz_O3*F~z$o!^Ss3HC4hv%e*I{AI;y7_!8sunt^{Xb6@Dl z1@$-iN``J-P3B;QnZHf!%0{rbXU0AZEYJRmX^a{n=RrL+zoy=E%osSp1 zleJR}f9GR;Rezr82Yjt+7E7|DD+S?NB99`4WwXlr>S+~xxUi9CQybtLbrVCPG|)%~ zh>PXNTkb=!a?WoW=(N;~4&%Gq+h*zo{A8?hOR^;we_Towk|yd#ti9AuK-2^LHksDX zi{x@5R6-owdLL;AbC!Tdk;25!Ve{ibiGVu-0%b0j6H1m8r2?kUp0qOPR}Ov(QiwDx zTpZuO&w#nV{w5}xSi}s`GSJ|?i`~q`^*lyFr5;ems1J(N^XWQ>Iswl4efO>++d}#T H00960rSKB| delta 3137 zcmV-H48HS?5%n05Q-70o00000007Vm00000007kj00000004Ci00000004La>|IH3 z97Pl!hb@4_a$*hSfRG>vB@U3p;?R&dA|e8mmSspzYtpC$@jl7TH(fhr;y~=Q?Yh&dmPjMTV@Zk|t*q zfCCx;5alph4<=Iq4yFP;k_zx>D!^l@0Ebcm4yOVfi37NSR7Psz0i%lbG>IWZ>LNpk zR7Qpnsf`RFQhyy8LZm)2gh+*C2$34e5F%BQAtdYMWU@|9ChO#6q)zHNzH8_BdMB0z zh#Z3jNFGAuS!H_=c~V(`$aBg9M4nO>Ao7f|0Fft@1xP%fx-+SBJRwBtqMj89AyOF` zLZmh_gh+K{2$A~85F!K-p*$ zZnQcXyMIPuXV~4(#Cmi@lZkrTzs2z!P+nZSdw`a0z$aD8GOV%i7?6r2K*81uyR} zWpi_R%Y`qM`SG!0^D;k{3tuYp<736{T z0MLAz-uQRtlIY0BGbprEt9ewzdt|MOauaQMy z4SyJ`eDr@i^plQ{m$&WG|33me=X`nQWga9CA$|Vc(Vsn3oU#VUN6lL)eoXTa&gD?V zbDfPM9^+&F8zrLMefXh(_Q`u=w!hMwqHS*lz6=x8lh$1neL#ipXvXiaa!$f+A0irl81UqbVrz z+-M4lJUE(yB2SK{pva>m8tP_JU#9JFJZcOpMc>_ir6|0Mm7?)3R*K5ISSdR1Vt=J5 zy^EEi^)6P5+Phc{>OEYVn$mmtHZ_Lj>iuU}e<SyOANJA|X=lqKUdDpxbaR zzOXR2c5W9X4!=%vbm!QKQ`1M!oPT)s8Tc+An?C-;*^_4=gL0fbJ>5Qfy8YzYf}#B~Mrzcm3StWcV$RKD;Cr3DrcN=M9qP`X$KgwlC3Ae3&A z0ikqw^a}MGNe}pjLN92DHwgnZhm5m3Gi03InIYrs&I}o6cV@^qyEC3~Vt)cqUiqa_ zK!uf?FiklsyaQ7d2bxJG11Ny{u2fPGl1dIjQb|HcDp?3gB@H2|PSP)VeupqkUhrtSA2v!I^u z`M78rD;W0!q&>%kvP3B8S%Xj-wXZkha=b+UsZ)jSnA)2DlfmZ7gny#z>l>$DL9<+? zJe3LNe;?qdCXVO^o&&ul@kAf=AcWBWqmP3q?=Moy&E-pURCY2y6-r7OnV%9Jm7THT zhdnL-y=I1B2URao5s!;1;5iG$3unY3>VuVza zo6gU7`S?~@w>g{6e}7&5w_U4xoN$>+7hkjEJBqzm_x$~o;d|OIW#N2Bq)fyOLoPp@ zBJi^-^BE**EA*%C`I7ZNc(_{N=7$>d2_Y5genSyPElKos_DJQ?pHb##*tK8CewP|! z_U_o{`|e}p{>#JGBoFt<`C0%=-Lk$8w^1(gHY)=E4boFRFMl?@#h|qB#a>Exmey9! zEzGY?$p^yi-b-ukrOsM!dG=y^ets3jTdjvFj_QIjZspK_T7ZR1h|etqjv3S|@N01lgnAB;0-@XmQXrI1K?;O&JV=31-U%rX z%7q~XLVcqv1wwtJs}2M`Fez!L{)Ai-MudJ=`Vpa^6^sZCtzbl`XayreM=KZ+N?O5) z(9#MgbM=HF&LqhH0f7}S_al~D?%!;@F)~Kimc%byS--OfVoi3`kIbSmIzv2ONm%f&e!>1g@gHFh;yg^mBJiJLY zK5mL>OgOpGzt_WMNhu&7H@WHG@Ch0I1s@)v>O$xp`hYEoM45d`crR_u&CI^ASG@N! zVSjw+dz7e({ZT&`Fmn5|ot2e~{(Gr)+BZfNDw)^F$~M#}WsDsn7d1_tyKLDdPmqj7 z&8dle%9WNDTMlhaU<+Inb3#GK7ssq{^1ZtNqG6*f;)Vea08n1lfu5AYdn6ayqjDjK zPGalU!N_orms`9BuOOibmrbhG`bD9stbd{X2mhZE4socK+00960cmZ@$zb^z)5T127zpW!7euP3qP~0WNi661M_uOu>x6AHc zkVrN}z}{}^lUzUx5FV!+!ciPe538-E zEy$Ly3-1sHorUV3{&*wktbuy)cRA8oU{5y?YBf7Et9XWL3f~cF6e%2+Re!-3p;Pd| z{Mxt)t_Q+E!^Vl21wJaPy-*M~3m2-aE!c9vx)W!k#dmBt0K$whG{;c9CnLk5e zc{TOJe!YF2fv6oQ4YHlTgM5IZigsapb033U;KtGzRf^IPoa>)YYAd*@NT6nCW`rX( z(iYqoFZv;l+8Kv_B2Rz{v{cGzPh2^`EdtB2*CL9sk-ce~=it^C>xoC;h{SK*`Np1j z6YOvRb)@yqH{a~c?#_6#EXx`s+cf@?{=dfp<$a;NRRjClq<`^D{6~(b;>?JT{Jv{k zSCsBNS-f7fM3d|LPCDp<^1|5be}c^mlibkP(IOcVoen|+%8LhPndbP1PJ%H037sN2 zQS9rLf@jc#6Ff!;Tj(-EY|5*XEmFcb?mL9qxpJXh-x)+bvr1f^m)EqgCN$|qFEH)G zu74RPbd8R@p??zu>5lEowRhHtFS7k}z zB|QrrW#C2zDEb`g2H8)Jk51cV89Lw3WHs8lTuogsfPcLK%KF%p3t;_5!f|&4RHgmK zX{(sSTIOplb5P6d*D`yx%ok?XQDfit;zYOkD4pE?KqqR_pYfS^h`J{Ogu!sO(SU)$ zE!zulQ&^cfp)a0b1}Ppz0eG}128%);j@(26rOpd~2C|sJnA>kM;irJ8Yl?d(dH&#~ z6=1)V3V+=rqHx*~m=ld=h0yZvD?~yELKJ_C&-(!$A>Q8_DdE*6-buXHyT%Xx0RRC1 z|9AmRkUL5QK@f&(*T;^LvaGU-8YmbF-oSRYx2M6GZn|37i5f%$Z(wTR0W>ogjLZxS zyn%ZGFX075@UQl@G=Eo7^%eCfs6&TD^l)`?4u3;^h)t7@U8$mVa4bhjP#2oVBbshM zd5LU7!g?JTlx||;7;Iw_+|UX_L#Rsy{@u6!vqXM7)S6itJ;UxHJC`f=Pj5biU4^8B z3GT$F*!o#~3CRUo!T&j!KElujbfj2MQe|~ep{&~EU5LpxV<$jfVvz>$ZeOnNw_w+y znmJ91dJ_M)=CabYLW)SHD)I)e2X~hek#Z^dyU{n@>E-LJoxSe}wfsZb5w2m!7)YXd Kf-e970RR8$5ss$- delta 911 zcmV;A191F?2h<0UQ-8V%00000007Mb00000004CX00000007hj00000004La)K*Jx z)G!bR%KIg%a^XaO0IKNn-cwFoIh0$4qO9Ynt2GJAdV&3?T=)sS^+0)anQ!b#oUOel zkie4d`Q{tjS%3JrVScP%7Pcrv zm|P}}n%38;E6OsTFD9UrY1Hfrbjq|&G1K)mQnY%$Fcy?*7WM}9%}3on1)s(TJdJdh} z=k?Cz^LpoR?4r1tVvL(B#-wL+S0OgW-Gmt9E<%iP_aMf&YY=1HEf^$(=yOM02UgLv z0jpg^e{=qU*`|yJzRNEHl%X685F}$jNw$#t+#sX)jfbF+G05FQa6$+0`5xja)h$YH zcWFN;8GpCF`KJK_Bw0E8M%zGv?A;D-27j~!NSW{a?~xGx*PHMD*Zm3hznFg%)Od}+ zOZu-SVO&>9#JEW7Vkl1Xo1--&|LI_{P7?RUoHn3dY5SdyHqoffttEgJSe#j|3acXX zLnA$Vduku7br%oT?u$Y0d@c3oS-y9GNlou8v$3}`zQNBdwaRO411diDHz$7E;JR9g zI-HlgyF1$BwN0m)2u}C1-d$tn^Z3pY;+t1X(!3z*s8S}kb+pbR;{vukdg0C~o66JpZ>B80Ff$O-i>&;Xgqoa(!!j=3$-E zFtx$g)`G{9=@{f-5g;ZDvDKaxf5TA8nC5F&c_G&SLeISCEW2l3cjR z;tba&_X~kSjv5l={OEnfE9rx>L&c;UCMFL4NrB4n>1y_A8_q?5sHxAhraTEYn|%QH z;EF0-%6a!j=I=BWegz3F4!~yJ28BJi>H4!XQrwQbjztt(-4fiB4>uDT)YI}y23~c4 zGkwKe%zbuEm)}>8pG+9=jE$%{Q2`HLX23UY=2tS2^qm#pBi&=I- zV77b)d&iQc0ui43aus8khw%(ckRIPi0zGl}*H`FQRKP=An z_4_!qYG9k4bMXocJ+w)gFy!E_m%9-?FTzv|A`r>H)p@!7+oz7ZnW3l6!|kurYO)mC zDRVERpx{}=fg= zK0K@?P`+s8oi-3mL`CLzJkluC4*v+7_}0grxUmV1BEHl-Ufs(z%Hr;aTq^ZXA5Gf3 zF6#!)o#mOmmCT`pKw7o^4R#Bu#ct}>>Iyl^N~I!Z2fQ4PsLxA7v@3iy(QmdS79y>j9H1XovF=7QjmM?kJ+x_OKyhrdak?bm;+H5)=DWRXIKFT7KEup$1 zo<4Fz|LIh_c=BGH9I^5*wd*c3tweEl+C?y@j>wCP7+#Ka(k-oR%?cfToI1T?(D1+l zW7PMPZ$OeFttbljW`kQ-gXo5zi9Pd^Sx#Y_Dt$eJ>O_?vrLeOhqxD}wS1iw|4;lN) zQ+_(^U1Jw3&3CTxC(;_&Q6YASA!CMsfGhNCT{5q9N3bSuEMQopzf7&p=}JAok@qn+ zm`%@AaJo6Wt9-h&kH**tdE+qsq?Fh+FH~N{2}q5!S^I7zt;8W!ChRb9*ml#C&K^y24q5a%tK=4@;HZC5r?*J<7MbZ-0uD)2 z9APG2=j^bW!we(UKlM7Lowk6N60j)@%|EvC| z*MCjP2Y`lUd$rO}8GUciA}}cZ8l|U5TYg^gH?JQrVni37MkxPv?D{!z4W!nN`RMrd z3av`VP;*VB>ylduJeICqMQH!pof}BT5S%fa3KCa633x6Dm$N&)9wuKz$M)Jdx=@p? zltrJg6qQjblM-VYJKbYD>t*Rh{4ey(y>f5ZBOwXH;{Hx{nF_kdb2ASpjW@S*wbDMT zly?ohK}49c1)==qg%&#nz(lenX)6$M_(C%E1x6}+C_l({%yv?KHZhEfJAhDne6zmY zX<$9LkQ$cxyBf zs2Aa@hxkKAiiJ*bzmIh1|0BWCDuugJl_UG`X zoI$?JBMuLp?(in|VFy~j-V4h052Sf77O7SwV_OS?NIYa*z{;Z*-G?PXK=dGuZ@s|D zqY@%~sQ{D69XAp=%`+mvI!=mInZd>c^_hwh7xKss&D9&z3D5iWvWc|=#`!>T>zFLw z)l#&F%ucV`T`GeTu#qKbTL{D6zt7D9-HaP@6pos#-Z#vn(QMjBgT6h$&Q z%Vng+B=y%!C3|77nf#$08%z7==elf9JF?8s$0{V#KvI_r&FQN01q{nhvknU8WWFhx z-Tw`AMbV)l5%XB$@%4fjeUhsF6;jw;%bQN$8b%Z)bx39VGi=1G^D>Y1OLw-hO$7@m zlp_$I5A#-5tdb76vCJpuI=p+ATeMZy^v!`ki=w3RV})hwF`6D>cdS z##Rq_v4FuKg}%Bk?$hkX>{IB}#3=bnmAih4VTK?gV!&FvO~nL88}>w0I=$&&=xG@1>` zJrd5p>g1CRpGlV=r<@t8PK0JX?v+G7b`J&W$uuS$kFx)9_IzZv4g53M^3P*d9+}*h zKzl%&nPOi?(Zp#^c&{wpy?zZW1}jE3m+c9ITT|-Q4t^Okr%PqDF)D zSBd%N&FC+i$u*PZ`UC3)&3iU0r4TkXLxJg8c0+-n=&L~PVKYaB@pt2QH9s&y4u3B3HqReYyk#myssLJ+E; zL7E9aGZF@?l2QeaPANTz_Bg685mw%pF1J#=?As~Mk*^unK;sm(v#taQ!(CO%u1J%{ zQM`>RSsPGm%km;GHyiuAA`tGeC<$QK{`8v*CvGnwAtE?6G8ZMB9__BO>nZy)Zc6*9 z=3ibkn3x?baJ8nxYCff+L|&xX8(dd-YDw;-AC9}Po4GM*W0LkYEs1!c_3%mJtihg$ zlu@G4kQk_XCF|k!?tm=vVx3@UYcxMo_leftN;{hNR}*Zlr@(9?Ndkcz1OXMIG1~H$ zlDk@n({@&K1}+z^#Ar}_u$!tA>B}@RkW;(2{V)COOsnANw3;xx3~%4Y?MHMmbo0o2 zV@_@>R$6lV!K~&*h*kunJy)@$K>K7@Z?62YCPrk8rpn1pb~QGIFviFx2Q(W(XR|88 zC2{=dUE{YK(IIq|m`&Wk4sdJF$5AIfD{W#nRb!|VA*5k-nu(l8La z{`4)1e{A7tbgo`QvL!p7{^jBJfkX2m+q2E==Zy+EdsC}_sbT?HPh-Q}(dmYiEPZ7j zfubQ7dV@PPB}VkM*?e#8omhFIwXD~#pFR{UKJoaH!3yEz697j|i*Wa1eCj=iI-@t< z=8;}#>o&Mq)$1k&>^`s<`>}`kbRPr`F2$#-%MCm?VVyFV?H3XvpTkr`3|0-=SrZ@! z%sQe%dV7K-DlQ1Rj}^7Hpnq&90F8j+_?HS&D=p+q2sV(Rb8nILWI{8(|j^(5yM=;Uy`glAFLse>`}D9`w^+6N*f zIBzYg^&^nFf9B)L56&7m*@thPfBM;>X2-QfoLit(W)RfC)%|Nt-;DWdJH=wR2#1we zz3AjMpjMqY(e|pGrKyp~YGVSIN+Ij<;i~tDXN{Gr**jkG^>ga>?!ou(UdN0GeiRp= zQ;kmhWd| z-c24&`A&P)*T3!W_)^>>ZK;hWN$%4%JvuztZvYS*l5&8xus{E(qiByB)AY(!4T)vL zF;t0|&Oz8VkO87tI6^3d8jdB3Cu?tP6g!wX!|lc|yI+O(CAAaH`8%Z?SQ8M1bw}jJ zy-?8v)*<6tV)&d_TR$=xdkSXKvj{45=Eil^{of=FlAcIEY@F46jF9CCxZbk5$@@}- z19U4&LuS2;udvUfFkU*rN2RhAyRoLfrTV^qT&T>WnOGN3vjM{CJd6f^8jrYBk7=UG zzwEMLu)jFt^94~;tVPf&*DeD?mz!fvHdU14}RRxO=%$6U4L)ofDBWDJbt zJ%=t10Gr|nkQMB~u;FRCE{rhV8A4q_X_jneER$} zHabPgm*j9Mf=i1m!Ki49h0IuAK+Z^|Q0&bwjh5lG0%zVsHhZhYQjh+6hFZQhC%+%? zM!&>Bs6Eixg zB~IukZG7c7*F^hT%q)TXTV(Ev)TGn*c;`9yy5`|&@{1ueWQm>DE2h_)AG3L|{#UYZ z3`e*HriME&Ss0np)b>Z)&MG35`?*xh1VR)a9{G`*(uvVNFl1op`E=X2ksBaU3gO{y z-50`wRP3;zMmPAILpZ=D&Y+|H7TGFix7<3%!rhVk5>B2T`06sW{ZW1Q6vn@)Z*q>1zI8S zB3E8gP-#3a=A!QW7(^Z#Au_PvNVXgv!L)jJ)L+T$vh>Q{*mweOz0fjO4fA+q2%ZGM zKI0^Xg{5|s$Zvyl>`XcmwTe%$rcE}C+Ww03HI*5ziPSG zE{{hlYo?p)tG!KfL8gxEwj>ry&GpG)CXtJ3r(&bGm2B}cPj1v=D(ARUY&6C)`8KIV{ z9`HMxvx~ty6&%P^mB}?Jsc|3QcVreZ!-JJON2x5n$jR>EUAnjW^(Q>9p`R`08`3Ky z9TTUo-|9I#Baw5Yk1pSA7{p0UwMRSjNCK{Qe znwA_j%8JvC7P0QRu5JSi@cElrFCtfyIr6ty7u%Si*w75s^aRMBZ9hy(iye+H&dEH(9FWqCt#=u(6_11mjb7B+@5ZL z#C!~hl=;0DMK1fnOAmxn0^xm&9T~8L6NTJ({Ob;k3(*K2F4SZle;|B}k9ly3-;BWG zAun+F77~0YYsMBN5*7?SS2)FuLtlsW_6_%|h65VNfxHMSVpdq2fP9S_UDme4S6W=d z(q%iAl9sb61dy~+Lwuo*!k_gF;#=+3V}=*kFQjOewVK}86?~GIma=G?eyZHmJa;6! zn@P9Z=$Hcua?_b8Z_KCYR3pc(l#XLZf@ts6;*F@0_B2zsqXj zT!iy4I$R+|%{b+n9CtB5GyXAxYkgZv@CeG|gCXxQd8PS0F?lG_tCfLKYa>IG4Q5~7 zRTI&*WZvCvoi6Ow^603ph*$L_w}BoKcOq{<@7OaF2dg%#I+`$`&uIXcN7eLt4<$Tz z#`ek5hii?XXui(!+9>p@HBHd1w764R1CwLHt0G1fLwvrS79H0~+`d#U%k){@pfE=; zw5K4WfbB<9;X}n0WF+BZ7Vi1BN-h$WsY9DVnO~8S#byy2?k>UROz$)9YQ)DHxBR*s z>ppiy%Y?`=X{gl z(oj-be#q*!)gezCF7Z#OSkBMIOW(wn&(n832Jq@=w&(H9)*yiDp)x`|mGWuf-7C^@ zrY9GB);!qnRm{O_73!h29@G@6`r0pj{nZ(?=y0tR>U@Eh5w}e-NKlIH8qWApCG*0z zM8OfZMxAHB!0C+b6b#R9Yksq)|F5O)W$vfkt(miEl@~4%9S~f8)KX}xnC;qJA#Wgl zG$Kk%^Sid*qF6W*WN&wC811?>9PH+WMIEHXQ>-o-Iw#Z->#L{3C3*yS-yq4xCn!D3 z`45Gpg^u74jJ)wIo-|JF69P6d8nZCom1G~G#3(xQsFMEFs-}bH59rWruXC;70jZVa z%;Zt-N^)MyFDMp{T;mD$j)2bcpPh;8<-^+g#7(bkz;9&XA?v`Tl2Og#^MwuJ&lnPq_s(p&0;q#qpvtlc!s;QIE&W+XT}h5Y zcd<|>ohqRc3c$YVDjH2f%>go;WC!EUxk(80T5WK$!DceW+Fg~0%98#)!RVHf z^T+U|kB(F=ZJt;I+(xkhewotSmP>SvQ}n897ywMWCyOk?!{X$+m*Itjq zevYm$v{9MAiEmf>K*wEgpCqa}iDm|^!+Rwt`^eMnZuOT|VRHQrLJQKP z{Flqgz<>a5i4HM;RNyB@Cy~|&=+mn(oJWa zA8bjmvo{F zcMl8%&>lGpu%D?-bU(rJ+S%#|kDwmfEho&8=*uz)Q))ZJh!cm6j0RQnS*Zt6)rhRH zPi8sNvjev#HoHIV&c@#rhV!T}$y=V1c3?KapDtK&dUDDqgnj3FrfS+g zwVQ4cJ@2GJKm41wSj4N}c||vq(Nw}@xVLBaEDe;kX~=f4Is|4W+59}~AqGGW4cVxN zdoy$aZ&nxHU?dUgA{IaUQ@?$6-oB6Nv;hxoqn`V3o=y=9?oh3(-M@Dx&^I|?z%QRX zUx~mp@oTsbz% zdWkNe$jOQEHm5*|??0S0v=3W&m$=iDMc?94Dqt*2xrh%HG{Ac)S4L?VapsJ8TdpTP zcF!1wKs-J6_Xc(Y?f3E;bopTmU$YX*I;|C5-6~zfqbsyDMR%Xs)v4-~Y(HJc%zIj+Ky;7RKvVa6Tej|}PoW2DZb^UI6go1msvLXr(V`i{+IhGcg7y?sx1ql^5jJ4?5Nw>+j|#N>3w=S&0YoOe66HiDHED3qVs=4Ok| zzBjB=(~9or5S;wQ-qY~I9o>8*lKdJTYQeBI2{!O!^=&1*2U9P1ia?~!%1BB%J-!|P zRBcr%uE~U#s>bdlgCe3lT_5_ie67Lgd_z$An512qUDtX+Y#Q3`qP5&u>~iXZ!tNR& za=Y(*sPz1s1mNL|(p2Lc)A;q}0@_5*vRe7y)Bee9X(78$o}TwZR4^9sa7zD6wfNZ1 zrNVpt44t1TU~ObT-;>BSquY`+!w~|EOLH>$N{31VH{P*#sNdPdA@A24x^}OcUza!; zH+dmhV4x5Ay9_ZVh#kj6fdg}2_0^*Q$b_gDv;AahR7{1u@Kb6ahZ+k=8Y=;kzFDE= ztdPeBBrioXV*$p0)OTzG5=kBWjz6|I*G?_!x0A!7hqB1+mk&$YIYqG;S-%nW#;@;V zszq(DuJ)|qaZu>qFa8g;!ih$gQ@fTSFrTu38zOApa@*JW$Kp3;50c0>p&OvT}U9?_JQXS8K-gcu0!Z0VLgfvX~>oV7IE`5Hkr}co?D&)K1x`z ztA~l`6Y`|ugvj*;N$ggpzsdU zAFeRdORi!0vOq);SpGeyo#$w5q6sSBvgzO$V_=AI|{W}5(L+uFzJ%=feWMK19|6Yy$ki;vE#a3w(e9=ZR9+oFu zvLtQ!4Wy*Ia${kzBrik*ky}lazUwBG|7mCQrsh0kz~{xm#}mWH66p7U*EdX5EoG(5 zK+NS&3Fl)``$ew)Mk4Q-BsV&&BaoHB#w8aGd9Cp*{sd>tT2U}Qh?hR% zZ>-6Adz>aK;~P5d)7iX!?84*vOYUQ}N0Qh47J|t&YI7oTvtvlbI5Kuj1|1JQo(A3`{ShF*!#7x9YTm@pqv zKtC^x(rpTQ{+s0R0ma!jzqf!0C38Ub(lk87|vPG7XT06Ra;ccdf?+k*3 zMTkiTS(vdKja^4^6u&mdy3sdimCZVLqa#y2+at8OzTdCBlC=&^Gjf6rh6_iJe-A1$ zq}G|m1g{p;T+`-vn$uGn#yc`eaOB`a6ganda_ep__=PKRU^21wRZFNI*((t}8K6P8 z=;TmC9f`<{U3N-m=)f19$tXTjW%iZP7Q-SV7!f|1AbFwauNUn1gCMpH0YG3+lr2fDSgHZsYBXcIIvlt8y4Huk zU3yCu8J>BrMyYZ{7a+8CPKIrIh7SkxVBoU-y-RwQ(1R4kAGPIXv_&>+Iu+aMB-6s- z_RBv79>VeEU+O?I2PVpfqXjxIh_624Mf+=7IR<)RSz>?v zH9eQgGiEjh3~{f}3fwRw^nw0wTFg8Q(cjyyR55;LWqAmnDr>YVgON$tA3eHP{{Zh+fX)oIEK zISfV{EJ(XX!4H9?2>_&+!8?vsX3Z{3#*K7T|tLPyVgpEWT=?Rn5+LslxP zsA%_ad^=F<`&?xo@MLii(~=21dBm$15=J9d3tlN^tYip*PzZdRix$4qleR+gfZ)YA z<#n8_-qTS6>_&fcACsZp&sdm4g?6IpAHsj+G)*+wO88gHZM#vGtR@!n-0~fBpUzIt z&Z8w$Rh6Y**pgpEv}EbW-GlKvW_rqFmB7+j3MO6Ji?%Tj+rFfd%c;_Q=|3A4V%93B z<}1~0Y#o#p52VLKCT5fe_|(k_k)>(hC}&0z^FN5jDaUpENJaYvd)zJ^shaycffYo) zIq%^IY zi#T09du!e%z)75!AY(fxHM8$|k(R9%C*(lej>eD&mxit1OE|r#ugn)O`(fAB?gCpBs=*rO#R`oS4{^LCF3I5JxnYamjT#K^P>RDw3?156eY!UavJkAFVn0S z@RP<19CuUQ*?Q>1dReTLo=Ja@LgLN|1v< zvRvL3-`>l+Vt-1$+7TuZaY86tm&vaOY=bF3xPR~AR<_A>Aoik`c*c!A5hu)WQcQX= zUvHGinK5^CAlwyjarj|ZM0BYo;s%M}1O&b;yfImtm%>OmzN5A3?}sJ_cTk542MWY< zGq_lybiFak#%D!0MP)iOimiq`jQ?YNH{LZxlln_+=|Ci&`Y{q7q59ql)o7|8Qbd>Gly*oH;1H zc=}ZmN8kP&RVSVsRrn4Ujg$#+mH3D&7JmlBPC+kxK#A|JKT@>-;cM#NSb_yT9!$~m zRktHrF@F;5Vr0>3W5H^30lB$=+*&|xH<7HWqc-nATHb-QyaQ=@2h#Emq~#q*@V;1F z?&Xs1NSJ|zmdg&Jn;th|VmyqQ?--eQlKM%Lj@lntp6hHw_3=e1iN0uZqr8dHXjSYh zqr@8{owr8H;>N1HUJ&HZ!y+6XZGrXaSRx1|Sbri2r)Tv=(r{#rceSHXeRDLo2#)^J z^66=1+g}kzE3LV=5C$vAJDJvYQIlc8aYvD)j-CxEtJKAAaW$3FkePR|S@NhWr*2vriG_0>R;69@5^O$fr{+NAH3Feu$HYf7><*35j zf`7cLL7DcLQ6F4;kC%Rn@cAupxc5l&v*yDpfey)CM+n>$QS0CewGCN=gk=^9^-V%X z?<;p#Vd%McuwgwpnkAtP$8w3TAvVj^*s6FyINVhjSQ%ddv2eB#0WS>>C-3^zWM06@ zCk^K@RLn+0p;|YH%5-fq9Q^?R0RR7Z0Zfp;N&`U< z#wRiAb%qc{MJ%)rSf_D4H+u`-?Xt6hoXtYe!Z)zB@By^57p&|oEb<2C1$+r#Kz{;$ zbN&m%gFN z6%)r`E0Yk0S`ZpSZOUK|-+Ql;`Te2P%*yB`_8ip}+J+rW?>>dygyaVk+}NjB`(<3f zRqDbn`txTv+J#OO>q#oC4l3kDold+9G1+S51Zb96qdubh+3ll(-+@XtDe6i5-nCd$Ze*)!o2_z^g8;fDAW_z8}HScmtjUFB|1CI`d; ziIzNF^~#^OOf@UtVa1YKLjz4%5Wxa!ZfOB&#|<^ zkt(Dcper2YjOkD3oQ_c!s7HsP2E5rS%cSN>IH`Ej?5JVbcNZr_Rqm&l;@pGEi`lPC z=zae>s!iBmhT_v+(`;*X`x}RQ;S^XLn=YGURn>IG65X-cuHgr-R~q{i$j)=erY1$D}ZMkOaJ z`J^N!p7?dzd^Ag0Tow??syYpV@=sOEJLN8Ssad%Rl~l*@wNOHy5GwQo)sy04787N> zeMUB0_auKp#GiJE_>2h=pDO+A=iJ2n+$ir`oqt`2`Pt5yotbd~|F*GIbFzKjtG@qt z7yQ4ofNaEwTi7*_-aJ5h^8i_#rxz>O_#IoUfb^mV#9#k@71HR1j~%h7LMmWooxoRo zZxOr;_}CKpZGR3>FwE-R0cO!`9P_0emrzkQc?pGo^#~+S@xu+WB{xwrZOm&RTHh1i zbAPR;$qJq%UIaFo+8Eli3G)H4zB-AT2=fdkeD_3ohuL`fMB#auZ=XZ6Xo5)LHBuna z!7>|Bb4N{tc?H;fi^jZ%ow>uEDx2{1IG8Pts0L$^;o~g>IEL?t9sNTn7Hc(OpDb72 zgkEpMPXF)3W1?+0&}<7#LXAW60?wJTe1B0rC|bnpEFbeH46~3|h%LDR$3C^OOFqI; z)?v0Tkyw~;1+%KoSEi%!ZvX%Q|NnRa;$UE8XkcJqSl*bK3Zxi>fH>IQFW$%B*)hb^ zAIR_w4gpCpFaa?O0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34en% z5Hm3d07>PWLOVbrFdKYbgM%I2U6IsA0cDvP6o8~&(!}3Dia`{JK{mJt`G*F?heQS- zE0+LDvVxWW6*;pOq+AM!og9N*BjPM zi6c-%5{P|+-Qz=CBSH|q4tDl%^*IGeGcbT$#R2xgX~UTnAQ2HD25EtZ5kxg3J2-U2 eQr;{-c5#6S?uxS$Av zpcij~;(5mPn*R_H89j(Hg3DF^P|t$+51!nO?{!k0o=m$;S1SQiL#LBUzn4zEN~UWj z%d+epZ81rbH}rLBn2xpU$s}pvgU(nB+gHq${R?_JN4bGbpnshn^tRgD=8zi>bL}Va zjt;(}gRkn~YdZM44!)s-Z-&FWuI@~je)~>$!WFs`uF#!uh3tTj4z1F|h(2zxC%pnZT*mOi`@P+u3-d*GdVGE+Z>d)DK2)|^d|d|<*_ zd|<{}d|=92e1BlhT6|#A65n<7XA%vQxE8O_-&|jzzric?H+Y5q2CvZH;1&8CyrVxg z6vLV%yUVgmE>hr2>8HNgGWYz3^Qk-DKdSULK~@mYQ}{ybVWihl#9V%86-{Mg~@$$2*Z-;d8T zzQ3+K&l3H63ZZ-9KL>`(v?w;KOha9oWxR)(q3|v98|f9q;Y4xMq=V4Fu+= zLUE9R%1JMN_WevKvm!B};EKeA(kl`Zim*sbD90i(p)iZYgc5Cl$<2e2A`9dPWo863 zfLTGCLVq*0UVHmuA+O>pc|-<0MW)(wb7_E~qh?r9Gpwo^*3=B^YK9Fp!=^BZ(o~Ro zS55Mv?tYmc-;Fo7Rvc*&-*LxF|D5S9XI2BQ7L^*e9Bn(_F~897KCD4BRGc- z`HMQ8#d$V4mj5{AcnRm&j0qlCW8yaqTmZ@qXn%Vl=4@hd@h({KY58K|xwwQ~Y8vB2 z5{Vak%x~ZyVEVqanaXOhO6q`9XFvG>00960cmZ@#Jxjw-6uqg|wrWtTW5I&rpdDJM zh_p)c($^%ACN)VBMR;|0b8vNVcMw#t|G>#bM2g}+(8a;YN$S7wyeNHCF>vn5yZ79$ z_kVQKs76HH`?Ea|Wr5K0gvTS-2_wP1DA=?SE>nCq46k(wcs=Wke2hxd^z0A$br=Rs4-m z2YkMLSXlt;fJ$_H9EmDGidwLX$NiNIcnVN5)Mwfl$@@S0d|_$u%#eV!8x0<4ljOpE z^J-s!e$|k7uO-Pw;O^?VUk1yCR1+UlH{tmnXEc6@)7tuD6`o{-i7#6S?t`alr` zK|OdA6wkiB=08M4Ru7`A;Nz-)=-rE-Ab9d^teT`Jv)NhKogO7%dYPT1GgX;%b+Xgh zEX%S_w523TKG4^tVLH|xCX%Fu&t&0gp?}9**}tKudz4==41Y~7jyt=nduEqk9Ol|j z;A=Ygx(>dfgKz5KTRQl*4!#o(Z@aoPVY+RQ?u09JCtRUB;R@XeSLjZ-LU+Oyx)UC# z`xrECpPpEyhY@|;V9z@Rc({z=iH|x5K_}*e?BeX|Ql3(+#sKGxOyowLIu7S}A&t%1P2 zDijA9sGRiTXWz|)GAj}j3a&^@D7_*vp$Ln_gmNqr6AH6POeoQMnA|)VDY8IbC^I9N z0n7^86n~nj^;Y+;7xOBvl1F5~U1X{~HHOUvpCKs`|=;B>@VXOn=!!yYfSuxfeS#n0Do;S#GFkmE?xx-J}qA?JQtU+8%<-J zNFwoKkNNfd15DqyHd9$GR!JRj>TD-p00030|9Am(Q9Vn;P!zpst!>q!R!6~t;-C&K zRIId0^ODyl&?cIs_<`_nb8vHT?cmT&LC}H@?oKWuQWXDzE)MVjG~ibq;qahl`+?w2;O)u)MSvs~qD1YCp-ImIuoctM=;>l6#N2u z6l4+54kiC7=-m^Jy~Fr$2#S)B<{u7aDjD&wv77ff&Sh0McLvCWjfS9xQ{L zk{slKLflYU2P-HIrl`z$jO6N6U0RR91|NnRa;$UE8 zaFdGxBYzMwFr1Ow5&#mB0b-Ea0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+` zO`#ni5tt3WuED{M?yg8`C4jQb3?Nc3Y2t5?T2UYd+29`J9~uxJ5*dK39Aq>rg8-2H zD{^KnkYbPmVkgI7*NAuzPuC#FAZL%r_yGT)kY0FCKR17n0cN zv2U<@e28mA2*TIF&K|D5jzB2}uzNVbJ~(YSvjQXn(hkxB4i2k3QM3G&p{rY_Z`#hz}pp@ey4g z(en{+KH|kkyb8hjVD#)<#)#7}m^&ku$tQCIaf&7)bR*90i@`DO7t%(Nx*nd=TGT%q zKd7~VFt>shATmagU%eFXvo-iYtWhxtTDjL(wcvRo%ZD`$!Yut-$8ofLUd`eY00030 z|9Ao7U|?iulY{{ye**`QJR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC^Wc z5Hm3d07>PWLOVbrFdKYbgM%I2U6IsE0A-mO6o8~&(!}3Dia`{JK{mJt`G*F?heQS- zD+d|P3ReDCI^9Lyadx8zDXVRY5 zB_L->0QO(E>4jr+S ccS;~t{7_Y%F2K<6bOSmFBnox`00030|8M*2zyJUM diff --git a/cpld/db/GR8RAM.(5).cnf.cdb b/cpld/db/GR8RAM.(5).cnf.cdb index 379788cb1e8b92a5dff6d7488bce60d36bdc24d8..f8a3981325300856311240e062808b06663c0b51 100755 GIT binary patch delta 1050 zcmV+#1m*kf2<8ZoTYm=w00000002e;00000003qL00000004La>{-c9!!Qs{S;QtJ zB)D)x0&!;Nn*Trup~3-yio|k-KjhAZf8fMUc+bf=Zt525F(?w5%5^gFdvWGXQcs#? zS@wyxoFvHy`nohs$J)$PlCsa1Ly(Z>z;qEmo}%NU;cxN{hEVm`<&&#$lKDb-3ohssuq4@_5!56oAK4@_8# z56oDL4@_B$4}Z*Aiw{g%;@gh?Orl{D*WwlWoAWF5H+Y5q2CvZH;1&8Cyh4A2cl4)* zVpx-84_S80MGAZ={nR&G<(A)YJaxzWN0r`Yd>IShRudmzR7&4p#HTzPazDQpTvyjN z=hNl*W~UiHI6zH&{&##PSMuxU?~pGN{qm#n=h3QB9DgtsvwleBWrwRL=h^swKR&Pc z{krqqOZ5A4rEdP0KJ%=*f3ZmR=VJZ9o8b{UuwSUG8D`;QU476wKRRY{%_7|z2+XTO zagc$^NiTl(-ApL6A~B)hio}G{D-simut-cO$09MIFpI>560L{H&4ZC53*?0|GlChw zte{P!nSWYuZU1H|ui`3sLP zn&d;>{W3p38}IXLN$Ha;l;hQ&*H>I)T0Q35Z^rwgQu>a z2X#7+<7~1o|8dIx3XZWE6FjiS#BUh50F(>R_J2am*~H@FRj}aG^2Ne)aS6NCG{%V} z5-;|cU(Y|l^bNGR%4)Gn>VQ*cJNW_t0RR7Z0d!G4OT$nUy{Xo=YEY|V!GhwT9a^Y} zv`X{R*Cdc8HAxXgcy)JkaCLBZ5LB@Lz{y2KisC=e#lgu*>c8;3D1B5haPG;w_uQ}d zbbr#QMnv8Fvpo=Hfza}V$0OGXBf-5W*pUHB02%6PM3;FJmS_psid@0ko@w*C@ccTj znsp{Z%ZPY{-i7#6S?t`alr` zK|#C;E*?Dj_L~0?5m`NmvVxDR{-Jj-{(~pq#;QqrGMk-McY2h7>18rWXR0#k>SU*P zvn);zY_@)lNrGszl;5*^)j;lKprq{mHop6Qjge!C>T%kMR3f&1;=uWsocftd8 zAA`o7(-W)oFrtqe?0L5U50^2#@KN_5=)!!FU7THA%3G?Hd<>PX79W_d79W_e79W_f z79W_g79W_h7Jna@vlbtiw8VED{h36=B(B9P^f%X6=x^`}{S98Bzric?H+Y5q2Jh%k z4aKk~$?mi4hKm&VQu?WHw#+@h;e6_j_m3*Q&G<4FzP%nH7l%1y>{{lwOgTP=rNdLOB+R358iCCX{G>Ol}^G6j>lYC^I9N z0n7^86n~nj^;Y+;7xOBvl1F5~Q)H?=H<$Vt*3=B^YK9Fp!={>HOU`vpO!Bco{LM^jixb9 zB$0Tr$Nc*K0jBRuo2jf8tE3J%b@r1_00030|9Am(Q9Vn;P!zpst!>q!R!6~t;-C&K zRIId0^ODyl&?cIs_<`_nb8vHT?cmT&LC}H@?oKWuQWXDzE)MVjG~ibq;qahl`+?w2;O)u)MSvs~qD1YCp-ImIuoctM=;>l6#N2u z6l4+54kiC7=-m^Jy~Fr$2#S)B<{u7aDjD&wv77ff&Sh0McLvCWjfS9xQ{L zk{slKLflYU2P-HIrl`z$jO6N6U0RR91|NnRa;$UE8 zaFdGxBYzMwFr1Ow5&#mB0b-Ea0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+` zO`#ni5tt3WuED{M?yg8`C4jQb3?Nc3Y2t5?T2UYd+29`J9~uxJ5*dK39Aq>rg8-2H zD{^KnkYbPmVkgI7*NAuzPuC#FAZL%r_yGT)kY0FCKR17n0cN zv2U<@e28mA2*TIF&K|D5jzB2}uzNVbJ~(YSvjQXn(hkxB4i2k3QM3G&p{rY_Z`#hz}pp@ey4g z(en{+KH|kkyb8hjVD#)<#)#7}m^&ku$tQCIaf&7)bR*90i@`DO7t%(Nx*nd=TGT%q zKd7~VFt>shATmagU%eFXvo-iYtWhxtTDjL(wcvRo%ZD`$!Yut-$8ofLUd`eY00030 z|9Ao7U|?iulY{{ye**`QJR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC^Wc z5Hm3d07>PWLOVbrFdKYbgM%I2U6IsE0A-mO6o8~&(!}3Dia`{JK{mJt`G*F?heQS- zD+d|P3ReDCI^9Lyadx8zDXVRY5 zB_L->0QO(E>4jr+S ccS;~t{7_Y%F2K<6bOSmFBnox`00030|8M*2zyJUM diff --git a/cpld/db/GR8RAM.(6).cnf.cdb b/cpld/db/GR8RAM.(6).cnf.cdb index b9f15f1d73a93c637b30cfb722e072be1f2bfaf7..55876ea89cd22362a6893c3b50758d40e07d7fc6 100755 GIT binary patch delta 608 zcmV-m0-yc8FQ^5OQ-2i%000000049W000000006400000005{000000004LaoKwpR z!Y~j_QMCStc=i+W8G?vl57JBRLC=1xpW!pkSz2R^c_AlCsVCN4 zq9w7KDOKQCdZfVmfR5`OE8!9U5TL5vep@%|<;DU|>bHXQ7=N^h0WH$_^#o&3tGW@v z5G`+59Ztva@P#si&YnV#+r#0n^wEThYv6E{alh`h{s+R>*6Rk z%pxkUZZw*o3V$=y!7tRIZ}Fh#Tp59E9e8OT&Xfi=0gG|E#{4el4FCZD|9Am(P`gS4 zK@>e3O=1k9M9YAwEF?t&iii)gk70LVv#Yx+A_$|soxfmj5m3SWft8Jj1jRqFvaqre zKf`lZaggA^xp(HyIrmkEg&u(6!{Cq(4I=Yn9jiPFvwvKxI8P7c10|x0p#@yETv}j> z*v%vD?8R=NJRK*V+H^ffXTF`Q;INr?WZ9ZdgI zD8Wvyc@m$N)gWGi0~z1EM%xk^%iptu3R7^b=A`2!7mYM^rd(F+*oEIk+*6d;3#awD zSc|2OWR2`(u8t@OxgAATS0khi4dEZG+o^WGl~)SoT)Dq{o|@KGZu1tfH^$*-mfI8| zf1i54#U1(n6|JumufO(kcXvMkS=n@%30>=A8r`*KK9Bv|A1wBQ$Iq8+?pMj=v~4ov z!_Ag37yWB-*8;I1Y$7Nh3*22Uku;7S*9*4A4PRa^w1Rc+KRa$<4`+)bnEJ0$k08JG zinm~DC_6W6DBlBeLg5Y^3Edh@q{mqvcDqR)HMKrJWq+GJe#7okc~AU~^z0oLQa0zP zxbXPKOpgMTU9I0$ann`xR4R+xtmsQw0JEj>)y9<{^Wlxn(tJCYKDbgcL5#54&3Xya zSE264b(tV65h&HKY$$%-Bx2J6?e`zg?B_EvSn>EgAH)tsW#R^JD3m=aQ}O2we7u36 z|3C~`|F7H%)3+bj?mqQCom!ba!zdKJYSMPwIm~1+Q&f`Ztr&E8n{;|HO4-PfpGh#c zE+MhwANZDJ)uHiF;_>ZF`aSIL*%enN-#zY~*A_fy9DJYRcaOc=RJd1v{Y<5MgrGk| zh63T?K^qM(Z~48y_Yz!D0TcxtTog<3=*J|E(haU=T+GP>0m=u`m!9w3FiLA40yhpE zNU+4$7ZZ8wHcv0@V2g7pEKRH~)6UH*>aku%&yMT#EqcMj$>?n;aCUCr+9$d_a%G5} z;=x#Wdm@2vwzbiebvr#dcOPNOF`Lk;Z>&6jNU$qj7Vu; zsl{l^9r+md?+94l+eCDv+j%;5H(pceqGS4R$4lhb_+#k$lRNCzFx|t%ab@tkh1<$r zjqiTq@#zOa*(#C|_(=u~{^}DJZ>N5ZZ+(ErTO{KF(QbS<3E$#>-GliE6RO;h5LEbxBayH7xnM-lUNp?%$0OQg6sVeohsT02U*tjaA40X zSPbat9jr#h+CzJ9UF#nLh)2g==ggS(`c-c%3+X|zRc)<78}rU)wUrBdfz`_PMUJ;Z zLB%0PgS7dYBLB+t&#@#hsJW{H#Nz%12_79D7D}c3B(>i646Gpr2X%V7G1|r*vw=0Ka?QxCMMe8z*R^kp zpIm;>bZSRfY(;qF(oAP?Yr(W=j!rUR7eurjS460a67H|VBOBU)!YU}1$7`%8zDwG4 z%|d<6P1tVTZ8WXLI{9KjAZDW7vAWOV5B3c9biu>tkBf;*;4Y#`|x<;%N44OlZOioS|ZG*^vF}(?aS+txc*`6f$EZ+*;2ntdZ?) zwH+4Jxq6JNKfpYd=E_}un5lu8#rKN~0Y3FM3ql_GPasXxk^;)IqdbiKofB^!oZUO~ z*q zA48JNy`LePK%+3h{roLq!n^Qbg3~Kj?AdqP;1#pDo?CALp=IYyeG=RnI`PuGVdQF#}shidptoY%O>287#h0fE;o+Rr)%qKJkHc zr=9kLXuz;mf91}zd#-l|*z=R3gIM)U`KDX5epF1C_EDP}p>Yn=a;3zk`5}rz?@}Xd zHp`5pRoNxWA36-|wc~oyz@cmAq6{MA5hKku+EKudy^Ie8j1JIf3u7-b(v^<#jh8PP z%jF&#RypZ(8C7Hv3D1;o#A)AHJ}JhuBpIg{H3(NY`Xd+X?5y`-Y{9Q>IF}j@zQ?TB zRsDDY@qIgC`{c%wy|K(*SUSWb*h5Xc&e%$7_tK5j7aLz>HCvjb{l5VI@1)Xc@tfk} z3mJihZ_dpnS>D1{EAQpT+n0~v|9`yB#bE@A*Oe{ZB)E*UAg{%s>4r)%?j&-I))V6f zQ*sLhy^OB8-Cz79k^lbUwB|S9-`Y_0?%8UjiGPRrh(%Ia*~|~@csD<|tPfs=_ye&O zsf2Sm3I(Zix#E{W#>DTTeW-C6>8J=dV>Q1xed9>Z2*Th`HnM%I7VIEKg}~BExv^`i zo={g@5I0HaiL#t@nCd&T}?%HN-|Mtq48t?(Wt zAqSK{i$fDpy{mJ&n_NqjtB5-=@bSg%{-!EMRD?LcnJgNc2Z`+w4E#OaEE!Eo5B7S;6P# zW`+}QD+Q#d+;cjD{Py_QdxYLYFD@fKPG-MwGmbC_U%-(Yp8lvNSLmELeD4!*#Yc5- z^|{am^a0V9#;Yb${{zmO6N)E24DY-X8;o%F*;YpOkX@WHv!f zPF9Rv{;?e!gAe>47lYgtOTIGetPpXpl3Q3-M#870qsL|Se6Fm^&GPOw_2WA){n%vM(9 zBn)(VIshBW`_j1*CC<3uVeFJexIUDdk&S;07pVGlm+Qppg`fzrg4{MUC2~+K4SOC&J5E~N=g=ox5CMWfjPPwmE z^5dFREno%8LkP6&Nd`kPpS@k^S#`jj^+uRH1r>vxcHIcUPMVj!GJ?5;-A3X5d=AUW zk${61u8oO9mGxZmr7Zn+tNzAsFeaoComA%F%p0`%xl@MAxhLE;X7WI#cE60D3Kczt zzF(CAj6IjvK2E6^PZF1|8BH16J7ecv6MN9cUL?1>o9+BAszaH}`2@QExo5QS!$1)e zEA(?cpY>*5F{WE;mx`4AktZ@~%lR0&Ac~~O=~v_B(AY_D=;C2nnL!IqGB3}dDSrA{ zb?#-)p+_2TU^r_I9a~sijrHn^I~HpUVgzV9MbgxWrAaP}dj2BsX0X%k?s>BtUld(Z zuHFk@a@$0Yk}~>d!t$nNWw6O!0Zq9!PYu2bURKc z!!kVT<=ua?4u(Ojft>`jn$=F9QZpB%#U-5hZ^}ROg(~^|S(vt}iP!B>Az`jQcVGw- zXybq`GE%BWh}%`!_5CSM%0k;+bXJ>)VCLKvc2WuQH;2yRE6&OuiQZGM$TY#3rTjq_ zQ>TH;njVk+4o+mtirkTuJsWm@a{e+r1{93ZNJ;8l{YE={x7U}fi$o^yICkCmCgYv) zPkfB4i_%5P2g)Njza&bEx37}(0s0mrw}CZ_t8yokHfTNzpyXb(b2rg6b^F}`-@Zkl z=GtMX^NcW**?u-NKJoiwL0JkJ4hzCX z=*Y9frD<-(qMJ0~S01T7@}RsMEP$n!4Vu)1$GuOi7q-2;+_ec$4hYxj2;~0e@Xz3@&H)6|i5c2RgF`d`lTtv>xJbT7(P;xH7LFlI#f+%w5#uVT3w4 z+1CdF&!8G=BDrh2Oi6&6o7mB3vpLie=uMo~(_~JxbT0eX>G8tc#1#K|#>fwP5<+C5 zQRuxV)L)%lO=EsvLLXqaEdsie!80MDJlw&JWPZ^GHfOm1f-gmzd$ysF_+i(pSO{Qyli*zoO+&r%v?{k)L~1> zAo=T%$+BE|Y|KS%GnLx62ft3%EJ;UMclyIJCC?UJ`jK?(s~p{@7g+09%!vC?Z8b?$ zVy90uplugD8Imt8K}qk0Jc~GE7!|M8G#?4~N0R^hXFd*YDOZETq=vfRt?2oXuqRNu z44^L4a`+QH($wuubT_Jb{h@W78huaVQXo)#h~3& zPO8AvKx1|AoS%a4`nMCPIpERCTyLGWv#e57K8zyF!`RR*qjb>{HAzh|>0x{~v@Iyr z81duf!t z+Uz{y802BPz2)@&K=ShuY9KCpf7{6(q3I2|Y1Ot3*EeksbVXw!hcZDaaYHMB*;>GS zV;!nOWJ>})PS-Vn=r!q=0YscdFW!A?YPbd~4nWOjtPc>Qa$EaBrh?gkQ8WFu_+^pK ze7tizVXb?N7>p*>`ct9CzB}RF1<8an{#(q(=a74G)1wx{W$tM&RSr_E33AFp8lyV( zxRh@8RRy=*I&j3sgSHDu@xi>$hpyeo zDXR7)5hBrva0(SxK|8AjuFXTzj26P7?cR}26~(~0+er;WQuY*uNwYGlB-2#NxlaDW z7}ub>F20PU^38X_{J4Se`GbxfPF&Q<$%Xa!$?P&Bb#DXbSf>aM2a>twut-#c=?egh zJQZWTszmGwJ2Zywxw#R2iXp1t%5Vu5EW{1j2Mdz6y!opYz-%5y^OsLkjYj1sS*w5y z^Zh<@o%?z=JoJHI1Ojx8izbChL1oYf(;}S75(I(7szF;6t>wsV6e2acfj-dN78?yphcsAZp5eRQ_7p!jOv_Lg}T%Nvm3=s=>A^LlqFwc>0ut+$({MFfQZ4 z%W}EB^4~x8DP7dGy>WyL(wKER=`C`o7i>b7RXD=nb-oIiM<_lriS~C^FS7%5>CLTW zwbH5toW3ebXs=8*>XGfVMk+7$QTcR-nb;A{as$N@RkEirP^N^`pYcEyRTAAg24N-P z#MI!2;p4^r)lMnJvAfG&CZzuUq!c7BQCax#SZa*4Nbre94UL{te0OZghi8&P zb}ki_xrI>Hed)B-q+!d4tGUBWM!|Luo%<-=w9!;)`&wx4wAD&+BE^blbDMB|rptrr zS8?k@c!pu+(j4!?eZ5S1!V7D(O<|60c8)&>^Jo}=w5P?;u|(~ER;+8PHm5FmwXXYp zQ}gz3!5DjF1K+)He04~(lJq|6l-|n0yF+SOEowYzPzcix=a??mRVTXi#P1F_c5;mT z1gb^0g4Mmp4aZ!L?H>Zoo_R@K)knu^$GwWa#p0d^;}zZ=HcVKgcYXETrggdhMa)nkZM-_wrasabOZj8bKRtI?C<_i~(hZ1}+ z=n}oHPm_0gg>6?;P11`dBd1&YL(>*8z6w9g!vnR4HT4-AHFLzOuyxLCV1_ z)NO`a>eHaBWI27=sax2M;r1aWBmIUX$L}xF%q9q)%WIixv6b_#Rof2q*RYzte9Q?v z?pgFXJvlu~5YJRqky5uMy>f=g(M@}O#BZDHs~~D*Di+9?^r$bo5Fc!Z(#tV(6-81L z8{b82o}5^q>bux_K;IdprXy$ORYrI;EeP@}vdTd7lW9fn!pSPhJ$0GrppPHOx+EIu zx5K^@27Y<}8bYtmU{4>JyAsr{PDBKx9b>+Q>Oj!bKf~Ip7<_((0L=AEp!pQT&@U0~ z0vpzznnF&OYHuvM_`pPkFlpOGiTOS6i>v~TXkjN8Ej2}ARh)sB zMY}!3W5|;GX%mEWf3MExWiG^!s{>E(8b$|tmwXwOvK8K?OEdu{&*MmigK diff --git a/cpld/db/GR8RAM.(6).cnf.hdb b/cpld/db/GR8RAM.(6).cnf.hdb index ea252317df2061ddafe0133ad0bc307baa6934c6..552ddf87fe1413bdf836482de486db5c768089e3 100755 GIT binary patch delta 390 zcmeC?n#wXExPBTV0~qi_=~5^y$^;RwV9W_{Aw$#Z+@Qy*zWSEIlZDt?k1lD_AW;#50UdG-uAgzi)1Mt3|of`jtA4KNfe9Wi7fXb!pB=_LnI=H)dBJnxax|a(-Jyit;PI>6?pB z)h~YbN3-+8dYcQ=F2?R$_}lE+Qm(a|SFN3B2zd|1D(vs5p`F#Hq-}No#nR96qf-F>|X!mm^ol zT$$!rnCd$Ze*)!o2_z^g8;fDAW_z8}HScmtj zUFB|1CI`d;iIzNF^~#^OOf@UtVa1YKLjz4%5Wxa z!ZfOB&#|<^kt(Dcper2YjOkD3oQ_c!s7HsP2E5rS%cSN>IH`Ej?5JVbcNZr_Rqm&l z;@pGEi`lPC=zae>s!iBmhT_v+(`;*X`x}RQ;S^XLn=YGURn>IG65X-cuHgr-R~q{i$j)=erY z1$D}ZMkOaJ`J^N!p7?dzd^Ag0Tow??syYpV@=sOEJLN8Ssad%Rl~l*@wNOHy5GwQo z)sy04787N>eMUB0_auKp#GiJE_>2h=pDO+A=YQP9{M;z-Tb*5p`Pt5yotbd~|F*GI zbFzKjtG@qt7yQ4ofNaEwTi7*_-aJ5h^8i_#rxz>O_#IoUfb^mV#9#k@71HR1j~%h7 zLMmWooxoRoZxOr;_}CKpZGR3>FwE-R0cO!`9P_0emrzkQc?pGo^#~+S@xu+WB{xwr zZGX&bAX?uO-gB*|$qJq%UIaFo+8Eli3G)H4zB-AT2=fdkeD_3ohuL`fMB#auZ=XZ6 zXo5)LHBuna!7>|Bb4N{tc?H;fi^jZ%ow>uEDx2{1IG8Pts0L$^;o~g>IEL?t9sNTn z7Hc(OpDb72gkEpMPXF)3W1?+0&}<7#LO+c|@&eA8vV2iJC|bnpEFbeH46~3|h%LDR z$3C^OOFqI;)?v0Tkyw~;1+%KoSEi%!ZvX%Q|NnRa;$UE8Xp*HM z1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEK^H4rl~2mndtn?gH4A}||#U4w%i-CdE? zMge7+85Dq|Ued(hK#D;Wh(R{E2l{h`} z0x=Nn#$XVS{sQ3)igMlmFqmu>PHZ$mIQy~Qy@N`9Z+F|wLWSMd1Vb1y?ap-Gw4J^- zXsz`IdZU#3M*mlebXK0Ol#1|cm!1gy7v^IBiJtBYHW0>4l7B_=*dOOM8^%egg&zds zZv*jnf%st{{yq@@5Qrc7;V*H}Y4(!N$^$2Fs2ry0HgyN6 z`Sf*}Eev%dwQ00?k-?L^B7-M=MFvj-iwvF=78yKAEHZe~SY!}HwzR?r-Yxy1_1g*x zGv*lCrhEKy3xCFADn_XX|@9*Y<6{bMFe5AxAPb5Ed&+JA6VIlNKpI(D+?Dt$)^*kWnVa(V$&ju2txU^iuGDy(mg((mtQ+O=)0`u;p~9QaAOr?RE_BWE?#X zKIn?cEc<6Z`6wovzzlD9EA@dy76{Arlis$QhhC&is=91arJ1mbGlE0bu;(?sZ`` ze_0$>Vxs%R{C_QRtn`j_+N_`3ZM4%>`4H)U>& z`|`U6yF#}4=w7#69X5n~?7nX;?entizqIe18(67tX}v%gYCze-?bZuq;x_0^h-la7Ah5g(CIf%QqF7J^ zDiexVFAmPP3=uUzcA0bsf%X^3@Br0@i-U81h8P3xGMU>NK)q;9A=qLb zVMgPQFlHaov3_Yjy))0c?@WzDw!nc-1nMM?=a<0oqRa6pOGJAUF1r|8OW_Dps*r+> z`H;|T{800>AV#P8AZ8B?AGA4fk)hCe-yC7i?bf$>BE=8L7Kizknv2%{YB0x`3y{wO zc7HUN9fu;v67xR*00960cmd*NU}R`uU|^WDYjO;bVh{r2V0XWGKi3c+&)|@FSHF;; zNRR{r6A*JUSTHj%%usM<1c|5tabSo~yi=&3vxlooyq~{|tBtdZQ+%+yV~8u5tEFRT zU|r@M3EoAk7QJ2#ucpKrY0eYzz~CER}{A+FU@IABYjkfN|zK zF|sqv01B4Byy(ONqyvc`L*ljo$A=x6mk7_-@{|O5`}mD zn&>F;>f_56<*MWoG4-f}OHb9;U%y~(_TOw`Mv6p&vgZlqYDQM3pUz>N`|3XW=&eq) z_NvXC;%wk|torr|-&N1J85sWmuV8$XkdRW4kdP2@Z^lfnB@!(S7B}wd-#dQ)rs0vx z@+Us<@G*KC7%&=g3m6&9nRr`>L1-dl(u7+K*B2x#&*j9?NCQaad=lE|S<447b zj3-V-Hcnc@!{qR3{fU`d9l9L3I_AnW$0|RvwTW$(X`W-N^qBciv(qEnzjyvPavhkL zkSxHsN$qWBER&I=P)BX^-N()`%1#3N_{zRn7JMyb@p7y=!1(Q8=HZ#53W5{LCWuu? mIEEN+YIb(lc{*3fL)1QG>T+%a6PvpYd`z89v7m_g{~rKH54pGi delta 532 zcmV+v0_**W1l$CWQ-62@000000034200000004CX00000003M900000004Lalu^qL zf-n&Dtq1ihJR3}WB%bi%)q}<>QHX?SfCK)WKjyQ}6t+M}Ox$GX?Cf@@v`Q&eL-c_U z_&;c3&l`ILoYMnd(0<{Wd9uF)9DOGUHHkr5P~0GFbBV4=H-Bvq7CT_9`LS!It2Hr0 z>L@T8>7u`8a6vOvT#Qg4GW~($iX(Y`B9rM;F*9Z+;lD8vC-UqUNqO(v&3d;SS%_Ix znpUNGRa#KG1AEM)6~jiZA6F-IlKZiR=*kUkd@r4p_V9%z%F|YmhgqpyDc*hVGeGYp ziq6i#^TvsH`hNld0RR7Z0ZfojN<%Ra#;2{-CkRTZf~6GEz2FhzGtCS5UP2~9-zp%w z@CGh*;Q@5#UU22kg$r+BFW@D-fCzpQQM>pi;hWzue+uf*6%jpNU!3Ei0mPlg7plKqT-4d+jSkDx=vdX_4yg9>HUChtN_wwXEs z@)FCr=I-_8VGYhURI^D@&*J~qTvobPQb96RkvDidxW5!<2uaD`jeh9PO1{q4*~hL> WQYVx>!VNX-6a$HyC-?>c0RR8Ef$lH> diff --git a/cpld/db/GR8RAM.(8).cnf.cdb b/cpld/db/GR8RAM.(8).cnf.cdb index 652d72e81de64bfd40fabafcc3056078c8fd189a..880b273f92d1ba64686211b7e2cb1c699f2abadd 100755 GIT binary patch delta 585 zcmV-P0=E5`2ayGkQ-6R100000003P9000000006400000005B!00000004LatWw(! zf-npn@e=eqjL&|;{=@h{kSB;n#Am{BMFHmUDx)Uc5N`mm<~EqO1)rX zMYxyKLa78_>LUs46CBzHEW;BX5MuUOlWjLU>+>Kklp+2cz<<0t=A|dF@23p0IZc*V zbcCE|e;MwC^FTtvd^QCHJ^h9<2J9xpS4AyqVReQC=nKis0g7@n%#Tua>-(!57 zkNtn+)0OMs=ZxENUi}qBU_=~HhYx$)Y}8e5v7^AfyOrrFvQ+Wqj~8F^wutb#Z;Gqz zE3h#DT2zv($bXpltQcb@{{{d6|NnRabWpoW13?r$8;vmrQKDtQR2GsV0Y$_I*~gGs z*zD@=iU`7JZ|5)ATL>zcKd`b9k)ZeoRu)!P;%9j7Dh?7HIQP!nIp@A=aL@%XcsM_x zL!HQav58e4g;{P?oTvNpff7-{zyYqBJ}t0F?BtR0c7J1EtH8ubpf>!#Gg;5gRq?2?;Cq8Tn2%UM%sfyY@874F51|Xi(F6 XyEzu^93eS>7iBy*Q_dFv00960IO`MI delta 851 zcmV-Z1FZa!1(^qsQ-3xc00000003kH000000006400000005Z-00000004La>{-iB z!!QtZgg^!UfHQC=;eE@0AP!aOsTD}2ocTp0{(?W?RkNGK@zAC%8LO25Z{_;A<9IsV zbyaJvok&TIF`rWZ_9Dlq?Rm$TL|&b0B$4_<&Zzaf)H=MOZ+~LC=B7LDUL9Q*eSOn) zXg~5#1O2l=|KmXalR*E|K>xEq|MNiqi$MQN&VO5kR_HG!1?T}t1$qEdf*yd>pa&pD z=mAI-dH_;}9)Q%L2Ox#$0Ya6Sg(@)%RboaeaZA2Tz2RMNP(^P|0^e;>DBqN&-QI9i zd$e&bw;uFwD}V2HTT8++qyGKFaP0C>VkWH~9uP?O0fD3+5XeXX2xKS#1Tq!?0vQYd zfs6)#K!yWAAmhQV*Cd;p5Q0=CLXfgV2vU~_K?)NgNM#}fDNTeRwTTd zr(iP{LV!B!3?dEryKASYBI89h_y!-_I3l9f!P-ky)$Aa!_dF9IYWL}HwyZ_N#-^~{=&R_3qY7VESASW%P4!9Fv5zvauCuNBJYN6+0RR7Z0pez0WN2VuV3@OOatx4S5CY<0cfWW) z*AO4i;E;G%zmT9vkOTu05VJE_Ff%aBP;h1hiKqc_V2Dq=Q>dS_hpS7xpTCQ%jkAkW ze6YJ?h%1<@rDJGdUHAr+(d{SB!7agA7mkJ{U9Ao3=u%`V2g(>$N?fi zjIhqb(>2I3$k_woS~i9bpt8h$d!7Lmf_;Wi4fP*L0_-zR1_dB%o2kqmkcd1GBh)(k z`vy3McslvG#s|5&10Cra6bw=Y2Fwf#fb_KRVrxSn%?rc`jh_BME(3!#5OXnf0LhKl zJWQs8MEHRip$rsmjI0b9K*1feFT4PmBY>j7A84a5Py}KW3xflY)$d@rA5*0#ib`&9 da2wuQodeP<48$Ny;Q&ZpZ)t diff --git a/cpld/db/GR8RAM.(8).cnf.hdb b/cpld/db/GR8RAM.(8).cnf.hdb index f752355d5554986aa56c4fc5f2235f48ef1f2553..f799778b5e27ed4f2d0f86ed559d852b02d9fa92 100755 GIT binary patch delta 407 zcmV;I0cie=1cwBWTYmxp00000004CX00000000L900000004LaWKUZTgCG#JHf_JW zL=WH@yb3{y4a6q6J$zr{3>Hb~CIdUOlUWEMgbH;67W5@*=wIkW@_o>kc>m_f(<@PD z5bf^TGF)lrH^C0m1%e;uhZBzIJ>V`YyUGc93VmVozKJA7<}U#@lH@$HCvZg$f5_^< z;}`$QF&eg`+h5v1P)_FYW~7zgtN;K2|NnRa;$UE8aFY=MBYzMwFr1Ow5&#mB0b-Ea z0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M?yhKRnHeO2 zYV?vO{syTP1!9m5?m_;c0r4S`0m#Z(fs(8Y0zmSw$eFc3ia`p9og9N*BjPlEeS_WOLtG<55WWs}_Hgxe1WG}C zzybEbX~UTnAQ6yukQR6tK~yucgF{Cw<((2p6+cv!rwcGNJl%i}0*QiM00000|Nloz Br?db7 delta 412 zcmV;N0b~A$1dIfbTYm}x00000004CX00000000aE00000004LaTu@sMf-n$NgZkw! z7x14m^eRn(NN6O1_3%Eh&a`YXY%<-M+3ofbLI^v=5%_{{aezO=C$#SazQuc~Yfo*( zo*~|NnRa;$UE8Xp;#6BYy)2kUS%| zB>*HM1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEJZClE6+2mndtn?gH4A}||#U4w%i z-CfbtGBYRu)#xQn{0&ko3dA5A+=Ki>1L8v>1CW)o0wr0&%KwU-SqoAw1;kE{!LAYU z9-gj2jzP{Ik?{fkK_T&;epzn*AO&Dguz~eV+S9rOWP&6R`v$wmhqy+BAbcI{?BVL` z2+{%e0SDLzrwwOTfJ8)q7^DRrMiAAE?BLK5OL?aRQpFEd<>>+p4No_qgFvES7XSbN G0RR6AA+DAH diff --git a/cpld/db/GR8RAM.(9).cnf.cdb b/cpld/db/GR8RAM.(9).cnf.cdb index 9b5939ff7ecda6d0348885e27d31b48de9f5d9fb..eae80dab9f4d2c657f7e8978e03270d5091e1e0a 100755 GIT binary patch delta 3131 zcmV-B48-%01@9P;Q-70o00000007Sl00000007kj00000003_c00000004La>|IH3 z97Pl!hb@4_a$<)Z5E2BT!~v3692ycwL_~nHoGdh&vB$)+<%zr`L`dXkaN&%^i4zA- zTtOg~AAs0FNFapRw+!#A^>uaCc)F@>#-_@u>FRn_UsZkmx_|0*_v`jxFc@5>c%s#6 z{X^RvN9TYjGvWNTt=0tn?N&JxwEdg-#P%=RBKr#bP@tl%xz1XrGqdkGks+(9q{$ft zV7~?cL^+JsgUM8Y1E~NHrvf~Z3h-zuz`<02L#Y6V;{dKBm64jb->70eO=1Xl7|p^R@ojzo>Uef@|>~&k*Aaeh&-b#K;#K!0TR!r?o8?&PY98^sAmO2h*U;~ z5UGs}AyOR~LZm)2gh+*C2$34e5F%BQAtdW$>KxylNq?Q=2_aG^uO#bfc466=-E}N* zTqktq=Gv?4T~!}f59Kh-g1?%I&Mx(57gd!(t=d;ntUgf&|dD( z^>mw?(T>K(7WjN0wh`ZV({6cJ?(XOEE+ggr%uksQcQ5nfGE&~p{FM1{_nPKs_r0K-;CmkOzZ`-B+e*}2W`SQ%mJV+iw`uw}2KX*}a${HXaHE*W)5zRw5mqQWH zbvBB4jF0(ml!$is;)ep-C-05f{z`9(w!IbjGE7iUT6a+VjS#mgQggzu{8wsIN95sI z+lBth;x)7-QR(gvdjH{Av8SJyZx{AH7=OavMmneE&FP+g96OHe9VT7l4njCb-jnQ^ z_aZ1ugd6A=-soL%uN0>gJgiJ`)fiCf?=xyI3h|?_xEm_i$-yO7G#@)EJhl_n%_@p`h0zSXO#1cefwY3WvA-xLt{Q+J3x^ z(o6mRVw;S|DVaFi>&&&+mlu?G6s&_$ZAc(vF@*hxG`IckM23)xgh;uIChD4iZo|3w z!ou9z*+N)&!WaLTRE>`NAWV7Fa+i9Wet!>0%iWO6SRdP`X71 zgwo;BE7Wf!-R~O;y`Ul9Bn;FXGS2SIka2crhK#d2Gi03InIYrs&UnU&34cI&<(EbQ z6;^J-H07x9HcU|*XeN~mpaAN-Qb|EbDme&AB?%#^WFaJ#G=!v*hmsWYQ}_8c^S3m^ z5u$TmkyUs}60Q>k><&L09>`~dU*GfDz~0oyb^i`I8y-{&kKveQPOlB6iBnGr+k0&g zQ__cvnlz)X~_2yPZOQbMhK}cc1g6N(b1}lUiSRwSlvSST%9OD*i zIjmQ_g`i(QqupEeI&Wm2S@e=)~P8GUiYHRvW2AeArihr)JZ=8As&2p9U zR3@1JeSn{uIHDVP4)mtP6MfKw5JLZtJ`SS1zep)JmoL##*~$D=C@E!ReoAyycE*Yy z_WZc0^wg74xBTZcSK*!?L5+lua=E;Rc$-z5pP$%zVPS%)Lam2IumlspF387=5mHTV zIzQj#<6C9j=4?9ub$|8WcCG4h!euI5e9eyUDE406^Y;^m?`gl3h4USeG7&cnx%_a7 zz|XGCXON_=(4V^JOVs*M1@UU22Tk zyJMg4yN{9kFArOjJlrkkYXK~E%lbOpLb=G>tO)$qNl*2>*njjEgVMeidnnymT3bE4 zFuyh>9|*U5FRZnfI%~b<*^BM@`BfBewH~54std-rl|%n&0TwPHKDQ7!W{BILVk^t) zgG=O#36Gb+0|VSNe`yayB0{VKni^Ie9}m(W?@N!9PgmM>1flxjLDGAO%8sC!|0q z7lsrF^^L9+2=$GwIuP{0q@s^#LDA_Z)q99lUT|x zT$yhljUbW?al??y52q;ellkEcY)5?%7Aw9rS?jw^#^0GPT3eICy%?xoVaEzR^jM*XA1m|_WQD^RQcJg= zA+;t4gdT>Nf1CA;ei_$dP-7-lMk$mIOQs`L%XmPl49S30nTP?YGX4TmW!43x%3upf zl_?gGDkFZTTMCi;x{IEc6nrVaDrYVI_Dy$$+5`Rd+ z_wjFL`94dR%KZxf0RR7Z0d!J3OT$nUKJopktyNI8qJuc7Lko(E51OR6O`uI`lA?kT z-Q65qMO>T&6)V`)$;m~eh~nVnpbk2?=p@x&;dg?Vw&I2Fe7U*bIrltnh$M;=QK5LS z0-`WrrwrE+PFlAeR~U}9%m-8hw0}`SB03&aVTp!;1t;w)IYZS%!ZplSgla(D^NekB!DI_T@n^oSbrGHiM(c;ET z8XN$8N8QAhXcuUpL)fMK^BtF7nK{Qd4Rl&+L5H#K_qUn)06!S3+^lTLnX;kuK+;TX zzuvx1LDUOWJDFDbARnM;qAcvtR%x^!9IWVUa+fF>c7e<3_U~mcd`IOgx{T}8Hq^bG(2|Nl-K^A-RA delta 584 zcmV-O0=NC|7?1^!Q-6R100000003J70000000064000000058z00000004LatWr@5 z!Y~Z&aLUkYi2izn-b4Htb6*<@bAEenovyyuHltP?49rN=B=03nm@&rm(796T1sf~E zgQP2^5`3ADB(P6#XggSjXFMRp9P>8c?+(`IL0l+f`~`qTeSa=0Phj6q8Dn#ryr`Rj zF@@|}SLMmGr?p;!)s&ZO-OA{R>W6pmf=wB$?S`xNYKJo$cs~U1*I|k^LO;I8_&6W? z|Hfx)*TK&jx8uC}D~P~^IG_$6_So8}tNg%@0{8A#rc-38;>#Z|zT|BY;d9>r@M3F_2YG=Qq0!SH$Yo&Q1Y$0R4j{Sln#XjI2tN=b zl!3yHkwKLq11Pv-_JtQ9a|BQn_ycY91&Tn7VqtIqvicn?_hYK`L{Z5N4sOF+t8+kl Wg@G7kDLkO!og9N*836zQ0RR69M*x!m diff --git a/cpld/db/GR8RAM.(9).cnf.hdb b/cpld/db/GR8RAM.(9).cnf.hdb index 6a799fc43bd5e99d6dde3c6f7dc9fcef6d31f232..5f8855851a8d3807e98dee07be776409a33dd7b0 100755 GIT binary patch delta 917 zcmV;G18V$-1lk9XQ-8V%00000007eh00000004CX00000007zp00000004La)K)u_ z(=ZU`al9#DprPV^!3`JQsZ`NY9Ni6g99y2t#Mq3j0Qnts{4pwi0wpuN!+yKkSV^Q* zP@HCD?YG}bUafXzFPF>Z8EOaA|B!qd`$h8oH~Ajx*xPODzki7Tm;I-Y8`j7B%iQI; z3X?t36w~H14S88+{d@wdsM}(80lK2@L@~3?B~o;@pVtml(azl!8r!$FtLpY0WLha} z+R|S2JcDa6g2f8Kg$X09P4Bhc9TMucon?f^BAnQ~%;wG1T_;Z7%X9WIr*{3xv~pvw zx9?q6=gv08B7du@{%&Ye7>l;EV-D`|;maSC1>5=&>u-_$(er07#)DtSPm;`2X0YGQ zIfwVslz0%GB~C=C`{@NAq^XB#>QS0{oTelgQ4iD67=NXsF-k{cB+=M7Wt`r6;;3dQ zENIs14vMfS6>Gr)?;}-q*AmQjRVVnhdW%-mmQ}PWVWrm&WK+o=^A_P!N8}4N60s!BMWj zd6j51SQ^=m_CL=Qac2K+kWjyQjqf=ACJtO#{eJ}j0RR7Z0ZfoPP6IIzh9}{Xr2<6( z1%xP|k3>y_Ssbq=c5Qi#l&vfwD7XPF1qXnR9*K&Mf`S_)7vK_H03q>@c}3Rm8O?m7 zc@)&4Ln3;-zPx~;KE$R;C$3b{Iyjc2B&Z85;t|bup1nl2Az{4^3`#dKaSXOG32tZw zp?@LNr2_xnTmMBOza47Ltc;#x50G8R6{EA;4`J6K>0p98^(nS~9$!IniPrFc4rWg< zv;`e0){|6O9aJc*HhC9fvd!2Dke67d0ld4{n}-qXCRDRYQBUIk)?8M)R!9-aR7Kuk rx_^Hq5h<6FzZ-qionF4q#`*iMP^&+bJr2e->=*+{G*9pa009607>2Du delta 446 zcmcb`-p(>1xZazQ0Ss87bSac(XM%`VFvbL2|(V!y65@UWt)pn-!wdO zS^mTa9zI4-0|Q1wZf5ogHp<&o8B~;*k0@+qT<3VuA#`1x;>UxEj`Ql?eRN0*s8{je zWL6h2HsoONd^+37+Cj-cx+b={S@v%1#Vba}8VpxosQUil4btX1q9A>z`HwU6BWES% z2~#&SsYV_=^}lOQBzKS=>k+@cgX;u8%FSEn*yngoZsJGAe~yYD=hfx?Q(#T--_ZEg z=ho$2EGbPY0>9aIA8+5`)}l}&`{vEVSFg=EE-`*%WWT|_oHsqJ!NsLPVhO99o50nc jHxDiddRH!I2|CIj`t&6e53j%Zf@X(B3F4q=`TrjPtLM3x diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index 7272185..c11bd0c 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,5 +1,5 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567385056202 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567385056202 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Sep 01 20:44:16 2019 " "Processing started: Sun Sep 01 20:44:16 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567385056202 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567385056202 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567385056202 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567385056310 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4520 " "Peak virtual memory: 4520 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567385056470 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Sep 01 20:44:16 2019 " "Processing ended: Sun Sep 01 20:44:16 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567385056470 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567385056470 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567385056470 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567385056470 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567402893262 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567402893262 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 01:41:32 2019 " "Processing started: Mon Sep 02 01:41:32 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567402893262 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567402893262 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567402893262 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567402895340 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402895809 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:41:35 2019 " "Processing ended: Mon Sep 02 01:41:35 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402895809 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402895809 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402895809 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567402895809 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index e5975f4420b00f5c6a84c5999fe89a13c32efbe2..6b897856f5d746da87a86e401fdb2ad2fd9a40c5 100755 GIT binary patch delta 1248 zcmV<61Rwjf3Zn{;Q-9{i`x z8$}R5LlGY>P%420;$a>tlt|b)yQz{!Jk)WVln|5F=T=o;s`cHTbM1Zgb~nU*>Hl3Q z;WxK-e0JkNA|7~PrMubL+xgAR&d1*A>FMd8cpS&MkH@LioPSS2+Dge}7|Vj!7Dw$P zth|HJAC>2K%<;Sdz*qQu20)%k5>bp7L6~Qp+6Q>Og)l!?VF1Bc&V6XU1zOQ8wT{w} zx`x$9ZLi0>s^e=gwGZ){sxM9Wf8k$04}0hwpl>mq1!zyEvJhGZv>U}z@yVYPCQcBD z+Ay6>z+*7xE`R6@b4MOWzNEukM_H=2=-JjUw#1bjPCiqkeE|w9#rUGdS zgEbp)j&*Z(a~57S4O7(n6i-ck-Pwy6MvxTQt!bthH#uS{`I?({|@h+=r{@h zQkpx%9!|1~TSBwk>{O;)H*KRcG71s#|G|-b4hc{Ch#iu87-DS zadmzhlAUwTn#83HUI)yyYtldrO)Lr;$P-<5P+Dnd9j?+Y0y_}JNNTE9+MFwMOsfjp z7k|Iec9i1Io`M#`tAHLU0Gw%Rv0hq?&@Lkp8TjaEu@47Nd{Ri)5l_ zd#ojOP-N6zmu1%Geip~Pys8$4In9GxW){mMFgtF)z`_@#l_VHs+y6FK>#Qd zyW`=jU?2@M7-C>>NRX$Wd%UZUt68tBAH?7&pdxk#9w1qBvZNeHF$e)M$Sn{pP_>LK z3}=AiVQiMZ%s^TYMGeHAj7$tCfWpQ;ZqXq3!HjY9_i=Fzg1L@^VFyrd(#@kF8{uIC KH2?qr0RR7@omXlA delta 1265 zcmV{i`x z8$}R5QxKGIkf;RWVIB}lBx3ubK+>oWb$7f&~xA2;7UzzZ~;9oxvd*~dX&oP|^XisLc6k3L~8z)ln$zKvCP7tWt zFkMW*V=(5=pno&Wt~`wcNk@f_^Gt1%x7~WAWT{$DL?&C^JTR%uv}*0edfyCNd&gVH zoqj7QlCYHbf1VisrB&GL0>_fDH0tEs>`(p%$;EmCt1af!mSoNwJFz4$LO34+5ilA{gHeC4POR`9g=z& zYYRDd(sK+qHBPPNr&f<~ef||Ry-UuT#I+3G0L-+P(m)JNB1#&_GhOvnT4`z>tmyp|7~-t}GTuu5|)5Mjvmf^`_2H|=UxpRPc%3?#@+rYVd|8O*u~ z$M{iUaq9DX5M2bymt_pUA43t5v3^heRez^ATdu@wIXee0=%Cl_dE^f|4-OaLpNjMb z@N=OPNO#+5aD5+$bh6LRSWEIyWVGIFPrZ3M4E`(I)vK>Q=k~%sA=M>D3&RG|1NrBF z6BdiR+m*K%Uu%3JL;&2&kxZX;KqF zIwlk~AT3A<3P?gI0YdWS_uhZ;t+%q)oI8`bckZ0I=bXLwj0gY#s1)E}Z;|ZZ6}Gi< z4?=qR`zdN_sh?NZRJ;=Gsz<`+=LcqNbwd zO+{;WS4E?TUXR&5y&FO7VE_P7T zYAaT>cR|HY-NmI6}0>H$|ZY{;F@Ff%*KK1Nl! zXJ^YT9xL7i_dR=58FgO04+l;jWw&nkToMP}7rdv*ZX5-9+)i3`YwJIw!Chndlsf&8 zVYz?_`=g^9B3U>nAIh(KZvn(xDVv@ckoLkfvGVh&(?edCQ|)SUm#!|#_O){f7%6w| z_2fEvOumz_cWqvh%muD;7$~S@oIMrRkZ`r;Ud#u^?VigA`*DjUgXDEu_^X9avew&8 zKB)4bJ3p20SA7UFdZqGfPUDlq_ZWmz)^n2X(RY`gpR>N#Tyl6=GHA5E z8~$qblY{kAix2kyy8fS={%=YA{~0-5#*X(E8k_Vp&3HmO%89EE`T;+8Mx7>Z&0l+d z^Y+&wWsAw9jl%bAH0)i)9+s}?-giAwRyZ4#LW>Zesu-q^{_{X+UN?hx^t>PInd$KG z^ng1~ogA%7^!H=D`qeA&Jx6^zI@(o);LKece6*f)>n8DRLzW-5BHd2Ca^vf0ZDPvN-{QGn?ru|~ zS{5n$cZ~Zq^3~5;mlc0n+_>8?391a9jj=0xRg-zz{#5*>7M-AG>?T;Uo^WS>!zr$;`$>lyXnY50yvuw$UD6}2$Kz?*@k@$YXF0s)|=ya;Fv=HQ? zn9zHvtI}`1nU#2zrgrPB{4%+#I^*C!zaovU-db<#&i*BJ|F`B^zES$sDQ%_diuxq` z2a6MyH}$&cHk12*Oxo`PTRmPZwE5-- zzist@_?=G$`Q~NFTWT$-*f+m9w-rlN8`QsWc2cnJCAH~~v~u#=hfGvY&L4Y+(rS*3 zal2FnHNRU6VfOVa`rP%`iFz$~s;^j@)jDM1*aw}5sGmHd5QUW-&u_1`llIel@m#M$ z?YmVM`1bGrs%#jJAs88bJVj`FQf5Eh>nIjoV%J8dalBZ)y1zfpZ`JEA7U*OgHN|fg z@pZO<&%3#GS|nJ%v{C)0uJv6Hwcrj_>$0zseVX5XdNr)a6zZvHmsS1~`u6I(uVdre z!r<}J8_kvo1s8i$g__d&xxd|l9ejBDuK4^>y^f)rnpu{hj*j&ct6a6t$gMNK4X#Wc zy|}Z-UNYWEDB|f!u4_f1rTsacy~6qej^0;P@Ujzu(YE>(OR3eLPxw zw|!0S@Xa#ru_Y*H4i_!=6(T0^d7ZnrkW_C)&?^{RbLs z&A#-6ahJz*pcX5Bad4zj=i83l%N$L+-O0s|fc6o+i^kbCfo~TzIuiCFzP{DT$lyC) zMdHrmpSYcUVE)Oiv+wK0%E^19QSUeHUwYJ?GyC;Xs?yAxIFy#c2obM*SU@h*p32>p zynR*7H`R5FAASGTSlYz3s%uphw%fMT}T?8`Ja+%ACmb ziCODpa;xNh=kM!v+R+v;zs0JKe~04fZ9Tm^-%2w+5Zt;#eeXx^!WdT%#mB8eKVAPT zpIx|HYwbtvpFr4srF${u56%Wz_-s{h^<5v^Fw^<_I;@4jv?8yZdTw~T$d90f88|VO z^7F2f%e2Iwq8}`t6|=)6QgyvQ!T5B0X_C-_!%YnjPt?HQ3!e>}L|QEVjJ4ayXz2Yq z|AU?4{>od5+0N`uJ8Qitk_Z?wYO8AcbNyKfR%%aDT(tS!)8fFsKUt-Y%Rk(5f=;=M z4Yg{HIxY9ecxgloT&x_w_D`=3sx9G>?q_6YuVmv{;E|ATLzl29(I~xhGv~p!)H>sZ zN4?rPhmaxZu~Q~L;W{l_)-5kp&N?Y$=;Lu$_Fox6&qE$Z`E;b|1>=_ zwytlt@ASFsPG9TOGx?I`Hr7?^#w}$)|BqG(*}as9Pak8}#V28wY{o*_&P z=T3A86fJdLmKyda)_inK_Igu1!ADJ%pBtpZ2>Q{tRqX~ge|TK$8d?aKf;pD1IvRq% zm%mu}=PcUFbiyIG!tMwWd#XOZX=_{+eTfxaJzjI*;B(p5z9g0`uoACYI7(I+d9g41 zek;zeIVA0g;TPvY`X1psmx>4L(U?<)81>Rd3J|6=Z~ym7;e?at=C4Gj$oUa;nT9d| ze9c>C;S!_!Gd4Efy&0wd8(1Js&M``?rBSbSRxHam2ewva_xn zaUjaoh{|8+PU*btSPxcEBSGaFS%6l(SL^lO5_ANZavSk%4dR|2c?e)diiH7>|&gwx6T7% zIW)vTK$z{Glm2w(XszBv597v7g`7gs^;5MGdEVnH58kBz=qc86x4zv-xKHX8@&Z^Zbf{=ks_UR-MDCuxAh%j zo|EISThdj9ze5o{J0}zj^A@`2WcwT@Zu-dDlDZcheWn+C7{Vh6;eeHkhxQy6C`lPg z^dP(}o;{DEK7la#ppf<7)W?ec!wWv5tXoz%+WVBW?0d{&Oj$^+rq-9+)AbvDPLU!A z{qq;ok<3xIqec2wjz~giupH2#uQvyWxMZMr@p*4%=+aho`5W+m&r<&vvKBdQ`1Zg-0>4(T_AT^HwBbaQKcFp;U=)k61M>(;0vN$$G}IC{8;*({ZfRidxge_z^VT= zl^T!%i`5^9nIl;HOl5Kb^3}Q$EV_Ojeo5h;ZDc16sW8k+UblRp?ruW&79bGX;|iA^ zdrVu-W(YYFy^@9Q<^0wEpl`>$cj@o1(McWIFFRVxH}7aa$6t-cV;Xj0t@RkNv7_WA ztkI>4@Ew!L!cxX?gg=c@5&Z8dswy$HgQr-&{0wW2qfm-RmxCBwYj!rWV=Vk}99}<; zEJk_!snJCkE&%hfD71ebq|sNe-yH(KXvM7?Tx@>S%_O|hTfsbEOZQ7_-D>qJGB+z~K{_I}AnZ__IZZKjCj$Wt+%Ll4jO{!9WB;=u~X)9)`wYBqU?saxrR z&v^=LqUAhOQgFy(qC_-*SXKNwu`|(J!-@=KPmX!i&8T)?#Aid41pT>3zUwZzTxlYpn(ThH#FZp>#mWV5=gJj%mM-Ozc6R9w5BIccE~Lns zf6Mkr$Yn@(UE=Qt@VA0Rh{eW($LS_>;0p-9@-PR#4|~MRa`3w4mAZuAfz5S4ZQl@( zo+^J+!}ssOdk$fNb?0;4%64qyP>E1@2APU}w*{S|M%~6~>6NuZSUwHUO0?t%*<7%N zB|6XUL}F44PHkE5UxxLwI`!9g-QZnQZ4Kft9buvJzw^Sg4lMN)Hf?M1!L6M+TDLRg zz4sP`X5Nb`D&GR{iQaQeO;hW<8Xas-zZ$pBqZeI73yX;BYj8)ezCTC$1BwpAkl<5# zqf4C828YMM)rnV#Bhv@h)QR8XIm-|0c)}Q~UZVzU;pt`Lbpi{Y*nxIv`La7Bh)E6a z0O1WQ!k;hC?mlJcC2L{G$0wG8ZmyA&1Q@XFx^isp>cxaPbG9Zp}@Kz|P1xmPaKAI#hwNMv=42e})Z{p?y{51_}~A z6RpRT-`EU>Y@z}3e@mu-w8rNBDE>q0Ppd?PvkOt5)&J#PDXz+U9^+mU67u#i>S)*- zt1XKq%+T|#IMlzZQt&1ie=GCfOinL$rYN5Fd>hl=r|W_cZq@)CGAzXR0M~nqwru#n?Ud|5E~Q(Gre>jRXB_h%U9{8?`92vsWI`Sep1 zVMmxBb>rD%N9>y_UB$>+YvS)uRYVtJ*vN)pb=7$6=xN7Xmg)^+Lb{E@I6hvO{gl}E)P1a5rsLzz34%Kwo{zu- z<$ zW)%G-j;@-sf8BnI7WH9akC*cF*Kbpz#9~(CnS3N&DGX9ZCO@^fXFm;)`f$IM3ssN$ z7swn%3L8P^FO}6{L_0V#Ce(K&$zl^RrQ;^#TfOsG^m;@UDH&L_>T^IeU%Nh)K+O!7 zcykam+P2b|jL>#?ui?yzZP{y}&qjr458hsp9t=(@aY7GL`Xd!lmkU~seK4?cA-dtK zvSFBHSM$sXaR1{m9|VH4Ah0($0^i!b>4+f=uq+Xgy)uog$WI(`1J1yde0ogLJDP)=3+r5d62g8Nu$D(!YRE3>&uuU zVA?YK=v3h6(pIJb)d0Q_U5X{_OMeq2IGKM*-J0FG-nJ-0g4O-UDMrhNfZ^ zv~c)Nr?~~j5p7s^j>T|rA=;AS_VZqoZQMO96tHH6?b`4N@FuI<9 z<{kf9i_oSe=awyifo?)Lj^##5Ql^E|nH(&KMaFpX*4e*9rHUxaScLwsr9YNiMU=BI z!4tua<7EA>IbSVCr-=!qu(NLnzN9^F*X|}A=d;o3XOc14 z`1qKext!|FpT=VB{ZRK`JMa#4ET0YKAZlLGaGN$yr`xTn8DQ$g!DppqId3<1t=hT+3)L$+5D2@-1?~eZMDE{u8|D`Z zJCI;gmt&R*MP8fjT5e*@>Azu4Y9om2!eEREFJU_!kpL{xL8BPx^G%;u`TLOUpy0;C z=OW!Ixx@(0a^RTOJehK|Nz2ig9XI*8tY_;-i?HI9>ECOc7)jWlAYk-KSc+sl(a?&O z?=RVxxkx$zGjijb|Fd>|*FCDa*yB2de2c6AOX=SRlWYGdU=YOsf<6~>9(MH8@RmT- zi^4Cq*D$LBVGv#3c|mYYvDvh08KjjFu9lmfCRp7x@o_Kk%ZnuoYLxw(jOqBt>}m^p zHAaS%5xTfyX1NcOv3;)xQpm{r4B4fy=gUFXAi~~u-&2Ip2yBjOaNF3-z=ctmP3b5kZ{hdKVmzMA4$n}ja=#2)+YBPZ|MP#a> zAo)0%JEP-bWo6Wt^6_x_mvYZ_w0DD{Y)}E)tnh6Wc`bU);6sbYzsDtNff5NbR}8{3 zRGXhVBQr|Wo?OT^JMtr46LCgMaJb7ATV+&adiR1ew|hYJ$3xd>;FE+KW97OhL#@|+ zE-{*c#I;kez?>)%=I?3U4EM{2qXU_kfnNV_zc%^)eR|K;ZP^R5+Ob{%TZC2$%4+pz z)(c2^0PxQD<`(7|MpHoC#!djNa3vo|#~PrqZwo}eROK@2KpUKfBiZZ?4~0YCP$r<` z^2he8MYeHZzA%7RsbflPQt9#Jyo61yt4xc`zL(d(mF%pRYjG%4Jq%CZ3_C$=I2RVC zDiApQIwY+=?AvcqVBUotXs!P|t+M?y9LFXqL26)S<=J}IuRm*s#D}b1T+nC+gXw${2jC+$cgpt!TRBhzaw#yK zdH=F9b4`@c@&Igk4wqW?`*fo1zQyyrSyHv#v!N@?1QAo)!m`K1QL{I_E)aMVZDpAy zuEtJ_S|UoJAEvUx^+@rcMv6U%7N7KM{Y}{Zm6%9tW>k-s4rLbvL&la_4=RhCoI{@a zsFV9*B+7gOk}=MJ+*hhvbQF!){2s-*sBEUm;`OJ^=%Q1Z^T$@Qg*wj{c2`>shvmNY z=1$tRq_^ODti8|5?8z`tQ0ULjgnU&V88SCP1kx9Nuao$MA$$hTq~BQwkC;((;#jhz zykjt|U9=$2XXr^OxX**x_N)h-s&+#3dpLKiYp<<2W+mw=nW(t|%kEckT09p{%v#cK z;=oT5Q z3C=SQa#eDk#cgqHS}U4Dwh&=1nxrwrsfFw~YyxKb!nG{wGurOVH~$4?MaDZ@VI zsOByaXU}GS`K(ne3HfK@mJKEpw~M`q^|fE);;hKad@6Nowd z%})U^@(`2!e3Und2RA7GDzqOf92cxDavKo56L8J5m$&pR@T^>@x7CZ5$Z86S^UlN;t7SpM+ zpt35-b{zEhBdFBa_?h((ARgU)cTDBOk96G9{)zS{bYa|XVh_XM`{Tjq>#-I>MWwM` zQIRCx8tLb~0^#RtE=02wEs6{Rwfd|7QtOmss<%#ag;UaR5W#0R%(!pZZDwuuX;5aD zD10$S_uX#T_EW?bH4+%dsZb~Ty~wjKKC^ajixmnDaAvo@CR%3gz_bER3>bXBCvz;b zg6q@<|8+mvmu<%t2O9f-raRmH7(3&k9G{iTeR5auW|2td;%hBOu&+g10oo0GGyRLn zfD#a0#&xO{nAOK~iY1rnaN35L6LBGHBOMCK|5}(lgnOoaYJ=~4#{-AE56|vhyOgD*{i4?Xrh4As zQ3m{Y$%@fK*xsqRhIGEqA{ep2`WsRoAx%cb|vi7umzdR{UANoL( zu8=0To@z5b-kPsBTIM2$MQdv^JWG+c3rl}H&kr=jT1YSabSsS`2>hf5q}!$R%>rm+ zIA$DD01^`6adMFmlrMOf&P%celF!^+zyh%H#|)~em^ci1P$A9g;kQMW2%U^W8P8lO zxD^Enz{^$uZPQo)H0@GK7=0H9J#F`^d++<9KB zJ8rp)GHMc@8>0-3$j8>NfLTW&8)?Fn07FfLTWugCpdFlk&JeBh&C(|u;s=paT zhq<`h;#aRP26(vf^;@jrsW5xWSyJi~{o0PoeZ^ViMhkGFL7vGK5aSK5!07n2cp2bsFgH*2)YBHRKqk_RGIf#Ay0$hzHO;LHX z%{_uJ=%Mjb`eGN-%Eg!$0zAqb4H&0L8vruVRGWZ}!wRCK%@#C(sAF_jc_xq|S**PA zyn#W(L5U)yh9&yLh7Ve~6r1%Zp{~;rVKJn-CwabR{x^ISc+s`(NAPlK z(qV~3Z^?W5s2JTK#{>chJ*O`H?EV50git5XRQHmBF%2q&TRe}t;XDDerwq85?P9Do z++ON_45{y2n<$+KG5p?*0O6azSi;a7=>`I5qS7}l0g6Bz_V0X@#aa-F@xCMn!$-eF z@(0X`*^gp2o)kWHYvaLmApp#a6pkX;+`(_y-#U3iIZ9G2m`d@A!vdT?WB){yam<1@ z2et!Eu60SDiB>wfE;sdNpP2lVPcz6yh_0sTr}O|wLWj0XkUi6`b?LcyICod4cu#%k zpeRztV>KhU?$bRp`#tP8^q#+PF+d1ON3#fK^47c;e+=WLWRsqNqGqP$%D zMp8O1Pc3}fWL_Vzu|csABkbTT0wDIeOZ|0n6kR#y=PWW~Cqrsp|U$ZRR59Rj3?hd;jB{vr+zaMx~^M`YNgcE z2XT@Y`|h0FHTeFtG%#Gr<5)e%LsbJXz2{$8P1l|{Z}?Glf7KUcS<-H61SW9%2%Ljn zSH*~aP7WiX=go|H+gg6y&YH`9VEF!B)P*kPwy2+tR;l);aGvxH!^`T=mvwdULo+LP z&TBkfAa>T)&(}&&pNC&qWIYKsOMtV3!37RR@j^B-==FDpv-wkpPU+m+6 z^U;l;V0=-+U8_p@Hx%GqgNlz93! z+VA2Ad@~hE(losKwP07csR$+m>9gA^Ds*ouS`+dxG7!9MT9a(H6Y)8X5crC6jN?J5{?ku>q=4%nF+qIb*p zZIXr%I`lmYAY4iz{A##JAqU)w&bbSj8^lWXrFy6N1JR)bwq?oFFZCKy!tHt$Cwjxr_hG=)2^o$Jkkum(#2=rOTRdnB)?_@wO4<*Ao-_YlC!1Cc zW>n*pH!0h(w4&17q-p`Q+sWmcKVonLBsd+rO=*aQ3l$x=X<+1kJ&yUhI7R`u`B&Qn z9bqceov{ODt#KUme%Z$T#g%aygDD-CHz&++lT#Vp&~W%u%}JSAeU6DJNSThU_-30k zrnB3c1Ap-3BMcp$6?$p-pWd>RsjOR+V3d{5op*l(Qco?{-jVMRqmMxs+QFg@JgJ#aP8IgVzy{aDG=z{ltO_=StF71HlZ2cg4Z zHKzj2$ya-iVr=kB^RkE_7(6A(0)!@ZsKm02s1`yDO<66Ei`HzcOz6_A?v}PdrNja_+J5Nq-@K4;GD}5JZoT8fHh99(@Zv1Y6Lm~6k{1$ypsZLp% zFttStSD7b1P-!7T(TZ7OM#+uE@TAu9OS5zX(wqD@DUxwW^zi0|!BRvFAcijh-%Vl_ zr|)5Tm=+}2k6sLY5)p{ScVoGjniO~hT^Bb8h^a|J2}Q9p9E_ntLSz1bqiV*I>V(K3 z)Dimm1ey~)hMg0~Ak}MameD=k7!Y2+!p(qTOBy!+ZbD-S&=e6rktF)$l}<@q%>?f}Bs(v4A#FC{b9KkcO3eSEwuyeH91&*>P(g#bXEv^Ixf^ z%EZzNfwP)kj0h5XZ}EU4jTMIUUCfT8H{ddW_>El8J?~hW`J<<0;0?(l;J?*zFkKFZ zpoB5G8G-Z%Co;(AND7x?U=_WGqr)}%(RmB8!MH97hOk#`*u7A3k0NvZ(U2DuOq19b|E*b@DIE_DIF1%N?sVc%p|>hM}9KtZ)rDp zaYkmit&3kEZ||*JG%4h<3*iVkTexG2Qm;%nMv6>qE3F-~LO$bgF47DzyF@E|v*$|^ z5%#m)@EFN%kMH|@1TMbGNaPG@c5Rj(Mn($OKR$mfB$QrYZh8U6wKu1awiXFGf;##I zhLQV3QI4%!KeOB1wI}c)SeBX6r2vWvtdKLcWwsYX4?c2CEBtIdOSyViqEIMNO0MoY z&3sYRre20}yy{|+Qg>c#)MkVs zb7>=+^)C;pYG5`jv%^7MiJSFXg*N)-M^+0Z^D)x649*VI!IBsk(#k|m1IkNePHUPA zqVx~(^0(9lEHHfemNB~5nVZQ&y80j3TMPBkS7Z5;yn%?XUJN5r5|1`qPVdq)>Fvyf zeOWML(?v zcXIEbXyr$h>3fEmQ-Dr^fftV|bNL4t+$eD@SxZ`|KP~)2MZ>D3Sz`*CM*0MwxY? zmk7>xc2olX37SW)H=?)5p`=?rEXU034cC*urgW3(McuiihX;bX?^MZhIMl5_&daF$b6sMM!gNy8m#EgY~J zp=&@7*@d*m(hPyBHk1FbTu^Ipzy%C)*`KGP^c9={n$_>w^Ug%30hFt`#-I3`R*dka z_$u|1!_MMIFPj@ypYIt~nEKcD-U8HdShFdx-Zem%h4(v-Uw!=6A|X5P z;S(`sY zz0on>ujZO7Yd;lu&oq-ICsmv0rnk<~dsTX1|LgUBO#a$S8sbD+`{|+ORV$NL7O43N z5=R%8_xWIA;qq`>g;L-;jrTSXX6TTjhPd=x@`#KX?&c+Nl7HV9xj28JsBlV)bApU* zgs%#zE%JA(1jcnp^y_Z-gujq1%@m@b5``kQ@l0~k$^3JY^uLgzi4}7hDHfKa8I&L8 z`+4il{uvU3)nfu8tH$+I8Zl-z%W-oVf!?F)xV_mwJeqzw3?<6aZ5fjfIeowNL1crT z#*q;xIn@qO!0i2XJ_oquEFVON+~lcENXJcz9vn+q$t`dZnJN+0DS8y~uE8_%dOFY$ zk!qjP2*NkI*iM=MEP(@11M_3%TYHVT+~9^A`XYz|ztzP*p_ksLO}sCMI&|1v+f$2c zl|5SPXjJ6;ZSVY)@wMti@wP#q_&Y4S_i2>YyY-`usd}EDl_?E!ey`);9DA!SAA6es z`10<-BE~Wz^6Cn!SV9cL-#B<~3NXno=zM*g~V)G8dklsBi^or+(Xng3Q&H;(NNJp z=)<^!S}udA)1)aNcBUJ_*`Z5`kv9afY0cc?{5S}!j)ThuENjdAypdh)2JO1Cy*yI`!cJGv{&BjBa(*~M7*#7)P-K(hw?mV!hI1% zk#@xw;pZq1GEs7yu)c)ofZ*@_&?6nS%p4VyDF1$ce)OD3wEce?4eS`U_C3`gR)JU6 zsPwE^dk$8BK8tILV_fP%s#353^0;`K0*E0-Di5PO$I=`>O5rM(GPpaWDcJ^$Gb9wq z5SygH7bQbldzMe;B}H<~O?HEMIz$6v5XM6a+>BoURxu9hHlWXz3VA6DasDgwDDIBq z6j2z%g%oAFd<+cdrHin$rw%I)mkWx?OHu%!3Cm&1AD4D{V$KCPV-8~#1fwJ^Vw_3J z#f}$^T4Cul5sBUbC)_Tm=$b04uQcw`nLu5eFNb0xL%ZqATpg-Ez2wXj>tZebErwos z{~ksah_zS=aDsBe73kB#bC>4H9GISY`!fs&5)a5idr^0HGL)irayP{_a>-Glk--@? zd#ow?kpYPSDZpywPpNFQ;NbC$&za<1i)-CFqh%28F!*w7pQOnmOC*c1%@hEfV?8LBs4f5^ zM;hGVEPBGrkm~PssVN=2yiFK2DKe>G@fP}#!()07Af@{|V5LwG3%!u`onMIT+NaOJC}pf*;3q-g@5KFSiJOPCjxDs-Z_ zI$FD-`yx@=lyzDBXa=b?mZsO^_6>fN&Ltd5khs;Z6sxchup5OVu|*i-{7#GiHbaWu zD@e~v?B9OVBX zN3i7~)L;Y1^fptEtqXo(fpcp*tGPN>66y_JG7_(`(mg1dALo(@jRJA=KUSPTc6DDZ1AVcUtH?ST%% zTmZWY`4=&Ia26)5HEeAeDjV3qPcee+2^=Rh#dc7CQLAy#c-=B(6i0xEe|oSEYY%4u zn&l`|#Y<00%5w#MmYM{Aq76*YGT96jcPx}BLXre3@R|8tn4H>qf#R4GfSCLPeNX-^ zMQR0NX1g)mF=r@z28^?$D7ST8ig%H6+#JAhmbwU4XaG_gM()}b(eAWu9871U1nKW_ zlC(u0;2c+2SrQn4A7ws~pH-lXb1+TfXzit#wg?4|7_opIu}X3`jtJ~%NrH1mfk^1i zkxeiV&I_qtkVXOMzj005l!G{oa9elx1}H|Bor@ylHb7Cr7EE~(`HkZ-9o?2Q55F1; zM7fiOx@Iqbn%Q7uJ>2&qg@2qKh7_(iu+?TLVD2b=O+H4Sq7|ns63Kfa2fFjnvs2@= z=I-XdSm)&jIdHXJ->-f^hOo^wk4GBKxo+q2uD%irjT-*Xq{GD(s}L>|2b`}pQs!In+Q-sKT=^k0Lmy7FQX9ddtC`?d_}s@wD<{A>#k0^nE#o#8*+a_;OcU7`!V5kQ-)zfwhz)sG)6W|l{nv`50wi01t~Q2V zT0Vyqrj*8M$%i$FpkEAVGV+QT?2GZlv?XD<7*Qm-bfxDzCJ#SvoR7?Y^yaUGX-XxWRqz&iX55BO6)VRdX9}j223J_2Y)tG)#|>b9tNq9n#t-dlMj7mjHbV zJRE`*q(CU!Fop>U1fmmgP&|iwTP6!ULenMbM#9jY@5u@o>ka!`A@Uw4c}2qY&`JJO ziW3eCf+M0EV2HL29##PIT?3-OTWZrb4zn=e&w;jh$C{rn0AZx)T=E@Klnz`qg<=** z`!pkR0Aztu2cY8|?R+ZsXsqZoXI2!-t@l`y8Z(k)(L5G%?~#3!8%fqHpvcxa<8>=r zTjQk6o+J44h8rr+u)pa6bes*qOMC5Y$2kLGqfr)~_$I4}&R&$O^XC<(V{SAxk(kP^ z-&V+&q^G3?i1u7V?kRd(rWR15DW5jvWx=WKEafhx_8r%5=A}I^C{vmML1Z?+OY{aH z>M8W=3Dv^r(JKyZm-c?dI`gzCRYgX6n$K;1dVsy+Wg-ARz8i$6JU!Nw59dYiCO&Me z)A~sU1Dt`7jp8u>ue6F6*xN2uk@)UCfdnjj7LyYBBi2|&V{;wBcnVPTUxeb`S;`$Y zo^Ix(CuJ6Jx?TTy+B&JDCh$Q&?a$Qid$o$w=(2ZUXd)3p_WIMkWPqmCHvyc5@?|0z z#YUF#sHb(e7ot>rF82%csx8gMI@WPfz_A2*ToM2SkEN}P;Z3uxB{s33sDA)_Xfauk z#F*2>zGv3XRTNovRylEmcNkp^2C(?UFaV|!MJ6uvtKlzxUbkl~XJxE7F6P??NHswk z&Jh!nI+f#ilpa~N74e>1#w~ut8v-oe-fgui<_VK?5To;6>zApL4Ro@QikwJ;@C>T4 zo0FfPB^F%0dg5hf!raqoAYD-2oSPX!N(RJ8Q^0PmYNAVsgdbPsn6Jj3=KNYcQDQxx z0%VO1peiZhv2%W_m9`-rTJZAh=?TY;y=$+XD~E5!q<;QR=pcI_vcpVhB9sib=|T0vO)vkK$v20<+2F}Rw~~g{O!(J2^qLjfLcz4XP^u?G!|n(#FYKz z1Xn-403N(h|M()QZOn1LULq=l6bkIqv{o&M-2*AghX2Z+)7*7sd~02NJ|vj(BjD6| zRd=ZqJN!X4#!k&vp zDA%BD_hpTgjT@T&oXfj$l=L7eo2RnL$$bsnZZ+$1LyX$`1BA)%Q$BQ{GI$x9Bz+JOyCVBP z?DkXnoZ&tPeF{h14#4Nl@yOl}?D-s*5|=kxx7=m8kb`xgACV7}%&O~Q>+d``XRZ#n zisCf&#y|ErXxESYIx`+1dF94J>N}oTh2{CwchFcGAJJqGlzJ-N_%y)q-n=sh8#7<0 zc%oSrm&YxDdixPd4L(_{8kEw>wEL?jcL@;eAwPoA&%o zd5rnkb2dUj<$Dyag>LQm;KGIG+v7>mu>>A8@km3wuUQdKH1;5-vDC2BNcXVl@#TDHQ*6b zJK**01$!B36hB=fll))fD5S5@B#bVKs|JAQ)JHcN33qrXX|TP%SS|Z2TvaxYMi@vR z!^>#dhlJN1@FQ6Y0CKi;=f^z&>HKh8b8%q0XbiXEL^ew7KY5*{uUY@Fa50>dzJ$wp z$`Gk?`ps4}3p!Tj+w313lyGaRmR~_O=0gKeE3}zd_ye{-+n2=^S?o7oqTLs}eV%I) zOmXnEz$p{D)#5iC)b!%*?H9*K=AooDfsBtG6!n1gTYec!m1!Fw6hGwE!u>e=Uvznj z7rRLK!0E;TJCo*p)qy*<9%1-%o(wsXg&(1yHv5&E|ylv@!uq9mpOBFH;q}%b6TW<-FULz}I zEd>Y>xN(U?;j*1Wv4-p_ddBE^=ch{pJB+Vs$$};~8Yg2ah_uzzSLy?a)sc&JI;7-P zyF9BP15(-lE9tAF+IZft+tR{^QlwBkKq;j_kpRVl7AacXy@lc)+(HEk6bT-j;-$C+ zCwOp*y9a{1g#dZ^{@!zT_m4TVJ93}r&g{-ScX^EsUpc_8_LI#!9mCJ9d_tUbRA%v^ z%YRf=w!FXuiJjNfJMsi(1ast1C`f}Zj;M4N1&}0&&uq^5F}AdkbeeVH#{Cy_!Q2%S zGxCe_FLSBqwR%V=!V>1IDHXBGYT|6ViTSMamMdz?KQB^0H)ZELUd@?C02Eaawnff9 zq<_#5u?%9B#iJ!BBC#jj+~fB0T$iMvE*A-FF)eVf-QJ75?jP+`Z!z+mGY20=s$kFZ zS{c(E0V*mfNybPaP_GekieP9|6h4K&gyudsUj5yHYT<|cKAf$%df2$%Fuj`lBD*Bz zla*b5IV-EI|4@a~uPnk)5%QA9X-cXSPP}Q7n z%8P_&mEA)v+MU^UghJyyHD?@e?V?jL>zwRKWg$c+r;Y`3GMJKQ9w|m?HY#C`hNDh$ z)lNG_RwR~-Nsc1_k=h+>TaQWJw(_`;%>ZtVM#Vm=#5u79D01Y<^KEj_Rtqxp6r_s7 zHC2OujeOQwW4h3eCm2?M@W9`K(gvouRorLcmhx7dCHl@kr8IeGReHS@f@$CxzpY;V zn=tF}%0;QGy-3(l{Do!xof>Wyz}M`-=>zy>GGk`mZx7nM60jc*j4ea{w9CdX#Z>&_tB z0C|L9tD%~GuT_L>ehQJCCA8K3y7ym8%}AGMoFX$~~rg3wo##=O>wkX`q^?%I<>`M;xESSlY)N zeW>%%v_SX^-10|Zy6JJJXZZ#91(8e0`1iQz_jWoj&4uRmTWssG`=(hE(Yg@ane-5n z#S0CXQbf$I3AJQ+XMEccFL^!h!97X59L+)D(%sn268~<1b=3ks<5C((T8JXc$#eD= zN)J&p5{8Wp_VF%lwaK;m0AbvWgyl0wb~;+JJjM%8wT1Z%><2GoLGXZAJGI<_J+&!r zo)+#^HpLHP8$JegW+a`DLkAW)DUIpsI?6VFhIBhtE~|At`$(wb{^6tGam@KIy)CM0 zr*J)XAr^gZPnpz{EHKL;eIw&IV?P0vBSpa3&{A>@s`k&o75ItWWdf!zN&K3xytp!L zCI2dOo-yNHmtUmR%^m%bjyCivICfab*-D}Q3-FEy)hs1+(@3v zsPN7qvwH0`ZHeqCvGY}a@GfDRlC7ijjfME~H!Fu3g*OIIZ5#%wx`*5XMq9p&J6=sH zjBrOShuW3q2k4Fv<)SL=RMvS+8o#_usy-As59W5(zOn9s%c#$+VFhpQ7OzX$94nov znIrud)Yqxsj3mMAjdF~at{OmK51)9{=|M%RMMT!*W{cP4i~Bw|)u?KSEBJG%z-48= z#b;^2Vp-0{Q*Edz> zg12cD-W6GFd;bpou9wgmr!jk--uPfmg4DBZK4bA1OLFJ_zrVk~&UWUu(>`^LaZIye zqfZkSzf0-!n%&;ooL$rN;jj@|d|?G}@g4uGTG`#0&$g7du2>abW9Mg7Tj(fd>AGYZ zZBXZ9&5lF%>4`Amwqpa0JVKt;_`P7rna=?wB?*l^E~y~4yDF)E?2;aK6q}Rj zt$sSjzfNp^v<)&|$c7K6JbL@t96b6fD|!O6P`bUbh#;^S4yc1&?9V6~sSjDRUAg1(F@4(U1`NAStd4IyQnn3Zi z%bdZR*YZhI>|S@Sv}``F`#uv24&^pJ`OGT$Ll@^_h_1T!YNxC{C@Y1Z%Ws`g1{jpC zXOhB^XuC#wHmm+)3ZGZVk-k2NH@w$~Pv5&AYjq={kevDid?U&vFK^(dponr_eO$nG z#U!>X{=)@5*B(kn_^5G?6h-lYjftOKnXY2}( zJ<(5@zkcrjj2H|QVo7GN!)x?H@BU@8Gx9$8kE?WiRdNC$V9!1#eOV=!GPT{7%w`-* zqSWG7UMu=93E8 zoKbQavYL7O{?2a2U zcug&YW?poGi0NNWWk&IFGNOXpwK1*1$SAf_Nu_$7z^o3~t!#b;@u5u~9H=Y}=AMnK zw*8EV$u}U_sU*@fCrF}VxH^#bvMVJa#lLA2{XhWMz4Z8ftEJ_kw)xBVr{wP!cjj>) zjXyr0%*j4~`14_*<(tnnhGtkXkmaRMStZHY@iT*CoGHPa#izhO#bPED`#F@pDjk{$#WZJ0K(zNtQ^u!|xA(cw)X~ZqVbIT&blXTpg!vt?pEzpxxi5)T}|F zU9FsO7Upj_1?V)CZfXl}&wW*r`ocZpH4Rd^Po^BJ5^H9dVURincC3A?XU_Isp1`>w zxaZRiQ+Ry7=iMtd!A%hip-4`wb9N=|0NX(FXq2bG822c%i_73v56w=4^C0+6>xGRt zb+n+J`f!TSSVZOFbgH~jxxT|()wy3(@%{{5P>8i$gk=~g;Z6-%mhMsT?zhRTx+5#!EN>LY?xVmt{= z<0gwG`csvM7so^!6!%@(crAXI@&X|NdgCo7`oOOU-R4Ib8PjWU;OA zz#AebSo$kl>l-TfioH*+RT51UE_P1JlibR*Ek(5MI>I|@X$;5VO1;kQXF`b%mVsd> zfq%J`we3z@c##(Rh#<*rpH#29k+Jcc%GUB%qGrfX1}L6>Fh%&Gb9OmB{91`5z6 zelt8TU!w)Ko7-~NB0$Y5fJ6}a+ z3n`DxyLEA#D{+7h`hwI#N)*Ee?p1gCHwy( z`-zc7+m%CGk4@0+Gh{`>RO-=#wdOli2GQq2`4N;Jm8U#qZo;VAlt#73OVpiWueI3f zBu=2L;JXxx>2TGrUvAbntZ>)RS1q=7RW)M}0H(iqr4R{O-I?A><{s4rVhNfY+v9hZNTVkRcZjwOq zmj0cz^6A#!A`cwofk2^@p7&L#dS+c@`_B({);mMH~KI={R*C z|2wY_HqskDADueAo?Dd=?5{NxShU!<$ubOH5nX=?*z1n+QFZbUOzbxcbW;xC&;lVNZ^6piGzf3EoH9(Bx^A&*rYJRw#O~JlT zS&=w7UA|q@BhlX$Q-SYfTr*-w%C3Q?O^J z0giuGzj^f=P-j7Z#P&B^3eG?0dgkG5oVw@{dn45j~0$ z5k&UA06@THiJVCQ>=zM#_+fHnnYEu3DkWurxx^O_~RGPCy{EMhjL<%2%i1{=us#3Fhf5#8hJI$U}$mcLzm zV3jkM_S-!CL%-dnv%9T>;|p;W>N5Z3(GL}y8xi_)BRIy1Di%n(NS~~THS2EVBMmv= z=qsJqJe>F2r;)zcPowLLUF6I)u7uQN?QdX*nL@FGry~1e(PFpxC6I2>6F=&7DNE1p z%P*PH+a-3jPV&eezs=g(scJXl)6@gt(9W#EbhAy3(XamJXzHOJS)t?06-bK>rz zBK7XChk}fS=fp#CPr!`*ZUX%?{v!XRZm^wgG!koAjy`?Yt?S9L_1z?F%_ zIJ89zNijB>=z%kttNXlR(36XQak{+UR6YHkUDO~dRSb~kxyFgbpZ0(kXIw$g{N7$Ru@Y$Da zlnPL{x+s7ixkBO7k1P(4;>lOaQ~Y#aY8ERK+ocHk<2iK{@CI@SRzckfUntW>DD_gony^-|IPsif7r7qaZoJ`!*N@8a1Li8||Q!3+W zH<^D;v!c{1jt2!_U`G^~Qo-gDYR^>1&*6kWu#O_i86^aFm=4Zdp9ITiy8ai&fvs zpOyDfjzrxHWf}^%cREo(f$+ECPgyT?(rAWM_itF}+QhE29&8w;}*ZRp_YVwNTn$t*WW~M)0w8nMsxMa84Vv5UDNH6S-1~X?z?#yaI zqHkUf(lsn@p~ouzO?BeTe7#0l_*`ZCm1>&E#LkE! z$q}}Pmgg>3@k<^5D5cn6>Y_OI@cFUA4nm^O^xYC~7fF4Tlj_$M-Pt5S**x_6I#Qp? z@&yEcebX}5QmE303xQEn)`01gx1d@>6RU+!;S%=1e6qCuJo=o--|r3kUw>vc+f|}J zR&C8pCez^0s~;7Mw$T)SZ3u6`Sk8K}J3kZHQK_hUKDVq9&^Bu6ukBTnLwUt73$3No zHyK2Sl=4}ZoIhltWhJTm&x9aXjW5S-@mW;4qthO(caw393Ti{9bfpjyTk zJw{DVcLXb|xa!C7$Wb9`Vctec37`$vsyUICHJ=TF@`)kB0psPVC+}#YJ`ZDd$6XiK%&{_wj8tAhJQ#&-prbyezPr1b3sYt zKaEK;v3Ec62kk@DF*9|O%5;`msnHquE1eSsjw;&=PY+K#Qws!W^i7a#dqbR(Q#r{} zBn<95@EpzLresV@u!TDzCokJxEkn-c2d<90NlCBDI4B?0N9QC~DK^jKrgvfN++0%b zuWqSVugl#@Fl*`T;qNs2_>m!&5+%-El?mmM+o>THQ#S*z-6XSkN)`r>4D)*_)ooG& z{sQFr-w`}dbFhml@z{+=cV40&9E!tksc3Eq-F14Bo_xiLcaT0o&z~_!1g;i4`@5 zUmQr_(vv@qrh3{)&Iq`kQPee1d=LBd>@;6)DBV4XX2oEkhf!`b^TAqecTlM}ac5FJ zHu-VmQ*3D6#F<;n_V}^PM57tH$M+{_qyN2MKNqw8C$Vhq%z_e2`5qnCHLY>FV^X#LaZR0gO;t_kTe7 ztmqMS75CwUjjpcb)l(5Ejve8y?B03+VSboj&kNkxYT!NO*H%$w*fzP8PpXyzpN!3>2NYRUG^J`gN1D)0 zR!y98cimJPs->SKrAq373_EAo&`K-fRo&@kf?#&Ug)6eeRL$4;j!~EUNgRXnv!*9; zG!>ZXT#wnSKjLf(-`pxY=J>*Owc>CpjvzIfV%Vek0hN=>HG4Zk^w&yzP2YJWsBbdP zA)Rsh7-ytIG#|#f1o*>|++@a>{h~0+wV-F*{&S!xlnHauGU3nK~qRDJpI zv(g<G{?_onJLpcWT^ z2Kc`lUu(TM97Tu`yD#Xq%QxMpn>;w^e@`?|jJJFPk|+w#Wcs$-F%C)ZUV_L=F=`xr ztub;Ef~M3L7#!K3klK-7TOy(ZeK_v6_<)y#v|;&A+WlRU+!;TFnNGDziMR~y^tmh- zhs2S#tDIW1q?i|6z9xO@e&T;@&x|Ir&E2S~>?*JKkIQ0CB8wD!;tpF@(I*21h0f`Z zDC74CN#$I;Kb+BjZUIZbWe#67y8f8p6&Kqq!PV#DTpW^a8#!lTD1o7LRFAu5e@Sb5 z>CX)EO&Z5OjlPc-pL*50n@gq4NH8o>m40(w$>z)Q+Iv75|Ew#hd$iUXesMOv9eKaY zAMG;xCw}=vjQEcYQ;k>$ydYuC`S*ntbBhK1;_JTt?~9fyswa*Jx;W0nzqf!b!WMfS zHSQa&A|u;TtrcSsXWt>jFHTC<{;uT9O6%48t?&-P4{fFpF>US=hB~k8E0jK$gi?S+ zHId7IlP?BMA*5Q;sOFcBVu-mvRLYr;=f6$;FBPjHogn^tu&iyeebH4w()G{PU5vquQI+pT971j^Dpe{e%-72l8i)@cg}>2 zL-hOoulD!IBbM^0?7ZLq4~WBwKl$&$k63>$K2k~_Q~8!B{19}(iujJs_E+77-hRzg zmQg7TefDC^#;T)ZxBW%#{z8AwX1qj@OwJpv1<`w4iv*6(7J9C=2<4s!Iko8mTQ{!L zm&#E!!g06#z;NK1*#`A~)E5LT!es0G^B2}r1cKGkT3!C_%}4T6n~7CD_o>^@Es({V z^48{g2jyv3%-$o$<$cug!*Y;cb>BLRKCNWlp;kbEP2Dr5I53Cgf>hGly8quEjZ3x> zvsM9Pc`1_#mE+@ie5)(hY_9H5bmmWW$L%%}{T5z5UanF*t`W0v&Pq0@Ix6@bwEP#s z)&HivJF6?)@wxs9AK5p4mb$Cpx^-lxx2R;^f|uDs=n2n;v#iuFqRl;xvX6@i-vVm^ zsWb%u>_(r#txs4;g=|AxOC}W`Hlg!y%^`(?@jd9KpdleW3n}r|U9q(aY;`L$tYJ#$ z&=Xx1fO7y7_`6B500t+G*RIyNn!QOvk5WzTZx?-WewONNU~+=bK~PWwqOrciwP@2r zFU_%~Nie3fIp!GY`vj6572w?U%bB#lo5`@8xD9h&`4j7(v;0=Ayv^~Ay%MU->s*sy zD$9(#psDzD>jCr2)o@la3<_=_1zrIsv8;p0qE{ip%2};h} z?|5vhY7pmSL9p)P*t=TGlRFJ1K3k`W^<$cUKOA88AJ|63H%Td}YvlGj`U8Ksl$jKy zql5<{X&7JKCv?nodqX@W4?a7ciD??##(lsO`@^*FpZyJx*_U!*z_Eqw8W~$A&)RP3 z8nK>g4#stjTtVKezMH+xldvUV)c0PU{ztsQi<#qZs5S{?68fWmy>CN|`U04kZ5s(9 z&ZH(~g=~7-#*tr;1b-Yhe(+Ki+mHxuW5a%M$uK5hP@4=|6}!+ZHeMU*Y@h3HzXwbY-!VyEW9C0C&wG5SNkB4o(pZA#kJ#MUhG#kC5v+^_6WJkGC$yrtA18 z_z!O*tntJ)zj58E99&U1|9Z2;y1W~0Q;F{d&~-KYFXZr{E29>dxn+|RVZ*!c;7?T% zv0{(F`T{ZZk5h~*cuZd^+zJtA!ge5~?ocqfKKM;dK4AJEDC$vKO2c?Xm4{}F!uM)Yy?)Bc0e|1vfnw@J+RRt}nyP@H zSIEZrCx1-so6V|J)!duG_vnC9%pJO>SAD*uAwvrUAn{I$(f6vgpkdSAE8sptb8N6+ z@l2$oH^_)*!uenKmHI%)SuWsKUq1RS*CZFKJ2!~UZA-iU!(&It`Zf1c(1m(C>y}8VJXG=j#Q?B{}MedePC|j zO;D%Tdw?`bb?fSmq1St<;6Kag@QD~Z+aikxx`ypDyTzcWVn;xpV zZPrT5`gqQMef9|b?Ojus&y->(SN+$9s9z@!Z;>M1oPBOf?7y^oT8=g_E~c&*p$l}w zK;JuKMl?v6^%!OYydCgzc&*@Xrw|L+-|+l4t&(D+I`4pVa3t-C$h6_Ef`PAINJj~w zW(P0_h0Mb7@wtvwua9NKBsn-PpQEgu+K}ku`S%Cg_~4*#&jQ_D@j$~WtbSPka0W+V zoFn)Kr|wKR6M)nWk#Lx5ef-adDj$3jpV z9VwsM2Oi)-3LDec1ur#3bG^;-Ey2`2{Y*P#z~RRcYh_1E7mzAj*) z$l>oDVv^!UGG7`Cxb5&7pB|`bQ3Ct}V!(EYgxFm3{@zib6>1B{4?a_20qw(P+tV%x zp<@u*r^EcmgY}2cPFU}9%_Z5H6%ZQH#`Xzjk2Lv~yGlP~I$t-LX!M>&o{+Kj~$U~}@%j%&{ z%9w0K7{T$t!|V2i4!7g)YSC4FaWk5sgK5Ml16U;PbymUt%KTSrzICa^k%+zD>5-i$ zdn=w1pwA)rUc73c5m8k<&>Yt4{xaYzGCXuh<+z-;D9Sufy5Hol!E^j>{jQ%J9ErVe zU*Ct7`8w&Avw`lI`NAjQGonCm?yG`sNn>#a0;Qn!zz27^GX^|Bl6z^Wz!CXqAdn>a z@UXkFHQsWuFYYSk7%VjZV2x?psmpTO*5C>@2qkVlLOL*Xkj7uszdY^OLN+yWKkwPwH^* z>?t&ZTr64LMdv!-NeQ(jRcfxj;lsd0nrJy{>m@}0~3C83?7!3G$i}O9#HOHLy)+5p3 z^fM~Atv?qg?$HNgH%y3ktZ=rk*=}VA^07$aBDcb%fn6pQ>GB56%NA$x1Xw6MWPv@> zeaiL|!6_NS?O(6iHS~ID=_?f69OUpCc}$I+|4Do$>Xtd3A`dR9iv+CSbK?5%`x6Sb zLZ?wVd;;zUK5<|H^_a8VcV)j4qdIb#wCIZd87PHmBmzP4TMF5I@*%hR%F_P`QZO1A zKyW3g6R_P%LbP}Pnl6OapznT%+*g-z)^*Hxtx~<(l3MyvGW9-s8mdrPHv9fynjGv1 z&3%bPTY+)05H(mc(g*(wh_o(tK;IX#8F*sa>(Bw4>2K*WgA*V###(*B0AOX{IC_J9 zH5X?KO;>WE0R4~0|3~|`u)w@nhEQ2+&l0;9RPPS*8g=UhieGwjxY6%EdFBgJzVdpX zG5x)69P?&zdF@hjQ2a+Lw13y-^jl%{g=xMt;HY8e!=EP2rBAIkh=e;%s+rPSJS@#l z5{%P*x!1S0u5H#=w44^V29h`Z})&fYkgvwYZl?C_C5$d+^% zmj1I5ffU-eWMqX{`+tnCr972!+0&d&S|CIs)@Kkab=P7zS22mPu-$uXt z0LfmUAU-K;Hm_j;d(7c8vR<4pu$#Kvdrq#o5eE|eBviKUT?T{iIlt60X~Q{vuO?#t zWt}e`XdK8~7l;QsF(qtRr0)%U+>l$Dxi%*}LNe@f!{m;p2OH{kuO@sa3nxp1`YO=u zs^D8$vmaZJg?{1E$_02>kCEEteHcQwspHdqwk6iqki)sYRZRbno=BA!K#^N1rA=%g zdavCc9vzwLfqKo1ZTy06? z&Jq$L-m^>NpFVk~BDFj@Lp|HSikNCW2ix?PssCk?h&f|9JJ7-SXpx?L>ufj)8|T=F zB?b7R@aivF&+{3*ixcYU>`8Z4Yv|n$UEj@axH>m~bYjAMQpTDfVo3R0oFr#vYF!2i zf`~A`P1yhg1l+<2q{-7b6CrENR}7h(?jHRw&bhkDCPorO0!G8IE({WWn(dWgJqUCa z_QY8A0;d%(XZvLVmJA~CRuR(y>dwV=CI$e`Q1b$mEy2OM?*WA;SnqrcdO;63-gAAo z?Jlyj>#9AkAmx45c+mjK&a&~Fe0%DKgIWlC?o+K}%(JuV7Bs}G%T7RjO_lM&FGiTg zJy8t%^)&AU&FZD3N-JH$I)h3BcQ=L;OfQu;S;GUj77I_JgIUS|(HEW~YfGzU9M~`{ z$G-cm%z}-d%0g#i;CH@*b;yn^u`tyoxA2S0J{q=*gTO+RPuaEg>}4oQ@+;)dDsfj7 z7d4A^T>y$K{4Mgf$JU#>?yW;sB`f#hqa;^LHvM;2T_-wMd+AApu~K!=fSrlX^Q>L! z6T5;sly)o7Y|7nYNd&O@eBZB2W9btkrulk4MQLf~t#jQO4^k(R^90|^SbRTnW7&W7KiqPPi$%>Y>75m^UdYq;Jtyn`1%sYJq9$!{tfgRe%q`L^tBAF|MB*A_pO`c zM455qQ0RrS*(^dRLDB`CF?R<&OgyQb19oh8eW*c#tBdBY~igG?{QZE?r+}v z0@CMT%St?y6XP;Cg6+2QT_`1R+nEve54nj9MCj0_Ne$?q-tD?}-Fvv-z$H;u%)*TI zJt0V|SprOAOHIPd`}s0$*30j_?u;LZD+H*&f?ivv*ObTTS3qx`JFS;21(A)QqO|YB z-x^WPW(y_yOF6AuEjrIGnOF}j%_7$I#H48Y9hz7v%weL>HfpK6&+2G{8YBL5j0smmd2u_}=@#7MesjK9Iya=c0x4nCU{|cbwb8hzQ^UlwMr7NAbz! z)&Tcu@D<_<_O34o1*?LPSxxy!zWsSVQ}^aBw-uo#a=mY?^G6nU$(Cu5W}djvu`G9w z`bCTU^yMFOv-Gp&6Mrkqllq>3+xk7@sXn%IZ}N(Ab1IXXk<|*|dOalZtco;$u-cNb z{DQAle8E-L_r}r9zqTwu^W?U@bZy24(iA@wTAF*+tM0Ag8XMyS)#(w<18z!atv3$pN6!(y-d0 z2B3nctu-{q`+tnJbnbdaI;CQ8%Hc+Nt2O=PNQFMg67r4HO$r4oetjskkzGiE5N9(i zU8=lR>x%_KxE86|F8H7`mac;=|3__`&q)-d!1c2OpUl(LL+6OGfasew*Q=3LlNJ>0 zBjfFsy&LrJS@{of$`h3V;&Yah(;+$=uh;9(mepmJLOmlTH%p?K&RBXUmMBzzna4zCL5PazVd1t~3jBXpQ)x)ihEswGFhr{=!rF(TshTi8$ zrw5jqT^kqF?Ymely+D=*1>arh_i-&41UcLXmN+!cMPU<45PX^A&L0j9r0mbjLOX$0 z;z2)_SU9g)qtOaq%>PHONO62$SxAG{bltC}lJ!r!#hWlo3!|3ARnv{IVh+$|Lr~Jk zi}(*2n!wvIG$pNqxESEJclA&Cy$@_Fq=>JDxD`hsXn-S`u2L3D9;H2{<*(PLsNA+p zog-!#yNJB*fY?|r1CV|Q_7{<-37oHoV>fuA5bD;I*g4utqF{0#Hof6`AL#NnUVrVW zvn(1$qdyW{56;9s*S{YFL*c(HZ|Yy!k)E8Y(_<~>yDyynuN|yXh{|f*= zf>M|CgvcLf3MlS6Lr39jRxh>6GOj+(tA)&5L1GAkmZccB5Kd|@ONEI(!%3CqEk~;q%wfHYGUG@czi$bF zh+mNP9MX(TVX3dcEL3(GD!Obsy1RRg%|Sg1_`YDZtT?tN7(^9ReB&)!-rUbu209+B zo*a4`O5P&%+&$t9A~f1$2(FiUi;rCIki6-DXdW~S;INbpX#J*B%sb{K8wjS(qbloM zpl|OE4$?vFQMv1cp!bRYwUh!7`mTt2tDov`6`WU>#ODrJLd66SuN`T|Wy>wA^q$m8UwBD+U1zlgcGvh4&vO3V+w$?{m%{fvn1X~O zPC;FxjN0IuG?qi{s^t`gJy`Q)1UHsHbVs@gsv1D{MQe8057~n&wrO6K}Ha5))SJ}&4W!=w+H;|2>_dV zqXGjbMSN<@##_&guw`Wf2=>hhGs7cYAmq(*oz@Pvmy&+>{G<$Ql~HUGj_iL9j27QZ zi(@eZ_!^^{Smc{yc7@Lly7M+`Te~81Vd4mF$1`J4@yT_Ti~Ip9^FpfGZ>%^0p+}8c zyF3ite|tiOJaQ4>><}gKGF@NtCezEG|!N2sqAO2rd}=z<(S} zGLN&LG3ADSC);BtpAbdYSMTn~;Mp8xxVN6VBis)JDk(~m_`DVk?Y@Uh{y4)wA0GZ{ z=Qh8c6DW5DG_zH51~SKGIwlc1n0JPK%i?~Sy3AJV{5+`w+*z3uM=G+wuVaco5%Vv% zO8p4JA@iBR)Bs(L}dWO4PFA?CR@nl8;M1Q!Fpq0<=$wbhq*H z#2j9ImAUO_pV^bvefXaqnT@fl*uyj4lH=i{9z30f01qPPqNFJXPtzR2&}T^m{@C>+ zkPdT1=qU+z+Koc9NNCwFtf_u3wu4>9^?&GIscHZy5c+cUYCK z;7tV6$oBL&4CwIz4k%V*?gaRt&+Toz1b+^>+f~#H)aMe-KipV~M-F#v_FT?P5g8Oq z^9nqu4U5_k9p!~duNJQLZGcHEDN7z+l#ZW{{{gGu1F4I2w3yyjdHX&8HrT!Xitrb# zD%$+C1SlPN;F#a{Rv`Zuc-EaIpN2zjo`ZOJUsh$V;Qk3?y6n*A>wac?ym2vt^v7KrMc;*b|KgLTX+)YJHB^ zjPpx^R6$31pXA8hf9=pl5bs+|=#iC(_7ZPXNM8xc$?*R!Zs(a8TX@eZv~4^$A0L!# zBJ{K@4I_~@gU<1x0naRNbMYpO(q@fZc&#Qkh?BnvRqT7sNDW8W(!8~@rl^xC$`7bZ zWn+5~PZt~}u7)eTOB;$;go=451pg-koO(#8Z1?CuRkiv0DIotrIO`)JYkoZixsB9Z zsK&-HBsqEF`BTJjSSdcpccnOtuKxHg<(Ikc%QohJuq&&gq*!3rzoY=2x=#omdN22t5#omo0txP5s!P&@{Gdf>w0E wV)lEubsdB4o16rBx>xUttuVhct~677A&@{4f|sLZa)o*7wGAhv|MR*32MIb+bN~PV literal 33580 zcmeFYi8ou{7dBoqRbr@ls-oIbshOJED*CCG>L5i(TQ$#3&5@XjR#B~?lqhY@lA?$) zX00iP60;bC7-9%PBtPHx{VRTJy}4`UIrpBk_qpqwbN7Dk-uLjIIdi6ro9Xl)dV1VG zMQf+mP&Xg%3##fW*Hu(6-1c|#e04!hUG2hkEug9zQ0=;^`h~|%uLIm1oi03l;plNe z^}^$O7eG!gFPOY?dvhv@t7AD;bLPwk#Q%XaJRJWQm81SI1~IK?b7W1oQ>xKCqW3jN z-rV16jO9}?;0xhuJm6&#V2=11cza8MFXT3WF9dMyroe^s!>3IqJC6;v&bw(0jf{i< zJ$6oh<~aR_PMpyt_GYMmPexVOpZ*}<2St~vja-$U%j+f3*Qrjd&Ay{sHdx2(D8bpf z4QCmSy@kbwI380YcQg7#-t=B!6Yc|T@L_wV*Le@m_4=WwQ@#m9_I$L zt+@LJ)(>o;3Ye?Z{hQ~$)`Y=6*Bp3k+!Ez03MSOn)v=>%i2(_9$Zs_;-N0mVBo2ExOm0s2>) z*Dn+{tFi|EcW~OReKu(gobD6&|GNEugZ%%N)c+42AkTlAnG=aiytI5Hy_~?nK#vWa z&7|pZx!B^JZq&y(10b>(G^Td>SN)oh+($1BQ#Q~C(r<3<9KRX#j7lhcz4NED%TxJ$ z>8_UxZ`13-5LL6zHbMAoHQ4Efn?> zce^c8N%2pLGvIdF!bzzRi@5#rr^CZp{M@3!s}JUJNtFtT_+M2eS}W#RrBMpb=A=z) zx|>Gy(NJ*>9bK2C4l)v>*1PDILLAu~Ck1XDeh&CEQTlU1TUfIJ!diE9UrJaMZ&APC zd1WTYoj8+Yu=}TG8YiAp490z%x!dJnnObCWV)tFOBJ{^NlC2`H#fvd=^xkwq2>Ldt z*kL=rcHWAP4(aGxKHC8rQDPV00BuHC4`(PzlWvIt#mX+7Lbq{3=VL3et7D-$b}|W_ zZ%s?eLLPQ5TTFW%^WVubZu0e?`r9S3A;Chn@xWw88bO(59npV-X-l*)mMR-^)d^al10tvzNxvN>U&D0ikHeMRuPrfvCwd8>n@)3hXaltFoF^ZF(|IG$W zjz{}Mh2XQ3T;8xLbV&nhY&z$rYrfpz*=o&SlXd(v<85Sj#OmE|sznX?_oc7$NqJX~ zuvg{Jg!Sr5ZE>^Xp&P@Mi7QQ=K~@LS*-ra%w{3P7t}A^GTAsn_j8zZ1HV9HN2c;$s zE375ia%U^=Dn8q2tfn8ps|-FTIoCgJa9$Wb7@D(xU8N+!lh7f-QKc2whr)iek(nhdmLWuG)5hY zD_s*BO$3JezNMsIY;qSfI6yVt9^EVbKt0R(Nnz5^bL(_z;Li2jZ6AM!{?eBA7s|7ejgW^iRSk-^hcFS1E#R`Y^0(Zhpl?+Tu+&S{b_)YUF z8Z~xoizE?}K4FKS+%!79XcL~Z^X^8>Vqsd0z57<~`*m6S~zFg7N;zN%gv6ITfX4A$+LZKWZdikbphI40gZ0Q;enkH?A z9H69sdJ;x!Y5wEmZB1X@G7HPU6pd(xcy*;u?>p$h3SREb7YqiC2Q9+s2Tj>rC$M(0 zw^5Esj4zX((Uv0vl1(Ase(!JC$47i-*t(@S9sfG!b=(57X!VUAml$Ll?N2KA6nanF zYl$bZI(&vZ)Ws?E3{00js#F&V+f8wqk3lwTi1%34q5UXXCUq|U^j@d3N#+tW;995F zPnR;r>Yl{o%x4}NPr7D|zq=4)H?ylAT!cWs*eo8GqRj#z&)u(l2pM_Qu!#OW-j{gX zu)kl6EOW#WNcGcNCHUsf(wGZbCquBGE=Ao)rxAm!myaCwZ-6TIk2mqXshL$fp#4p^ z_VAdH#=$|Spc>Pz@q&TI5tChqgw98$Gj;B8v6&Op;8II7a0IpI2%R2|b`l=(trkAf z-}JD`c#8TR`0xc_ww|9B9urnvaqqdwmMA4H!d<(;rD%C@ZhAiv^C{vKXW`%xto z@dkP)P~>YT+RQ(Y$qid;kj~?1ruLX`oR_1;{6zeLR-deB2@;|vQ{MR5LpwamzH#K= zt6}}vTm9Dq-Uls947jS9Pibmxhe`eo_y=?;H;?8>YwJ?dJ@&ww($fbY6uYM)I49&EyPyKd(A-`mrLE0fw} zML%r=^c7oW?Zd)0`uJQ#-wPcE>jix|*s=}3!4qtK=Cg_{jw}$i-+^+uqCV>4tz+@x zC-uin&)y*@o_eS>HLt^@(z|+ldiia#VXH!vd8P?{u=b=7XkT)It*sD#ZuI*^wqM3v zS0k!Dw&ae}TUBhv?%c;hmC-vgt0fh%-X!}+BK31^1y|IU4}UJKyd;s{R0<#y;!NIq zCQ7ZU%Z#=7M*G)Ix{g(G1^k!(u^`(XATm}ZEE!vFO~G9TL0s+xWb@YO?7!_fChiN= z^S<^{+{c|CtFn9r%0Os&T5Z+0$k`Vkxp1tVL@+Zv0bTUcT*T<*qJz)2zq2EReb(91 zC*tNA@BbLJKIKJuNw3q?<;j)(Qo_Hpk55~;VBmq>MH2zm-gCxRE6k6Ir>=Ur7{jPO z-Ms$RSZMgdnC`Ezhl_0k`zYb+K(=at?}kSxwXMOAeQAqtyy@YSw0O&mk!StmhF&S5 zmhAznN@708EDHJ_j>nHv8ok<~6$@kXzrteT6n~!tUh_1%^y|bOv{k-w&LCG^tJ1PtT*2*Y2n^`0w~+MbHHRkdQ|LGj$02$ z8oBhHeW1|44vO#H^y`W#Bde)nRYLlHo>Sq5C2fL7vE?>B^pm?Fh!J3-Vxr@vRwmqOSA_ouz$;2C{h(9YLco!i$-pRFcT|tm`fUO4mq{ zs^wypJnvvs$)mfFRMM_#1|YpT7GM?7yIZ~RCA%ys!NeRE6u>QmVm4Hge36~D6pawr zg$l2<`2^JeF#q$|89zVfZ^o3=xeBc~T>>*~{>5L6{*4@;_YG!bfgVB782ZJ1^{uxpnV^kjfxMhOdDjr*{R0;>A&887+Ny0?{{`hYOwPF48*!ISY1N11ov5G z_{JU51e@|pMGBLi5rZ$A9ThA49EaZ}4#YVpSuzNyYN6U zGQ_Cv?M7?_A{P8?%c&X>y8#p)YZ48(J3Fvn(V)s!JqvmsIy_DfetxW_P|X+`hd&sw z_}Nw#v7vZlQmp>fOZsK9<;6*nEAI}s%Iy!f{KCp&q=rCCMhb*H zXwF9_cZW8FgG|-ZakhQBENLDa40)G>u;;_gzd+UxTLd7h55Nm*+<)^<^xrXU_3`wzVnw0da;6#V$PX!#h zJl?LO#f(~7_Fka!3)3@Ws6i3haT#6We=M-4pP|=UeDNyZM|in zf7w3AV+gPkE08LhR>cxvze+2;Vf;JT?p;n!D+-ePSbwWVe+lYke4}2+ZRTNX<@pEMI9>dRrn&QC9K5;I-ial5z^oEOhF9gXQRT2cd-J_uDd{`V-H#ls z`u&!*+wLnl_2vKR_twk%%hsz@=!7Q3yi9no`}dP*D1KF4e*VzwTT%~CU+}&4%rizM zrN0pAh7zp$D(Tv0DJyO2XW9fwl>yD)n@pSZ2fDsAn(n>4`*C;S55M z3|`zjEWVRt4L5AxzAN(Yx~|vrA4$IJUJp(B62J~TBzq!C61m)FV(+FH*5U*xtIcB5l{?ReI-0ozFQ^iKmJM)U_Cm@tNScUl$!<@FWl5IG&I6F_7*6 z0FHTLmo>vA;m=y3?DX3FZ!;X>)BNL4wQ!6tl}f!5#Rv8Sk57fJJ$eMm1b}Gma6@Tn zzbTP1O*bwae>q)>@X!hRS<{UL?l9tQ$p7C!v3j6H4oO4oq+bM zkeh$|CVM;m(;r&sjEW0%6u;q<+3VXFJmgGVs9E=_KvG(C@-r$9EVie|4GUM}EaP-#ss}#Tw8U zwK2KSMs*1KUmfg6J8EQZyzl~V4QID4hs>G{zWvl^UnS4^D>$97*HZ)BK>EvAgUcQs zE}{C%kOb0885Bf7g47HZ#kU(~85IHz0-Hg7Cx^ffZ9=vE#{&no`U{>J-yND`iEV;u zKbv-pU*FaT*^CmAOM7#{j;1-WbIBWXUtXmNgyp}{cVXL>3$yM-k!P3+4@-yrytQ@*6qN4l3owJ-fzicFAVu2;D>r~7AI^9N!SSNR+tkZfOY zI`0Ygir+1Jp&F;eZva(soMJim(OwnT){m-Usqeow=u#sba3WAY`eFV3tmC0GeA`Bo&m7626RI`79>^|H!{)DL~9)lO98Cn_u!q8i$)OeOFWye>*oBQ%Sgp) zxQDX%%44ncW1SuI=1Rn?^kpZJ#J!B7cGR42Vbf^bUhv@ zWf=~$AEi)IajmjOUHbYQUKUcT!`OD*!a$dOA60JI?M7Js*}d8y?M9)w@ibcHACwy* z*Ol&-wR>D1w@ay#pWBxhH9>kLyu5T4@Zt1EpJ82*jr8 zWf{_V3w|0tu=7rIQS0#u@_wU_Pa%^j*FWdP-U&E75=bTn*GIcl3J1I`FNO=$IgdB2 zn2=qSMM>(>B+RHX(q&s9tQvYc@d*!e%(D!qlB)N153lWIXb*Jl(n{~-cx4%G>sV-p8JBpZ$5B1wV-WA7%A|FfP!W05lZT9D>icMIm|F@^9s={H8|V?MVDj;^ZR z%JwF9XTFoaelGU>NYQeFZfa$irU!R{c)~SFOPWKVXlxB1gZ2sIaie=E?BLndV=xED zC5^tjP362U9nK;hg|CMbmK$D~255_Wh;(OZhlk#SnyQ)avd751SP1U_`$zfl<%MPa zsg7NbNgt*l#TFOfx2|l|n>}~WcZd`9fWU}7FLL4w{9V#Q{z$Oo$168cXWd=ShoJVt z0`by8PWGE$ZpR2UbI2mXE&!L8T59Wk=vP1aL>_DVDdXBxFrP+R1b4$k;dac1F46Ia zCS-u}%x{r%>~o1l!9vz0qtR<$S+Q&~yr_kuEa{ij_4-W|PSOw>jyT(-N4;GNs~I8R zb--rI8*330$&D?uO!aSHBZ%wiG)3~1n_PrkJtzJHIocI|gLs>6F!$p3?3+Aixx*_T zW$=j&Q?+w-M)`N%(faMTrP8DUZCCq2+g5{FLB^<8Y81+|{O+Oww~?g`wZJ48=T*Wi<(#iAeZ*o6!N+nDKrC)CW4ck=ZWg69y-2Yw4>=i&TR z*5v+HtSPa4;FXk)Mn9{Xe(%%32i6xDTstS~eywJ@_fKXPP{M7#4K2XQy0l8Ivug48 z7QHYip_Z*T<=+Kcj~{R4Je>d09(Da%>v2R0VlW$XS{jMG#LiGVg_KDS6aDYav&=bH zS`laPXlY^`Ihmjrf$l7x|6MrcKLGK=yo^Tk82X0?A0DKb461wnoVO7?bm3miNZk@I zxWH@n&C(Ek)p@3QH*?|9I|$*>{<%Yhfd6`9sX$k&<5Azz*s~i}5Ai#+#Xr~>MPo2B zhm)4gnNFnGe=ff$9qgd%RdISI)CMzCXanL(ekwUImiE$-cHgn4yxmaQe&`nz{dt^x z{G!>MD#ee{k^d0Ry(n|#BSierM+~SSzaHmuAt21@WV!zVYg@-JwcY^E6A5YQ$X6v} zO{~FTCqG^YBUu8c=U`s^dPC3HLPr$O>WyWm^P0&qaLm~vX2e{v>E%0*wqX{{C_j8M zVN2-xeV(>g*D+#RLY}2aal1~IgW9QusFqZQnaPI7RkC)orl5+=wISF&vPg459QjIf z4Qo#7(+XSp*|*5k?EH?;+2M;cK5`)QSAD~4(h_e=agovtmYs&&>3`*r_DX?$xa7aD z{aiVcVLKZuUZNIxPmF|*&RSlDYiNz8x=T#Ff1xJI9*N-8x)?Y4U&}~SXB&&GAtz1K zm%@-mN$;BcUxeC`|JqP`d(wLvpW{`Y3!3O`;Q|aC2;~X`UaS@G(H~t57Gp*J0B+Lj znUfM?4G#mhz1zu}(qGwQl2a}xjC^-hO4>H{!uRlsY|bQCt*m#uRV2c z`9P(VhTk_Id0mGb&2r0wlu}^7ZoVGB{r7}h-tuw^xc=r&=k34QXXS&<^P2OF&&mUU zDQ_U^-8xHaxBqJtN#kE2#N7VNrtSr`g$^QIzuM`+tkhC&x;K?`j5p63+i%|Eqw7}6 z*NHgS_}PRb*qb8Sws^^wE!zH6FD5z{_0a0ydjy9q>8FK*lPiDI+Z|ZM=|c5!stg$J ze?k*mHo5#C)}txg%Hz9giY&J>^_47nyG2F|bBvUwN}}2~5@Mjm1D!s}8R-iyI@;Ae zvO}-VQ{A42XkTgfSM-;pZe4`QQ*#NiCK&klvZlMUIb6ZivRCOdU4!a-d<PgA+x}ikR&^3v}DD4R5iC8<;<}(k44xuX@eC{jtv0wtX{h zStns`qxN2Tu_Et8asC^8CA+4YQCG;q*nD+9oDHR#-&y*SqB)W?YQTBO(kydUV-Rg` z!9J`{7-6EHrw%@Mgg%H60( z0MFO_ZwH_7F1e#XU(asqwHUENF19WOS2aTAXx`-EX3GR}eKW%%Bl8wAakt{WX5lP{ zeZOC3l~$|c`@_%V4>P`>x|%v1aSLLUhXwK&${3Uo_55vVxdySZi_W_%DG6Ad0!9fW zu^4gPm*&*0Wdma+>$F-&0#g3|2)oSCCX?nyL_J!<8Mb7Aj)Rnb3YMStjO-x?#{POd zGm4Q*!AgUnhl=62cI*AWjz>B|PLH%?E@>zoYEaaKf8o4;;Ht!-uy$}kF>;#E?X@bE|M}X_+dK?b_=PcJ z{!?!`E48(O^0X-q{58vgU%9?mdxj=T*1Jf9`7YTs=16wuaKII)Z2WK)4ASL_HPKlq z{^_PJ0r0wkC&+bcoZu6V7M*j6KyahZNp$`BP<-qPUq>WOX4}HWW#jA4@{`b1O_We0DV)d%O3 z+b}NjaV`C9H>Y3Y0!;;TgysV!NV3u0qe)U;s|Vm{ATwN-8phvrovKMFPX36HC1Z0J z>`N#89FPyAmCv9GIErG^JKEem>=ynD1sJAaD;&ERYvYtu=Xg5+f-`Dj32W1_X3#sy zWWOM1#Jo>l?L7WNO1!^N&4&Qc9$ruQV@$Ir&ql1yTx$21*%qCu{Equ{t2&tL)BuiO z)=NQf)9#WTFVY^6KR4H31RvO>M<~S@OV7lqp5O!c4bRaG7f)ku_+|(TM5h(P#yAU$ z;Y6^39s6e^V^7w52-e^K_9b9qd*I;BwVI$jJt)!qeJbd&Ia@>Q$AeD_)LpEmzSF`M`Oy9{(% z{auO=9yuqH?OSsEgk$tW9uu#TzsenECmTtEv3y)hfy_osuuq(`{4^Y4)=Xb79Ky4S zd?9*uyvhJmvEq?T3m~AwXJzIlBN0p})qp~r7NH=r>&TOb&Pg4(3s>@;2Jn8^n-!oL z`x@00sQoB7I{4a*jSKpRon_zbQgd)PIsQyYGTRY1bJIBCDS1^D2RWe+|4Hh*S~~b8 zUEHeQM(FR7{hhG8cgoBbFB_wg1g*SLww%H8O^|1i&$$g3Hw4H; zIdF1*eF5VR=HiAo85@P`ux?7RfB%~_Xpg%>Yf=pz=-=m>D8bWLmlr+wN?_4H7Pa6t9qgvVjoDmz>my01 zjNBsm>RH$5t4Cqyx;JII*1#6t4V|dSLVTKcSH(crXgdJw* zYlm9O-~7nbL1R9mlbpu@aTUv&bt{8hf`#TPy zPgasd>D~bvsZg_d@sfF69N_?e%rc*WAuq<1a${67uX;X*Zz?HQ(|wzImmHe~qweK$ zgAcxb($o0JI0N?oIY^Y;Y@l|rgRCt*VD9cP>mP4$zp7q{PMo-DBm6$BtEzWpvXo=W zw#`~JbMghysyo%4sp$Mb{rP{vsXCgPs0^l#t#&30<%ZLMLdMVbdWbdaz66+dHV zC0MJ1>(A+vG_d=P`SAP4z^ym+9{sVw`Ej=_EA~1G%Nd!SA9 z>B-qYB^}ZFGEocxS~Vww6YR+T;jXaFZ;?!f*dgmHGaW3as$;IG5$mDXiePh91^C=9 zm_JH6j44Jl`%;LuX~oNB36Q-+1SBb@^*5&sZ_=7Al0V-B^YVEx+3X@%_1ktU3qMLU z$Y8L4KoprV0QP&4d>$Wow@v%a8`GuD542^mQ+`@IF2`C})o(S5PexCGnNg0fB{y%^As()DHh&C@P-I8jv^iZcN$Nq`vQUu*H&zKVxkLEt?2bKb(_p1X%wy~?aTzcF zv>btqvV8YGCed#&0gp9p$(pmSF*K|>N zC&={e9!vJInm8oaL0mq+_)z74>~ft7BC1>p@?gn*X{hv$_+ud=nZAhSASy zob75s{cbETQ2g5`%Lxu6Cd4?95_dT^Bb%> z$fjSfg|ZhRhe}4mUpa|2AX(1p#6mY}e7yzyX4p}>H%OL#yvqNetP7Xy-qUMB+<%uI zJC0Zu60iBfIb7W9Wo&HN(L@)vtSG`isf}*miSmf5lS?{6xFRHdGR~%7m)jF$eR#HC zb8gWr#D2N{4mcm8e!yaRa>x?=cV`uWCc@aVj-I||F*MMv2(UHK%oCNcP;p5$G82B? zZ{J+xnO`4(@;^vTdyM@erP#KL4ZQMqKO}M9Het6w`-V-wQLFBS)2lq0OW@x(Eh%zF zz8n3%wr)MahE$AL{}#6wq%eGU7OeNl!ym!l`H80M5VKou@1Wgf*@D*? zq{(-^lC_n|+x^xQlw<{@Jy<$RC05TOtl*2l)VyQS(?+FOPac;q#{YmA*yQh!wS)6W z)v^Pw+JKuc{m>A=MvC^_r%mv2iEgj0(niC?^l;ytrpq6@UFUjpD-Ok8y zc_n={#YRhR_jztFP)!YRzT5Rq%ES_*GiGEG4|0F&>Ef2j=tDSKcan0qL4{9+3{I+? zC+{Z}gD0?{|IOA*^hs(HJ`xjFx+$xlHr`B*l zB#|1BqOwMg*H>)sJKvL@Fkt?=cf1zZOeyV}AIVKAXWS>x^EtCvR&3h_ph3zYM1}J-9vlRpT%u|mYjeunke90#4B+f3g&;k+R+yvK0-AKJu9BVcf zLVMXsEb%Q3`AF1OY(m$2XSPh2-1wvNEYyu zcn(8S0;uk2qz7#}*Ayc7U*B1~snHHxGsQ5b-aPlFggv@>ebPM2qv4XdEcU8CI^yk? zj0zVj_*0D>jLVl|CKCa9u~+V2r-RvC>Ii+(Y@|eWQaO7vc1!hFAyo?ga==1_iILiN zB9*r&!ah4X7s|fF9kDe}&Srt#^6eXb?dBMD26BdWJC;;pd;>^7Z1H(bRc11j!5|_f z*3^NRFE zbBk$ z;0%5?1+ZkqGAja0;AET!Z+R*U&*g;Yus1!AMw~;{0u7kP6L*VcM(}2Y(1;SFi3`m} zXF#359#c#yCNGkxuPaD0alf47O(&^~gK6UIdI>!PwvP{B0|?kQ7m3as|>a zLr996ZQjl&?6OmhTJX!yj(VjCmfIK>w2d8##eoP~CUT%tQVHCxI= z2a>0Co6f_Q&oH#9K02fL8t1cQMtDy1_8>u>l{!o)cnuQ`(uq1bM@1K<*B5J=F}rSQcA#nH!m2m zP7#4toil$XaajyILS0UDe7y&`$E1PVOlz2jmsD&PA+Q}a+5XGq$U#9GK^u@ zskaE-<+6rCm?LRQudJa0mGXbCpCwl{JBD52FcJV8Krf%}ML@TVsK-)&5I_+nF1*{f z2=)I5|7$*A0^ua*1*2pDD8q~Gip)lArvh=7oK3QnJSx{VSF<+Ltc1R2?LyQR6RJtg zlYb$?hZ||Se0V4Sb-r>1w9iZ^GHgVcX$31p;&r z-|5s}lbmJ`B_g+YXJ%8UUCAP%AAHQN?uDqEF8f{;EwR=-}WQO zuu&rkN>Jr6LoPB~GopVxm5{?mr4dB@8U&~!|1)(mp`=YIk*{|>-p3x*r5uGQe8gj% zKxDyYW20Uk6o18oc;P1DIV`C0yObnZ z)TvW+`k9#%UG1(%cj&Gu>iMe(j8L+Ent9^QiM`8=P5p$rzmqgVdqj3=ZX?3qA3|HU zm?6Rs+Ve~>GYxeduq93g6F{F6br2w5VzM)R8ae=q&7BaeBpj}{b}?g_!1!YmebRsj zpjD;gW}JtiyDXVau->yalO;Je_6}zG{+k>_P_&2lqH%Yl>O8(-^xYpz@wv>7Oi@}2 zCti8eyN=(%KQ4Ep#}?!Vxx2z;LXo8M4n zXDzprnGqW9@uom;C4wI{*m{dlv}~4F3oEg?K!>ON1+3NPJ2BYE+5%JVhCI|mg1E~) z?k%X(zvR~ar!uvl%jSsZKpGPSzcri8kw>oYMwNU77()>-#nB}fFK%cvkxE(^* ze9_N5S5UrzB&?Pb1gPhxUgAedTN`wYp2Gf1^7uut!MZI08}Cc`b(*o8O*uz-0;oSr zeeu8Qd%j_8Dk&g9s5tf0|D+DqB}_0OW7k?V(V{zrvD2I|#;MNu=es}tr&^vm{y!lw zS>aTtrwC=f=btqNF6#GFZ)G>{=nUkgi~1>+@ak+U%Z?fANSDi?-n~;K8W$-L(~8{m@n)aOf8Cc zV-zng6Ml##NGM`P$Z)c;RAnRLm-q|^$}h3gtwtvRom+97#t+xt=?X8%lnlSL^Y_7` zj2=qVy(w@HBA>&CRcI-@go{)sr#-eLpZ)HB%%YhT5We6M*2hbfeHfjW+u6}(B z<)*P8Yg7J)`($xr`RuVKOGmT1BuQ%Gn8(}0`rvD~{LszD6tqp>#bVP(%d^d;V*?WS zz`CaUpdv`9IBbNqrZ?m<$zm_FdzF+yVZAk|C*M-Gk#3bYF-;|i=!7l`E}13>{_}{% zye^uUF60DR7_#Y)zU_#9xtT`nnkky+(Y=|qZmK?J(v^q{B9+)n0Bkf8w-y@~KVXI~l3{CeVb?Q}ugHW)e zJ6Wk2^nu($sIap1y?t2Jyu}K++*-hj-~nt1Cv0kP1YOwKE(|@p7<<8JD_j}7e8xZU zW`?azK)bqS#LAV%u!4U0I}->E&V0Y*ge@cCbMSK{&$^oiOr1QIMOd?hu*(PsnX1e0 zMj2)Pv9s)FgL6@j2wSW>&~OMZDtLli((}H=t{K5ge{lhgsbhf^ae}}n(od24Nxo}Yw}ia6%lG%_IT)8C6 zXeWp#t(Nr28uC*+2wzThODOw0RGeY-DDH%75FaY_j9z)kbilACbPGtjJMrf!?o8lA zL3IlQbl-_pBgv-7ma>B;j+`0$ljOf_qoK1AO0O|blzP&x8=b2-2U7ZEP&q?`aneM% zyfbjjcxd9mr*n=Ol)br%bGyR7+#1wXKb0shX^Mj`97=T^*;aIo%xlOL*4p<4zQruF z7_sDNww}NUJN$H)#e?tQX}uWzOqXMJ>AuR->hYBS9^O*wS$3TP+*w$vlq?oc0b!S@ z-_E2j@2njYy3D9Ggu1Qa%N@IS=@R6EmV*2b8M+*=Uiae($B_y0M&LieNeDI+gr{VR z4{R#+k?p({-4QhVFZ9YrYtZ)vY!>p zC$-7*I%T5ApI~;Hwp#qi)iT2EvFNx|mf&s%iZVA?((_sptookOLh)bv=)&xK_}?ra z@Ah_!rk2(3XzERJi86L>S?ad(^zg;2y~;a(-3XaDy<})5W_RcgmTnEX(xMqO; zWCYo|lr6_QRxSVZFSQ-A);E`B*mYIH!+~7%PkQ^6%%334Q^EOvWqUd=6vs~%aUWkw zKJL@hnqh&1A}4P=vY2FpsnJ3nJ~Rti*5QP4kmVw83@PWCUdeR)wPOwKyK$A_kvIp4 zXmGx=D?SsysP4Lxnf!bAoVd>{4?R>A?(JKW+c{Yf(R0Ho08x;Swe(ACOC9%jFboFJ z(7Yh_1L`qBJyI!lZ18O=f&+HXcj-MJLIQT1d?-h|M#esaxAnoe4YAyJ0`C*Q+J=Zz(IOvIWw`iwW@B0F0f=MR= z?_Y*R$L#&_i@;4-H7g7c4glWgU$~9jyYo?Mf8n2jjB9Mar^`-O3{4Imco3+mojKU};-ADXQ4Y+T6vEb>%tVuQLYe+f z+$>Nz&&L1ONd~Uze}&u`z1j7SH1UVfGHv^uLyBn3pxZ$;N0-fQ4F-CeQj1~3BhQz$ zw+w%R>e3Yo&C`-K4OCADQ`FG=*{Xy57>dPO>j`NoU;$KPu*~fH>>hr&xhJ)V!{9xk zkJd+V!j+Fot%`pE{wsA#LB&U7&d8b0-O!g3oj^R-;bg+Oa=|ycVx|8r+~#(mAA??p z0tXEb)K=>zAFB3!6}p8A z{!F#(zlQL;1`JWJi-Lo7f(5?b>uMC=*fGe>>v*URI%}{ocjYgIH%MHc?@=bj{j}P9 zTtVMISMt^6kZk|c04GGfsiJr9mbo57*%F8#K$?;zJ#I%|{42iDIda*u!OyG>kYnL+^6F8!SEEXw_!!!Q!Q8Y>m+Li0QiSd%r%s(aDr(gVS+YYMZAlr8l zJ|~SeOf>sTnaWT;d96A2+3XB{$Rn=*_k~vQ(dr@bDcWRnM{5WiN#Ph6ZNy_xrdBZm z&Iv|KWaXVybfupug!R4dU45^ zs<|RxW6B~K7CQGhRZo7C>_P7F9!g$@W`Kc0R4$)IzTMm|qdK z5duex<*qo*dySSMS)2{@mIgj1C8;d`LNgl{WD8gT_LP`iuk0CW#Qq0gD(dQmvx&qL zacKwrA#y*~kBRe$6Pe#1+j){^_djz@wnl+@p*=I9^*LSz!4-3yJxQeo(;ckhRt!a{ zBuyPU0AAiat!IBuJ!l7vf9VY}P>Fcm986nIZRc5>4$W(ZyXvIX7N!;dnAi9?CpsEE zda^y1>`|1ng`&m{mR1$IsXg~^VrWq+qtVTfw`{0Z;o21)#{{~GPGm*ZCLAoO#Nv_gRZ&IY19$D$fs3Io``ZiXB2HsRp-><#^V&NY3y8$lW81vW0mSN&nfz=lo4O_)EngW0kV-*dFDZO2@`5g=qitsYyr|9 zyv}j7FuIk)rKt(L^zL&EaMqP_RA`#DI?oMKHS^ohHn=XM5WUH`FEDLju3Jd^L4aDb zwP3mIyuzYM3~Vkr(A2Zfth>P)f&`p*gzQq?mksU5Wbsj6M68#*^Wd|UL( zw$>V>UCq&Y~QG4S&iRG|9K zl$+u5ar@$Ni%c@Qsm$fFMomUKXnb|nphLJUICz`wZAGVwJg>Ee(+5@vaE@*{7Msec zzuxgdL%M3eV|QCG2tnja8VDZpGvUfJ_MYWjkw5&84tUh$0>99P_{0pO;s?aMxeFv* z2IN8~YJ<8`hk-7r9O;v=5fiwls<0pCfqCL;P#5Q2t#6kNloW|3gMhw=hxx%pWeN(R z8?!fAq*Tw3%#q1LjfK~N%hHLf&zA2KYV6#7GJnF~Ii%PFQL}W7>Lk zxHNk;4IjFcx#V$V-nUZ60q$^sN-t5t_2lG(4vz)+I@%qoo)p^4bWo;pQV3f@=@WV9 zlm)s_z8iGv9lP&cO0z47F0ML0leZ)r2KNnHWVMtRGD&<4(}o>XHz*} z>}ci)1S{?A)lb6$k!64Cj`qf9F!j84Sh~+jLz~-WwH&9W5X0h}nC$+qhGZRuMA3~| zb8(z}?!|?%*;$1bDeH^GbRl?%<4gqmXMbDaK@W{4Eot%3JdH_1ZtPkDMm3DAB4fMQ zyM+2HxJCAfxiwGDOULE^%63y_ZMVJ#cC=~5w`mU@`{#ei6dG;QaPNr|R9UZ+IT7D! ze;4}2?V4}T#b{Nq+#&X0!O^@*u{iGNLr1I>(?9f^jcY&i>2c+dtq(pn#e=>{_iNP3 zPs;eckPdx;36-Avl&v4%80COi*s7za$LiB8k4>flA-2`#_GeF-ATA?=Wx%FjiO8>p z2whZHg4wa__g>~1q~uuVn`MY}qlQ_AO6?+ET6Klmy%9w6w`kPyNO~^+?0R(9!eGfg&4?B ze=3^FI(!j9_>7V_>0PZ;y(?0j8`QIuF8*o_aq!Gs$zR7sRQNTY%@1i#x|kfwN5fIq zsu+b+YO@r>WS!bmT7_x*9OyT<>kWA*Pkf_%ZVtiK6W-IK5kJe!QF&8Qv=BGW2pX^c}x?xZy&#?H-|gv_}!{ zX<*fB3zr|-`;_^R#tM3`_%S=ccqqyGf@k|z8%N=J?%c%a#Rttjk4N(HN;cBQxaiJ$ zK}orcmWA0oYyH&CKJwirpGp&Z)LoX4dU<2ouP;Y>s}F*o+%2Z$L^{-k zY#$y+FHg4MrThCPYXtvfR6z-?;VrdLjYP}ZylFix5}^n+JG5_gv-&&6Y4J86WfCIj z!Noz!eCp0Gn}{!kA~&fmZV4?f_mWpEemva!q9PvBx-v?ccAnc@FnadJd#bfBBhEFQ zj8_2Rqa1F^GNTb!apATqh($lzi6(oQKY$#hunYZr95*9)X)M#H5GTwaX<6;lOMWpu z_^dq0*0iWAZii(0>x|F~^163d9nVW=3kEO$*)(b$hWj0j(Mtb&iM-wk_~)A&B2~1p zR{W0k@|jaKA=mr-O#@uwe*Jb&X~6hg<#O3&CWE)1VjHWmwx;!JEXITVOh0NDm{A@x zJ3pHT7I504Q4@+unNR}7r3rUnrFWc6;Wa6PQN9y_YYUBL%66lEqgK2+FP&lhR?)F; zt@4(k^vedl+uNzTg&j;PP2(r#MiO={0X$HVEWTJVtDFoG*R8s|<{@S4t&?3%OFvLm zj+2~Uua%NW9$KgXRv0+rCuGoF4rI*~R1#s3Z-m;I*umv1E1q^`gli4e2H$9i5_NGW zWITa8V#bLAeDY-mjp)~R(J4GHn6w9OTEXg^>y_?*Qy_a`&osbZ-RK4MpyR@@XU;1+GJ4zS?hkg-%b-kVK$Dm}Mpk zRVOXnbV7b+eK>oK`-Hi42dHaIWMA37Z#CeRp-5X^Prhk8%VNr_*xn9qQ4!SVs+mIc z`CcnCHA7hy*ak;6qw-Q^^0&NqUKNF`q?^!n9A)m$5dCBpp;%a@7VY`+7FFElLcoNu zF9w<{(@G2!-!R}^@PbzUh{%6u%s)aq$;l z53Y)P{5H!C{bvr?>u8XX97oSm@_q*WR=4r1hk{EQ#^r()p;ya#|vv~Dx1 zKwe2$UY4g=|H=OlazZW zJ{Z&RN6*;J_sUN(e*@aVwzDgM`R4w9jheWhAQf+UNqj)Fz!>TGf=Srao~?_w^ylx= zYT{-W;3S7~k*K-=Qr`!sA{Qo3#t6!Fk{CVji~d0V&Tk&8AAXy%WM%TDe#uxH-1*Pw zCy7I=Epf&)D>+qJrPx3Q<7>nv*0r)HdT}a(J@vD=vi;2HnPVi{IkKX^?N}XWey$D$ z&WKa?;p?Fr#OA6s^OsUq-C$ByHBIw7J;|$+exf)I<3ZYWrjet#7SPMNLSxTF(Yt{z zWHTwIi#=*@7)5dSjF^aktPpU(6ceqh$cbG?^hdn|K5eZ(;>DB!ZL-@Mjpipyoi32x zn=D7sf<|LKqkN+Kd7cs96f7TJNUpFP**PupU7N6O<(NLm8+q+@6^Zroi+19^7?|+c z`J_Fn)AzTSE%Feit(&zYFC(zJuhgf1`=a$I@v6CL@k>zAgC6=Eft^$duZyqWPli9Z zjr;E8F)r=x=t7nzU7f+xjOKW$-)B9}Pu)~L%2uvS?V$@VJ>jm-9e!WeZ_U7%^5+c1 zh%ba46=7k*81Db$H>n$fY}Cc}C@B!eZRG>*-CA}Y1g0_v+oKyhENmWv(ff(3&( zl@>mMN6V6Df?s_~R{kb)xKF+Tfl#!Xq#FokWcr@IrI<86^j`^3aFOOLdT83J41~J2 z(A=@eG@13?5teELk;GkWjL*~T@k5;U?Hc#P4^2y^{G{#K;I!c9cBSNFi|pT91e}sg ztvo48g@UbeCBiy#hR^@bZDcLc5R8Kci@ys|^uT9@=lu8-i-(T)gRJWEx z?!Cn`(}a7LHR9U=hn(vMD*DSx0hLbx^A08eoci?g-Xe@l z_mXPayz^Q=hUr*O#xm|`!t&k~CbcbqC-r(HK-b0xL!tp(UMtWzT-*_jr$+CD21!X+ zg_?;5GuhSC5nDSSi)@AgjA!5Hl3^|D-<}S*XRT?>?%H0pG;c>pr$! zBxqKj30K_Tsp*3ZyMB)8PQXrCbnA}eE}EB$%Z zkoF_^4Mt;fj@f}Hgn7e)-uUoB~3%fOTFJ%1dRNtxQrLKcY zJX)-4K{c`ynjQH_=wzn2M?PufrQXtT#-vCY;Y%QE5^JspbVYQpBKw-|gbz`=eE2H^ zXKsL)Z($N-{%*HL6kXW+wkoRvcinsbj)16Fc(qPc}xASX-&uS7}((0uOSIzVk<%IAG%T7S0rn!!!`lz}obg!5+IN8Nt(mRO*mt zd)#uhM=XalJL3z0;`$>E3ocWH!x9BR3Ek8+2(I(Kx7r<~4lj3~dT4$l0yJ(o(zy}2 zw#|t((P@~-WdI%IV|dTFXT%QKmU&WcM09AIj*=SWh`>EAIgRKvVY!7YzEi`LMjGe* zjq>Yc$a-P3#q#*}f(+?|EG0(3&mhG>MG9V@<$s*_X^=| zZBm`rn&hrrzey_VpZCV|^AGN}5$4B?B2r0I)&bEaq^kLE@?3xoti4f|M$8?mqG38w z9RGBB)g3u#RkUj_VuV(&MH}>%URWtjmnc%^4ZF5H_06APeml8c@YP#S?fAL>V!tkn zn$P{uj<#CNYIm+a(rY!md?Wm7bf-%_SKA&ZVUTEgcst;ZRgsmn7Ggl#EBLwZ65i)7RRXshI(=zdD!eV#?OiF2QQ9 z3^_2(} z{@y-4X^}y7@Pse+)eg(F>r{Z;9o4iZBW^vDSq;gtNpAgZPhTdBr^kbhT>Tcgd>qNb zW^w6yqVyWl83ri^-ya6Q$$GA>-+G0U-pDf0N^-efE>E-tY~97Mml-pE)vignwAGXA z+?k42Jh3XP7kl*C=ji3OJb;31SmL6HYvR#7w8~ZO>Ddw`704VlnCOgdFc6dK>Goge zAD!oLgeQJ@V!3WNJmZY+>ZQp}rFh82h-?#V9nPQzWpcAq7Q|B@-JfUUf#-eQ7NQ5K zxlTG$ss-0*H@@u1%=yh*G#MoRk`7;B)IrXlvt1#sCp-Y${3yoL`l*NfH5-kFohnDJ zXU1oKMYA9HXTD#d@Ba86tk7j~t0uuBtz0-VzB~g*l{>_|fcWVFwHD%vLSI-?)kMKW zYbR764D0^)L)6CUx8!Z-jIhDWEm!@5LEYOqQDc@C>h{ER|H7CLDTQ?Ue0EVng`5?S zuj(J;CpjE7<^RiL7Ung%yV#eZ>9k|5UnH@RmTLCnz)(PoUO+>nayK9$s9z6c+ZkmM zG|2a#HC)H3>|v7g3RPw&6{KotyP&kG+q=#t7LbqR{jTyjzH3_&(DxlSG#yb+ z12#~8zm_3-eArouMVZf=Jhg>&uqWT%hwE9>{d?3-(l)7HADN^UeY`Pp*|;1A_j=No zVpE;<`Nh2skJGvxg^fhs1sNaO2(ZEavo(@*6wHdC@AzxT;uU>6qG;pED(_>H8Qw%f zwsy%L*OQ4<`z^O;B*m4L?P)@AO7&e`uwf2f^(eZ?rs&S`rv{ZqJD-DZ{wE1P7#-hR zz;tbfo3-7!k1dYMZYK&=Q-;n!?*Ow~vr4GYm0yP4+dQb_ZOvs0bNeaiNefJvb6PE9 zwSqWvwpkzIT*PEZTqfgC=(oYiD}zu`wP^LLNKgV9=R6lqOL%S^1IoPzx+5C72uuA)Y>MW%*ubT$B%jt$ZVFe=E+h_@zkFCTz?Zr zpCer1dW=cV-W?8Mp!Nzverz|`Mi#_|K89fL^;F*L8=Mb?N9I@m!Uw%{oF+_q2xe~` z(-fD>{S8@%eDkJVpt%2X=;7Y7`Rd(8OY<@*Ese3cLjS-WNKcNuK|RKRe;PJ(Hw()J z87Ol;2&p6lZrj(bK2G=}>;4~ArhbCrN+U5?WDKVo^IKR$M6wm|7nbOM_pAOojAC>a z2V*)3^L_xUy1ACMXV?8YSI@?E4F-{odXL`U(g++S=k?ak+JfC3rNzMxjW=PONGVzv za!YCzJ}l*$?ae58ow*g#B)sJlIJ&h1B?Ty1J+3~#;Df=6-a^WpVdeYA?#Ju(?1?0KUX{u?) zZ`~hz*+bqdirOI7oFpXa^L@Ae9rtL9mrv{px4gSA0|T|Sl{7?T$-KNC)B#4b)?}Ny zV7fwAuLzS5gRggyc1;%rD%GmUzps`sOpGSbT2-&^PXd*vC=0)0>0;&2zZ*D$gdL4_ z^&oyNFYOHuISP%_ELgcSmSa6uWRwNU+z2|_UYqrCkNQ?FHVS{|Nly&!JsY_-M-q%)mlEW$pkyk>o<^ni%E!D~RgW)z#$$(xan7R2xTfHW-;9~Rb23U}mPzmtjauX1 zshk&3cJpmgdcnjCtAy?zEuYz!g7;_~D-#cy#T13`kJ!(9&C}>g!~Xy_gI|P#&Dl%i zy6+herd?LF*ow;UqGfG+t?dO@4%*eKSWosXf3{zLldsCPJh;_%&ONe|NIYv$&S$u9 zHzM^K)e$&ZcK-p5-MGrPiBJ|_6VS6n4~nh#k}7MZPwf$}vBA3z4jcmm3E$W@rWVmxIe)f+1|0A;w@7;Nno>^CsRyG4`|d@KBsLC_7z`E zDYCct3l(48QoK<{YV9}>!oP!LeVcelsWFM-I3an!I`BEU(-2hq-UY{6NLwGfd`H2r za7#|Lc5yh{(KgGLy^1e&ie&$*63jq(64>)VdnXC|JD0s{i{W?ammmZ+Kr6K=D~)I~ zI{$Y$MeOfnCCK9;O-knz7|Ev8^45GuH9JxP8{cmGIeV5uV{uah8M-@u=FKG8H|Tv4 z_p*82@Z#1k_^rJBBXz6iJ6hO6+PNzdbs&pX&}U3IbBjyigpPvFlv@z8r9z%S$6xE< zd3NS(elw0L<@4xXCtiN>jcG5C52E2uw4jyyoN;3ZaD=!C`#^=I_jo(zg58Dv{H_r4 z?(xT4&P_j8WgF)Fz<0z<3@&u%$QB;I$LuQR-DV#EL#0kik?#`Cd^x|KnzHv(b z-^(983eSFc?pm+6zxcHC-^(3HP>JEI~S89|04C z%uGG$cOst};5xbYG}QQarhmNWN#Jh=tc))#KYmdENUb>ncWEv6NPQI{ycL-u6<0YN z_|8sSqImAHfF0tO-_0tXGnK!Z*g6Uu^r=sz-#P6 zJ*w~;|9j>Nm6CGmvgN>$uF?709&3!v0n~JA8O+BB7^nG z!vXle^fXXMjf?p_+_T}V-2viP9|pDl{@G8Y+wADP8H=x9$9ZMfQCl%UdQOfY0#_lh zGXYOD0=J&K)Gr`Kf$6TH&C(|0X!4~xRSGorGA425W{L1)H!gG;cyA@rD(lZJ)i9KA zvJvp$J4YYJj6+`D{heBDPLXjU6c+rj3LRF(re>hLvRuK;IU* zyyhGld*_%`_N!wH7U@0~P@M*j(O>?+eSgPblu$Ug3d~;G5v=STKwjiqcP>>t+<28@ zQ#5qO#<=<&Kd3B6O6dpAy-q~@9&1m-!Ru(6PU|hMu%`MU8J{P`+X}pB+pqp(eS?2F zry@C@9X8Kvk1i=fE=xKp=qCpll4QnHPL(sgz-?ee42+3HYql3zOq+ems7@>oby$Ch zUkTTQp1x!rxoAo9YGsbfSmYU?>KobQDgZX6awUFm~| z!}uW^P3bXm40aob0w}x-8<#vfR(qh780Eewj$YXvMJy^GP&eC}ww>WHX=#W@3oWjQ zfZ`U8y?}nIc9KHt%LxO-iO9^f#xZ41PB@|c-Kac!3ha^}`(TjRRU?iF> z)BNfR>*d{sP5SdCD_+O_*1clkw%nyCJUC@I5W?KjmvzP0P-8=8dp<-t;GQU_(oDTt z7i*s@e!*bkwc;Jrx%hXf%A&J0Xz^*w#v}CmT4;jItrpy3{}ZLnmoq&YsDjnj?^|F` z;EyX+FZs&L^t=jGJx$i5n(KQlT}N4Q74J?R5NgjHlubM$3i)eKPyact#z};&o9^}# zx5Wboa(5OhPV?EHik$r1Tb_{ZPT50mqU!E?dkIrbN%ce>7+$t}HCpJ*zCwq2*`d`B zOpGiojSdcZAa{!GODpi340}w+L3OLZv zW|cD1YIU+2?O<4m5-rx}+#+&G0YeXxuiHU(SNi85!8UZXW%PAff9dKW((EH3xoE|< z%3Y*miHB2QP~WW;sn}J*yNRVdsVY5Z=@G&Brc@5*Zm2L?!Gh zR4u!H<{v~qq@8{Sy|oNIWA7_W+0$56 zOO9zSAw>&ab}>IheM!T^V|=9#3mw@-*Io^6s`YfFIinF)e*($9_!^H^Ct$Nn$#DoH z{2-#N$u8QK>gnc`kONR@V_QidMP`n6Sy(!WKHv#PUB&XXa_ z{(S+frlY#c;+8NXU%#Vvv8J|XmDJ3v3DG;vWeJk{f}BUY!vfxHoZbGUW#r~ttb<%n z)n`T)=W_kvoxgGCsx^yKkWhJZaXUMo6(jK!{gnq8SFWubE9wC?u4S&_ zM_ZWh7f*C9Ljc0|bq8kLX}kVR!h--zFJw1v)~*<>j^Op0sEUGJ9EEeY{q?7L5!|F$If!GNHkXk)S304= zr-xW z#0K5FdE0A2J%2wDI{>&;I%xF5YW%OoKs$TeI`nz|6d(%io6sS7ZO;BppP13_;trA8 zQ2XM_TfDX0YAl)mP3OWlEdJjOAhS#3$8x&1vW+zO80xxNi zdnooy!BU3he%V=NZM*ZM9AvSn%(3`S)8R9K%a)y=s#~kLU&(4v{E5Bm{yUgcw(p?$ z%|HMLrNj28Wnr6}{@mrO^($e_!4xNLI+I+dgJ zCycIVs5Fta#g4mPSZIhpX~@;7*1V+ZV&(zedE2i@3%KN1z&0al#uom-i5fY0+EiV6 z@c*5&q5?qdEgNEB2Xq{>u{vHsqXsm9?eSwzG%<0vsKWp_;Arv3T`I#g-9S5ZhFEy< zeLb+CJg4it+9=d$C7vO()yw?cG*B`02>sDl6Y~!25WS$S-B^;^07Y6>Q^|VT2Dc7!%E)H>%V-XT4v&RGTdjtZIltWLd~v-kmS6aHOxEor3ki%jL;x zYaPJ`F^IAw*?V@7;?*|8APfxms#rJ=@eq8xigvwh zZ?dF7oEsdfH__?vR<78(N>s77jK!9_Pk5!c_bK~9#Y1UUDX0ghiD=rXMRwOS z1Fo(Fx~3S|f+=Ls?(gLA!q5CEvJ{!8Er$#JJ8~s&`oSy8+80lh559_fwUYcuI4KnO znmF{`UoMa7m^h?C;T_nxh=Q-(!o|G?4OMDJjq-Aur{qP+a9;EHBgcq))3UTl>cgZUJaO*!9$HQuLq^HL}(GLqtz@~`KjkHdtL2l9S?qJGQm%C8!)o4{;TlJG!@ zlc!f-w!@b*pemr2H|6>2Cz$K{%M=05CBi zNen$|xL}2z1;188Hq%u`BWR~ch2OjSLrj)`6ElEj!Ivr7t+gp=WKsNWocc9!MK zKdb~OCE#$C7&cI9*|48v@An>F(6tF2YK0jL?LLtBnTD4%eN1q6yt0rluJevAj_N@= z0`oTQFZ<*b6OD0?Fl!i(tc55B}7jlXVtPhImLQ;?cRhl)rYq&h)>lkH? z8nw9N()fAeR8S)Z4d8!1$EqCZc&cUsOQ-jmWL-$N}RpTW8WFHV`i@Co%KLOq9 z%{LPIb_M7}^a>tWqrWV7TPo{$gtS5?9OSmMC}w(ozJy`l7)AP?d}6E2qsOVaGb*=V zv%F^_T%O*OTu&ylY^K;TG}qyobv6mScEKhHTX33p13sXtdDU|502UTy*p*T8zi1D2TsouMeLkYzK{y)e8Fm3!66QJ-CbOO#@9_Q)4j^v0^P2n00&M^rCGN@QEPi2Z zao~s~yG5l@X`dKd0o@l-!1+bloR18DJ?quJ#!VLb4cY`EKQGBlEn8$5)Wmt&m~5U=!gB+Z*|Z{5WaNj#@$=rl%~hhM9D0zG3qk> zV0wH`Zi)hKIx|fLx0~Z}5UO*)mJ<9!{^msZZ?`-Bc3q@U!)xgIs(YJ00Yr|;&Ak0aSE3>dw)L<~C*rqXII@1#s2 zy$=fmlA*0A^!{#$Q?GipP;|O;OMUab*looE1oQu;B0tLgkKm(7Fus`oxMa_8=-I9DjV+sv{^;3S#MXlw4FR$Ji%$s$sl!=z_VYAL z17-N>OYIq^9*pQOU6S6WV1o&Htrw^0mOU;JH|_)PN}5v`@Mlhr{QI0TVe1eXuE|W^ zIZ#C>rS*K8`bmlBaokd;JQoEk zeU#WRPqqoIb~U!zbphZ+RuSfSxxMlxho(%!uyq}5X2|QyK>8c&_LES=UeWyTCg{ZE zN|A7isE)&Fu}$|ah;`KA?Lc0gQM8cqyR7t~ksfDKf0WQQWD$de$~pJ{F8_qYc#jP@ z`?(UsT~YeIzw57VvZN1MGkV(hPGj$Hpfq;f7bS7)QtOoovUNO=*@a@A4C3AWB`XfD zh11>yF86oyT)&8VOJg|OW8o?@xHltTR{QT4O$5Vs@519aE9hcZO!30&* z6TFQ7R6~7?OdUDmUx--NB*1i|gak)_cqxZ9A!+X$*I0Q^+&m`_JaaE%BPSd@+Zvh8 zrtCUv8~P;cgIz*XcoLCxITmSFKjJn-4q>85iNmKdqV5WZx2=C5j<*`Hr_WdeF}wpI z7FfN@=}kpct_4Vd3`C(K=a;gSxTY=UV8w(jYGjuL-{q2 z{x&5$o$xMy33$lFn)vvsMC9Vo+L#98DW0;l&x^XM69A2bk!L1b0%?Qa|LW|=z1!ai z#OB7{OciE!C=WnHE;t{v>A779mUDxS3eFH+w>PiNWRt!=w{Jh%s7O?43q(Ho(L_m- zEjtPy19XI(hzZGVX$E>Z$WzT5e~?c;%{N;)Yj1v3=x@5!w-g*G|Fd(e>~Buu+UTWh z?%}|ArW8H#fnb9bPhCneL?ww=Hk|mnO4i&;o-gb?%)c`UY|;zvoz>&=FY*Tp?bj7~ z=DNfQ$9Y$Bcq-!bn`fmv8t^}g5AB^8+n^%XHVC)eD2eP1`(7M|WEUPKan3lJsZwp# zcUgvzGrMMq6L}-6b0oR>K^B6OR3r05eI{WD?6)Sq0qR`wEzXW!3&s5cM8X>m6+E}K za1CaJMDn~q**_h^BiQFiwxCG(1Bqq4P`1jkaCX;C^^B7M)t*dprM=(H)HUwdYn1)I zO}3mU<2d96l8sP&24E%cNH}+tR#81jVc6^7M~rdpAnI)Qg5up`Y}%u??Ly4+)_Wci z(>y=oKY_4AkEyY%wgCIRIQ#B+9SJ|I+{Se3dcR7;MV>!Eh|gf6y>!?a-JF6XcM8P3 z9I$0b@tMIcz^&(uwJic0@V_8)rlC0p(QK7}V?8ew5bY)#=uWcmcBRjrDVP3f%`!o* z{Fp^U;VNIreHjz3!ov%f19Tl=@dZ&Nis6{ zLhn1M{Qns(t%H8%_KN(o^TXSoOn%3Cal|iBF-97;qxLI%T*~omkkxKmDFdVBV5=f9wu6TQGCH%BZu336 zZOV^{m@G1t>zM3(yUXVbR$Y+&Hd$W5a}E)0_Yry98o*#sp>4BB>;=0+BF>+9|Hvg;`_yWG$T@M%0^8A-o6g2X+`TYg4_jy6a@vsE;zy>o<77Gt z-G?Elm_?BeF*gM-6G~2k6a7)*;%whq+VPT9kJS$CBJD2#ByG6+$@x9O9`Fc2MPsF9 zP!aAU;JPt-`A1sRi!ACwS*rKSUn*#aRw9xR-8IgYz8XxpJSJa9Za5@8P`Qrq*p4}t z$fmng$Ai^pbMe1A>3T3257d$pFX0B>kCxmaH>Td}1~05tAKI^zc{S7ayt>A1MmqPr zIv_`@`6OEPD>_2*_f?S8=NvbH9?^a(7bC(YvoV~o9`9eGZ*@U|0|J1Pajb!|o*>zz zG(ghuBYMJIU5ANXKQd})^HNz}JFTWH^=2Zv!NBo2R(j8uYZ3aANaS32PDh|PF(R;W zwsTH}5cEH`u@Ch)&VSI?N_bWi9bTHS?}SkXL-G`d7DG4_rb3>TDq;RW=fGfX_|A(rr{d9J>et<{m zvQwy(`o(4hZYIsSI{^1AT`sRvH}?G9!eQPh-f2VWG(XG0Wa~)}_0mHjRB&8A0v)*I zgB?Ad;G~F^rCQ4iN>7t5s;xk!Hl~B;l!1dAWzlI6R}Ua7gsIqbFT{RHT7mv1s8ED( zTzO7(+dtlP?$)pcrAgw8dwtE@Fy)7lU+2lf;~bk2(16J*k&X}Y~Z_Ziih751IsHFLqEtORKACRHsX~!t#h3FV)+PY z5v9(-7BfUaN0BI*w&Vsg=6k#6;#v1XT4-d}O6c=I?ppU$$tp;-{Jg$!$F+T6%MTGl zJQWZ`3nw}9hc<&5Vp|2DZB-z5(#kisq_AVbrOf~tde3Xc|EH)~tOxYr`BvE_UJYFz zRzIxwg8R58ZYbup731>GSF97g=C^#SKbRT5Nz^#Noi;N&oNULE-;GIyF|<>i9+9R$ zxITJ(Wjd^o;@K;?UwrMgT$W^vUo9?f&2b;C+azo&5^u=)2Jar@rLb*Ir*mkPgR^DF z`RcdlyEnDCi>`y{ug=OOXWR!mCjjV>yYe@DXrLv-3Y4UceV1qq;>ZPyU0YGu1YP`W zPhA4PImlyfV(u5xzF9i8O?0l_mz;To`pTZ$p3&}JCg6A28+!wOlIu$T`JP3nh_nZN zpykwsv{O_KlSklBMvZQZ8A5qYy?d!-wnk7;{z;6-*+$r?zsm8&!W9`$%dN$D8Mpif zl3-(d$E9oaD%p*A++9yDsil?m(Agcvi6QT!y9P$m_zvAw;C6o(V6jxQ>{Vy%9yqX! zP#(zH9gGAx7bc0#(sW>l0cUZz@*4oY06p-K1(@9Nbjq@SKsvo-W7A zj*qO$bceg|rPxCx;u<(E{vqR6P(Y;oX!KuN_l~IR_LH*x7YyZJVzNOuh;8O zty?#hGdk2P5ZaR(gmsxwDwma^#ZVaxzFi$?fAVvgl^!E*Nq@quJwQj?dtq)|Q1@Y2 zrz|gIN;gl5IQqq{K1lR;B5e9AKE|Wo{Tp;Q#$zh2nak?rnsJOzKMR?0 zO%i{~bJd1ygHF?Spa{qG_!F=H@sDez=AFj4tKZo_PGON(M;@PKbeBRr3s)zy&eQAk z`ffA|Yp>93GZBg9QyT5Bj5Bku#(}F1*Y>x7Dw`X+v62z}Y-Fq!2JYW9h!L{svPK|R z@Ia^1xn-z52D+k!KD;y!w({C;I>h?ZjjnA)$X?Q~mGt>|9ZSr}1qxs~rqixy#)mqI z{&%ofY+-WzlPL(1j$%;Py8IOI0des?H>{k4{&~>FGenj}2d_6}q2|jILyLh|AQC z4sW_Kr!fbYg@thzsqahHWX=z-9e(0Im2QLMG$s@pevH8OQ= zEn~mZy|-Y8mpL+vrj&bj(OG_5O}A|rUf*r4akuKOZP~cL1ADqA*LJsMBlPWr{;2wA zrd@jRBavGL-xc+sQO*C2YRzh^W&5exT2ha$m7pCs&_tbc9v`To1G%hLEqE7iyD zJC>WKJ%eknWH=LqT;{RNJr9*dr&|;0O-{`_a|@ckS~sv}&~xwK%AOI#=i3TA{TPpF z{7a@zYVybFug}$X8Xx!31qJnzk*h!kR#UHp<12MJh4yG&zKre{OA8}9-Un^5a^d=W zIXcUBzvRky&4ga)Cylk)(&xG`mSk5;Tw7=`pG0{ynf8h4r=i|=NMjT9ive|sT zL_(@D-{1O1`keUp!{eQ4#Rv4`*yfn}c{bN4fLDSun{CHWgn!RI#m=IjqHbSi^)oe+ z+QK|6o_@?$r}^HMPQFv<_;=*>_OnlBe||448^AOyzwvkqOSNazXo=QlN2Zff1vY$6 z2{*`?2aUP#UyFT;Q2$wO#jjIpJvW@7&+*8g8R)JHeM_BDn=b5A6gHb%ybI%szIQ=0 zi0vt#Zup!2s$)A3#@YWCe zMs}V4R#W-0A$eZ1_#I(SRmSbTAz~%310^k-KKEBv? z&(u=~haBIev#;F6S)Lnf&i`Tjw7wKuqx=55c1gk$lU!r3 zqXOabI>%|zNnKjExiI2=6?2YuMqfhiA%^>R_oJA1>PREM+X2&EJ6`|NK8XbzkAQQ-^{7*-_)t< zsji;xnbTE+^5e&kDmaku()s%o{;t(cot!Q0?FgAz=o#sm2!&lOZA=K6S(phK*%_Fa z8JHQFSO`^2o!l&cn-VG-{kA4#B2Dd$4GNcbr4s9O&O4us6#1W&PfqaT2>0a#QD7nOmDD zjqdNLO9>kp+1bGE!YNvmb@~n$*rM|6j#Ah8$;VCS4$u+{OtPI8D&zI)Y%KeWbLAJ? z^%etRqRG<5n6Rrkt6`s0T(&b(Efy&+y^44MtB{zt=3gtb6Ov8|ucC56_zDE|AId;5 zT^QC1%K9?u+8AQKAW5L|e68C#k=&A0Mnaj^9O=X(WqmbKr=da=e|UL`#Oo}M1|+iDbKIqaIe=3|6&m zKW5CIB>3oE(^gdIdC5xtUzz_=N@;=cK(ZwoxwX?P*Zc2w9&;f$Q%{@HJ=L0jowUo# zR`|?mHqFJzahZ8)>)#Y5CpY@QRkE&EvHIRs8Kht~^$NfG6LnU5(H9{mu1t2ED((FJb}$#4)obz zW3GTUT6-q3Q~DB~fMvOl7dG}u--A@6vUhPE+5SxF*t<2MyZoyrP4i!U{2%)fz2JWN zsUSUpj4NvI2N2HF*$WZHk!Huc~~DTkk|n{C}~ z)z@r5Ib1|uI$HXsiT_3@GEXkQrIkwwQh35HNj)94Qjuc#zs>tUWAbh9P(!Z)|MR}* z)wP81&iQ@1j{CIkMt39G7RL1r-jAE%-mYQ9yS=6Cq`~(8bl&L(#OvSZG8q@Ow6tYl zaorDJZ%fitWf?eHd$^*hvk9Gj<&OU|?zLaE*Oh9y1N=XFPQvkm+Lnti@NX=oZkj}2 zEJeE&9EKk8Qg>{e05@6^YJ&_Ee~Dk}gwzI+5XEy{7t2j%`4`Kgo`-}^Nd$hEk0yy! zEtVo-WE0Sl`Z%&p&&FO%&e8$JACe_he*3Ba$z?KWg%aljx!JV9Z!z&;{1tvh$4s6r zG}o|~c~Jhz1+t-X+i=a^KIY$%^kqY}J#3%S(6U;$;nOc6ioHN%0foOcBM3G;Vvav# zPSrQFb!2W1p+0n=V)@8hHLakMFfCGyEr4j6B%$}O<9VZ4fsZm(llNM%^x=g{*0_wI z+w??*VEjl!PU<%{Q#?iUl;m4zQA184X}0SQZW^cp9swA)X`hkL-U)Bg&?5nnXTxBH1*r$Dys0?6KB~4{(GL1spiDt;E%j{`gRng<|IKX z_rfCy9~c<3+n|~LjsX6XzE<8>Ex?m65VYWDp6_{ZL(tEUJ5%Kt_`Bb=st|ksFqD}o zh%pL);hY{Zp1W6QFHHlYfQT37SBvTd*$dF@mpPq1?3i>$!r{-iNj@;hJg@hkmF(pW z5x2mWe-if;w!&v+d2*$me^uBK=wyGs+0Y-pC1H+2k}qZ(vb~`if#<#?=5z9eJucU& zhBf>krot{`oTVOyav!KC++}%%g!iMSRwUR<-ZnD(Q!gD~qIR#Za$9ImX6{DK8EUGE zcLVq+(S+)*p*>K63(>zJ_`0vx_| zbB=4ov(;QPA9@)H8bQ;D5oz1LJBl$?Y=-`bw$57ZY;mtT{;;2|O`6&cS-rE1N^ThM zYH`RxPKFENrys464)RbNtI5Wqg?sZAeK3eZ3O}nn-p$}zb`M|XGKjJbX)({rO){+& zv}D!|p12KKVTQZ3o{`~6)uzJ} zh|m~$ja5rIRzB4wJ!zpi(`7crcetgR;lYvHzS>_>;yACS&$pvuN3)A(I!-aI?G0=J z4xI9>m|v8C@VDGmz1fbj`@nE)*FV#Dc;mmVr1RHtRGcr3c%^&6vay(`-=3ZwvgTG# zr1~`@wa^AHt!{9%ovU6!mCmw43;9Uf=sI%he=T{+LKqvuX7N+R8zh4}-nOrG&}GPY z<_aGpH5RN=y4EIdKcZjV{PmS#w%=s(&rO*5`14`cvsLEXy3+M^hNbU${R*(y65{1o zSAP3v`sV9{XZRJj+-sOZHSbrAw3HXz6%|pz^oF5j5QLJWYk=9#pZq@eI$cV0|LLl= zE%PS!SQw2}M{r|x2s@p-cbv!Rc&AS_-IInolaPEyGtCsjg!+~aqSn$=Z)o231b%+&by(R_#L(PRJ`$S^;NUFxRK2r~* z!c>M|mjiw;lz+|uIt>#4!|F+RC|weu9JXW|&Q(nk@*|BZO!|>wT?&C%n@rQn6 z#DdH%cl_1oSvk&x*?0V9z86u%{LNe5j;1wOYgZcmFAF@jRnORt`gd4{x_=Wu1-3Ch z-&;AogV!@)9FPjQ0`39Igl~&i5R&oHuS8FT3DnQB*Zh7{4J9 z=i>&`{x@~V4q&gl49MR2|M8V_y#S$))FC*zI(`zZ-V3l`+~&n&8~bsRe#E<=?JzLa z^bTsDt|RNtRR06Soc+s*fuL@cKnEl8u?LlxFc=?+iKf@ze1C{oq8mLknpxoAWJGi? zQCxan^Ia!C?^TckKcf2bg%GyU^+1yBtZ5ke`g%e6yv5IPUt`yL!}dHUBhhH(LFVW8 zk?m#GK7P9`qp1A%zfEwZvz1j}kF&=_yj`Nl)-)!xewc9re> zx93@~SlLdVwv^9N)9om~``XBjX*st=V<3*RBIr^yorZ{?i2|xy87ji=XKen>uuIwZ264{k+U)objfM>ogS$_#dOyVK41+$V(@9 zZQGM}X#Ty~RPCJghe8-&(bGP+I~et?gu=mf)9Y$pbG0)1yGuRrKK%^KJaYvoi%~zS z2@iD&g3PGaB~o3k1X#aa>V;D81GlbcO-gY~R=LvV^@qBp%QPuDx$3=KMDp7`Pu+*5w}1u0LR8~jyz%hl@m4LC|}xj2(Af9e{EGi-0JsoM;Yn9wvI3fZGHsYR<{ z-MH!))S(5JbsOW-p%~=4rZ0}D4X*r4sOgdEHY4h;U5`#@F`+S=imysz5@|MWh6hHa zGn<^vCxC6b_8JngzpkuuDhx*hI_hpBZyq2N1lu+$2?*+PX-g$7!=MyS+(i;z`J@Dz;U~|M|s)LSazggIg2Tq*+@+U_@9aZ(!LEcryvLzR18*mJx zYYRktnD3g1*i7V6cIOe8=v%#)Z{iVdglQ1IP=xi2I`4<+*MT;OY3IB_3U{ zYh-O2!;xn3}qJ&s*M^r0{sRvpJp!Sld>IU=7FQ*gF*)i&Yh!E#54L zRsp9*;WAk6fF(Py$r$T4aNrOPJB1`NTS7PVNX^ML&2{S|vDLE&&Wt{V+FAgMPq$ej zM`%Ut2zYrYtfh0BH_~4kKe{<7)Ew$-Fj#_6`!(eyWh!)29s=Jj{ZHDFgSrGgd;V_u zh}slQ78g(?xk*`E&wEi@F_HO;Do7zENGr+h0PYB$ENQ<`5W;{g>4Ec=d@l!G zH`4%Nnw2bpwUl}u-jkTqviEq;@Rz`~v`IC~_#ONy8aRB=4)4CptRy00<7_IlxRJJ2 zK$HA%5je~IRfuvtbQ|R^BD}DC3ia%5E9yzl?A>aS=Czu<8bu9Je`2qoTqtVY&K$%T zY(|+Ng@j>A3~awjH!nUB$QP&XV0Oznbpg*YPL`bf3MIxCCFB(iWDQpEN>pFP&`z=} z=ZD+_2F2nS+$~$=@4Kal-wm#L;WU-pGt(Tok!}lGV{QxD`3(<8rJ+gWufKyl_0tAB zEYZehmc#XP;tlX7&);0$1%-S*3FS@`1*8+7-;FW`j{ys$TT=_dZyK9Ebp2T81~&2S z&q&9TH~*S+p28ejwh(shvT^%2U)e)CTy3G<&w$96%XLH>RR$uhIxS(>=lX}dg`Eiw zFNXOQXwa=4n-CkDm!Ow+?jY_h9RVHddcB($^gBSe@bZD)WI$$%miWpHHE3lf&hVX| z)?OQQ1#*NtOVo`^Zihz;J?vn3X4mE3<}Y$&0=x6}Xm{srL7&#hGx{xCMu@!u1ReJq zI~6O!Eie6Qe;W0iRCDh-{sr*cs?tNM+fCl>PJ%sS0^kphZH_BH^s?TmH&ckv4TI+1 zsjqJU8Bp143qA^4DH70-sU1iPSgHxu7z>t)R>8c2GItH+^4_C&0I_N2Aw4vEvO&$< zl#;S(?n+!eKOu>n%7IH*J!felWq&JD9GOoa#PdvzOEhfB`KIb`>i(wb#GUi4eIyrf z{$KB+VIGxzOE^9mePe)f$llB4AFG=+fq1AZC z)xw4<{9{Thx<#kHJ<~7WOpIw@cga%Y92}q9q0cFN7G-=G0>IJ%jX3vw;?bo8EVFo1 zWMdFrfz3Y)o%Ic!MZjY164_Xp0E;HyC#b19xaj0dV~XIiVh>c}sx?3L zW8v8An-W6KEDf*!3-9^Sx+qAtfrW67Vdvo{r{0-(8X@mjoJ={&JhsY zmgv)va*e{tF5_Iz^V6ezHX_E#MwhrQ6c*zQGGLV6U#-v!sgo+ezL;K&(_1g;SAqDT zD3eefFwHXD`D~lcyB=5kHQA~~9)fGW&hKxYC}hO-)@WwFzE?*qKlPlh0Z5pd$Y-0E@16~bYi4LwA;9} zxZ3El)fgonFZA!0rp8>ehFp+~A*zWZ>8-VWdz2~B#%j@NQ0X{sjw&B7!O87P_}f&o z!PZpORKdsLyrf#%uuyE&naRJfR=5AR9NjGGP&;%o5U;~97;-{^E}SKx$L$`X!f6$FC~SOu8c2VYJ?pnKXR~!B?}z4S?>~fBZu{7Cuy8EiB@0wc=Y#VgQn(#W*X^r`}OGPcdN-$9W*j! zS}W$rHkM9NFRk2Q+?#k-5ME?xS6Fw9>HsLSr~F@zgiK~TQokOTPMG_svhpCme8hE! zRiTFpOL11A$ed}PCr|iro$?x9l_WqA?={fCYJWhrSHe8e_6F+X2BgC}Y&If_83No<9GI2nfoK|fOVOXvEYET^UG-U*UF)y-Hw3BK1n*qQtIbCfS-ICBE`A@G~e4yRh$VZ}~?i`$kR_R4VV*1*w0!2*19)Z@bb% zN3_%3SEM~}BTBc6t;zd*W3&1hXf-%=y59#dC#lvREJhmtku%2j)6tPS5=tNk;S6Wd zYcx=1Qb}Y*l_rA+-LN988A*P3Pdf66%LSFpz2sU?+W9Glc5LG+V(am#fCpQsSq0WPYLh+$%O+whQ5m+T? z02wh494a}7?p@pYVPkEmniKMTgbcF7C zzyVE6xZOH>%>Lg-v>^nP5d<#7&d&0@4GE?3pBqs>+4^iVcrhaoz|NpCZK~$1{G(KE zGa^CW^<(=5l*no~I*~13Dsv5n_h1Vf!Im{!hlqZM+ZT3G@NxDW>x6rDyK$WiK-LLP zE|5fhITlSYIa|C)1Gi26f3FfSJO4hp3hZf^aTG7!wnnA_S%xz(K=b%pVIz)jllu%> z_5nsdJn#WC^m~}{_9aq(XxcV%F7_;QX|4C5eK)Xsp<)$N5Z8OaZ{OGcOnzPFDRhGyooc#dQx`r3e3(SojbPArBhnx=21^-{#9L> z%DTYA%U}H#SM3QYk(v40F?xymj|zGdsDcgF@uwuR85N z5Ds558?$PP_p!oEVJ5wiH6_;JHRm5YsTa1}nF{>Cq_aY3h+e~5j|D{jpg5n0=2yTg z{8PRDlCVe035ONYb&(YBUm-ZZ{!LaV3;(Frh5zA+`ZeJd`8fgd3C!U9a^{9dQVS)j zmY?KaqiQ_%7sk4-@j8OZr)8DzsXEWiOXXFVv9O%+ucD`0w()Hk{DQ*6MB7P=WTPK0 zwCx?-DjLOwQ@n_QQK_MfmTvwB*-q zLny$%QBk>LFgj10+GjA|LU$*Do7~7HBbezvqb#k&c zIX~TFcbM^Xk_)?;y~VLNzy`K>9Jw{Q$AbraQEDFk{ADkGv@mN1-Q10!pM{YddJD+! zw1}bR{n%Net;soIJKw@9d;1-60xkKy8Tge#>4W4X5aXq9_s5@!aq&e}cg-Iua^Twb zIJf^4so>+h4az|`@HYh`0Q^YZ$MpzmRo@e$mg5$V6?)Pj{2hVWpWwD;{`QIZ%Zkn) z>9%I>)}7=_o6aBWwr1gWTjKM9`O9e0oz$fby8WH%bUpAHfNT)@%suFhawYUmJ*YwK z2evnX(t~{yjr?Ul_!aYW&)9c}R|5I>BOof>?CXTJjAZGOafHXj zQyY)_!p`uiZ&^YR`Yn2fQ7`5>IHD&a?Q)X)6EA!^HI<*>wsn1gg0f|Q$EE20ka7`_ znh&^HM>;nOq zQl8lsdliW9#yR1m^yW1dHG0N9m=TZTrr8sdBnSr?uuN(;_d#+g&i+C>fy=+*oaepR zNz6TO=?Oy6BG71oG7L5Vn>hm*5Pv`?;fZ_ZDdA^u3n0A~k|N!8#lLJL6EfHiN{z1k zG2cvzCbZa=&-WDwb*mAA6ZP0L3g;m}<>>Vh^cknypGPbvNZQZKfiCf8Umviw_*KzSaeWvBoYCq|0_jU2X)H~kH zwcLD4Y1i+f-)xCquJBlDpXu_zcz!G>NK8pN!necWgPZZy!pI2?iB0uu`8cgF3%0p)5BOYUr2LnPtv!YD}>Wi(AHxkIXvCkqAp7Z^Q39%8| z(H^Kb9mu)i&v+5`f}MCbu@MYnlTdv0Lhlq2EySM&5e&jUXnX9&q6PG9f85dT$eU=k zCrq*{SI8Pc<{6r0b1acRL7Bf$Z#0naJe!UQs|4c3b1>ta9XG<9=)(pbnMCKk*%A5S zogwyS2-VMauZU(!A6r=)2tM&o=psB5vuN_ISQy;WN_53~Fj?PmlEdH53uKKN_HYAu z(4HF{Ix#HAg;;0MSV<@T6YTzfqTc~VAUQgBSwKR9c-ofPvS`>-?fn2s6?N_ZKjHt< zjy4Wlitm4O)f#C~9Dd`{8i8~C6S2qq-{JI~_?F(6+TQght~rTMcDfq)dmqwI@=!mJ zfA%u@JwNVyOPwAbw9bHO%K9RH#Mbmxmk~gVQUQ- zx+0u4DVX!0tdM>J|KKGjwhpv_@Vpq0r8sG}K3Y(&+!E$<5cyAHL)CLG%%K1%0oYN4 zevE3WqCexk1_$%pe*_qxekPb$tLj^hzfWwp#g?w&8zh*}EY<+C&mVYgl56ql%7htE z7g(mctqiJ|RB9gO++Rz1l1~Dye&?}lTDrWNzx>%GJO{dvC@c;oHv4#axxLC#`Ix=4T_#=7;w*cI5M;_y=77CX8!XEAw2g3vqXJ%WyNZ_^sOQ}(5hnj z?;>t&w|wbbM!IDq6y)=tZ4W~TpMPFQ^Al#x1Hvz-?rYbvK=}%#kta2xD*bHyUs)#v z=U0u^7hbuaRyAef8UzN|-IbvRvXg2AZsjddW{_ueE+Sp(*p*KYE0F84(=Nr@w)YfX zocco7Z_#ZSldNXk7jAHfi{(uvZ!`-J-Qryf+Zj1IClzu}YWvGD+&={Nj;4%XZCY-V zYh3;=nKi9#TTD+rF0EZ02^w?(Pb!`e9uXcjp2ThPa3$|(qG=_$<47PfWwr?)hI!*dxsoUG>ma3V_ z>IMyS!cT70$?0C^%|HWd`4yUmhgnI6g(FaU>@1Mxiw)QMr`T;?RS!tT%UIx zTKOg4?0If>PW2KF-MxCl*1xO9JZ%F5?>u(R@|j6t7!=hBF0cqT#ZO)H%N=_S+~D8` z`6m6);3p2KSL=q4T-J!~m-xOIM&V2T@%$nKdNRMog)~>Q3$w&7# zrI9C1QJ!Wce7NoG6dI6ZzOR2Lw0Yd!xL@>^H8QK;FR43)%59^ir#Nb-Y}j!Tz>k zsJ)ro0al3 zrT3+qnL2ni>yX8`K@2cy+9ZWJUQ#u3Sp70O@#^ki&K0>8n4K69!(qb z?-bpDw-#^yk?cihsEt>MtV9*Q6pmHbF!4h7w!Q8YQr$(-tky6-1sr`X(kT#!Qofkl z_}_^<*&5BWUV6_!D6J3V~fB61$n(P^N ze$06+p|5(#nPUNLpH%KUACel{e@Jy~R~4`*xUS@`#yntcboDRq6v1fi@B8+PvIR*=%`3)s41rp3nq?M$ToqTSXYCr5_puA%@T^YMeDyAevIjL?UP^0$4e={#exUPw<8e?BDa z2&8#{Q)Lpr(rZYqAQ%2PS3=wloIUg>-6%!S-PZj}@p}CZZ$<0mjxJL+gMyj9mOk$7 zb2}Z}G|9qxyvDMvFZZ^+gMZje*Q`LR9i-{@mC#zaxL-XjXAk{^Y-X|>m2bg)qy-|? zh{$*2H;Qpk{7}l%5Qn;o|5J2MQy&L8(Nq5K!k?=9N`^wBDDStW0w2%J;@T6qGJdt;i59wPDi=1k@$1iJ{>XOT8Zjj** z={M{S#GIMA&C%gvG|iQ^HOPz3eYF7w&arH|rzX+|_Moq@0PLy8Z*9K&;mJHve|Uuk zcjkUmP&yMS3TfMQ$Us56^$x7capTXDGdWGyNZD%fd^n5^-|?Z+`;RMpH&YImTC&E! zecj?SQGNjxOuzX!@Od=R;JTGIO|!mjTf0KxcQklxLK)1SS~?@+mJY>`+hyN#mH*Jq zOulDoKGR#1o8}ywv*K@{xA+LmrJ7hE>!Q8N4zrs8&CE_)d(5N#$ReWL|Flj~;H4|2 z?eg{9zY=KgyqwvG8}F7+eSA@X++FGO;G}Cpc&xj%M?aMDB%Z>AV%N#t&#ggTb?J7= zgkaWi)0(}?RK7r6eLYg`0QXsUexCPT+43LYdMK`;36#C0G<)#&B7m@!94|Ub!XEYY zEr_M1uc92*2vutBHSKqJf=}Y*+e7^POZGHhjG2euiUq!ZK89%@>&66FCrIA9Qq3NUZN)M^2p+cEpo)D9A4{M7wW_BT z5ar%PKXbP}%ig`1%qDNprU0p>ak*u@dY^sz_&|oPkITcc?V!jR0|vRKo{sm>)70sn z*_T7Xv!1Wh9%@$~v4%QcJ$TZ@}9VKnF#scaR zq|my@KZW^Fp&zIckpIly-wKM7?!%B1_4VhDnn8z>uNiC526FPmV?!^`E73_KVZQ1S zPsjV@pDM7zjE5Ax)hmh;O&I?pn${}1zt@&uJe8MNH7#otY`~k%{8Kh1R7eB@Y@kjyvdEp3I?|{WDN$YY)!FFZN7{s(7@CP8s@S2`gb(- zGj$&)kqELgieBw%C_VU}G(!Ti2L%fp)Il#$Rms{+MIy|C@&u*4wV{6>^ndm2Xo2d- z;Q&!8)yH(nGC%5a==`Oj#59m8X4_EO$cIPDp_;#Hh02ShaAN4=l9Z38D&hqVXteJZ zpdys2z9|%>*A>X{L_$sMq*>@chzBjniIi-yz)b6xQfUAfm=>O**n_nPp_8 zr1IH0_DvFI`@;E=Rtoj77_?n{N%}z60d{2Z}p*Ls?YlO9MAzT)V zmH@YAp*LiU=pvx7nH$|B(rg>76OnL(7|p37629t0g#J2$cxgv zY{S`ZxV0O|3-3I>u{>Xb#(nrTy*$3TyiJ6)Zvp(-9K+d5h>M@WZUhzp4hw-F7u>;* zsI&T}v(=y%3h-;{v+_(9UodMd@M|kVJX3kT7>(O(76u>}F2RrAZvs|}FIerRJYST? zcA+=(S$+Fix4=i7U^fVh8a4|C$O{F8wV}MOwLCqTwGbh14hsfG3x9;QA|Y=qi%j^n z?cio$UKd*99sC-P;JWebCt~9?dR+9Q@k4p!Ls2hJfYon?bMT z3BCQYm|?ca1-Sr1UM%GCt>pQ_HbOaU*39?=hObb+HuCsT8wJ=sj(fceg*wn1IexPG zY|Qtp<#nMpa^N&h2z6}b=|Qd`K-%(H*fU$K3wmb@ts|^uBCL_+b$uHP-6+6p!6P)_ zg{F+M~@8nGn$Ju@U^q(>7tY19qWVNs4VnmWfwvusckmb6j)?Zik!O3*HsvJPUO zF@&zBGKNISa))OSgH}@6_P~v!8NzkvZ z0tgu(E$J53Ab%wbl!TTeba=pap8%g|kwYU#b_1o)qH7{Wmw_IVklSNMb-ukJ2X*(@ zyBl#O-^C-BDOfuc?&aXyx0QMxd6ETZC*H^GSQYi@74;btB`u+|fgOB!c2A7g{Nry# zoDwa!ZA{H>z6N%+I;fNR(>e0QxQ!5%d>sKXZ#WO5z3I6GRqqxcf()}hYIb&OV)NKy zVYDBWR#aRWnPYiyIWB6PwY~0MSjpxnN44%TR&20Rf8+(HWq!P2OB#uA%^}5xf_TJA z0m)XF${s0kUjdp!9fdF@`f<9Wu&~NoL(tyKPrW*UVJVj4uqM(Fa_A9oD|92NjS2;5 zxk`pe3QyFWm`xHC;iYW}BCq{VxRsHRkO&T`D2+wDu{j_9^<7uy5F(8hv&^KiY{K_DKzaxWS)It)jfF zU54+xN{=gIoz?tF#Jg5OwFiD;h_f|^bt|C4{Yd8+1fGklRsCoF|-dMDwt8q{Lto*MoFrc#)LF#m3^@y>q zCD7cqgYP_J%^E1cU(&2sZ)>%(7+0$^Nk8zPvRfP|5xi;a#?WZpo`OdlT!R_|H<`k) z`0PWcCp!Fj(l+!@ry~ZHC@U`j3QrbOrzSSQ?pp!>SjSq){sLvS3ja}Syc^DZWxsu~ zIid}2p|R6kT>bdza%kz83CHiL{Q z8((ULACzv*fd3n#!Ztqd4s0^)|Ne91|Jls?FNTb1;BSKW!{Du5pCe1R*U9DS=xddj z*L|2%>~LIy0??IF3d(B{_Pax+wH?K~z+#+`;*ELGiA~Tia0spsXV;(Yc|is=u(v*W zZvTR;4uuCn31QR{6+E|8-LT4piCao$a8D#}6r367ll|Yc7 zJSZ^?tMsQ!SlOgL9$hQ;2{$ZrPf5NVl@9_)bz=#R>VX5=|G3!^58Y)e%EJ8Sco0-p zEW~eR77tkac~A%m`BLWkeX0F@IrRQ|^GB%|iEX3Rt+L(~u~hI*+FbFk&T-m)Usz%R zTd+cPuFgo0Lx`*cJQZkhP#zbeoQO2^pOCWR1Y|Zf)PiPd;YCfU2yGe|C1*0CQ&Fji zc17fS{6F9#3xD9?XI&0J|2HGTnwx`{RZEGz2%l6HBXE!prP}iC=ia$Z-^xMAarUQ{p$wrV9z7CC>d$>V zI+vYflr9IU*feeeFA-sD;QU`}k=x-t|i3uC?~2jbjq0@)j^V>S;F>`L>Blek@Ek~dqZMIQDr%AGCX zR9iYh=vQkC{9Qd z;tMomSFwVW3rL}EgDcV`35H^XwTC~1Ce^mbqb_4A_m2xp*VEsuJJhW~xnhhAbCLgc z!@f!ORByQ(Zp)Z7rUA&Zc~Kvwh%GJ zx+jR^s7O-34=ljDh*l->yk%r6a1Aw&a!gieO&q=#!!?IkCA2N3 zo@s$jmSO%)X5VDWNi(wiDJ4f5%Uzy51o0yID>=*NYK=LNlh@tGwxhsxX?k@PT5|Wf zI4%7mHOi>h?4td?exWQJ<SgQ_y!^#$uyfN}LSYO!Ej ziUuLYQm`pq1^?@A`>t-+NpnD}p;MRiI7+le3O8z^HF4xvQ~cn;tJLhhZZeo;^)Lvj z#`i;6VgJKXkz=J4jH*q9GT?wat}#1voatt_JR7 zPfo4=xVMAbsx|z)>Ak+C)xMHk8el?ILU3J{N$1DV0M{)Vnc<$GRes3`u~{Q+mc#(w z4J3ungu=?nBhgWgv+CXSX$Jnp!c{{tPg)BoDYD#?h@be8uN1~^j{_)^w8YTMArc?x zK}CM|1h&8D>y3`hvdQUH<&>@wDKv=W*o9|-O8N!sgE#$M+ zF#D8YA=T~&mQ$#`> z@FYgFw;jNV^9y&FVi~FG3=iL+$I)hUwuGrrhK=SEiIZ0E70$Zp{TZ2DgMt6SDx+ah zSq6Z2YXYOi(Jhu*RjL3^>gu&Dr~nd1V`)fQMVNBlbmp88qB;Y;GMff%BHt{M0}K7x zDT`eyiOqGkAArXUEg4n#_Gh>!l}Pk1#T>*5os(T5?h#S$Q9h;`*lA}`;7;3(E>7IF z4K+}QGB6x@!?ud1FVJix$G{%OYDoKH{>$ET%_9s8`k7j;~XB^38Td&rJJv8#C z>fCVt*jU}2xHp9a#c&w2dF_&*0Or5^T{*XF2ckI=F;M^}s;@fHeFkwBFDFd$cNIOI}7wVd{>>CDF@FU}1Y_{X;wTG3q|REyy=?h zUoy`#f)KoTZDDwhg$DSOfZ8FRF*(9*hz}Jbc0zIcM zubuF!$8##cyG7?IT0!I*L*xP?dj7?`W#u_IaT}#_8)Z38B;Y*{}v7koXYo*55PgRWf!8)(@(S%{O2jqu;$@CgkV~pfXQF2gv7-d`{= z(MWiQ5j<-sPaYB*Oy7;oB<2J-wO8#*X&>KMXIcugj-q|L`aGTjNHhZ0A4%C=QR%r4 z^P`pvX#GzmfDabbk4R+T;H;Z=!B zcSnjfd-J1sRR_%(6xn7o>}IQBcsbUJtnMHYBzP~O6Um z{XGCYjxE($;u0aYN>>=ovJ_Jds9i1IratiMh{q)@O7NYy^9Q*#Sq)YAvEw&(JxHma z0%UEpqdv21Byioz1+ukLffY=#wtO$AQT&LK-6DR(c=>A1|G$bw}lPn=2)!zWmhFNI8+3BF5?4@o*HSr~W%AMrX$%(U#$S+#V8 zK%Oik&0Fj$s$BU+b#kDt(@MVssn{^b}o z!I|I)%WbsgJlXaz;FF?Z~>R>fm5q6^K|9`kV~yH2hEo z#E&qm0imfHSl%OQJT)xE)UvM_M*vCp4JB$c9icU7jZ`JkYf1#-v2-bZ1UWk$5dpk& zfUvmwI;)WN8A7!7Y{-CUs*Kwq8|{#D?T|@8^805~h7irP=Vd^&25qs{^E@l!d@JJl z8H#Aezd%CPZH}MrX}Z1u5ROVbu{W}L7|*hdPOR68W2c4}W{A^j{DTaLCUVLSd7&NB z0)!{!IKdg(Fr5A5&9ozK#t}E`h-*9II*z!Dfa5hfcH@l3`jKrf&J(8f(pKQa(l9YP z6miE>FLJ`Jdi6SP|*qL;d~ zLXmv26>$X+T-h@fy;6#(hHs&nm0Tr7^u-GNDEAU6!q`RC?Df)gL?ps{Svo?ig+HyC z(r=oK*IN;PWJSCo9ig@5jXy(X>2Hv*BiBx=gM$tvW{b|G)cfM^YR zhqaz}W(d)geNP5NYw`y&Aexj9WE`$@CkcO@j{(nATl3y}P-ygNgPl7RRgKy1zaVTKq#lJg@6F+T<- zU*89^q-Zt$DqTvXipSsQv&=9L!|IWuiYDZ@fk+dgK{nbU=h`9fvqL@vNWQkWWC+ph z_TdbO)}W7MKs2}f7$DrH-!e9`Y3)?zW!$Y9lC;V`4v5Y1KH-4*qyy$QyE>n85c3bf z)+*!`b|J5}LvFM~{>lz{7a$p1LYiUT?Sy)d6Y9NAsGFTo?{h-E z-wE}BY?S6^AIwH+u68PX#NsB`pd7Mto?h8wMeMaAUJV3qb*&%6Ykqv~bZUG<_M`CE zOL^HhKL5;G<4sn?%@UEl>HR*5$bO>ZBS2tE$i95L9SZ}u9X5>Ob;!}|x2wJlTz)rv z$BOu_74bbFpmE+^^6%RrcUkMX+lsiyin!N`xX+6CffeyXE8<61#E-3r`>lwdSP?(9 zBK`*mOYc5l74kDH;^$VxFYJo^(kkTFR>W_thzG5Rhk&ry>^ay*%O9HOU`TP0SLwco2Xf3MN(?H$^@X7l8x-b(*7dux^IAj(n$-#5;zK;zhr zG&g61yTVhQt=rl=wc^<3?DtW?sVjcwt1TXPp^)t!$b9_d|7BdB_~4S^vJ8kSM|`y% z^4<)HrtMDvL0{%RQE;>M?CmUjMb8F=HpUI}iPJ|n4CA-eN3_<&k`o!?{0Q~j3`m6V zd^_ZWXqn;08zI5h43q+a+?Y$GK;CQou1^X?NYT_SA%QUtNxQ7p9D&J|;0%7G^OC9i zVfj9Qs%pt9T25X!tXeDM1KH@0**oB@{iW_l?7A$cZrpFW46y?iykzY+byrwLx9qQ_ zTs+a~M}ui;hrNTpM5?5%M<2A0MxKA`A*Ds76d34`u*(V?_NBV%j#=8}kg|)9*2<7F zOF1~hpQbFI@ok~4l<&(*5V4*(LR4ccSSbj*jHr^VT1vzQWr8>^ysryB$z#Tf*|Sjd)S3UYAoG)R2%c0~B9!GZsGB_k4%Hinjy z*{-wC3Tbv*PQ83^>2eKx=L8&$TCF}%!#hmq{5|wP_Ml@Bct*4oREGoKfmV~#7yG? z%jXSsmF5dCD$U1@RGRl|na1HiTBdPdeh+_~lXRx}^qEQ@p{0-3(nlf9@F*O_J_x?2 z%QR)1=~tnw{^0x`mM!MNcB@0lRe3X3w!?$FVlyS$dJ*qSgHoV8@WZ6)}DU zG=^t=w}5^Q=%1A`@kI+CL!u0&1r9k2V6E}S_K9-^{+`H*$Q+#4hoYr14`tLOwQAh0e4`FKBsoG3}`5sq6KSwO7i0 zInpoJYAvT2!qo0fj-5~tZ8s@{dv^?Ato zkko&jmOf{R(?e1|ZFw5%XFLrWTt)(|4`}{a+;Q3lf9t zNr9oSLr-r;=9SL>De0F<`d4KBqlhW^`HkszwRP@udbf1>4XQZE*LFXEwWM;{EI54UmHy}+tX^)>F%~;uEq49^Lyp2*m z=XT169YlTe$a7ov?}9vOg8u6qJpf;{0N?Y@4C+#KcNKI0;xq9!bR|c&Kjg()=9#oI zFMK4l(Mqs~+{a4QSq%L97&t6hulN6lP~$FJ_T z{9HThXu6*;(tTh$H;?I(-k55(nXxV1@_H9{ULNP#+j3T2*GO7spLjl)$FusDJXI~> zt4=Ztj=tBF(R;G#{5~**k6c~z4BP5m>oReOq*{N$*-n!fO9R^hCMT53{v zKok9Fj1v15A#Qv-?4(30Du0fmH+EU7 zNjNKE`(`pY0ptZDL+|~KFYm`!2@PNo929sN-HPj$X6P=6{8TgV)8?WqK1b33-f*>) zZmM~l2Z)tKedW?0!+?}hsBY5AmjZe&6lL`OeaI!wDk(({>w1pw#c~=}ilKzRdgGmT zn#4F7Y?p{P@Nv~sK^5m|^kY$v&aOkCi4iog!Op;I{&HQzs<=o-p30KUT-|YsJ|fO; z%67cKg3z|Jv1>R)%G<^jf`#O4MApVCKeb{!&4Ui!?7*kA97UnwHL*n)g_j}@)8S{< zPtqa7BpMJFRi(Sd{%PCap&bcRxs}XU`}8%f1L8bio0k{AnZRs7{lgQH@+|En+V{`pCAouw!_*LrdG* zQeU%k-W)9U5^ck-ji1pJS*BB+!m=o*Q6L_p_p9ymei=-&)FhCB76QE+#C-a-Xv656 zSdoT~>!>4s)-pV$Sj-^Glz-khxt3-bZf+AIGfXJ>PZ=(z6Ww0ZRCk7E8D^I4m|cJ) znW2-ocH(0KPM`tXn7SF$oi5N34E?)(;2}7phm>Xs7K<`M^*^x>&dr5_ZE23~A)Pym zJB&kd#JQFu0LzTai(L@7vP@&_7q3s=0Z&TbaNeUvFb=OFVhO!JxKi5;aZ71Hv(PtD zxraObm>q8-dhm>9!%wI=b{hMnMbr$79AuKKJLqhTM-eFDaQS+hwHZrNr2IrWPn0EM z487kzaOFC1Qq6o*sH|RL!wbw_dM*#cy&4?&8Ozaq5U!?DeG@xhFv9p25TxEkQ zr;HJmM4$8QnW_>}PU;3@ZJhSXCR-j_VS1CLv~sJa(fsA=Ck>>^mx&GjaV8@BM^m>QugDZ&45P*7nmQ~Y9=BS zrQN7y+Kpi)Q! zBIanUsyGi1N>%u>7>|JgKSRYvn%9(e>;yvkP<0%&i7%E6!m`1ZIoYz|Uo6Si40cK* zb01!`aT7>GXuxQWY9)iZh}ETx*kTe&*iXB_lfIISCUyV^0ctz8C|uw>vJZHGU8n`b8i7Ux9r{~^))+@E9>kI%^*Z@ZJETo_B`EEh-Expp|>LP?R+P$reTS Q0%hbEMx^ln00030|DiH*tpET3 literal 20816 zcmZs?19W9U^Di3A#I~J@lZkCkY}>YNb7E&=+c>dp`^2`BoA3XZCks1UB1UHV-}H<`LM|58#zaiaOhmug7#Nut zn0_-d6DgTEx>^{S5Xl=DSrIW3DM=EknHUm@8e2Gj*AzPg`&I)2QYiU93d)>iI6ZU{-m3pbk(5%a)i%FS6>1MvyY!IhQ4`y9f*8S!zHY&4JNjpS|#&a?`Eas zZLHkf4HizBDkN;W?!9OdN?Oe_XNBo9>xaz{(zDc(Jw8;1`%833HpkW~4>6f+*>c2{ zCFWwoZsr+vIj^Cn*<`GVg=dMM~2m%6g?n>aTN*PCf>+ZL;Qfp;a z+O`D0bE49vx6Mesjoplm&+K}#5A1F~3x5VnkzL(g9(Rauwh+>-LsE`BEU?jB(TBJ>(ru<1cGxoyEBNx@rX zBRBJ46?phzEBPe^6!+{FVnEz%@`Ch=_WI(z(w}}KH+S3Vw@k-a0>8I&)DiJPeq*;#hKX;(k?7q1{0T5 z=Wcmx8D4Gm8ae&Y#+FD3(x%-KUas5MI0*4gtsx)Suc)6uwY$21w>C0L7bxJKSlD<4 zRu99DM?Gj@)ubr?ciR6iGu$%>e${z|*wGbq1$lgakIH79&ROFlD8)mwv;Xuphzj2Q z+cia}&^78`^{vwDjzDaH?UC&0_d=9HJ_6Tt7n)J@JoOoQ<6WaQqg!W zHKkDUSI|qaz;Z7W!XnPwr-(!ep-QoaN7)%r8Gjwp#L>_8$lTv-Ot`p?FBA2`B?J4DG@&5ziHY;wK@5F z?;)f!Jc=RY@q6yK;ag;!9N!s_`LVnZtS&eO;%t*4oR_lHo1yw!-f{Z&r0u93VlHxp zKAYO(87+Bza)uY{cg`8{ZPa(9C@^MK&(7S@9IA4F7*$5fTRknwgf}#;OaO;iMbbow z1o^WR;4_6o#O~bHAl}=%GZ&AHhhb^_*rZL8sS4KmaNIV+j7hc6AM5R^!Ic(I;O-ek z-A2I-^?*5rvE}#FJ#<&W-{!2tblFwsMtg&Em$sxUB~2pSv>DgH#Z#%^1n(t6uzqZV z`T4@Y55S@pw!D`Rg* zu!_IN^3i@YOb-rs9-+ozLEg3=Rbflz*?{ zhucE#kRzXSL^tDMJI1wxrj+Bhu$49)+iY;eiqUXmwB>zd7&#q063yCT9R&FZm_{gj z(oSyLel)gy(0bbY>(hErea?FdYquWLbup2)lD_yD8RnVp3d787ta^L@;FvDga*)D* z*>^CaADZSxjhO@dxD{!Kpc;{jo}`f-t^l;*N(H2)ft3*MkJM-e^1NiPcPRKA!B+E} zya%YD;U+(}JmL~w@Tx!9DJ)fKxo!UgI$asZz16Uv5>_i+d3=yiKW#rFZ?4B34FeYV z#a0P&^}IL%1xEU{V`wM*afplh{#}tH6^vi#oPZz9JRaWZS2tzAxY(=CJ0U{7)P1j$ z?h!w@8QWfmlfh=D2(UWTkHKZ7t$*O z7=IQy?Dm(GFW~K+$al{v4zNj;RMYphz{cXIywRV;`|A%M6gXi~Ni*~-ip6*{YRkIG z!|ssom5heXXVoe|Y&anWpm*(4UGaHvbMU}BZN@$Luvr4#ozB>*1l3h$?|r!X@{;ut zA3*=4e*2oUoN|=P1L*vG;U9^ew%zBF+n(h7oH*+b*ucO?OnrZiFrWtH=+uWD%?98} zZ9e*hsZ+QQP2IpJPOnXwyc0H(F9E&WA|9S8)LUv`S49M`Aqt618xMWTC!4-H3v{Jm zm&E6f0%8f`_?GYMTIJ3bUhDNg?2|oM`_}mZN7n>br#ihlUc@DSU{M|JlY&W|a*%q~ z=smS63H{z%7jlCqGjz}S0~!P3LY|$7C;OL5i5UhHlbn^5*09ou@`a=??1H~kX|)l6Q@zA z-t(~Qny#AWiCfW~*nN^PzBX+38cKrlv;R{u;S0-~kKwhZgZ-LtU)E=V7A#`)h<|a& zo2vN^?VS5~ojKU2S4Bf%;oOYZ9fkflWNYfEL3Zc}w~xOvWYo+8FL#GWbuldpc=tv1 zETZ_`qTJP$NHU^xm%@k{oiv{mVjl$#>rx-EVW2K*?LQqV{WWYy{01f{dprL)@>%+$ zUhXX?0<6uP(DEs-^zSrSP0%fBVQFx?Tt^C4yTX84u9@f z(s^9Cx*BWqzCe=2}*!VVZYTVlhxUCGwNDeo-eTnOaEk{|7{`a5)Nw1RzEMIde zvR`c9D|Z`QTt-*xpL*b?lLZ^bbQbGTp1}lF5>)#k{gW5@Hz$V>;f{aV_GK5DQUh*r zfNdJYh4iy%q&+f{Peyi?dP#nU!UVZe6K=64yYz!?8rzvPFCsdAE7TV!SJVwM%mTk2 zy7E-w$-mXrNt&tjG)>mZ%wiZ6}hUz%$tab^D75EZAy(WTReIN<-RK1kq>&tJ#{SCdz1zu$v>DPwEgD ztDkXq$OS=SKDHv!2*@Sf>D1@>;JMYmfizg9#Cp9P@Gmf-79(oEC4~Wjf;hM6(X;W> z#3GaZ2NqRrcMlI8VSPMT$e;f=!Mj; zU6A_%Yod@aymf+Wcg{$Hf9489-LV%ej5x&^w6;mcQ7#rb{%6z-lsoCvu1tg5g<#9Y zHd?S$`>R{mGsY#j#Ved?^|}WD>QZei4o=6l<5QvbXL47Oqj=`qs=Nlevx0@ljkrbm z-PY*nxKl@&aJfLflH+pZ)CdW&F!-kSJ%sOw zXsSp5W(-a#(3cLs`J@DO<+Sj>e#1oN6?ViBgbHOv10w<${FIi$zE2NIXLZa(n9M1d z2UZnnSflL3sJ^$nAZE(5f=r}yij%t-$ZljP*Yy1|Zv-XQm1XSo9> zsQvGZx%792n)kPRFm{)@mX%Q*d|Z6hN(y9wsCfm366TFdMa@ctU}4RQnAE_s8L#x| z9rd1-^XT$6xn2X39>@9M26jFAo#}|`L^`n+qh6@>pf+~B%gJcKC4_!067Ig0br!|` z;L+yF^T2a?AVnU}MkNkmT`tXOqJNHdgJEU8LACsL>w`cu-GwWon6DX#kxP3#moEdl zc5;443b9#})s*IP)7IcQ~7)8|+Si@E&X?T4_p` z!9;tj9PaE$D&%>9N!OH;@J5m+ey8z}=qDw$aU_odv z=PpFfKo6MT-##~Xc~e}Jwzrc~x7LX!UZFduF7J&)oLB?XuCOr>i9MJ%aH(3Yz}9}EFaZw<_tSC$XzjRXuJvl}VP zLX6BpmU<5F#oT@_K(0T`<2{Ii*C|LL$808t$N=TX5q3$SnB%n|qECcAMoKbdsSDFD za`glPLPro>kRPn=F9x!z(D*9$%g4>a6_GGziRflj>gOfXnB zUVsJ@T5yTC2agcgr$c)z|zDx`{ z=ECU1OUgjqi|Ih!D%AlU$2%y<| zKc(DA4T5Q`50`Hq5ue}RUl*gfMSr|MS!DL^jx12FFf9IkRoK>H?83$}uu16bg;^E0 z8m-&73%9NxhuXLF!tdG|;r4BYvITd%nd7>~udU^LprBivopq8N7Bdz7z%j zK@*|3PJ)#6aQ$p;FC&cy)(yE_|M09ecNpD!*r_D`ttO}aliq(4A*^uYJVC~=0g@_W z^3e??v&);TZq-TNGIh(d$( zK9O2jTRnr^m^lU+CqY&=aX~t~!1dkiK(a32nb|;GVNF*BTg+4RTkOBd9bdj zY}egsaql3da@SP5R7IiE-hFQkojV{CLA!Q?*g3e~saI!)K2Vs&7g>)`)s=dUPk9q| zwn^yNlh|30*t!4baC+Lf%Z$V-cDTr-Q}utZy4Wzod^0ghcC!* zY|5^hH7%qzS(qwfdtMQ~tb&l+_}j6L2r9kZzDo$?Ty_>o3i1~B4hgp_Vh*hEf(pg7 z1OwM(j)b#d>%28=*3{ML6b)|8e*0_ivY$O}0c@572W<|Cv*3JCr_C(-_;!^;uh^EW zrY}kBd{)U~t75JdD-nr8V`o^;p#4dFEG%37n#aX%Vj+FL2Sr3?x8kNlEv21Rd9aP3AG@xTAfd4C$MPQesJ^WSY4ucSpkMXekwPR<6x-wlsTl=N`+Ryr9eMUPPnItX;4^ z2AO+@EfBqQ&HX9qM~{3NgVT>xJmSVmX8XlaC5LR2%_nq{^Q`efe;n-hU7ftfn`5+u zF=h$AKX?@CX7rfVZ4Kc(xDX)whh#FRaxJr$Z6{@IGS`Vxs531(pCmJu0LEtvLrY7K z8xuuJ*;5#)u{V~l(pGneQYDIMvngljOpw0kff}LW*{Y{cgF>dh?laUwHJy5oVfIL* zhx&*e1>DY%%+d%#Q8)>d(ydfU1iv~1RCZHk)9lNsD)M!Iyy^eu*w6u;7#4@i=wry% zHI`c?c&W%})FI3LT5Tv=hSe;tTth6O?VwOH#Bm&(GBnr~a2$Jd&)p)wY&pBJg@^#| z1U0Ytc(nxfaF)LhH88^Tg<8cuHR-$)d&w)`YJgDTy(8sxrDwuSZ&AvInCVF=q%af06h6ZaU=wirl)anOt%2~!X%yLBb>y;0GiK4{7 zDyDCbCYo!rPrgfjqtxbyY6iSS9M8SXx_i=rn{$7s>*=-oba?4^tLani)pDg;E9S{J z7SB*FPhFwi9=PLhZy~jt*^vzD+-PUc_`V!S7|r(n;5{y4GxbtstX^jr^R_0_)b}rPE9~68*BgPlY8d9nkiJP-S4s- z7F-26cL)qQ-%gxIzdRfV1s|T58_NNesMh;G*gec`8OUm&u#hD5ZaP7lEUjla=Vy}3 z`U3}fQkD6_>lMlNY5S11a1`HPm_wY#^I)63F_Bf}gW{6g?nBkXP^-Dv4A%lBrzY#mvq>&eZ!zq02Vb5<1@2om|6Q1m9t%v}z)E z9eQZV2*oFa-0_&4u3s@=5g~igBY6<~`$P~f!|c+W4t8K7`jct0=nqT?)OZH(X42=4 zc*}#3`!$3R_&EDGWGI7&J%osPWYJ)DAz}6)vu`#k(Vnh>*oE7}q^2_VtJHBn4f{p# zd!eJ3ESmI&g%@rkK&>lj-usn7!?us|Xs>+k$F(abiU*49Z#Q@i<3oA? z9v{}?ivP%y9M&Pvc7q-`P9-@(7})nrBr|P|yYt8IXZsANC;P%fkk!$hoee|e+?KZm z=EvEP6ME2sqVDekV)huFSYEtI8&G;KGG0HyL3`afj3X!W~oCHO3V zveEud-6P@6#ll6tUlGRm6`vMqexV~QpJ%R?K>m|E50m~BrKDZtOL9#fr|O&Mm*4Zh zLw_TGog_2NAc)}fO3JFehwN^|co?-~S_+D1q{FU2*|I4oB zVcT@Y%kOyMG1-T&Z>1_W-&@1ioydAmtVo*A4KH%6dDky@H%|DURtMKECU!TZ|5L!2 z@+E<#vkxyQf5qaVlC7N~p_c>K}4}0q@4P*BeIYTa z0hCpDbPzA@_aFbsU9~d8y^XyDED+;8tG|Bv+BRt~k<`@P3Gv3`ZVwn2(F3SqJbUTy z!#_RbBO*Ql^a8>jpIlXkRtL|R{q;XDHxWAvY#?YY{d7~X?KU|Sx{=6b`;w7-j5hA% z6pevdUIwS-eW+Z?@7re|y*`Epkx>Yvl+Um548E1*su8__va=U%_#;0RyIDVl-r@ab zgUHMR9X2E{UgUEKowcud8boNp<38bsF*%nN()*N>GxVzSmzo6+L*C7@-S-QwbFr@c ze_7ycZ1;?yYY@`s(1|>=!xkuemoX;^!)l;Y0fVZyX&?}7BtR&6Bx+6lmD;U&^=EGC zE@&P7Tlbka_mpoJ3_5r*9zs`F` z%n`e*JlgF%Y##GpP3&4aAoFSYxAFg8-nZd#)Au?k>H&b7{94ircvY$Ygk7jvIBhBT zl&tc_J*}BL{l7Q7%XBhRnOPn zdtE5P+FM6#`d6Vfae>n>ATav}JU~K0Us%V>wQI~}K&)I2UY89oFd@z@#kMbO9C?G{ zTt9uK^Zn;B8iC(a4itYEzV9n^8sQ`>(#bI8)7WjCJfCceU-<1!y*JGm#mFt9@k{@X z4-eiGJV(^)7si2IzBlI>_spX{aHQ|vc41m1=0kqqDE}R4LW;f$5Am=&xN0N)9bs%b zv}>O-HxO|@$LK}wn&yEq)DO0M1D*%5(of_C)cpG>D=6!BW^wb$|5ISJ|J5P>g&)bD zV$47MuF$SPb~CBMoL}N7I!ab zefNnw+_LgiU#Z{rLi-*M{q*yjr^Xz9T`kOO5tlU2ew0TImWdE-=e95s%{IN_xdIi? zm!m?wYSA$awllS`dv+xA%DViis0UVQORbzArATiNv`%tzSFwy`p4FN-hhFgE;-p`C|?D z3sA``Dr_bf-Jup40PNu_nCopIlW(q2A$N}(b=E-St;)>aeeU)yFQ@8Wv42gF0L~H0 z0b^*9wnNy#)9lF00Otr|4RJb0HS(26iJS<6M4J4=jlu2U7kSDyrW?~1j1}u!VS2Ai zN}|I41IX(aM;(*XPeJjJBlj-3?SP{O%zL=5Q5E7UX|*?N!KX>c4g2Abz*g5=^fu^5 zSwAK~PRj}0IRcl7k4WAaffez0eRnuO?U);3`mMKw+?e;Sk?p{DkC87>PKNewbPvdv z^@M2>Kge4P;8*ZF=STvyjwR#OFF$(Y*4r-m(?Z?U1&CuCPM&#lKghK&^cT932iz^n z?hr(u{=`Ct{X3DQh}~W!QHT7Y^KeRs5YU*p~Jf&cZs~F zVw3^=`lrt6Gm^WSRVSgCPqM08)4u_iqkz<)3&sBJ8juT=Ah8p*y?!gFY4$Z;@)Iri zS1U4~20_2w`O+{o#9vX5ScG0suw(|?LFy7Rjh}pFbY%6( zPf*3+D`Rq=hOjo0a@Ge72qrE0j3vq5C++Ae2M+Ls?(J>fl+wiYOBTk%XT1+V8W~a+G#AiF)7p5 z>zi0x9v0x8n1`bv(c9b#OLUbXGP9?g8+5K3xr3N5dZ(m2YBo=lAzpD}R)?%B{_SfV zb^^gw7Jjeitg@^l02}CJe4CioPYJEzrRApCAZQvsJ|++KJ66jd7k5q%^+UWp^Suv9 z9;8C@!G9D{AD>yVp3Q$OjtMlWSgD-Gi0PHgn@>)#tbv1j|8e9|0JUq(Y1`>bm${Y) zykxs>JDWAnTP{>2a}_RD%m)QAe*}GGyH@F3!3K$(%uEhzWiVLprlLDdhUuMN1f=(R z!uHG8s6d?gd^GjC7BK6Ssp5V_Aji@``Ia!M^-3ER&)c>j31n+pwRbb=hG@^?@a5wo3&ns)VLNc8#FJ5r86!nn${-+0GAb>hfZBOm%Xm*7p@7+AE#yImMvp2#40rG?%gzhSJl+;%Hvipn3+!IIQ>K# z7Izsn7Vf^P^ns$*~R0Z%C_H~jOpwh(|0N6 zTUo}cT&(+{oH5^!ZEDu8G|0s~pCbcrBbf`h?^{Zt%a;9&e^@l70ngUd^J(5%u5`_4 z0!&%^HEouwXeB@1>r;$BUK9Pb@-ix3-5wB%0?EzBAUK_XgS&5GgsBp<;o5cOtv3+~ z<8REUC|%=UFH@@s0>1#ry0{kWWD=xZ=qF!Ew{TUtQXsGL08aL40>cph7FNZ8GdGP{ zo4B?sWnyPps6v#OttU%X&0<+EL0ZMQVl9oS!4c2{UhCM|{ZFgXr=+_$H@CONo>__^ zAsn<%hoi9vcFPg~t!XfhUh-v)5V#Mksuf$-Q*cY=O{=fcMO){YqFqZ8vEZAiQS!vu zA5wRSDP_Hq9c#by}h~hW< zoy!V@orm3v-hfwUADkK)T)fJV5~&P`T!(vJ^WJ_l0@+H}!WQI%mp99GH_@-Hsd+#& zU*pxJtrm{YSM0HHJDuQ{tte*ws~cV%Iv*%ggahKUuf$)5lYD79LFxNn&nctLdAt_W z-s_~Be^xzg?iSDbrWr?Y!Z^MVg9%^ce(`VldiT|M_!zB!0i*qXV=U~vyi+7%?bCWu zHLN#R)|E^U6{}0G{arS_(rcf{L)4R8Of@m{rZq(|Lbj7Tb+IVX(fB0y)8%G0y{V_0 zj??gF%WihflnL1CmctLD%_K?R(_W%zkY01JFf#Ne;Y;DsyA7|eqNABw@f(WLnkYP* z#7TV_%VFTJnmk?hUJGzx_$qxG}WKmD6i~kV~oZ>QAwKtF5_P zJskEPBEizLy!QS~9Ce#b$Heup>2(jU^3bCau|1Yv8}Nm2)H1&N9$;M#gw?iR(bQ%}uPPMT#N+Tinyez~6oKb;mQI;QHhv=xi9(J#JvS5H$`=cLItEU41 zMvVEE-K%@G{H(7nhD%Gmf^q)u(-ZSe$TYq2V^Kd#1^l*NM!SIO<1YcK*`;LEG||*^ z)_UKd#uhJ~SINbE*$1rkjnWnOI=5@_@TFosd3frc>){QZm{!ho`(i3ZgSFNxBV)G% zCSt&=wuJFqY|Fb!NQf4HN7MXNSVM#~_ubmuFq@p-mN(Q?>YT}8)4|DEGo9SFqUBk$ z-{vh{5#S23*_j)`D}!Nr*~5ve#zyX`w_5J~N96RN{4X0iIla_#rYAkVx0TNc;VYj5 zX`s|puAnwQ?Gd-<*0Yb3;G0?ZHtlrEeRJm#e!C5<_hab-0Mh2<2u=|9$+W?6kCD^n zx+tZIL0MrbhNbs;7l2jDgqnVxK*$fZ?MRWds;&xYSw_r!W&%D6J`*9GZ~JdXIi*IgF+72I%Jb$_?~b$`RKzW6d4aFR>; zIsZo3q?gR)m;Z2+zwm^^Zy3y0$^-C=J8r^33C))hn@ZblyNcd{Mi<|tE z@NM_QjP>Jk@g{k>YK)d^_hEZWmF3n$O2XW}(*64pH$<`d_2&6zI2<;~A64ZCxP5B= zVEeeU$*u9Teoye}?J|36Gkc0PyF_`q_d0SC71%TFm<~)c9E37lW=R!2A3hELA&95p zhoKVD|R^p^t^DOXN9DMd$2M(in1MMYThe~ zCFlGq0+>$(h6bf@x@L|Knz)DL>V8){topSKaL66ing zn|w(C^>4WmqycRaOj_4}cY_LxcL9VFg za;)aLpcfVp7xQ^95Eo)Iu`K4@5ElT5i|M@0;k=KZjlytidV+7bL9XoPkhqOr0^B2c zo3Lxh5Z}i<__i~bKQ06zE?V+eBUpV-a)eq8uMT*&3&Th3g9 zT`&bbD$dZen=^o3EJE1Om=EJL<_11O1wEq95SYyP{?M!u>_BhahhKXV^okaIqc^9( zY5W4cAPah=o6$9%arJNB%+mo~LkM~#nb9?xY4(2<3VJl0Nx^MA6W|`t^8sl@hq%z3 z8G>75&C52J;e%L9hqx%nt70)H1hq*PeEV%)0lyX|fXi;K7SueH=Y!PP&1$|4a&ZN> zwk*KCnD@bMjvnL+Yt9<<=qGr_Y_11!;p%<0yxbkO#~yX{+gv>8(J|;r@fy&VrvtVY z1-}L>xNbb7i?}8Qw|0=%wUDO+u{I931}o4pm!|`_CL7d@+Ng%pcog(V9n=ipNCWrn zK48xS6~~@B5+p2vB#w%oK!it5MJ%;fsov#6C6Z-g>5=${V~dPzQ4{&gT$C)h7}YoL z=jhorY!JZ&{2NKqMszOOj~WKn$d;EuWa}7WjKFJdr3)b_9Vl$^^sd1(n*GgTHo+|a z8a;SY#h8=+jXL1U%|(-qH5r$c#FQkW|Dg}nXV)0`(1Pa}}J zcb!IJOLHXy`&D;O9?HZ>4=o~OZrCJEbdAqWP{RLPCr!T&6-5Y@)^)zF3WGiRO1m)Y zddH(OqIFqh!xBZ;6lKe5OhUa~8Opuar1LGpzc+JsAF7l2*Ja|A>_xj#Bnv!yf92UhQa*4>HBAldlg#Ja+$Z{ZV<5}Qo<%%>(5DP9z z1v<~v_wa{&2tv|O5C-3kr6oePqiG^2AJ2a~)b0Qm`~GqOprm@yEdPiwH7D)~@rw|99sz=`hJ%Bdw#W+j$;h}`Afelfa(~OA z5lW_>gr{bN59m{vKGLkZ71p*4|9A+GZ`Gk@l;2ZnX~)k^tfT)S^wOA_%b1<^cS^HuG@ker9V3eUxSSDhfLH7XzO`RXwA5 zMTREhbSg6jo4 zXn%S*V`=JnVAzovWwS3JRMn{FglJPJ%Lq-9EyxJv{xJ^@7O)~?E)^#U@+4y{6$cAy zr>fbG-)1=l7xVdDc^7sVW@^UXk6ga(trtyX0SGrwI6Rb*}oYT4&=fgOd@@{<(yQ&?3?^cq#FvVY+Nwf{aQ^!Z`$#^2i>8 zG+b<6FGuGh5(k!}A=MgrmscNKYi(huU-C<`S;Yc@7KY|bx`b?}1!6utaOwVES+!Gt zeq*Y%#Uku_d%Cr@$~Lo~w$~O1XBW~g^z)5*as(LjMzd&aR|0PUhSduH^~{AiQ2BX9Obs;q5c;@kYFOyY!YoH;Bx{$M+!W*{0NonT~K z3eljrRQz56xx~#ZO5w9Pv?7ZpUd(J%a}!&r5@TU-VnQ>lH0ux1LNsL#D^g_+2hzXK ze;}&ik)ipUvGKe}6#f2^>7wMtmiJZ58<=~QG86`%3Q3mI6$Xz9Nh+p3+q9-V3T_V6 zIZTbFEWPE5q?(XKaKSrj4N?vGmT;;;PI(iym8>uARO_i?9S&%ZHYK()-KNRXLWCRFh(|Gud)RR1#d})G4%c z5pzm#N>7 zHo0VgBX5m>Y%ye56Rl5le{z4DE3-AILoZM)If)cOSL0AZx;l#N*Rn85A})pCvXcw~ zQ5yziymk<{M0FSFK<~yz8bTpZ_8-V!T#h+6Tjbm`+$KkOV7vIg(>7#`e8+6Ge8(>Q z{Ec(RQzN?N5=kREH+v!FOUf|H628ZSM};b~Hd8A3OJxPsMW=nS2uGZO(8NA~_Ik2L zj5d34srEj4`LsnTu5ACjJE*YZkyg`# z+LeHP?1@G1`n{o@6PP7JIJoW{(P3`I2j@l+W#P;R)fBltb})j%VLARyYsH6*;7T2u zW(oAwyZ*l|ph%jIlE;Fx#jBC*b1(y~E2WVf1#^~A5)A`!M3`VgC2&<*+yn{=b7(qA z#A5y3Kak!%R+~E)=z;Dl8K%|6M?biMjIJX_7xAc|qgOL``WONc27Gi(skns}@ld-C z!<_K>ZC`Upw` zuUgK|8HMpE3aQ5C7K|^A$AOW#cq|FTL4=CW_59Hku3WKdIpTmW%fTTKHX@Pd+(O_4 z{T_ZglWY=`V{9C_>j)7MRlub<20x>4I0l^@7*N8#G869tKjVC``Jg>n7`4mjM%aLC z&Wj0)0Ee+M9ZN5kcUQ%zBK65INDh1`QVtZeWszbTp^5-I11o~nGP#6nF9#xv{5=$8 zR}Q@jJ0lB^+pE#d(b>?N(&;BR{4pdLFH7eV0s%dlXJPp9tWdrjL$I#yKsRQ1j|54>!-WZ!tvS{7;%W;^r)X_=Za)Z$d6E_65tuDma{X4~zC zgiz;wImwP1cy#wU>RE2VqT_q}BtqLJ!b=FgV;KIe6gN=Kb%YRPG%kD7l{iWNX5#~N zx3lbi%Auc#l@|o-Ej6OQuyCL{;n!UNLK-Bf5#y^pHxP;&NXZRU7Z|v}D-fm`{^g_^ zB)($8JBQ$rgnw(!UATc7KaHh$~e5r5!1 zvg)4&#mnKqDp%k+jjh#@|CBZRkJ)Qk-|r;J#j5cImBuy@>HPq!V=;2YRJ0QZYTVho zN@e7%GQ8s4Qr9l5^ILrb{w)GGF!v(fuSxjRJnS7B={-H@Ts*-(Rc9m@bXOM~uo@#< zIrTaAg}Ku@qejzJp~^$5h|KVTPKoDLkGSFn?$1AOdSSgsz@im+y5&rqLDk{E^Y6@= zEau$9S9u*C&Px_fX(8~hDN@zwfQ2+~Y^zC+VAFE6)dCqlkn%)-=Q_2ua7VoUQ;d3i z3zT<&9>{khly^|kNf7F+N2DlM$I4HT5fV}PaCzkK;SYZJ8*&01|2Lvz58kCeCm2>L z7S|lITN3GIZ)|HpK);?Y8Sjq^I4#n@jlKKpZ1ch9@nNgP!z;vyEyA%sgJBiC)ik1p z#6fy4jR}Pb;RpT*kh#T&sy;4W!Ha6?+RETaRI{Su!$aSj zXjq4T>`%(U?Tj>Wklw8-f-7Dh>x0rI6dFF1-&i^7C9E?>Rqe8kupU|Xx9$SwH)~GI z(eP%u>^X+4N6HbUCR@aJKWOs7f(IEsREgDALppVZs8>^YzA%a6g7w@XL8?>X6DXNURQttDW}C&C`OIWV6Q)xL zNx2wHzS#a0si@qD%Th}L>TWaIT&$ktISCj^U*!%crum__P>GpCI0>?W4npmoVZ{X( zRq3uKO(*Gn*>Eo?oMkxp+|?*}S?&9S2fg$6XxV{|>b7HEc@Tb2nxT}MaOfrKbz%-b zO4mZtcZreglNL(z6lw6R{DVe`A-G5j`o-W0Q-ODhF%QbyycqznPbRZPc2dzUiA4if zKt>5Opo=q!>Z~)JipRp;;JUVLi2#E^Xq4oPNxMJ-xZB7QMh6NAGBhrYCv|Ut$&r~`ORMz8%pN1TMPNBh2*n8 z@)-mPBX`q0Y{U9{VZS#mzjb<`DmO69b>zZ-Cg~UyTiNggv-E)U5+u;KLWOBM{)>#5 zbJ^gsUii~6?0pfT4Kv>R>S_!lAFz2x10Yv@zE^E0ATNZ3f+;iQw#6n=KZ|CtA)>^F zTm^xYA}>DskQnj-*=>~7j+((J5oR9nmnrIJA_9QzJc0|jQ+nN=$~f6v_(T0IKKqg9 zj>LuQwo!?v#~0EQxh;NJpin#v_P+w+4;}E!74hs9BP*w>gM)R|AaYIUQ!}j7@Ix68 zKf;^_2wl~{;XR_pOT$u3E&Che2q5XPp+t?QBeV`Jm!>2}O^HB0mM+DQAZMf_B7k=$ z5SCD1X%(_6Lx|R&)fo`YlyN&`jU9599Wn_>{`joT5Td#E>wQyo^C~)YehUG z!w}8+>m_96y7=jyX6rKn;jF|Rdn4hc53*n3~`#qXJW(ph}Whgw7$F!h}6><&62AeFt1P7r1kiXK%^ZKHDlgn74l}gkhf$&v<|)3 zs^@(fLNsGPkO9%U{E-ZZCgr0U5Y5_;*&)|RhV#gDFLUM^7#xsnvgGMKr|^| z%7ADcx+MdmDf%iPJm+0GdiLnb%-Q};pxCEVpP)&PofUsKLzRaA9w4?E@B@iSoWc5$ zpj)#r5ybnUG{+n#&3`|Ukd&_;O3=>%$sfwwGK44z$ZrS4*6m+qi18yizjhGw8({M7 z{X>=%P19e}r9`H9{7E&-3UdgK9x0}1LhcJhnh*`L#tu2l4*8HB@-aa2t-U5gh~~Fz zGay=ruFHUEVR=0u+@?QgY-H_tS`XF#+;`hp$uMLXn6fTZ|Mv-V+VPC;nK9+QsH zY<;*Du>}Z=XRojdd9hu{E9{Ur+9Cg9hrAzCfm^tSvhyF?64wsS`n`Rf|t5hjo~prK6W}azB>C>_^YJ6 z>+shWN&(ZNFuWD=(r9DED70P-)_c%f!hwNNAWo1X!g@pKLRd)7=CO; z{KSg*DG;zY?<)Dv?2w;Z_1tDf+-^nu!iu=Viuk1!@hdCh*H*-DtcW|Uh~HWfzq2BK z4}@iO|6mpJM=Rn_R>WO)L;h?P@>eV3ZY$y*E8<=tEIxZGw$bvZ=9!pMoaEK|sg>Tz zHSwzyyP_-a+$eoGK9$-NYt1J%n!UY4>z1#ZT-#ge|GVB=r8o|XZL5T0*` zd=x!1!gviN_?m-KAdnjii4@2Ojo>nnxdr?b;GK+GT)n>zTQ3nr|&6qud~~-l!kGS*)qfdnD-%TkD0rVDY|A)9m>TW zoqjZ#7I)e^#7ksK+VSY4_SwkuZ#|{-sFVT+9T0xm$EJO0ZhByremS80;-j}RrL0m8 z!SD|Ya`@z90G=-mL6&8U!%@vaN~9-KQ3*-xoV<2HMtUqqWQ8R^&A6$vSkkj!Ds zSL6`h3j=wTy+;e9sFZ@BNC~NpTCA{`0&#HNn>dVGN|ADb*34oGq?B@?_(g=2&oBs5 zN-zkB!%ec!{e{Hb8 zd1|%M->eTbDvf%zzY@L6f$wR!ReN9B`*GEXm(5yLwY6>R) z>=k62n4xMV69c$4-Od=~)h@>*@Qzr*_Emh$?t9}$@U-s7@M!>pHeWoiV5?{1=Tqi` zet47V=B?YdY}nvf;fizD?%g|%M$R&>m-@UEugZK>MwNM^k}C5`FU$D&50GVC(ci(J z^(38T-nXX8him1dwDOTCGu^`~5{|@G(!s2H<7u*lmY4S%Q|C6XQS2}I^{{jA7;8mW5f3K!TVQ>y0^o$kIr+8iS zH%q;^sVLSb+=VadPuFx`3+x%FUymHW4I0B!-^V~-3HoQH%*QSBd`yWll^!@CJ%Hnl zw_=w#U*NCt@VMn2D|4Kah&s+eMBXcNoaczTYRCDCsLQp$YvLT`Q7t@b=owi;DSv#fN7oq$jO&;4xyTYLD1ntEJZ3k#CF=*RCd#OQt zA!si%XqSVAbKI~W)XP3zCuujJOxi1vf84;W0PT8%wj8ui7_`$t`=mh|1?>inMn6K2 zPhCA|o0jEwYvp$?a+%|y^v*}u=OO1BY5$p8`HV#_`%*rAc{21fZ-K`AMY8?@S;u!S z(T+Be<9lGNuIMTsHQH~Ia{erHh5I*IS8Iu)zem!~kaCWY`JIxk=h(s?PucVxN$1)@`qyP$+3;=Dse|hq zexA!`8T)Qw`Hd)lNYXjZ@0R6fqI|V1Uw|_0BkvI!hVxU=PtwYDtz63}KR$!b_jkLLb*c-qilypiY{g|24-Bz!xkX z0ZFF@x|Hs&a_(b%F5ZW(PNh|9jMnWI$3;vM%S*bR2O;CN{KAbA&<#Hb^ zRbCz+x99TO^)}ruKAEkzrIjBgF`V0b`g0|8?`fT-zCAzA^Y!`v2LJ&7|9AnUS9^#R zRTw|xdtG1a`?+1MHdohNtz7rv>bY~zojdEDhck1{8`M;;x>~G?Ev;agh=~!TrIbWr zQ0syPQCU)nfu(|k_85V*u4+!KZGEf}x6v~< z#%Tepb?1aDDY0BvMO_`T?ulP@j9>kA`MFlkQH7t@vwdJXFUE99Z%kFouq{)VUhm@0 zk8!TME@$q#x@H=E;(1q$XU#Qvay9L9N6R5c-^<&gC!5~g2Zrb)SE!zDnZ0YBU<(z- z)}3{>f^ckHDB-<&Hl=bW-j&yE;bbgp2q1g-}Zdngg7(7 zo4R3Vff_vbuodvb-!z>*N5hP}Ol|Jp9MLGN&1q1QAt7e43W1I)D13-Q8+#kc?1)7AiyP6r70`*+Tmcab` z&~ubkQVJenUC;5oWI@9!HWBa_ue{X(FE#-N*(HWgagQUFoq^GhC15@@ryc{1jf8<4 zEE~P%FBdAVvTwl1(@Bz9t2&RvN5t99SdJH15Vh@U>{<$uina+Q$U<_~BWvR-J#|zq z2JOGviJ#JP5QVyzCyN9ynBnC9jGbIJMW75*V4$#ARl0kgHdR1Pn#hj8@7H!#oyJWl z3~kEjwo*=>nECTpr;t<{l7hOT)UyP5;Lz+=1J)yjyC0-9FdG2r|8mbE5bci#gz{(g zq{E%OZ*#p6TZ;(wzaj-wA;ZnhV`PR2 zh5l1U5YAeiuRjGi8D^&C7)8KQECT>1ed*|j@SX$%ZDR-{DV!qcNaFc-$G`*Vj2=>& zBv=fo0nu=DPYb*XpLvckM8u7Rw@tl;2Fab@MBW#;S;z|T7=sqa*#=` zaImux9zqcOfWG>2i@6Dxq)72ZIs=p?Y$nWa?z?aqaZ=5=DL7?iN#nD`UV1KupEdE*nYl7G*ZYPsz^9*bJFGztB@b z;LzDvLsXLEeA~J~h?YhuLi-t;dl>}KGmtb~bN>BzQFdAX3VR5I%;%7WA1ZyP375ve zKnqiim;E?sGsvToiscV&wUaRvrQA?CI92SRa03O(0y_OffqR$d(fVAQhJ5aei;V6RAr1kO_s7q8U!_5=O z)1BsK^h4o%==PqT*25bJiq)SbU{;QN_W`^jIl}u+RK>A|Okyv=T*H2xi?R%ZL6su7 z87XP%1;@AlH4q4_EKYSJMo64YSBxPCpT2}&vN{(c%J(2%goi}xa~iQeyh}ZcE7IP9 zq9InvkiN}j54R&m#uEjfE4ZU({H3B$yh@3qzOwQRdQhrDm&H^P8PGF~y$$cx<(=CR zA$`alhv(^HNg!O-sfHeFS^qCC$<~bQlqTXnqIAPXBn^duMsv8S1$7Zumy+3cR@Pvs zuoR4C9z=oayIClo4Y(mHl2tZ*xk3wWw*oFI+!GDB7&t@oliU@JiNfEIELpp9Tl;F{ zj(JVolTF|_U*&MGyV(g9J8Sn4?A)?@ z8_?IRbfNf@J5(JI!L?-y?b`KtO9{qP&%0d3B72?sZQn}VFWbwt&QNU1&h|akGY}f9 zsj6jqTsOm1M)I=W{ZQ1HZrl$}-~4dX=S(BTpZ|Er>zIRRBg55vPpt6#s7VCP6*Z^7 o04}M2dfxu11mKn;v~mj-it){Vl0`9l5v9iqBc|~G00030|6ku>Pyhe` diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 834cece3258493371e74788fa36803f48b2f14b4..dcb20ac9ad09486387edf79474a3401c838f740b 100755 GIT binary patch literal 14795 zcmV;+IW)!*000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Cz~0RR91001I500000 z0071U00000007H500000004La?0svHWXW~bjBP-S1zx=+$)*Ll{ar@o|7lfIeGHr>%I5hd++!0 z_e1<$!gKlZr4Qq$&^bM8oizHbPCKeL&pO@yk0JI;#n+2Wq@T-w3~#@O+&{qI?+*Qa zAMf9Df8WI~{|M>-zW3hO@bfi5`xLV8wN9H~8Z>+T2%%Q{ew1%FP9A-w*)>3GdwC6z z;miE*599B4b)%Z!{!xT_{RaO31(f(f{Fa;d8z<3Dr{6kk;x+MH{_P)OCC?spTlXLI zqgM*AM!C(++``ggZaK=I^qbvAROob3aYKVEZ*&ITXwYj$o#T|xpY26Q&0gz%JL-2j zC%voDN#}m+FghNz4@tpZbfwWgiaPxV&2H4|9QPkKy3L4DE;)m(elN;z@9sutjc&h< zgtm+;zL2XXN2C2H>J0j4gMM_}I%)Qz<8J2^Ul4m70YG%z={E0oNW?3zwAzO!gCmBI zns0*=(OI{1zuP!9H0}VG*6F}CvMKD<0OLxd*Xta%8vW)`bksQAs5dzHGtI+(bfw=h*np6yJ%jjRr+w72)Nq(OGVfZPqB0O{gThy8 zgM;2-w{>QiFMq$=Y!diYq5C$P7ERNPZaMOXoGLM}wDfMAot?A}8wV%N2)_nGBb4bW zbTzv2u+@J6(jwVu%hvTO>d@#%kEHQiAU6sonR^`+cs2lsSf;q5No$+V(!3X)Hrj*Z z#$kWZ1uYLANj=!;D8)owakL<9!3T{2FzL3wLfW@_{ch`E(C>76*P>|mq}c$#Zu1x% z;%IzDRnb??AbLFL8lF39_8YB}-nA=64n#(7opc^XwZZ9Wqx&c!Ac***_`3`-x%4{_ zRsKDx7l8e7gAj!Ik0Y=L%|Ir;-5A_&_RN=||1N(W5l|{rEJlqDeV@Pn!Jq(HoBfex z?Pi~BlgcpcShBFQB_qK^0%oVvUf60LHwGvD==MqH0R2vF4CId!@*l!~B31f#{=q1k zIY~$X@CY9A+K2FKSh{%9IP0NTpuuFm4*f@y=-K2UizqAe69}5hRBGdTABxCRtxCZ7 z1A=k6bKl0s|G)fU*rQ(_z-}A#>ZgsfXknq-Y#h~lP7TzJarJqa(hCa@yRCjR@#Xk9 zvX*SLaOgr;q`>1=e^i0UmZii-@AQI8gz=rMfFTlF{G$jNi@pZ~>gA~Rs12(GVQbyL zu@k2znw@%J6U4QhcAW z4Kube!pOKzP_&sOQAFFf-RVcLZDC-wA(*Xp)QG;cUAt|p^J`>cJS4&=@oT%K!I`m^ zky;8eiH82m0F7kBLujOUG)3Htmlkhh7Y#q%@x-EF{2)`U^vhjMh>ScIZd8|F@XgkO

k*1i(1 zLPX+uimsx$NswrbdBhYHpguK2Q8Re(a&Dv~WfZ{?!et6~%r_D9jwZf7HxZUxqzLCu zc3bU*3jE+SZdipBYFtJ1FW$J$nW5fwtDdeOo}Cl*`0MD^m%}2u|MRm+}A6D%_7DtJ7OscJ8BMCKP%jU_G5aQ88ewBS;~+ z>ImQw&>g`gP?es2^bhr}nXAJ~;xRorxce74SNH1^dZkHr@+OLZ-%3NWV$Y2r&ru&z z(DRmycUZ&pfE-gNK!e;uFvaZUd}pbR*+x!Y4jI5VqLZ`J`eA3#hNXO?+B~jz`zQ59 z!l-TU;{Qwk5`UP4Z{9!|QKx%kCoLbej+&T6g)^{k=4IhDUSe6-EU zWr=u^v#c*xj*nlw#tQNI2>#}Rc5Xp4v^1=jM=+%+C$nDT2u}9F!HxXU(fgk3=tQ4$ z-MP6gLBfk=!{zt8@|&~adkGf0hzPJD=Bd~b(^cd~E`FR8bL)}CQU(YdSe@Hl_ly;Q zD&ZkjIKU~jx$F(Y(@}#s&RJb%NXGe`|+Iy_X5oEL}B zZi0TKQ_QT_)^(XSJkJQn*(hSoi8Ck8^k#?VqB-Y`;T)>BVE^509ksgS>_4uPyi7Y- z6F5tFUPw~a&1o{H$uzPw=aVF#P>WeTM(vb8If3i?@GUOY85+&LfzQ@qjt|)U)3p<% zy$E}`VBB4myx!Gsz@ z_@hSmsE0)~W0!Yw^{g!2{GWD3;wy*>e(Xs0RhK)Bqv#d}J$6M820MF&ikb)g^T=k0 zP5T_};Oq(<#=%n~deOrcMq8b?v4F|0I%?whgd@9M8wV=vVX=`JAob3ghppolN`AX> zf}=>xxatIlFCYekD6UTmc-a#zf;-BtW9s<<78em;(4;U*qJN*85)zZbY1<_fQIN$y zjD9S;CAciLfbEan4z|66=#7(6le0Wl<}w!okF%pqg5MtF5u7Km-i0|GEFHj4cqk%& z0>AG3g_olW1hyO9YT!tr@mx7sFw(fuh*H`IgN;GwXA$Jl5Duj~IA;j>*p>C;QTk=% zOs>Nqv~RP^q1K$g%xN&^gSjls^}$>xKG*$1=r4H=AkJ68v3XpXU&Tf;9{Dw41VKZa zBZ&Xw{up*UZs(@}&QAfvmXrA@fH;P7=oCP+nwBOrWkiqIM+_cmX-R2m?4n0JHO|x>_xC=;R zsYlZKPyK=%ct}~JJo7iB;yc)hg)umYivW%#YQqCFg1lx&b1GCn%plZf;|2BP(JcI9 zeR(QD8TH+69Cka0&6Dv?hv%h|zS*-1s0o_ezmQI{@#9e>9RaBH7U zfiq?7xz7I_EcYMqH#+w}#n+cc6h`L zHaI-QxuVB|lV|~_3*dx;=2^736fNd%tmJMiuSU3afG4Wpp~?0ody;HaDn-jn3kNv< z^=`AzQ9=UHb z(rB*YEF2aU?cjVf8_g*>dxVdD=K6UEI9BXqscwHS$u<<|7wvH#ni(=)Gm5I0#qQZP>^u z+sdpa_LJSJQAxAAoX8Hv$y~aMCTMr2M`&PD%DLU5(vi(?4udUk*Q>?brP^MxTHnnV zzF6A1T`%6cRjKaTL>#Ykn#;bCgxeVG4^HhnN1+P~$uL(9U$DF4s#twPJOz zRN1j9IS|3&8zEbz9l~kF@t9px+-|;_FPDqudhzw${LWUf>bP;{5-AUb%Jy!ljC$8f zw|DY;`_*E-v}F+?X;zn(mN%9*H<#B|ujN+P)>jsnHa5VC>&r_v(WuJnc=AoLP^njT z_e$HPUo6)7vvWHXAXQJ)AdL>hnx&z|iaW(>ey@n&u5T*>o~b-eXBJ=ItL6)P^}EGt zsa&~T$Hgotb~|6VQ`#wJB`@jc3%;{ezL-)eKiDHrR@E#@pNA|@iLjmmuXw1k^0;9m z#OQX>VeZX$%B>DgsDKYF+uq#2bt|34C>1OmKX`OuI?Q8YQEU*4&dw_?mW6+`OcKBtQ-RSHV4l=Fq+ zc5!E~4!sfsLWbt130$87S4IUZf$np4PS6c7Au4+OS$2Dv^+95;HpTcB_>y6d`7n{k`4&z4}(EinmJj4Sd2w7RKZV zHiOtx_DtkdjmV`swQ}XPdaYc1txlB?^3IH8O!n(QxlpXtyt*QiT#2<%Y#*X0KeB+P z69wu)L&o|xip<}Jh!yV^%b|$b`R1G7I*4Htu-?rv;TypGQd?pq5ovv zllL^3ehI74%$^_mEOEV3w-((Z*HQ`L zlGDU8Z8BV**m_#+=y0IvlB0SmAJNW*fBOOM6^QP1di}Py*lCU8@{GW8G}#al8zxZN zakZA^ji~?VtceIb_-P8<9j!aU5@NDLMP_a9L2vU7s{fSJhMM&Auu*uYfDT=Kiq>~sAuV(^gfOc8rt zknA~4u~PE~xX^8Y@n9&CvRZB(bp0J`lzw-h_uB~KVO<_IdnSB|h8vNiFt>1zTm+LC zb}7Kv@9fG_Pu`7}$%!)iuuAHf#%ui}H=2rprM=9H_2jm}$Yy3QaCqZ-!(51XonD9D zS?;>KndbV5saLDnyMC&&_O7Rb3mCCgZ4z^kdjA?O;j`eTL8)*Kl!oC2oTx2{(@~T5 z%4`_rGh;LiE#M=q=1w@A4WaCug_;{tzk$34;sGEA974=9oJc6 zYx+C0A@zk!NR0&>Fr@4^?wgzYa?6XeA(qdESPHa&k8rmL;}g=@32*@;+Nbz@h=Xxw zBhSxgBhN6XfC;tGNvHEx9q7!4N;WN&gcZl-;L#a28yz1%30#t};<$j_dk zVFg@7;+>?ksd!57Y$VDq@gugW*P3Libj6Ar2QB3Cj_i4~Mr_6g;aa=s_`|pnrmn5u!3r7-iLM(!K=#nrC4$Ygr z2;HpzGE3xaByq*mgnc3V*^v`24cG2e4xd&RZ6dn;r(V>u@+h&e~ zGt(ySLY$dg5zD#xB(^1}VPD)JkIf6u`Xn|{O_>#@?{30;JvsQ2S#``=@>ASYPp35N z%tQMz@90E_=~AZ_uHyq{aGGr%RMo^1b%@t7Ryw#lAC3lH7KVawx9aH`Z&@TjJ6V-l z;OFqsi@^5!q@Cd^Bc7`3qk5p-|HM(su zrDMbx!DBBJpRsF47LdR-&wy^o9`5Qp;mHF%E+|Rq12z%t)!b6^J(8b{f{pgjg;@x_oTy3Z2{FCW9?Tlj7v@K~$s1(!k)3|q#OFJdZ zPF8k`?oc{>!4t!i#sN+TIBwk^aMX_}tKQ)Q2%|}9u3y{bSq*RVzLhQ-&&C@8X&yoc z^dI4vJ0~`=f?r0;n+*sDj1psV9MeV0^3gLN^YTV_7M?9JmUm(UW!H-q8U;73pn~RM zJ;L-2;cMF5;Ix@4ON@b!E7GG9HEDMjoDwrQC-HmR)&b(GBa~h!;$>fon1p@Ed z6eKTQ%;P3!&2o=-;HrT_9>+0N8m6>*!lDe${FwD0vCR^o&gJT{eua4hzgXu68 z@K&p5dgsbnvrTyDWd^L1EyZsKZ~|H?a%h30{C)#CXQ6ASh50v z*c!l|IV8ZLMOqp$9afsVkgrzX7^AskEWpW9$47;Ht&ra;PMecmw99$BT)CMqPn*#+ zh3#ajmDi%#GLx_lYOQi>Z(5k_jLU|<^AuC=?Ys|Rr@4xy-#1&6Wrc*&eM+>~Jbch@ zeOcBA({WTg^XBrzJfnI zDrjJGO(u2Z!d92Lf=foj(P1{L>5b8u+6&QZ(5l|@i7`*?h2s$MO!1T+LW5HGjv-=a zZQGn^59aqTtN_PqM!L(i-eLCA6W!t&WNuL9k-FJ9!3E+TEnNd0rnAej3rcGDP9ReC z&hfDs!g*uC#JH29-=41PvZts)FAd*v4uE(x4mwQUcUfzex)@cq+(Bz5=g6#9JDB?% zK^X2zZoN&bxbUEP_|^pN_hA%GzCXp9IWC_w8xt%zn$E_)ael$!cPe_h3f(KCn019% zlJzK(`*&@@G3JQ9aHGb~;Pe38(K$wLYCN1*A?{OzSWCNv#9OW|)|MUbcH(c#vC?Y~ zn`eDzo}91T8?kar?YCjv&WLu5-(xRSYd6i1b*o8!pd-{XH|rNn?f4s4f&?0AA4xo2 z{O$A1#fdIYb&}V6c^0@r7`iGC2{}Zk+wQ=&D&YFit~&}0+3{$`*5Rx8Cia=MDwv~d zEX6#woA-L&5salTrYvJvkE*0`8+prql23xEgItCsepJb7=LRVfmLgF?%%%s^d)5ng z^4La!I6S6mJ2w2|_zB5uoauV)N_Lw$xsv_XEt{0qqg(WDlG<1{zAM!9z$2kEyH04c zn=V}7+X6;oK;n1|Ok!II4b$@OcKx-|*4`Z(rMeQj*!SC90eNJ4MLJ6JuN`+$mR(`uwcIlFCheS?NM)4iq z@UW)a`JMfI*}Ps#Wl@1SVIw(5I83SlLFFTtO*fST-p8&rV>ovIAk}wll*h*;m`VQ9KV*^divz-y; zs<22RA9=oT^Ys$8T*3G+Vn>Sw#)POmw%*(h5ivH;q)JAJl533wb|fvi9D9o}%ZGg% z`LK73ytBW<9aAIueS{>kcgb&!5)vAD@H%3v7`I21YS`qmgY5`Adx*!w%x}nyV*+8* zazJ>uv|B7=+mgv*49`)5OftgrX7^;(c8gLO3jeCjo`6Ehlf81qt$LoE<*GAIXONnH zn+-R>ObL!ClD7)AdQ5o|mK*vfP<(n~n_bnIN}!&vy-`EW@UX2b(my4tXry@Wjk0TG z3firF&9O-$^(a9jq1+Oddbj-mZ+H^9+2WyZ9oY%KZ@b`&Qa04vOUop23X$j9CY3C6 zZ3D85GA5p5ZL@JU*EZ<}KTQkqrcflNTlz%xG3tB(+C4Jd>#@K~mnc@Ni~cl$WpzFP zO_1OOID;4Zw8wMgkC@MPOG_*C6yPDQ@#Rw-_NJdZKn$_mCt6s*h?QO>%nbD+MBP7iQ62B#{q_<_F5%AjvQlhVS|aQ4K$ae!vq*QRCvZSPl?95$Zz zvOXfx#?os5FQ=V);SB!$HUJzvvR_%H{Jq6D?HlQ~?hIvy<+K7an;TXzS`GnW^dkQ7 zFuL6_!C06y*xPd>O9JC;woOKpFMltmVdtC$JPg}K6P^m58+1-pJLd#t3}^@MLTbIF zL64xg!$`qX!R!QI)}YsHTMXCwF@avupx2)$=v56`@v>&|i>|d5F3n}@Bj~LVx)|ZI zpf#7RkD&Ks09t+s{D(v-d$(b%qqF9dW>gI2^B(5#4r2{74v z>8k4Rm})cGmsHb@=&zDYeZDHwN7u%jMokWnAs%UmbEt|kNzUPSKIm-gcBv8rzCMD_ z6c6MSowAFzsXBn~Rc`_fJf`X+pgV}B>KD@96tJ-Ahh%h3OAx|c!(kbUDXNpDW>qZA z(|%3Kww$q|;Sq*n{@jH~7;Bw%Aol|DV%Ua5ouc^gi^Ht53j~SF! zOVMtZYlX0=+D2y`!ST*LTf)cie_iv>xBBj!=yX^QXa!G7x0ru8tV;KV>S)kkO#1aCwFrS_YQ}MP>3m zLn!KD=*kExK22V1W0|+=n3qS4(UnGj;^IOSl@zZibag{NEfkGyUfQ_>NybA~TpD3U zsOVH8X0guMw@tLi42so!jR;y4*G3RgacC-vte$+(VWNH>i_v0OP#CVLCVys{xmqcb zf|iCWN^UiVDq2W2vSlxxhI*v7Hlk-y(N7%1tOL4_gX)FHJ2UqJK%9Hb_*4gn6r-)! zJmIpEXw9TfTXF#AQZT5rf-r{WP#qr0($lK~>aMLy@m(h{Y5-~Lp~n=dgy`2 zvg)Ruw|eN&$+Ge-Ja6^TLztxz29K*Ac~Y_Gvn3yToU=5-{Cytkp$9`ts&CSK^*q!= zkC>K5=&NVD9>!A=??Bca#?<|~K^(tPK%AxaTR}6|9sR$cO`O=+Vja>x-(fDVQf7gZKAuA zwGpFXWxFdKs1)53FyqH)VXoAbRgDPZ;WXQB<0!IgeNB{K&l}ccn!OKLw8_EZb+t3z zj0;-bYc^l{P>YjKcRMG2Y;3m4Uce96GxEePx3}7U7_IT8dmy|p@5(;KtOB;#V*{OV zh$KsW!=_-+Ieio?Emx!+XUb#|K_(E&rcf>>sRKdWEfh+!n~NaMcoqeI@dU(K+0|={ zcTKd4C+cdrm|_nwXa1f@UZy%IBRfTCEdUXSOaPRmq-biki7cqdRJ>9t4w7zWvdV4Q zuf~`A?IZk;Cy+laMA9om%K_0PI|lL#U@{@^#y|?124RUWzV?{`uqeGAAZ5U1A&9Fy z8w8~w1)8n|3sp0z*jq z*tSXrfPpZb(%Z2a3RLD$Vr^!Fp(IWgF{Qu|J1`pz zWdi3EydheNg_aG561tp%Cor<=C(=SM2a-!yHH}!dUq6%yd%eO;Llf-H+AO^+EgKXi z-5R1mp^|F*c^>LrEN{X3A_VXlnUNh7L6uXbI%B}lN=bLxERiW?yJkwvCV5Lr+GN+K zZVf{#y^bv#t(1Igh?-Vg_jG@K(87T_wq8?`N{M%xGlh7cR}pGw(~^Q0uZFg3X0L{l zHVrNgLJRloAe3;YxdF+zTlch%ni<5h8d0QqOvzQT1z*|3L$71gAca^gRj+-^2t^6H zhA2?TpIMAGikWtcr(IQR+d}tAv$utka1Bn-w;*)aIy(p@-f0sS7KFBQW(T3fEp2Yc zf{TrF^lFS{1y93J3plcg zgI-Ue0S*Ik26jdu$~@Nq1qhqFU6uL&j4+g3r>!11k6f>)j(bJH5&Vhqxdz!}DZObz z0~8=6QrKpZspHmb7Bn~wqU~2XWNZf|+8Um~AmUinD#N{uK$OU(t*bg$V=GZxQE;RJ z#8@xO%~%7~ZKo~jb!3vhA{!9B&NXd8sRJUtMMfY>xHUkbmjfb3PDUU~>e3c7#NN=?qAvh;esRRu@Nw*9ND0L+HJo`cZv1co8f zIY)hR9TB@j4NqW@MtgE19Rbeln$ojd8k#_GwGd^+*O@I(J@2I94Z#qe%?3kBxP~_b zLnJ&K3?*-A^P4R6yy{S|QCw5-q#l-YWmRKF&Q;Q;;Ry`Zqq=oZy4q~CQc{;TtLd{x3qo_#?6pw6 zciO2M7K9dx*+D4bPCGq=q&csW(nn*gD|m5AY5g&CHS`e}8eANNR_C*WP_m}M#X;zv zZ*~w$w9}5(IFF5^4^!Asa0Gpp?N49GBoO+*1Px6fuuyfz!ptzz7c5W)!q(tV;B615 zpScsLuzR_OYb0^FeG?z>@bJv#Ys(9Fqw-z9a9lWghz?t9S^}v z*1~p0O*2f+Yt+8XZ%hY{M}T3)KJJ>cr&_7Y9-sPMkxnhqZymc;l5O$S?usPvustHV zc81u-jvQkM&IBH2gwE zVjoIKxghR#mg*QMJ2}4T$>9oDtSD2P*-J;^j@Y(zO%TVE*$e+GzHrAUdJa>ZqS=cN zxVz%@N)GXhUS#pg876F)z4*WESjL{5PbH%#^<^L$yvYPDW|6Z(diNCVm z#LLR!&8-~QaKk0;$LXLb_?={%s13kT-<>tS>sm{kfF9UrR~%^^|m>ln^@ec|(9Ex_v^r zZ%kQUTe2!z{neDbpYrKKAk-toQC|!{0ju!k+Z3>&Cv>0T!{7O?bb6tbG+e$BviQ)y zPN~P=rD&QblovwsO>@rpxbJ;W@C)hE@at3Vhn^s-;X_cRA?^b|Ou~40!S(ncR9}E- zLl0AM{$=zf_G_Tpe@~(F-ySXu@Bi7S3wi&hj|&<8TS{CSty&?A4+S0Uqb_|XrM!=Z z&pyNs%02C*a&ir13?Mp`}o5-p3N=T}nl zrqSg|#Qkgve*Y;2zmKJ)`~4J}{#Huf4^Ejkt-OnlOXH0+I;XYelZgAB6q-^`CQqH` zZmd$9ha}$ZHjgcf(GCx{9@wuqK)+|dei%P|i?BP3<#ErJ8zRw63CgS$+4kpwRp z?&8{OUe(%*qF3^`F_f3Dem+{-ytcmjD$?U&t;0PiD1b@r#TcKDHe4dob}?FrTq6eE z!w<{&;MNA<%{~0Eh7WG;N}{cEWD_4`KU4TZ=FcthljFmoPpkaod{{%DHtvHLW8Y&@CFOxP=F=|wQV+*@5djmXgv$2Q{=^pAos}<~L zmKx=4*pyXpsDBZ0P{LOuYP74-*1g=DS0mgv-z~pZt#22uMzwoOh^d+Q8qQg=UwtwY zgF7X0M0K}$-vamz{A@viAv(Kw3$L-NlY99vzQ_ z$21@>iCO)j2Kk55AnR|wySXaV_eAjk12sM9od4(vjI(*Ah>*d54dhRwdK$5FzE(L$ z+MEcXW?S|{m>6<=`>Vsm6^d+6;9FnoPz{f}ua2*@r^9)h;4exOEWi2gdQRvUknw;5 z!E+v+oX7AYsH>Ma3FA8AIxR=9NK7dRmrWwB=M0aqufxTY^sfs^m)?ALEy>ksDkQY| z6vTy$udTV33VLHA2LmfSnscqfb+o;Sk1^T`%_eAt6tVtaD9Y&BMd;aOhp7~IFXx%d zlitTjdp=(Z*A0#|X&v9XFMn;Awo>5+PVfJ>+aerY2aFZc8eAZ(3T%NDMsjBuVR3 zLI)pXq!l)tz_yYM8?+ssULW|5$!i1FV91>PXMk%&b`{(zN-xmQH`qA|X?b*J3i{fO zVcShd!6a$j*l}-|v_hB(q?Kf2$7lau=$CFddHVUnE|!p?v3(;4^UAY?>KS~7X-KP= z;0{^0ZUp{MhG{FsPS6Npc&KpmRd}+!*4xsAF%bwH=i6`!JJ<5LZ){b~&=@2U6w4Um zI>!A+!^D*`CJ{Hle@Z0%x{!1QBeZp=n9@{m29k!#d0vhw+6fK?=Oj8FMcWBVL7D)& zeWYKIfTwken*CR)1o1gMi(RaCNb9J41(e|Vx`_0K{y9n7Gz-iP9UN`{#V~C}mL~CS zz|CW%u=Lb=vg(0OLDNIV>^Z5@y>s~(LWM;sIG0SaGjj^}&0*RKr6%yLBr_gJJA@Fv zO<~u6Cv{HuuskMq4LJIyzR1o@la`(XJeF@|oi3*lV>TEO;tb^oH<&5n(x>r5b-rY^ zIxK#?ZNk}sA1uALzcD9V47f}_{O>q$+eCiQru3vIzS5^&Zk4!tYCZ)K3g(`5d3&Q% zd%pwuLUPb7eduiy8?lIyPv(if8z&!kV_N-uXGG&kr}Vs%*pt*!<_3O@mWoL%D@T$} z7{iPruAImAtzqIyA3TY;p*wpXxORx7MImX-v=3GCoHNpqObswv@?{<~p>{~!iE8I_ zzz*ea!2ivkjM_(LMSpF$g=MHVsf9y7_^j!mq1Jp|S~KP-2uQDh8%77UxqnD`sPWT5eOQH{EYKRMR+7O z*%~S`BWL)HS1Aqcyp@TDrrb?zXu9Lr&`|3a*50yo;zz-)$_TPuv$XlWpz6Xr+H+}} zP{&TRO}LA~5`f7MaedUgtI)SL;6ydqwsQ2~_v4Zij2shs&gn;vm`J~$^z>tLu&SN| z#5X*Kd<7e}*0`J)_*q0MMzreOEu)~6gvAs#H<$C6mXXb0DMdS1Ar+-6I+Vmx!*Iv| z8|p^>E3*11XG2O~`M#Pav_wtPP&Ni~Rg6A*o{PEpevkv%MrD@eR9s<$2B^Cs8Oy>e zmbr|~==9}>k-$Q|8X0IC9vW3Cqp)DUlX6PIciB z-CbIb=C;0IJBYSJ47H3$tYUvyDj7N)otgwCM;SU$>>Z~BC8Hb6RsjYc3rgNnKsleH z1H~?RN>JWMA?FQQQ1X@n%4tRiirwdwpuBk?XMR~w@|FZ82Tpzr6i@U7ltME4Gy@z8YzJw#$&ph0zTs*b;<=%cRzE+Jsr&8$qYu6XeL`xc}oH6Jc14=HNuoY zy)_qX5IK9s0+qKUP&q=6E1wIst((OiK$X+AsH0sot1qyP;+fn^&BTa?Z9Q>B^KpQJ_8IFoje(OhjuPO=VH3gq zv<1iI88bnZQ`0_){K}rMHBX!=D5ui0)zu-jNgB&hQxA}^R3XApy!FmDcnt9HWePmTu9>KDdMHoB0BEWnS57DO%yu2pC%L!M1Dgi!)_(r29fk`jrfXQnTm>f0t zDFCy46-u<#k-RjO_2I#$tXqi0LlG?(WPZ*||6)@5mwZ$*|E8n6&mR)|sFiW~orX)x21bMI2*iiG3Og zlm#w&ScV=%kpmE0ObI{c_Uf43Kw%fhD#J2k&alkzDj9P08HL!UGgf?eWeq;lN=B{7 ziNuaP@|q-%9GLe)yowyjSm2*f)iGD9IyafP3z9`{I;xSn!3H##gx8d8!^Ta}MP5Ax zn=U2469u1m+3PYNwd$ji`8S*=!Y2;?4j&bYH2H5^60vGLjmzmTTW{(AT)pKrEuU*l zn@`k{%O|fo9}|`fn+{)_O}c%TObl6jCkTHg>kXBMEZm25oi#XCx2yy{#l0 z)yknrk=xE>ZDK1{;zCXJr4CWcamml1TtB=K$?|>wkd-O}5?w7vecAJJm3XGs!whJE zXROk;awLY48#VQAbTr4TVFU*$v+2{|yH?BupQez7Z_EQi^-~gI_-dNPU}T!cgp4(0 zLdHHbp|r+#R9bXGk_k&Tpuy535@(1g_A(N^J8$-IYu{Op} z072Rqsf#llASXP|nP7!S5OTsJuPLp(=xm@zfTVf^>NV~QB$jp%Y)8a)cx=bYcHnHC zB|M;4JG#Y*Y1~C|1`Sdfmq%6rbv>_JCr!5>)5sDdr}Ts_pS-5!bDgtg zN77^l3J`TaXfgqBN03w|#+zl{Q1j-HaFjP-41RY|C>|#+vIvDzGu$l7O9HgV`Yx^` zyKQ)30wO5PLDYn4ECa=Cs5nM)ilk*+89X>j%WFzaxA zkL%t3NqzCFN5{uKqe91gW@C-{%nFYA%yt>}mI5<8e*u4EGEM+X4j7Yr3aseh(KccV zE#1oO8W=Cs6rP5T1A?0&HOJ@dn6Dyc#J}P**(i+3{Xq=Q#j6Gt)=z#7q(YApB zExEph8FI1dNpt(%x&X(t9_jCtgLhqyNzRh32{k4W8CA_jj!EhJS)wsyL$Ff{{4Ad( z9buRZG&Q^;wM9@5*$~U{%GE^04HEOs7ZtOWToGnzf!D^S2#@rrh0G?ow;a?$N9Hsi zy*1$jUZDr%LkV8(vp&hu)sT{POqq$NgmWxUedmesls3-C@XMUDoGaF{ci`M7!dY^; z>)!`=*f~Qrw-EHv*xrJOPH5mUpQq|fs7s(sWg7njz&5!8V@PJ43HbMrR_S4xm<^qj zs_+;zA9E$GaDGVYmA?2VUO~A+^}>36l4J}8$eCk4i<)6KWy50qJwUA{WE}H(0$8?7 z=u#{h(|Tp+3mFQSK@i91VvLE2F*%H{4VP&U{2ogllCZJ$pVG+SX8w)>xjg7N+yMZfa z2X=n*-+8PI5`+O@HJ#f)2T?RjRUC^}%9hP;Ab{2juXxTis*^qF$Iuv5s~ZNfRveos zI(5p*0?m6Vvj{}t9?zq#^*@#(^AwHLHCp4W6oYBJ&tBg>po39AqY|4qRHp_tJ=F-t zBhbN9PG4n1=!bX)H596;c8SSbz(4BmX+cFxd?0=#~T zCNZeLfH=UQn=z3&-@L$#VJ!L}Bq0HX%&Mvy{ zq&$@OFNNR*W7)%lMi6}pjV-{WOa6WaTx>CvGg#9}j(Jkvexx8g;&q&mQ#Koc=u{)R z7+;@@w12;egb14ud(5WGwjgk&7|M)SOH4$pK`)vm$EtLx06bxI|8lCzbi}M!(S@?E lQcI|XU2aA%tg){szKWlbp@p%N_cohV_nY#b{s900|NjHzvO)j= literal 15225 zcmV-c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Buy0RR91007fD00000 z0071U00000004PA00000004La?0svDWJz{bw{5W9#%6YBAGR^(dUkhqhV81ZtalGu zL?%b`{R91D*c(kOlsk`ag%*z#%tdyUuY^?LZeh&U1FzVcNy{g}hw)SDoSipY^?tL{j`kYooo@g8kotQ0jWRRo>*^2V=?_r)+xYwa z#NW5@{Cn>2oA~7)A^pyK@BJKpeh$!n48`}GXN}hfjb1;(U9)`}72EaJ<1aP3255D^ zr~&f+D*yYV_`9>WzE|A(UflKib^QM`sPT{Cce8O?Z$;ake)Fh-$JBN8x4(ytJb&D6 zo<8VDuasVm3O8>S<`x$UOHr}aZ*=QXsnbQn4Gpfn*%@@BL9Y>YPBLD9x)&Wcdd<^z z)bDg!z3Wk{bJ{$LP6q8GQm_|YtGAD%PX9rp8}<{YUj~BVv-v&f8|c7Zta5ccb%q zx8FuaTgNqD$#qkr-hLc)2L1CvKRRi)8olVG+d0DrP2CkD$Wv>Pp*Xq4q=crllH;$v@&e7nk(e7JzK)Gfc zP|oZlo6>mayxZvYT92dN;PB5kj{4EHe#c+~LZ0;u;zynKann-6Vd}`dYjKJ;fnXaH zzE&L^_Kv#EbHjYa({7_d;MaxjTj*MJO(VMP$Qw$k%)rvpyMBJ&Y97@OTa5_620}g5 z=_zzQy7s8qe*n@V+ga1L^*Y*6??;cN^P3I*_46J^1sY7|ZQ?(gM9(Gk$Q7- z5?M<&nmclL*QCOeWYd;BA~GZuXh2Gq+@^>G_k3GS_R z|K@g_n&@^~9&{(@I(#GX$24&ze$%=TbhkHA-!)L2BpyS8Yvx z?AU@iThB2zZV()8C&?7i25xuy5o}x-T5SkuvmMo=*SD&7jFmo5X2wNg{3w3y@H99# zRx@%-MJ7|?zbT-RUvUu{DOv3G8fUP7Ep>|3E%R3Wm*Gu;MMxgh@Dr?o?pUJphRH6e zJ3F-=`VW`1&>)m>*)o39u;A10%`&ab4 zqwp?zR7Y5#*`w=ud_95-M@KSxP=DBn;CA<$=ky@Eog=6kICoL~A$&Auo{!3H>~ zirJIbOnM5|ES`r|J^#hC-l;OAUdgMrnl`K^fWYAa+MoQ#*psy@g~gDNx}GL`&CH-w zcgzw-Q-I8E+;HPGc{w*ylHonkE4oVI*27xdxJbQyZW1iDNRjUnBahQ#yUq684h&Kn zbnK~&PQQiZ(rY&kdW~-H#?RKUPP2b^aCpP&<{L-nt>YVZPRd3)+>811 zW}BWME|T{J{Q7~rib*2OjOD<-N*wh_BKP95%d`A4{nzYh^HCIaN_)djdhM8*q+Sjz zqwk;4EC*1-m5{#JD45X^Y7|5$NRNV7KvhoZU~sH;%_Oa|Y-y^Z+qliJ`8m;DX=9q1v(^dg|Fx)f zepWl`4BBuLUfXM&)VlpvZGkYVTf6xG%D=@QR$nw;Lmg44du(T`A2g2}m_0`nxNia+ zh>~(H{h(qOL*TaGc&Cr}1{a)|$m#V6QIa}lyb((`d0(nNK;$(8U)1FT%aL8O@>0~X ziwN-UCGUZ7-aX_Lg%{2JbhO1)1(NU*r`KQFIXQXB$5mcLqh^A3VL>B*Sk^1zH=`>@ zvtIoevnzwc*NVr-A9${#Svupo3v*qHgk-|YW5cBny7HT`;d?0-x{L(yAedDoEW<&dV-@$tpO8EAJ_1FPaFHr>a4K!CcZAcL=frW6@+x!c2*t4bS!PY0 z88v3q&=k=3Gv0UxsR2!gi|Uax0Epy`(2op?nT7hgE#uzL3LD9ymMur5!a7`j=45x=mcD8e!8C?83tBLVD4oCcLfALo8sjtBx92MRaVx z)5lI4`&evb9HicP+8 zNt42;iSd1Yk&&7d&iYnF5d}s3#pFx;`pDKx#JDWAgzsIu9qe}iqSsq_le64U=qeWi z5A!-rhTk3%5u9bP(S;cuEFA(T{t4W=jW=G7b|A3b=yn}@Ne$=9(Sni1jYgERUIcXh z6mGdEh(qZvHkblFcD?;@lzssv(`zaS?YB7OP;1T}W;B@b!Aurr`e3FLzq`kUFyL|r zN$kvn4Hvnze-B@xakID)E(kv)rVH|a)gPaK!+sz&+e31;ha|pRne8EoO-vJeNSY6)7*!MzCZbHGx-$MZV#@G^0p zvHaEx)c`y}9k!5;jP+kciH)=T+r%75WWgfsLS(){30#D06ln2QRDK7abHR}ZadCsq ztl9|UPOsur!_os@w_zBcl)$7Pf&?r zo9Y8>ZDu~zE5CD&(Y4XdVFx2^7o}7Jfgfi#nQ^^@K8i7A{-@)otb`&VJTJeqM2Mph zgTFI^%n0HcV#W+JW|%Ru-d_MDbC6caO`3n9{c*74AZ4T^= zW49&$*I>E-iog8Q{}OLMkyDzgS^Sjl-yHPmHhyX|?ZR znJcS`wy{N>O{RlpHzKmn++Tgab3WH@0q}e%5R~w#B)d+g9<7wS|R+ zs!d@IyOWT8W($ND`tn?1#l9ed?);K1th#ME64Ld(-Gp0ZbMaG!Og6dl zBHk1h7NZ*xs*YZX))%5rMX%aY{LCxCI%Ze!)iq>aW$v3dLvEsMcRjMDxrov2Yhe?N zZQsX+)zLA$>FDLC?>7{uo4s)J#zKMJaZ@^Z$(AD*ZPhs~UhlnalNbd^?1*~Bcvxff zm01(*r|eayl4kcf9dBWfva%vTB@=Y2gGnhD`->{aw!GQHcyp_^SH4rJ?w9v!yT#Jy zE8BN!<=eM+_V#Tix-guqvri=97NQMXl5q=gIz|0Fvg{Ae?#ha^Z^M#ewwWalyg_Whk>cS!g7FQktbUJyb zT-vGa?Cw{#DnDDU@n`#vDQ_x}=BHYbMGIoi+R$s|?ebo6zl_^m-(LhgQGJ}4Ex)n9 zS1j$%-EI9mwSZWT*+E8FF1Nlf&&RNYVYG@43r>Q*bqK!wz`CvhJ;e+qbjXjFQ31@q+=wyfSPZE#{fw@zvQtvJ zWwTf+Z++luPAm)vGHa$u(tj z<@OOq^J5EWJW-$?G-Rx8p~~VNh*{|{ZIpVO{yN&wW(Y+>)!hj*X)i~?O*;1dtOKZWD zb`K&RU=qCoLk=69t4+|IwPOa=j57yN1up8kg@xDnuV50cu+@cmrwnN9EU&DuMO(M* z+jM?Pnq5?vmm^){+QNEPjSeUcBY)09s#2FJUFpi=!jMX7O_NZWN8?QZWN8=>np&n` zQWY-R6fJ-HK26q4UZTB=K>Gt6ixJ)J^!jaYxwE5i225Z(nr=vlxeJtdoDgR>$&dPv z&l^aMr?(`%3>3*|)+zLK!#u0=uCe5dch*;H ztIOt{(aD-qF0JAWhPkx5$KabwgOz_g$YfsR@(0|D%y;{YR# z?S@yeT8oD`5^(^RG}K5nvDrNA`cn$2c-*$|4{?i&Bz)X(P4UJMx;@jS3nPVtOvVUA2kuidDdgGO&K9MU^4 z+;As8-DsKCHXFSgXR2uLMkcs`5n0S;F`M-F=W)2D1vd^#r3;{xgcoq4`X)}t4H{9C zVN{$Nqa?I|kMx?`KyosKrth^BxPTFDt)sJZ%(nL??6E&P6@AjM0xm)wo-Bij-zP<# z6u2ym%wDrk4xT^>e zH56>Xkg{JtH78;gmKG*MtT-KF8PEbg!rdZ_Pe@~@zy*wGFyr+R_8p##JU=}hd6J+4 zCe%W$PUr0!(3uRC>9kN9RveeZ$LIK#>*VA~;F5+F#|7-(d(b?2BHB3(E8rp$?ADy{dr$!r%6h$a)N3COpsTx+(P+AHJ`-F%Mjq*LjI)bl z$4(QoAtCEFQFp z#1*r5_JQnY-MDT%#!FvrWx-}59Il`BlffngDxMyLX<_+Y!!5saKF;^B8e0nkA>zKB z;4?D>R&f^TvGem7uWTcxYx5GVL|*$sqeYj+;_j-mS=hdtH<#=KD=NBBU@RBmt<0~x z=m|YhH8&XDZ6bQuJ$mps+V&XIo(c;w@qml9aDOE8U>5$~9w1Jriu)iEnb>)UDw0H> z@ymKvIMzFCt&lmDldvCkx^LqL7h4cT(U+C$70x^OvdMCVo#7hHTi3e`*g6!lOWVS6 zaAwZO9m+IW{AA?=9zS7_+STika7Cu(;4bA$8fsMW#b@GGUw&mUCxUPX3Xv8H_z39bse;osaN?rqV< z))xKfm5uVfT4{5yomKKrXP30Iuu;P@kf~v(oK>E_hl5<%u3$!YXS?jSB*YtBF+6FL zU~h<%=IMYz2?U>eM-QL_Oir_N#4dO0z(L4WbhONO8@X&8LG|<>W0ONCt+9$ zkV)7~#?m=qT$lC3AUNTh&Ez;IU2DnJqD{_KCN;uy7LCh+OYsi4Z2(=+nfwQWNZ$SmvQl76WV5p%sYrA zL5)cGJXUL#^rh61RKURdM5SK@iaZa<9JB4uXtL*H{DBuob+s zmytw4t=3PVzqQIiBCZ&wv4@xN)=8>h-*iTUnf~Ywj`~^R6RU)VW(CHA9ambgdaYq; zYN*4?l&TS8Ja@HG09LS~7eBb-Pqc)y>T6>Qmtl1hsYP++V-K(3P4SqMqP^bS{)293 zfE{40j)8&W&(zy1ZjIm>vYW{sF>iFz?VQ2vePrvxJ6xK@^gTOhduKnoLzdqi^d8LJ z!oo9CB)7~*@Ol^1zumcm^Jt^f{&YVofiH&_qJzALWj+vyjREYLBLYk;Y}1J8d!@Nc z#l5{ZhiL8?3vhHu}%$&QRzV)3j#J9?NeDOkcgTrqZlp&lj~TiBj+}{EEOU_ z8jikax0=xygK1|#hk}taZ~4TSC-y-1M*?EpqlwU<)O|dPC|QkG5beS8{()H2MKsdA zN$VYEF9YRG?m*{!Igiw>daK?(@@VN6=zGQx9lM~Wb`MTxqt-b&F`lD07EFrUC;Z`f zLzmq{4|<7)GW{(%>kzAjYxDCcWk9y_u?&AmuiuY4?FRvrC9CNM~(BoGfys7?`yGoEA59c zZYM-L#_zEQsMmjVT&li9CEK6}7&QqP_^}(e% zuBwKvDndezFzB{Bu&qisJQi#YK*?dpG9IKBG+_HCP$)}#ASz+|pf=rqqZd56iYqyJAl}(o~9d<~SBxMxe;RzR8x>ejhC~lg^E2&a1 zB3+fjy7=uo(s%5L<#k(dSU$dkZCks;Pj_|?s&{L*@Hv+)r}=(ZK60hQ10QOX9p#Jx zv{jTZ!cy3?6gCb4zm;oMgxbuMwIu2MN;L+^QBvFRPd=9 zjQ=ve0;MRep4noQwW=$1H!wN-SQ?r^)f}w z%w3)!lZ=SG+3(n@yJcw%g@45sPeGyN$v1}OjareM<(e~0XONoy(C$6U|A;wko<)00*DrTv8Y@`w#9I#NvW-42m{`un zLYCqSXr*c+3C_*mNM%mh^atI;PM8~JS1lJjG(<)Hh8e6!KsoRSJURQu~_)9@kw-*I`Q)?1cnPx8qPTi`^6VjT)XlZRLbnN<4xEH`$ zym&7bkQy~ukN@bJc?6FU<1}E`)Bq{p3M3&4CWPn^RKPVneLn;?c?@CvGJbHe&~grU zJKj^^)UAf5?}tF9(Y1TGve0Z3vbCj^zS1pC_wc2FE@;q-_yU>@k$VD6k6yZ|`hG~W zneBDebvfhJWjC2Ix;ExCsTgQg82IrQ5lENhyGy$MSOfOj<5wa05xN z_)tin%K>Bd3EjcMLUexHLMN2D)GdVtTDcHMQVE~d6Hg0Cwq!5vRIex&)+j2A>50;j zeGL?2&bOEg?5}45M@L5OKCR@aMKwx2Q8GFdwVNfn@fo9{cE^@;%;l%WjE;)hZCg>e zXkL8E9j*Pll^oTmFn=1nD2^ccZzad&f67!8m(if8aCwG0TAWLRqB8lOAr!S6x|~DB zr^$qot_c0J28(zGhGjlHh#D#svr|KM1&^Kc9giA`IHIq7R zN&n5|7{gaja_gj_goLu<e+4wIc_gLfUG%;$=kX^R7l@hYEOOuG4U~uAPNH)jdU&1L&%zvUfmMMaYSF$ zqcT4MQ6JqBJ&3I4D6QwCC3+ZH%~4y=M@#fTvYI3C&qqu2P$K)cB_;m(Xo((7MpLflvRzm#l>m1-THB4*ZP{Ij5V3&+XpP#q_cQKeHm~3f@XK# zmdkdvIQew1)9T~HW}A&}9oIAB`&BNh`y7xYoEG&fT3bEZrd5ljU_*%T_oByAvwd!}kSqWZh^YW5Ny*UE>=Ri~F;(?SIXXzXo5?D723e2KMB41iS?oHa!V8McdsAffW< zASeYX&~zhMshUZh4u+C0S>ccYLu}6JU?}mDwIfLwl;$0@2Nz*XB+RBal!VFZ7!AWH zwvCDbU?7aA^tNpQ13`&cK|vFpQ*=yVr@7Xd- z)(`~>l~mi$^HA?%c?;GTS*|xSBVSMiRZfNHi~&O{CEZ!GM5dOls;zSTRw&6^RMIA2 zed^vYw9@O?#)qQYq2yab)U?`oOOMxwO>C$$5vi28Wd&1+_eB+<4mK?+c=2XvyJqrc zDCySV;vlqePYyx}cUBmXjJxrc)=?9KSW?lDtcWSODz@NjI`Po!*fdBX7HidO-=>73 z1YJWEC>K$NIxN1V;7HxJFZ7r+d0!|A*WltH^w2sv2qoTG6Bf1=+Rm9Agc7%`xg85a zzB;3@PYyy2rdiWqbWXX&#@;>IfFkJa;dfN1OG>bp6eQ_}%g|4HHHL<#VW<1;<8lJ!);#k!x?%tF@l*nbRtGZBUE74w7aHIjm zSTD<+vIT0`&RW##$RuOMbU^ev*Q^Dl4v35vQvy-KtpN(X91t;brUas-E^ASz10o~F zlt7f2XDtRNkc%3fdUf=Qf|sC{jIL8QM9H}Zm;fS1;gmp>pl2-wc3mQFz?49g0In#2 ziI#|wJ|z$(@mb4pT}vbeHYE@x@GA_|s|6Njes?3RWm5L_!nS@CgV%Tv!gX?O`3!n4!CP!g`;C18kz zPX|NETh{z0E4`>X)N2%16+CH&HtFM=K?DS+m*>hK#gR z!O$xhvep1N7&3HB2SW|!8lKR~NZ^JGKTpsdDn_-Ygj_al(J`uvC_=qlMZ*#F9f`zD zn2tos1K02b2C1SyR3(t{^wgWkiHpS08@yzF_+8SIOgdX`O z2cbkeYio^*h_>ELVO_xy^jWvxePJqr&>JRbXaa$isy!AahLOEsfie(24gNTu_Obh! z+kpySFXQ-{J~p>+-~}#jp1Cx?G+L!r_@xXBlFs#^@quu---_ODkl};@&ZXG+!l6~>ij;JK?@Oeaf?F_Mv zZ8^q%P^)7&?&uxJKI)&zMfQ9FEQC2mGOiEd&+SLI*~E!g0dm_%KUw2$WxJ+F2bpUn zH`y)q>=|cx#KoaYua^|j(c`Q^Jt`%=FehTfO@mGO7+`v07vB>!UvZZECA_Ut?DEaMaZUiZB~kZ>}wA>`f_-`ib5sgF4U2zcpQGABC!`G zWV0mUcGl_`XL@q{Cr=Jnxiao#YIFM9QMn_wtzF{MMBVY^^p*cDU%Bv!3MWZWbo%N8 z?oz#yB8iJ$V)c4LT-b2>>i?$Y82m46t|#?n5ybTMXDBhnIkK!~`uhJM*11%Y%6gM1 zE2}rBa?Im|OPt4H+dP)Ak^}AK>7yojMdM75|HOmYw4>FxJfWl^S^A86CQD}#O?#2a zbI3fba2PgI!}Gvn<|qpYfo+0#Wu*n3_cdQjC=u@7aM z@@2!#-}Z1reF5i?7Pu*tZTZ`f?-zZ2{^gZl3b+1_x+ZyrTEd%eP&gA;7<$8tfA&oo zFhecBnSslLP{iN;1B$S@LfLR9?{sesPy5#I2`(Yu-=Ho?TtR-ri=bXZ+7EswUEjk? z#`%Y!4g*Ac_m`6ic>bLX1iy$e$7T&w`+FH>|A+67knfj$S}5=v8EILx3bGK&@;ia# z_4SPUKAg;iXMR0kuYe!eDn*wp-u=6m+#dl0!D)Q{%PPB+(Z5;woTzVv?B}W|M36P?foxw=Mz^j@xqJW%7F3f8EIMhvc{fGuTKMvtakhY zt(V00OBrQ>%2<7Q+4-Uj{Qg@8ejm%o_lFrce=Vcz506%l%i@hJnr8LolSupB z44TqeNbhVU2Iu_yUaeaj$-7A7;H;w56!H z%?Sebk;>i2M>*Kd*>v7IM~%1Y!xVMvGQ@ot0svZak^o~|~0=x}gyf-{EkgzH886>hF0`{MdK3aqN5P}p1)P&pSDL(Vmoa~?TybVXEt z2j`{munHbz+{Mw_JPx)OMXwZbDk%?m{Vc%DuPnTZ{J7ZaaMlVcU{-th#%H5-mx;7p zh~^^Ki9z@9#S&h)^#^!z7hkO6g_|FfWE+6Fi5C)72ye*zg+;z{e3*E(!dEWplz6p{ zSMo7PFe+K$Vu7!gZ2o|^d9}<}E@l|7aB+>VR2EUtJofCl_5N62lEQ1aB`Ux>kn~oQbZ{p?OS9^(4mte~t|YU2}4F#4~>I zfGeRbsj;mdGj9#mqoTbxb(LHn{zar=*ti~12Val4!s~ieJU;Gj-ruWjm99tCw-%97 zeXC&pUvO`IHta`b^P3DTX!4tzC3&?hH!E_piW{8IiS53-jZ=%(Kf%ui6g8@T_vVUJ zO0cFf!RxJcNhz=r^RD1u-tWdr{g;HSMU@gu2i=*oVW##l*iMjc-*8eeEj3^j!`#LekqWn3pkx019KQU%5(;=;c2*6?eB^ZvIqd*KW3t}MA``vRde zJePTj_|6DaxD2NBU7N+7zPk$^dchY+vp|}0vqekCss=R)6NvmJ}8F%3|#- zj*js!DI2G))d|k_p#F-HR!B91v>so{Klxzh)op^J{|G1oF|#8> zi%&7qIZNTDGv~BUuzc&R>vxj074Ts!qll-BFv&K#5tbmrKNljbe&OBqwA@QqKPB7C zH#4RStP!^0O#U=&9ntrbv=wDJg0_+^PTK_Ceog3>Jp_5W`N}-+PABh%|HCll)qR%4 z`yn7p64FC%nznk0XWRsHDxs4kt<*Y#w301OTH7`mzJ+$!Tq(5as4 zmrLv{8c$2wn<^9$C#~}wKA$A5=(Q1~m26pN?*A0BWe@J2Y`%`?l56#9Mj@>m$z06f zUnFTO{5WbP^E~+!MzVwmJe0nSi9k@4p)-RJ_~9}rkW8NT%^Xi9IN~~W`%ja^6*V-9 zxSrXb7;zIM{fdxu8P4yT*uIxTQs21XsildV#ktl@aAVv_9&JY`1?hrO`Zht3f0s!R zuN^##1rEy~mS?&#+ygrTcmToY@(q|;-)2}~j&B`p|4ov%(w0$t>v4Nxd~3**phTkU z7!)*KN6em+CcPEnWm6cnzQGa*TX$A$+5~e7mxp!6+%nNHBluRb09 z5~+reXmsy}QOkIe4K6bJ(v5p5J|@*h^6`D*Q+#*La^sU=XPIjcQ;i%-!mfuIEp!C^ zDhaA535734ajRF|&m6agK_WYmlfP{r6)7C5B%hr}AmKm3l2 z{A0D+6J#S@w$>AGc!w)PJGmtcDfwZ)j+7sYA^@$!)926SS`M;6>Hh#oQbwNh z{v=|H6+FSc6Ou zDX&7jn(!%J7kE|jY=`P?bJH{w8#K9glgs+Ko9kf#gv=`}i{7}Z2pv#!b^z>8ayp-&0RkK;t!?mP_#-Hr`*%F@oWtFVM;8ri~wd>pprO(QScWI9kBo5lp? z2QAsk!-A5h3{Woiku#HcPT8>8J6O zrw^^rkP)e!S@y~mu5YytspIT49p#&KYHU@t-Qg$*W4zu9GK=497V#OKOdTr@0q-Sp z%6p0QsbRUY!w0u0#vrzVYH`^%%T9eH1cZ^zQ@mtUd0Hg7{4hgritiNd%s3Go zPdXxSkQ$E&-XK!G*Qpt@8 z)DPUju9B^qEKqq$1C7-s9q6s5WHIsLw5*o3K7tDqWxK z>1s5R#50C+9$OrCS~fM7iMj}tYz*~hkmBUen#xwbT;1gE{k>Z*Ds4oq+Gt6TS~!Q~ zz(%<-X^H`p9ol{j84Y7KV<*8B+Xlfs~%QQp}l-v>xO zBxI?jPkoN!4RFfILs(7&UG+&BK;3ZY%dhy%J}I;#qr8*;9|h8efJUkn{eGHDm8drS8`Gx~G^|-N?%#C5XcI>$LC|=g7BhPeZJXKf- zmpLqC+g!sm@tR!cQOv?1XNrZ#bTJ`v%B>ex8#+DkvS~7c#Kx^3FX$h`dfj#12`Y+r z9hty%&{QmCjA=ziwgw!&?kqDymZCq%BZDk1>FR+H&G`x^nWU%Q53->82Y7Z81@& zu9!UPVtl3cD7%hF4*9T;?w3K~gpHhZk}djdu~%&7#*@@*LoZTZ$UHN9R*7|9f{acv zQ#dlc81Y}kqw$8jZ4CvFK0bl+tV8~9Sju`6IL?46#(wd-I=it{o6a@zFw%2^3GAJs zq}}MP-qhHTFk56^E2Fm-oT^A^P$A_|4f=6lVMJ%xJZYi4~~2zo*kJ?JU;87 z@_&gw1s0q@c1R&0d(miuYn~&!V~?i>lW=o96l-#Pmw!uDIAl>v|ZOW_Un3#3523HcbZn z1vi3B1)uhxg>Mj#g(rfGZisDgGXytx#sW2WMl+eaAqrZ?=BcnGcNi=^B1ZQanB)N7 zc+5Ov9xtObnI|T)cTp)W5m{$d+1`)&E%6iSqj)))Z2V1uh3x0dY27;nd6IF+ z>x;L|s;Lk#1w+fs$`438Wp7NQiQ>(ZNk#9mFQ&r@AwrQ=fwMCb!`hHGUyLNrx3oA5 z+fzHtm-(b6-vJramR&DWRtgm-&ETO{3cCsezf2WOv6L;&JhCUM$9=)$?vnyPWi>}l zBPmUsGn047U|g_$DEMJ6@9S7OB9bX@j0Qv8bqF&W^EG9(j)T1^uV z$?3;EqLCQWIa~$>EUzUWVVDB6H@qWctB9K=-Z?Ta;|79x=c@`4_7L_qOKwaykE_3l zO}jgEgb%InV@CT>KTDdr{OIm)#EYlG|n~4MeaS_DQQW7n_)nv$X0Fm*U&moaH70p)oFfxSVkq zN3&;r&~(AJPe6BxmqR8GYS=|*m3uLn85=Bmf4uVmx=bbBBr{3|6vKxRO`;IM;gm`nkrL%8B`0 z!*$BG(Lqx@@zLx40lIZ2<)fm1B@ELz&HU*}GlWc9q_yd4cR&3cTL~8|mN6wT-^za< zB?K9CN)U3v9#$eIHHaz4*UORi?>9k`e-GXxU2`Q7ghRZ_jn*s7L_ULAwkw`f>rerh zKds;N^)x9Ffj96+Qg}00960Nd~qD diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index f0e0e13b2729fc23224e21aae2ce1ad3daf590be..93bf68dc13ac3182190b72e14a7486669f5293e1 100755 GIT binary patch literal 9582 zcmeHtc{tQv`1f~=r3gvLPG&0mI(8`vGh-b>){&4g_Qo#rRI0~rY-Ot$%h;D@$T}&h zgwhy$h91-)BoxIvo}S+y??3PL{`vm#UUOY@{yFFV-1mL%^SM9gTwehI0BU(atp9M< z&xAEP`hd!)HP-7eZnIAy?tbCy}Sct z)Me}uGEP2MWG-Iyzs_1&|K-3O02s;rI{+~DKVuN|&lm+-F63yHxJbVf+rul{+TPsS zZWKGaan(UTdFwLd6P@DannK?G{Ct*f)-Tqp880`k-5gd}dabldI`_wl zTa>6vN=nj-TC$y&-k2rqNSD5lrWirwwBq{)WRsC@F#Pz!tA@yslDDsxj8AG8F1_Pd zRT>?-p-+f8vVqu6`>3zlbaJ{Ns6x0W;!CH!y1B2rf@WKw-{r*;{s%ABFAMLe4Ld$p z3SbKVijYr}CVY03#43Ap!i&1_I-yUMK7vXL!R?`PCj0Zvau3P?|vDz&~&7 zMJs;EQl%{Gri2z@rFN;8iS_&`Z+79Hn&7tzq;2414$Tu-AkcP!U<*tHK72U3modXR zW>n-<_)WqLR^#w@+j_3u&!yqKk%r>&4#JK&HGq)Mi&lqsg4b$@H5yxQ2eUR}IMAaz z9h{5fY-TXw%q|aYg#?csmlJ3^cqgz{NEBvsMxa#L4CP6^AeD@b7*4dGUOhAgqa+t^ z6zH(m`kkx=Y`1Lk^a%p#a7;{Gts*IX4Oc+S1-DuZE(zcz=R0!yM&AsNKL63?x#Mye zEd)0LLLL$w*`4iC{A^S5q!BoSjK|xyO9z}cg&cw^gRz;OD@*@=_ zsR6w*_=&GF&|YC#BjQr2*wU@sdBv|21o2GX)}pGFw(+|0so;C=Epq|(U#i8sD4AVb z)I9gX4QKQxjj{QYAqV{>X8%%HjfS=)TW) zJ|FMP5$cpdN}5RHxQ|+(|LxxjT;=8*x7v?A3%c&Fctlv#`q;&JQTZdnr5#nyswdt4 zj_*9I8JPHt{3`XzlN2?HD<7uDPhOL-JVACBQJWN0cb+8kQ*RLT!3-_<3Qx#6#N+Vp zxNAo{H>cf>#jDq6HR6uBPnbQ5(|z&wYkI+Rd(Y5O(wKg3op!w`z?Vfk4D`X7ws<)d z=IR1Yi;`A?bT!|YcsHdbWfk8WJ$;q(El8uM6iCZ-;K$2Ba9Y$*d6>qA{&Cm4@5%z% zH+mTD#D&IIy&RQ54xBD!D^H-9Jp>`<^=M%EJiukayo%R^;AE(0|Ki5USw^2wuW}@Q z_8-$PQVG1cW-2f5t>K2G+zM?@PHE7g3=#ys@-|cu2ibLPP_dxpeAK!_xvWl0a&*zH z+VC&Kj&G~aO-iogWm2cS4!DvmJ0hSr3;^M+&* zDePmmsCXbKn|2Bihch|xt`M?1b%)R<%AjO(A6_%{h&lzpe7e(`5;}Q*#IP!P0I%q%N>sF zoyBuO$lO!}p-r0M1+P8Q5Qj)Sba!Fg6iBzgaNy@r3T9DyRC9W7Y(Z;)IQ~m*a%4{E zsJE?+g=fEsvU0o;-`aJ=#ppbcvkA%uWSE6gPl1Aj^JrOsDgq;qPlRkrP`tbOo#m~J;6C8D!h*2NYm<=0Dp>wjA|Wp>4* zd&g@D-1bDs>q+?U9iAXxi}+qOk6t=IePnbr4zRgGskxiTv&$y4djkD&#;QKdNlUlL z?x)u9=xN*Eq0Xh{iTNnhLvWldi3F5q(ENVvoGQE7;izEV;;i@K(&Oa3tSbS>+MeL9 z=XSpsh$PilzPvh?Z)^5LnYvBLKZIt3^Qt{kw?JiyXQXGMLf?GB=1=x!C%mBBT=x&~ zb>MkU-hlB1y|j$ym@wpfK@ZmGX$0C3OcA`7ywJ@SasNRl=lo~ppOYEpeU5$yFkPIV ze506(?-m+)DEhAtC2%zq3XB_woijxlftHJ^oIP1X^47v;z}3OL`0F&yr3-nKw87EU zg;U}tP6jzejU$P5lz=l-G@J~iKuKNz7&;<^Ud~Rk_w{C9{hZKy;Mvk=rSw|`>nP8^ zw9SpZm&`?HPXJj+%uy-;w*u5MiCtVj98rR72dxI^BG4c}7MvM?SB1jVsWie4Cqol% z1yai)b^$-!Q1)z=iljZ@p#??^|HWS6pO@RwTbjKw9pC?Hc6Y!_H*AWzPw2l%6%Em} zKL~5x6#LuVOjnMoMA!iyJtX>a-oJ!e1$8Krx`DS)(l+R025lJ#M=%5NI#3uF)sPUw z!@$7@c-1@+i5r{Y?W^4%pV6BSVu%|^FW|8ET#xI#S(|tDHV>e5l9UH3&ZG4J$p|I~ zei1@GN=3;himfhe!KRV{o8hwB``_jOWVu7(s3u?o_e26pa-<57R32>@=tnRQ5TvvsO%$BGeVtH|y-klw4YD|mBRpz z@i_Y={^i|FJ5wvdoxQkE9?dzP>Cc|vo^;Wl4{(y|&$;r=B-Ix9++G4>l}N5YPzKEm zD6n9f;m;B#j-rw9Y4#8tBJoM%)ep561p^IaJ@*Oj$4M=E%11`O-m7~yki)W3VCyRM z?De5~wpJ6=(usGURY+Z&|8zpg%G51F+Y!bwcpz6p7Ey(x)fQ#IwyZ=-22?U=d_X&r zc?BO1AuCd)$FWL19kQSZ&8(2g#(Rg>rh&V$DzVcr)a5`uPr%2(zU>5Bw(2ihY@ySp!7nP6D z1~5*-SB^B45>?n+9Z&`!>}e7bP|2cg0h5R-5o#cT$=6UvtOHsRs05BN1j>ocEejLak9se8h|ZP+)rcxpswBaM3#|;F<_l>d(m9>oQIVi2IT8$T%%T+m{0NK{ zUI>blrh*AB9B3$fnt$yc(UH?xrL4zlH+|(J*zk7kT~=!=oVSVI)|7irj(r~(q8ZOR zm=U?s&*_31JwBk^T-qnV28j{HLm`{TshR|rW9U%0(c!fcVlJDr1!@S?Aw#+d63(S< z0IEog0G~yGyGp3l%|}`5Ex`r zD<$sntvx3CvfV$As%QHpL;4B4%%k-I4-puBygy{~@VNxI6^~jO@hsO56O=v3QkFCX zbY;?LfFP2I#MeV%qErZBhnrylx8hcNOzh(L;eiqaS;~+=z%2+&k9vZzBf!YZ#x!D= z^7yZ9cSX8kQxEFgasJ%YuiTxazm0?`ZEp@GaGp3t3I$vsuoLJ?VjFNhmv$6COAwQM zltsM3^$H66kF0H~dl&Dr*n0Bn`p?JlG{61*i%#v#@$K(MO*3CG?fRe;71AtV3KmTSnHcKK}g6IH~n(|ub3%wNwd|*A_vY@z+Fw;Fu zIaOM1_vhMh*c$_nzg0g3fpJhB2s>C<10c0X zMB=1WyZ)1mqKm~%c9gl|6&$wHjFr?nzUEn9yCvq*k|fs1&;9pq_Rq({mU2hVDi1n6 z@+eWvGj(2w7@`2wlf$F^z!1`t$5c5N75X6j^yoG9(P;g76W-khrT*d0gX zfmVGn_rrxyM_CfZ8eMdnB(qaB2r*)eP`EO0Lk+PQh_Xa|0AuAy>3~WeE$l!Oy<2OE zSk;kwN&kItG)o>R3vZAyu>3DVA`DFZqd7&*KZ1SfY+8XkD4p^O;B z*6M;P0AXcGOF&&FZ2@paGF|YBQ1T@Z(V?;+qB~TGDnxJ*dDM>EjENGbR?%{r*q)3< zba!;jIK${U^m)|rlE6l?q~izr``<623yR&gX039Rh;qf}hwdmh{9*6gjdzJEFGt4- z6GG@ncoA1f8ZiPqhD0s070Q!X1=%02Uf&GFATc6fF)k!C4*X3J`oD?#M?`Bb-ODI0 zKmtPgzoKai`CPNy&7o#*AdTRlgEzNV@LeqhrXjfFRCRfwxbcU3TY#mHo+jF41FX*sYethFw{yzHNK~r~$J{DvRKafjOw`;*yZ=VJis*u2-tsI&^;Aep` z#>Yc&Y}B9hk)>H#pxxQ2+jyB5_b@K6Q-`LI{iZ37JXW|nZhX3;2RfP9;dkuWQ)esJ znvyMbJb4(c`{K?Pb+qm}*?keccJc^4Vr4KpBAdnmh*UVd;%$GbeDYo7f`M0n0jpPJ zi{~*GnrKdiqg!JWj%H*1cr#BpPDjSm9OVSKK}p?gSSU#mRF^}e0|iK?7=8&t=Ail# z+Qb;A;DLu59us$fsWT)iK$}401zIdH-uO)@PLo>$q{^hT$pw)I>M=dpD?nRxHULP-qICe_NG1;70)g>UB?vM9v3oo5BM@bS z3J1MaB1M8e+K_DTaZ+M`=X1DfvQ&ZG{C-*izrCm3&j$^#TPbUo@X`>NH1!8z=LEwZ zZpEdRN<0httV;3+m1oly0Z$8z0$v`nDN5BL@vo z#Z5Lm9CYem#eE~WcGc(h|8P7?p>BR|cYf|77TzPFc9?u zVMmJL3b#6>Mk02Be;`m(%r1XuDrdmzDXAdj@9gJwaOOnpXmZMQ6Ey-w>E46)P{K7}0pl#RZ&fbFue^v}^D? zmYPd4G6-7uH^*J6wK_hx!-2PQq!z#PPR1y zQ5bN(fKmk;s*(~x!Z|b^Ud?YAwkns@p3P!+Ym)B#fg;cTed1C4K9nR5 z+Ofcx0=pc2zPI0xS^-W-j3!R(zUYE+PwhKEG|2^wGCPuK2T}Fw3+%iz-&+KBOxqw|E z%g`+3}wsxHHsD zg1!{v8e9_e&J@)LNI*$n0seA_jM0zF+icEuD07fR7Rv6U6ku+_yoUb-#X+c#3Hp2t zcDN*G$UR~jhwdfRUGTChDGRX9r2Y3s^8w0wqv;~(^D_R*Zkh@0-(B3=1$IF-tgGxC zeenqX@Q?S|-v$e3=M)o=+lI}W_JJIm9L8l$PGV-nD)YR0Zl+S)03?3gg`!qgud_}r z_)eCg4EC{0y{!Qp!%>+G-8@R;Be;N>C>EEJ-4W`)zojb=A0`Kh zY`BQuazZymbR{JUJGz>PIC#bd7VTVVmGg9ZP=Kyq2vB6*$i%lR1cWCGn!C-QUeJPz zw@1~>&Yq~Ti|7_O+g01Kt5jL=VD#nw=Z>J1(9yWS3sZ2cm*$U?J+5C6RlQrnVnOHF zm)_^p{@^Y9c5zd$`0-=|S#+@(>)xM=Ys5)rDY~wEdH*cg!B$6J2wWCedF6ap4ny|5 z8X)DoD{y7Msx8gY8mM{gH*1Y=WqD9fYTJy4Jw;D&3VJAp;0jW$H zH_#7fRsQMP`wJ8CMxuv9;06tG21Jf(=!qR z>(C|YF<6ssEFKM~=9y;qXuI(`Jx?}$3-aW%<5uM(9j{|8>y;e&Wzp*iM9EoWeqGtBWrX2*-bW8NcRfSp7v!i3u*F?tAFgWqDTF~*Wx8-zHwCT4N$HXqv2c&!gt_E_6jeyeT{}Sx1 z*u3`w^ZIDzRk0$oC#SuKK5v}}5>$}Bh27PO{e?;Y3HFa44$*oSu{RupPVPK)53xHc zc_F1$uKWwOeL=wpJ+WT_Hp8^}KdSX>ExCLr=bM(=v^ouYuC2`A21QPksO*(~#x%j@ygKj*ROT1F-h_vg#^e@3?_W;`ubBNJZ`)uBGOM@rmo zYnJPI)}+v_^L=Jawlb=(K4aO4x?mtI`aW%6S-RW=D^xpI8R+l?5t&lxC_j6a8#bJ5 z4vpMDQj1#5*~t7_S-5e%?_ubmIdg&@R3snyCRXgiUdsc{XDPI}{hCU1vIayjeRWwN zDO#VfSRe7a={hlUz%O#(i$$*cS&z;8v#=`r)Sc2kiCbd~B?WFu6Te z!V#}sR25?>SFlH>tQYFqv1YHQk^L)1@eA=vxhvYEbTj>AGwo|>%zI56%kBxeMM?n% z^(MK9x0rv5wkMgIla?dVu%;#BYN=9R{VTnQOSg_);^i8fOu2sH;;R#VS}VWStYO90 zqEGF#2c3$WxrZ~AK1o`Rhy<&C5FmF=)DyW3TAQnnAyK(1Pr?!Pin%`7VYkZBmaRGn#c zwkF?L?UF)&F}ZrAyT{tKUryRaARFiP)w^R{Tc>ht#JdvW!{ZQ-i+osPSn|Zp*v!x2 zHAnx1b(Qn)olWyakMxQz)b%Y#?}ZL0^H-@&iP0nJq;y-I`|=Qn;+9(PS&RqStxJKl z5PIF;`K(1;ZmL3 zCM;}yD#CNqC1Y{I+I;;9Im>6l8Yf(K$;>q933;PF*?eir&M*)s^>d?l>e^eyp(Q;} z&(O^!r-q|DkA^D6P<2KmTki795ksR> z!t2>DU= zq4TLbk`}-3?~KId%@>$+7;FydZqGXn&(#ro^7EiyT>EO`aVC{LdOoxy|LYC`fJWv(=Rix zbY3&IAR=}=!oNjtXEh-57d`6dl{V4U*3xU=zuOT9$7>@ZtKVMfNx%r#oH^_B=_f3f z9T!FJik|(M)#LggFnPk_72Is0L@_Ke_4%?zvFa0ZxwNk|{TNc}9q-p9u*<0ECZBD5Jn^~l)uUp!nj~ur9l4xfo?t0&w&&%2_6TA+WpY9p05{vx)+u`x5(bNB&WdcUFLY<>(+s=v84rwuc>J=Qwgj%&33%3~sQ4bfyi7J; z=jm$-I@`cCB=W*Ln%sPu@t`nR3b~*e1NXVUOMl-#cP7AZpB~e<{*0|O;+ofE7x9E( z$+9k;^m*~)pVu~dT<5FR2CJ>UB$1OgH3c6I=zp8})J#J^8qlnKc1Sb0Yc6Rx4#wQ_ zdeC>V)=&P0V_KTnz+{1O@#`wr0a(uVP zKPv28?fB|rZE38NQsD=Em(Hq?^z=kYg+6PnoKMHVE5nZ=+WRfv%k;bb_=`o7E}PKv zF71R(@?ADLwKD3ms@tWfPqVmRmt(g#nESp_vD9r`^!E^%I`L22GqD{7%*$)hI<}pd z@Zi42V23p2Rq%FI3KL=5)jnPtw*0g;+G-U~v^d6I{ zF!Rju6I3Iu*6d}wgjsZgAZ6_(tkACR<-r)h2)?K^!N3OrG8J+zUjF)V}8|7{x)WP%Cf&71&Yfu3Kl$n^p0QFxj9|y!WXhH z7hD}2@Ji=j&j;};?grWPdz4sMAdy1c>?cibvK_W7yLE@n4uyv3D=08T-o2B7e3mQw zD=B)rHJKlDI(0~AW7(kDpxOP{^?I}Gv0Hnh=Px8<9eY*y2#h8z>)tOJ?iU2H{j|B6 zkI)?I2Q*krUe2_dH*U}W{qgRZggB|$=I5MP8J(gLl@aj_tDXFCBJituZnUG#V?S+3 zh|&nll;A87ic3mU`$mf2;!5)P&Gj`#;uX^P-1l>~E6q0!4arlwzqA)4uS49Uph!;O zH-+D>U_W)M!L0q2dSa z%}s|c?>Q4S3m&eUB)&Ky6`h0X+dmKGnaRb~VyGwo=&9w$g@%5IDlApA=GK zQo0f5iv&^?0Pz}LAHKH^_!3@2l=oY=*NkH)1)IL_J~bJY-g_-l5vj{iH7L<|eWk_g erdG~wOH>cfbd-*mm!Ue}x!=||Sl>kL@Bc6CZ1R!- literal 9802 zcmeI0`8U+z`~P2KY*|y;w`7LwTZ6K+T3#bz$Qs6;u_xIzEhtME3=t_~dD+G`k}MNR z2$eCGD3Wa?S}c)#r}y&tet!6z^ZEP%@0oMvI_ExfzwY~W-`DlL9@ixR06;Z2jQJbN z{GMT2TdymjzCnS9HMCUKRW%Nu3G?;$Jgljud01UrO+!;nQ(Z&ru(j8fYrY;{hb`Sa z{0?gzwniVe^Kw6I2`)hR(-Aa!&3s@(?HPCY%=C4#;X`Nz z`nnoTj@xCJ54`?5A6YnR?4Z-^;a$C|soydo;1OUD$XZ9w8cBNilk$1&e1AyI<5Vc_ z+^6uW&i*xb&+`(A4k`QkpL7R3M$Su-Z->JX3J~ZT-{p0gH>p=y) z;W0LDPop-6GiV-n^bPW(z@gF}C_ea7)G9Xgi~IdtudeS$vK#8Y==PrwjD2;irnml0 zVn(o6UZOoG@zzJx?sX_Ib@n-nc7KR*=eiprRqM6J3(JWrr=vc0sTC(MoA#L3k3B+i zOoTQ+J&`K=l{q0X_3cfkSs7xvGh4DD!&WbjlE`+*sagD+uYPXNJwwV;h=!Jk+vK5* z(7@4``?y$;=d?rJawcz1)|{oQ)2XET z;GMB4+x9%*Wp>uxVfh_}k~3U<16q}Bu?-=Jb#7{URuV3ScTES}qA_a(nJSKckh?L1DMOpqZy86yLMFu5FB z4)D+ncL48;SQn(qD+reQZ!UY!qbMZ0m&?Oa8ygQrIBpokrNe%i5b}huQD7|3+9Q%2 zkZXbY2x~<^qClX>mAUE^>o{qwikw|x(vQ5iECKxww3l$4O=Q~RW|+lee#j!UsK5j@iZU|82^3t<~@)iJ{z$4Ae#O0n2G z4EjaJR4d0C+#yGokh1~X3|cI(X^Jz#qmW@IsVf9W-s!mGGK7a{i1>v-E7Z?7BQV&1VyDi>JVT4lY1F0oD7zhq=fgdFGnG6*dVV>x=uwM zoI0qY5MA9r-a0SZkn-V?zjFUz4}FRL4WS(^dfrKFhMK@VTzT`k^Bt^ROQRrrGLQV- z$KO*9u_s#3%YePcX!gle6@Yea#K9=fk)XB)7QPJ;j+5NCmR z#wdR1JN9`yM1!nV8z$#GkrXLdQm@sO9l3HZUkW0h%I^uS4J%9umd8tC6wh5IpKB2m zC`_*A_PT8(*lmpJTnT9iU??@$R_?eh%Za*K;MWmh`>0-o2{Ei0=*$&dLTX|eJCCsg zE+L@-n07X84j47VapQRrVZ78Z!h{I+Ea=P~Tujntv3JGj0Fw_eGO+gkT(9jfNH!Tc1OoFr~@3Fr5O)l!HlYqoejBt@IbAhf`zRl#alts`1v?zQ1q zUm!=bE5?tdDi@=%6%BmNq$vVQAj1XEfuv|szbgnHk;w4j2lNq;AV4&OL}t1HX?x*K z?Qb+~V5%zlSziQ0puC4f_9`M0+NIbcG)o@0n!%M-A$gz>4T-!w03?Hq5c~pyf;bfp z8t|@Fk%VCOM@!t-hJeprb5o@J0-$_5ubd<`#*7*D<)~+|S(;D3T zh{rnjzbv7N;OD8>?esOd(29Wk>s!ELK-eEbsvmbji zqtq@^QS8Bo@)*aZW!du6x>c6Lr_GU&19m^GWKlO(o@lY1yAfYaVWu z2c0J-T3ow=wG`I{FaH=5b&~YFEelijIk$0*wH&Na!?#%rE?27IV+CEW@8X7b>(lOS zdrL09qtkcAd;{xeu4X%p?{g|xQYQP*OZ_RS zoptLHCKEU>3y}fC3|baoZN|{TTOd(_)T;zB*0l^$Dcd3uBcszk&>*cqpDojQIQy6- zCb2qa=^foR@HIaFKIZSut$Hjwi_W9~4QPe|{stkB4XX{d@vad`-t4(%m{p)v5gLch zXVJs~GKk~DMXL~+U1CPWg4lXO;lLdx{HXi*llvtLHYI;Wbq1H7WA;a?zQc zPoUWqskDvu(2X@a#bGtsv;;uhjBx_*hoHcy^Mn?uX)Z8;tJVk|-&p6mf{ncA-4TLp zQY|raazf$yJI()b$(sJoM`SMz;TkO+JZ_C(d>+c z@eyetV1j1+_q1RMO7aFbllWkZvXCsmmr1KDW)xG}H@XHsFva}+ zV_hL9pMK70_!nj94^Rf;)bIv~Fh%Np!o)$W9asPhCX%kf$BZy#@CRzp6s#$Sb{tp$ zaZL3`gsD>F2@?WXJ%~DGsePxTV#3;d))gTT)>H<{jyJt9L=)Ewd(v~VA93Cm|A6CqCmhfK! zllsh*(l|BeRZGcAS+l~+BPqwIp9oPb(+Z#ptTvY<#Sw7YEEc*Hsn zm7Cxwf`x$w{A-U%Lae#27&!1Di}o0}ZUNQ4Ug7qeN@9%=bt1nhss6+D{zb4Chn`O0 zylE`kgnoNMwT|cQP?p!KkR9v;2;8^5Tf=?>wyCt>NiM+tXuY&Vfc9^C=Fl-aVPK)VqJmiL&y`uqQO{}wQN!;EcYDd zAv{I_>V?T=(}aPKrZ`RfUBvqTmv43vMMwc?F=a&KrI9E@YJ|dw=eV}I^dzI=H_Yke za7lH$qJzZ9#p9}hEu~Bux8r|KCu??w0C@-$5B4_c1pF$27L2zdh;bL)A{n!MCNMZH zGi_Xfoe|u(Uv5mR?GO`Z-=Oxo{@&H>KJSmio4v;GE?x)&M9eV7K!RDt!Bak9Dj*^U z$>Bqg>q=A$0)tEa9w`ncas;Y_{gHzn!s60t5x}e&!vw!-UGZx4ON0J0Q?bKFhN2T$ zc$#XqBUf)*W|C~i-jAtiVaEf@0({QYB(_KRHt?c}u{TB<1(PoiZbb&psahnFdzlHM z{RMsDYPR*kgOkSy+j$DHM<4}QDUs#_JVfIZ@k(n&aK`?GGyPf#2f@(%R{Sx z3xUQ1Xn~Aad^i#%ORXYA@neff-mqMAOc1;k3EhXy6KHw>8I8M!KaN~yr}`1{;8+*1 zjc@G=I-?<=?ZoTU>d3`+wulYRrtJ;kB8RZpw!8UdRh2d5Uv-qClr^LBg<;NH7RjM7 ze0+6sJEvj4|Ih{tRqNg88Ovmn&9NxcX z?eK|D(TT9FsGBuEnHjWQw~1I<`5@}Rv=ykrR+~bSf=8UgOaV<9G!8)cZ{;9}Sl>^D zD+nHITP1DV~jZ9 zF9R*ZRC8#hK)xA65wC=xh*E6{EeEDCAQSxwEj-hNEG}u^@i-4>d?CGCOwjtLH$IMj zae1c6@_m_2rW^bReN()x{L+FuDxmvFo`G!*8XSkY>b<>qp&+q3bWyP^YX9U{LJMA@ zK=r@$+Z1PqH$aBTQ6a(v9IFNvu)lG|=!sh{t3VCBuhOz4jfbIp%4opHBItaU$MS}qxAVUOSj0ihSeMC4RHXRH~vb-_Dm;e$)jAs;) zx39qqCPk!m0sW;m_ChbE*n@AAwgCwO=6TMp?b-}rV#cttv!PjF3(fRe1ir_eK% zTNhXGPrf9N_Q}?T9dVxc6jwYJY$NO+H2D+#S0$v;tu?azcWQ@Bk_d!_5?SMe`(nUuZ3|n*Iu}>5#Ik>XmIfZWxyDkWV_|7^qC3H zjG3k8r>BgY^{g2(vicm;`rry{?OAmEWbHt8pi$rhCeJf@g>Hz8p7hUWiecmzpC+6R zGf#-6la(MdKsA#_2b|Ch3|g*B z;Hp!i#B_#A+ue;-ZdS*8-e#ivQ6{?2TRBBE1r=GS(`NXO`osMFcMZ;f6`%I_#?f1J>PUFHy- z`M0biN7%4jATOJUGPDmSN2EOgT+MJt@V$t2UaBx5?-2G17`t!nDM=2NYm9M)#r&^| zJ$C&~#q|@yNgr||wFMk7znARu{JZ-XqsO9hm}fON!_#~p_4f?644c^dIDP&l;@OxL zXiUq)PnF4d&vi5&cUVLo)FGGrEngO>bbZavQJ6~xZ4&r_X1D@sW=x?s`YZGRIKW?9 zL~>z`aKZe~AP#~C9Ba)aA-Me+j0H?T12dx)x)b{9-w0l6)7ozaQomapoq-OamB=2jxy^;?!tTJpP495BG18WFEFdhTfin7hs0k*gh^8k2#x|+b8w13 z_!-F-ZZBKX+4_Z_{FSBoET$4(Re%X`31^v9geU+#gBAchF~wcPns3QbN{%L7K z%f9J^EH3M&laCywv9jP4XK(Y=V!SN~>MX^??=_`MM`zfi0 zrTGjdALfsQlwhjaG$Pw!s2@XJE z*&$~oJ^6kPFN+KV@Vl-f+h3J1J%9ufg2VW-X>Wljnev^p3753VDrvX2>K z5@8ZDkRg!xCR^o|pR|AkU+_P%`@ggsPrApdYlY!}f62kfbP7aXlfdr=Ifis>a4`Eq z-)yD$gPCo>eYI(%3oOjO%nr*J703fNNVEyU7a&KZr;mXvY_*A`3v9a9m}r(SijWpC zNT3A*&zK7y4ca>}a~1ysNztQjyc_+W(c20gKfQ8NZXFo2#q0u1e$3T2fO!*XNFWEq z`QcTNVG7i2!UPvq3v`ABXOpx!#%wS-@Jnit3ZR`%Ljj{8&I8Yj3{#<=0s~mpm7K@F zxbn{5GTyTr>dwC{UWanqCMSug{%Oc9xqQLE-=A$=bT934!Ld$Ll#8>WQn}MNROU~w zY^5Rp3{=z2C|*}TQ&(>HW!0+E>Y~C#M{|tXsVP}VzKGe2p&p+tn-CHuG-LNO|t+etQ8e6QGBrsd^h$)Egu z8?sLd4C!RDU6fFJ{);PH*OZT&0hS&EZVC2X)1T2=*T2!(8i;jC{!NcGI5=Cg<(S)S zt-1~A3VoEi zl>&67_TVUQa4xm1=H)cURj=eSZP=n56b}24Lpu*>nKJABw5nv)PtQ|;VF%&R#4Lq( z^JmK~5GVuMF$F>1IG%L{=kZUF@1xRErT0~G1+jPTH=TaspZ~+OCogT+Mlq>!2DgR2 zdwjZI2JOaClTbO+Ei;c-OFSAcoM^*k*EO>CDSaOQ_fLcW8kcMqt4R<}%!Rj0JU-+i zfl;``YuA;0HV^)HvfjVOuTA5c#atxZ`L?dy!9;|ZmIR|N@v8Cp^8KB>`mgb^8_efM zgxvYZ;>>lkJ4b?1t-7S+eo&)qHk@~s<_xlPk-pg z_qV-;{0$2#(kO9h-0a4pN8-A-JaNu5w9-q311I@~EBXburfP36EkdEV*Tdo7StXNw z^m~;)YmbIJABei0PJ4ArWx1!m=V-p!udVKT*PD9wN52kQVBE_W`2ELI{ zbFW_9>i52%2lxH+obAEq$4e{C2ZE!XU)?L=o!12d|%Vp)6;Yk2u;EX6dN~ zetzgJ#yVW{>yMRHny;6soRsT(*;Xt`Hu4WTMIxuzWOe zofkcP=63%1sl`fSSoBjb{Yz6KGppiHqaPUS$$0y}osb@?JNTsWpz>|Qu_c}6_C4o%5+$)n0bj z-$kV6?JT1FRpy^>BtX@iGfztc7oO>e&n~5BsCdot%^z1nO7?1gNJeefn~B+GI^I$l zwIg2ia2e4iq_$Vsgw6da`_fo-z@aI%?MLOyVO>lrhn^RA<@Bbr^~H8Ex242~&xK7= z!%d=tT1(r++zaRvtzs&nVJ8R+DzPK=3UL9irE`rH``O2oCa!m0Fza7QE92~IQQuT0 zQ(mWj*FV{XYU{v7@={9tXYv?PtH&cFjeN_ZQU~h@+(b%&O&6o`!|KIiF^jO3#hAd9 z`{yr9$T;;0&0lOQJ#hx+*-lO{L+2>bKoka4}NA%Mp zD~&0~ha%gKI_3JJdK@thR(0a5zM?~}y>_VU6|yV64>zi^D&{a@aqM%I<4z@iUPW82 z51P)#mQ5VH*Erc*KI%0;X-a9#T$s23(npPO@vuBLdsYLR=ziRvHB@ z%=E6eM>GzmRuCIJkA23O6}-RRQESw7q0lb0z2#E{+4cFE#k2Yzfut(`2+sBjzqQRs z@>l)6w)@0zlQx${PMN;QxyWA^9!d0Sx(p2aVU$j%R*)H}6>-R$MXAQWV^?+W87?N+ zY_>9zsM4}6UG{REzi~3NSxiRwjNETEa@G~|HLY^1sT($As6B7BHD+lU>{9&U(Gj3zx79@D7q~kKNjP)#cGW9Tn79!JS7bHH4kumyf1DqjT*C@lHY6yEOT|2 z-}=2eCWDhorMRh_NgbJPVn_B&KE(|D$RGLqYxQj@<+xj>-nZMoiVVjRJFhMDSuxPS6LID^=j>`)s<(ep;AE`n(Sf94CkFj(+!- zZ=hP(p4oMz!;6KFrEFZ5YCC$b{_%!i&CN^F%IdfrAt5oP`B(E+6>+D8^G4N%zy$BA;xqzW(ZX>vvu9FV*<5zPB31k$yjV zv>d`RpO#8ByeHf3)nDsyE0zhYQ3)p)h#OlpXxW#qT0SMJ>94)Dm)X%t`#OT1Ntu89 za#ZYtB-U*w!B4&}L-RwFr&LgL{+`n|rr=`}$H`|s$}-FSim`Q)iOMpW8v!-pmt3VO zuEJ^z@6iOSI5P>eS?!eXeS?dxqbz=zqrCJt!d6pS3pRnS}c`3++vISXLfC;zHN&84>Hg?2)SYaYg&3ndhbVBBHCY zB6H3bx}DNg_)0VzbSU%4s&ARO17*?Xl(pph&59?EpA*r z3jO2qdME-nu~#v*2M2(?y$D!LR!7jV<^G%xKEncJ??LwTIT912f z?XzQ{y8mf~&HT>hduhv*B>z`Qg7g`QEbO6<*qeu`ah8t3FBe&(gQ_~34RwiH0nZ+* z8fj~sknBHnwzyuj%!OzYna#@oLS*C-elYHB%kQg3ybG|7`z&2ov*Av9+4)Dc$L-c= zMpHJwAACKRdhdHjSH6i_jxy&o^q*dsXE}HWWohRUX$62SZI*ZD+rKaQTea8=QRGTocmJ|2;Ze;7{_L{$uy@v>IdA2ANWNE>-dwljj z=b2nYXM5mFw}}fH@Cb1&YIDD2HcPz38*%u<7G^_BLsnVyTN%1CTah+rekmp{CLjLY l;1s{2Y0NXRXI7+bwe56H=jhRuPYpL_0UO)z;(wj!{{gMdW0U{@ diff --git a/cpld/db/GR8RAM.db_info b/cpld/db/GR8RAM.db_info index c2244d3..4e2bc72 100755 --- a/cpld/db/GR8RAM.db_info +++ b/cpld/db/GR8RAM.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Sat Aug 31 22:55:28 2019 +Creation_Time = Mon Sep 02 01:36:55 2019 diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index 6558ca6..a6ac49c 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,3 +1,3 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567385055172 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567385055174 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4708 " "Peak virtual memory: 4708 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567385055356 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Sep 01 20:44:15 2019 " "Processing ended: Sun Sep 01 20:44:15 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567385055356 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567385055356 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567385055356 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567385055356 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567402890980 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567402890996 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402891543 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:41:31 2019 " "Processing ended: Mon Sep 02 01:41:31 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402891543 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402891543 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402891543 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567402891543 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 0c0820c..8f44a73 100755 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -141,7 +141,6 @@ nDEVSEL => comb.IN0 nDEVSEL => comb.IN0 nIOSEL => RA.IN1 nIOSEL => RA.IN0 -nIOSEL => RA.IN1 nIOSEL => comb.IN0 nIOSEL => comb.IN1 nIOSTRB => RA.IN0 diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 94c46c09035237b42cd814a66ec4ba3eaf52c15c..58250f96d8d633e906f5f8c1d820d66a0939451a 100755 GIT binary patch literal 2267 zcmV<12qgEc4*>uG0001Zob6gkbK5u;zO!ongR1({bFdpbi6bM?wx(rDBT7!YFD$IF zDvIRRl9^OG|9!wk5)_GU+0HVVam6V;fDgb&Jm7mv@tZnuTenVhVG8?#tW z=Cc;W=inT+Uacon-9i|(0Q&`^Ul4$}m6^r+i88H$P;XnXmHngj+Q?R-Co4BHz&s#~ zvY>x)vHPEve@?o%$%~(!d2gmD0QJ9{VRl{i-(1xK2+q!0*~-Cd^(Jy579ZSltGi|E z?5uc_%=FRzYQ)V|r&m9o7=Os&gP&SvwwkOy1ee2T7+<^gay4IwHzv^X&#LeIXYluP zHM2*0eriqUZzd|A-xsgm`WVFHQ9pMY`7mksFVpU*TfO=xr>ex#!LR%S61)7`@E#qw^k zUfjzs;Sm9_z(LI8+tBo#$~ zDZvTh0&&C)mWZ<;bQ7$XW|7|uXI$gPZH`Dg9u9Bw_Ep?Xv+aJDoxwO8ZnnA7dNsbd zNQTwU`VUIYdSKR$JIUdEW%vG!mFCeociO$db^f~B8C?Y=7{qRql2J0u$LX(W|8=_6 z=ycubC{MALH25np$Ex50J%Tj-8z*6?DU0i9|(j(dNtnD>(Ove?t;SZ|@6 z_DA_;JWBGxIJ?SU#qD1UV;<@CW_C9xNrUV<9*&weB!1Y?M8f?n^`4`?F64nDpb?8u5PHCUA?1YsySSBx`j zkipIj;>~m}#S~&t>NBe-41pja6;96rps>{;L!rb#W4Olv#Q;T>HAcAmZ;_A;NK7cU zOh~B+lB61-jhbsy>O-If<06t$Qw}s^VaQD=9N*gzha3cAy4oy|FINk(n*6&Qhz-<| zAYg%DCW;~fDWaAbYa!88R@@}C&X;Rhtwe|jv1AZLP#^&?rNmk)l%j4tLG`P=bIeph zbC9r!6vx8K5F@}bVTc=QC4i7JRu6DnD`wU1^3Xa}gRQY#8E%y+@PLR=s;L$<(6-o| zQWsbVLkJlSl_toTFd{g#1QSj;1DKr3?DZ=iXLfYJ?C259zS+Hk*`ttYDG{Q=nK8ta zS>a9sAtpi`V5N^U`;IlE2k3B^44f-Y(otMdXPP+zK1ybXJR5hK^!jPyyz#)f{cg5( zsljd1#9t*zb_YqXn^RW5aH5hZ&1HM{08D*PRD~>b!K@>0Ez40>MG-TO5d}q32lB(cST3zCwF%N7vWQ@fNeFF- zh}8@lfuI0JavMzN^S1@XR-OZJs4yp#BkbHE2&J~6%W$A`Bg$x2y20B0?Rzz*EryUs zhFGYTK{C?TMcq~kD~vU#xRH8Gj=Kaz}Jh%ALR$WC*Mhr@1)0f(&Ibn z@tySePI`PNJ-(CQh)-+o!-2fd=j&B1zuC#(oCkBYoXNF|UaKe{3uP4!C1b{^QyFoW z-!nHacWFL#QT{2cvzzlc^N<7PA*V8r2e&Ls0KqBN&IVzqID$xH1#n2TYa=Qfg4c%w z*VKh-HMum!b|+rcgLKfDxjqQX$qei){@e|v2OCQNZ#J~Pt%b;TBHf8(C*qxmb|Tz~ zJL`m7iESmimB?1YTM2C?_yikof`;P;W(Njlr>1+GV5G3F%Ro6Wkc3t%E}1bB2&1e- zhD*aSKW^R15kA`+J<7rS2m!+9r%~+=kk9kmQ{Z@h??gP4=N}hlopN^rve+oFBP_z3fuE5Y|;Jy zUWJYOyc_wA=kxm>!J|EfN1)jg>_LKinBX2LxQ7bv!Ge3Z;2tozzuq1&xCgAUV#qx? z`cj#%pZX#{_eK8FdkM0S1NuOqj|BQqppOOmV4#l%?ycjafj*k1k|*~nl#wSts}$uG0001Zob6g$a~e4oe($RN4^+*|?t?wLwpx!E+BQ45VQFy2^U|fO zO@)AmOJ-A<`R}8?03tLVu;XlH#}%jgNIFt~=<=P5`adf%U#=F7PNxx)vy1UExHa>| zcs6Z7d=AcG<6yLp94pi43-!JM8|l9r?~H83dc1T!1Iz;w z(lF>2kdM6igmJWNNmWF3sFkE|#;oxHo~8zh4ca zLG$MPpYUJjYHDk0erPDMFxhyzFw=#bgO4U3V78holiBga_(PvBwG99j0zhOVsVD-W z5}Xh&5J#cG67eu#Zh+Oo%(F)^7axr4*Z3SXN5fv$Y7Pc>S?jvlNz!~ux0S&t9R!`L zq&J8wt&7p+WjsiWY4;ln&8lPCYPRG3@rvr*5lhY5F}K>?{!R9-(;i+2BTk$ZPCO5^qudg+mR z{oY`hjr#4pu8>>3B+0?vc7}Hc`nBWRPAkqXn>U^AU2xOrQfYK>3gI5a{f2=8p8|V4)`S z;0y*h_!U=AR6Sw!1m#mrX6pS~e4m+VDT~bj`OxF3nyhqrLfzuwob`TLtHPwO!;(judVgfNJ^h2vCWIzx`1y`X3Kw+y{ zh?vAc;}ViKYNkzz2xt*<5lN{j2RaNH}ZeK(Fx4HUZ0%VBNl2Y5u(DaAHy`X!c89`CPExwrR$k}%bEy3!H0vm zKZw&f88%DqOj5_dwQROew9WP*ycLegUDK65J_)oswUGJCNWGCb$C%?x2D@u;30ZxC0FCzHbK@+yS=J zRJCZa6oPwlo#(06@i4Zf zQKyQ9%*8v-UDC4XWmOb~#u-gPk<@|w^e7e!YYT0HG>9xBSYyJVWr$cELgV(G07i0q zx(73xz0a+7=^p_O73PF;gq(8?sC&rR9^qNMUWj*+XHjoKpJf`4F*4F)ZRwG=^f+63 zlr25RmL4xlpRZ3gN>6irMQb>akJ)UstmONxTt{)4T1@52MR;YrhJ~^UGZ}`)ksOr~ zH!c`+q{6X8)WvJ3apH-*bqt|w0oRiX*&`KlEU9>NQ6#Z|;1p}O28=0=AktU?%!qbn zMCE}{rwnrr9ooeC^%ZX%3IvWkgL}yXx6IW>Sd6D&yW%gMP`Wpv^lvkvmE)F+;Z~$u zk!(f07136NTXDNOY$JsmiEbpak?=-B8woyVji0i@;oka&Z&vut3ctV#zdTw!$|QSH z)vK827sZcnrg{^F)YA^zqaAi^N^%|D441!8+-zd=Fgr<#|?HW2UyoOl^&szmYdqs*s^4gUtrt>fC!e_t}m$`*P#IYV>=I z`K&_w`i&gzZRF@!`?*dT6dPGFB}gO*2o-@51ib96AN-j4gOxy~_q z%R=syUYv#Wl7C+6&od#O1@R1sXFoji;b$NHiNk>2D z=%*b0jH91$^r}zrMV~xOeD5&vZ+(~!xm(XUOW-*(^q04J5bS@b0scq#d58Ssx9hyO z>%0@L^XAk4zw5kj69&CznDX*lB2PZ`^5wa=5+{W{uX_)3+MUo>_gkM1z+lbien)@r z_q9T>7w0zq79m(|u;m9;-)-;&_rFYn$9|gh)jYmKKFo7GzHdYN&y6cy=)0FoRKJq@ zKIz6Koy_jXDw{p#A5X87zD~pWhppaK(kc8s_xHzo$qy~~{XB9@cm6S8lqqD8z)BIy zELD(NWf^uQOl=ss70nNVTjQyiY+roc?mExWy|3AIgpu@|3)yu}+ORl^C8{{>jRuZS z((C3R|8R5LvX8f{@9ouBhx#@ibh^E(%^M3>buelT%cH+;UVE@vx7X`ec&OvBjY85( nYLyTL#gsja&0NgYL;kt<=iv7z*D?Q0apLxV@Q*(MZcRCCr~!cZ diff --git a/cpld/db/GR8RAM.ipinfo b/cpld/db/GR8RAM.ipinfo index fa2304dd52e67aba538ff1d8d0aba1434af3953a..6ff9cf3b07faba4bd9cf4474e826bcaf9509ce4b 100755 GIT binary patch delta 11 ScmdnUxRG%}2cy8mP7MGULj%wN delta 11 ScmdnUxRG%}2V=m*P7MGVLj({2 diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb index adf85893697a16a10def32b9c3988ffe5b83d41d..f46ce48737afd49e50bf75f2fe0eadf783b87681 100755 GIT binary patch delta 80 zcmbQsJePTcE+eDBWIaY*rw(^b delta 80 zcmV-W0I&a@1Dyk~Edc>glP&=*LP!9~nv*5vAQ2%TMreVmWn^S9U}RtjW3%)HQVfD9 mYW$tNT%94tF##o57&w4xCfz*B1LQDpLzO}lF#-Sp0RR6|I~+>@ diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index a702a7b0378fad5347da9dbe3655734fdd284c71..c6a2395dbc54780be05e3c573552ffb601b072ae 100755 GIT binary patch literal 12799 zcmeHt_gfQN)UK$Af`Etu(jo#XQX(KBB1P~h(wp=W>Agz{C8$V~4hqsmq)C$=YNUo< z1f(P+KqyiJ2@oJ8xt#Oe`#0QQzU(Kn@?_8KvfBHunPEG3?py^E-PzIitf`zyBYPiT zXHSpYlF||nB_waF_&K}T-IkJ;y8RFYl#~KWJ(QHbZD8-??`&&-Ti4pw^|s_~1NGa+ z_BOYl+d02EyK*G%{Mj&P0|NgO=Qb|>kEBWdj|`;S%3*>_7@J~AkKfwBIaukIQ}_g~ za4G*fr>B2H2Q829{o@0@(J7NZP>9yloeX+l#my!bc}eXVKtNrb_1XgvU6~N{9No2K)6GmB~8&&eLyjv;L8V?_qCmj$5$pGX`@1;o*}y zsrp3QfYs6WO4f3kWlC=nf990WE1Q$$1pCl;SqiVHUixA=T-VC;S9$I@T2YrJa*pmN!@Z`PXGqW`wl zDyFq>$F@5bYiCWi{K^0J{I3rF*AM>x#X>A-6U3qFvXXU{IvPJ8E7y=V&CP>*cw$3y zrnfiE?I&bD=8rO1UDY;`G**9nIQmWALI&sw=zp+Y@fb4|*rE&bsP=D(pQlh!2d+Fk z*fH+}iwfadK9_0gtCPCg+2EU^jH1yk0ghZj@X44|gLr0fh2E5($~K&L2ngqtY|ynty%t=9=J2?%{TQMcXkPcZ ze!~0f`+&Ji`z_22FV6n?_1@h)o86KJAzj5;QH8^$Zu^nrFHJ}#bYVpm6+ttX91p-I zN-WUc$kJCQy2c61%k#1vJb73-#QK#64sqJbR^U~iWB9>}TNox1|9g?l_0J#}@K+&3 zu?G0wuWaTT>0&yYALw>R`7;%luE?da!|3$FM$~5YVz7{=%Sj;q12aQ^WKKdj|F|2v zwaXh`>jt-vau59KcC^XYu*gy)P+6JN*Z+k794MsGEwIiZ%l{6`pja(C2o0eFhei1k zo<@hG#*E%07oR+4sgv-$`f$F`QYg$l+rvf8^Uk*Koh4+CK)}117PTsyeLO>m@}{Q0 zSmXDq8_O7x3$yK7fn~;WCn60oIl@^U?qV3Fy*nTGQ{Cq(-M#ZX=G~iqxUU+tSa{}E zOoM_V1}9$SdS7LVJVyMmboS!~`xlOTx2R_)_r_ul36FA~d8KKgRzC1>x7VQKW_yIp zJl1@<=J)1l{s)Z>JdlyiU^6AuwAMdvbCpl#xqIN5Z0(m5k6P8HrL+6i>NX&A1XL^h`Cja zl3F}er0$2y7ECmv4!8ZRu{KXn5kFO~daSqoTHmf$fRX6G9&De)_dayjkqxqJM4}1B z38;7ose;y^-d?}fE8~%E!+*7ME!5s;r^jb32~|<+b@zHn?KcmDsY#R5A=j*CT$0*d z9ZjERcSx%yyrD6wZIAp4Rp%&bpE0%F(E%pe1UKCFSIF)-Dt!7=RC*f{%pHNMaU?ep zKK7M?Ia&f=7K+Hpo3x2Mo=Y&RKeibIE4eA;-RmZM_-Ce7i*M!DMEK&WEBuzlrSP*> zfA#WaCWKbvanNr+x73MIBaz40=-38#TA+pE#L79xUhi39o}#7m?R<^4@$0#nT98`7 zxdsZkhPRPobjvBz2DSddV_o1o-=J9I?{TSKl)uMgkBM;Axtpc*S^k!JCYltW z&SUeL^qGlb2GM|orKIlY1!uEA*W7`8mRJ*S&##|^LC!6&C$0zZbAg^qPHeohOFi)Z z#*>1XS+P*}DH&y&+ju;_?j1a3F)c?bqW~QrJ=1SR~ zeRiI=*h7%wA09wOHxyx$yZ_CpzDtk~RWI^QRLwMoAvL>+qpMk@T8*qB@3dC0QAtS? z^zUxK*{2{bx%sTs3QmKc(wjH@c15f2sksHczHua^Xm5p?iu~ge915Zwnq&{S|7i@} zT<$P4L483rv~Bpb=2XR2ny6{otK{dTn~?ck>%!0&IHbuk*wf>VN9zFbPOPhYD{?z1 zIc7|%x-2`3NA_;U>ozer>3oN^Ngp&QVdm3JQI5eWlXb&)XTMrc5+zK|N4&d8gaXGZ z%AW79YX^0uYE-eZX)k?y``Je+{-V2sdw7m!gF^jKr` zDrzQkWZibC>8kr(el+z<m`KCOBtbwD)XPdUv`QOYv&K=4yk6V6dU}BPiTE>M_RBsxDM@s390CZ4Yn$zysmzf z-_TYy7;?3rxs3Obu>a<#^ANYf00=QbtvqUTh&(ly2R7SeUNY(6nLgY?&bGF>Y!87_ z-SML~z~vH6Hho9i>hLY&z2GFZXyd)tHFAlbPR6AAvngM={47FoJj->*=9-9BW) zZ{xCnJG7W&-jcqqiaHEy@*~&H-a;qTA%4_eF>zrEz|~)n+3ZO+;8^?nV$5PI6oe)C z|EhrS$M_NBe)2@orDrsoppvJyzHo=nV2J9uKQpinS?<$fNZW&?WDu&yuf&V?Vd#}}CUa<50l8qC}3h5)C+xx*uD`ZS0BG98_$NJlyAtRJ4 zYV*t<;G~l|6Q>IG8!2CmmV0DVTA!x$u$&puvSkQ)RWV;mNnL0m9 zo4pg5@iV?2e4@LoQ8wUiu=e%CiwQHZp(MV<^(qJRpdL^0>>3fpv?|lBSW4n{+25#p zYek;^rl&SM>YF%F?{v#d?~B2Gh1ryNBX$SCV2b4+a_wiT>j|OGO?CK?x1mUf&aBMU zZP0r4r)>K~4cFR%Nm-dxS#L1h5lz`Fe-d3 z=dTkDTf4x>(edrQO==x=Qlug&Lt`JL-Q{Q#&>i)#<_olh}^y-c7i+{E5WVn0y zdjv}7U-#}XENyg~^^qvUz_@c*u{$Q+sYLVHPjbC~;k5tPi^Z}frlwJbxTXeC4(x95 zHwDExz}G!)jK-E=_m;bKTHUrmYn#5!MczKwx8h2X8V-<~cZHkbKKx5&y%&E$#h-x4 z_4m*dU*1Yea~FK@+;-F6{+wlde6@>3)3dgBE85@t1g@-c$yafqZ>0B&Tlc!=-w%I( zpslW?NQ2pRlV)C&kE^J*BgQToyuWhORWIw26wmglWY*1`*ABk)lL>!vEsx4@$$n3v zmLfZi2TJZsoii4bnQsaAxaOOMpMDuSAC#v6_CZw3@?O5@;(mg%f6tOTNM3UUcDR(T^uR zti^s5Y&qL~NfsmfK1;11*n3?{(zf6(AX65?7kl~so>JY=EW=myIDGsktn^>aEIsf>R0F3@{R4XsmM4z_xQT{GP_-0Gkg5=k=owe_GEhZ^~iZU z-BpW+0^(vWWp!+x9u-R}y?xn>@$+qE4uLGDD0FKyIBGFIJpMSX{E>>Ut8iHL? z%^z7u`sXCO3z(j8I0vljJ~5>iTA{%ceVyD7Wc<^|(=ptmcZx=26#F73f(){L&5r~KBn zrm?#MTiLUajJUk;grW)HOS|Wy0Bs={Pcs!M|DiRPJsupcRr1#KU^4Qy(*`?mM z$IUj5Q=`{<1`4C*Jr>AKE@YE=eOHHVx7|82Ep@0MQfe4?JAlo|JZ;)PGmrUP2B0Or zFXY|E%NM|h@~9o&*Jh8xT9hXCy2I!)EFFBWK;qLqMEAb8xtkeFWXPvU_#U{TW19W{ z7QkW)1AA#2na@x^NWn4BB%G`GVoTy-vHTDz@oyDOcUWc%jF-MWTP6#n93SToRaM*} z>h_-^4ec<#PHPv0iM7u%jEzvxUENjd6zI6_>dh%Byv!%)2Rm5MeImgngTy}&5^V?b zxlb%R>yiEh{cdLk{sc9xAHwqtoYqzLr~_+&BcmE+_LzYC1{ZAOTZJE3MwcBedEN&*@UqRZ2cBU~~#{ShCN^fY&WN9VAv zNJwXJj2jL4<#7$j>tvsn2;4)`cp7hV4Av#Ay`&Kh296;-1D}{QCO~%7BD;2fxgn^xX8p+byToI0Q&C9?h2r5;2fvADk}ON5jgj?gkW7oKxpyq77r>Xrd){I{!GA z&QHG&d9ysyT$cygCR2C+g2ae>i`>Q9HWN~I7N>}VX3)3ogVkT(IzA|A$e;gcEl8J^ ztg(MZ-~QY{GNX#Dy^pgHVLr*^&63How3Og!CiG=zaWk_CwQgriFlO)6(Psx^N+(R#7k~DI%keg=c|Y4%ZNY$R0d82 z$7cKacX`5PUi)rsG}EhhG-9#pDY^+y`4G=JMYAvVLUhj0Srv$ z2cCy|_P5&Kmkw{$t2oYhu*@$|=*YJDJLq&C>i&&FH;@3iH4ukj!3tor(lh!C1%*l3 z`9oa>u47c2{*bk?kt6f9oI_g0eLl&dVmr{`p+q!+#u3K+|f$MRH4?&jX}J+4akqhWF~8V4Ug^qKR>c>hjc| z2JzK_M`tsXA^B3|25w7l!xEALc+OUH%HvGy&sS~TWY?NG1_%?^BBgLuh8tItJlP4~ z1*B}(qCRUNS?U`hf}00|M86d1=EKb;eA}Bj{C9ujIf;`A)aSTE%CHK*K_P`?SFCB?AWfAjWWka3Qb?mweMe6c`3UAJ3U<*$Ximf{e}_6GR(;EE zmoGefO>XX+;YQ)W^!< zRHm6F#^PW9iM-X90N`&3KAi%oJ>{=eq;HOf8WT$qcY&R1IReNzu-U8>zf~+GJKdj@G zKs2O_$Wm_>Pab}^h5mKIy)E;GSx`n=p$lfTAgS(2(N znAoW2x|~6|>pP^#{MV=-$E=y!n?I=9lICo1MeOcOq;K3uwk#87 ze-vPnl5ctqLi57kCx^wNs{;Yx4MO81;98fIgfA!ivrNC`bxv$-$Gbwlg?-og2WY88 zV2leW**LZ=o=M8Lc_|dNS73xul0grq6LLq%Qa~+=ciQ^oK-b+|#X{s# z1r@>s(!s@8ibyQyMFL1JPM&NUF4N(F7Qc{tUP0ES)tk-lL-*OLkEa>IC&dd^^Wvtg z2GK--Ln4pVGGID!Q^u+ka7kjz$t3cKZLRE4x>q;!o|ogBLx|q)1@bPwfSy~3ugJ@rAehW6G*jm^v1lIu5_B%k(wH9LpQGDr9IUIy`l?#Q4{jG0qD}P%H z8*>mCsT$>Pyc_}c<&!Jv#4>%j1#K74cg~)U!NHRBo`yQu)XZb1_>}yQCZ(!J194g@ z&f}K{lZt7NgV9M8gt(;_pwF|koN{$s;+ciN6ijA&PpRcdq2Zd+#$~MfUa*$^DOV$$ z7Vz|RWPORyr*i5-#Mgh`D^OtJ1QD;QReV~-3U{`_Yg0j24Sor*?wD{UoIJ<)G6jup zCxrcYOJ?Zr+|j3+QdY@2?v#Pe9%&h@o|IDd6iU^p!*5en29VxUzxOORzRNPFr=E52 z7n|dE$K2pUbz3yVA4Xy*_{>Ern>}^ykdz?SHKudnnv3ly)q%pje5m%uYk-~U4vLl| zt(snmh4ZkibH>vkSYVuyAhES9-x_QC1`eSo4YDJdod_7wjC(pb&N`IAhhRUBdurR0 z3otdfG!s1b12k$?RcqlVzPJ{tH7{;n{;syQMzBd3yn5!mSa&+ogPsu|(S}gxP=Vhj zV%xExmD0PXp@qxU9+ddl8>aPoB-|j?n$)@RXX&!a&mulBB8$U6%myw9Y5*mSb#V1b z`a}fZxr(|9%Vo$!ceXS+>Y{fcvolRb4ADEb>{v zm%7Fr4hx`js=<>c|F4#pvg4~hFK_QG&-iHOX4b@HiTi@z`*EktwBKX~}W#%SXTo zbGk%0pu6f4(l0N20Mhtg-fppKYWx$%lTp?W@v+>X`RJC%1Dca8uA=&s%-kP$EX=92 zPi5#|n*H8pdvI$H>-b8nt+>LLX?a~ZzYVmLn|kl>d%3b(40Oi6VN})5(0_Ywyx2L@tzD!()plmMN(E3)&__yCc!{ z3HkctB;X!+^AloWUyw|%7;rzi<{wD(yZo&F*CsWSg4F6;%I^`;l%!PROglnx1h^)nbMex%$Y4q!%Mp>Wj! zY~y{{F*$PqNQwmt|Cv3y$h&7-zW9le*H+E|tmfWpqI54?AwZ2t-T1EbPcFBI7=E#z z#7Np7X|HZA_NV?zT?dv zR2@V9ASg^6t%dWD!Fj+TfD=ragLBI^CQ34d8755EDgOXx#p8H4%} z*IB|m)5I_<{iG}vB_8q;`O~X_vDi=<@K#xeHy`cm8%Io|&Z&YPJQK#UZPdxeIVaw3 zRYZ-Hzb%1-Ka!9EFX@L1{y!mX!JGa}ST_EOxwC~LFhk~86XWTy5^=+&} z`J}DP@7+{)Ue!V!ymY?mwDo7HB8t&lAU(`%rZy6x#s;2gytdl34ZN@UvhFH4H^8^b zYwm;mmaW*ZBk_UwTPd}_~|P<@&$M2a zxz@ZOFoPyT&zSY(vNMQtbv63++ko6Tel}%&>L%^DU%_1x&TsnRPI{8a}zoMA@U=?AHjr zvR5GCO1PCE52@YT`653jxH@m>l@``Lx?kk2O$7dV!r_=3E-r-MnJ2bZgK61bGb3%! zH!he9!1k^CMF+!g@Oafj&0@nD+AAExgKI{G!>x`sY=)0==6a1{C$RL#R}nMBol-Co zes=X&J4F=&6@G2aF~EO?tlhzSwC|oAS_-67J+&bl^?36k+UyhyuQ_5M@*3NF<>y(* znEPlV6S(&yE!9g$bOEl@ZUK*N0Vf={l;TL7hbd=g96C|W=%PXceuspfi58hM+lH6! zwxV@BGTMq^IvtQLaqdXHAYmZ8}&3qapb8Q(@RlfRi#mcn7NvJ~5m`>2NH&Z-A#WuCuhsfC*KXRQV?t z`joutaaydvqgYI$tHfd-;`#`IHG3~GGYqRqz}qakg@lOFtc1zyoT$d2UP9vG0<7o_ z0AWsO=jzvRwdAjxc)#b?tE+LWiJ*jcQkcZImw#R*L<2~-6M+kGSgQ`PnjS5F6Fi4Y zkHA4Q=8eCPu6G8BIIOrH&y_&EzMaM2SaCb? zXfcsbE&WWtE?#H3S(TzMD69n2`*P1od%LFMkK+C;dD~yeGLq!8-Q*I4Zg}77_;SrO zrDe9~Zbc(C^piujKzky0StEnSNZK69J3@Y;X=DAjD){p_>XGUSRX`L|L$QsejG<%3I}-SFzeBf7<-kueRX?T*0y(%+%+ zY0h!8jNU~GJ4H}FoZ@wKo%xpPk0*cIs(yxvwjpn939`E60>?aLz;hKJYW-uqom(QB zzt7vCOHTQ64YO=OG5$oddW^SYlmp#<9-;L6BEJ6AuH+R@oWh zT6|R<)(~bESY^l5oGNVtFQfErf#Gm!Vaz;SP)7qiXyDab?3`@@-dpZA7p>-SlLk*S#BF zeZi?-qoND#Lg*?d>UL!)^7M5`!KEk+H!sW!VHT9Dk~6&kvOilr*~M$Kft6Y|Zte;jE z?H3zjrrppxs;@GvO77%ke!^IABh;Ifijy!nX%FxeN*`io-H0XaQl8cs8| zJ!6eB+b=NxF2b0(5(N3<4hZ?FO?JVZ2YlM^4e@fCZWjTB$Sj5jW_x{PwLxnw|O=#=~XStmF#dF&KAF^y7Jo6H#xnE{ZFMh(o?^at(OGSN?G0d zYy4d>{qYBL@BDB5r@6Uz}3l%-cYhsN)&^~U_<{dQzh>;~8pc!=6!oD8UTb>sE3#ka*aMX{<77sEU zQc|5rj$pk!7+mA5Il~x|2#^7vmUMLR$iRm$pcRkmdK2f4rh4fW#n)vNS4c~s+*CrZ zy_(V;{zNVu^GUMo4@^7a7tiSR9lqaBhDsk*EiiA%TM@5itpf1FQx+sz`FT~uhEW@0 zZ{@t_m`9wFQuAv7bd;ETodqfb4u;i9KsOuk8lPdmfHKrSy*;O|d0ihsN(x3_)gf15 zHC2-yGsf(kM}?P+c@So8yG%iexzW;ogZP{h*3GIycOU0bpgehDCZC<~27X+Lg}0`$ zj*)9q0`gbos|pdaC5!d6vs-9Uftrq36QyKuUP04-`wggBc-dzLK)C^?c{`ldW$Wzg z#gVS+zn#2ln8mQn1hXiI>is&iPmpY*Gq#!VYfDtY^8>45QPT%Om<)LT&%#zfJUaZl zdxwz|>)d--%#{5{W-w-u%CM*o+uZ?=8fy@CWh;r;yy$)na*n{vg_B{Jcey-@G$F5ewGr(Yf>pn|<8E$Q$J9wX4jWK1vz8cBi^wO zVe3K>QzTZF>!5@|6Ec-`am*E87FQ2EY||hjZsw+`7uU_Zc*$0n@=u?|Mx8mc$NZtU zLe==-0dMvm_Eol$fJF?_m2z9hbqj^g2isyHuDKgZqDESjKMQ@~+!hs9-YNj@b^c2Tz8;Iv z^B#8|8D3$ajgN{@JkGbt5OxbLss7(I>CtjXc_{#!A+Ze$^OB_hCE)NvArm_)1!FIZSEqb9noL+G_oNsH z!L-{#&$#c|R?c>0T$WCLJ4gDVC@wY~Hg{(@e3LA9hqXkuOKU|rj;AG;n7gtmjE~dC zoA5uB_!Z1r6ma#7N41qLl(6<{a1pCp)p6H*>7zBW!wkIX9HB}a>5l4{^`(4QM(^V^ zyPlxpQ_FiS?SYAJX#aF5p0KSME&NrTTyVm2^HQR@dHr66qtL8}Jt1;`&f+{g9P%H7 zFfQYSiS!pFXy4dL%_QP(Lv)UWd*i3rPEWZl9koR)9FIb;6LNppbK5p_9)!y+$=Mzj z&b$?bRfm=>1=5a&Q;z4(a&2hVYM#hKNh3G!fl+wblt$ZBpJ0`XS5{7F;okKvTzkvE zsb%8dX$vqeUiHWNW$8hp>+zezCtB-%o33hYmUl8Dvwk#k$@Kk2u5D-_Rb z;$QLg1Q~a*akk`Q4L1AKaVb`#Ri8p5vpzC`PbB+zVh5$)oSJqjGI>$7*C6Pv3;@-{kU$%Zp)xBhP?sNktx}3fHH7gxQn_3}F410uu;V*I}+}$S?oy zp}z1?_^diP(hV?FXkW%q;*NFQ`!|#yF|9H_Ev)DZYzOyRd<)G78 z7}{&R7wBe|ZhSZ7Ca!mbaDPr2$J4?%t-Qeo?E-0_;+wli{NhA42=Bp2*!PoX?GM|- z%74l_W!TIxf|qkDk7wz5p*{Fi4T|G(o2BnMMRbG2J6yOXJ&A{QGKX@Vl`|UuB3W|r z0t_fB-R)_B3{y6_^<|vTt9!*T6Jqqs8oT)@@70+Io3Ln>&_$rnUSCt@Kcr+h1bbxm zN*=w#kep#|%KQgeG>-cz=Fk(=zO5<$?|zL<(#MLCgw+;3$S2J%ttrp5IH~l(5|#Tk zD0LMJc$u7$t|#QP8&nq(jx5WeeBdSJuyFGIiS%CKZO^x|=vNkJ?9#%iF;O*0%cJEg z^*!#`{EQas^HRK@q(~T`vmSWw>OMd==tK8yw_i4EdKb!1H4Q8LjTK#1TU@#_UoSY- zZ#1US?&K=jy~VSWD3rKnE)0!hnEb1N_^pVcFHLT2(f4F#3B~W&km}sZyGYL$&)d95 zTe}IB=43kV6^p=t{R2$kN>`cVq1q{&48&}Vyw=%j6r>~ZSHa2$t|S#cVebm?UJTXS zY1*d23gJAB&D*OP*ES2`=sP*Bqd~0wTO;ut0uuOZs}F|dJrmE(yZqW~dyly85pAvW zt3w=}m5wP8hRNVjifT2AW@8$sEK?yfC79j$)H4f+gV(w@iV^G*AmG-|`tLCEFpmhU$_W$csnN90 zT2CzQxnZ!oiVqts%Z@AM7@F2^oE8Fj!q$ARss@*{tF}z_1($ABt*eHp5E9t`Ufhu)eD+^nr0O!)c&h`X6r z)_0-OJz%oMuMnQZAQRLi(fVS>I&PMo@D}7S%G4~pY0U`r3g#;g?WztGj~m2_4dv9< z{9+jdWHbryNoR@?^CLZo{rVP`ME(`bQoA6^Z+vg=*TbJwq^*K#Ryc7M*u@tM1W zm9g-meQK+l)okLMfQd)NQDZ?rqJpZ^Z%11_h+cRul6&>?%^UJ416Ka;uY&Y0(k`$q zH8qTA)@H3<2zi*%D2+I7I}QZeKD>xKxBCm*^np?0>(H&$AOP`NeP zA|AncUhwH-MblHtdrf5}f>Nf0Ztsj5D-3&*TV0p`p@!$AW1oYLWqoXiOQ{hKtC zo1N)`-nTO89W!%lctM}{W5SD1Rb$8Dp2EjQ9$%3kcl>XtKX%c}U0B7CuIkCu3uPzx zM~((RGBtg!DIK$I7XkW(|Gw{4L%2!lI0ptWKt4X#dl=8qy(qPlTU7x&y5&qaa4nEc zTHC82C}+j^26tVlDzOimHHBKIO5c9RTo7|5!ikviecC Lb7dRwpD+F&$g!1u literal 13781 zcmeHu_g7QF6R!$n0SiTX z5J;r=BE1Jl=!B900Yb>j_kGX%AKnk&yXWrQJ@@SF-I>|>%)=Fp)-`8+Uq3f5PYFe3&<&8H#9e^7m|0RdOT>qs5xBg254zHwfdT%F#-JtXIqa&aEYvc=E4O#>j&M?U4L9BcX@bHe)bdSkV(^(@|!G_z+~c`f?U?1#4V@v?J;g7Fb^ zIOOb~HvjnLnLxAuz51Ut{%0HiUoQun!Tei@-mYB{5fQUEf3FcHIVuV^6ZJx8=oxdb zqIglG@pnNL==l8hMmJ0*tJzBl>x)F76~N$>UuN4*vzrOlYs=^gBRQ4fs@~B(?}Nwn zlcS@C{%Nw%e+dI1e-!YOR+>k5n|=aJq98Y3olnfmpG+}!(AG&NT=Dog+;q=OaBGYGfefV)#XFqM;SNwmTd(5?ubv3%nR(S$Ojn;rYGd za`^B=;0vgY`efBy^5|L}&GVjsolfB7@hf^|f_@`YsABUA18)mnxr<^dXKwQqY<-Qm z^B>k`%qoZ|wJeI5L=8jgiWqDwbQ!{_+iazza*QaUpem0seW%)nIKVIfRQY3ydBV1` zemUcBFjE`6>(r^doItUtT^uZ^13=<+?_k z%veKfs(xa{g|*axGxzse%jMitNEJ5z7M_DD^dDmdD&3~;A~ki5&eyx~Z@GL9yao$? z!&kK6VgG)>XCac2f;H4eXSMJtIje-(HxX8ZyIN<1;EL}P#uh~%R6zIaOtCIi8?)7f z@GTeQ#Jz?DXI44$4{U%sqnNgQYtG$WJ;B|yIl>qA<($y;en!>jV#hy;KS|md0Ncuq zhwP6*Bgxf|52<9ht6%Wj*K&7OWE^?eGujB5C_h{Q*vJJ|=OUQJ&ZIIP^$SO5uzOb> zexuiqgh%*_Kd+i%JULuS%p;|pV_F-41Anbv^lML7idM!<6djDcpygK$6_T4|<_FKm7vb~?fo3{2f3@>5h~H6;zM=b>JE=h#R|YfOM)7kDFCl z$b!AN#=8MyhVv(99nRwWD#FMzR`{WeG0Xdtm+22|v~hcX(^X^?gu4a8oOu{kVO?)` zyo8;pADte7vL13?D@%E^)&xGwa2#r;Kq}-BPp*^i`;_M5rCBHBsT=2xOERQ`P%6QTrn|3^dc>!IPfi^%Fb2_ zW(m%@E%*U>(IZgxMUi89FyDkT+Mzx|r|Fgy>`~He3RxElWtl(E!$y%C8@rkMbFC5| z-cgrjEC;XR3a&VtXb4d{xP%53g7wuh$aks=$9jFOqKD6`Zj>G?4#{BFWlDs?KJ zCL4<6v7pIzo}kki8VX*EcisI_{wl0p^HiF%N*sEgqF-86tXM$}C>h)EJ+SCja^-dS zN!C+U_`S{dDtzSq%?s+baCOf@Qla(WWc%nlN1pPH>Xwz6q{isxaxz?#DA0pB4xjb& zqsB@I6!hsTsjG^XxNPj>(bh#$zYV2xAwsTxt05&FqXG>vIh*{wl@;f;P3n-@>gfQf%q3Xtf21G62~n{eu)$Woujja0F< z78RKC#`67kM!9&L)a$1pobD|P!+B}H9 z`{%HB)vW30tubnz*jgTJRH3T?Gz3lr5Bnc?H%Q~uJu9(Z|e-~R@P!ZGi zJ^DUJ>bF&e(~d)^c#PFtTB14MP%eu1WtnR0t zE}1e7C?1hVxef*WE`e8fKP#!o zMSfajSYeWr;i+ReelfJbm50dl9gK2i!!-Tj66OG6Uj$V?s3p)saWvaYX+L}!+xw9J z9A)}}Imt`X&2(@SCa9k7n9?N~u(d6Td}_Q_br9D$B64C|TrH!sxm3)1Sy-~jGecn8 zPjTw3F3`QISXDh|?otBk1=2>?36lbYTNjJr2B;6(Ja|}19XmzttR9?x3i+kOtPZMG z#Rxa>yOuVeGg^W7PH&7hD-y%y-ai@@4EQ4@(9M@JFg@w{#=acxV!C{^xDT^pfQHGH z8cUtJqsOy1I)5A){H?R;rkL{18xWUD@iF*?IP~x*ib!rs8U2G1p#i46MMzf~tZ{?BqZEL>V#!f=1*Sdsixa0YMoT{%Rj zU!1g+;!Ba?LdR_V=b79vL>1$@+>vQLz3{ZQuvfdj+YLp8*aByd(H)ED8%qQ$Z42hw zM|lz1RfO)&gU1NWbyK*!n6eYoZ?AnUhks!`;&T6uzUB*y(})rXndxVcC>>>Bn9v=+ z2_HzvZ0f;UTL;xtvNp^k;{{Y)Xgc+}&EgkG>CISto<~h$atBLvORS<+c0xyKjCU9<-r| z8!f499G3cTVqAfc6)q2S7?#dyU%~@ z#?)R{om31>tY4gH(ha%u&gOcTppF!9!laKwkPW|R&m*ynoV%(%3Pyf8 zXW~?d;m;Q>D|r3SN18&fymhO15udG}JHEJHtKC5EkslwdoF%CXz2On+ztfSqdcx6& z>TD=mAL+EbD)w=r(^_=7#%oGkmD*NWhL6{Z``P&AQ}|BT#YoYeT(MN%p902tNB>A< z3k;m%x&zJE9C+m2HZ0Py>mV4WI>ZdD&>Ge?iX4ywTgURSM z|B$0EV21vDdhW>qtspI9;oSQA81`3D9RRL>t2G7G2 zTHkrzxySUlm3nk_fm`FwO7!>>@yw%&cMn2scvMQApdH|{-xvN(yxz&~|N0@Nw47?* zcl@`bNr9qCkC?nrLt`!PRpY9$D#%CAdcD4{Q72xyd>+qh^j0^QGw12L3A(Amg+IM! z8qPFV+YiXHGg-6|3kgnDajUyjVM5`RWV}6HhAJ@E2;#Xk)gE_taM6Z6sV25nB%XKl zHHFgr(UesMXnkckQK@az4=~t-Uq5+)dPU&@)kA@|$zY(8Jmex%L=%4OL>W89%mI#t8vnmg5=%u-vsU8euUx3G&tURqbb z_?l=MRb{yqZUMg)Bw+f)^2#PmYg1U|ULNWq+NH0EO^0<(2Zv>E;65o?9a2J5R^{k# zKm5okvqG6UOGhQg8yV-^S9xcwl&&pIjSN^4q?b?ZK8{&yE=5vgJH|%7$}q6;9W2M> zxuQ>h-gFe&+;P$}+j_`fo-WlsQEgYpAb_4F!}NzW6l{!U+0x}P>`#R|p3!1X}W>*RJyua^zZoU{5ZK8?|kTU_v$wgsTe2TG_%$)<# zRt=9vCBgpTO!jZvK7T@GZ1Mc@W8a3Kjvrq7_ zhiosnlzp9n4J|QV0~Y7~AYTV@kQ$r!^H(f8E`Odcid#z%!%Gg|C` z-9D7viwwe|)IPC@OG`0d%G#F{mJjYo(}~|=4oJ@zuTogurEoUxXCURB9@{IML(W}SUXt}o5Fy#%f)-_rC42KkP+rKUXCgPt1v;o2q8`{J=? zW_Y8LNR+b{Dau*nIdon#H8W^clCp8X1uNK%Ro$Lomn2ShWtHdMTI#+P`qeXBM|m=2 z+ue>(MEx$b$bR%;_0aCKOW6Fi!-04AiHeIc!@Jq$IpPiS+b{zY4bo{z7Lm5#EDoah zIeueHi)hk3@75?iXyd}xW~DryoBa@nwPl{9G+xg#`S1~Z&RFS!^B$Jc^TX9MO9`rx zu*jaci_?&kH<`AMoKO38O5WeZjuLeUH{|=+ zwJh=7TeH0rWNRAsI8i74hxH$1TNbaZJSzy?hR&tzx#r+ZB0gci&R0 zW1?VcHJ3UdrX#UYiIssF5R)KXqGU9+yh+oMNlX`aXJD^aChlHttu~hXlyTVnH~r^z zhAw$u&y^T)6RJ3vzRsHMJ$P%5s$)RU(DO9w3E*h~%hJFR&R3OobMIcogJN2l4_Cz;sqgi)^pt=??nC$b9W>SMwCFUpyn)-= z|64AMn!i?cl7x%v&~!*XN$Ni9OA<=%A=u?2jX0A-v~{^O!1tiVQYA83(vPa(g3fzT z^nj#}?|U=HYOekhN{S&E_&kDq^10cOeJ-TVH>#d1_EAv>_(Jp)){Xam|1s87^;1Pj_){)Ds?bqS zy!bIiG0XTej`3cXlFYj5*P&&*EFU8sqEL(j2kTOP90tWUgR^xhWrcp9OGcx2b#Z5q z$8CoAe-S^*vP_z$HC<{sN!6dRi?ki*c4)i6*!98{LA{lJgXxJ-!6oMp zh}Ky^pug)4S9|Vphe?IoquMuUg^z6L-N9M5ga^wGT5>ZtPs_ySDy#}lZ)PuF9>0uZ z!bh|)aWjKFTM12-{WxP}Hr^NtM~r9kAiE2(B_O$|S)lS9&(N#y-~Dy{Jh14ZnnjR$ zYNM3cT3R^DJp*g@e!j%nA&>FJiJ&HG2dk|B8#ZtOkxfTeB_EBiE5vsC{K0%NjQ}(7 zjf*VmBxo*S+<(juk6`Tmt}XbJU#}S2zWWO83kkLy4^^h^BpjzD&AW(z(lk6~kd^_R z(2ED?QgoaCim%Tl_RY*c`k&&X6n=~$FnP;+1%DUM=M5H6g_(JVjAmhLrv5EeMw^qU zO)48f`*Xi#7D#QL{Tw{NP`6U&`v5F8~a=<)(zXn7hMQG%KJ@_x3G_7}iK_vxb(a$-=2y zp`OX_=~kbHgS$%d z7d@A0o1Lx8Z>s~cNbW-1llRPJq1vyk1QTt9iLtaDS0ItwWkyG{uEKhM(p(5SC-2GP zIZe!B;$08*H-!sTHqPF0JE-|_0~6OgS+_*GDw5m1ooY*4gO(B`Lbb)mi>`}q2_g-< z7c_H-m0r$@Lfs+Gv_j8e-2Y>N=3aD=mX(`W~_>(($RC+}_y|X?o zrNJM^{(Hvdm~=YD_m54`xJxXi0dIDNuFy1b$;~d3Jdv>KvA;NmV6W;&3VlN$I@Z~p9)qT8C+krVweuQ5sQ1T(l)8CUb?KXVyXm#1vAhb=sJ%N16@mxEtSry{Ny zmQAf?JQQaRk|6hEo(XT+`2odAU{f#oXo+L)?ZHfD_HLhT;k0M2LO;ns5G^s(a=z)h zN}=e|Eg$!MTOc@FaNNDjmk~D!jX7}Nh8rw8%X#4yYm5iKc(vKth|{{g$H^Dcs0nYk zW0jCFg(1)@tMHQDvQ&*K&oMw6lGAm1FQeSeN#r|IlHuBkqvUbi)IHo?#YMy&Tw)Wp@ zp?ez5Ozkb+T=R1;itx%X%B*Nvs_rzjUcV9NlfN-&eo$kngvLB-1k@CMluOqiwQ`h> zU>k@B_^$uFlWvO|eL-K9K{nj4xX_vSx*kAB^z^UdeNlxs*k86RV#ORFzL32$8YnH) zk#HiVmR0(B@{`g*q2xvn-<&MIJq#-tEz}tTRZu*rqKJW!1DW=0QtO^TEEZSk^6exq8cfoTC z8`aC(Z5L=x>vx6Ae(Zh%Z1#P0t1 zhEC-5(K1LP_U~0+=^8;)-&ofzVC$eZ(fJi^Em_cp5?R)7CxN}cF@3rRv)()HDBbGz zPpja{?W4?npU2dWU##!;}SYHDZYj|1y$lKOU zKK9m+y&JNR>HI(93xR@Ak3EG1qU-Rfpk%3Cy-6?qHopx?5J~3m89{H4qHETv8Z*8R z4oBlD-VI!~$mrjhTIw86nFy3xsUmxgoLE_Le}jC%NINYs0~3p$pNKp^sd5RxOyVJn zz=%2MV`-PK`q35aiSG$j(@mqeA%^eAsOqBaqn?>|LAm1GgYsQCK6^rPZD#u(wkbCg zSj{&Kg0Z^`=S?uLtDKtvqxk}*lgeanWShovlrm&rQ#!QXZf-g$1#4OyWK#({zio4! zBu%jnpEs>^i8b0e{DDCnE2YgF%bO7Q0_t(%fKe61VUK z!jdRW7vQcA@g8Z`^=@SS8JDKSQl3TRw;NptLBr;$(|r2@#)SyEuJz+SiZz*0U4XVw zt(W}a3jK7^uBJ7L{&(fbcI7J(JIU2I*mcN=SbVj?UO>+FM?LG7qkGi1Jg`?jnNWlD zIq&{<$5Y-7t7~N!*P<7t3X^o&I){WkAE(b|K(QB_R6B@g3G=GvlFR}UeboGbg|Xwe zJEyWaFdMvmaZZkqKR}ac_(QwaN$d?G#JsX=0GlfBM{4Yu?TC#w8My$<`6oiDkp<x zvZ0Q=zrzPjlp!n3=zX+rliiBCC0?7;MCE#Sw@pp`9CDpN)oD2yK^MY>L3u#v$-Oi! z&pgbyz7iv*+HvooM+l&4gxBrwM*e++zP(qERA~+Y)ew22Ox!uunRA*$?dsEq! z8<-r!4KTv`(N!sjF*k^!oOMIjMs-3@Ac(xtdp@b&s>~iHf(t?`JQj|Y!m}09O8XFJ zFdcSIF<85ypDyF_pbjEEbe}xIodN{56U`p+vmBUPnMM1OfX7YB%dy;DlrRF`Hw)_@ z;>}oO3Dw6aM9QzG)cykn8MqfI15+(S^)i5&t9% z(6TDek7(AeT4@(srf5D>BS}`GwiS7o zXV)hms{^Npa7BSgRO__n4s(+_Xiiw)--7jOHi{^f!UU&W$Va>1*8;pL&t#$xoC<&s z2yFLYbO)X7cQ{(Z8S@U~yl_;fKx66=)>cXmV5T5U+Qk>q@LAr1-!*LGWB_x|Y-K0r z^@pXk$7uKh?`-nUv*{$#*P3dxCatCksUSmCYrw5$v+ArvZ2eiDtyo_={kj~OVXMi3 zwx%|i-SmO6Qbw-4u#zcmDiOh>BX(R6WMjK%&CFw>>qd55d37|e3!4dbjfN>A8>sAu zbAC$OXXXXUCRIYS#tAmBv-AwkM?>D0`%q@?vX|=XG*v>iy-r3SJUhwHeC)kxPb%D! z5oQMPZ?9SA?wm^-1e9P5l5*$ysN6`)I!41#bJXl29mNy|>&0`Eh3CRM2&b3ZU^c#( zC$A+9(M)67J03bs&~;*TOt48R6+q7*>kZg>OFIQ5!cV89C#*<9us?vLfxr~;ZcR@g z$i94I+SL_XVPuDP_0#eiWo7=FC&kfyYVp|O3ba-yL?S#(@3D73>8$8h#W5Y0d~N(F zr;Z6n+g;dBAN}K7rfEhPX1LVVD23K@T)H2ba*(1#a|KWS)Cjn970`pidlNHir<-Hy z#8PW7tsSk?R18(O(CUFEeE=3uLHFDd16u$1mUk@+ImSRsg(tC}&cu_;+ZnoP=>jFZptiqF20phRg?WyPvL88I((^P+Lt8QIdvXV@# zQMayldwBifa-nL~}*9@=A&Sm%k} zXcu3W+8q&&=>KJuGOpX^&wEpJPeuoUYGV|nAhgP3 zW-o!e)|b76nFmHnfRAbR7T#BW{mEt-3=&UPu#fQrT}gzTfjPIjY5=K9tG-@;wgxBC zj+%siNhiYVv?_ah2sNF3?)-48`L#d4J9LwQj*z8rFS}D5;h-hiwQqb*j#czs>GL-6 zIqcGnZJzOcw<(slo$sV2H)PPhQjV5w{0AMbVhCnT%*=FbjkOYh&P`TvW4WaUvl*0% zpxc~)BJR;ieywY@MkJ1e?wl;>*J}HEZ?fw*j*)V4m)th6ZN1y8psL4Fu@HCM)H}}X zAc<3tXBGLc#WUvX2ze5q&7lCm=-0V&oBiK>TG?Wy8(u9UP~N>WcH7>L)pyj9MN4Ba zW!6mS8|FufYRZ01msXnFJm5DSQMUKalQccmigQDoA6|8x&PkWfO2iDnYvwoVdA-)7 z$B3?-HD-@lJEh)$j^XgajPt7p!lKnadEOjN^q{J}8Lb?Jbn_tAc=?$vzs6CL>knE{ zlEXUK&dID3?Zfd3+Z*EdW!4fRU0l2U=nvPA)6)=xDy319Eq{fs^r$tGLsTN^x}&-jvD__;aLq77H6PG2^^qZ*4``cuH<4RP5 z5Z3HRf4}4Nb1;>dc*2B+88#i+I%5yCd2ZKAf3iYG($<_Q_9X5y72)y9x+jF}*@XJc zL|W&x=kQn~o~UcX?weH>y{G=k9a^A(jI&y;Jj{aAB&2bSXWtW534!l#Xw2VCPhA4U zcl_!B(w6@Td1>h4CBHG=XhmZ-gS7sVD%_>CIG-;#?{5>HRhU?7g!^l;8>Xa=-18`Z zIjg$jb!E14V;1)&lNf^{enOg$qDP0GNb4A^Nxrd99~ce$t#Ma;zUD%`{+3~_&E&F} zEKd6s8o1@S7PH7!v|}93uiQ?B^re$9b#|(gG)=rUG-Ow8&uo#lFcYpZd2$B%m|q7P zIq#*KdPQ)0D%i`3aw%eidux0~Frg5|#$k^6D2|)&Vs1k=EwQk?py^N!^w!$^aX^;N zO9i(6Iy?T8nx(1mPZz}x8akM1esAf=$~1<$RyCpdwrMWj17E z!Y5-7e$?okV6;VtHE!_u$L^tkBYzI{X4e0+jbF${s~fn3?7kCAl^JP0Jj9jk^9N&} z8!9)NRqOud4t1<+QU~PUgyNd^@2>^drREIm>0c@gqb87`LgX^QqpRd=eX33Fi6`r; zi>K@IWdSqcX&fB)h0sooD=YhC+8)G@wuifTw=e_lRGng@@8cD&!g#4woNa6f2N;@P zqA|zA(Q7?>cwYVk$AhIQ4q>WK`_lCn(1o1*gEHOBEYp$+%}QrS4N5zCTluH?-1poM zMn{_XuEje5$?2qyg+{GCtSTX#EhIe#nN%trdyD+IOj=GqUb9X)EX-WdJiK$Qzcr?> z-I|~LdR*h|KEr={HT_?N)|?|&Gc@a9kCocf)TM=1*_2%TWG5-J#x24^cRs4yOuvcE=*lkK)mDC%~PY#N!mJF zaN0-uO?!i(m#mqO&1vXTZuZP{Q$VUOD{n3yn6#TdeW$L*kT#hKTT7|%Ik3IPyG1n> z=HTe2rsXK^$L_6}=IZu}HzoLhCbyxHaJjkr!i8^yqD7-OxLMGqb`wb3o9gZXz+AH% z!;h}L>9tU=*D09w$>$V354=R>p-MYCLI{hlrOAwHj3v(6G z0f8d5btgmXNXDWtwzzOje}v%)#tv_^+9S3WxZ98=!RF+_-#DSbwc5;zZ-kBUsZYgg zmqraZ;3_6L&Iykp46-FKz1AMs&BA~=y2qVjeCPPO=(DSe!sEMjJ?!=^feIe&Drn=U zg*SFnmr_V4mjB_7Vm5pnw@yr=LPwJmUyLT_o(QidweRR^eq|?;U6zba;zU4+-Rm^e z75aK4x?|)Ze((oGR$+_*-iNDoJd3|qagzfI(Sc7xBn@@FDKdEL)FK>Yes82-a&M%P z)~MA64*ySp!pS+m>qtSGCf3d-Z1e}%!@F*k&Qmb_I%qmow^b4}m`*ZhKCi>Sp{n{_eVg)u z2O?Whgx7es$A1}ssODxTjozA6O?_ zVGR#f4D?J5H&{ADKkK+r&rSPK8K1I=zflM}L0j?wfSa@_@i-B3X6TzN4PUzZI=v`; zvPUqHdpWjQsBfQ>I+zjAGNL|;nDQWg@>yC*MmGn@8Dp_gT}AlQxS^AYDTd!N7U*}{ z0BP>KmFIMrDR~EoM=RIP9T>CV78!W3jd8QE6!T~uwAlxud%|E-t_^) z>W6(Ov^b0a{Vzvv|5e%V!ectnBF_E&Sooym75d1iL1WnVzPsf#T~V}nN_MVtb(~xm zh&1?X#>v2Jjcr9CGs=@X}wIOCPfqk6*qwp> zNjp(-X*Gk#XHBj_%;H^E8b7r-tB0U)eo9E;FZb0;<7&vlCl=#GFZKUy_UI56zQ0$z z6dfc}t7wMc>1>~;C<50fk3gdZ<}_PfqB7CVJk5TssguT7UC#K9=#});$TFswG5*ll zN0G6Gw$RF7;9nE$`fnQH+Ff=h7+-l;GwaZ0Lz>x%^E0^qz4}PBS>F~11J5L)%RC1j zGcPXJIAuYHv;-WWf{E1L)}-aPkB}fx1CC+A!RpMl@gt($JO-yh+ri2PYg~0b46-UV z(jT(J1S-?scw*N+3Qb;<>1KI~JL+zp$&jhJKukqfE8n&DB|n=<6e(;=pDVH~Qp^=3 z#-}N-BB}o@1JV6Dq+4?b;q*Jw4r0%SnY* zp-Jk`yFxJ8HvU%Oj#^7uT(qfM2=3Xx=;uk zfdAt${|X)IiYUgVDRyzTI3S8wRy}u>oL`K_%;+`^EYFFXNBB4)>8!IvXD=fm< zr>PN#h{(9+VW3craJU0a*#_tLElL5V2+QBG8LRVnBxXj(Dyc~odX1AG&RYG4QAoWV zO`Px;3s~rl(t1HsqWpv!8WLVH;@4Cv8@%5PBH51f4sN({FY z-nd2z@=Is^+kLt5KO0i?3)8pQE%6G0g;@bivkA;QZDQ5jnmkUjON#c+?<7Ce^nDgV zado5S6|>8Z<8f@~sCFh2YnSbl>V5z0Yvv{fZbXIb!N-g&TBsD3H0Q7in;mfY&C_n( zr$*XbYv$&-*NI+=I+-rJ?lj$(2z z+0oi#T=|xiw)+vMwcmZkX1>e0FWc9p+J#cpRuCkjwo7wilU|-TNs1VxmQu?J&XW>DMWq zp+WulfAVe@21?H%rSkJ0N%g#ezqW|n&9?Y(^F_=y+uZUw{-7lDz@DWcV`b{4 zYZBLr%eHN_NoMjbb2hWOCf5vi2&H^d)X31vG#6;;%yWOEn4__InAit=0-sS6&BwY@ zJ`u0=CVep~eDmT6U%|g?mdRfpo{KVl^33WK?kjKPZRzOM?)`wfF}HC(GS(CNQG%hd zmz^uWoDB4#pp#S$&lndKfFIx3mdN-FosBx{CYV=uzU=}*Ml&OL^Fy6ZP{!zi%5aF5 z!~nY4IKlRI439-ft(qmbV}_SPqUVem_@cZ-gQbl9XG`_{h6bit26w^N+zalvd;dlM zm3YujlrHRxyF=E~Mp;0;z+gY-E054QsWW%mem{4i39U^AEjC>UnYw%4?Sz$7cJREW z%a6z(BJY1|$)IuMjhL7T_r9hmQ?;wtzuB6_zcs^~5ycu8zSUeal=?mqUGu~;Ww4{! z-kGlZ;rq**JQbG9!4*l>;8OhSf`kTm@7-mG*!_vjM#Cfk{L>J5s;uNY=bxw$gX3DZ zmH{>I5&6fjX-`?~OK;M;N>%*~f86|dQ&-6O>^U~Ksv-DT_O9x2Ku-nnmt3FA?X)hZ z^9S#I=T_e@k=>acHCrEFQ(eEQEal)6$<@qXMd!nNgP+IEnU)Gvj~M6%QArwzFI)B3 au7WUVRh`}2Jx_Gw`!zFJoU>MJ_WuC^{JDYv diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index f73aba6736278ee293b33e9dfbc0190c060ea897..a6d6b1d0ad102fa339d6b93cc9f87fcdeb97ad03 100755 GIT binary patch literal 20400 zcmZVk1CXS_)-?*Zd)l^b+nS!X?P=S#&1u`VZQHE2ZTt2)@Be=BfA>bk&b@0zX71dT z`9wUGYasyv0ad{O|Fn)jAK@RbYV6=>Zfirx#6r(V&qOHfY;I*l$jrh_$jHvX#LU3V z$izaZWbEK#ZfHy>uWx8c$V8|lNvLLQKqzWt?({Qqdu8N?3b{dmF@>b&_d_u?w@e5Otc|KqD&4{!z_*9TXkcTYuW0rXe(cKjU72I?n_&obPI}G~5 zlD-?$(rN?p9w1{H=MiXqZXgrypUp7OpTkn_La967cb^GAG?iG^kBePhxG$}HM4Cuj zOiWFiZ7f-&29q6U(m>2D-lxs8SR0&=H)8y#(nXOL!{vhb*TjL(-FsDfS- z)8}s7tB&wrA{82B^gUArYyxB(Y=g?hDJfC6tiaXwr?=@I{x|f-ojaP69z?XCK4~G)ZJ~+}=?oSK|8B zL-UC8A}YOHrs0Cv6meNA?)B25v z20x?$c^Rn=808|#Ht*>+mZ7@UPFk?uSv! z76hE~hxadWB|PsGHJQfb!^s?nbjwWTiAtKeje_R>^B_gwk}!$rlyvf%fA!S;&eyyZ zVx{noUK3&D!l{11|4*;~0*BVXx*}Smw(c#}i`D-#&-*k;rqm-~vXfdX#z}{wSf$&n z5@0r5jN8CVSK~H6E~(iK4$r!dXZCia)k8~X;UE0)E$pWAs4b31UX$j!lsD*X>d)#~ zGDn&9P|2*4T{NV4bGiZ%d*cIg8ME2onvH!!#d6I!^Uh=@&>y?TOj`|ZwsNNi$bIlH zM6lbU@-2dc|4p!?&|l0k(g`=jU0?%!%l$+vq!* zZocQaXB;c*5xT>XmcR?sqW6&%GE`OnQxjx0IH&I!Aj4gy8TYhZR=UD#PQ7U^N`}kC zT~qhAFe$0g3kKJ$nP>K4s>Me`a}flF>s$R-O+_|L*}#J}%eA}hhSC*i>(lS&)<%Bm zf;x!#hH+*0WOAv#l!vrRfRxAoy#fD!zcsBZjJEqs%Cko(AEL#ytbpPDQ(6PyxN)!n zgnw&^Ash20uWq0-k_^mmcVW9{d9t|e3+qd+= zX>Iy{H~M54WD2dtkic(ya{#_i`#jSn_T{7CB|q=OiztVJ)Azi&p)Ng>gTJdZ;>B4+ zg;e0zPoU6yvJlCg1Pd5JRYB^37WY0VAxBGdC{23f&C9!{~%ff0WTOW<3 zC^nyzBe+uvgca~pAP9Y-XN}cj{$MJ7nR{U;z88oC6Xn>qJXHKP3P4KqLOD*K+3V3+ zzR%1}mzT@XenPh~K+Fja0`~T~`}fpW5;sIav=&*v4}PgXss!mHspvyKe>{wKd#9An zKVjxP1k?F4NP3(5?i<1ErRPtpw3ST|WOZTsYHC8R|4{iseo~?JllVZ`2ky z#MIXwMsY0?S4`V{+cJ7T!rY9JGx%T*IgcO1dKd@E+9%glMsX`mKy+Fu@{H7+fcb@J zVEzVk+Q{Y|aw=wld0^<4d>^{A5Ssc0=XNS4{f%wj9C|vyw#ADVmLwoR7rhrZ-55W^ zPSZ)1<1@ni1neDa9#tg|f0;2sr;R&=Ms+CsPn;6f9RMu;c=vAJ~>6Yxica8Eo{r(Fk z?3MYgwklrw5+rLkiKvGOMKKD=>xiQtNI90kYKhz#U4j1{-8+=OP{s@ot?`sq&Uw5z zFBr-&r@6>0XC7p&H>e$@G6v%}W}m4KA8JWU8o_Z9b0$(46e%bggyzr-rHwi|sn?%t z|2{cU@H4}ubRQ<=SUWCadb`q=xZL4!bErYK&hAdP!in5%GE%YAL~=Hj1Aq zdjq@n%lCFRIOiQAmQyJPIJ=iv=Lz+&=#|p^YxEicd>kLC|}g0(c6{aWS+B-%_Xu$x*-$&j&WxF2;KT|KU@s#w(PSt?KC+gIK?s%i2pA~TXc-l<0mBM+v&U63gP zflQWH8JQh`_uD#FIAKHr92_;=7`4q>3?(7P1*DQ0r~@@Jq0CH}uJ!!3#1$&1FEjFR zMMy2KbcA>CORaqO^ASn@XR)WiPdf>2GlFWN>)5y5B*>a?yUCo*NWoOoTPknv`68g+?9wjD9dBb@Z|=Sp|GJ&8 zFUy^%2Jc`v2GhyJNcH)?I~i2xktS`6w4^QeD^Wr%Iil&E7UYBU_| z`}Jew{=(3KprV6ws6Qb`j+0(p$_50WcC5HhI^>8w{&otsgZ1jS$U)1Am}1u8$t- zlRiBxzta8-__=zLA#K3Sx7-Lr{P<5sO<#_BgyCy!qMSCy7wOyArP7sDn7|p-cY12m zpCMi8xB{UUj$mA4a+@Td1*oI^kDkED2l+mun}LPU{3xC9<9dkssCWG47G6wQ?A<5! z|5ik);=Dfw9Z%+1ViYX&61OD|w_6}DyWt!M#L8lzzb5_#NIdNSql|01V6Kd3#%b+)TJ;3+O+ab z#-(=sa@T=I8PhZOM6+J^mzgb%h@4Wv&wCZ&A>LMmsc9RnE^`Bm%i8L6;nGz#C^VX7 zw#|lWXTv4DlnN|rZKv@=P0bs$EN7D807scYb-V6xOqgjdB*Q*;3Ikfs=igD_$2nnj zJGiJ!=$WC!e?r?%N&woZ9+@KF_tl1I{m_=+vG+&?BGGTFVVHQlvft3MtG^-nyZYm5 zatlJdz&}|JXikcW^n@7TUZJ_oN7ZJZ*(?_Cjs-M5ln4)IjM#Q(c! z?M6jA*Lzkjog?#wA}~FnZBt8dJq&uQsI(NZ8haS0-xC!Td*&b$CKte8Y*vnx;xGOOtyM^*Sf?SQ zrODK#m#xtDBp$SOMw|i?jXP?`PvC_>SzSjk7+yp`L*E)0je8Pn4Eh|VbXLD!LUpyp z4;s&;%qTb-T=|5ti;U(%@2BUzL4AL*WAIxhbx1JN%$UTkPH7+s<#|a3;swR&el%nc z(k~aR{W33j5w@fm{lTI;F4#=Ip6rBl@zT(R~ZLWfZB9%9!d?&d$&S0qqF(kqba{-S?P4}R&lVKAAFt4d`PX*L*! zU5i*_Hli^ZkE@cBf_GX04h&vB(KFoFj=~^tHQYzpw}hb=bZ^z*;WyBuIScgj{B^Dt ztJ2)E?ij2&G9-Xmu@Kzq7C_ZXE!sjLGHtPv*;LJSuH*RRJhgU`-q2$?ZL*RHs^bWc zet57`f?!R5REry9#DZ>=I~+hTK~(V4+%!Xba0Zs6Xmv$45!8x^O*yy!2&T5*6T^#v zPv7IG^7U-UWyBfv^Pf>Rrkcv)DXgKCjFU;m$?*)H{JpHBn{dC7mFb|K*2GddSHyqf zP!jmc557g($QXQOKE7f{QquuVTTH4tpu%iS#SV_H4Z1p)EM?%0t0)8Js(HK}`l=~{ zDXqEYUc^miIUD}8ZfC?{G>l2X$xy5@_huSicj-#TsiTRc!pY8|E4Y6Airv*H37&+s zX#A@AKhXfqPsHx_6B)An2m4P1%JU!0{U>S=mQ32Tz8|H=D^5(L?pQxTQi-XiZq+?r zzWUA6oVO{0txFu6<5P<9`*FrpI0tTMw_?+t>#V4b3-*$gJ#;s1_=s`vp<{sapX}L0 zbvEGw=o)H`notMn^El_6EP9hnuktK2a6+KY!}IonGx<6XyUaR}%>{!TDbd{D)SU9MS zja8?a&IN0|b*j2XDR&-8a=#8Eoa+E904`yGjG9#dRw#oVZM|aWfb)3t-nvcx7>pTp z3YoJ2+JJ zNq%|%BqPjI(ay#PiE|-Qd_J>WoaF$eR|1qDLtyxp0H0{W`A^$)F27JO;jd+^k-$F= zHzF|J;eSz!lfc!_jie)V@2s{RQiz6dYm!yyW_OdsKdN!cFkj7 z$t-W{%{stcx0E&Z#s={F#mf8S5bAZQZ?ao%1OavM7-7DU|FwWMe1@P{!t0AuW^a?1 zuF-dCYK7RV?`sI6Eb`lK9;HRM!`b$i>-i?))p8rrMwP8dtJX@0T}w9Ht_>be|K=N8 zP=~YSubC^AX_j#ss1y$Lc5Z*aj&+^h%}e^7D;Eqq*AAH0j!p25%`1>>Yu8k6Sl&DP zd-jQww{qg%_A>pA>lCtVNPRSrTbn;jS67Z0*UO^*FLn(pI-MBsms1CksAROO60X9%QNDC4nO)Wg|w9VNsQuj)q=o$P_iocolZhV{-Fq3O2 zYW^^P8#alkI66!dRxe~F4H_=922JD$B&?pa;|5J?HsV(=MDt>bSi))U=}nZkbrT!NRBPY?&TlhQgMD@? zgKTvw>&J42uso|p45hd(Y^OClH{zEzA7I#MBCz0NWjhQg>=yiCl6it+P0kaicmQVS44b9N~Qd&M}QnQp?Pg<-wq{{zkZKqJgQka^S(^y@!Rm!0v> zu3{$!aqBmbHvzaF*%C6FW+~@#?iM|2XX>aZ#Sl`ba_KLz8;Andm`#8LW(H~c+?BNT zdYv(~HuXu(1)c(2ihY3p`!RQ5KaGezmnunpcB_w0 zx*}MJekVOoY(as}8N%P!@^IJSq}n(TB$ncbZvzs}|Keux*!L^T92?bDr$=H{^gJn1m3_MpCA7Cj2> zE*RU(G0UF5bg(?HN4dYZJo8AvOy*Vt5hQm7fivokpf4K}5R6^!M7rG!2<}M}aB3M4 zB)3hj`ox@TU>Y3;RviW01b1 zc8W-wKgQ`MZZB6qNAIIs8Ct|D1v|>k?XwZn-JDimwK|H@K&KBexbF zj1O%nERw->%#D+Z6MDaUp0ygmMSmX3e{g9qF_Dp}d0bBHw+FD!mE77q6p@ZMntuzA z#qVj;rLAX3Y8P-XAm`_}(lpgyVds=6C>uRBN&`ZU+kc+(&5c`xUI&?u9oGn5w zuWy^ruJt#)JG5}F0IH^P& z;R`c=n99}YRdjJ(-@|l)kk(fB@Z7t-cC4;&+7YxT?zbOYLx9ryONE^TVOgUa$l}{C z{Pt#2_%@X(!pkR0zV1HA!08iU(!|c#X5yB6{X&iYpb!_ypMzSzJN@Avp%y7LkF~rn zKb%0@1WS4e%K7ncWH1K!{TE2UPEP2ma>HcG0`W$ECVF6A`^-h}Z3GR@oAGZZ$(6s8 z_()M|U(Z}V2#HJ{-ow0&(AFMN%jDBKCK>e?_Q-Cri*D|UR(F6q4xuig~5`$QV3p%LP_)XA;xJAN?(O_%u6She%*tL1Ak`TGvb*^ z&e)CsFw~G^>7a%WE66&pvIfNcuV*9-iv+D%1oZ?vE;M>eb?|Ocu9T#vJR)1G?1m3i zBA*acC_>GBSHR55XZHwAylQg$AZsM&Ho$|No8dr80kS7pKC5s@&SJ&h0R0@z?pLpJ zOGfW+O>Nc8+S27a--@;fS02Aaw4KEN1kl7VzUV`TyOBe@$Lq%WEUkWL>gEd&T;vpv zJ$m zt4sSCk}tPn#x|Fs>cPD+sng#7ro*_tROh>MW9a3owLOWBgvw2z5sm=@*B6b1#hKT_ zcJv*QycR^k_=;n59~n<;%?Ymo5A}q1~D(-y%l19gj*cMo?o}yL|?9h zh$$y>F%a`>4Ads+pT!HgF_g!i9xu0m5n?$lZo-J0Pn#HNK>M2 z{99k*VlBn5VD_pIi<5p&Vg@wvip`r)W3NX9Oq)%|Pz*lUm%uxYJd z+d^;Q%%9eUp2lxl;skUHuEgF^(!NT?0zg|O1@R0!W~N;ElFLv)w7e?|DKfibN?K3>)q>t+|Jwdn8)JMR<||lp{i12)IV~ zKu0bRdLOX{Li_fA!7QDB8}|?xed^+`OgPJMRZqCfOo2Xte<KNeFnWiAizK@*`-W#;3Pn_tYNt=vv(w!{Rm)F`Q6_ln7eOIGCNil2PtpItZQPE5( zn;6Td;U8X|hYs9Azal8>eN_klY?Bpr3^y0qv@!4%2v%6p8#$NXBb&>_%*8gyOwPENxU;`474e%uevHB3g2baCEjrVcBFb2AX znSIwX;w_{QW0NqTRBE%?M3qP*4B)Pz&QA`NA1ACGJMI$6+E?NJ$^<2ww^| z!q|#5&Z=A?YXqK`OP9_zNBjb2-i~^K33Inyb&OlK{UVlw5dd7lC*J=R$3+Mc_m~-u z<;c#AeL)FZy1hvoy@%(*PY=CSdO8ZW!G}`ubf52MJ*4KgmF<1k;dSOn?l&1y1O0~G zksJJ}M+rW^OWTY{BjkTsz5md+|A#$$%&+6V<}m&sG~z+nDnlgt~z* zfnl$?pUz~=4C#Umu3to`D5Q&iwg*d6+_S^gn)0w ze3(PVU4CJ`@m~YYuQ0l%>Ewdz!hc1!Uwo_iJs0WRwU>Ki`7xZ<$GG~rCJaxc^kkMF z>Nr!{Z))r~)asb6z9;4C{GFxzg#K{jyBeZ0ru9Yh;b9rqjjqSTGOiI?dy1-G$&X_2 z5>EW(#k6pi$b2@MvR4S1yv5MQxGXcK7wK$6u=Lmjs=N{!GQYqLr#r z>X;S5@^%L-2K|_#$`qomL|5TIWC(E*{OGgk>1+HPT@4H7wjvGQ^a<+!zM`rOl&x4h zy*x3iv!^?1YsoM1jO0rYGrX9vuGS`vm14PWd}ekoO{?E_FU55&Ct@y5t*C_r{fLk%f!^93sl~E>%`{7(fV6+)*Qd5p-Lh-Qt2Rq0I}K zZ|F2MDeexf5r`z3{~RV2Pxf5sXtg#zz1Wdx(9jG~_427kp!{qKx>Bz&ug!bTka)O}B99-5mCPG8lPs!O82J`TRo+ZB1HHWi zs{ntidUSHC;qHpJ)psgJ%sO)e+AeuC9eHF|c{v=T+`*Vn*ZsYci^ghHO|(7(tNc$-*M|QZ zH}~|c;aYKMF?y9~*usaXk_&(y(x8;JyoW>7GByQtcdIt=Z|YUSDr+^V7Hye5ICDoY zPf8c?G4{?F4TI9`$SkuQXYw?lp^vNeEQHujx@bmocgz1We>{8G*^&PK>sz8@x$^!X z<{-+}{`Mk!+(y?K&8X(RTC|0qidES%3-_pLT)VZRhhxRTy^v(lG?#O->jvJ}0q01Q zYCh=%xJ1V-RkD{r_>4Z9 zw9>2Ew1IzE%E;>W*#5iy*Ee|EAR3Z7wk!-(h0wwY;Db*UBvV)$WxIZdlswxwD5Jjv_zGb`;+lZN>t8xEb5ZHZ!9 znX5_0XVZ~W7qb>Zv{M~B#!Xxw*58RIyb(9O_x-M5Q=r4G)UKFY4+O1$XIr3CUu&nQ znpJbcwruCoU$QXekB?1|7J*4`leCG5LQR=0ew+~FYWhd;UoK5doa)!g=PI0TzK*#) z?CW}EaEm+(3(=}gx@!F@laib+{ox!d_YgFJOKER^^$crOM^NR>WoKN{Q*|p+O-%p| zd6te2#`5ze47@E(-kv21+s58aYvY0?%W64Q&ukT0CRH^o>w4BL7E7u{e0-}4+!g8) z2nfV&?HoTZHZszUb~kJ0`gzlny@I|tU9%R8#Uc&o^GT*svG97b=~@a+zRgNCs*sQX z@2s;6=?->2#`Qkh?KB%_%}d$-26wN8cQsA~0%C~_97q$3q_y!2rxNy`vsno%JJU>) zq*=hUT8XfXeK}jDLUY2K>Gf!6TMfFT#gdig;n?2O1NUq$jR3pXx)_VZ5;mYj;_bjh zGiohVG>>hyXsT7^(ZI!`Ua@R)&XVNOV2l2$NwS8(DmN(-?9|LK#h+RXL33%L*TBNV z!nwI^Bd4Z$nKtcI#mBjcUm04HzYK{{6w05-;9bnMaE6n5HQ?V-!!2K>3)C46Jw=|~{en-@)Q0^^^{*wG@I2)HZchoOr-lO3O?(K73z z|F{UO^a;EFuBj#Y9@`n2h?Q7ntFfkiw~+mY^zOsv&G|M$^v*0|Fm};OUV2W%dO=l^ z(77AGxRgr4YTRWhdo(`9x{gfytv5K4@YtTHR73k7FHn=oW^!9kI}@Yf)y!>u$etD8 z@lD-CQ129B6wy>EXM)veIFtPQ`?r_0d-nm7xt2z9PTl7N21kxW4M&9@I<;rs$0B=$ z4h$8{TT;f>82?K|YK^XD>Gi7Y_~adi)~hgJzRJ7aX_KJcX*~oqYlfX4eI7&TyB=cZ zSOCi_h5O!%q=xncp^ojk0vZX&ncUfc2egf@{`G?*FjX$F?Rr~j#AvxwJk8x0<{r3WS3>M2m;>w^{Q#w}ox|Jbw3$}j6fFg-5^dr2&gdxVu!$(PX1)F|UfNbj zXT8n&!t2ik0ZZp~@K@iyiTqO+exbM70mVeXqqBwypt4cw6s1V+x1%^0{`jqYOsE6z z4S$>iJ>_x0|F79`SLMP;bQJpE=3VO6yTu_Z)72`dO8EvsB;vjC4FQ4UUV1={aL_=G zWp(D0H)m>;QltueBEWmz`FXGUU(jT3)C_@f68NXIA1q+Y(nDjb3*x=x!cciLtx z4Z-PF=^7Fs;6d-}3Gt<?lr{AlE^0oMLmX z#odj@<#Ci$^lY7-?Y>XMIb1X`Ni$LLQ+elGvXVy2<$1C#SJUU;*`jry)fF%I=4qS- zcjNbVxTm+l3M%HKy9f6%`um;3hUd#zr5R&*V9w&YxJ53?oI&ef-ZKuH}=0 zGd0C;in=&I=luB^twm$&o@6r_+!|BL+Vk(sxuP}t%UWBRm(W@fm)EH^!VCNcbBs8E zwsyTZ$XZ?2)AUtFqucI%HWWlalK)ut{m-Fj^4D)*6CzHk-*B`J?< z<}&xiuNDfxsDtbKX7()2bPu!h$L~Aah8qfNtdyLMNYhuvce%nI8G&xI#rxMEiQ}8- z6G6l32>JIcZS2J}fBMo-wjl3@bQI1f{Vj{pY!Y2y4hYBu$Kh4J*-P#3a`TF*D=0%_Y+^szS~Q_d6-H+ zkC?qzyMe^p3j5c_x}2@_G{V)#vHFXit+~LJphVgBk#F-8LnE(+uj^CYDZ!W=K~Cg| zfOXw<2JL4;b&kyUq0)3L(BbQ|R*Lbv^`~!c3PY3c>P5riBZKnS*YdXNx9|jp1p$t4 zp`INZ`%_l~7YU&q$*8HYwEfU80}6^1(Tn4gFfbup4F{w%CDOI@Y)GFF z#M@Cq0wm<U6um{^U4m? zwL=xEbfPr-hpF;h`hCjTm92tVEyQ=!G%$q&y68Sy^P#&X8D&Qi2ep4=(7NQo2$7Pn zLP~t1q=$gn^8!F4yFy?zeI}AQ>pkAQ zneX%ZM(}jiWf6SbbFkHQPx(*;cFjDiYcZ<}3=oC5Jj~UxnxzM~P7&$=Yjh8MVxFC5 zF{=T!&JgmXGh2rPSPHJ|&+5VfWCNe5XLYS+tHCb40$sq(Ffbd{0$lQhI&d2k-~f-g za9EA80Y^BE>w=!uLhqPnGfZX#ke6!^moBr}#($&%5P)Q$3ym28tC^)>$5w6@EPw(Qpy$`zm-`80h64xa z5L`E!-2w)fLtI|wX4%YcK?ATLE(wG(n9Xb#*tXqVBYD$8*9LnvQ%$*8!2^U%i2NXeE8qDh2%+iBgqCs31Vg0c zAufeybdQQrTUJN-WD{>E0#5 zIZR4g(M0^U6(RmhhJsg<1#o_YE_4l-KJ=TcWxpwK=g~O&1*jpzBRhi-|NXbJIJS`3hB*gc3h3Hv1i zoAFh-=z;Z%{ZEI$vQ;v20|9f7b)BO83X@6gn&HKUnWqZRL zijsp2pz>ZyCA9w-$of=<_o8LLeAuT+xRs2>m%6ke-pI_jX(4~z>Y(^XkG2j?Gb0hO zBIU3lg`2}29c-tE9U3ffq(@ApJ@wv;-Y%05Ya6ty(}xX)z4@&r)3@&u zF9_Zj&km{cY*<4NRU2$1ps30rPP1wtSIJ0YwC+-Dt-V-ybOM5+1k!243p@-YGUEOb zlJZhA`5}wY5|Q);M4#2t^?+^+1Pf%l-e7>q&Wqn-1c4FNC4$kTmbdN&LCd0+;Yv}| z_3PszFPg51fIJ#dLirCpCGhR|5##!8)*9rLX1A8skuGf+HycX~OXWA9V7RlVdR$Pn z@HUp~Ag6GrN~hXsd+sBz051M?AN4x>%yD9pWe(?1(xD<>pz|~$y10tBK$hmoe24OM z{UQ>oJqd#pC$j$?Py`d8J3%|W81Hca?G1MO3mjES8#%=~(ug!BDICWHxd1tXl{mX91(W)E9sAfS%9EjC z<_5L^ppf<%M;8j$BHyzxBa`m5Oc>=pP#V>Vc(}+h%uwTa!a<58q+twd=N`OJI6R8d zAvH97VRvZCMwFr9{9g$_Ov$i*6Qt}69%nk>{jk@3qOZ_=jllq#a>QrY%AN7G{j*gs zCPc)YbqCwxM{O272+YYtiy@~Q)j;}=6{E>jzu@(yq)+gi37|E7?4B1gVvL3EH^{tQ zJ2vXzyGRFEu5s`eKLrM1DOp>rEXLGoP0|nir}c@dkA>(My3jRSacWw|=xOO57I??KgLMF=0^@GHdM%Su{_OlTS+{7=@_z_`VBCS^8KWRu>e;chB ztn4#0PwZ3#Q5?}r!|26zh#KQwq$jy>K3w$jGyDkfB?&0KfAskCkpCxm3PlK_Fq>c% z%8+Mc(Jej;@t{}xeCp5*-Tday?&fRVMx#dizb>!-FSY)EuD6oML1NpFU7y`TZ?j9* zPE8w|bGTai7i{I^pE}7P`NtrBJqV41WG%^uh#h(o>bTtXD({e z2bYV_!aOcE9qXWg9pbgrUx?pA=jSW>L4gZXkBgU)@~H^iN)3L6RrUa~S!hI4x_HDURpk65 zN#Vutctqzs!V^AXsE}fk5}fpyOdB(9hxWYF(bNA0@K>B{+|26pt;G4nOQ}IR^lgkD z7RTn;A_liIakN@A56z$3>g?f&QU%u->lFRL76xaHcm=Eu#G*gkPMCqeiy3Ex7%_~w zV&SPwiCxU|0@ENB|4!Zp%!7l4$q8=rL|YS zO5g0P;zN4H){6yok3|%c@({cTDp-u0P^sC1hInN$lBM~e2X-Ap^r|??QwdUr z`Jg}RUhW^Gkp|IqfNA+3hg(LbLI={Wt_uOd^giI>R=Du_e(qPXVZtAw^i zRWr;`$J`>W#v7HsQhQMFNag#Ewu2)(8IkkD3n=`lD?L4ilzzXd? z6elN_9S0fzHn?OuUMb*O{#mU$tPxEguOZOlSV9#^4>sx#1@3!A(gq&sb;JXkB1Z?XP`Lsm!-BAgo;d@5h=% z5;JsVtt$@!%1i1Kmzju3>k~xdWzpVk*2*jr)tb#7`I+2xyUO}{)mM^L zf2y&5#@<0M9WO4ym0X!~a(NGo5nttM%tBd-ZL*$9LwvDSVPi(5_9FfWi?(;Ea}RiwB_1ywmUa3AeI|b&(yr$j<)(t z)vo&QLmzQl!%cq-`0t)-ICYY!AjHOnS(G;Djz28ene+!fyo6Jvi&E<)#KGYVqL&e$ z-KPk&xBAQM$9n`wQ3P@ z0gB(wg}D&EvBryxle!SDu7z%!r$2~w8Qpa##O6N@R0<*StHu206y)TW-DoA}S8n33 zGzq&^;mcl`^fOb`O$H7Ra!XkyN&$)Ao?2N8{>Z?)yFPt1llh8u3R=$mZY2J~2_xyN zv+**xoK4@_y=^)lS%$U7WNBA!*kkC^Kb_y!tT-GAWo+|EQ(};!YkF8EicN`Q+s|OF z7>t(#8f51aK*GeA03{h(ZIZ6h$tfT!^bmG~T>=X`U~(Bv0P4$0q1socA~}BH{2K}j z5`TU20@PHMa3f=L{p+ON-l5UetlrhYX=#{E1f|O`EmYXcY}HeFu-3=G3+*XrC8kPi zDKeT!KR?m;#fYiL_)x|MQkbc!U_27 z%P$XLR(>))py=4SbzVa{LWDnhu~j(hjBL#|11|@)M^JSK+#QYfJVyQSX^kT6Kn|!n zPW7%{`yr1w91k(lQCZ{uEfxk|`|XoVO>^|vddw}-WEp;xta7|Hlmq6XSlN1syAS!W z3_t?|ppmgv1$`XSQFyUbRs&|mb>H=z6Uqury6TB}D%xeI`OcGmc`x?6w00WFNDTEN z(-5TJ;;lmwoFlq$Hu5dX4V&~vp?LKRnlq99wR92FDXF`8;d85*#m4kNAsBYxI09AyV=m4;(_u^R1b zIq{AfXjIQd@Z+uz5$0+=39o`)Z&w-lX!TYps2cl%H`jwEM-G;Kq zajSkDHa=|Br_mmfqT*KdoCqGHFLadXY3LeJ=sJ-YfG8IH_r^36ccY0FvnjhWt`zf9K{-uT(d~FK`5?eDDM)ydpe#2F5%0>T0QR^y-^aL12y4D zK0dAXl(F)4aUEQRCr31V)WU&20>1!Kw zB)nVmRHx(ZRlG1n04Uf{?g{uk7HEe-?ATM@%D&vQO85z=Ph=(hS6M_rD+XqBCT0jN zZj*iABj=tfP-uCViAnUceWDYl-HVjA;;iN!MLn}2q_W7y3jKjknlL{_P3R`UuXW^i zVE9x>?!WjKe(qtQM|tq60?xg>lfaIgjP(3YK_pk=VjBZ^_OU?lzV6u%;)x5^4SeC- z;7z~W8}S?x@$N8r4(j~uh{rcnd~kuRWS^KG!UcKZ!Vci)XWO@;&&gL};bXHn*}3O= zxSFX=_b!@fJR29u)*I!TV7R8?Us-q#$P|0P>ou`mt{_bI;{7YnYn$y8(Nt}CDV%K)`oTrG=r&+}J`&Qf5)zeI}lL{q+ci1qcW=ELZl`oPWB#uybW~cwi@gTwBs>$Gf0V_yvEpQLVa%0 zh)@gbYq_!mfDLnzc_+a_)Kl4aZvl$Gj%z6dSnUyma?zX_z+)@CtI;X|gY|->5hRjvS&PQ!h3&~Z> zRw4CI7FAPalyaI7^HiZ`?2L)vhxoXL_+9R7_s&Mux{LHw{T8KJJ$qofst(xGQCx9l zgGupC;ci>sz>%hVDlY<6WMv9Sf22~ULyyJOi{u6#GgrGlXf>pX%T@)j>2VcqI<9CU z_7>FHzZV(!Dl}KH%FR{?9{&K97qIYo|65@RQj!ol3nGCw-GEU%g2=H>bK9IPr22KZOVnoIoP*Ey<*$pjkNt{529=Hg>Xs#hPgpiC^J+ z2r^rG%q(`JWO@9GrQxZfmrCSuR$@#T(AJosy0&+;$|wMqNYiuKSddj^_%iO%Wt7Tg zl$7xGvw=t?%mkpsLZ}(Yu5s?3jb@*YcKZP<%DSIJPh`n%LjSA_^h=EFY87XVGs;ur z55=;DOgP=2nNh@&rI!>eM45x1hgO)U5d0U$q52MN70z_`y$Jw`V?Qjzd9Vr39#3o| zt@joeufzV2;pb?x=^@mDhdGPg-Yg>%H>&-J5`#l;=B3}RR+u70R`H`dG7{evIqTfR zCOOjM&A*)1>Q&l0Vwb{|d?)}F(wvBnW}bNWY6K_2FZ?wYv@XGj(h#}4v?Ogx(T5@E z56Wj`s{U2crHjXTr{ARg|4#rw4!`lcI31zY!dGji^qVH*)mFr7tccg9Beb@>4hY*a z<|+rw)#){9Exrbbv_qn%%u|wW(hkOW-d~I*A3%St_xycUsFd*Ef-!wL|Vf9qzY1~Kbl5PRS z=6JU{U_R=Axy`Q5#~j3b9GLumxZR4l!;1I>5Ejq-q#g3FcF3pfkbkp7K5d7529SKa zJsQRNh@-5C$5;{Dfv{Ni6049)?LuB@hg@Tayu}WAHy{~XLfSm_9w*d$olx&{LS5&C zdcPCu15T(9W~2Pb6xU~?v_tRH;3F0{*@be*%DH=G-ip|5MZ6LSUg}yqhR6K)*y+^x zy6juwuafezFMR&3wZ?0$i0dRGd(-><5|MpJ$4x+BO2|Hay8{OXZab_S#p95p*-uw} z3%LAl__h`C9V_CyKtSWXtK{FaL%wgV=PoPa2Uf%nt%x645kIyfequ%Z)Qb3-6>+x} z@pCKU7goeCfw1)MudG6TZAJXXiukQvk>6Q`{K1O&qZM(F6>%>R7Mnc>+i3Yi^JEMu z4)SXKgi7z^`uJ6fJ<*kSZj?R-ze??ywdOM$&EDRjjjJ|JZs@J_KfSkBsSctnHSl@k z%nCG)ok(+YHn=K0)!DqIyFkA;H%Slmda=SV)1q&v>s-3dBK534xst3Dd2NIn*K2 zXb1Pc@lYH`!^_ASK5XxR6Ze(6H`#S@aH)e2GPJKc;NUZzLyKfq@PQyEqKB5|Zu=rCmz9gsZFr%o+YV5s}$= z{8)T@yw=)t_I}wGDJfDZN4nRgRAcPPI7mrT#gMYkAg{8wXrJkFNLIAP?sX1!dA+?G z&#_ljN`Z}1hW){37YCPG?vTYlh@`dHUd#TPxzn^Ybh*qvD>z6gA?dS17k1eNNsq&? zQLEJlYIugJzi~pf(ckQ=)CLBsm0B~po1I@<_=eVh??&J9;#aF`JR;TWdFt^lh1m{K zfW|@!CjJB!&?aW6TFJ!3glA-aK>g{HjzQoZv4kC{_?X`JMi-B>njXVvfi(I;@w^VL zo@`%8nvd(@d!*ZT?A*S2vtx#%>Nk7$&OZV%(>Pu5c|l#Jaiu;?^L8Ue=k;2Zey~i# z{pR^{PSSCWex7%qsr19N^pRTn2rc~}nZ5_SPmyWx`)2xbl-2LqJI}Jk{ITuor{t=< z8LRRlu_=RjonMvfw6wI2Q)v~a;YqWVXYpmxDNir6<#nt}dLjDW0sr`7Pt;~5YpG+5 zgV4@PUDtAx|22$9r4!!Sx#)JdS(85k`L`m^Sk-BZ-wFKlfLC!A{#{x<3WIfksAqM7 z`V_Bg{6<+X7QnGK;eL9N-=x)j6R=~*e*`gJ1RBG$z8gS48T3y|nNL{Z`4|#qC@pZv zSpdfx@02}at-yEc@R;R2R>oM9h&3@P8yeG$}Ozadd zNg6Ng5%RI2Ds-kjdO^#xi)lwaPhGEqtld)X%aDGVCXeN$U1HF7gLbJwn+NUX25lE; zuP|sAgLaugy9hL_Z^L%5UbgW@N&6_$q+N;lCIdGH+RX-SHE16;XsbZ`h(S9Mv|BV9 z?TC7O*0lm<(=z>5E&Zk?PP0E$y$h-9Q;_o^ss9=+eex2ghopSk@;KDbcp5auyJa4C zgNi)PxkNe2M2z#mSYFXpJYtmJF6I1Q#tQe(GJl$Zf4iigB0glfn-NpyC5Z8o zEuU%ZxrOO#kiJgxu%B5@neUU>InbyJ=|>>_R+;A5RP^Jtbf1>4XQZE=LFX|-$;^^- zKVVclu11=A(jLD?nz6FSLgNofdF!Qo&h3;BJBa%BBF~N2cLaIT1pN;=dH}v?0lw!w zBdAN&-BnD5aNO}e5pPFVvZM`4-u+}txgWLY_E&QP`&aI5rPd+$w^GaUe1rRUdF851 z*MnPiif)UaAh8^~`})UcyIE(Rj#unQ{r0sz%KEzZ!T%2c0RR7Z0i;)Zh!s^BKa;Or z*Vp=fZmZem>YA&W>ppzUojdpLtaoOvGjq)iX)0G;4OYdL6f6-jF@m&|kRBM=x?n+6 zmQ-S3sUV>}kitKN3VT>d&>x|OeZOz!%-z}5-4zGUoIB_Ho$q|_?_5_rDEbN^HvYEd zE1E%TtN7Mb3k?94~*)gVzdt$2DX4bZJ%j;R(IWf*P zx8r!!$q*{00 z*+!EXMFZO(F)~R_b&|fRT54K%Koj@S7%uiHLR|lD&d)T7i8KIL`7l50`G!StW|21y zGn*l5@H{N$(+_{sWd0mZZ|t&ElW9jUPnipH_#8$9c*E7|byJOT?kiRh_0@}i4hB+6p}J`+E(P?QFG}hC$H0r6 zRZ@yP*7Y3UOXM}I5Q7MR<@&pAG>I`Z*e(%o;FGGSf-26@xQ9hOGP4$eCWg|$20II{ z`O9<-tKtF~c`{2feO3EO`iMBY^|s>$7KFAPja|(lQr0@A5G*8TBeFJD`Kb|OY3_gE zMms*Gu!Zh{UOCeVPes487O54eh`=Pbihip4adO#1iD6CTYn+}uV)W|&a$ zpE5*DCAz)3vGyd*GR!R7F*^Z=F+(SH_4p?Q97hATF?BPcJDs3I8TwCKzk_f_Hz~~$ zEE1)J>T`TAoSP2?+tM7}Lppa9cQA+I(6h~l0hSpTi(L@7vP@y@mv2nm4o^zoaNeUv zFb=PQVlllxyj;@+aZ6}Gv(PtDxraObgq>_8dhm>3!;h;zdJ6lbMbs>d9AuKKJLqhT zM-dp!;quK^YZI2FNby8EN0h~4B)#9+fB714Qq8z2R93HW;fu^(dM<|HUJVZXjN<6N z{^_aDKk0k|?3G zQA0FJFd;6ruImr9G(sn|U!PUIdI0$8$0w}0^w9^9UDm(+9tMH=Ouj8WQS^QTmPXJB zM4_aV{Wxed;8D&6=Ev6R@d!m}H>w;eSL~p06D6p94={R>^6YHLAT!=GsNn@jWqO3@ zLkP(a;m+|DqVA7S?H%~=#V$lljS#rV$=IWIV_0c<*0cx%X(T3T=MvOZLq25@2CZ6J z&7dnu2)Hi-tf1VGDkW^nvk;MF#Fb+eoCY#ovZ_Os%hMf2XEj@{eGOfrS{ZI0!x7hR zZGj*1=To=$jFb`HKp<8hK3h5V!^Z$hIl}u+RK-z4#);+ht`a}Z!anq8_%}o7XuRbqQm3l~*BDM3Tl*kD@^H z-3*n_I&6rFWJQ*3o(^ueI$cy$Pp`vb;Ec4NP+fgtY5s3Wh6`W$_RXuo9eK^%6Ag5w z^);35b$5G$8IvE`-$nio&P74Gb1kfx7M47tvle%K&O}j4ysM}0DM06z-P@$TW~cIH zo!y}sgb1!J6L{C|XPOHUPu=fw6`QN@ncw%X#D3XcZgqx|<&50Cw{i-gv6`ycmZut4 zn93k8?b#2V8q=No!RehJ?)scboWn1Fw)4B<~v~BmaZQHgnZQHhOOxw0?+qP}v@A=;E-Pn!YjT>3{+>Fe+Co`k! zoKu+>0RRA?5(@BVboluQ{BRW`dj~TcYkYb}8af(!d;upjOGA7HMh1L37Fv1+S_V3L zMtnshduKBPBYZhM0}Fh5d_@U-RU>_TVM8;=A4|i1pr2^~04Bx$hXFvr|A)KE{x1i- z@PhRGEu8s1-n0D<)Nw=TOIQKbMMO($hr&s$M?!}-ioKI_``axBBW)Dg0Rln>9t99i zZebHe)#drRHUe!2Fq9Bt>*~x$TUDEu3s9Ep);I0@SyOvaTiZ+NQIa7c?ewicQMvo= z%lolh_p_|6t?m8sYO~$tx=&uf6+U_}-zy(@9M^9FIOm9+Y}XbWe76O!qniIiRku!c zpsz0o=aCuOS0Eva^>&lDphx?d%|^$ol_;QPE2@VQvp%2K)f#c^5fF8xb;2HuVV4Rmj~ ztk>{%Z}8oU>|u{nEr@Q=OV&DtY=w_(N~oD8uB*($+=GI_`lRf^>a&Ty8mZkmeSL50 z%NcR0*7`*P>E=%U=0;&!)wjtYn1l<{IVG=q9MVQno9C|NtAXkS%h=zdNtfj76U}fJ zF?Xx1P0nfPnp=sis>dY)GJ1Y}KtZ6tppa3?sN~dgYWVd0xb*<5OrC|fX`E7*6==9X zi~b4!Ut0eJs7;`*-HuXcK5I;OQ*MGkZIh8I_uNuzoQ;%bH+ECd zxg}0QX|RO4h-g|zbDFve%43QFHJ<;j-*sBFV{uCDFy2xQ0a{K2jlakg%6FVg7<&TI z?k06LJx!S@@L0aWFdu9lmjF+Z=mxkvW=o~++tBcJrjG6d3xXql2RP2!BeSgGeFGTo zkZjkoQ?EOEs!$fA{I6I4uJ_3U3j5=U<_Z`&x29*S31GR;Lla!NyLC(DfiO!GD%E-F zZ?>k@FSGvyWaXK<$4$v5C{5J}Dz55$pkeGdN*QZ%CD9<6^bkc>L7jiRsi(`d-An%X zLWfM^UhNOdGU0!H>AF1Lt}9;ww{85|*ol9m3maM!lciWka-7#aDtZh`{Qr#Szcj4; zMQwTby1t^p`JgMIW4^tBStnU;W?>#|2g3Ev*X^LcVKgvt<7qU~9+Y3%;d}CX#nuhF z=mTm4eLC2vTGlLpg1WGRLhkBr_Q)ye{%`6~>p(Fhu6lR?x|>6A{7;XHR8n3p@?YX} zKP(BhN!eWPm+I{vc?>-5>eQ4SaV=(VQqS8e+)G2)$uq-$rDmC>Rdq zC?C}Ygpa{NA+t536desX6&)j*<-Po77gDpi4oJZ;uKeV){a>t^Vl)}J(3L)fJdxsF zJ&5^dCtoh@v5t}b5fVL-j?+~?nk8FF-}HeywGPR!JtA<%CrLe@T)~#^t^_9nvO^8C zhJ>B=eB*?1J#l%V$YE%?!k&nt>EXPM<3Aoi&L+9e-I?YNNTV3!VnSjf!eylp$7#bA z(9TAf-XqMGgj=N&uI18B-3Ao5@(t7N9XX6}rd_ZQ&&idtXs}Dm4X@fi)1{(W~)8f4eb=)5ds}B$e@DcS=ee z2^9db9gv!b1sn_GqJfR}%fGGg_uc7tLGzlH6DxA+ns~=1yMh^zJcPx4<2n%00W3he_P`?F*km&&X%HPGoDBs5(R$IN-I_JFh@RT%3MM()t_f()M0X9PJ? zCGDOF6aNNA0nr$ILARDWBIOdn3+a{R2R$Qdy~7%%Qc$^_e?abBPW-sx94gKHC8LL- zEczhW0l5^{H6G4w?l(jIwV$o?G$x439c=XlX?|m;9e3AAw(fQf+)a~|>TX{kwL>sr zW0EMl1c}S*#G&BcY0MrTaW_-0oDKT4-h7#Gh~=P00!GVC$zPO^O>#4P(-%@dHt^bf zv;Dc`6NH5A`pJ2IJHR;P$5m}Ii2;>k3Hz1;W%}9SJsYoZdYPj~vEtQ;&Y~(hrhX{Q zYCDNffd-MFoAh#<=db%$6)o`7TH!Ngr@z;&NvV(7so)y6f?3z!DzLe@LN=V?XXi_^ z4Y)~{UMZ-Nv~9G}Lc8q$B9yTvci^WBxjKDHl9&!2K0V$CwwQVn-OgzCS@P1R_+42K zc4)Xe91!QN34b1_pUEnEz7gowGg>TB*#9)->bwBMS&fjLx!y|BYpmZR_S4P%i@W`ia-C-#8u#Eqk%!uPF8s__wKA4r$VwIHCvydjaT$) z`2BH)=e)aA$SW|xokT4u(ajcI#DBAIK)4^?e>;ZdY(N1Ithn>wfc>f*hBsW@D%o6S z!Sg+pFvZ)vuTp|G>q9UidauW;o8Xq-?45hyaBWDt@?2Zyy^+6#^_aikpS1;bNqff2 zcOgs5IrBlu1^$*#CHwB?UGg$-UrMznG2rbsMf(L2ldWs);Z5<5#s(OtZ#;AQJT>kwU?(l0~&Rg;YJLO9E zUGP2706hQCbn|rwcnZtg7ZQ#~!=_<8>WPuM*_`U@F%t<(&fn0i~5 zYY9~)Omnh?jSS`ejhdDQ24-~4&87c&Yx&&61H)R%AZdEm+!IwAT3GANYoB!yoDOl| zR@ORi{lkankhhp_hzFIxWMY#^k{d?T)ZVyxcC*Lxs+pIEMTmE%@-_~}(}a1ypB8Es z9NNc6nDrQMc4l+P@T}j3WM-3>$OMvBZM{#x4!z4P;n(xHzQY}G`+(<%!NfW%s3jqR zt5^IrqsA?d^3j?%k9>6yyG6d4Lw9r)qE*%6@Bxo@@lrCoMM(L?`Oel>Ue&N;Qq}q) zZzGzmyC`F8#51-~nd4s{y>fuys&MRqdKzAY@!aY?fw270>cAh><@ZekY4bpOmf@Pb znhDiS(YWZH+w48vk;9D$-I2G}mFIl@iKFIk{hAD4@4I94BmW)xLFNHfg7(qKkuZ>N zw~yiT3mxoh_(w)GkQj0W*Btb&#_Obcd{<-#R;$E=?+vTH_TtaHj8=SCskm=j>}<5l z<;nB)81G_O=5Rj!n-|$6dY#ZiEq^a8D-XY!>26BO`1G%dcbmS~%9-(3?@L<&H#@pQ&f^W?Y@ErGTN3*of4x8Ebz+yR+19MLbtGj*5>6`_IIlLqoL}pCkCY` zQ8NtUBPtKN=K4o_&`%PLq?O{S4Y8#R$8M2h@9Z?R-1_*f@`$u+*8#KNWXudIo4lCZ zvnV6^CJh@p*QI89!J=6wqjVNhQI}Ne)0yPMo{tVPlto%PsZvlrifkypbPiIn5vfRn zR7%sSsERZcEF<9iBJ-6^2=pww7?I*=&)|=_`FVU}{{A?a(;~9KV3tIQS}o0GhEp0= z`BjUSmHcjpBF*xfKkan|7Q<17g}_k8z4S<`!xSWj!xSfh!Bi`$;RO44U?lr-PAvT~ z7cv9KgmCxVQC`nJ_FJ~Ba5=n^+N4_+90rd0!m!B5$&TGyil;vb$IU4Um?t|9^UnBb zF`%vtE6j_%yID`lyf|=I1`p;9drOI18&@g2R}+tqi@aK?BYSkkd^kX<&(HOxMyUL{ zvdkhW9_O-ZOZ=JO-Li7$ye9}$7o3Lbg~L{_ci9gLstZ<2?PnS~9|O@xuIe>#tZ~N} z1!0OOC4s>XKEP?$u0dpwG5aYq@18wP>RR{oWWF^cCaG1h|lIsd=TrT4012$~$0)Y0ffMD{JW+ zu*+qAu0f0HN+)zC1C$k$c>*D$BszN2R1}V> zg?lJVCi1!c52)2OEU$H-U7Y-Zpl&mriQ zyH$oi<0fOim4cE_PD_BFtt%(GM3gP))4W$ADv?!c$qs;H^OJQbf=`Y5bU?8=zg1~4 z$Zb-m7Fn#hW34pHlVakQq5o7!9h5~c7y6fHxGXd5R5(tkU#8YC(VNH)XFNu;dvG3E zI!>%E)0_C{$ef-gWn@jsOD!`l+2x4%{{%h%rKxFZ?C=aEJK1K8WW1!s=Ztbz`Ropl z;RW|jtGWf7r~Knu*nY$oJqnfz3ri<``GUYiN79xD>+&ERPwI)%+Y4t{+|04ZhS|VO z#hhLsvC`^l)j#C=`v-OXLzW~zXzCyOL;8cZ{vj2ylDhg$0`(ZSW*+>79FE^=^`dl`z#x)v~PGXjj+{}R^IRLz5Q-Wd!-mM|gFk4Wq~E6;29=iNXIHN4fMP$d6GiMMacVSb(IQ1~E0!MeJP}A{1x}`1dt?X>=Gn@}z)bogrUfX%Y@AIeRp&2Z>S zCfBP7X~Z4fHCsaZx_m?nZW51Zm#&B+9?S)l!L)O4M;DFj%1AShamW$y_5v8tqid1@ zfI0f*hw6^lOD0AMRkKtZitHoeu7VF5<{N={HGqF$SPDcPLm9V)mMmeZKG-Z&8cn2>eqD3!72XqbF5&^`PgZmgb74i?|` zM+*R#^Cf_+t?~?$L{&tZY*9xiAV8PqcHj1O?Vim`nw=|WG+UQ;sFwCkP_E4@IwyG7 zqx~bR^w~${pcp5`epe|vX)f75ikH33NPRBk6Pm5c2+!MIxpL(%~j)%(_v#yZf$7ij8U}PZB(+c*GI2MpYUk!BVaAB zU2e}rqM+7Ww3H)Y#nx&;W&eW;52Gi@EiiGY~SRj zXuW`#-S|~5lvpU%cx6YRC+0Mc)M#y_Xl+;iMJ}Q5?&^PL4L|l9lR7d}Kvh|GLAh9J z1{wd-yz~UWT;^k=+O*^pz8{{C^Pr9TEq&6MmWCvx%xv7TyQ9qpjt{$P?{+zo=7*XE zPQ$j0L~{ik_rbF)jxx-J%jAa9n}-7*)C{CC z)rgIuZqt8lXQo-1S(BNUg>b>VU%+%ZV{8Ny0tru^hHoLGfthpe4c6eC=Jrfj5N47! zaaCUg9YY}B3U&g#2kSMH0rvGCQ1|F>h|mff5}cwj#dLFbbe%vMZ-&yUX~4W{Y3EyY zxr2m8V_QGw72Nel?fVoZ)!OM|2g{h~-9nWuZGlkvQ=GQBtUn_Tg?HY>a9?ZPNk~F_ z8{H&%Z)!Xh*>e7q;nmO^$gYXF2)}FF1O1(HtXA}wArTs|u9ANulOK@<#*c{QpD6I3 zNT-G2MvKZ@rZ0)Wb+RYOZ(8A|DDK-s#EtD^n{cdu_xna3aaedw7#;a;JK3~xxY>G} z4Yxw@L6;DhPy$NduZYq1TgyaFtugudk4Srvt%23m?J+6_a&o<_gL&C+T*|!#Es9OG zv%A)=Sy1sTo%!||)MRpN9cBpYTAZel4#XQdD(@!Q-BqH$>sYMWoIIUwWx^Kn={msd z=*Zx#*3C^#mn$vYe-I9Le;yuDpe~uiPD%P=m4^*_YV7Wh>WBZHYfhHl;>=?WC>be6 zP3wp+Y8+ePZLe%>rDt*ZbZl-N&CzX1XIoltx_`L>F^(}*3K(xkevFm<%0+P z3%s4u*Nsi6nEa#9O!zJKGQPV^d{g-5C7W8)wKB(8qWsf#q|S|DAebQJ0+|HWnK;B! z^|VQQ&#k>`4hKK(0*~x3zX<4U1NLx99hRyAav;od)tt}u9-I#)m41v_tp60;>LQ zu$zadJt5b(PGpJ#pykZHB%+L!C5pUoZW2>q6i=otR0(a>;n|kgq_#o?(S4cZR6*q^ zh9~qN5p`0V_>U-9>5SP8JL1Q43{5ps2oqFEBMWlpek%YpXZ?lDTX>18> zPuJ?xwxZds5=pUDEthO5U;VwYc*SKif@iy`9ugw#osgyS{1O8kMh}c;x1w4+{vyf7 z|M=||>58dH03VZ8W`LJC5w}BM{A}6dH+3eA!_GFN3j^JQjeSxFw!sJv?p_IJJl5+M zeLlWy|Df$-K0e7$L{e&gX1eSVU5|X7Wt+SgPL9ZR^iY*g1hY%CF;zBi`@D;-w}QQV zC|7kY?9Tdb8%-DKqRQ4*9?U+E65UnSOIKyw_ml@uGXC>nq^8LYJ!D~XrL!Mw)b3g#+xOSmr;CUIP702@4ERSUv`3F*5EK=gLe!-!lqz(eqUsUHCuXEqpez@7K z-glR2&%2!XV(Lh4=qNG#BMgGUcFYuld4q`qw^AKuu{OsnBBiEVCBamy0d zw^lz&Zf2F(hnlTBcu^oa1Y8|Ea$H7{xM3Sv3c!vTOr6#W`O>E-YMhI6_AY;@OuCW5 zY|&qJm#;}jWl;0lM-S1=QozzCSZ=4OM;u?S1T+*y0>W9O2e(vUn zgSDd;q z?5zt{e#oKWnI;Nw!9c99EZ?DB`fW>8eG>q@4%ZdP4n99Q0e5pfzZD5VP!{a>+g`Ia)^u_4i4|XAj5PP;W=m zalDex`9SEKMSfP(O_XI`N{0dSYL+)}MLslI0)=b${CYq!zdt+*PHfyxI1z+StN>wp zSWj2(@_jRA!ZUNHkdMt;zoZj?Xj%TIKK#1?!3C=J+V?zT3>=@_1El*ADaQr5`{=2= zh-6B~xKoyxB<-B-mJE(_dDg64@aCK(p*EhM*r}Q^)!dACEmX zUcX=c0oi&-_0!m|$2%**bs_l|#)+TUCZ(#tA0!1Bsolu0lty1BBf3S0*Zg1h z)IO|t)r)s71m7Ig---vi)rUU*A6SN8A`31Mj_PpQU$~D}f*%|Mw1OYz1J!&TNVkQd z)54yhd(@%Z;jX}YJ^~*`1Mq0)ve963tF#ox>7PZW7re;`z-8=hx4{?v7y#6ocp{@7*+oEqAu$iQkov>N5JUrk{7~sVysWK2V$(SnwV?>0yPG4~;n?fBp(X^ zl-<>n2?Y0CD?X-E)-ov*j9$cso^cqFPRTw{W-`4zqs5;NeyYX)fem_~~K8-Tv@qg3}E%y6*X)Lt##X2dE7bQM~!^UViU!=~g&|-)c|T znB(Tq?#T5fK%y{~&rxKdL@m1xf21?+6RSekDD74k6UGPX|d};2)BS$#uOjPsBX&w{j zg#YQ<*U9{~F|K504PSoa5mhz5a9A*#1UO`76M$%x zs(Ms%?rBftW0@a6${?VrKvv>!IO%g&h(I)4G&%0ZIIA7@{k4!_3_r9OeC(qwo$KB= zP=v?r!%pUm%DimZ>G}cNK{T>UJsVPvU!Q(QbJ2CdeVUm@pvu9CjKur^F4QS(q??Cm zT4Er^BKQS(5qVI&vW6HwIOK3nB5&H^?A^trjlV=3VEBNAAL>=OK#V1qjNZrAN(_Xr zM&N%6X%llm8HQ|jcDWPerz{f!p%UwMj{7U2A07zzBu5dp9Z;u?zrjWqda$N^!)!Ue z&iS^8lY|{`oiq*WqqxkxftYDUJdyNe>hJ_Rpy_R3tA!?3U7>Hm;j8&QVfLB{y&?B} z_$ILSZ3H(&KAZ;>L%020fo^$;yy^9Y@@SaTToAKCF{(UiQ=IB_Qf7co&Y-g(6T5+b zR_g>fz(dG5S$CKL@^G=-lM(hbe>!>=bR3mk@1SVnl&~kp-Z7!dv5@|&5{~S&q-_V> z={$l1|8#w>IU}uB>QR`=tS%s?H>D`oMUJidZDmh3^am$`B3Rz0mMMYy|9by_E8hMK z2Q-we)g*I0{}!dXb*?-b%0lCij(kx`!+(o`|Bq_#KZBzIAX5Hc*NcTXxMQxoq?c+T z5kkmlx&PHK0G;Cd(6O?9h&|@ESH~8jIk}d|>4ty!{>$fsaPpnb zhX?+ti+Dl{_9>d^`)!9V^O0 z?+LU(ka+(J@)+w%C`Z%_l<=e0ER=HJDr~<2EFjq8}^&HE^!)! z1Xyj1h`lCo*>BXZ@B=01K>^t~F`l;7T3Fex0Zd^LXWSIhfy$^8dbIG(Lh~yEXW-V! z_vq!I=`;-I(%FJC{nAc-ApGIk64Ger9Uq#h<0FZFgE`YqPfvQyCW${&%kmC<;s#45 z&9dU>J;(K}JN~E)&zrt#9iT4A{XrSCqsSMB< zVdT{@Ne|9eAI+~igbQ%c_nmj*St&Ni;+I(g!;R=xgUmrp2X{R2DrS;uI>Yzok_b)V zV2n&H${E^WG=&qlXSPbnzn|RPpOfZ3jm^q`OP=oex5aj36N9>ASv##f9Nga_ zv5H7z5NXlvtHt0pM;=($F2h91m#iD#Ni{z&M^$9YH))<97tR$QOO3UM87J*V(TZ0B zOP3CgN|R}`jl}OL4@-@0eCloV^~<(&v(7H9nBFv_j0=l@DYCweAIt+EQX-Y=0wTlU zlhyiXWf|wQ%xaQ9E2l3Sx2jY>H>^J%9T;{lE)P#fiU3pY1S}@}ZIZGM4ys$UX?7=B zQ@k|pR3Tr+uT)7pxz4`0ytZmCtJIL)AufZ}_VPS-ltk**NG;{LP_THEep*gH3D#)b z&^|n<&LzuPLo1vaak&2(?~B*DZ2B=EMIsPfcCr1MK|BYTt82vl66)yN~5!RGtiuyyRysNrs40WdmkoR@dtw6n zqf(-?yL+i>fx`A?OGMYksjOM+vb>R$aLgQDKyH8^< zdCo>^syXW#(&ewCw9zG{JPXCQfmhA+^`^%4RqZ9kOp52ma_+`b4cYuTYbB30#m*#^ zdJVH=O{C9DrpT%3!vmOX>Y`}!slvR+%C3wCe|lum2t(x(lBSI zI-ffEtL#+sr13@f&Tjeh>LpD-O-jvKH@4Ta<1H!hGG@~CgvKEu8yl!A#q5*wVYgB= zq9VNFV)wfPeU;c%fBV)|-D+v(zl|aWb=?}JGK(bRjUv;?cZ=>Fc&1sQA-_&7b>qG0 zq#si>ld?oS%#4eD0sS!V-~Tx1L3 z@OG>opBG8YkzPF2eSDbJXv~;hAM&sBP&+JD`ufaCS|dy1o2!$(L>sOzwVnzaav%BJ zSNlxgn}xCBoo?4%NYi7Nx`^DWZXja$AKIs%f#bQv(ae_i-i2HuZQ`iz$M@eRnz$-B zX*kO*n;zS4>fz?z2*$p>^hCcBdLxqYQ0gsI*43W6F+Q)KK`qGKK1c&j_L;oM>*wnl zx{9T?i&MkTP*IEt>)L5|1xyzw)Wy&2iSzYp80Ee4Ld6sr+2T6nan54TqYEqZ_O0Wvs|2eHr>+y z?YenSVNT@wal)aLah$bo?Bfew^FFH#zE<8ui?nGzWu5r%un$A%>q7SX7m=M*(PTto znlcOL_AZHqmTbF}oz-ESI+zu=WYj*x{E=~9{aO99qP>?H!>R?DqFY$WS{FBisJ03$f!CH;^GU-htXQ}|`ZiF|6M>| z8!)m#;Z0Z{X&`9$t=vSMBZ#bPz_*PG&u+Cx!f}es_=l5_=8b#)7G|FO;q9+%CV5$~nIT7Za7$Aqpfno-f@uXbb}`4=I!5aj(_ zbhtrRvLWDXVDck>eHya=3_v<2C=UGeXvqIN)OI6px==2SW@cgOiK27_#O>i$c_&I( zxT5Tl!|T^>pI$$HvFb)jk_U*ekAHA47{Tp?#ivSjM_!W@CcX0T`9iOCS=y^FIY4+6 zU$B&2iGK>Gr@yzkhE4yv#NkHk;`Z_xtu{g3_DnQ-{3(DLjZ%%FcF9guZ4X9x)Upqx zjI!?T-%A!hPU3CnL37uS#lN#iPFLLSWbelt87CPnE}N;VctMp`%s)AeHOH=X@t(|? z@m`p9QE8e)omSLwZ-RMv?U_(29NitbjnX)LAJ#u#(jrzH!5aQWv6|+^{b)VTiL}_J zO5@eK;W=r(h75CmGa9v^>yCU+FteB_Hc2#ErE0zK8MqG{HB$G^96V0(qO|)wou(cB z-PFtT{GpmBr91sC{ZLrJVwkj=eK~GZU*RJ8laO_~_!Z~vtmWTp6VLV3VV>Trl#DO& z>sR-e?MB#f4QV#bjr8j^8Ba3zfAc(_o^s({y^(#uvz}na_q~VgqltW|77ZMv8a9Tq zwY+nleN49v-zSXsHWg7+F3sDQ^qqMhq`#xH<-RIWzgnpC@Af@zsj_XpAs?Gh zT@3W!1>R%SE({WU{v?MywX@82>3wH3>8`O_`q+P?9h!eS{aGV@_&#_FIaDQy&bIyH zBDMPnJRH4ye!iRW9=&v-jro?5diZeW&->gwoL1>J{92u&_0at0R{eer+o!Dfu5&xp zU4MZ(m%ZAq$=Fi6dyxgBJ37R(Wa&gD@= z#Me*H+K+%R;@Tn}P*fQ+Y=`wS!DPm6Vw zMGYtWlLo~-kS;7CTXkrru{jFS4!xM1dIrevrTiP8OPD-JCEQ%u0(hVUUP;qmL&j+- zfG@Z7AXPI&zA`gH!+(f8*SSxpj782ej>(*F2TctzQO`AlrZv0DESk!qDV!(0o6wikRZO2R~;$-O#}io zAb%qZKK3cFzx z0-oGwny?y{{gzlvZGbPS0-j=WDp^gx0oK3*o}P0$2XZ=58tP%zH2Ky|XSSf%NCKQu zOy|KarE}cCE>&jSVb;+2%dr}0{gw=7x}Y^Cb3VaMl>?r#bCjXiRQWu*_}gI`kYLt| z`8~4u+i@C>F&jAf-Z=xFFlMq0W_X}9y7}L+O})S_?{k=;*U_d=8!VvLFlTtI zXJo*v268q5*2uvw4RWZNP4NJ&TKU^?8P@6J>U83Z4F63y#uC;<)GW>fxN%42?;`e|t zrTu}v&VKwJ%%*6V4XS=m_5n{4GtQU|5&WE+IiFajv~;G|fR|DMPc$<+hBIF%4QN;m zK44aTIi0`_r05MEe4HCOo1kl=Fl(hbUK2T)Kx1THdAh_Fe>0VOS! zE4tc{@nsk--0H>I#)t>X8wtNg!$TpZNw^dk*HB)9dJz|3)(9zDfqBItbYz#vx{lC< zYnc2~q55nl&5s36v_{w_EU9^PF|2r+AoD=9yoDh-Cx*N>gs2!GU1Mq2V2;xRdD8>Y z#{~#>#_-K!bdOX&Yl|W~7uY`Lyc%?^=}_8Ngf4zdFaHf66anUW#Jo(=O)J~BLHsgD zoT7(Zm&(m%MsU`Ri7H8jeAXL?D&mHvr$)87%p$O)dkkflvOJ4$GOEzk4^5p}vBVJE z!DKxv-e#3XH>gF@7cii5UaYM|RgT=&D#+M5cr`@2^$ly8C)UzOtfDs>VA3W7@!Vlp z`$+BI89$K+>B2x_-gQU1ML@b$M)HHnDuKR06tZ}26YuGx8MDt0QzK*XDlc7WDCN*$ z3vXg!fiSoq7T_NUUJ03Oa-4xdO9xA*51Ja(-_ubvoq#e>i9wiRV2)};#Vd$6*obFF_OJzoBkQxc~%5*2B997WNQ&T+xnp zD}A!Ar@m*<(a*$bKd&EKFraNc^i#g`UEW!$NC+HDiTiWNG$B0P_DcivX7-kQa(B2@cYkOs-Wr_&9Wl2hI92CL7!aF+qEy4t7a~-F@(Jyw_-m+MSCr7poC8A;X_s!DP6np3rd|Bav6MtCsJ z5v!duRi}uRw1F1op3(Hr`hx*0^K)*-8{G2_4ztb97@z`d{IGCq*;GwZSb&+)VC~#f zHA{Iq`$RR0+!ytUYhU8kgH^-74U>Bnf1csm>8N+qnQAqa27+)-u)*{2Y9@cW&vS14 z`oMqTk$L`K;E&BKpKV+dA5w$lTw@=QGHXkbddb_Rawn|!3IA^|58G-AMJ1j8{LSG1 zrvrYX7&OcE+v_<6_J~nd5oy}mn$yBvUfhR^pL^eS5m-sT*wcTnyB0wSI|v4r2`_cp zPi=&TswS5DMwo2)G}|P^&*>2Dj-TP^mG82rP2x2u)Jb5FSV>x7lK3Gt(4Ftb0>6#vS26y|K`RG|#P(c@H{JR~2Ks<>`K&`}G5OfyOPyGdK^TDl^7$#yPc`_iUKbl%lveR)x*6W@Tcbr$IsWv>5xl(@73^Jy+Sx< ztyaT=4!~}oV+%6!q}aY-V8z+=UeaaRa&lDIH2G)(;T&jqrs25Qn%sE!CMCqaA_;*N z6>$hGN=QA6-vpLb#DSS)ka}o8$HqkQe@rE_1H#N3=&m9br8TZ=1J#k$aBM#wq15` z+p0e$FS!&QO^d};Dv4A&PPkwT_KTCWAm|fmPQc`sSuQVVgErOz|n;T&yS$jd)Hd z-i~%wX2ko)QT($Q3K$;pa%VTMG5Qu*e10M&+2I8$hOmh>IvdSRhLCTpZ=c>x-<#@>H@xq* zg)p+>fC^0_)@Ke=lO%j1MmQHA*do>^3R_7Lx>ydqP-rr>IHy_^qrnvcSBkF0!chx$ zNFG8#Waf#c&S)lNgud&ACOQB^3g@$6{NqiJZDfJUNBsQA|5kI z8ISu{jhLIIenwR!1T6%15W}GXMJ=E!qJm|XOoDCthbDh$+*Tzz<9(PZj_EA5RttwQ zS>%73)clanwViz&_FE_Kn}vshWq%FP4$4?>5eEbQZSwyqBC0%)SSS~%9GVlTKt+!dnnTD;!5&FOMqeA7PA=*7NsLwK4akJF zXj@jht7IT3Wy^B;F4V0dpVovnkN+k=v1pcuOg4Y_>!6^`M?0X5KV|({&aeraasragtlih1`l;F%-#i>p; zCZQsDe#Vqdy>WT8tW##~nPatUS^Qmxbo$ikY&cyJ%Om4L*{Q7dj42rN=Z86R-7_1m zM;COYk2wgKV5RII*UD-eDS$Y;OvjU)riMFfMSBP6q4tk8^&r zS8)r9yAHiKfw6jrC*gxDNIEQao_4G(FM(l*U)*q78!C93%r%~-e;mmG3B^b`_OjqI z20y_7c4bj2vC*-x)EPIpS-3bD;4^!fsAYiOG`njLa(C$F$gJ&7DoI6L(0}SVpA0x)g zScA*heSluwq2$9+XM%{!SY}`B0QVYO<`nr&3sDv7Qyoq&Tk~g$3tF6RccZ;j5Ie@e z9a_QFOO*jw$~`AOwcvfM3W2H`!m0+0GvBfzMGWU z(dX5m4@mec=AAKXS4X$E-{0AO`$D?pF0`6=K*Wgsy1sp_q@RJGssJ}Bx%Z!BO>B$6 zDg^DmJEiA_fkMLk8!*tCGSGsU(Q7R`ZP<1ZzJiN|BIZ%x>=GR4Y#)Qx5bKEVxv;4r?0;}~eccLxkN$;>^AGO6FFOc28Xm_2f=epV zRc&<3FknbGm8?70*lE*g*1)yDMj+QLEc*tmTRhI4As-t;;S~ihC;$uTC$>8X{4fy0 zHb17?R;T;x%GhpiT!LtDNZYUos) zo+n@|;lA<8(D94#4a*SEIoQ@g*w>$&{OmGV_^bK*^Ueg#>jXd1%dQpVff8$K%C3ic z1;XzD_|^1ED3fEnS;NOl45qOTN8>rSf751PI)ZlrN9;$!Qq2u);Gk6q8=I# zpzr(dfc$<}b>qdJx!Z>JS==6mj>)RtMRNGdtS3?h{H(Gfr8i>yRaiGk27&UU)t2+g zxF*Y;2a#4ST&O}KC;ilWTim7%jSt5cUr8JVx6E4C1~?Z9L2@xSy({bysk3WM0e($I z{M^{N{y#un$$3}x`X`lh;Y6bm_*b_%71-!pjaXP2EvMt}-7BvcxKS+H1|GB&zBrPS zBB->pBXI{Z5K~v-7?gWD=S07dN*HsK69kk=;-nZ#m-ZFO;b{}=aK*Ebe;OWFJDlEM zkk4TPNGyO$#215h=9uOZ8@=tZZ7{8Gby=3j0uI>4)D5)(AZ%oAr#|-zDP{WR2@Lwn zRd&7qm>+k-+B$EE0~_>*BK9-q;07C5{z-Es{IhyixB|&#K<5SKgEs?-lPm7ijdBY} zxfh@^5aL_2a-qU`0Q)bWhO94|&IB%N1iUqZSAcR~>`p-+ zqL8C4a1PlxcP5+%w9X^IzJ{7Us%`L2PSeX%R9$_|&GF~*0&TuIu@Y^&sDS>hG~TmC zhS-L{*i@9_be-|HfB4uc>aT>kn6#nUgk24P4I~_fzLep~8cl0=xwRE$!t>9O^yT~Q ziJQV?wWzo0-?Cv-zG0_@2w-FZQke`eO9m%LtG>Nkl<^Iu&mbu_%-;b21aKe&1VUPQ z_>{XqL@_`?iup6#4kFfYTP`m+0YM`GRZ_Xm-HKQ}yx5#PNk&{G(08tsPfg3KRC%Nh znLxuMxtNbhS!IQQ@-lY*B9@-7?%hN=9DcIFLIGbRU`gYgeKVY`Kiri+oG|@%S$t$} zv2?tX5pcI;0LeqJ9Q>AQ{N{wAl00tk1WIwhjJb;pG%pOhSy0C^gb8pr4;w1O?=aw0 zc1wzFj|9g~F}fw0sOmD56N*hI-+*<>v;u9-*(;f@GKB?zR0bx$ADF;}=@AipVih*k z><=PXX3--%B0|>{3DfMuCIv#{PEvMB)e2QDVH4?c9^@JU!mgyYMy^=bLO2^5MAoVz z3b$-{35ZQ@T2cnB@Pp8=4!UOs^1c<}#S15X20~xvY**-AuR@ z8#%LP4+`I8G?4_G%KCo-3J&%0CfB63_$nZhPKlZ_Z?+0~i(SZDQy`jYud&wiz7!#v zvL8x;Xife|3Ph9g(G-ZL?Z@np8zjVB6l=QN4oHH-X{vlar5;Vl7gHdblrN<~v<7`O z1)^2-H9)xLT{^sGcxmcr{{~QO(+SVkq(@f8-$|)T!+#eL+X(o+#KcyxUMT3!G)xF7 z{XnW?_LFA6A4`aN>7mth7a-YPd3TBsO_h59u{HabDPlBTe&rzM*T7`!`};I0T1|gW zmZCL@KdEM!VIG9DM}jJvkcR+~Bt(O(v_np_L$0?&J_bm(wl~;?+-Qf~WQW`g2)F6i zjts3@GM;)F_X)eCPXc0dyjvVFpK`$5YFFnr2Qi-pCc7VQw<7MaB0d9z#q&OEhkVWs z`Me$S1v})6cF30i$+p`QQJjr9+=_UT6|oHni)Ami3VEen$fb73Rd&d~+94kRBxOrT zo2NeLg!+&Z>RKn%bxx@3olqZkLVYA1<%OpBXgW$e^&Sf!vAD@jltWg=(oDKGuT=i96`UTsBOClTqJ-q%Y+`V$>D0f8wY{qpS& zoEW(6uzVP=Lk_3EUG+oYvb*6&R>Y63h@SufjkE5O|I`k-%UaLfR>VD4#LujVpIZ^X zup)kGMf}Q&__Y;ruNCndE8@3S#P5Kx^zQGiLjGVy{LzZI&#uUytU~@`Mf}x@xZjF+ z00@iCPQ*4^_Ru^PLyCjEQahpCJ+?A>mtt3V=bam+Pr^~IKBwAvPQB6HJ+ON5>akVb z<=(&Tu9hqPC`$}{-#E1bjbjJW+?@6A3XiupY;0}QiX)q|KScqjuIS2FJ9*rNLbkgv z_3@K0r(B+R;F4iW3Ph{t!W4+7WRyzRra&|)zX1e&nfXM)b=K9}$te|us+67w2yKiT z=A*|CFCWBjs}E_#k>qHKI4?v!KLrvZN}e6^QMAl(O;3vM1+U>&iw;mE&R7in=4hXwA47CE1>ZL?;@c)1EP)r(;Yv#nB&J-C{HiN1RU*(PS7 zQclGHZVmTPf8OnK3a{95Wo{f84!$=5dIb#{E){x8hYA_xOV}A5>Cw-sx58N60kXZ-zhXNjmQC&+xf5 zm41wtK1@p=s-+(;)Axh-88Qui&rH7tW!3w4&#-JR54K$$O0LSAu`16Kn=+W!`Bk}2 zOG@iFl~!>Qo-|u|8ebZn^7JxWUdOtmXQS^O@DIE&5VTpzn(r9nV2{^1-)(Z0|80y% zg~d;K&bb|K(&P_A{wI-Vtm?GI{|@{az^gb7e~ng;!eAXB>KVyVpW=0m-y-Y9gGG@x z;YoavKV7T)Mqp1t{$|AZeb5M=^?eNVrJ#RC$~jnNI z56@erC(9V?5|PI`M8w@P##%?@RXNryA}{BHQUhz0Pe~fjXBc;-0nl#MXsid%w{V?O z;@YT__zWq}Ld^PKjieA@Cj)X%sH8sk^U{D)*7*Il9dChM0cqVf%0`{Ws^3nN^0D78Bf8Pnw|rB|{Iu=PVooNhkPqsk%Fg zsn9t%J{xUEN3x_13f{eBOSvyK=l18=SA8q@xDxA-`&@}-ia#mlK3)>tr0IHa%g!uM z%RfzGId=E-!MDR%XPR!LU$z(Z+tcg(JG|33f#0RR7Z0i;)ZY!pQppOt4RukwD@ z1GQ2pQcx*3v_IkBeoiAFp|ZBC5Rd|2yF30G2L znXZbuIwai_z3Ldf`Ww=7t(>C@Kdoo`Ky+@5=;Gdps+M6}rZBzU*_{`oTz5mt+;w%$ zH2OsI-WbiA>(b4>UmpZ`~D2%N=>udq$*w~Q6 z2lQ-8l`GywUfZOxgBQWl1dT9@Sjf0L@bPjMlTbL~MZfWLUNq-STv(0&>y>b{KxYv7_oV9ztg5P)4_vaW-WDzuUZ9b4q|6vZ{7CwpTQJqrc0i}WmW-wFhk|N+A;6fK`={6 z0v=!?kh_r>UAS)aRa}vZP6^B-e$LcArHqU`_21Wz<^dty&CR1{h5?24Q-%}HT9vOq z4mjy%rsWt#z>zEi04IIv$j2~GgpRr~gpm|Z5p)F6{HJ5Uel$i8Ax#`Cg3thOII_D1 zrh+S2rs@a}!?`QF!$3daf~Q*!AXr9R%y)5vGRt(re*W5&t!PPU8;X0(5ro5G2zv&` z4=&U-BfI6$QL@lBQMyNS`bjH=>I~XwmVh4B`NP*f|%7a%v*>d~` z_?`qE<_`&{4yb<7GV#$_&z| zq-6PHTkRxtMaeg04o(?6NZhakX#q&zP3T3+Q*)6A8S$PD1usAGo^TXFVbXf}OOz$bmEqy>Wa&!5S6J>GCArsjvFxIf2=HR)Z&?!>{FC!&QwcyyczX!ku zD~s>C5h2{1L{E&t2cErzUotxvJj(aLUxbI7ROd7zeQ2k87FVRc13^Qqk|BAU%N}b- zjPxgRK38x@&G<`1A$gS&MSVr(8ML4jg&vEkBod%Dl)Ve{s`Aclh>$kqj>Gfxup|&J z>y$%}wygUPmt<*1a!M0XA6~kC18xn0j!JX5sRelvSC^35cU0D(tFRRGr5<>J>bqG; zpAC2*N|IGJe7QmkUbg}sD%=wdxEL5i^OM{ajfukFkSuAta%=l4q>gb-)RRqMIA7)P zuDjJ6j2QnI{oVNQVq8o}H!p=5lfsmD6iac-_e`N|S37gpV65D-dK*yJtaKsylQ~o! z5W%x$GM(D_WJ?M9Q_rVd#Ug#3`hD*TJTJ@3_3lt?iq7`k)zc9g%c-hmdR#ZdP{!@0 zz1yLvFx|WzoW9xNmhYKLg1`9b_BSyGQAdWW`JPzr`B9MwiYrP^e*s()|Mc8_Q3}8# dMQG)AN)+Ro|00cI^dd@+Cq@k6{{a91|Nl(?&5Zy6 diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index 55f5765..4213248 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,36 +1,36 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567385053238 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567385053238 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Sep 01 20:44:13 2019 " "Processing started: Sun Sep 01 20:44:13 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567385053238 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567385053238 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567385053238 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567385053456 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(41) " "Verilog HDL warning at GR8RAM.v(41): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 41 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567385053481 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(49) " "Verilog HDL warning at GR8RAM.v(49): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 49 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567385053481 ""} -{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(177) " "Verilog HDL information at GR8RAM.v(177): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 177 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567385053481 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567385053482 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567385053482 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567385053522 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(33) " "Verilog HDL assignment warning at GR8RAM.v(33): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 33 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567385053523 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567385053523 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(137) " "Verilog HDL assignment warning at GR8RAM.v(137): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 137 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567385053524 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 23 GR8RAM.v(162) " "Verilog HDL assignment warning at GR8RAM.v(162): truncated value with size 32 to match size of target (23)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567385053524 "|GR8RAM"} -{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053598 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567385053598 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "2 " "Inferred 2 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053599 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053599 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567385053599 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053623 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053624 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053624 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053624 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567385053624 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053641 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053641 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053641 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567385053641 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053656 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053665 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053667 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053679 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053688 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053689 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385053692 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add3 " "Instantiated megafunction \"lpm_add_sub:Add3\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 23 " "Parameter \"LPM_WIDTH\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053692 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053692 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053692 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053692 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567385053692 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|addcore:adder\[2\] lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|addcore:adder\[2\]\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053694 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|look_add:look_ahead_unit lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053700 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|altshift:result_ext_latency_ffs lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 162 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567385053701 ""} -{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "31 " "Ignored 31 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "31 " "Ignored 31 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567385053756 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567385053756 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567385053836 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567385053836 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567385053836 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "8 " "Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MODE " "No output dependent on input pin \"MODE\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|MODE"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567385054051 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567385054051 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "170 " "Implemented 170 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567385054052 ""} { "Info" "ICUT_CUT_TM_OPINS" "20 " "Implemented 20 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567385054052 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567385054052 ""} { "Info" "ICUT_CUT_TM_MCELLS" "102 " "Implemented 102 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567385054052 ""} { "Info" "ICUT_CUT_TM_SEXPS" "5 " "Implemented 5 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567385054052 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567385054052 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567385054089 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4587 " "Peak virtual memory: 4587 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567385054124 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Sep 01 20:44:14 2019 " "Processing ended: Sun Sep 01 20:44:14 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567385054124 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567385054124 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567385054124 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567385054124 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567402877574 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567402877574 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 01:41:17 2019 " "Processing started: Mon Sep 02 01:41:17 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567402877574 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567402877574 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567402877574 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567402881230 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(40) " "Verilog HDL warning at GR8RAM.v(40): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 40 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567402881340 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(48) " "Verilog HDL warning at GR8RAM.v(48): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 48 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567402881340 ""} +{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(175) " "Verilog HDL information at GR8RAM.v(175): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 175 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567402881340 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567402881340 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567402881340 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567402881824 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(33) " "Verilog HDL assignment warning at GR8RAM.v(33): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567402881840 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(130) " "Verilog HDL assignment warning at GR8RAM.v(130): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 130 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567402881840 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(135) " "Verilog HDL assignment warning at GR8RAM.v(135): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 135 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567402881840 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 23 GR8RAM.v(159) " "Verilog HDL assignment warning at GR8RAM.v(159): truncated value with size 32 to match size of target (23)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567402881840 "|GR8RAM"} +{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402882199 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567402882199 ""} +{ "Info" "ILPMS_INFERENCING_SUMMARY" "2 " "Inferred 2 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402882199 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402882199 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567402882199 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402882699 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402882699 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402882699 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402882699 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567402882699 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402883012 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402883012 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402883012 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402883012 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402883012 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567402883012 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402883277 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402883637 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402883652 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402883934 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402884215 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 33 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402884230 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402884277 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add3 " "Instantiated megafunction \"lpm_add_sub:Add3\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 23 " "Parameter \"LPM_WIDTH\" = \"23\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402884277 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402884277 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402884277 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402884277 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567402884277 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|addcore:adder\[2\] lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|addcore:adder\[2\]\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402884293 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|look_add:look_ahead_unit lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402884387 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add3\|altshift:result_ext_latency_ffs lpm_add_sub:Add3 " "Elaborated megafunction instantiation \"lpm_add_sub:Add3\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add3\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567402884387 ""} +{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "31 " "Ignored 31 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "31 " "Ignored 31 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567402884715 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567402884715 ""} +{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567402884871 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567402884871 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567402884871 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "8 " "Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402885324 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402885324 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MODE " "No output dependent on input pin \"MODE\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402885324 "|GR8RAM|MODE"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402885324 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402885324 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402885324 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402885324 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567402885324 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567402885324 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "165 " "Implemented 165 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567402885324 ""} { "Info" "ICUT_CUT_TM_OPINS" "20 " "Implemented 20 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567402885324 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567402885324 ""} { "Info" "ICUT_CUT_TM_MCELLS" "102 " "Implemented 102 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567402885324 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567402885324 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567402885605 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "303 " "Peak virtual memory: 303 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402885715 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:41:25 2019 " "Processing ended: Mon Sep 02 01:41:25 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402885715 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402885715 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402885715 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567402885715 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index 142bd0c6c1f82c2601625f296b4f81f442bd8ee6..4336af5fa9c8bbddf96e6d3ae509d75779bb29ae 100755 GIT binary patch delta 1101 zcmV-T1hV_137H9yTYriJ00000006K700000005Z;00000004La>{UyT(=ZTj0f7hz z!G#0jFee^TcS%}a%ZaiA1fr;HS3FM0O`WWfV@G}f;R1h?zrhXhSU$(;wugliH;5E@ zY|nf%^LfVJ-{0T=iNDu<{eCZjSh4!G;k7nyxv@U>k6`u#R)624^+#A;#L`$X*;rxe z63rUr_IQwIzN(mM*6o+_2?#CLH{gW-?@j=Iymf`}&Oq4Z)(KV8L*`3vmqDzL{ZE*E zMIhl{-}o>8Qw-ci#hgaQPk6~BJ=IR+{4fIgU(gBLx^9dp_Z(f>zDn8FR<wS_`Hc(%#kHQ6VI^R2W@h2df3a0TtTtQipCy zt_YP&zXhUbnFr`u>iWM$jHbFCI4ve8A_(L9YY(Gvuyz;H72bDJAc2W1+U zhV$Tju;hJ$qs7s1deG6kvRqR}l#y>%*HYwcE;(UrJ+Kw#0Yd{y-U+t{YN1w%nCk#J zFh|Yu@PBbBBJnmcs{vShR7fm}WS!c0bdhsQ6;r-ox$_2G&YNW(;n0E7E+riofm_r8 z^N7TV4}p7Ooe^{Iw6U8+Q^^?|8eX76I*yaI6c18s11-F1Yi_|E6Vh%b{oYx0!>)E{ zj*8_*=UmFwg<6DG39m@hsD)bXeO_Ixzke}6n}6?2E&*od^Bi?icu5;H!D-HTP3e%* z?9TP<&hQ4I-L`_yUhe|_XC9^REj@Zfqv6I}IC{>FMHRFfy%a+>+Dtbyy5|Lm8;$^V z^IA5%*0$|zN*T=_(+fVQ`?1!dVR%Az&;S97p0cXD5yB((aOd{UcoNmlW7yU8hU9O# zq<{O$3D^8VJ(xdWm5|*Fc@p!<_~orMO=l7CTnl&@*T=AvrNgLp>OgHp_))Cg9Q^_S z0RR7Z0pez0WZ+<6V2CobOa@X6+&~=U;uIg`8sHxk0uo_h0%B%{3qaB?CQy?RNJ|1S zNKtU8udicJWPFIDlaFh>zmu1%Geiq3P=5g%!yX{no15?(q(um-#oaN~-8C4hmXV#o z04Q#@ao2tz#UO#I#>FwjG2Ye3)z{SzVJa(w08mxzj)$-KfHce@5C;T@1bOe=)K!8~_UQIXX@T`9=q( z#K+a$(Ff)oF0hiL-<~-FRe&7|HpMj}1g3(C;RH~|*vBmzIZ)jEeOz3FU~0G-b^z5( Tx_K1jY{Ux|)G!d<1q31> z1Q!m7!<m>j_oTr`+tE}-@Wxmw0ek3BSCnj znT9opD`C#4lVe*HL{+QybNL1eHP$!8asS_)Ao%s#6^3^Qg;S~x6FD3Zn^QdxVs&hP z!r500#Qm!q|K)#*foE7Tw=v@*niCGk(y)YHMnL-ucEYYKE5-6N1M9mR5N&Nm-F_Gi zV8jho1a_suK7S&HNfEq}R)N(NWOm8+BMm9GOfJAk$#n;~%vl1N6^XOf5D<}q#J*CX zWo9mjqTrSqbtf{TVKkmhpd`u&RP-3Z0;E%05K)1&rnCk!#;Jx($pUw9H3M`2nN&2F zu3Lh2CK7H_C#UornSfHsT#=%1OA=#Yh2?dE9&BUjBYz-T%Y+d_Q%GfE3o4A)L^~$X zOW~(375ctZRBK*=w$pbsF%TG8M}tHbx(+;%B4wV9CenuHiH`}6FhGF}2eF;%M6uE- z!zfpjI_I4^?_)e#JQ@o74ZTat6=jUl^G(WcnPs4WSefZ}EwckKsK3=%*LG8U@F#WBP& z-qpv|*VPYUDl3BmlM4hHcK{n)bF!oy$bmZqq6KO^BPW9a(3N3qmcAf0fN%YLOO?)!nx%fBdR(=P2B0$aCsi&-}lWdvP#@g2|uXRaKppRSA{e7UY?xodFN>8Ul|2 z@!sbZMOAfIn{5ue;Rd003?Jz1Cu}$(J|rz@%ZUVJxUswVFMQBDZARas#9Xz{gF`I@ z=bnZ#RR{e@kO?H;b1yUDO<$pW0g~cPw@W?CCV$MS#^dwx`ACTKH-I+oQE^H6a4J&l ziRZtypbkexnIk?kw~QAjqWqm;pJjL`y(Vs@hDfHup*MJlgEk3@CxqU2Wu_?KvuUwg zcY~f7{kOi|gZn0ug}Ba78m0Cwdt&CMDeAm*Q(BKAa4{xe3p!lG#$iUNx9R!AXf}U; zb1cr)TvB-yvZt)K7M;~PXS`0fPTU$KA=qUta;&@;-e(-`tSr_HyCxx29+r3G9c5R< z2W`uJ0WZ|e%k-FTKGET7jR197pnf7Z8!Y!pJquj{xNFbd)$l=W2_Ea72Ch*-aWyV# zo&>LgHb7e-tr3>-%lR0$`5fn}eayvt`0>nZwcI{B<*Md1yNpUSaUxe>2IiPl>H=C2 z&N1hi7`JuY>YSw){e|EEm*uK%QHPGTXiy9I#;?0|-&I@fGFIG7hML9)R@I8Ffto88Ta6uwh%uBnfVeza;uZlo{cQ2O_|<{ znI^$~CK^A{d718Sc;pKfXCnF-t-`6?mSI$d*2FTu5wxdG$7Irm3I0Qx%;DI&4*Nt9 zoj>~*nl_$HXy9GGQsE2T`wTi+hd~XjVob>~OTdsp}L3bRg4nV-2UpPYP7%efE#q`Lm@Z61K-SqCyn7L<1Rnc)$)7g$Wt=2MlyRo;OemCYP;3)PAO0K7|@iFS} zzww4SpdY*H)cP(x3v+@&gA4*o$7qG;%I4Pxl4J1~G<>)s6pae_ZO3U~}h zpJS|+0meWy6-CYYTMO>u3r?1DNB$_ea=8W@JJKI4d!6xxg&oTuqIxNpCl=>n)E6Bo zSuuwfW`Hai^nalGOlV?|e@=P2`Fu8>FjTB{g62dqQ~q_h;q%r%__YFno9N|dk?vc& zKPsw=Z{OazcT&_wJ=|NSm34N$FmEWRTE!x1Cv56gHMD!{1Ul*XJQ@LVv-+rF5({i= z$)8G@>~qJSVk2H`uVTN!>DN!@s)~4A4JLgw+&%LL2ch$$MN56F!%UYdCR!0j6g~x7 zKGhrfnb)5pULri`u4F%(TUO^hQFN+{w9Bl&o39DHol0L75`v@{u$BGe5%8EXH%EF& z4*C-B$fEv!yf81^RU88jSZ?gtE&vw3b)RLQV~7ZM1b3Ad^2ZA69W*zYepML(WDF`b zV}R;_)SG4uk3Qd4DK-8bX!}usEM*_1|AsVq-^YmnStsY6L+cgvZs|A7eZ8#uBt z`a|WUhsFr^WH&m1t@6^gufhdb!GQe zWgfrspB$ijnEp<{JkHoksQTbz44uX7 zEeuo+G+IRG-x8{UWzlMEP(d{o=#Ul*M0m3~9GuO}Dbj{Hj)D!zJO`D*9~ zI^+^UN8Un3aUiWXDn8!iFSM-XEVoCjY=DCJ&vda)tyku^wAuKO>2r~>Y!R-;RH@*t zloM!c#iSaB*~z*=anjDG4N82eQMrv^HdCzbQW9*~`hZ*SnojOCQDb zvUcv^_BD!x=SK#-D+0Lv14kBq#%{{UsNCI`e#vrrBa*eztcQ?gXq@c01@sJW9iwxH zFe_5qVfo2s+Sn)+fD`0g&!{D6dq!t1m&Z^z$3Dp)UiP;38D3#-y<_RqrWIxY6=&;v zW#U0>7N<)n=vyIEr+ZJ6|IDl+*rgR?*xjkn%){~UUC@x!AS!1T zsccZlf0(qN8y?TNoz4gzPYV5eOfVUM%IPM>3O=g~wv@$YM3QC-gHuncvWC@S3d0kT zD7*gHds5FNdh%C7LSZD~eu++Ag?XR}vlg6QdsUZd`Qq|b)_sI~jr$^zpb9GlxBFs5 zMhSuGJG*zmCNbzU-W=}IhtzS1tM$mB&7W6RrzcZa9G2o!A9o zc#P7+P@>~mQVJM8ZO6g<4+T_rS-}E{r8%0*oIv3Oev&%JSuj*@S9Y&3Jru>$1(_o> zcZHt;&uJQ*9w0D$ZzJ7<_zq#_`P5lqZY|RAO9Vmit$!Nxt%Ok@#%&#NKi&x2QwP*< zy;xU-{qX5(6X%NdQ188l1Bmh^Ffs1EL`{Ae#mt}qq|)yk7mZp3omI$<4& z4DJ~~WpBM2dJThZf!Ko^_^-9Bx-PNa4!ZkCu)C5K{Nvday6J2xoeUP0Rz`zTTccXp zb?twL-K*4pLkZbO0sT-E2YXlchQ(aWsGPt@jwrsckQfA>uw$hyHbeINf2sLzMm5n6 zQSuC7YMJdRZ?)Qfuh$B~$Txgo7qm)4YnM6X;6>&1_)#gw4JO`(L@!-Lq|2iuP$2 z3s0p!rVI2DSNbxbn>Y@Ap`3*=LQu0MmgpCc7kFdVc(XEI5lML#r|q1YlDRMi?j`R^ zVQ|G?TbSLOiwb(BGC=r#GkU#@d^D4YSh!vto6Y>`AUi3h0pz#c$7K&H(4q9!w}7GB zJ!;_$&OT~3zwxVb3fWh)?(}N!Mr6qbjAqCCNT(hw=j9e%@T-P>5_o+^<4KAr_>fN?IkTvJ`HxIF-QOQ>Ek9Z)_nH%G7*Zh3f(eJWsKXmRvo2; zFhixQWSu0-;J>Cx?9`Mt^Iw>=qi0Y@h2j^6F;`!x(`I}pYk)A5F3rKjvW`&`_EN}! zG$o<(B0Uv$M8`@h^Qxe9K7{R;g6xG6xNZ{Yrm~J{M1R*TNj-AT6Y@#^6-St9feVX0 zF@Zo9OBUS4T63s)VJR-nY~Dkf8Z0^~2Hj1Svjo=?<%OId99h_fDtBd)s(60LCCUvg zJFQCDfWf;#>@_$ANj}+c+JsuH(o2nB0RO$FJB-%sjP^F!0c?>ZClc13@eRATZFF19 z_>#luX@S{I0=J_NW6@pFsq9ik&0yZJVs6@S#LhT~rY@IJwQW&U)nifgS*@OyvN^** zPQCv2B`l!Bx-*9z$Iup`l-E>t5W3jOFggc2tiiF^&9_p+nowt7>gDUkGjs4sO!pWd zVfg6|w#tyl{b&_%NissW>A#Xa_-V}%_O50R^IWxwdacnywN+=X(rz$P>e%Nkx97i- zH8^FH`WYK7J$Mus)+AzMYxf4^`o;tJ`ME1F{T;7Xo+I8@x0}wT zldDRy-rrpF`8_r2gs{3*v^!s=y6gR81{@It=7B&Hj1 z=O0Ifluy6*7V~_ejB+>Y#WkC>1POmQ+{YU|lSiI(laq!q{v6}`?j4yBU<&+UyxaSR z^rxTUtt9x*Z93U)Oh5%;Ij|(SKb;`8BRlYuy){@e?`*sOAQZ2=mhXhP7TQ`5MV`#B z{rZ{`O<&iui=`x6-(E8S!0{D})xedR_}U3H4R8Ruy!jP4)nhaU(eFP%3ww$&gM5iG z`$Kd4u|3Rx2-wcEd(au$Qq(vam-LnXIeNNoU~CS{LGo+XB6+EaVEEatWQ*61uh_WGxWYUZ@3$CEX>QsNXy5qv^heQhaFkqd(ie{Z%2@ zzfuFnzqu2dx`nNp^5RccsZzKVlHutt482a{7=Di=*WF3DfK@2=;SzHt>GtTCa6iW9 zC4kUVWtf{k>DgasW8Bl9k=xu~*)ffSh<>upk&3$~-RjyroQn4KBfdd@3~Ea3o?5_| zdR}=kY^m$n=nnVc@aB!tvSNcFXOB*6!+pV|$8*kNNJ|KPGvvzIE6LzjY?ZuQl_Owe zK+L&BE3(zOYyrf*kEdF09bSf%0!Fhxi+VNr$^V9w031iQAt$}l96%m|ntUg^x_#$` zJ)JK?5qO;>hNkeU?VQr16AZzh&eu_BynCvD-Yk|TunP^ELJ^Fc;72O_?de%qjcvcb zxN%ShBN1G|m@jY=h@b@9P{w~zaD?D|6-gq4<}ARNZX03=A^78vhWjHJe+FHKA%u87 zBjia+hf{`6A*hoO zwVv$v=`tlKh-uS{jXdgCg9H2}Ajra(-JO`q7q6Y#g%UpGDz6?dRy#y zSjffCdmFBC7Pc-t^NmbGte>lWb_OfW)vPfCd0H>)-~+j!tVUS2pEE#5KO*bc4a(X< ze5P9u)3y)cjdRnBWfgc0CB4ua-!Tv#U0r8!@Gf%!Znlu>UH{0}jg`xDI4W*}nyKQw zWSG|Q1ADT{ow0Gw=M#(t+j^B{7Z#vQn+gxn^Fu_-FR={}prEq71tqh-ieH1S(B@W; zxHrf*Z11&%7OYM5^|PwkU+$9qjiI;^kj{~FFJo+T&7SBX7yvDY=q?ol$GN9>EoAQb zVB41rN9YfEDN*P5M+^^RjJ6vRTA}VkYP<%SX6+Z!lLA0`xZ#KB5zCu|o?Z^=`+ktf zW^Xp$d=P}Z``qy7oVQUS7YhbPwNofj)5ShWZ@5nj&M^jo!?H0IID0tlW>bDI&%P-H zJbOhiVv}@hC`sP*{%gGBhacn9ds?9z!_7o|oYE!rbJ1T>RQ-ZtcNtEoIA`VjfhZmv z5tvgwD%$OBsiQhH_-r?eF>z6b?p{3p4MaTb4jtBKfxl^6Mgkb8Lb})%>1epgNCxtl zw)a`XM4t#j-{UJzN+48x6EaP9O0n}T2YW3C9T7A-&1NP%$1+wW?(9kfzu6I9T#GiC zcV!du%f{En>evxtT~Q6}rKeCgaRvA1vg%`j=0armn0ZHurQDaJS?Z?;j7F7OTUoph zU&#B>>It&Lrl1THX@tXozzYhc!jHnKN@!-A!NqFo11Xv&*ftJ!1bH0B`a=3WSe^t# zxo%R-O3Ky!b98SHV}yoL7UB{;V4s>%CaxU*_EBwIM{H&PIYEy2U};7G1BAiN2*BsPW2q zUTu8s?DdKZcc*$TyT^*vRn@VZ>l}~Wiq&@6j2;Ul!Xw3IS_6%MBpNLugJQLoTQ_R2 z_sZ*7ZlBR7(n4#Q9g8&aYqUpU-tTt;ei2dI{#ah>O}7V6Iojx2BgbLd$gSA>+*Y(^ zO*6(BWD5UGe6#N$N|6RSsn_e0SElcY8Oou(*odEAIVtE}Khm)e3XYIEx-kwM!ncxX z4wRWPJ+sEDd-6&^M82D%I)c_${(S0>7~SkKLDGYb+Iq&=h4BPhUA69v#IM(GW}gXw zwZ7UXDU;ZlmrmvV))7rwX38E-*xTe~aal(CVcqPC7`s3nfFj>L^)>xN?X|YE;@+f+ zo5EV9@c9PjqF|a_Z?>j`+fZLw-~#qxi}~SETv{vVb-5xw(|FzNky&XS7oPl_qRWhx>tjAzJiWx^d)Z;Y?*RZ@qQstrLy@Nz7IEljotn*St*`yHYsQHw4Mzm4S>GeADRQ;1H>#m^mNu54ZecB#Kqc%_|>!vo)XgRCwK2z-- zM!%bPpPp5;fAo?c@rv)V4?rw9iA!Z^$WIj5ezpjEeo&j^XwFJ+P91^)AXjcEC3_eb z%q4fX{nrHKUY6*Z))>0bcbU-qsq%_Qj}E-NcPylQ0+ht`8|EtCsvXptQ`KLQGj~ng z{$p24H&X9BrS)*vt*^hLqf2;`%%f0vAYn~7lC&;u+Isa1iZl(J`m)ClH(MXv)ko}= z!;Legc6g{KJ?!KOWMp-aeb^5@GZi|bE`7I5UvFqr>RwMy&RJ-_;UNPW{OJVxYK2}e zFDBo3@_p%cOLkM|+J+?lW2&Y8SKq)90lN1V=Dkn56sM~h--qNMRTnph+lzgjA0v+j zCski|=5G>X0Zy-OUud@M4YoYQGhb5A`6sBY1i1#Z0UmRJFB}H!kp=7VJ7mDuFZi!2+@mqIF_6#N z7njt4!q=Z*`sQEnnhS%IwCU&R z$Nra4!p(NrXWOjD9mdJrzqjo2Z`}|2@AD_GILyA+q%*V4VHT96w+sl3RFmUq>S6vs zLUkP)tzquksBt9BvMuEnu2AnL*XVIAZ+T+jDaBnqIt#D(nPi%n&3fE@QL2=#HA$PH zlrt0|Tne(XFrQjEMf#jb^tO`y9FdANp9Yy<2NKgO=v83PRD*OPsbpqK;U!>q__QkJ zZ>{#x;|;RVLaAhGKFPGs(v)g6zQha0=_Z-8hvBgNOgsCOm;SEG-I4YhQpObjZDCA^Gl-Rtm;M07gw#zTX9n(y(kGV?AcN>Q zh9lDfc!jn}g8gkm?p#VBN8P$ywhuoRnpQvFEPiNuIJ)_X)~8&hO?K8WjoPJZCx6O^ zoJQ=NnrpJYX@1rWea?bWp{TZz;@Cb@*qfe2>};(=NEvV#s_a86hu8%qYR~HCGi~Q> zfBp~KN^iD4MNzvQHA%&%U8USmC`cJgz;E@}%^_r`N&S7gtXa^$V#Mv zzw0Mt71GU@);IV{WLe(*)n*kE!PkJE!6eCSPRZ2$@s7jxcjLO!hnp{?j@BGFv; z`GR%>(GsB3{9CYVq3~B=Yn|D{Q2lEr`W3>TE0YC(gpCK&EZ=pScLUM2nxXFD>n-~rt_5SBXy)M?E&IT(I?%6(=JU)J{2@06(65B%;TtRw z0$cON-svncVHTZkeBt5Nl!Gy`XrLh_B`1@!_nx7W!smb64XUy4L1ia49RN}6=jTM` z%o`83Baz;$hvSIWu}>1GY+1>|fdTVNeiA_?^1g=dZd#X#%D@UMajh?2o1k#E6&mj0 zeocAV7U{L?*ru(s1IKJ+Dp7cc$h{QF{a6(S$gFcC{F__*8RQ1DyYk+x`?qb+-_jY| zJ^BVDo{2>k+ac$HzPh-VIJ-`-G{V7mBpjzaxiwSR&)_)6G4EQjBi(jb68px_AO}2i z5=xB?JP}Qc%_P_iT|j!(q;Ah4B3A(y*lcmr*LcInvbz!d9=Rb>e*u|=raUG8eR68j z#czZbq;~DpD*^f@Xo6NPXX`T9J)+Hjy1rWuB=zN4k84=$``$QCZE@pnItiPR4jZ9c zksldx8T9;q`F3mVR|yWAk-FJaZWv48Nj6G=bu+61Z2D%r<`HymESHD~y8=z38FA;? z3$DR4cA47dj%yH%zNT%lHkDBnb{TusIXNpA_d}=-s7bazx+qf<;|;gA36!(6$AX}3 zDS>beE1|J2e;!?tc8_Df3AV<+?i<6kZXUP2QIk(0t(F88z5^z;(!kq+`kkh5B7V$EK$>8LN2|4LWI581wyK-&p}i_@6X@ zi(ekb2?Ek;``Hc_yXa#4M4`oyypUfI%8nYF#tg|SiLM|x{{CmU2k@mGdcQY%xo~(LO;gJWV?sDzo`yH}`{y6}o2NDnTVjd-*^RY!rgK*sRNkszp$>cEoPX zEZl8F`GqhpK_-_*{6`KWRC|KvIirgqC-ybN=ih&3A+X2dDIIegJBx2v=3{Z(Y~Uff zW(?+AdMbkAYNwK|CIh^0AY3w77~|GY{}d1i;eoorEi);O3H~3`KN|@=JJ6xzk({Ne zJyHJL0vXMF8_t*4E744$QMp>p?SE9dG-e@oocvoG;QOx5yh~5E74oTg5qg`7Xra=Kd`#Qaxti<;44rMK zvTY`A8sbm1#wd!%e3djzev*Zbq0}6^ZB&xs46Jh5wN@Lxa!X?Kta@-iASJgzt(?4? znA{wkQhZca405-jMJ4@CLuQp;LVCqkJNon#DWgg4>ew#aZ4(dXK0=t|c5P0&XnDbs zGwpq;Q!A5&dtppI))_m|KyT<=Et8IWQAjq{smqIxeRiSoG(R{J1F->!Gr?S|wS_1# z!4ppyHb>7vEyC1I7x04rDxoW$U@wMBfeA+9v{frEMwFT-O9j(Ym6|vE0Zpm-k{{5O znop&K?t}p!s78{ir@hj#*V<^?YHW7sFtgfqo?7fZN-Oc3YD$ZLW*6T~MX-W|#*6N^ z#=N;O;NB1@m#m(-3)&Mq&+sQ8z+^%UhE8cX_60|lof)-!Y6oy%x5Y~=CQ7}emyFqh z9lRxeT8e<9G{h~mT*&F2sw@gef3VpsGEW{f15X^VE*{>AlO+Hu)+Ffun-Q~7frPCP z`S~voay_!lswI$H8Ys5n&QsPdvxmi%`^~_<)V3RIf*iueL z&rfdtmr@MML3TDTC&lfllKiA7y$D};2L)J4xx44ApPZdkKX+qGvc zgI5?Y&y(`rIs868mf9aNwGKsgFM(0nRPm!CQ%hG?w)=rJ<@?TIlq#$%iVSl2*m^nh z4_3xST&%@~S%|qL_oa;%&%H2wPOipUWw2IHAxna;z_Ft&H|&-k7*$e6fky?vx;Q z|0sR$E4AiJB)w}DlK$zI5ubJFi!HirM;D*Gp-IiT(I)3zXbpE42Nb|lK4r|bRB&K+ zak6q_i!FS{7M|LRE4eZZ>2t9>C14YuEi0Lzr{mf@$+uPFSH^6i{i2}bqI z3;dp$4{LSu9}_&J56zYN8pwV~MJzRy1f0Ivv7~HC3~A}22(k(mh13F#oIGJFIz^&% z2#RD$5A^WR)?|i!u_}83m@*dUqK7|{!5Pkq%S@GPg78d5Y(1*lS@92Dp&nVJYhdxv z_*JsHtECcp z;94*OjDZt%gjQA%3IN5;ZPw9ZE)E$Ie>!&D0R>UT%kLI z*}7%C3v(Qb*^ejLk1GYLVw^rw(hGK2i`SIL!4``lrY7)d2)Sz_~{ktgL#~ZcAk7ATpp^MY&)OsKG1%Uf3Ri8fw}F+6QukOJI$_4zcSY z5s86>z%c9k0E(>g z;fKSFFOK@nd^swVy_q*eR(#Oz3daT(d5B~W#lJzEqd^U75bDH*Kw$4IblXEA@#o+| zm7eGuGVxQXo~-E8E^eXK{CkirB+h(O@J#W=nUPn5h1Ncvb~x*HzW;WRofd9=M|tc; zUUt~*aiL+<(V%F(?DXj?HILIbW>l>ag3wpg#mU6g)zB(n(=x#2Ptw%@+|@(S4&+$e z4ig8a!8A1DHU=>^!6`Ii40?2E+lTxCkZy#0vB}&Nri@s{$rO+QdJQRLuw5zyI zd`x^y9VSLzcIFKmQDJhf%e3%0{SH+2ZA4$wDKay-#1O2)B0Cb9QFI0@n+qFwTC*XY zHC!!b-Hp;)IfisgUzq8IR9aBt@_bJ>$|0%(xH@PvP5jAQZARP-PhZ0fcmf-E+!%V> z>b~Xm0JPa)hreMRPs@tose9rzFe?q%aC--XSbv?H)qUUQzz5QS@b+SEK)4ZKr2okL z;>SKkY@DDoZNhP`Rhh#Hbd-yp{hhHR-XyS8h6Q;7It1I!`;0eO-PlavOA=UJ79F*a z4OEfmVE`n$ru!sZ(P~VzH!~p2;0D`+i^znd=x+rFpJ)6+_uJP3>O~Lepw8F$lh7HD zZl8$b@Wg(cVJp#~lc>*G#Q!Rs7evzS($)jE04(Ap4;X4w&S#So0}iIGC&(#ZmRCi( zQk!jK4D^B45Lf)>BK-0s9QSWHE=**5Zc!f-C!_WP4(}Y@#jE`|>{jB?Rw6scp(Dp3 z6vtth{dmk)BFT1Q(N-cx7m-94(HKy0Uo_bixIGc|=>ZIxW5JZ^ArqqL(U0_a+plT9i9_Lfo0VZF!;_C*oSiDz7@6`!@UW75j04 zE~4-K@DBlwLnV&GguuUbg~-#Tdwh`!EF5PT6yj3{X%Zb zDwR$M3Q|5G5q(=^;6q=1iIbBWg3r#dUeXnb4&xU_3gClmBmP;C$7lE%J$#IF|N1Wv zg1pio%?%Ky{rg{r=fCpWL3y1Zz4zfk-~-f>{@EU~*iMl|IlOp4dDY@L*W)-x;y6wd{l;9ol;Z$vnm~)fM%}7MMJAM#UsPLqn~1xUdod03;iQbj?fl-T?~jV< z?f!~M&HplQJ%!lp69WFgq{G&1A1Aa(#t?FJQ?qp<#&_Q-tcT%-ZJq{{R*N@|mx#yJ zQ3VZaPhv?rD{A_Dty|b_bsPVBJfBHyfnO$e!^Vvxk<+rM)(@iiH7AeH&T+qV$R){T zss{wcAb&UcIXIB?+RkIz9AFCv0F|M+(F<(4KjsjBZ=l)YhdT#;iDM5R#se0SfoMSX zly>k!_(J*vOFfwQr9`oBB0n6!i9`T7xYxw9l{nY&^Eit;`*&SnI{?2r=6=0$5weO2 z5Qck*Jc@iq z5%cg4;@c$h1)OgY7_!Z@ui$B=J={%JmfWK>Q|^&PtY0ANSUB>EK`bLovtpLAnhofP zatiXLf-A8+$RN^rh&+*qJ<*W;cqC5a+Aps4Usylu_aEI7PU|F^%JJ5}63y8c?H;uo zcZSB&Rp#FIp(C_SZ8xcNkYcztJ9B`?3QCdcou#|3+e$>UAFr?Od|aIB#s}9*E*ZfQr8?3D08?b1haVSeM?R z6mwn2I)9N<7Ja2Y2bUkt&W()8iS$4tF*f_DHJ4)&1}*f!Ac+OO;}dKzWzz68+|j9? zsDF|sj1Cta%BYGC!vyc_6paM-%E!4kD$7e~5A*E?Ge&(CkRcRLs$&TH=^psip(IRA zKH>qQysfmQRyamEpZ?Pu)T<1_1oO|0;}Bnv^91kGU_&6o?kb#X7dYCC``YuT>Ph`W zq^*(wc;6aWASt5~>#_$wvhR>aAiF;*gvGZ@fZn#D!^TO@BKB}8&hOKACs?A&Wjomo+xHJ@%MJ3LMIUikh0xXoIMdo z2N6dXQ5(>p*_#=tpGk~*O5Uj72Hlyupl*;yHsrB8!Ze<}3;zMpaZ|r4U?^99_#`KC z4sgaM!lq>+}TjT${f-=6}70;@tBHDyFA;pz2=a^w2rdc!LxEW1`RC zNVp=n9_drAs!oyk70t(uiRtI_webmnfiHu0W z1`(Kp0?f>TEyGbYOL*?GqHHSNu)>7vY@yW~N@V&pZK5Y6ZhR8P;i&<4>jHt7HY%bvXXr4FDzS2Ix#N$S9~VVHo7+$zPtw;5qHrx&*BoB zidi6uiPa))*x?G0?-Ja&ujX{=vs;shRT>uiC6fCsC!P;6Zqmb&2v!r(soC z_VAqZemJLgVRN*jttkI33O4V1e;pwyF6A-3hfFF&?rOQL&g&Z2o=ThA>Iwc?jPe#u z^WXFs!ZWWIHxKvOOhA-H#<4pAV1ci#tg6Rlr#t>>7T=G9r?2(aL@U5|fRG=VXMVHK zSjfcE@HWMyAR7Y~mOua^ch2W-VLEBV1XKjH4tP`2CCpPcFef8OtOM5HbR7rF6Wa74 z1+6Cr8+2&+K0LQz^fviJ_av^yzUV{^WdvM9l2nP_dqiXj?ws6TzJ}1N%9q$}o$asbzkDAo+jr(g zS&~@@)cZ2kI2`$1R@1b<3I12%g7ri9j5;vjGz$U#%hvz4uOf=d>=!)I87{a`oQ zm)bRqV6$tan}VwT^@?Y0L!Lne)4fmqTcl`n@Cujx{^l!uku2T~-*x%}ilcCxV5TZS zrh&KVlST2HJYwcu>>x`#NN|fI;zVxXR%`!fCpvu;b|& zH~~;UYHbkyT~Q!m#F&fdeVeS~;1><>_*SdHpn$-_^PCmLZNus$fN&4M{RZ~w?&Sz6 z>)j3XCp^_Dnbshjd2&XGSL0FgX~i&I(fQxaJKem24Sa)Wu(Q8jzQ`K{RIix;C}SC$ zQJ+T639m(*>aQ7)(I)F{;t%r(+vHX`JbwscjziqLgo^mLfQGmVGJIMa16oqXI;tk- zxI(>bPx?wo`=S%f+Od7>(vLDF-wcQ#Z%m`9P&CL&N%`oHOz!m8ev0~o)Q>PW6^@zg zdO#ZK)0`QE-jGG`AEdgL3-#bObZ9rw=Xb0Z=s~XP=r*#2x~2>Dps;5t7M&FtraXTa zZ^yG*rJDpah2a2Qsi4~-S+;35hyC%}D5L|rQV$Uq5LS@YYf5U9E)_5>7GNB)T8&ub zLDKUOn*Fu-B}C%4h+2lD%^9O1#W?*?Jzi^Qd>7 zS{5W)tpUT%Mhg)Um>uYt@B3)+b8}U$>pRV7c*t{4YTwobDI@)`h!kdCDstZjKfCz8 zzdeDAHEe8A)lhpuQMD(lx(Q0?BP9#gf+f#F2DjI{npE8Jr>BW+B8!v@43!B5L&3;G z34=1AV3(@@-yUa3q0H3 z$*4>-(kW%^*%|R`4|F-O<8lG4uq~aU%z1(HUN}truCmJK(T3-G)89e&XgnkFGmPYS z4m&?fTkl#`StClcz9O^Wk+p?`+xjZcldIU0dWGQVAjd6k@Mjcn0EIE(=*xXak*!9C z805k`-ps4ZMzkRJRN=U!RSdD<*8vjvh*LRrA?s{1=QAz=E>_scpa& zXkcPQh#mq8GKX$1ol!}zOS;$;=$X%kethc!1~+_Bn}r0y@Rv8R2I#yhy$(UFI3>6% zON$cxe7zs}_RH_qY?7KvEY^*0>^Zq1ylEY=-=OPNpF-9a7p_re80U`a+NroPhVd<_ ze9WA~=ug8Qqhp9!5#Ym2ctp(|TU2Syi1D$bO1urQ$R}E_;w{x ziDPDJKc$I6|NG-?N1rQzG!Ym|s9(MD==S21Ci{s*a@0c={ew_GCCnB-5u+C85kYpL zf-0!u`b2hHMZ6J9F!SSCrwo~KD$?-*lh}C&85YbxrH!YE(rH_By;sORG@g=KO|^ zbRi%K5On&4 zMOq<&{Gv8N;10I8zOTkYf+$JKNJ*6u-i3XYO<-J;D_L=EXA2E{C+UZAtXHM763PpU zv=-LoQCbSSk}i#z!7JCzb3{#DzC3+{af`|lY4_q4+fs6&c_ZQ{z-}xnd%R5!G=3~= zN=4Zuqo*wxH>1=+xKUG+@PH52dQ0SipIh2SU|OzYYn-lla{Hb{Yldr7 zVIKC=e0}>Ri46xT1<%p)hkn0OK4W|35tEu0+@u0h>Cc-z`lJPmePpz0+%JRL`C!=c zz!;ooQ5JfL+4&Dr4>1|yud;dRusZLtG_`TOhpcuAodKub$-Q&8Itp5z`@ z81S>}mT`1Tqe+(Nmi7}DWLn^E9P?|Mk@3Xs&A?vsl7mM1YehFfFeL6M_bac~p6X}4 zT4O~t{_o9!)OLf`be-ISjFcpuCxD!orI4H&-O@oPRw;9G&CaLda>n%zg8S}=74(2B1D?ej;u6xa+JTba(AGV?_kSDhFcP#bDN>U+6jtlfJEZM0Mz QWlue$@zf{5e?CP20|Jh-lmGw# literal 15986 zcmZ9zV{j(j_ca`IV%xTDP3(!CE4IyvZB1<3n%K4{wv#JPp4|Um)%)SCuGM?>>a+Lh zu6?Sz`s_X^ARr*s@Sxv&=kHDQ+x`MLIa}J>5wox{GBdIei@I9cm=d$Hu@WE1#7agc*2FBtsxrhH0ApeaQ%jd`&vP-b?=T=B2VDPWKx&ZwXPpH9 zXFWhKd}06SNu)f<8#;g{r~{IqAVeU&q}{3fVz<+-(u(raZaR{%3(*?bk)?4PU`J8K zB}Ald!LxB3hwa~E*zU^X&laI{A0b|1z2|#SU z5J{zHB-Ik_$Y#HIV2Xx+7onfc9H5|ObI&o^72Nl8CUzO#EcWF~1VgM-k%AHUC(=S^ ze^GOgtqBzs>^_5A#O+EQOO3o_QR9$HeQ;-^PYXEvxbfbu-*(l}36bYeG5sDs#2}?Z zue>{YMz1-Md+$wivpbS=8mSaZu;UAwP8m|rc$NQ+aDQC~RBw zs?NEp?h`P)bXG>Mq?Oko3RE%_0p>9vIo`Nc*!a9) z)k;QuU8eotS`+`gwYE!da$!q=4(VPuN&@bPTF$KereOq)ImN>2Zy8~o3H8WHff8=p zc5$yi+YQqA+}~rtpk}#V90vQNQ3k!&_v^HP{Ap~yq&mmubn|r;^CW38e2VTMD9^)! z&w^6#z>2+W-gQg+vEhDIO3nO^Y0iKheBNKX<%(fvl_f?pT{q9T~tl)G?AQ6rFP#1n|XZn0In~HApw0A4!kJeFp#gXfY!?HJcn<#E?X zCy*-XOZXEv5e=!4@-Q^Wlu7@beT;ir~nID2Hbt5`MLRe zp6{??6ft^naxHf%^?C*Oy#YcdLQc2HZz%eYlM((U$2Cp4Kmy}WiG0)A1_py$T$0MRnq#=yo&ChSl-rb)S~)WugGNh$*Y>Xl zLe|Ey#|X1Wn`K78VU+Bdo3r#*>ZwZVPBjJaTkGV_#X)j@`-Sd*_Cx}3b@_C&lLB<8 zSw=8XxFLU;+zHV;mvdldi~l?i*U(PzrpS3-`U)~H$j8dEc6s|Owdp))bSkS zGELT=`V)fu$W#(g&C8&?oZ8egHY*oi=Q8*Zrw?0w9_{PLH1}p@TIuE=o4J%h(AUS=euvUQ_28Q>gJYtGZ z0b8#k(gcpQp)fJA|FqT#2PN#GKvsD0xFG81bPHFG(j_Hg3ifa5&eI`&enin2YS29% zbPEb7rqa{}lJh{e#QvtKvm}=byd*V(v-!R!!>FI>{F%Y8R@h58EC@dymu;bmJA4P- ze)k_(-XA|U*Wg-*Rx`xMY@)O`hwyTqx@k+rheFteM{t30Gpj6Y2o{vdijU*{wxSOb z`LDk>?&eF$8~QAIM8;ecoO3uYV;VMi8|6g0I%(;eznm29V0bA9a5n1Sf|fL6oLh?O zRA6xQB%MPzihkBg$V_TaDgS~1*&03zB%1`x)qn(wR~q}iQ@3oUWBWG6RGaQ~qM2@u zyEGf)N@o#H+PU7|u9KUFLMqXDIYKLxt7l{-LwMBdLH+h?|E`xmG7$ooiEr?IPuJlHQuLc|ccZRVP$-n84v957 z@FU*n;UwxLtEqqZxl~}-M$OlG&ug-z#IYGt!VL2UVWq~AE?AdkWj za=tVjtX)kmr9y6b{kcjI)9lljOHeH=7N(DUTirkF{t!q5G)DU8Ob4UBL0@vO zfXD(3z11J1H~ydHWZxkYnnhFeLa-&(*n7RqE zUfi+@RJ^NK*0dJ9i6c%EQ!1BZYE}d0L=mxC4t|rAB*`nVK3Md@`riqS)!E|Xc(H%j zgkJ8C&KAy$nys?L{t)2JvI%7yPRN&HN|~y2{%+x7zxJc^KcP2$s&0c%tWyzVs=r-_ zBJ5O=6v;|NLP#fsx+HyT+v4Utrdq$)e*O|HCn^zF}sJ?0kFJ;Ff?KJ;D~^??4H{s)>caQ zToDWET^b!N+88Z=WB3~_-zZtMk=J%IbH-q%3y*oPv=67;-@UA{FJoax<_0a^HS@OJ z&EtSyf2sF#VYj)4X82s7j6D=7DLPl_Tf*(ID(BhAJhHLRY%H{##*ue+H+863&)@|KYygIi*hs(<>z7ox&z>V$$wZWoi?;d;-zaEy7#7Z(|9mK9X zEOW~tR6FREZPO2_cMlrPf5?7jzf83PXiUKo^EHnjm}EbO!Tp%38;E88m&B9oUM%HjSm)C|1sA8*y?|b^P8PuI5pVVxqiFP6(5+c zZNA2jHVAS#WGd42$ILC3amQGAG~@aKm6*cM4CFvNxr$zp4}RB>&~V0;WT$0G-A|g_ zTNncylNJ+7+!o|zj|>+`vIaM_h;adFt7U}*h|TifFN2KVV*`vpERr$TP$@2YD~Kru zON9=bN6!gHO(H*u%$pDf5v93be;O$-wBsUw*&2kP9EhYycIsDNR*pTGSM}LQsE4YW zpW(M(R>HmEd2U4U#%G>VJS1gWS0ReKl7AkT4s}wf=}VW2v82#7W368#k8`iFE(_K~ z%h#rI2!L9Ns&mT?Rk8}8;&QA|>y5x5?ylzrlMf*>M;R8`ro z)n$H^HAWaum2)yCFC+T}3J`E4hzF9d|HP8O3T9J`pr4|P;uj-?Rbc&hepBfD8kY9c z7EGC-9*%nIkU#iA8j(H7G{+IuLR`U*+K3*-W!{J)%!xojT6(xj!-@vRf-zCpd(1L3 zACX0|AdITu#7#o=SQAps?G9H|5e$t3L*@@;+}uz7n|WtU2}5IN)>+jaR>A~=Pi1Em zuInK9y!k)E<4ZpY17F^K9(Rc(5{*36y!2OU6;o6VV#TV=ggJb{xaG+-ZzKZ zo4~XDm3#Lqb102#fxf3J+w9gar{r=6Q?u7L@G&Y(-FKbnF~PXB)!@;p&EWDIhu_%x z#?m*YzA^NT?r$_(c{o<92o-iE{&Ny4s6~Tm>)nFf+_?g~vUdl4YV8bo+tB;_amn~^ z$Sth=QG|?$VH*=?D@UDNQ;6e_ZIHyCCGsyR((M`Q=@Mc8TR-*W@Rg|U&%Fc6(BNroGpwCu_L~k8Z+KJi(Z4fq04R|wCfR?-U#!7-P{eFE)}XB5EwD=0C6dHr1Fw&4sr$-l z64yu66=1DTgZovWtH$o5;|w)x^et_P4Xei9=Ji8cQkXiMSf=$uY_0Sy9x4_lV;O@R z%09FTK_6D%sQAX&H)s`uqO7lxQnAo9ZLDvap}Mt(C*q1t{jG%?dO1LVtx$i5mK}V- z4Ta28As_C|V1dr&u)uqB$07Z*Sw+o9`EJZDJDLhR@^gLV2M3S6b*zoQCE!H#)yQ6= zw*AOEMv>6JZq#DfN#u?NX!&iDtMimViGC>=S(DM228u^KzrltO^nn6N&SL?}K0gEMx2KYd_kl zz9h;P%q781LiT#_@ql6E834ypqITIt9J;?-TgTX?LjicTcETav!-+B@Okj^j zl!#p`zJ=k{__UitP}9V=_G;vB_d362j5(`pGn6f~! zTOx`6mVo_ffy2hPys!pPAL)Te!Co}VcY=k;u?KqMB=}=dap+;MP*}~E-;)xvxS)<* z5MPI{?moQzPDV5})5d_-1~I3xo{iPr73%9C^H$r}1Hy!7r$`HOo-Y{Zs{9cy4O{cp z+k^`Hs#i(&r|}*4#`-?nrvvaN7WJu`MF)^Z8(v|F03K|kH1~>O7Od#6#KlUQz#nrR zXRta+F>PZ#hFHcrdw#Ox@Ss&QL??FExjdUYY|g_Gwwk*vVWv?Lq8SUz_X5Z*L(1!- zKRTfIswk`2vk^EBN}20a32QD$MoS_SrMfT!mDVtNaIyb!F8z&X|A1`-Z`R;vNjtT` zvuGUSf|96=IW3tJZ|<8xe?S8ty;n-mOQd>~u*;EnorY$r{xwEcGDMN)-gSEp_aKPj zsp?M?m+qDs&BbK!N$UMWwA436(t@jr^O&v?=BYjC?ump6H}n7{Y*9zZ!*V2buNy3| z;GNEk1M089-Z&Di(9LZy-mHO9jVuYx)i_b>-q*&~r0M!cv2m@YBS6WD5>oat6{&ZsPX5*RytpO{dC34T zN#8-<-@?3!K_7$D$Lg4>KBd{l_JT3>Y|=!Qu8F1LNrT+#Ia#)rU$#;wW8$uZV`R6| z>^(D~1U1auBekt$zqli7n>V2685t|(*CLlc*=nAw38;oC?Stq1Q`jqNvmG%CD7>gQns2adcl`Va;4})^jJIe zsAI+qvfmfBL+Dv2fX{K9NRGatP8X2{ZA=&XC%MG0?1cL;njGXp{z!?bIX$JLdPv2; zi)enXhQ8F{rt>Yw54VHo*@1ZfOpNomk5&IU)5!D)E?)Y+l+mBuTachf6H|8(`G9*k zfLxNcyBS0Dc#<2R-o=3qO;NAlYiR%pWI6QgT-vzO{3>{KM{o64Mck)Lr9zq0B0)clm1gDZ$uIo?2<0zTm8lT=zYq__8%{HgNX&nD=0!$6_rtzihOnK6X>m4O_TlfT*^(!v7 zM!0W+*6_$%*;{n$QX6TmRhle?jc?J@7`EBwRosQ0;PtW7Y#le#;RoDD0~%4fWx_54 z#)o%F#WxreGeW2D9F=jgErRxy>ZoIB{-}@d@blcSxFp8#X2r^8eD>N-syAc@_$(OW zguh-STLUmFA>dRa&^qN}8Wwy}Pd{)3lJ2$;Vxq|mD14rfX;NKKI05!! zH}cRy7b>P%w>R`Q(tsOQX3_w4~1-QhvOeY6py)!kcY@aZaL(Q zKX-16FqQEGbdrA zw{0jhAkP3;?%zw*M+y9r*`t3r0L<6q*}O!)8qkVqX>0lU2qZjIF-owOFH={qxr|q9 zWsjfL(^vmoxqTj19D0to?=+jxC^;6NDDo=W8hnT<&?zAy3@(c0&dzyUad}P3;LK3K zI;W{ejcp|RatzINiz|5~n^^GcrS|%2{E9WFfgwhkgGyqbFOaFcNPL(`QB=DWX@Q($ zN*63@kOg2F1zKGon^-LoQdS6%-vfQTIkQqH(FOpN&Idj{tl!rT08sB+b24N=AE)Kh zSFgR>2(rh>KLp!ru6RBv4seU!a%V}B$))^S8v>?{Q&qI=0ZG355LAAFXbv*%s$Lgc zBCG%^HA6R=msugHdzby6aDHl)E%GYNp%GmsRkfT}@mO5GZm6oLkDpDX)t&4!pidZ>l{^WtyXyz8J|T zG#oj}*m;tp(7WKEW3Av97g8=U$JsG0k`HABuvRuX>|{rK<^IT!-g1kt9GTydNSFC@ zAT@)7S$wzwVExXG0@GY0Jww+Iz-7LTzr7Z&L63vL#9fw*Ws)+GHAA)hqBDpQ(2^r# z320%oUI19Cmit67?gd_@^NM+@t?*E+@c3+f(u>aE(V6V=GkV{7xAwoiG?-In%FC{g zC$w9`0xqeg`6yP*q}Mmbl36~I!s@7)*mfBCuy$Z6+{SVX$qx(9AF8Gjfu9YDO+HyE zk6I5sM|T{7J{bTX>2*D|t^a(=o{B!Q<8`2VY(E3Uhg5Q4lH8#!<=6Ww$LT?gvfS4JO6f<^zJZuc{Q<@<`xl4Y zi{lDQSnj=0&HKJQQLQicN6fp=n*#oq({K+F;Ec*ZNa3qI>2rAV4(~%ykYZwH>1#U2 z?m~#?b7;-H;hw1WouFDM?aO0f#F{8}=Ok?lO>{p=+F*6@6(-F;MZc#<{M=|PQxhl< zsU}1z(Cg2}PWjoLF`Tnrg{W)wc6r0;vYni(ed7ITE)a_8|kwdCNw4Nt%n64s$ zv4<~=OxIRSeP&we+FNJn?M6!s|n>8xhR%A z0*5!0e6}z0hn&KC$zTsPIbkFTzP7yWNJ(p=k=t_UeKw{JoTFh?)LB9DAM z+7MDQF$sr=kFF0}^FEKBIIp59M-v=$1VdvB*~iUm^sna=wCn^ge2 zR0wk;wGeW#s0F)}LcC1L`&iBI25Z{DUzeM;bGO*a^WDgI4FnQ|EyJ$66RiK3?Kz(1 z6@Ft1TSi=eBGAUOaOZCNH7j^D`w7+5>uzBl2y{oZ#jqf9vapA`3`V@PnXPfOs1)g3 z&#wl(%q3V?nf=Ta<0EK_<81=ueI$wLAg&AXHeuxX4(3-wTxy4L!mWo9tmEW;1dH*Z zHFY~yTfD8K-HiC+ukYs(T+LoVUM9tMLB>DPgmHqcml9}$H_h-iz2xa#&q9g3b%~ur zU&13^qR-knS=ZK6llfcF>!662 zPkBbvv-{gG?9BgWAy`;bQ4y1orxG)E-Qg2bXBTw)IT&{>(&Fd#g)wjA=t;@ga~)#U z%ddAL<+GGD(tepbRdTQ(L#z_V1RO+Az0Xa#sW@4RQqxlDt^4YMO;!J_t0KD%X=67k z)M;aCr(e(m!)#V9RB*|WeQuN;t&_t5t8tnKdXVcr2J7PRl{t9a`*-NuxnOioN?Z`j z&p2UBaDVwR?mg9<&2;=W*X`F%tJ}tSWx(ot^D2=5$hD!7**RSXUK5vONtHBhgw>5N zk?k{Q)G(>0WO$7cvx?T=q%!(J1)e{$?`hP)veEOCkg*T+SH@EGHyJCoM-m*7UYy&p z82&@MK1DoqO1pv8kd#~~7xkD`7uaWhhOq2tdJa`s|83qLKGPY_rwf5uInSEohT@S* zo^5NUa$gjcPRscbU%8sDqwTXws@En*Hu*rL3YlPOoa;O>FV3NRfNPON=R!(}7S}Gy zrk`H#73xq@X<5<~)&a9QrJ&(f8Z|mP8ok!*48WfpABCCnP$~fhWNJ!EhK4YH!AKC8 zKs)7$p~Vx&M#f{q*p||GuX4B(=_dY`>yp157lc?CRbxDJo<+;OEJ_BnqR?h-lD7>M zW!0@MBbR6%F|HPwz7Z!Eh;hY9TQX&gPj6!2OD{5esp&a%=u-|6Che&t6`UfXW;*KX z$4yRYZI5bPcTF?FK^LBU?uXtO5n78`8jQFw%8zPh@7PlVS63qmGUyg12d_4FakX4K z9B>3$$oiHa#A-^q2-Dr!IHyKOFZ4!oPrVj7A;T6OWCh>&Kp zLY{-P!sZO%KmYG*Erhy`#-61|-vb9jlzacTmytRXn*GAKVrhx|(q>{)R7m7quAQ>F zH8SQLaJ8Eshl~9IJf@r8+)S0WLGWbZaJtVA|mJaYHtYXD`HH!)>So;vXn04s9WD%@yU_rx!_jpYoe~`%Z2?rzYbsa zLvyGu!cJ7<#>0#979xqCFZo?`D%nXKkK>4)xddg?l?H&|acEkiGM~J=!2gdB9D-Zb zhu-Ce*Ci@v)4{i4a@)ssKZOH}QYum=6!lv^C+jT}x(|w$Id-~3u!akbix!6@9#Mp+I*;Uc2 zBgaIO(eN4k}MPJajS=cE>Sv~Y zLjPBd>m^mTjeSIzR&{K6)7*&@4rX`` zYGEc=WymzyJ;iAXiFynxQW-&$bm=Wd4dFJ>x>3m~*N%s)761KAc}RViRp;9e+(~<;osu z{E8#NvK3vBt{>`kxVS206G2{x$hWX$WNyXI-(jQ-&b>97RxN1126?V(OGa`Elr`4) z(tDuej?07)a%Ywuv$YB3K{0wn{f6c%HJriWRKJT9n0osV9eTA;#% zIgUyIPbb?QRL?KRP|Ju~EL@zbLCmz2 zWK>NpbT{ysqtZQQ3wqm^?3I#C231SyN?x)kj;d-!5<&BrRCH2IOBJsYgZ8t$AGSzs z2VyVZu3V8yMJ7uLjK&q;l20g(sX6AX-7GazDxX(IK(0_GrbxMXd6`xheU{PYx#!JXNs zYrb_^@+|Uq_AmqII^2o~T2?%3c}=01SwB}X>rY`mkMkxu#)t+vgsG`GeFANR@30i{ zZ%QgF`1Nx1&qVPb5h1i(DSN@xaD`*-0rARK@RFf-;>flPOh*I(|Cnf(53{@C3-jp; zuUPmG0Q?7C_kUaT_50`uhm=4?s@4DZ8j8%`m#-*@@A3l731J;N@9ErK1!f?TxKT~t^17CbU zniG2VXouVtohlc{fn)DB41=n|N^Fc5UNjstj`TPS9-}Cz^FmFd#&VDba4;(Z`)G{D zAv7#1kz0{XH?Q~=&}>x2=GVv>6~Edx<;y*?P3u8+8NPwofXHy_xBr)`YL4JgUuqLq9=8 z3`=>uORNNo?`H{t0{-9?zzEKv6L&Dd#3+hFjtX*oTP4RF7LZZFvh$w4o`hoGU^1}* zfmEuZpyyExD%ez95E^8ba61&yM8tSrg&7OYgw)!QJyVB65QxJnVa`EDRN>2;sCAT`#(PFUoln zA7dkE4;qw(o=wFf&@3siRH~J5ADEBV=5omwpan1z@&FA`Q=~vEYz0%<4%3AZU)2SY zX4sC2_7C-mGVO=x!-l6LPDQ{BitkDJtRT8 zkKehCm+~0h4@iES=G2FgHlz=ee=68&YKfi;61l2JVs4_>@MF0JqbavpvM@lJgby@I z-i`~qm=QxfAPEB{ZE;?-{_q{3=G z%b%7Y16&e?~E?%;!dk5(`X=_dYbLQHT}>@y4oh( zc=-X9Cy64cs5L@cLnRkf6w}KW7{2R+Z8Zb<{UX)^iXxFBcu0Mz$6r4vkoT06cltz} z()E70HMrlJq1mcFssKD@H7DW01jY!AU{1j{%bd2;za-~noi}bXggJ>o2t@x39k|ph ze+o7_ebB8ath+y=Btr2|13B;zTtj|2&_^$X>Aw8TOgDco&I3L3q0NMlCO`i^KhJ{Z zw1EpaLVNBZLLvGCavn&Fk~T2CQM-3@QSML)onVl7lEd(!U|hvRD#ydXg@lqibJ0fYliJ#&>untIWjjg>G?dcPYXIxxPtxY-X#hdRqcJD>8Qg#Ja-7p>H!z=) zT+2hRRVh3*F&$9I@>_aYi!OCfdcZj;Y^vIYKsO4R>|9j%W zjYw0|^BVFGt-YIVTdeBUEvypKpnV%Bu^Yq>`jZive|tH5gzrhh$7;VRK2+)Vgfs_B zwFb=t3~gF6!ZydhbNSOV@Om#~b4u)Lmjnq^v?3ka6j_>}SE364mKoW!6}a4wbC*j| zbRamH#DBoVe_%=202%LeZqI;OP;+$(sTf^5yP)&(LQS#|Kulsg6qK~6u;c@^>;t~oWI68tT zeEoijzr~db_b)FZt!Qyie0ciDaQNd#Ad_ttN2M4=cq7F_GvvC~voXZl(|d^s;m z7oHXGBH2(AmH`V8Eb$soMooao2*aXAt+;CW^{%)~&OtCl;tw3e{@F)WJgELCF%r%m z$-U>OCBG?l{M{?gZV3GWNz|&3{tPK*V>s7MBz;2sCuGP%Hy4;_H7Xy_3+us1+JQ~+o1m6IfJEen5OzcwI zUVqLZjSYr_v4zE=bvbSesXg%TpUc2LzKe9p)?cKP`*x|gq-_eMybokTjK%^{tACFg zlu0MW^z0bzjZlt3MaaDK3yx(`Y+q^Exsu?E!g1_^B74;%sr4ng<0W(_Ng0h$uBW61 zWoD>fH++7BieFDiX@c*)1^z2x(eN}BjL%IfzFZPMK!`|XT{(cShkNJ)Bf&fn<3B*N z5zIXZ@hJf@#(24hM6Mj=LKm(A^9>B!q$Z(A+Or2y5#-(Z6(6UbXOH#YiPG5+{yPDzB@jD`B6Ub@55r2@z1R#c80k!bvlif8GT?!2(+3cH!T zk}L0YiLw8ervop-J4oU2=I#V6Cp4rNQluAF;wHJ?vRZf3?fL$Rw%VJ-9ypj|4=!&* zyW77uM@ui6Vd=Hts`^MfhA6EK;r3vC9^0)RqyBgC8y=&V{0AHS2Ydm^8e@u~XJh2+1>G>R1Hx7CGvfA<83N;yqS^zn|1^hLjM15?3ECR2MGP5H1ATw|KQ* z6;Gu(n5#9I)J3XTFPdY9GE*0Bt~H2BjGfhuIbm@qf3L<)V|8FlK-JvxV@7eOc-88v zYeDoh|GBxPx1;-QDSL~7p&8N{>qlHulUsL1ad)#V=DZV<*LE8-c$5iQe-n4sCWgM( zaK%#LdG!Hxr2K^+MESYQj8ApnJ}9|LyE&$v&R@H{$a-!}xEWYnYs1Kr>|J=mM!60d)x=+SnbXe}!o z{qUbbLL;Oo7y-E;D;;@=R}nEBkY?L@qbw>}SzG#3d-e7b&0i!(VeWxsP*7fURZ}`) zwSCSH=ZjzvWBnXWOY<`2x6&9DCaWIrW4=0e;l#7Uc411fKDN{iVYd`y;BD=$<83Xa zGcs8N)Y99cYIb#Vb)USjxxBDAx8m4w%DZNNS6L?M&P2{lyF4#v%2vfYIXCbjwGnB> zaVFA*%FaPA4C4(uihDqK=mxbBiz(g%1>Y;mipbCj8Eim8y?GrS-YXWkxAG1CU0f{) z-rNVdHnJZPh(3@e;ceCJYJj;}IJ7Vx^>$94eFZ*Uj$oc+r2M)9ZQb}r(($!e>;cPr zwHuMh_cTK+hCf);zxg84pNKyr_D`T5Vngeern~h9D7Pzc!M1ekR*0*ZPUtwurMqIg z+UB=Z;V3n$Qc(K6hR20@8eI}R*3vr&PBlx#XuYer-{mQ*-vKDU*g_MnhnQ8iR>92S zfU!LTr}`w~!rfN_ZtAhyxWReJF!1FcM=glC>%Qwwdh&M|?WK^lA~&&e?@FsU?2jG} zdh3gNhx`Qm1~YHpKc`l&R ziyZI;UZ-^qkH$sdLSYxYCB0!E(1T_dJTi+#?Y$S$cDoPG$;lEwUJJ55v0E*qPApXUwLfiup5p*WcOW>h|qk0g$Hv zAGJ`VpzEmy{(aal_=A5j3M^xT0+G_NandiEqgcF20@}iKjZxNAd%%9$a ze@B5pKo}=hQ!RHBPoD2m{?d0Hq9B1FK~c_AUTob7=d%st^MpLCTu`lNf9#xdv|ahk zzXC%LkT_7Etk}X2`m*4OW8vbL740uM>?lAtpFla?_Np+1p_hHG@XA$$-=P3SsnX`3 z=D3tb{n{)2N~1FUdH0@PMEBx8@jP>gvk~^&=Yn14H0=C5-RID!$lsvESIU@c;vQeR zS82H1&Q{N#@^sN1`9q4e#-$D3aXk2ys|%5_gn8N1_1WroZJJBPaoofW9!ifw=nApi zE6VjJX6Slp0g-(OUzLNm$a4y$3ocdiBq@(KXyN!B=x=F7+BY*(Me9Nl z{^kbCoe?xi+H3zu!nGnQ?_B2R9B&LhQC4a~notd70YC5Da%vIAt=hxUxkrPIRAsDS zL-_|SJ_B>2Rr|5; z6{Gq6n&I&3utBRIEYmLpvMd=d93zkZ(Q|#?5jKPhJI~mOtuC=Bb)9vtYQ2R|acg7S zwoTm|wW!*F?jRnG1HqV2Tc81ip40$4K5V3~@GBpjh zn9t^%K1{mkYCd~==-t(4;eP05U716-@rCw2wth)mx*(QR*T3iMt?>RqdN#N}_+u7~ zat^&RK^@HkxHz`PL6`h7>r-xaZ8JrLM*c>3{-^<;{+X4NbE_!qgy4;SiBCS?nC_7~pLTG2Y=IrVg3m$cLb%VLP5^R7hgB0DfR&`;KxHym zEG%HHg*MrX)G4e*Q5;?uYwc~vI`2T31@SzwvV9(el?4-gfqEzD6WNJhet{oNni}vj z+vW9xof8hqj+x;hvsJXLZCoLM+VEH;I$NJh{V!G)9C%>*oNslk_bPoEbkdsgvzN8| zlGdggTrekqL$;ilZu0Xbi|r>Z{7*jxI#+s7DZ?z`4Yfh9#iajj0QYckFu~e*#anTS;~ zOucS8(%4lQgr9O9=|PE-tdVj>If^CPBuL-px%-EY#5_y|6MP;YIZe=SGNRilS5W)OxIY>88sEK_T?SGIHr6kaOUFj(EF*|Cv5SJPPiSur zIXvYW&pS*FkrCxzG(1aq!-Py;Q@{)T0vfR~#)JfxM<~jqo}(YYIvVZHaUw0Kl7UB>2NL$qyh+mowzx%7(TPRW;SCwy zDGGtBHcaRO@m;ZNGz-H{mNZr|6NL3;`o~5$Xy_+fY3X1M1L7VU5Z2eHQd|V-b`!KI33WvM{vAsm7?W8YyErzkQE!hRPXccu#)k(pr!4ft-zmu(mX>?I0~&4^f6RABMk?oHO9+)yxt}qG{p?ZR; zXVErE_~Wj?nWt_75Qu?LCzJVL%i!My&cd2Q{fysd%W=+t zrhJR5fZwd1ay%QXg3&PpNrB!To#)onQQ&>7^i1J9YMa$MyM@HESj(m+7S~$+rBRay zh|f->xFVb2v=N_Y#{SvRo^X3kT4QAj+)ClVrlp58X@^(N^HM+@HwU+ai84)r?8Q&G zWy%9%wA;i$XglE}+oxzJ!$q4D3NdSNJB*E88YQ^TY@^ERbIcjvJ#+1(qK_JjTicQP z)Sn&-ayi#NFxJMtixy?Dd;0^S-nq`>Ez8Vm`m~&1n{irJmBgopfb92Mp;*s5$BORK zKdtb@w3e;+(jepw;N8BB6DhK`Hm4-3BxmsvOdly7 TL(QO%9cB39|GobE>+AmkVhckY diff --git a/cpld/db/GR8RAM.pti_db_list.ddb b/cpld/db/GR8RAM.pti_db_list.ddb index 89aa9b430d177793e191e5a385085595d88cff2d..61ca8da4304b6742ed6ab43c2fd0dc55e7764c60 100755 GIT binary patch delta 12 TcmdnbxSw%C2h)SZiCv}uA+7~1 delta 12 TcmdnbxSw%C2h)UviCv}uAQ}YQ diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index ecea7f411ed338390865827d737fc7e424d581d7..1414cf3dfbf07331c8477b6f11384b616e457126 100755 GIT binary patch delta 65 zcmV-H0KWgy0nq`FYXQ-bY%n!QVPIf5EL`Faq!{FZ*xAJ?KG@wc#5F$1)z?4F)dfhq Xdj^NN1_j5vIDu3#Faa?D00960x?dbn delta 66 zcmcb}c!_aBHshsMA2l{<>{yv1ishX*iv!%Tq5fckNBRvz5u#2UQ2@x|3GZ7;@0~0d?Gb0lV zk+P|itEI6ik%FPIH4zh$vNVypsS%NwiRGW~oh^b(rM~tS~i9B>GM$FY5j@! z%4zd1>maNBVzblhiT)kc25Hd#wVal~Kp0)p*O;)lex8wdc&?@4v}iz+^Y-D_uO z2uY5&rjX5XJM`~c?3cv*VZWwtPnG~_yvK8@-YLJr9lA+zv@AhljJz$#g*z5vT~?%u zbTi8F7aoL@GD&Lxr>q^-$-ZcJ%ip&|-GmOK`}u#hs`(K3DKR}4ZnOI(ANGZ&2_G&$ z5!UPl+i?XhefcK0WJh!G9`05O94zgTCJZDqbiL2d?e%E@fxz(y0M>x_Lj)2(p**X!EFd9t8aR{(82N4 z(<^TT_xy*zBcXASSP3k68JGAR&N{S@$dEipC)R5&3*^=ovl|`9wQAX8$}j@5jfytk zaR^zN42%q_noozi{(sLI_niMfRKuSCG-|MqYll_uukKY%USEgK#6K=A!0YZ7p}xQ8pW{e9k)zRxhZeqSmYT z@-{wAkZvXgKlm3*w`7vYMg{zXPX;b&YUSf-oIk)+^=?s`&~kN%&H;blsVUZ`?VktN zWm~8-(LURMFX&5U570YdFXF_NZh#eObsN-u5UbPX}65gKU8 zqcJRe4C7h&8iACT{MwMewB(837i}oA>JFVM8m+dm$M{Tj)uoVH(XnzYq91(>cYY8~ zoYaw?6bpN(bDJcSdG*gpk&Y8lyyx4@0=Ipu*KDjDFeibheqAR=B5=R@wNZxoaih>pb{7c(ql(t8f_)s=}$bzi8(lWr(QnK zU#H)sJ~gdA;4*h{e6oBRhYUYQyDzYq-xa^}raet`DNS_OC6}`AS#NfaxebNC>G7`> zP!nE3H1ApaQg%~z*^#m6f5Huh15ZZ1*U-8azNBvh4KcjmJhS+Vsa_9?(&~!YJV<2b zofQvt##oM=#dyxf)Q8p8yIf5dZx&9hIjXC?o98P$Xsw)e^QWd<$Mw{M8zxh#>+j~+ z0Hu3t*>@A}8aBRf0s8bAL60>YuVnR_@A6z@c`vF}gJFiRLO47&JlN@}nLjZ`C-~)L zIF1XXV&pTyv89`0A~xNM?G`s^M9fi7}_Jwz`eM zT+`f7QBBF~mK672{s_O=wjN_i;Rc0#{whR@G5)7z*NA%CXo=~%6Gw-jh!G9v88n$v z+Bz|*su6OsR+6=Z{V*GqIGQ@u?*cQjR$2r#`0oC?GjBZ zO5|{2A(#DPC{Sw6XinheYd>237cK(Vdrn=2?F?Lah&gK7GGlNx@R6Nd)qhXRKQxnb z=eO|=E?^7}@_SerI2;UYJD?K+(@S&znJUVjzZV4$8M*wx?Pcfc;*`#;aqM9G2c_)G zBm4J)F>)|+Su<5_kje3s`(USa62}$w? z3FS)-p>w5tGsfS{Hq4q2w(`DY91|owshC`>a|G_v4=(gBaBe@V(;{o*G!)$A#hmM&({g7 z4Skm*)&{{$g2t8~ZqN}9?=|Jjs+dfE(`qFzB+wF*nApW>49v3MMwnpLHA1xD9m`bq z0wz4)nb6>VF~8#aMW=49AI@!-sQRG-6XKJ`(+5gXiN3BUAU73LT8pa`z`*=?!ri+h z4g=T4F066gh|(8O9f|zY+cvOf8xF?M>z~1te%AIM*l-;4WhNvgJDG3Y#>lpErG!&4 zhMrNNfrmp&$FT$_@T6x@!CUlV`#uUNFS8*8fy#B4)Og{*g1<)O^+C=lV9l(cV?4@=7z#3| zsx0$-H`vft-08EVgg?d%hkXmcSoJBh_&K#e%_ZB7%e@>>259;rHTJ8$)A1VDZ`&10 zyBBjtMdksrp+%|7FIagD6Zxyq8`Mzen~D5pgiN8KBvN|sru5sn?0QA&2kAT*wE~-} zI9-CPb$uMmX~p%|g~NBwLUC#k^zGBV;nw!g!guEu2#$VF{ydWVhU_<6pCb!;OElgUwnC(L>FIQ50WHwU+(2mfErKqhEcRB*r zCBXc&DW6dACj|kqK+i-{nn{uo3yyu{HjZb-8iIKR#WK?lx958)Ps)4o4HpWd%dt$hgtN#pwE>lz0KmlITV6J`c&y+>5PB52YeOQ& z?`mQ5tcGfAeNWr0(G;+EBj457T$WnLx3Zg(R%cTQ-=ZPDrv&QZQa&DuEuRwDH)D^FFnr1Jd(_w1@kur4 zJnp#bkB^t=>7pvNCd|#o1m%p4w*@x3!J;J^9Jnxch*uY_)&OxwHfnS9zsyoe3pfX8 zvV=$plDhPT%-!eavJgar@-|Ja9U6)x&sbC`nx+E3;Qdr22L;GdKH@a~){!YkiG$_L zg~?<<@BgCmB0VN?NWxYufGnkS-dFD7A{6I?7P!0<=%BKK4zZF^71pFb!fv2gn}MnG z82XVDoAII0r$W*)XGsRsqHt2GV>(OKf<;XWM|D@`EXKA%yCda?j3sTROj|9c&Yd51 zhT;1$F`+_Pi^)4g;?Xw_O!20Q9w?--Xp`gmpex52zn$$`#fd`zpNN zn{W z?BWm;Ez!o=*D!?+iqI^wSADIYl3{ty#mRIdc@I-;_YBwaU)HOd*1!_S97$JK=Fc0$ z*rJau49#xc*oW}q-y*AoZ<3DVt{M+k?iv^0IQYiKHx|Az`HjJEbbh1J%H5^1E?ZGi zs->frkrf7lcl`>C;L;5g>8afh_@>*_|3SaK1Hint>h53g+#4AlT%#^ts}F<6H4Ap` z)=zXt?6Vpl0CEkswlnB>Yhak}J{Z}zJlFV*4x9yTINTWSq=znrx9sfp<0zBsF)Rm% zBb_O++-!PqO~pQdLp$y2;}5#Z$B#b!9+%k%igkJMkq!vdff&|a>3xJKApiVO5>j~{ zM-3e8RzY3>DF~?fNrd5^4&ueZ1|~&duk$qu%j>G?Ju0q>(b7qgB@@}B1{6`WbD8{E zyO5|dp!U`tQhCWAwtU)^6H=Mgicz}|FN`~GfLhJk4c;GBRh?TJ zin28=mBjP7-eR%}crv3qn|}Ka_8@qd2!7?AJ3~-ChP||KSU)FG@qSKyV|q8DlRf9E z@ie{jCquJ}Do345HKivfFE@S|{;(W0u|^d#l(1&Yx$7ZvFHR&w@bbc#aO#1`_mc6C z8@i_udK(4o25x}apO7<87Xw9;_r2D{jtq5Z^=}U+@Uv!+FP+%^LZqXUx^K^i*a92i z=+a6lTG#ZtkKEAki&Fa7&O^jI#G>!uqb2uR)-y&~l%vlJTG-_1vKP*fQ4HZ0-e;46 zz$YAyV17MwkIhe&~?vhDd zcZdAp`jkesd;_C=3y*Q3keyj#^FX5)Neh^yer&xkY%tSsbo&i>&^xeROChGQra?Wg zIh7Ed&>^`gVhc}OD{lu9^zmT`;hKA#surN2=Jc(c0kK08gIBUTj26taT_%jb`K1M9 z>)%GYOQjzu6+J?HUS?vxF&NnFHfBkN{Z?Xsj(2ryhzIl2S3GvgykIi&QFhLr870uc zvv)#_w)1fn;k}K1h4~{{i85WkL{LKUC!q}X6f@;vJtK>MH|m5jM85j$MUz@F1}Dag zKtQb7l|#*if9(xKhI-)7J69EF!dtNpG2*OqBcMWju%v&4k{QPAwIUsOgm_P;IXr=j zO_EWxtjDzOjU=p#fBzUl`uclY`pDgTG2-l**oIIDmnVz#f2da z>r#K-Sr~J%bb>rQD^8dl-F_HUvYmd1(S!2XDs1$JMFq{~-`wSwF2;gwQN+3vqt?PB zVicP8UJhNZzM_*c^A;L&uR&$UAbKY-TlP1i9E(OO2KY%8TyK3b0Vdc?dGu4}%=2)a zOx4FMD7`AlQMv;X|8bs;JtUQ7KKPAuhJ&PAySS56*n{fZVJsVNNzFjl{)c9TRMi$F zwS+J9<6TD5X4axj@t*PaI`k{+n3CD8nRF(Qomh6qnE@e5Ja4QIjKelw$eB6QM;4J| z=Vgg;Iv-fnH*Hy6xUC*K9zdY4y!cBk8ozXQ(Ru(M%Bu6p#-kAZ5wt7fG|4=95yI;> zXJQ{e!z-`}1TL{4eo@h?F#Zta_xci^5#9Wh)sCJjw*7_sd{;MpBYD6}(8>Kt()oOs zW?3cp@4ES<&nbGO%la8@y$14My;+Li%Shr_fsx)W(=(5x!Guk#qh*eiqT=P6=@rIx zpTg!2F0Lz$xmVK8-k;a{kD%EyD%zLUMK}ut)mP-Mw@m3K%((&e9IsKI=wpsWNxV0_ED_G!`*^hONKM4Sf+F z^?S2EbKk4?%A`HFEr5p6nVXzwdq0{bEQsIs`H*_2f&K%fQM`yyU5SNleMu{ZI2SIH{qK-+^4pDy1<=NaaohYB9!oi-NkCA!m7-9JNZES}RE zEry^3ozqSD@%8`;)f&MLtXjhjDW&IE7tAd8ouo+#igtq9aq7^0nypaJsj3*CxRCh( zO%Ii(r$f^xC!Nu4NloNd%>y1|3X9S)gEX?KcV>>UDQkDlHR{#W#4Gl+?Az@13TC`X zcS%*KIa<{rH=a?duhGffGs^2p=nz2Z?c|@kwTHJq55d>;*`+eHSIO#Ll_V@-nZn38S#mJUSPT&)dZDiLs|aB_CDS!!skFT>9G= zu}zxP-5L z^4G>PPPkI#Rb>q2c{NprxkNr)z}~o1_IzR~Jwy55@srK}TD?zLKT6%!m9!aiQPr2( z`MlJt{_aLHTda0@P3y?3_PH?Tc8nb)VI%z(kPhLWCdP0>@ppG)$D{=6pk*HJaCYog z>(QkD6w-AiQ)pXPre848)^ReJ-(t$ADsE&y$yeH{5iCo1^-OiB`p})AlB}){<;%CQ zqB&t)si>d$Tc4k-$y!;LZ}C8NMOu6H;4KP^Fzhq+_si+I&62q)v!?u(IQFwl9PZ%` zC#00EC4VU`64;x?QteUnf+*$87i&SsE?pl)7WxR|-}tA| z*;~`5f*>zDjWwjG%mYXEWSiQh{bQBZP(a?)6nEFkNIHV{sR_HsO)U@Z13xZveVcBN zg1l)*IIB#?$zFY+s>cB!6@ll2`DkfbGZ|Aqxb0EF4ar_NtK0j=b@3!!#-m>GkvrA7 z^!zP=&ZR3$#>1tn%6iVl!@1Num2NlpA|W$(J?$wpuUw&5qe7Rp{{=9ZDZUO)8Jk8Nd@t?gH0`|U$qkX+^ zpQgR{x{+oozYFD_m*%%D-wJ%?UdGS5N7X;pK2HeIz77sJUes$=3hFLGSl=k-e6!S7 z0kVMBcOSm3=jx94otMEU#hI_*FVn(Lj+eQGErPEt=%2p@Z$G_>AbhyY4y*O!}QzcBf{(tae&8L2G^%c;e+vhcfYd0 z!Q;z$HqXm616_CRm9BH$dl6vlqr3SC_bWNszU_toOIH2U=1ySw@$Fuo!*}|n+Fc&T z>yPo*Skt0}+1jU%F8@-09b4^gJF2Dtd3T2|;xK1^cAMkbuG? zQ<4byhsXu1q~Uhjpt@%S8lvB^!mTou4NS7B{P+W*N_F(>XgUE-JAWFU=`fD)q#M`6 zGua~uY1OsMP}i*k^@FLy%@l(z1Kg34=u~_p)PKR!)(b0`{5EcUp>q=CpnvmMOx-6zrE_-$E|C4KxpfXWiV9v0>Lmt3{tXd? ze21$`H^%E!8ZYBbneN&sIaJZ)b^?b}o&(cc^)HzZAsp}S*QO64!0gXS+%pt)pR1&4 zOce8J7E=$8FOJLS@E569Rqs13&1uv>0gw8K7o;;?hcmw+F4TaoU>5pr7SmuCxQG|i zLT?!&JlGA|c&j8c{8uxtf^PvL+Ayn__*x7WUneu3f^Tsm+90dR_*xtm`i>U5IUnUB z+Tg2OJPmR=os+qpFb&Pz4fR4^;Ua7BtLgZwKW9Fta^?IVeeqY}guH+v+HkAgoDD8H zAN3;INUH)|4G1~9Co}8;kFG#hD2uP-nJI`1LcCSY96r>eFxQF?f}3Ybpeuug1a||E zVEb5XGwSW;#f+NYqaKhQboC8?)iOu-VrEG2Ek*tXd#lrw^;jPxBPAa zeB_xybh7A%ypTe?7|y{zp1B0QNRQey7rwGZtORec=W5s!dTSE#g0k3hw2+6sXh*!@ zoas86iGsX%L%gue`RK{z!)W+&wHWq$6al)jS}buj6z1q&%|Hpg1&W+OUx))2!7kzv zFSv3x&SySh8{qI)%Z0p3McVNihPfVYSmuZK@K@!9cm{GmU>gQ<$zt3}MBd08fLsmw zey%MdZ(J7g4i;|yk66G*+?l9vHsDbd_=q-x=xWgog&^Wj@I=4g<0pqUFrp#DsUplO zV;H8l;YF>SR(N!BaX=7x8~g%E z$ZtQD;(bw-hem4^Ic#h+`H?8OrxgPd?&w;-*I0X_Pxq>B!tIB~{8S|OXt-|Qm9DVA zX%(_VQoP#?!=9YLMB)t*2BQ7o^b(xi>ICMc66Ot&re~712JtiD842JZ%`TfPUD2%XSlbe!^jRH6+=CvxJ6Fu6UjTqh}DwNJK;Cv;avOp!!3fjG-7q`#uzj60Ve>r= zrL}AF1t~=pazQcDG=#+cgUr1|9nES%a9S+EmFDdXP1@_C z-FyDkQPz9^oS%}`e8kPI8@-5pn6#szPr0?8B%Ue9e46?BsYB+c^h)PJ1>aI8u4h82&>qPwBql>y_J5IK+Nl zqLj-{;&Oy&AFImI9$BN%;uK(evZf<5uBl*T`?^y&*o*>+9i)u`8`6iR+7eqjgHy9j z%5J+1O*KHjuI?Z$7b5=s3^RFpG@@Fx5-ov!2&zGSq0xt{uJ3dVip#FNS-k8(ghH@E6Mr z&ig0lM!6UtqNssYuCZ2Acd`L3tyGM@27~u&s6`dD4!pW@fhc=P1&R)FTowuv*-0}4?{?A*@PNL z-}!$bG;le1R;HN&!T-nf{6zt`$_;KaC#f`HeH#KdC2+q1jpiltfKe}Fui&w~XksZo zBzugD*IM#^_|3dUNwzIjxAEVv1fM^_BYf!*>Vg{7+`9bW%6Sii1{P1NrZ5fc(b{x` zn`Jb%Q9X5ptI18YA}7w5Lnfjk2hNvaCZcpb;P793rfx`%o=tovFkKqiZI)prN}TR| z(gRFh`eq_dg3Ts4Sd}3}SOytcmC3G-=c5g$eLu2DjVZE#a=^n`0cCh{bYL zkSlr&%SpsUNP81hP-PX#dJ|MpWevZH8mg=#S+7bSX$mzuM7ua?Z|xt0M&pwntKnJy zg{VUCa(o$RHJ&8IiYaaGg{`z>75)Nz(mzyS<%a*8hN~f|WiJjEPcAin^|7*;5QZUF zARor0i7~*jm)nni%iI$>a+d|l4s}Zt)}zR#iah4iVaN_Nk+a)1x#Y_K3qpUvWj|&f zGv4squZ?2$=ujQqbD@4z99N61#y)W??Jt~<#ap-+EOLUMoR7{;HDG2%lTTZ;P5Yah zTJp_n&~EHDDw~%(UH`x!ID$8ejI&_lkYnCu8vw`_wt|G2mhO5(sRqkZY|GP*Zr-h@cWXA;wdQ} zGb#Q}hgpWtz$M`idUWP0YJs=y52daq2r7N;fP`u~p|PbTq62H07+Kp|cBp9{-wU%1 zbT%;#@l|?8T^tihGRh4r1ZfT(kyC#aCMzt|tYR9cxu z7Pe`5iI&oe$?isM#UCbX1G!91qZ!%3Lqo&jvJLgU&IKDyj=%KW4WWKVRh*&w)9E2| zS6<4ZwU32tm<~Xi4rDPK*<(8zV%Jtjw3I}+*NK_X)r)F#WR=2>j`q9D;Me}yt3-*= z{FzLwK(hNf1D6 z77jnW0Mqj)69cx66<8SG;j{P!!Vo=TWt6z0tUx|xQMw}f+<1-pROAO*k|eiFU)rGQ zusB|1#&V0#0_S5}Z1skB?`pEmcw=Nl!5YJ0g@Adu1r+V#Bi+&F?;mVyi6i+p<3RDH z`%rQ@MqEm`9Cq9VvNqa7WK(;t1jew|#*&O7Y0W(2n=vJOor8 z#>{(jKO=%pGf(o8fG`9(W>l(H^wjJQYs()wDIo!Z1UiSGHkbYUq|pb zVKHp9mKmiW^9b`!TJSnqW$l&&Ks?-|7TqfUAd+jmzDsg#(_hpn~< zYC$Q!EISXbfUoQVZSF*xz?JlK;68+}1LUJ)#@~hY_AqX>x?==hh@r=mwD9ABAc}|Y z=zRySyhJvHGHUQg>8ElD{|+#cJoZpfG@(x~ zfhBmG=oEOcQVr@z$tgZOB%#%h|6%-V92l$}FS4K-CSQ=CR$BPj<<$6d7fG-NTivyD zf({N(W{6i{e-xFOw`t61f-D;>q}q!g7AvYCHQwobQ3$w|l}}c1CdwDA1txlnB>+Ka zi!xQ7;Lp(aoB>4Qcp-Y;jHj5naiPwR`2rsyrd$pS?TuO#9e&=P#ptiRiOL^yTuhP2$j6d*ET0m)ZCs{mmBMWY(a460FJ1 zh_15mQAmxqd?|%KOf%3sEeirC(0k!rD0$FEqI=+cD0#`Exi>;N*Ua?csF7D3 zVkth-=r~*9VKIF04aLTN5~XqAic2E-BJtM2;uOQ=ua%M`WD*~<*DEyHeU9JKtlUba zLhQo?ABzqzhm9W4kn=zd0oCeu=8D zO*Qbxue}-Zl03XE5)iZT2)RLZm-h_{>%X|OAh&wLoiE^wu@{GM8|l@CJsAh0;mrNV z=d^B41PCGY!R`YYy5Nq5wrTwN*`6(OBu3b~V=e$AFM50oP<S6qo!6^pGO0N>}4UQ!8fodxzW0V50_F=^2-4T`z0+QVP zJ(T?IxMv;VExN!yr9eSH64xpc*AS9N8R0Ffz`lmSKBvI`31EcfJ!TKISAR0@0JS@& z5HKPw81DK4_;Oh9v?M?hZWgPgXq#>`&u1SDq4iU zzll5UIoLNkhT@r6;+a_fUL*=45MS}2>^2_6z_Dz2Cg0B;3V9HtV9{bY>|f}?-wzR) zU?^yA^5CS!KNsM=f_!`Da}W)zI$EKiX++yfb9!8KHF( z=_QcR=`W#EKA}@Q;jNV5srGR3yRhA(2>%7pdkE=;mgr#-F!k+4{H`G+8SV}Odbpnh z^cU6NW9-5E(l48BH){?n?v^xfoky=ghO%5QUe=~d`!_C@+#$ppfWj*3PobT|hY1?c z^6;SeKNLE zx(6&}ppoUZ-y){QcZB>V;st0PV>kurkED|p)W60Z34q<2JO{afYAU0 z1~xXe(HDixLz4!F5*{RzTIb7d5HMoqCAr|F5Mgl{c%L#V*OqK@^y)S1*!{JNru^cm z3aHL19;~kmc3M~Z57oMUX8{u=7RNz$2C4K~^|^VQu-t&M@V|fWdK#D>bP_nIZSF1( z5V;nvspb%bZHZcouA?y48DY`*7eA>#ZC^pP6_C)LT-}gto{4o#hr0_P6J&4f1HD&~ zUf78qAU~t@M{EHj-;PEeG?(fS6dP~9DSM}c;KrHgxcPEw4Ey$qtl*G)!Ur%y_!0B= z5tHdR@8zrBi0tAzt*ysb+gIE?_CQH|=a&;xWi>nr4dJ7EQy@3W*#qq!qN23xNw#O` zSEIS48}2UJh~*G=@ed<#rTKC3sm|I9t~+Gss?5Q0cEGJdEx__|AsHT`7R7sQop< z5hUhzSs@Q<97WAPNm}{T%C4^JjsEfU(p#v*X-Odpzj)yyG|L*-#BRYsW=vuGks&%FM8Ppf@n6H*hwNQd-9%_1T1H z2r`O0hO+4QEKcM-mqG)^XivRlqWNYhWDY!g=^Y z4p83$X^7Nft4sYfAlnv^UP1!rWy9>D3cB)J3WcLpa~MhCWKDe?`wdn*OsM6&rq2TroHk?;oYrG(HalhBEeNT*1jjg_(0M()6JefT4eA2fvF+76~? z9m}tE)P9Nre83wv<<+xgCH%Po^9GxUH}*+WVBZ-qLI)T*`iNn8Cf0{2*tC}ybmiNH zVb~4T8(=5m&FY+>*~b77S3inuo&!7PkUSWOFl2<)%%8f5=HLD*5W)!S;s?GOAfJi| zl_06-EA53@mnklmyuc5HP{`7Y^P4QJch>cXw+w{4vmtlPvoQw_zdtXZq}BdA)!wO& zxh-Sx?%F87k!IMn3N6vQ|I86p+4|>*k9>?;CcniW>F+;|nE%%u&#C71q>K<$T_53V zPwag;yagOaL&q)godPhDsmJH$fg;N9q2q zgAOcqy}E&IT?&8h#B|KwiV<)RTE7x|9}Rc!319vZIBY&W#H{5r$BQ8s7TICl8=8ra zHh!m0<(f)!r9H?s5c7qE@ri&t+@pYxdfeMM1?QyQ82Z@FQU;ZZ>}dcqLLOzKOhp?S zkImFt&;;{eUsnTr@w?N@MwRqSfC3Y^!mQHHfGhbZm;v4S`1etZ|1h0v(6XryD)X4o zy7XfE1E$mmY4$raS##A&pCd}RqO5qd`HyQDy36_Hqf;t{Z~25lV>w zK2jg2`&e^P?QkY-O{+4ae-uF#2UC$eL^9~!SFMQ9{|st{j{5(YCKK>6c5gV zZmPXu+hqcEGJ;A%r+vjSMb_wfRg7n=_+QdUPn<0p-xzyWgFEr1f4=c>%tWu%2#6M&RhQt9s;tt zuP;ARzMm)RJ8mS$Jw+@p#@Gw;qpHJ~dI!Vjy4bu;FREQ~G%{)Lqov z2P+<3#<;j~Sy7$L?8@iL-yft~(f7qDJl&c0@45FAkDsf$?Js&ibdlbaUuQCl&fTrA zBHp$+j^PpnZcf+k_3)glExJA~diK~VN>|l)d`(Uiv1BO%2|D)KHL`aRHhiT{2SbH^ zLuaud5923Yw)^uz40rbvR9{W*lwPUl5JJ8Frn;++G4pE07%s~!;ah{NOZ&h3c7zCe zmb13QAdjHDedCu2sh(2KLX9Ub20kfUzvGU0<=zwEgSF?{@nXk7yBO9f{F!L7aG~~p zd5VN~emfZo6MSnc0_tOX>kEfRczyh?clwI4`oqJ_9T?sWaR;ftU$=;$uS}$=(A3Gy z$oR+(jBoUoWqtqnsU2Z1$v-mLc7oN>$2u{Hy(-BN+)1@h?cmWN~1dD8n0l>^&#aTAVi3w~BZ6{BH3n%6s7-{TbMXz@72Iv)*KQ_r#$GSIF zA1*!TP(x(}aF&jx+rEB%@67x9atAF?x3<9$L(hVQr8`jFj8!C&6!Lrs&`E7`;d@b8juF}%C3cL9q3q`LbXvM>RAPsh{th$&8cwHA-_bNp9 z(AuqYWk5cxK>5*I&mX&hleo>4vLr+8BF4_m!OZ0CbqDRKbr+XO*4Kf%9f;{R?c05; z#iJ-S!Kg0vhQUl5?rtRbe-c#+d>hPOOWcEq{wmfCTx$kK#s=Gu!}s3e2fv3nT1MG+ zh$0{UGKJwQV70$obYvSCB{CvUoiJ`adT#`Bvh=3686NrL@J81JUGLau2n$H`;ku=+ zgJh&OdW*pQ7Q6*B>wgm*FNeNf(!dHC-1h6K4@yNTDucrey`M+9svpV&`@{nUziBH! z4D3bAfUdz1^trfw$PGzQa!_Z9SIWtP(}dmZM(UE)Br6Q5tvY(v<6f)@MgVUy=1uea#Fu+}{IE6yQ>QeDu)JpP6Ux%=c*hOY=B%xVbBwCpd^_zN3)- zL6xS-glY}C!G&kZ7g2eCnw||TS@TB(^CM};)^W;{jJg~cwjVNt4O%*qe)wYKE3~?a zy9vZ$jXtA`Jocy=i0SQ?eYSKjt?tE7Ch9(eAxYKXdP~7(H4NP89$H-+t*Czp8ba`y z=aKPCpq!vkt3$}*TAUj>zhGX~B}R!=b`VcQQE82+1Rn%i2{Unl0j6b9*Nlm6VLTDk zgV%7j63Ddq8xLS(q)L12& z$LS^Wc|0EtiBw>G?&9$~6!U}v;co?EEpjB#vn_qSRl@G*MtDKvOWKo>Eu>;$(oj2$ z(P=9d?%i(JRuuG7Z1F`JvZsk`S7eW~&OhKEr1k};HNq~_v+dsUP$9BltV@ayHG%|9 z${d7(6o+bXa;80QbS@|uWj1E8YDI*PQNxIu?7>Iay4?>e_#|2Y|{8oEpOE)1=C&veDu}{x=J= zF$0)7)I$WlPnh{pUhVx(JVsxx)d3V7@n+vp$)f(sflL{y!#2`50zL8U8u*w=CSw}1*$MMB|=qFL(1c~x}(G--7)al`?w)1YoY%# z+UTLUJ`rupxJ1G!&@Hkg?3WX=HsTfc;~t((6D8U!hbT35Wya=>`440bvA9$5h#1b; z+4B1l8IJ4ZiYZ6Y7lMKC>ljHnVv&mID>a6lum-mrix5?alQpfId$R$_FJ)&pNVoZn zZk2&SYZ%9Qbv1oaBx%*-yq`%5`^*WIz^AgZd>*J?TAvYoAm)h@5X)*nvu>ia$>nPd zqXE87nRS=H!utb?6d~B36qv51fa%C4k)pfcf<;XWzNd(kC-|_zn=nnjj*KyilWtQ5 z$sq&E!F(A?t^=Qu`(k++cBt}>DOlX6-sy8ky&vTvw?0Sbka@3)=vL;%mrF)0VCIYT z($0wqH@jh7%bFWRHGNz)$d~O*CQ&4(ozx6qk@kt6!<$&w>{gQ z=FBI#e7_Vn`|Dy`dYxfyymCqber#m&&AOO`RZ&@W{JzC5xHQ6~-c8_-wXE9}bh$mC z<;a(32ohHQ^2vq=%t`;T$LPaAJD?%nh6=^~ZfH9$%sfF`*$v6?P?`l9m`Z)fFK!6DU%RK!5 z%kH2TK0h<{#geY&4DCVV)Bp*PKZMBcq@z2>Nt$Y*KWZni(!Zg=~(QwE+p z$skC2x*94yXY=D#0Lp(MH8xTBBaPQMABEy|aMD?SK{y@*K9iZT)%}m)o2Y2+zJl^$ z?j1qVZ_+oQDl4uZ$mP%XllNy#zkRa6gHnQ$guXVj=xKQheV%L#*F)gI-9hk7Yp1Yj zu(8?}ZcZOsR@m3m+*DMi)Rm?S<(!S#Ok4fRsdUdxtx-0fUiz3_{7A;9ujO}VSu75IX9 zxd9-sY!95ZT3rI*KL(ZrK@F>lUP`N|WmvDXb7kSC5b@~Ew4mFxSGb6yp3PP7+NOyU zx(L%tl3JwEGX|N2fJu;gQPn=%s-UV8k^8KK{(#{BS*XxSQv!b0fDT8 zF{rLWJf}VuJY8}ar$3($RfO9Fv4Mpzh3o`Kl^D4brU7>ado_KO?FpsvY%_jUH5^VS#XlB+9Rl?@5s`G64xfU@&S2M zk$Aw{wTI!vVpQ&~ymB2;{^|IRnpC!ggz#s~bu)dD2_?P#l&cv@EmdF`T}snyT+grK znYu&M=Z^(nQV;4AK|<$8SZ`JCr!zr&!8oEAMP3owx~j9Nnx~NtZS8dGId}N&k(Qp6 ztCHiD^x}A7DuwD@r$hSj(LK06KbjcSRpjGE*}8#TsV&#HXBOp7SIrsvXW2D$!ONae zD5UiG5~(S>e{2S~n4{ff&F4-$C5@mG!`@rlGWK+2uNBu+Y_KIc9ZAeO^!Y8mv`%Q+ z1EdUO-}6U>#T}>gog{P?*bM4x0B#v1xzB5#DC>4)Runw*-HinnW=9Iux`zs%I9Q!Pn zUQOrAX5KXyW)~9l_{IW#71>-j)AzjTkMrF+6FroP7i!IiH2NfDS$;|M6Cr*>$Q|h) zrE=6C7Aeow#XX9C$m?IcH_FDhG0y8K_yu2wg<%0`|KuK6edH3F#*^dghsi96rJkkK zk4&XmmYuZt#>X^?469fls(Dz@ zXg{JW1cfYK=R>`0Ruto;Wsn8?^u1Y&qehi>j_#03()p3>beWPA_D39}&j4!urEs9s zFDzj52?ka7jJORZxZWxwWP5`VoU=tg=E+jXY=6@wd$kqG40}4m4C^Ii$2 zeVCQn5*07TKx>#(@XSCKv_rd$;`;H_qh8kk7wITftqZBFzZ{7Xj5Wqj6~h9_R4^UU zzJpoZ1&#jFsn9aN+KypYko}Eq>ySuYl@fB^b)e*cn9hp*-1ly8k2n)%b z_EdM0Kj0*6U6&-`+@gh@LZw5*m(x-x^`m<`w^(s?)wvYO%ng6h$=bj& z5)WXkRpM!Cm87cws{bb>9o(90a4q6;j*N7I0Wo)*JoKJ%Prb%iHd*2)kyIE}f_C_I zQS-bkNa&Zl8j(`Y_18kjT^MWz4%<`M_Z&B6a2(pMity>p+zw4&W~5Xq^;2;vFy_>ah5+0*Ztutahv1N^TJr&>DxtP3n?Bf|BqRD@%>=^!M zko`;m5?SAo$@-H>^&K$9nmWN`wv%!}OzHlZFIO`nNj8PSsU=leL2ELF=?ICHJ$>j- zQ85W!+LZ{&4kZ{}mZ%hA7Sv#BMCbH#@X_+aSif7n2yd!!Sn?H6Ux%{zSB=gf#y4=k z-5jMA2zTKIXJWW*f(iac(2uzSg*EiocZAXNUhG~@+sNd79{-qWX$D;RYzC_tatoX# z5})g6$**D_B{AuII8JCptq$(1bx?e`KnT$%t`spX=83NGhG zWv6D=iPbemGoAiS7Mvq%yVQmPqp7J7E;F_XE}q1MSGe0eyvFlTZ2>@w??EJ?2E{-3 zOZ8VW(^>DqW2jUvV-#88g~+oe-wjU$wvJu6rXLSxtsnTlg9zlG;oI56N+qh7gVL7R zUqxJC6x;DM_Gff$U=&;N<0flaDwF;Zjm$NF$+Rtp9y+@;V~F$2sX|Z6NaP0*k!*;a z`6Lqw-GxrbMyj_?!eb}q_POWj^Cs%+*oe}KhRH1DlcTC&Mrrw~ZJp|^t@R{-pU$%X zX951RYX7rr{gVJrKnKraS*y@K-@EODGrYvF7hCT0XCebP<;O zF+p5x#U6>oqO^w;uJES2>f7Q^vcyalgso1?D@&xW$#Kx71+Xf= z{Ts8B4sHt@((Y#<2S(rMwyIuPn_3#${E8#n+=?;YNI#moTq60noEKwST6qC(=PHA_aN&% z^c6LrK(zPkfNkyBgxJ`=0=u$v1AS`g`17`|_c!7aUgbPcoL{?>j=7z$LbBG^l5_n> z#K1gZWwdB#j#8$a|L5LEIVDmJhS$g78<#AxKEuUp#FNvuXs7rX`0?knV9-zi$yljBL`i{q(pOz(wvE#&3Y zpJjN`wQnk`Zb~k7<)7^S8)#x^j+TSs*VHDu4^rNZ*PG!FV8bRrsjEnW0VE@+mDJ2u z37s?(-cJxZcOay9ifk;?fJYGT6X@xTu6qFN0VwS|!(h!T4X1(2>;p12V6M0H0q*hgCZ@ESI`uf60$!{kucqdK6X&dp&4yX4P;VPz4(HduqX-=XDnb0_^@KJ$_k(j@R^GKkYxDwnY@;Uqg#`;jZWI{goq78P4xP=YIvLbYX+M zAU&*ANcbE`v$Au&<&KUNJY*9Y(DLc+1d>(kMt2}2p2>F$PC&^Y%IJe01)}#QRH5+y z8^C2_a8k61(4*!LKwGIWQ)oQ7og?mjot!|fG^h%llIav)DseaceTL<*ya`oJFq#YG z3cx(UiYA2gbS4S)&t8Ex&V^$8mR=&iCEEX_p>GMu_${r%8bD%444#vEW8IrT^241K ziH^QS3UkK0AqCr$GVm+op5f>&G#@XT30eIZxPf_3Ip4mqfF2JnYUPed+8l!)C3~|q z0dD7ZMr3byzpU{Q-yEXON;-Zb9chZh*j24f+3u65ZEHS6nO`OKT>mx+T)!HHGB+tt z*DKov`Mv7>Cmh6yv5)@RG|Ge?^NXxZLn$C-&u=>5b1HdBOTOI=~#bK7fAi+z-{O<5h8U*pN1ayM)BEPLa~6Y zYwlyX*(S5z0pgnVt&8BSrAhlHi@wdj28fRVkXv3=)06`#pSTROxbBjGl%=!-r1|>T zah|1T7YNTMBD*t&L!^29xVodmaRbvBp_9g%Iq1s}^+j(0`mQY{<=5y)LiHbmpRFI#AF>RuxMu~2NIl5@o0BEB z0XBxolRZN{B#OS7K~a1AiCXsLLCw1Ft$0#J?;G-Y70@oBMw$}(Rl=MlVqbIUhI#q! zd1w(;_Nror17*Jvt$JOBVcoRydf1GWyq0yX<$lb=F5KKCU#x6_Eh+|)Yn_FDnWjLk zqu^lFE^G>z9#Kz8s^o9q?cy;@#+)kXE_*JZ z`BX}yIXzPO!iEr5YWvY3kDujS2Vf*_(AX8q3sW>(pN(;v2ctD9hiwO`%E}dbE5+jP zOVLUU%SM#cX>GPt`5nDcc91p8DHMJZQfLGrgTe@7MX^+l(_4(`@iG^kFCPq@MYbeZ zI`f<+*8#JVvLpiOGBZu+T!?yswgH_CyRzKGtslCt970eYACn`;%Hp4Ce-&3x z(zCl2gs)qOax{V4kTc!E4Ra}D{wI&IcXWTR8D2s;t~I0XdEb-;1f9nWvZ!QVXs}n4 z=AdP&B^dCbp7VnrqZ9*6EtCI28r@H@{| z^i<|`rbWksFUb6srquOa1051oM<>w%iE-978B~ReS(fX&?|>FBZA~(9;CZ zwO3nKX{fI7tU7D5+*bQ4eg(aScpnh*dYT4KHqwQDq7=Mo1>I2GWZmt z8stMSn(MAN)+g028>IC|p~MR91c5!*{L{aYetZs1ajO zBW`ADHtms8c^`(~{myK0om84_#;|FSCVsh9$j?s5f-xWwweGEC9kX7RdxKb%ymxSn zPK6;xsPHDy5sFX)3oaW7(<7HyO~^=nX(v7GNLh$E&Ec(N#ufWStoQ+wP#AR0Pdt3D zfRApV5@N?KXqrO29?6zHFOLal&vaJ`*4Gd-ZE zX#T!q|Jhr2$~t+(t602NGCR8;D$kNh5$V*DcZlOuGSO&^D+pjd{M8^{HCbI9vO9b1 zexg{ZO37Eu!|{}~PAO~btd6!yQe&=2soQJdIkst9b4;Vytbm;+Uh3gqCDGqk$$6c1 zz$Es2sy5&7nJ(|gJx@M=YRpHb-sj+YATauGUVgUG*Yw!*_zsx;#lg!V1mY3Oo>~}( zuBHu0DKx0|&bLIUrwjG%y(dc2qwau)RW$*oBB)*(b*_mp+fBEa6VAyu#?TSg7)CscUn zTUSf|631WSKH9yv&C(>>u`VKetegvipza9u5(V(z5O%dtXTN_st~DFyl(eu!8DNb) zUSDK3)*L1?%#uxA4Ck$Fad{rSt(wja>erAIjEUT%06dd#n!%CmR zV$&9EaN0a+KlFmi2BduNGmVtAyYmB6M8a~RuWQcqiyUmb6aA?k^lJ}pGVq@>uS@pJY}8CCoUNk z!6wb<8m+~34YkyGit^0Qe7hZ&8FTXySDfwer?&D=O6mI8@HRQnXnNk{?biZ_m%bRelN?my+|?e z{kp&Cpq|@bhiH3zN)b^1xP2L3>$$N0aMS%fK5k}6_&P*U?$Lc?c{yILk)p7RG=8Os z;k?Yj{n9p$Agh5cd8zq5mh+X#ee9?EGMvt@{$~G*^YZeDC-8}uFTe51bSu!ipwR7` z`I$iRLDzQ3@#|U4d^KJID;w62|1JRRAq|wwuoj`;tcarN%YsxM2u)toZ@CKnDmMo%1%-iXaj7&=r z8qga`Ynm)Yi)lNJu?9w!5tQ(0tWj0UV<4DBq?gKj`p76rV#qM`RU9Vs>XXeJ=E7%N zCKiz@K(g2ZQu4;~wGxff3Af?^uxi`ui=lAiL5Qka&dntw#8$#a&3)v^bn;lqqX8q7 zLnuQcF8nFRqQz-*UYo(nr7@{s!4LVt^kk{L#%Q?>;*?kcn&IYuf-$(!MT-MBb*q2akW6%q|FER1Lc?_=2y>vnLx@5cH}yzp8gOm#yrUt{iA(vJ$JjPq=&i z*@I#&uC+`;jXurc4iDa-FbT!A&CV4V!L<>SPE zb-v)a=bw*t5ns{{>5T8r%a6wD~QWC_$r9T4qR;(^BiY$KiD+^&PEoYH!ol_ zc4G{8V~hVI63`XhT!W{PEm!YwW+~v20p147{A)Nb3v!JDcg=C;b2YCDcFVTVQ1dShfSp>kTH||D(fJbHEBh*Z^y?GDl zB^mssLhi@F=+ln_uN)B`Q#Xx=2mB@KKPPNNRq8tzOpXCr1~4NoJ-AJ-0%H>;T`H}h+V zOEBOg{*35$Hj}LOqRbq>EglDAp1HLs7Z>=D=Ya;Ihy-@^rO(gg7 zcqSX7b2F4MY3 zhI@(3?1?)<{23-@8gzs*)lB^$vR-R}a&|TsE^FID4jBM~Q-~DH`xzwAzA7(C{|j2| zuu!I>Mkcon?PszJX*XgDxwRwg6IlwNUFL7TtK~x2l*>;)*Pq{UBm7rQehoh+xWYG< z#)JY5-flu+d3>_kIHf%_tMD|f+8FD2ds^&$OYOrWK&e@xa9K>fO2LP1}sr=O7yL{!D&MKu59u5F*c)+U<%Wq z-27g!o~{klOun{<$H-r201dAdi))h+;2)-2i}pxz=2{2dO4zuifpoO^LZ z>#W$uA#tr{Qu0k@#B-d?h^%F{D^kK>F@Xyjj*CnL6krZN(IP1A)1;n|S?=P_Rtvi{ z1Xd5+#x*#4*mUowhWQXIi~2O;ls(&I;-eylf5-0Wn5`QU7FHV5n_G2cpcWQZ9u~qF zYpyKH_{d1@%ppNusfo1+yt6qN@_-T&GI-u4t0_XqBp*l$Dbc>2N=H3HFDr9W#4GOo zvl$N&VAUpQjGP1XigB0Rx{)o2D!C1oooJOGuIOZD(nNSornsKP4FZV57KyKhky)Mc zvu-%3bs@;SJt62fZpt!=lT$Lsty)L*T|{3mW&78&@H1mfQ(j|@33m#)Y30;42dbB~ z^_wraw44n(!rbdZKRXf!`!&=B+Fx%5KVdMT4!h>RxgT_GS^7P)m+aR< z9-y?1jBJ2fO?0O>pw@&}tExV<(&<6*?!kD#-F$34a5-_=WcWF?J$H2#egCqT#69B1 z1a%wxoAgJlxVLYwS73mR7xT2;;(&kMQ_JJ-gzgbh67)%MyUgv!Ins|O1i+=g>n1-l zB0Tv+|I`J;TdaCB6rqQPJMBKSPRvc2!{C^IwI=E@?a{GoAzG5vtQdP6aN^%F`QGo) z4ZT4zm<->ycII4R%Dtq4)(oo(Ti0dsEj|`NQ>~zqFU40l0iezp+$02Yng2I`ugb6Z zB6tX%gz$e1o^dF1e0iwDoS-3eb>{D>7w9tB@m1Xu{%m%ma+Bqt)Hp{b6E|IbO6EfQ z(OWa}zD@ia(0<}fW7W{@4)oSf8@mu)S!eBCU0bt=b5pXC&c?!*W{N#;kgTyih&_dI zo~+SIIKCn-Z6Iod)RvUofrK%vn51X+j`*8XW8B$Z{d>&5$NG;G#%s$TZ!wQoN#0FKZ7y z*%41PB`jqc$-mtg@jLg21bS(+nH*P!-;t6YPwt>HL25geIv@v{&`PC;%poSTSFDSA zO>l9g^couid-TL!GbGX)77{;aOsQ&bs9kA1)Bav&6CRu{o3$2891Dj?I~<3oqA0~k zmh?kKRf-YtO`1}SMc6a7&aU&&|TEDs5bbekozgYen?j>Go&7SGg?3NHLQK}$T^|TUUuWHS& zqJ2I2&fzcw%M__+jB`%5040ysSgCr%Wk90C<{wjCuk^?o zjoTA`v0!~w}S*8 zJ?5nL^)t#*MN~ISnb^4|GRf05|FR%249}a1{Ws7fb2bt`kTJGUN_{_vWx46%o1Wh=kD8TH zd@{jGmXYFa%$D?KtuweKWSKz4^8mjD^%m8l5?B)F+CLJCZ&emk66X?(EJmk~m|k@y zi=uNITIDg&%)2+iYwU$zM-sD=CXtyWk!gcemRu%!E-|GTTsSJyRcx%ZK{Bt9$fKw^Br8xrS)8GSIzO&hlZpKXq>VFOATn#`K9G$H z5of2b1~0*R`9JxTavM_8O<$>tv4-XF--3f{t&f>+lER}xIo*VZ829kB=csb&e-{MH zK+bBF=<>(&QH56}V8{~l7nD`0hlREBO^g6#8jQ)urTA$KVlJMCE2l{&B_}(amu6v} z0Hva4j7`EbrTay(eALo~TbP3)Pv%OrLkZuNWwmmSHabH7ROOm@$AKNS!svm-bV*}r zI#Q-0-+0t6)x#gk^sqS6*9(u76PBsRy#H$}}#e9M)}ca*9+ zWk3tnvN+cAg*EKMk`xUr9T}T)M{Bw&+$icQK-4Yu{=^(}d3K>H9R#%vwRAO@CTZJ5AG?%w(=o(HPBwY)!fCQ+c*4Ly6s!W2mb@h3BeOaZ06pP4Vn0U=g07 z!qwekdl&Eq&v41p$y?H2fxq54#yLN(U!~90?AeqjU&Gw&naADPn4?wRbw(~N)Xw#) z)~tbINvE2<#UxL%AJ9!-2PVOidS2DBi7Eh%+0M(dkEe9GZy07i%e`KPI&^+#k>JG2 z=~kUlxc3|$^al)SlJsy%!ri>3XxgbX7`_A zHgtZ~{$M8#;=9;jdnXXXk<}Tnz!w7S3T07ieriPzL`RXM`v7KHFNl0c-DR~{s2 zZFnQt=d`$e&^p0_3bDX+XJb@kYgB~(kmwq$urs~pIQU!WPRUWQ9(&Jufd&ul&WWj| zeFGb>8U^R1$F>}YQ3wdpkh<{b37UL&GMmmt1k~R=TDfvEhhQ`)UlCV zYmb|uJ_`D?u2tFd_MeF4MPP^(_-2!5OMZu$xAPF}llTtiQw6ASj5&SjuU`-JXBOCJ zWlu|Ue5pxqxVcYaol9&JfgJ&&`y{-d`Ci|gApi=<#K?!{pG75X=YWn<5QZ4xC;Mha zhwNE|5&qKiE&r`uKo@v_pom^FMPb162pWY=EN~}5J2R08KOn|M3>X^$&-RNRSHIT2 zwX9>SBNTh9^L3}szmGi_!Ox+M0j$t_LKjX{QQ)RnF@!7+&JG@+i9PlYoe?)tv(xPl z9KY^0AClgRy+U5yO>l=Ix_2o3L}N)XGcAIKrIz!ch1EP!-?=AQr98}yI#_g65*{V6e#iqbRY;!%%1%K?k zfGG1N$wqF0N_r4Df+89@t-=DD$zXqCK)`k-Vt7Ij8nfqKD4(C}7_ZWydHX>>gM;kX zxEp^UDyN~}Fd}#a0pF8>ykjE1!y@?mL<(TaS3K(;M5O+8xa^w|uJaqL(y)+i!~B0| z>UCcR2V@Eh>(vT;_!t==LXQayT>m!&_K9kah=%WCQ9ybPM&l24^+$N|>!D-!V-d)!uUN}19IpSp7Z36^ zsidPD`kW2~!#b{$hMI{$e@Z8Aju5lgg(86TvJNBE3-b>US06OIFe1Ec^B$1!9^`n8 z^!$tc8WzdkBl3g%;YXJA&dP9rC1_p+s^QA_;J+vH*#jbh=Og2!^5y+7=sYk#+#qOl zL4{wN0}kRx#w`$II#kwNFWd}Dlx%d2zOA|n50`|C=ujwQJeV7WV4F^1%WXfxeMZ~4 z*#ImlUxc%F?0^SeZv*Wt4LO#bki?DvL2>dP)@HVy|Brm$u zUhTymq?B{eXL#9zXnTjK zAo@S|%m!mWD@R&9w6>3l1R#RgH|;bVELYPq)HQEadc8mj zvRz+_qs&rvvv-_$e~@5b%2-%r&H7qXqtG~(BAhV(8N2l1L{x3}?=5cY^5Ij5EZaf) zBXm>m`>M%av+w?&6VyNivEu93=eAV1Z@Cj!Ou>bj(`^trAxD@)2Gq$w(HuzYj{wOE z+n$q;1;8ACTnO`k*!L7xYy|=VAyz));aB3rAmjTcosi3@f|VUR22_6rs52;EsR<9^ zJ*@cq#*Rog9*UEf47^m#oSg1rZ8-K3*XgN#vh zpwc`Zd@7I{yFrYDL>WSUp46ebUW9ic+&cOsvj!-w- za+Wzbp*loDJVSsE0=2cb{2-iaTbXN$2=Z;iO}Y4?+lo~N7tkjLE5M|`WO{>q&n5m- zWzaIOW|{*%4WZNZq0^wfE7q_GZCSu~)Yx0F?S9y{6yU~p#DfN@G9io*#&i2dXmaf- z5suhaO$M_Z2*^l|`lOb4j2O6&H1L|z5FRdVtJ8|=ZO+4HV;ba@wA|h)2^WJ332TS{ z;=n_^cUg^KCo@5SuPvD%9ln?*mC%vZRx3E3hKPJ_u$Ge$!#vCXA(66C4oK;=+Z@F}Q^6-*&PnXc#ltJ~LmnvUOAo%FFV>c?(yqw~Vn3r3Wj_U&jik0za|+g_m_xT&~4bb##p*jo&B zm@Z|y8ekps8f<|?8#Tj^0Hpdqz|1ib+Z`f~|N5iJ&R8Ay5e$!!6wo}t>tNSr|6K~2 z{*X^_%DtK(=Wxd&xKjqyZ`hitW!?dAywqFIXUK@wEHQ5s=%KsrL6Ofu;6|^=)dA7= z5z#hi;f8IEpd;5FBDw=*#0tm{lT{flqU-OTI|isbD8fG~@`)E4Kt@#2@K%X&=A>VG z-l@3km1p};*k=pY#e|8Pz4%#}X$+e!1{lL=>&P@mRAKrw_8Z;dXM{TeqH{$>4@S(( ztVzi@Bvg1yp8?e&+V=B1X2w1n?Ve{CrT%@l5OKJhvo{j7^UuK)yA~6}TmaA?pBH{r z<=uI)#-FApWV<%>O=|#LP;kG#;{f3{7P#V#{~IZ$Hgvl_R6=XuT64gJcJGOH&jJ1B zN5nID#4}06GYX>YCI2XlN4VH~ni%gS%`F_wffo7=ZiIWbm^DN|-6~FPr1}=Cf>1)f z_|_bIL7RoS&@25QyVG$Nx-`OIP20mmRaTANwY6nAU1p2Dd23nQzKdMdBwa&Xve(Sr zP%xGzZU$oU;Oas3zxsKN8mv`AG6^MXV+3MJJq^zzt6%sCL~&z$74~nVZZG2rPVOVY zya(L)w@`wLDMdUm)n;aPPA`$4kcjn6wyDbs7g#5K9g7`ElYD2LtsLlr#w3jNe=tSw zArdDX_8}yrtIj6;1#Qnp{B@5zmnoq3h>8OKPIUyI9u?wRa!@q_y|(LlnhUC>4&V%q zXbi8Sm7gLy>GA2=9tfN8)Ie3Ho$<-qJtRD`ItrZP5xfm{E`{&x-bSdD$RHrs=q~nV z7pNt>SyWxiF7nN^I#OBQ!3AX96GQRAYhyC;=S8F;{C`nQI1}Ker0d&hICZxZ?6KU& zGN)zket3tGC$y_5q_A}^d!W8rc4IH!VyP+LW_&C(L;z*fV!h2)1}_}3wplKW$<_uJ zyD)7RgAA;k%w(*bbY#{mqnB3kvRJg&c(~VpH*vJIG&!?o*m2FcVf#{@$Lh<4PLDsj zKG0_9oL^t+I1*b6wO~0B=t5>?qZR7_=2+03l zM_%IrdUsY`;6X)I{2xvJ!K=gjVg9K7DPkR#n|$50tr8I>Nh$XavCi!XoGt8$9wB0< z+boJ1^H8%sCA9rAGY$v}i5qQxkXUf+NiAkD*G4&` z(JeTOntk`7B;C91zWc?$Dl}ra0+NyW8hqZ40v(DOvF&BoQB+gc5@F_#=+KHgwoyYG z?24P^j4m8kWGB^w%ls?;`KqV3BZvtIhG6jZ_}pzVzkfZ2?wKI@GWb#iz+3ZX3s4ul zQngWEWvh9PtR@LLWEd2!H+I%WsTjFT^`k_|FznIfC-Cw_MXYP){L{Qo>c-l~O}H+* zYk=N{-+q~QHbF?57R|9+YtGt|%sV%7gCVG0OvGcj!*j#o_3sv3MPV3-$`Q?+3Lnb4 zhQPNoi&|`h_RC3%=`-Xey5%Ay6c{}B`mCP7z^PUS^r65IN2g3%zV83slwU|&gN-jQ_}{HETj+nE@vFqQMa?=tq%si_%qE^h zaqoB>Y~#%)h{SY@Oz0{1>iwd)XE8R(Em-{o{m>T$*NtZ>1uly6J@Qih*d6tW4`<&O zk4ij(@Z9DZ=p-5T3z)rsc-ti$V#z#z3BzxlpeD#}6r{%fD@}|E_ReEjE%@2U+|lY< zzh8Ks6zdX}_$D8;Z82KloUeB_Ll1hX2XDh-e(h?G5%`D?bVV{x;cA2x>Sqh4hdPu2 zyyq8ghBMAq|9z2xx8*lCa5cXZdd&d~%Sqi~ zTm+7}(Ryrxa&gFfDAe^)DA;o*-;O3z5c+X5Kt)YmC*Dkta#ov(anB84X>6CZ=2tky zBz*p&0#+yZhoN3=o9aH0g@4w>`_?Bm^zaH0ol1XpF@HLF3_TJN5%5GQzwW+rlN)d$ zoNlLBY{#w8-STS8F~mBQ>*y@!NO3>liGIaJ(%@YQW!~m~{;CO#po(SO@Ez#r3C?>gI~ms5WvAKDM)b7-%^Lbi)*v~h|F^W>8`EemVeAvN zuh|AsewCWF`s`Ab3hK!<$a_U-q^R58@8B&VNWL+|uTh?4csK-ba2R9dPZ=ZM=t5>8 z#*5ZoZaA^K;p_>vUDW2FQ!-ALy{7R{VXb%oUK5mq zS|rE%;rG3t?(54Pv{1v!8chr}n+{cbtfDjLAs`$z9931C0QKl9tHW)46WHMumwr;N zN|}lZjVu#DOeD=n9w5Ac`HNgTJvU5A2$?LEFeV7|-;GE=0y5jPASwALqgcUl=H}|< z78spTLRuHkaR$q=&(Ye2Eqf|c^UX4yL0`mT-w0r?n_Si)6{3IosBNhbyZPF4gQm4n zv!TsoevJ(;rln}fm3K9A{Uj}CrxAMT)v+%rQZuh2KMz!EJJi4?z+m6yrA@t8DL7~G z4&1Pvu-943PgZTbmQE#A|7(w4*50<2-t4Nq{WmuFo`*t!*(RHZBip%?1P!6YwpG($ zl(pwI2xfkaZk}g5;IYOfoK786$8BmAvajwYF9_j#mEKrZq@8_&Ri6+xjXzVeOW*lf z3#?y&o;5ZcZ`8a|_vK6bPlw+D94`G+;B4+!CN#Ui9t%jHXn!oXv<;BVv?gy6Sb4!) z;z#HSFCG2W2m=&DLi9jzuxa$);;H5Ix}KnpEKU@nmL3~7!yRn{vq~!Cl?ODJoxBU9L_3jk zcJU>sd0-2IiVXQVYmmUBq5}MJXmPrZY7nWb>-Py^Ry+_*N|xl%{zb?I`wLE-bgK{Z zS)(rmj$S~&dwQ8oVr{6x2eKbJ>!iu6r+(aVl*Fkb#Rp`npJ~BF^K!QI|9Q}i;=fYE zPW|=g!Y?=lF|>Uj6tTB``Rw?iu|G?F`^fWRSzMU!eP_wdVR*zInBKB**ljtOOlxxd zr}?w(S5808tyvAW|9B;;IM;c|OH_4m&;1fVOdfGpRUD?AQ|PtQd30uyVA7Dke4nW z3@>}B@-)^#W$N_9Nd{!E)3zTWucVH-W?+lC6*;zV#@x`n5KD>*lXWouBdWUrFa?nm zcGG@G-z#(eN^Q*Np(;~ z`W$Q?OL|C=4S8E&XCnU?C(f#om<)JXb!HDurkv8XOPa6n4-? zk+#_KsiQ~oF4$7fS7Pq!R`X?5sRDGu@|77lyZ5J+32Vnl7o#wV9AKKB>cOWCdKt8` z_Cs&^LNRuU;?jjfGzr#n(PfP<9p}wC(>>Z(kq^!FEWtJgIwp_!>iNgsWF!-Q%w} z?iVNh73fb2%+OZEaA1@8y{)AO%yreZQp=uPqLqF z-Z%IcD?R6^+FX9n57fEd_2F@9aRl!!y|qN=uS56PuDnYHMI-6K@WnNWAH%V+P`d|R zGDRcfA=aSw#w}NiQd31vLkAp*h^rm=YkE>tgg~3fA}EsRiRxO@dD-Lbr(2tJ_Jzx9 zi%*4pk2S+Gw+Is@56{!Wl&E=rae4Bo!zP>x*68mqUrI|^j%z3@L*Ldzr{cIh2EWG* zo(@5l#<*R}ZVGiz-v!cuqww_khjsFYX9a;^XX diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index 71cec5750a061ae75fd4e19b0aef3f0b95969e10..559f4f8e9882ea7c251df76bcd251046442b28f7 100755 GIT binary patch literal 12628 zcmYkj2UrtN&_7O*CS8;w9i&MIg-|0>rHV*V1VjW>TIe+i)qr#XL0SY6R0O0+Cm<#C zpp<}g0)*Z|NgyPDzQ6ZgWI3 z$6H8ISx!MtQRsf)YtNTLO3F$?3M%r7O7cnyipoM(u6{wUU0j78I=grXDGFH`3)#B9 z5Ym77I^dG?Uj2XKC@9{@|35)mGTH-o2oQ_~KZ$;>hiD5uxao1h}Gsp0Fa1r6pD0 z$ROFty6ik4aH7otya#SQ|8oqv_?7#OhKtt;rCQNFA(1vKyZ zy~V~s{+!}8CT36=9U-6Btv8mh_?GrXfYr*2yp;mt=$`)%V6v3DLX}m66j26797?D- z{UTHJpwiWdqg`k1@3q>LLcNbQ3GlD5$<%-)XSoP{GR`!rlcx~xhC8zGqJ?TDrQc_`&RSS679OK6yDv2 zA6y3;%ssaEiw4(*a%aAJUPa9ZuKjqq#B1q$y|DUuS6u(^A6(L!ZCp#qVeIpbTuWw` zq>gK8_>#18Ey-SznvV+d8$67qs!WO!a|*rr0bN4=f0;iIJy2y*mZ(_Xo*;<534SrW zZUYz=51A$&aW36QiwtFQMpb}~8HYHX*P?0bd!m1UVg_!<@{U^z&wl!T)!EuAkl%)0 z^%eWoC0bdkI38#J!*{(pJMV1%GJE{Xn)~^^AfT%`=r6PM%mdZ0JG>w-wT-tEJ0;fO zTJeB)^$c8*udO`?-9~a%gD2$-R>X)^yx&$Z^slE=THXN^A9b4@u#xxky`i_{*|)1vmv6T#buPPVuPBl{J3lrf_|cvIs3d(7iWiV#AxpS)aA_@ zc4IzG9;+w5&{pb@J@7-fR%f~8oEMEO?@<@r-UcsHCZ>LT%g_6?_rT?(J@>p_k{}l; zxu!dv;PY!gN9>%xHDFKI(5Np%r$6<#&fwVNQDH9uL#2XYZo*Dmd(O(%FWM3Rl%zm) zciN=GiAAq43Fmy3Y#nH<)13^CZYGU;b%PUM!tV2JJf!>0!Fgx(3Hn{d^|b!??-U5K z)giM-w4-{B+4(vH(HdSdZPEnyyCq7cDgl2#h1Ihp()H&s2q-gD=Dnd! zuOs+6OV3eTqYp!{wr-Q*jFNAL)!31oje_ zd#)?YIB3zc?$jDJxvpK8^O1Tr`Xh$LzK;A>vDYwgi3a}^BZU41hYQMXF&UlWOa1&ujae765phAYt#cX z#HybCsqk!iyTLlQZqDiCtHvDYJn%EF_#jJf?6}(oH#T)x9+6mAo{x^+(-4Fs)kq&+ zj2b`%-H2^x4~p;sEoB6?@RPMZVma5fbpOGIs zxZAG%@0)38%An;s!heTag!hT%)zb;Mm~2Qf^AF-l1LXNcu1dpFkMYKJyOrho_PN=Q zGi!>@`ZFeNkmT{OpAV}HK*`C5Z(_4vTb11wGraPt;;*^2aT#YtaD}_-@%PNrwx!9L zByG>ElvcVX8=t8YHCKtxc%791j=c!{waPq?shm4`M(G5a0-TJ8lxyYE^Kq^{utJ_M zsLMXarZtdX*W!z}i)hU+=driRS9x}SIdeeo2V5m`G#8A@ZLc9j9b8aiIRhx;&ULk zBVMBJcnPZQxO#Jsn&Mse8=Y1=#80&fn&^-Nin5iQG#lt0=fm``y*ZpNvr@ikR7!yzF5UeUDnmEtGtQ4n$$LM9$kD*_ z?Oj_p4Q@np^udu#tZC{ACPVx7^|xOnrp*2(WHUNT4d{H5QahQrTVbN5HPpf%4KJlL zU55*-9cQ|Qb;Oc3oacYO{$EI}=>H)9H~$NnwYr4`;EkOJj(=B|5J0{d#)d9K7GBA} zUuk^d_T4Sk7hi8;-y3+vkCj*?UnZ8)%Xc=cl$Y1-GSASyBZmHr z49LCJAXe+!uV!{OnzL^*WuDP`cbfGMcqcH=AU&IY_Rc#_SIoFsz9ye`-Ex+*6*YRJ z$3J3b77=Bp<>ocOf7PAM9N8OLooM@}H8At=C@yZ=n$|6ap}_c!0(c-(@`1x8!f;A<< z8)2+IRF$ZPLK z*KZ5mydh6E(dV>|AI=Ioqq6VfwaeqX7(7)=EuOa&L5o56j(aG}UbE6Ic&_L(w@>sX z-i-L1&UF{BA}ar8-^{>qe9=Cs^=qdpcc^8IkNjaV?ZUImijd6CVmx0mLYd9z;^y^h z67p|eR7$%{bU(8#{hH8JX==&g@9?qsH~NO{(N3EnH0-aq&EA5xvi5+e+@-tlUn~3h z*GX0B{Zi@kJHOIDK1y^&8ZAj@d`?R-zKV;Ifw6wd$rIAp{}S?KJnHm*IHuvQ0V)km1I$MQGM~edV3gFWolmV{a1(D zU9V7HK-aJ5;7-Yr07;q#^j#B%87k<@7j#}%Xa0If#Z+a#ei+e?O`LL7k_?*&9)FYf zpxFBOW^~XKwcW3K&BhCX~HGrf=W%h=56F-#Gfi#mTu}h+Im_O-STbg zjbxWuxD88xf+u9DEix;_kc?52V)7pWr3I5{ui?^=n|j~4&BE1gXRkiGsOcYn<589s zbf&kPXw#JUKq2t$-94UT+1u%c%ldv<6-()llk+Qzi#cPQbswbPHr^ihlI1*+S_u;n zaQiIRo{`Z+D>-#CnVUF!S2x~=&}wo+h^EKS^3%f%dgvsDuxeCV>6@Q-ls~sH8k%*n zYwtfFf?hGGD0pZ-6#ZEJF?W$oT+bNjh?K3vQK9x&;i+|7Us`z>`xa|$!;5fk$Cs7W z;xC+zWW#+ARX98+${q75Z)4H~JlZ6EMFZ`V^+OaEdv@-Do0ikm6Wdqq@@H-DXi!5< zYXpdrzpi_U10`|Z`RV^Qg5|_G=Uoy8muy$4rL2vIFoOYMGJ(UCSw`@Q?R#Z9$+)84 z!MmiJy^(9Os=2gPpBLOBvz}l@BJYcif2ZGm4ak*wy4uNFFgs`1lbCPaeDyI4<&hbk zgg`fSrkwo)sS(Mg@9(@ttS6!a`)f_n6jZB8K8YVo6D`*gcli(@itSO(QWwf-MM)Wg zVxJ~1-z%R?!!2eI{E2WJD#tyFtP#Xy;~NL?Sy(|th84Z+VXXM_y zikGL7;m7^z_*~#GRt>Y_Htzo;I{ARJ?lRKza;*3c)TK z1;Lvk!PRIx0~@TWuag`&Y8lzS0Jr&fea>lLfacy47S5@32p^3*)N-|IU6gGF_Qv5L ze94K?HD6_7Q$C2H5N-w6RV<{`!Zb}?FrpOGp(-lowK#wZiF_k6q>z|;JG@|FNUf0d zmOqLbHs*?MHMO>!0_2gOnJPB`=f+3kMOFh)OWET7DlYFpM0N&u3{M zVNO#0ir1O54)FaUE(&b;e65#PLM%l!9>J=@8Gn(I(8@J2D(KbtV1;~j&2N5Xe=el- zg>rA~TLY5vB6K75Dt80cbp@fnF?M3;O7{oo1$}ywp#A3JbDQOy&xO@7gOt)HYPHV>DjTBzF}y@(-()M#N*tGR>JeXCNdH_NDO z1wodf9~_nW%A{)loq9sq)9rsm)Wj&(=q|a|$r^5a{`nYN4B-nN$9gGbCY(6ZgCVY4 zroFJ;AFE4HEeOfKj~?1-IX^Sae~oO#4TmpHQfD^36HP`i5#7c2_gG1_9C-3gBKGo} zk%Z&GpHhI_E4Ev5yBe%$ zk5|zTBYFq)!TsP@5~Nd#bAA=^VjS)!`BsuepQ5UrX zCmK?A^FDl=Nt_(>Yi}UFUl3}rHuC$lXs>oP^;u~e#p*KJQTQiKnT8e5#uB<_=&fnGU3GhR|wvqxNU~1sV(@{zw;>J z1}+aBL-w2}Ws582cBV-v=|2sPIRYQO`?JW4$_Yf2GqtgYfpY&KD&eW)|^z- zh9={;RpvSTzml!KgX5DW;siq_A>$hZyZ7+ux67vE@Ga(_gJ$nFq+$BzqfT?z|kc@U3p#l6fStrTqABGzQxURZ=v8cmq&okHiX5v>Y6v)W@qMPX$P@5%F|{ zIkZi0ql?gG(RePO*)whlPXr;>0^Wyyf2Z9HoU@&fZ|=OR!WgUG1l`0hY`iAos3#C? z(wUr6;c9~63AMrBT`Sj*T;16(2*E#3v6b!lq#NO5r<%tO0 zaKJ)6%u@duCYJv`if3*_^KSbDjywYYb0BcgRFRncP?0D-$8dN^E%E#=kp(F3 zwwb8(JGIqC#HWA|L3%D6)kj|720~{xFxS6LmG1@5!_IZ8Z8v*t2c}*35nql{hf|vZ zf4znB*OSIJFg3;3g@sV0@=a9qpBYhdUY7R!2Js<=Y_No7O6Om0Y08)R{YWH(>^?#G z`;M$1PxP>cm&G^#RuREzu8^7c+m2Ok5WktfCt65`e-ZG1-r%3`4qhQlt)%+k2KdsB zXKy|~ABt7W^njRBxVBtgn#7zn;6uV#PhS2=;x(#6&pbV%h1fCz$nJ!KqyIp+^oTJW z#|y?wEn98ZfdAky$p|i(pZYraRBTx=H=aDNN9?+Gu#iH4x6KiC`N@2R@lfb-*v2|} zc&GmT>Ih4K$s^$;vXA&OT_No1GshCPc&L*I=|jR9U7=6V5h|SHV6UPG2o2Be9h*O$ z=s;@ZiKh$h{Z3sLs=baw6eCF56u|VWa^CN|=lnE_;bl%ScqD_j1IMurll$|P$-8Zj z@X(50SSvZsMQJtP80@h2CYI!TI*_|iAj^hl9MlZ^g13_K*|}j6i<<;GBj^Kb@N{80 zdf;ExE>T12>TWB7N5uJ;T?j-kPS5wL19=}E{&Dkg>*R!bf?&tUiFe|1(zAyg=mC5# zI8G8D+LPlY2GpQ29}-AS1EdsVH~Mtwf5C*yW(GTePe!nqP#*eUFia|@HoL@N$Qb$7 zX56&tK6$i4FtNgY^2`)T%-cV2^qXZQr$!;F#^{`qtEM)ORP=esk`So{RK2zn6s8|$K8((F#xWG@e)yLa9ES!B{(K0(U19mjN2ENfi6@#pN6Hx}(;W zKdvM8U!*nP{ex2oUwEXH3>c*L65BW&vSm!$T|2!yy^bk7w^;{9lg>k2Igk~~U-#uH z$vyKS(Zti|8a*Qy3g)IF;I}x|LaD8(ft7-XN+JS&kaluM3~$z4_fnvl`YD_rTR6AS zu|YB$^)-Lr%B5JN7I0xw6pdwqb?wEH^_KXO{a?0dM%R9W`KyCUDP#5oUgx_sFYcp( z_1a~WSn8=p?#?9o^SOC9+ei!URN*Klg${3W=Fm&G?2B6v{mjZ12-wz7C zfZuad1Y+#rI(${|t#>g#(cFAWn+H>@IugSzBT7F4M~tBNr<1!p3q7)DPpA9tBix8! z@yVzp)nSIwDhe{gr@?3S`|>ov(}QH43`Q`W?L4$4KcK`@)m9Gt=E1L7aFjpSuk-7` zV|Ko!z|da**=%>wS&8IR#=Q>lg;oiEDUE+wTRoOR$zuyOt7L!T#-`>0kFA<*bq18< zlh6}zB{gtu&+s6*)U;qw&KD^mQl-p7;8=(91~e`3Vh0<~sQeKW%<0{#j|v4)KdACe zidd2GX01@pDeTHAVboj7H9M0Yjv++KhTc^HMZkrtLe0WRrLQ5bemg~EC55y>=%KNtKBS%vy(K~Ypm|K z@q$+uXXk0KCIT!o$xc+*tM~`#bAEQd9aV?DJe|VopKN|NvB@bT{_2nb%~yPt8mv7i zxB*DOHXylc>rSlbLhR{rs7!R%iA1dEUNixKNLc*oz`V3LL&>9dfvB=>V@;m>4Z&EZvE zM4UC&3s0L{TMuhbZ_Rf(Av|#ZaZrfV$gW^*`!?v}UBQgXAUl_pp`gkm6_uXXNc`nf zw8)I?F4Le^&5!NfS>-bl&u`|%?-1k@cKffHKN8n)-2D^vJi|~BwebT{_MDpgPU;`r zuE54P@Tip`&fST5T%MrT-V+LRwbjF8_3%|m`-9+e+j~LQv1DC#VwFBVIC2IpUmO%dzBh8?C2cf;*vZ~?mwxvvV_ zw)&W(xi2i#Mw_yIe&eb@iyzKQfx`2&*a+ zUR2xe$2>IhbKU3o$0pn!Go58zbq3XG;#WHG=lS=^aeW+q+;A6^VjHM)X0{1H3)Dg7 z9ndFC1mry+RbDDCl|4Ug&xrAlrSS=!XE`PLL7buE$$2X>ZNbsM*=_g2`trFc6kk}Y ziZv_r^of(cUvNa=$3s(x!wWOORA>9mqZqB_XE!?Bn=GPjQNy*Khd6<_bH@PznhW%N z=pjnCDWY&!>r`ROS(8b?4X=V+_ntaZTb8-9b8Y3US^VOuXD)h&ZYz;Ed!N|4P%^Bo zeSA8@@nTl6Gn9#YjQR}9R2MFU!628_O57zbpws$flnY63Tbu-tcIu0&+gr!J zJd}?lrG_Y#EbRWte>jRNI3n|a@Y@8ViNZ9fI8pxH5B#S&QHNJUzA{oR0*6Fq&TB_R zYD5f_Z=S}9w2lATz5pHQD&{*-J={zSD2dSO&piodV@fAVN-NW?LZ?spFX%B=Vb?O3l|Jgg%>s|u0$wKIs$e2pcTwz0JRBb}CO$!`(v z96jM1#qBkZ9)l-)7jGa}o_G1V**8K6{WejTH!{9W$ ziOg3jSoiMgyItMGdtQbng41_`fi{=;&b3+lH+)*G&*)%VG~ZGYa<8)Vz%R8V0{@=< z$c^vf7JiFJX=tr%Y=Po`XuQl;W$h`>QNk@;j2-v5hjlMWf#H>e2}tQlHSeU=K?srX z@q@R+pR=OlnB#j}uu0Z`)DI36Axh{sxv9-m9!FOv)7TNyjXdwD!hgMS;r>-G9TBl87p zGm$>dtEi?(CDktN$WZtO#bQ766E7@3GzB z7vJ4DCba2z&k}y;&2v1a!-oQP?8pVH_V=QI?N!TIbSweVRD3U@1%h|3oOOK~bITf1 zPphB!r&<_8R_gg2?Bshiv81{~(cn{1Z%?eU5XzYjlXJGA?q z1XI;PJcNz3$8GtMwH3Sx#a(k8E?jea&sfh+Dg}qa6Khz$gz6KRA69apG51o!dveqP ze71uT*}WfE2iDHmll|%U7Z_St_UB>Ct;!JF(CY~PXEzZEa*l;rskm#JVIvcNE2N(S z>mWY`{_|Cx@K5pw507GOi?x7UJA~Jjf*}2mko|{8l!5E7CX_3`Xfg4^_ap;xr`K() zPxn`BHN()K8!qC4SWdLaEAE#T>HnWaXX~(HL?fTqsN1@{xlDt8DPmD$K5*TWjE%{@ z3K5R9TOlDH!2JRN(X$O7h?9zh9B-(7QgCRkUx9U0hEjc${h8Kk_Ra-B%~cs zWdGr~2&9H!RxyOYtjYUgHL$0~{OyAC1nwlifsmE)Ev5@gLk!A7u*B}Uk`1X?;KKVe z#o8?JGR^$Ru0W-_eq__lI;UHUptd7>Y5+R&1b2n6vf(3V$5QwL(4=E2EHCg9jyf6p z4}JoS?7|uXAN&V1Qvp;XPcWBoOYd2UG5A137HHhD)Vvi;3&KOrfD(%!*$F2aP-7*A zjsaj1c}l(l@}}GgX8;fjJKj>}+v}X0U)~c@{=)-k}mO?bFLHye}SrE2A^(nCCqq}CK*x*I54+U0k6t7j;9OTP9XG57^6%%Aj zHR988PNEo@`!tsx*#!}1T%4u;=fwa((C+=B!>wnixs*CF)>4; z)L6({eaGs(p(!M`Ls;*Zi02eYf#f93jdj3?c8a1lg1=SxEcjSDd)hDm-2Ldh1o17t zkuSzBwIZ83Yh4q2IX|nQWcSzAY-P{hu>SLl`cOT`_Sy^W_;X|76R?VUK@K7vchv96 zHB+7D5dOQ;#5ZMufZJ)F`XiC&a0r%|M9);yv@>VVz1Z#y_uACXB-U0}B>FEiX1iZ@ zywFHNyxB;)(}@?$yZ`3VP?H9h*Z=>39o4I=2w4oCghaIuORKx&IeMXGD?ZHxwF6Eb z-oCgG(f(f-`}|*g--?=Ktq`oEd%s-t3Oj9pJAI)kIo2D#r~O_m!F+qH{Pe0COEAl0 z6k{K%DeYksI;I^4(S1P$cMYryO<;J=_4%N zh^|Kqp5JFgt#`L&0noqmbb0#)|Em^!n=eEoX6ps<+`BSC7+f=v-M$B@P3*FsP`$_F zQjUR~x2(Er+|k0!F4>Q_0TNcQ{>f+&Y_;*)sr^Ltv=|WkHz;OLPRhkqItrq==3d7{ zaO(aQ-E1&NFrCj^tZr{VsxRM4L~-?nD-KK?2Xd~Hqj$6<-IdT>Sm^27g;z1g)1*&> z)h96|S;ieY0)JGO77zsSksT#5x|*s0*Mruq1{}S(F#F8A`A&!=(X&@dGhd*CuHPR^ z9+Bb_?X_LPU(XhP(i+P*D|tn7iiWq_^RxM(L70fIiGj5b5Q|tgvI9`8lD_TNkv8Y34l1${fgX>+sw#QQ(J;x5mM4T9-@EVDlU$>c=&wKr55~7AZ7$gz@H54O+{K)!q4>=G$YAoi)EvP*>?!T5;RvekY8U*dvOBu;kzckZG?}> z_BeX5Fa?g8o*kUR=0?lwu6gy{Wx-?sk}Q+`Dey)M{7tUqHA%h$UeWG|aLW+Gt~dk$Jbs zceB$O37dKz~bQ0K7 zoc5A1Y%J&X6TTye_LM8hcx@K+n>f%^RUC*U9^Ivuz;?MTV;d)n1WmqM+};A&sW#6q#0QbD2Lmf-;M5%!j^g~lg}@`l zzSsG54|fT>`Mu7(K9&Q3l{q>k2r)vM9otGj(!k+6Ns3^Se?cHI3-5 zAmvz&t!y4EVX%rxxATnP?DJE7R?f)8(rom2KGT)E_xLl$$R-pYf zQ9CET?9xR(j{hlMzbu}X0vPIp0NxgzgrqJtzC9tWJKo`bMAVGmuMMk-;59W1otqhj zRlIcIyGhcGC$=abpJYt!ev8`*8aFd&Njn(IqLdK|u|K~*Q%}1cCflo5jg2X>aBFd_ z+O(UgzkRgEH~RD6@!NQZa;7GSi1{Ip_y=h8fUYbsMi+ z3&5Lox@XUusn%gkBuGnnCCE3Q?|T&zHY=AhqZ@SL+_$rgS9yv4e96zk-U0!v1nqEF zZ_!<5&DmSpfh$3CoYfvnX)}T0-Ib}#O%B0&+fNlPQJ(6p|9BkOTTZzBTG|Dww?r?q zbL=f^tW6H5dfU0Gmz8*{Jx+xiws_fFv^6iYT-6@CX*0*e@eclPXDiP|gM4?$3Md~< zw(2ciU2iTlYwT`zFuz|*W1;X%G~K0&l~wf9cf&7L3`3g#6FI&kQ47BHOSuJ$;%RNbn(QvYY-f*^0{-uH|D zzJn)|Lns3tY58#Iuockg8iB4M6{Uo($+6Lt{FG%|?ow>WIqu#%pWLp{zoy^(P?-I; zb^8-YhlV-lz2Nf%)x;IdHNeKs>~3oVuG;F8?$Kkm zz(hHl;<1jqV@U<(>t{9Wu$`&QTY@+O_z*ZAY#O+@Y?ZLo6u z5c&8ATV~f&o=NWmZvms)$(chLVpXsP-8 zL}gyb>jAq#v9Bd5Ij)G$6dr@LKz+cIfaEWJv6 zGC9?5cPqxX_YlIr$i-1 zZuRX1kDbAL+fNUpC2Bs@9NcQRiqhaOS_*wJbY`K&oxfUWURHUJ%;y_B2@2W6N|e#( zr9@E_j=z|+IVj%qiK@o32)HPkY4f$r2+}Q#n(YR^FZ*3yXJ_c6=x7yGD(KXPKhr8z jA7(NbvQC0_ntKuk{UN!3@{-<41#PBZM_m5-Kqmix|K1LY literal 12673 zcmX}S2{csk`#(+!5kj&X5<NbW1Sge=I8VIeEVr)YT-fX{xBIsi<93RhP8!dKUJ?!%OnMyN92os-(?bNe8dT zl183SLQY09H~l9a6H}(je+82l%m3ta)&I!|=FQl%&Ej_N{tccvea89B?HiK3=NsN= zvYe0MvX(OCPdtA|l3DtWvB=A>KOg1x23p_3Xf?@5~kGF*xE_zZX85 z0<2dXKb!^V4;B9ghQXD_ zTQ4c#ShKk-$Li~hXt2T+J7B|aUjZurz+bC9;CqH|Y*;>rIJg;jf@sAhTdlc>j&%ZF}` z`t6MkHn}vaG8Xy%_+4w@^*PY$bwiPj{*2`NE>ykvXUY&sk}7-j$5)J*koO4;q>YIL_rzz}==0IomR>?j2v5Cdkrk8STw-aCM zMD#=P87`V)q_fxiv~GMJ^0q|Ul=*XvAg%{Q)ou@e1#(#Cfan9B?vtqkpRS4Fl6!bR zX^7!m;L`0g;FsZm^zCIFJ5f~(7e2|qDx>d3fB8{rwa~BsLsq4*r$wvxTkZ9a?yH4% zh=5GDF9(v7ul^d7xay-`wk;ZOZR8pEDPAch(G`&T5bIXk02Ip`I3IW=I!$9S!qA9v3F%E=fVx zHe;_m9g_}RzCuvlC-P!fqy?T8zYTl{cl`<#)QtW?6T#gTcbUH4ZD)#QcaU+Be)ujV z4Qw~2@X+*kGU2n+%gjpc#}I=mZBO^F1)cjJylg78(mlQf!SxP2h7NRnjg`CqIhK6b z7X9w|(#Nt?OCW!GsMsP$-9RPHX#IlX$B;LlUUL@SaqcUbw3+1?`DpMe)9+lkuf&Fk zI_41h>{&(abh-OH@a7PpZ-(syAL!plt;Vr)!Jr8zT5P^ef)Nqqj@y9%t3P{*p~f&} zk(;TRM75;HN^kSX|4fwKVm6b_F6PZ%lCor#0dcY^)s^NOeiSoN%NxGCGt<)fVR@tf z%BqO)M##Yhp_Q_(CsA_lp1DK$THPwSePP+I+YB?4s^yCG)Jb%OcdFxu;s1-)R!gS&F~!F1T&;Teg*tP@4baYaHQNC8uav#)hlV zLfHdq4q26wNh*17p%whtsic;omo@eV#dxN=ROw!jWM6 zUfjJY4R`6`S2J4K<_pR>iofi@XCH~!H?MjqX*D!lf+=UbTXrAx5RZ1;aWn$F*PGmi z%Vg-X_hh1vQ{4ygvU)4~j`id0D_6FoDk|J!B9dYzNyr6a1IA zs{#itpv%3b5tT6s?s@{YjZLY}Tc&2A&QXI`6&+?T`eSU&!oK(o;Ty>fgxb?>(bm{L zzH2is_Vy)dMnB%>m^CA;uThI(+i%aHGlDuU!evQ(6P(SLy=8TNf_nJtLKK%>H>td{ z72ov}Aw}Q+ULIeqB z9a`72qFL%p#Jr0tNOvK-818F%#4NMf&ALa^N2|l>J&sZEfM)sHldt(uLZ zmR`AklLo3szYKoF#1@qK;j&pxX#a(#wpH{idD##No9f1pZ#ELDQ`R4MWYfWsS;o!n zE+)8vi&-0dh0zi+lZk!I~&M9G{toK))R=rK45;TgxYx|BhKTtCfNE_D+ZEL;#{#45^3mun6$Nj;$oA8Pu z(PMc?zg@+6wJPRk68KO-TIgEaTX&T5(HM%nW=YjLBrI#dtShrXk(Anho;{_J&2PPS zNEd%1QsgGMjH=9js1{wR`PAB&RBkqD)!jA(aB$Gr4f!i;=GyW_bQ%MdQ0iRrxMqzO z<__^MPeoIs7VV(2jWmS?=`969!{X5>nh=@Jz-Z7MShtUNA}sU^W!~uDfu>r=fb&a~ zet>SW>?)6C76p2C)ymjCu@PCzOmzxkERl#%99}AJJu}Hg6p# zo25TFr3e(<6mxv{ez@$+MNo_Yce#C8^W?&Fg>eYT7mc_vz*GQ;{+@f26Le|4_-59f zy5tKv)HVHJCo}o+e4G1jV(&>8?S`$)r01K{KbKfHdFPh@hbanfCBpAbT~KUkMSF(_ zYc_fp+K}ZmJx8JVR#uO1Lbn{6-Q7wKm+`VaYx*V$WIkYu&6AvVdzX-3+=RFIhyEdC zXr1px5Z8_L#@pR>e%n>uds%)un+n@G7r5_#h-tUr7vK5#$s3c2mtOL8*MN+4iG@Y- z-hKQ0t+kr_TcBk{gz7oWjnqC;>n*G+VgJYOQdGqr6UXI;KdRjv#02Z=>I@a$4ZlSF0&sPN^Q-k_T2N0+h9#G;Q=W?n8z3h<4h( zQ?qP#s|X=Qbp4=m){0thGq&PVsFEz1|5tJ2^;ZH9LN3)V{rVF888N6;Ycur*Hj!jQ za=+r1q?Pv~aAZyJZ0oa<`|A=KlJ=+0l-gXYk;JmUdt7tt3cqWzS?EXOq{us=GiQG6 zJTTmCev5`acA`dYdCGWsJgxNfj=fIVxMDHvTlbg)JYT-S{Sp0a%2!B_2$&&}YuUC3{?$m<>S>lzRA%bH;7I;kt-MLg8T3QT`?6|!> zz8814W4xzb%EprC!>F$QPcwu4|1*`?{-3GL{{J(bbF|FhcGEX+as4@`Ky0;ad!!Si zQImM7;lcSsigEk=@6>M)<Nx*wg8!lO7NnDq<$a=7qG+t=Jl{{W(Z?>ed ze$(cebd-6C=`&|Fe!=$fLbkNCsPZk1LFX)){B^&o8MWGW4Uv4BuK#npK3X+!gn>$Up!s86Y(bV*KGKL2aGw}sW~9G{rx+1n3x`Ss(rZFO8F z)PK96Ww{B~w4dA(4zh2NuimFPHhl#>cD?NsIcIls72ct+Vg0smg`!P`XYE)c2)`p; za=%t7nH;xgb#J}XH`4ywbneKOTRPw%vuO8shVg#O<$b(;ta2%%;qv@OkbWK$DCh7M zxj25u2|88wJ!@p4<&z~KzO5pjcz8CWosNlh zDIWk`VIJM=jiS_Swj*0NG4RKOYR0g!t1ZJht^F%qOC-|jI7ofx3w6PB*=QftH<0=l z7XL=@H{;-v$~VTa(Mh0*@YNK~UfP+s8-KmfNWtrB*mpHgJu4M$CI%il6n}lwQfY1_ z9qf|x#dx(<%_yNt(LlL*&5=G)bnhJ9Qm@JT%t-T#`Z%`B>F!rh#lL@C`|ZR#Ghkkt z@a$3HcIZ!m*XegTc8{F6gKWS-7vESoimRmRfQvkNp+oVf>MvVveN*2U`E<%Nz~SA) z56X?D@(){{UKTn|XZ2}$J14!9U^sSHSn%o@Z&4+jVqJ-am-9?TA&3lF>&tjan} zlI^`zZnc%`|NBZjxVupEH)1OaGSZNr+$fa(4rGANB(K< zN8g-Tz0Ze#78Byu+wZ(pd3SKYOg8;VNkV*-y?+Y&=Gzo2&j4HHp(5&*tW8-5!v4>? zbmY|sD}l0I6T%W-N4^GGCF}94WR}f|d@}ugCs0_RLFk<5-4t7^$0dP&pQ|&Zu&LYE zL_*%Z;qLa+)Yk6bX>xJwcI?iMp{3Xa4Y`oUg~^MdfWJM zpRu3VL^J!-YSa9wKkQE-_pM|mp6(q)`y0da-FfRLvRP^xu0MM;d^6!!4p*E=M$E&-K>|j?dv8*fd9mNq>E@ zJHFR@`tDtb(OIRK5dP9TB+%Jt>G z|Bcp>(9jQ?4T8S1Fu$ceeXLUD1nHt`LZ==ljICgJmKShEm*ziJEduJLB4ZM(O|!z{ z$Xu{#vox50%zJ$g`55tcCdVnrp@&_)Zix}}vX}HF{F(~%toE%nhuh+X)65PB(~gUv zzhrm&>f;uZ>5bdN=}6{ZglFZXgvloh+u=JF(Tw?=@zsMFq|jXM%V%ogQxNnDYw~@JDLruGZ@1cYaXX2)v zC^^P?&C!<7d&`95k_)j{SRMtEFE~W#ebczH>e47AmjvFg4{BFUvi%Dv0?5%L+TQ29`PC343FoI! z9s&Sm((t#UtBs`U(iDJv6F+E(e7~E#%zwRK&Mc2^WtjJ3Vnv|{^tfu-toCllYX+y| z(ex~?jbv_Wyjro0I!(`}f4wp(9;v&tP`vkqS`fze3v`b$^N&Avl57%P5Hm|I+2psb z4B3)6R>xKb_@vZLbUq)syyK9gQZ+}@0!{*DV8!yD(OM|Fu=UQf4 zWp_};FAPrIrkC)OZ1$%wTOkR2`%Wsnuyd2&D5Cx1RQO?2*V{L$n$SlQ{FD~l zYiI23`OmlM+0{_)#d1ZUP!j61OK#Oqq8<`!4I!7bOZ3B4doc!br7DnG$8jOc-#!t?E z!PrrzjRjttzZlQ>p-hw0MrXp(TU`-IGi>SvC#B^k!dc@w?f3ESjf8`HN4uvcuq1m~ zqytspGlfKs4Xdxfzt1HeZI1neAY++#S9HZuKMiA<(_s9b+YK*X>G8qy$l8odioZat z1}a05&?X6vG(UDIC0V|s5H)>|V1zxvw$s=Jei$p62&UB>@m?~a=XEhS(JFgWpH7-j zj1^^?kFL=m<2?C!Hg6W_L0aCBOp*Q@P$=C@I3K+6aUl0c6?V5x;KrstH9sRpEsZQ{ z`vJ9W^Jn56t<0`n`}iie+zyYsp>k7YGVSo&3Af*%U*(0>_w{_c9epScjwW5b2R*hg zb&G*>+C9{V8ap3D>t1xk2&5*HVm6~H{9Ee6U&v(B<4+(0@`_aFgKk#ZWR=qM3Mv-_ zRyG_UjQ~E_9b6OP{m209x@PCspAQFE$ECk9y5-g!*ijyu0r&2_CNIPORKW4=9+wzp z6HyW6Fb7G~<1@<&q>RuMYvhd>IaZ5t%l}&FgpcLVGcM-_cO7J6LtxZEJJcGh+0@nZf0yZ{ zOR$m>(um$~)Op3X>GlU-R9qn+>#*{!W2Q$ifz7;sfBiT)U27e%#Bkf_7mZ0%GBHIS zWBcqaiEi2|;3=});sv9pv;qV#P)vXx8Sm#_J@l{IW6ON~!Bg1f44U1a5!^OIVhg8- zl`s~7oVkyzD$u_GmDg$vB71zQ+3N?T!Rpz*_4iC$B+(pj)V?Ri*8Y0MXtUhM)Z zCl6+RBIiIjmB3#Gs6f`_$%efmn7iB~%`pjk)k z%dlIN@iY&!1CHl3v)|;=ZZsncDGF>pA-`kuGxV0HdO!EYn0z?eJ*$?J4Q6zq2)1#p zhpZHe-KlF{o*|@*{OTk_;p%56*i3D4WHk=_wf8p7FrLBoQ?OVYT5@O5wZi}=xEg*k zGAEpTW-#=2?7yaV;mB)E3hr5$k%nt;U}pO<#pCF$s~LE8xn%~S7Q|^xOrjwco+Bci zx@>kzTr&(WwyDv11;{*Bq`{2+*{1=%pRX2YT2=*16wukO(5Ci%-OCwsf2?KeEuxn| z4_r}7>(WBY3*|8|^b^I0Mu^u8)5YkK-M8C$0CSpT<1Y0b@apR=URX+=N$&!eD0hpg z!n*Y7ogTP$Cp$TM7{#`kx3cZA5&Ds#v0@qCaVO06)%ofXS-Bom?D)xoCL|}t})R3B!(0(Lo1mKu;^ukUZU^Zj+tfIsS*s{TEX-_ z2Yw*^B$_?%y_e}Z6e-GJNhXsM%~>S_Gj*2O{$+$u01gM#n1Zt=cp30ys-<}^3U$f= z=9~LtjFF|Vh|jx!f?1-#_WmJ9SVdeFk?59jz?=Zt`1sZGDQu31j<$mhQw%PQyxl1x zQ+x!aCKf8Ve4*LSlG{xsk(v)S1%^dpd--v(cx1KP%hpOVTiD0j(dxRIgJPxlblS1& z$V0*HeQG~iVYX^wYYVOFdQ0Xc`A6#C%`g80A60;d&~ht@Vc1|$I>arW#Xuod(iZNP zFRCZg0xNU0`NE4x5-{m(;bp?E0y!PW5sq<@Cxr)^UIthqoj9T%R45(xzKbC!M@B8s++G-% z$gYiVA32!)3f!jN-5+^OW?TwqRNg&`JoWF;Wr;lW(J>``$)_eBU7 z5OvKn)jaDx1fO~XMRAPgBJ4h8i-4g^!A;En92tlI`;;n~BOiSZs7L-Xv-^P-ku8rW zng5o{>U==SrYKtx%q=|Kil0BWk!72Qz8!bz>zPc=gJA*=P5!YFqrTk(eLbdDrWNeF zchJQ5I=QzRdlSmwn^jg4u%={)OsFBiha%g|o=# z7z0PN+|Zm8j)VI^n1V{#VgtG|q(mK-9rP+x`}nL5oCs(Ji*T%X?2q3IkbFkWy>Nt$ z7-r>)89s&&nEKqkYU3YpEbs_OxV$u=D~pbzBDfH#e0$n+(<}IxOT2_v?A$0fQp}YX!S8$A+tHFDW;=a)<_OyTWp`OnEn%^-XNqC)lNJxzo+(_5g^DG^j(aMrZgG*VWy~hA5sH zKnc>T)C|3Q_63;3!J9aL~`WZ9J~!?Y*pRmIfV z+?YCKNMU=&&eD}O%zDuD4nv!M`<-P>za7R-Y=9wZTuTDa01D62~6GhX-#H$ zm=$tMAAw?mYuf$x@qnvidLmhYL3h7T_u~8sI6W?eI3L(`3*1Noe>#Q9shscgomx=4y(DBB8 z9&rAPYiCE4G9yG=7q1?GU70X@Ox&M@x4w?t;(>4VcYbeKNCoJLiuCe2w_vwM=&$9r zTwafpg3T^*&rt3&t`P03&xwQdvJ3Zfc)MDZtN>6G`NEO#OF$b)o`sFUk-Kncuw-_%n;e^DKr`3|^04raxngrqL~PO+gX)|y3)W_ zi3XUg;U_BKjCNXGX@R=s5y3m53PKjIzaJZLu`BZKuj|}ZRp&9QDQ+9PazBru5zN17q4*}0&6JU9=wQsaqfLA-Z8xj z`2OvJ#v=o3&6ivLy}9}g4EOLv=a`)07LQ%gs))djUWPh(oFIoO=^FCUhfb$ws|F1* zrE7iSzY||@r00EJx*sN6&2zi6Qfr5p5O(9n?|Hq9FPLE|$}~N3O^hcf;T|-;o8+l8 ze>)wBeuSqaaAfSk5euI4>z=P*vye_{P!r<5m}fY!6;(OVSof&Tr1w!d1cP7k((MLK ztOyj+d89 zx}$=Z+gUQ4rUtNW*ZWDA>4rI8Hb9BsKpNeQ26ogDcU1{@C-yuJDc;2}e(ARQ*_C-( zOPa=JvW*hJAq8**p;^W8iH0Uum8)G8`3*ns(+ny*{W&jP^b(EjHaY0kHW7eB$#EU!1<{riiB(gpvz4beR_jq5Yx=}Fo=3?j@_1H#bhm-|I5H>0GkiB-g3Uh1{sH@D-;l=?ny_2NKp*xUKt7dg;s}7(`b?Ps%x7ksYh@Bd( z&=LV@K&vHuX`|$u(T^&64ORcWYdk903W%7mGVQd{zH=B+ADUxeg_aO!*NhC2(mFQ7 zBlRvuyl%)7kmH)8ejyp+**2c%15yrP*ZUdak7t^WKdNo%5xX2dXU{NP8wgubJ6iLC zta~(n!PZYc>n;A3Ub@~h!Gg~K|NO2PE@%O))$G7)gwf-NL^@)^NtSJPBNCw6d@Ov3 zuQx#WrTi4aTnYHG9Cy_8jj$ET$gWp{R9WEHLN^tFG0N9Gv5Cplf#BQ;(~UZ-OWYnW zh3LDjTO(58hdjh5@Xejc&yE*tyyz6es>QtR`m3S#k$%oA6ME?Pd~+FRhGY8>ZJ+~^$HDL9Bv3_Sit{!EJBzk!E0 ztiitkmV;X|KI^-oV(FgLo1KQP2^i@1HLP2VAo@r3Il0wbr<8uE<*uY6F;Xu|`S)mr z;v@ug0W#z>;@021q4BD!-0vrhzv+2UN_ZiW4M98&jxt){AX}c^W=o(ux2t?729co> z6(Rcbt0IJKmzlRsYDsxc>BhNxWc$e?O02ZLMJF?X<_e&cErMe(;oZlfSkar&8q9Ey zFlZebjni9tb6Tv@Y+M!qC$iAhnBgOG{(|3eM`uuXzT>C|%}khz{6Sq!JKE3vtt}Sd z!-XuLwUbn_(P$>_g7H3)h9P)$dqU~*L;D2YfI!}vr0(yg9ki$syUma(GFeV~{V{x0#i<)z6&|lUs z)Bg|Dw%X1DX`Qoer+_h*f74Hk9dJ4^5f*N==`+I@Ea&Co#ybtNnr>XFy+buvJ%7zJ zR<^S;bI6n;nk`cwVPcL*LHmsLQ@h? zlU~q%u!0jy+1-7P%K$X8V%~qp1#S8wMu4$_`{30j+7c5+^m*MGFnLB-gzyj^TmTOS zVkn(TDCgbkVD;r%_&Wd+Gq>;~{get)KJwgd;J#wO>Kp`$%-S1vjvQ=Fe*l}6VtZOJ zzM$;&?9rjS*nfFLV(z_J+x)8l?Z3wsQ@O$M#te(+0lD%z6be+8P(ti--9>R|q^Dx+ zLv}!^TOAUhbsG1F%xuHSH~rW5)VMC>s%dW@aLwry{*OTaM?O>$9wXENb3I{GkUlkE znHvTT9_=7Xi|C+ohKN2x9KJ2bBV5du*RF?by#LRLa<>1__o&30sosSxy_q#j_fEWk z`=4Oq9Cz*IUtD3?ly2_7opKb4iwblDu`5($6DEeyM&l3b6D;V#6D^}PT2Vh*==!?z zg6CU5@ysW8rusE-R#SFw?$nk4(ge(srJOS+0%~^R8&emgkJWaX=ZQ0YLDk~v0U9$6 zl8>=U)u}{Qw+q!9r%eC>VGXmC}GV^{O87lZAumb^?eLw-LVL5<>039urHo>TfmOeo;UU` zo|LK&>-@r&FIImAS0)C!{Qq>&&+~^xKbcK;p2@cHyyybg)UC9IJ3fHzwtyc03sH=;^UADRkW|i+x2zXntq^xLkdW|ndjN*nBfIOp#bP8exCnb$Dc|;ccNZ4 z0bA9J^KCR^e8Qer94@9gwQoI|c^a-g_6hQX=OcsB#UqwQihVka;=#DVx9;wX?)Fj= zQuxz$+1KEUu3~r#yg3Az?a)gR0I!|~tHFbx7>OB3M>;b31i^zLMhJAAx=LJ+PtqEa zQ*mi~{tquRqC*Tr5rB}>QYhcuL;XO(w2>fn1OZoVFc2;284^2pPHarR05XLcs|=O~ zvPA-$nBX*M2a!dDRF$$Qwuu890J`02=Lsq77=Io@BRltva~LmPgrU9|R9dN!2haAm zUO9R3=RPhE&vG&_7qC=JC zb=hco?$HHE{s=8hmGR8T(K=Q8c>shSyZ8`~iJ0W%e@`n7XeJ0UR= zr=XuVnsvHK!L!-X!suR#nCJYPNctJTI{f6T;e7ILS=SIjMS~lk70?7g zqK&}hbHtTJST4MWd=A&H@h+{iaPxT{Hv1HkDfKLR`E65LQUgoaq&BjMpAnKpnO zKgQ3{`heGfZqmNHege4p0Ci@Ewz1(k>R6o7<8xpL+{E4jmfQx<^LDwn@pfxpf6)^z zeT!N>$Bsi>>~fFh?f$P>|3#h6Y50~g`$_)+!_AB6d zYMm}8UAkHRE{gvp%p5Pmk00_cWf3eP8s%o$KiCQTcN+6>fK10lUy>4==aFX3N;ga| zJ6X1%4cPwV=q2uPa1+F(Vk3|$XW+Z}=eZZu?{?wZufFV2jXv=tOPv(OcQ1M({n!bE zx5Y38h9_l8$q1Qy35ZB7T$~l^ij?IgB(h`N_#l-Ti+Z_9!7ir>Ehmv4hWq<5moQD2 zfGU@lV$@&s><_+;Vi=!>AK&4?V5Lva%^FO0*?*0k9w}ao`Hl0BJMQuG&ww*>p2Y3K z{C@JTtIbQhe-*mvnb>t4&%K&N%Vj#uYRZJJ`>HqEpNtRm}dWq@>8 zN0K&L5-mj(O{lCAJTcYqwvo-Ll2~kWlFJBJvrAC&)INc^i8Hu=aeu#rxha)cx^wt; zI&6*Zr{gQfvmFtCX!wLbt8t@*#nmlF6D_ndy`RP0+t!$)hhiMOJi=@z$Cd4CBSW+qBR>yCu(Jq3!JCfCER55qt;^B9Dj}9zqpyupx(+J z){`7ON)svoofErYjUOg%X1^oJrJbNRu)#!wx?JJr5eB!>l^$ceSOT#;m%@fcPE`HJ z*qbNnF>jmq$=sk3BIf+i=ZM%4~ z2rZ+{2O1}niPiYwPMl`Fm1jln$-}Zhb*HTZ;^zwnBL*ipLdMxA+nVZ>HnmGt z&b-lf9^tqdS>6NZ&xpkGTwbpau@e$@3B7mKuz>L47U8P0M*yRS_FmuN`MBN9HFX;?+rv()GhLQz z`}R=&!*}^X$07Nzh3Ox>P-gX~j<#1GE3xESJPwHqClAdT$p`E)Bj%|DmDpE7 za#jBNiF4GtDqX60-?xi@>wRtWMUc2LFz@qPJgOW=`p3_~zXIA!{{mjl}_MSaY&bdiLLsNC_!ui$j{8T%a zuN-~-T)aH_B&Eb3iA(aS1-Q7s<$EmknD3E{gydt1$B!hX_)Hvq-@7ZLzw(aK|H_~Xdo~PB_oTmekX2M~YmE84 z*?Cug!Q$47g>8E+M2IixB(@`A5-tnhnOmbX~@+Af4yrU-e{>AnF*Y+gZf0uN7!M-VJ6a2TkO4X(- zg@pRv6xz?IzZFfvlWA<-#5EO7v0~MG%mr3Y6vJ2iLunj?NZntjT(AN**cJtLp79XJ zmpRw64$yud4aoN8>h=v!W6}7^n@IX4HDTrw3rJDG!+;yS(^ z9`7EIac?)VU>EoAL;m*g4>frOS~C59%v2~boI^Gz*Q*}^+2zXPI_!Oz{+adFm6#U0 z3_oZYErL9ZM6v!@Yw`G~GhFI1g|iM~=-83>5pXd5ODdNusvg`F3!Cq8&jhUion~Bk zvImMNN!L?C!RnvORJcw$bk(l3d@Ozpt9WhA#OFJaU;X+MN~~0u-^L8%EBaogRA7=# z&u8di#_Sb#Yo#(b6ftDaB0@H6*a4Sk<>|E9^QAXR}`%)eC2%IdybnQnFZuix=Z%*wTOPcPP2)4Ery z>OsHV*u6@5X{PeRwd#C8;_M{Z9bcDjnFAVqi3_!9)w5QQ`6s9FpD$&$E;t7?JMfK+ zS0v_rcv6}h&D7AiAcW-%{bHJs(bt|p8;TXW$6A46q6>Dj2)oMrf zoVpbXo^Qv6{Y8GTQHU~s1>sY4=eZB~Cli3{o~}uL_r1vLPvHRlpAh0F?F?!Zp~kktI_=C2XCfC>7-E-y&-3xG-IQ$o;?>H_N@i>`wC12 z-FmoXMo-?Lz0~Y^^?DQA4TW#mA2` ztX#fZ98C8%aI-fHJG}b+3NXAVVXW}?7h?L{oUhTa#h{l)iIc(jTUINWtNH`H2*+~X zpiC!c{qDS?fzJpKoebY>6-$KbayE`$Mbs?ZF~X~F)M(WG)%?N~P^>7P8Qa_@ zowGA%;UTe90o0%7+jRja0 zMDdwAk_KEnf)4>hqjB0C(~w^Pd0v*&zfJvlyJcz-u~mW_f{&#Pj!N8k8rSl%>Pu0_ z-O+1karYZInIL{+T|(_j;@>26RO8NdtAE!QjXvI)9tin<_p1&`&;M@w(6rY#;*R(| zauusK2czhM`REL8P!5c1@~04FTQ;qlz=PO9ADpsU#5 zn;sAgsm+PgRaE}uu03~p{#30Z!q-0gwk1Jcc6M9it$Zfiw!gn|mPpZuK1Q<8m&uqc z12+f#dF_ByiDjiX8|`jZQFO$Qd}{*7?DV&>1@-sW<8;N>EycJBMAdVcO|n~J9}}#M zTpx2!J~mja7+}7iDZl3^cOIh8k4g%XYFFZMw&vH1CFuX0~bGa^M zI%($tc&8DpAsd!@UBtrdY*D~!-Gj=YQ#TX+q(kjYpt)V&u-0eEa81y^Ys;Yn@oa5h zV^iB9K+6$}dUDca&G{ZX?JeWsBz@9fTr7Qq`RUpF7r^oLd@(J6)789w!6rqVur>~r zka~Yc9tSK(URmB9Of^Qa{Cb@(tvGT+|0CVf(Cal}wgKLk`_slAXMbH^sG0>s<1)Pj zuStvJZgig{|*;dTG(pe5*!j-r#1@saD? za>jD@XFLxDbYXf&j-Y2UqpG`{u{@p}w<60Q1s*f6ra z?)$M6&=)`XsU*k5G-Vt=TGChc`ivYEu5eZb`Z?X0n4WK^D)DYU3O;eKys-MKiwwQP zPH7KOx-1{~6U-`~87o%b?lP~CEs-}x0y(}t+P>XxxCkwHecfoMB#ju80ao2{tt@8! zr;v^2N09RGo}LUAj|H?z>uFV>w|HgaU%5$zhQ`~Docxi?CpIr%;mZAifjM;HY#Sg+ zjf2_(A}wjmkC;yPzl}Eh@VamtC;Z7`5!mg(UfCz3U|^8w$D%k`zq`5*SkYglIpUNf zPEYOoG<%x6Hq>T33wTA0G}pB^>6{;DgNmNFKY6%OCHZA)2~K#{?HHkPrlw|BKnq84 zj~e>3mA8?vYR+t5s+Sg@(VRRVM_sw(;_#H=OLY4c&bdJ*$DG~@A7`Uh3B0lSE3S__ z71!cnf+I=yYB&rMFIWED6nh%~N>|I)CV{XYW3=ePTq#P{I@C4VX*9B6+kFlz_>D7Q zZV07OVrQCpnPH$*{y}bXa&L_FTRx;n?DD_w4+(H~hi8EZc+^=-7Tw_RVqQY2b2t;b zgtbQd>+OT|sx!I9-DJntEpL>Z`4VKe|KaXuJ6n5icbrA<2=2m5lglQ`Xh~NTs|N3( z)ONm)-9z29-a7tg0Am`iq8zLf2i1X#s4~)4xzNS*?`mT1mnfX^0aH#K`?2ES~3yoB#Png7%M+h?} zXTI6r+Hjrm3dWO}7u@gic)x=PI50$8t_@x+k{ezDI56m$-0Kr~a5TN999xFyh=Rwe zO`Los*e5Qqkjj62P;i`;rR=#(5@per$1yBZ6TQH@-k>b5$p;BY5By8dMoFnA{kUC1{WkeL zojarMJxu1Qx$9kL+XT+SKF$4I>^Lk_J7zOP=B27{Q2x&s^6yF85!dr8zP(Sqc5lKf za6=ErLPE%(5PH@~j2m} zACyQfMA&fn$fD`ouh0Me>9V|gz2Z=`08=IA8dm7-^mn989maV-pBWf` zMGo-NymH!#t5}-0q_X9bsZ_mY;#piqVoU6sNU*xB>wHvc{DQ8!l;YPi6Mw&(lE%2a zj8D}<)^kIm8&{L}=eTOi`a`TesIZ2yU?(TMAu z^_vrRzkrhM!Gi6}jBFYcra_DUEFYXrkFb#o2HJ1LmmrqO&-D5r&mjE}W<4{T%&V%; zOxPwl--WA8e*G_py!zi9QZL*UJGaaI$=6BE;LLOVxCQjBTpbq0$$rfIZar!Um}`FQ zZMx$9PgQdMBPVFLP+wKdrIHiW)li_>xUl%?d6r*d4g7F_peHG{8;w>N8NavVQ0JIc z-6BqSssQ0*w=o{6d>(4Z{Wbjl;BLz_9NDf5f5I?%zKGgX-}Qn;rFxDrRCro@I~&d4 z`dpO2%gTJ?_`bcy^lb}Q6;(UIYiF$eiFGZa#r@*164evtKwYH@h1!#Fxxk&38;opU z4$QpuS4QO8{r1gLf|ah@JhHfl7Tx`4*bquqFRLl>3xxj0%s<&-@W>)afyk8K~a^v^1hiOGAPzEx2C(%hJ^ zu=n=%q+k6%0ngjB>o~oIZZJDpa<@MN6E+m|Nyf`$hMu=KAT%j2$EIzcr599lC@FfKG%U&-_k!UEJ6EcZi#GA zm0dvKE}>iP)_!N&+aIs-4lEmS@xp`L;ddbW)uj&7x6=SkC1TXiYY8KH0YPC(W0zKu zw3MyY@A_&y;ehA{#Ho!p?AM2E=1Ia9ttoiMY0x%O5fuw-l}O+8w^n}^YXxitL+Q`L!gKG_0$6u+SPvBf& zW%G~-T;~_LH3#S!Zl0#q$j+OToZNac{uot9x-CTj5VS|xiE@LjP?FaRtXrOf;&5&X zH7jKUW;p<6!UGo{uo-G;GU(4g>gD|92^AZ+U6Var`h==U*!3!X_LCUFUs3$#zSuSkx zSa)epfC_m)SOh5!_?Vjv9$8{26>D~~y!Ak@2q4Ti$U^pG^J&Pb2mxx0jnK2v#s17+ z59SJjyYa(A`QTHu>nj;=Ua1nTCscR|I;N{Nx==bQ9`?8gB=t;eD-<}+A&mCeWM=R%gFa1!or1sA)#Id2-UmQd-hCRea zkD`yk))x01(J1rQU*KTY6?pw88K010BzrYGFrP;T`2BU&YNnpGr3w}C>G^NK z?&0k=F_I5*(hxHacjxW|EVGhOTGDKW^(wU(YjScp5nj zs;hxZ@DZqYK9gMxWD9<3yEWo(0?0WN((Db zFD)vo)H#A(#kvFLVNf7Dja^-*9UUo_7W1@;(*=yB#&sj=p`@#Phr<`Cly6`ik1F)V znXM-X2(_@N{2q7t8CF_ zu5z&C4XUtc=$k9Fl$)@tXQcyn{dkuCIQ*76(ydCb9_v-SC-u%z7W*WXn#{Pa{A%!s zdjR~|4XHrbi18kR0jBAf6ko$Ww3TU+%!W}6_bL_IKa(L>sDG8TUf2*7U>=x+FC76C zlW?|V?6U9~T|?#-ZQ$n1%Ok;)XI7i%#@X*B7f(}#^G*Ph;1AufXy9pm9ekp6MyXH; z-YvF&w0^$q|= z5pNwqTnWtpX1e>&HH|J25@qL(+U3>>zi0GS^^LcC9Ox-c%jdr@_329gPqAyeu`X)m zUTKtv6!jk<%-#=`w75%>aXF`*6PH%I9(zEIdH(#MLld5_9>xZu9`#Y!PHy>q3?_-1 z>hH_{Xb0Nq)b*mas<`czO|C%0B{#Hedaz}Ta6kE;*3i^~+n=w4l!?xSGxJ@Dubn!j zsUJtVu=O>c$&P`}r)BM!M1od;P$Y&ElW!`a)lTyb-bF*&NamJi4+o(8(_b(bqKVLz zu}muOh#^ZteL^Iu7;-~-3en#{YNkkO+lf(aPnN2opeMZW+g68O0x;55j{V>pc!{k! z-Q5@^qZpG+FUJ<(V(!VRyL{-MgOROX)%(t(f{+s2wwJzt;kAD zuJE9j`As;P?l%P;@ju2Rf=&#(&TSy@lA^j@Z7md<&kTQ*gL%dFxQ76 z1|!mWYZ`dna85ViR^UBz z0@ifH7vu5SL#I#UaqYZ$!CHF8B#x}RXJZE0W-!FxNM4@t01)jMLmz`ILo;$6BBocQTo<-aQp4u zns=I9m;YT1Qc~zWxg#B%c@IhP->h$t-6j0QX zi$JvR()o#mIr}Q#Q|5gJLUh(7t=TmKOpmBJX~_M!o04H|FX|B*DO4NUy`8-5?2~rg zLufW+n_O1M{9se^(F;3X8Y~CLM{;gjX?27r)Nya=LjhCe&N^5Dk9G_w^RNTjJ&we) zeE|lXba9sVE7!Y2#_@kBCdwU{Me`H#AKx1?yVrFHjJ!iq?`kwYe}qR!<=^K8N-fR) zcrbJlf95p!EJWEUoiaO}hs~DVgByw@L(_n2e0lWei$!eVR8Y@S)kPKF`js{DxHda4 zkVk%J@nQ98qim{HxO=R5NAgjCF!RVA>$iq@s=BxiFc0*3jRN~`N`=3Q4GPmO<=~1? zHa|pK?O1Iw*DD&g+;kV*fXPM^jg?e8} zr4K@RXH+O+Ux32r11$Mt7(+HR!osQ|Nmio41|EFW2UqQCz%>7id1h=K81dbJY$dg@ z-s7@KaDyo}tndPuOpYv1q3S-TD00mJ+%|2IUa~1*a54Jj0Qh&>Rz2go7T^R6L8?$1 z4QfbsFR^=*Dirb;AP)W;R&x+yBtlAhj#L0&^JxRUHw*eN{{j1HXn?NW{{0S2=>4Mr zqNhdvmwl9>x0v9oHPbOU9V>gNPz{hSw*MN%+lgT})MxlOM^f6ZN~$azz=$}zD91}n zyW!(EZ_mdOQ4$1F#$q#0+0EUGAD+8)DB1~iIpVytIL73Sq0!HBGTis_N3U_f+uRI% z%(mAm#AoDnSe*VGbq>%$VRR{;z)&C`> zH>flth2D>MlI_8!ka_P>zDb3)Eh(GW>j_;62+FpiKo9j#=AFaR$ugYCx3I)M*;y~C ziSlQy=dw2I4~l5oOLy{+gdLeW_YK$-GiFf$#hjXci1C%?#D z^(@e@rnh7`r1B99@p=Ig7MojnL&7m2XJ?wT(34&<>DPPiV|`Z@f+JH#1G;Ric`4uV z?RRWr@fz3aCuX_p%hC00F|yt!XmiHJDx%d7qM`iO9||`js3iIQw@30t0Hf}>peWmt zFu-BlTF_Hc{0UFd(b)$LtdEjb6a2T+;p+Z!Ep3o{GOp)RiVO#pK)aqQPW1g4NDw+3 z=FBr%nNA30mv814|3l0&{G)ZKhfxwPkZ9$biEC-Ue73svS+ITSs{Bc`Z4P^Jh>so9 zj{dQ|l@eoIrjS82_g={(1&eLguSwgM64TA}I7|8(PiUjcfnZ6EEq5|B($*R_9@2g% z1mwbr(f~K{;La3rqai+v)IOJ=>|{1XhK&B48({l5>-=NKO@};rhX>rp-Lk4szjJ^# z-m2)6RdUinTq>DWSS zn47h|3fKv+J_wZPcR-X)W(`oiTc&_spS#$J6NSHHS{BgSTwAL*JhrHM(YLV6YYCyL z#_cC6y#B6FkRRuJA^JL@I|Pms=i6Iz?ZO?#cmFQE8_{@@>OUS7_NUFuk;n{he${*r zX9I}Cuqz4Egub?pTTxwl*t&kP#QltTq9`seD@kFa&nMZXo<7D$&8j(Ca3?pTdBy!F zWU)C3>aSbc-$#Zi(*Ua3iuUWD1f?$(0rCp*x{4n`xf$>FO-kk$HgS)XbKLe&!@31c zbp9kt5n&{{VN2<`kOZSM;=a;cp2Gk!!%fr!E_e&z0q5!3Qsag`3wMQI<8F^v)JVN6 zzUl{6RXZ)y^jDM!fcG-eRv|?BiNzCo(0fy$o?z)UXYsj{z=2TB$4R`A)x#@X0m`aj z>6}_z74J`{=^5Sracs$KQ@TM8Vefm;JUbM>&#Q&|Z9Lw4emH;&^$p-2=nD}};%vw4 z_xj8^E9?{#nL+V&P|s7rG4O%flCKW6%XaYa>nM2UpoQxGW3P~~z?6eQkhfGvWi_+v zsNALaZA$HB%tSNQ+O`m}IqIfx3PDfdAcdpg+k9T}MN~}iR0|u~3FHV^1Y>?y|E6M| z>YI|cq&_7dC`()pKfnF>OK!a_>_cv;A64FNr+A+1FpAP#KMcjrd0_|(38H7r9W|yq z{p*VgXMF$Skb@Pw%1w7el;%cAvHSk#ATQoehmhUBpF&!Q9}QKYvfZb^`#Z{{rJ+GK za@?ubp5XNs!0O`H=T!9&Q);kUVlKgEZ)X84Q#qD^meO;g`bCcGHWCj<-6U`j$25vM z*w8_!1X_Bv-NHV+_7IUcLFtxP*eQJH1fq7-|E}d_Kgvf?Rl~ystBVj*NlLEgz_qOF z@2uLHKpLljBF5ZMPXAVk2!jRMCKmg}15w1xmfB1l+J9WRcz>kbrYQM4$iH>i>&>WU z%Oatb{aP+5p%7vALm4`}4pqw^*FMY8+2)=4SUpeX9vB%9E7@*VXL331PKC~sZ|8Vj z&8?A@a1A6|3C}5Q(dxG6T@7=Egz|vC%!3HuDWt&dW14kHg5Xqwj9`1b?f8M8QSAP* z8b1RU@&APdnju47=g8^ix1) z)v0$szu^KEpY@CJMf+;iAca;V$tXa8ZOE=P9{dp+09boefw z$OB&C4_cwFU4plJWq%pI)l)m-#sYN7z9<0;79r_mA{AM{YMf*V#M^zpKMXf3@fI(I zQ?B&*jo>-38P6WRSATVQ#0fk_vcj>PaQV#Q80tD)@I_b!UI0U?+LO&b`Hkg6EXxP3 zS^q241xDFIG?$)sZXLxYOBmt5=v<;mE<)Ip*o0YHsxlRPl5VK~vpBpw+MMzBbnrun z#U?cGK=&0^%Tu+zsbsV_oXbUPC`fS^Hy-oMhh=-hLSkewN^e3Xl%+xD1Nk>VkA!C$t&r zL!;3#0HOB5fKgp;;ZJz~-+Qmw3T>gU5TA&Xly)!mgGHOXxpvRJPG3?Up=_tPzt9?O zPwWjhJ&g5}lu7u^2wPj4^y5)j>zf+pOfCH6GKN5FR7FGnZhf~pv=6&E5Sc59tQb< zu*h$oS&L5vmh1y{M3iLw1ghgw3W)O!6-Za`Fw({LCf;yWd!H0OpnfJYPv9#>Ep4+t zrheGnBnp+<+x_d>Y+oA{kK;#+m}#yBCu?N$d@?_UgIxFCE9|`&J6rba6s_k%80!EW zTgi=$7wS*CaE(vj=(eI4$7hjA=kv)SB$g|)`om6R!s|jIw7!>o62@%dJ-uAXydAMy_E<82$5^(1YG(I;C6M81+Y^~y-jwbgpL zj6WO(WEL=O3eMLsU-Cit z?EN6>*d=T;bDYFJOOY}y3D8%6FBmyIFsKO5U@Br{Slrc63OEwqOk7z;S2)WzPh;=T zXi{%0*0BEYN<VnFNktE1YzNe6!Q;utxYvdmD>u!vzvMHP z&{G!7NFAI?!uH%2l>8+Ln%3xUluyqVPtQTl=hI;}FU2MJg}l&W3Duvc0l%o!OUJ7* zp1T71%fvaZW(8c(TkvN4a&c_(*Yq=qt=(d;@vv{gdi6lOCP@yN+Yt6JY9`$#H;k^3 zkn^%T4!+|VL%I(*aq0lHXvEm!ntvLh0+gL6QIC7(-~*i3K`9xf1`-F5eA^7eQPeH7 ztxcU!8|y+ubLWwj$+geGNM<6uX4DP-4EnXpN!=`NIw3qc#6l^*U5=U0Y*Ts+Wq`@I zEeDpiC=kReH;?Z0Ljtzn$9eQqX$U4t$q^%`Un+4Gt`Z~t6*z&!0GTP&drbK_%71il ztNGl}i$ZpgEwrG0+mJcJ7Vv!Tn#tnO$!dMsbBPXwe(?9*-mP5I5YSg&fuE2%#G!PE z5Be@zcH$(21BYIlxj?aMe0m(xQmKBb-T@;^uX`k$CqtbYCKJe8dhodKGtDSj>s*xZ zen8%uVa`F^!j?C;`|FK2)f=@}a0oSIuuiBC6+DG+8J;3K^;kkpt#*%fzbIdGY>*0z z0wVoM@}-mSDM5+0jWpn^?kY+lN)0uwBbFnPu)m^|djHBh6!uV+6EjsrsR&scc5aC< zeo9u;HXs zkYf2q3KYlD)92fD)GbwTs9LEQ!LubCnd6%leq|f*2+trv1+K?0u&^p~Qf8k&3BX23 zsVP$=KJPi~#|m6NWvbod{%WY?i~du$qIYAwM)~?l=3(}#BgrzPb2yaszU_3TF>s6R z7GLi$$mCNd1+wZ0`%#=;xIuV~VMb=w`U+IaT?Or%ReRFD51JFks0`6LKvbB|#+Cl_ zoZ-3W_F1|ut>FpfQe+`t?r9(-G01}GXFV)!g}-Td zcJfX4YNF&$8#1-MC{0U&Y|4|1aIMxICdJ_aD=Ao(^rb4KO5&A`kZ7_aZ*L&Bo?J{l zQkr_Cga3S|Z6o?2%oE=7q{EXUWwB@eTY-wX=V1I71+b%#W-5t*FStusW+uOXUD;cf2%`wfnTefMu3i^6(%rGq`JS z(q?EA-l>FN&~e|cZvW8N6dLlY8{)dY;`i_*?xlee`;G6m%)nbS2)VXKreCPlrm?SM zr)xNew%eX@=E%nC)n9F9h_I#A?VnaitqDUc?-I5qZ7k18-aXX1Ly_; z2;0PIyZdd^fsV@^v1`2?T;G*X<;mCmMN8rQB`Nj%RZzSR0$g>m(-P)jSl`j+wW_FD zfu5}%*+?hik}rztNdv0kIkfXdVND(V^)wlWh`7tb}HED7fTXSV@k6U;c;SH|ZXr4P1Ha;TU!f5@WyAQBM$aHp{c0Pf?qYO<$ zeL&@|JfD%74!Qb>u9M4v-FliD+tban2ZTMyfK;QV#IE0+Inj;o;9l;2UDWYCOt~xc z^rBTU!bj|Qjw`GJcUGMqgI;zCt}YvM!<+o35B2QKoK1?Sdaw|^G z=X{TJ<$kgH~2eLq zv?A(K&x?8*!&x0f2xx4~7(CE$H$ye~6cNo#eDeSv^8EG&e*8OWfthM<<-&a*`{oHV$S$T!Jm+9O{eM-`c9zXRr3e= zv?kkRUgKE2Sf`U6E|od^@hgedtkav@Zac5?9xwU|WDx1)GO9m2ip_*StBMCE$V`iF z*9JmU(%XWrO!t00xqA@j_%Pwte1tOWD`u!EY1MxHkc%7oVq+i>eBq^=APm@f8Wy|db~!f~*yyt? zh_h>X@9p@R=NpA%_x%%-_W?OzwuUCbF6vd1a>cKQ>PwHoti0kUSZ9U9g;Gj*kA&`A zOt7+QZn`QW;3S!rnY>*>7M6NQ_PQ%^3ZI~~^j3ztIz4jD=eF9DJB z{I;7{^;qGkVb_|(EXO?NL(AilB9OfJmDd)1*Y%X`a;++g0c$}>t}S+`QP^=u;nw|y zZ;vi|FlZsKKi)aLaU5=tSh|(GCyKMByaIn?tWPH=6vSH`jvZQ$jk zNlK#}5Oyb@pv*o)t?ESXVkERNZnUBLmnkxF$VXk!%X<@7|bX||#|#83FqQ7GLOD4rXiH=(M9%y^+4RYS?W zrf;^RR-F1_Qs!2g_Nt~oIWI~adi*`Y_Gu??cRP5c`L;8V`d4RqKQ((mUOq&p%6(qL zh=(=JbpBvi73ntGfGQO09a--tUR5H__8i;w4`7BpsXdC(*|6b zdq<=Wm8CnN7K)V95#Qz3d84hhiHU3I_4I+C#2a8?yi2X@;aym)Bqwe|5viL3NBzR^=N)0xZvr5r7X6`dC53g2m!WpntSbSUA3rAM z&Sn&$(CyxNUZ*3XRVuBwo7Qsno#-_;J7V2F!BjeIOTUIby~c?B$+&RexnEW=gJNhS zkCbBoMPe(yC+37Dx$JbT#^M-EB1rOJrt>{ho8kIgY{ybT$_ijRgLx;en+|(jXZgU6Z@wlIX;{h0pLjTxXqF3!zoYP#&cP3?)<65n(*S-H_0|y|zj0n|46Vf*XH{ zM26-4YrzA}9R`B|C$ktwW_g(&*ywDeW80G-5E?G)c%sEp@@{y?P0T`Rq;!!pISwfg zWC$fRK0{80;ZBjbGdd9MR@0s+q7@lX0E~-Zb{r!vc)K2~X(Itaq-Cf!V1KbeMn0I$u*7|91K-5 zt}Ao1JD^`ykcUcIBJc4~_qldMY1Uyk!kF}Mix;pU9(n=_^}7Sj%72r+&G3t~&%RS$ zBCyMaxGh<+|F@YRJxGlT%HL<&L-(?J8z}j4?j9>`A7A^j7C86>ob+_$!k`^WT6ge1 zRzPMg4)K1q-WF?}JtGuyD1UK3efRj;=-`?LNbA&Q?`5C*uYiH9P@;Ew^J`TC5x!4JL>2$#!Jf+WWvpP&d+&WgcRP& z#iK96Pv&gK2Ekg(60|m_>Z=moc*H%t84b1YDk&@uDc$8oOEP?b$&@EmvXX9hQtK{K z9paFZT`+EZr6`6p0z3veMr~1wAZE+Z_xODlQc0&BecSJv9p(_wvkf-pW~@6ne65jvOkh6G5vrC>AVjXoiHNjt32IIqVGx6aw> zHPvuzBhY%E$&eCxz8Nc3EcFEo?E`i-Dva~^l=tmoO3CN-le{5I$()k4-&I@$m}if$ za9$^UqVL?Y>g&tDg%Sju(;_d#^1>euIQRvR)Te6+W(l!_zoX2`f#nbX3MV33FAYyb zg-RML9JWFAc6w06=QUD?UXhABvN(l%J9Y4Xa?`4oc!u0H4fybf5wZ=+bQwyYOVlw@ zvaVg3(|=wHv^GgW2@=57e>{sO!12kWVfG*oro&b6rQxRgJg2`{o4m4AAi14w_7`PP z`LJyBBR`kx77R3m(F{%C0S*6CYD`3yrg`jyV;z!2^`suXsAX}EWw@U; z>ZlS%DUk>p6XI%rUHeZa_3AIfg46rlK;h@x^0BHne)Ih1@m1dTjFqY|uGjn>BlDH%4w6=qL-#cCyO^*_;Gy_+)~NW`+LKq@J_cYXZcA1CPA_ zG_#1W{Wd2Wj@kdCIbmB&PS{ImX$<~P<7j8b8#v4U)guk0@gJ#SygX^XH(q36RvKImcK-1xaTU$ z$VTalvwH*YWEXRvFkUH4G5%#cscslW-!4+d=bX>0_%RR1#~US}_zCZaSsqM(c_Tls z3cpm;>h}HPH7}>lc62C}VLOhW#+(Jsw0wd1;@#tuo)0@Af7wlT^S1i(58wJLs%jq} zs|n`Sb{{b*ri-+sX0-JBu^4V%fr`xLyv|GiU7R)K+bZHDFRGpQZ}wRv$8!iatvSdc z@5yny_ZHZ;WhImDQ9z}nf$f=*t6%xmR@Nl`vX#?$^gwi%zFPINPM3!eNa#}Fiv+eBIJ$FO# z$B<%(+>&v!VLs)bux8<0DFX4+<@pchdso>{q9Q7O3gM#~{UnC%bXezXvm>pMQ(> zWZamKXSqDMxm9;tE}k zE!oYTgKDthRcr|*4bOsGX4)PeMsSXopRAmeuR(8rZx9InnBz`2!${|=FoY8AVPuj0 n%bnB%pC9`q#1|P+12+`E@ryksDsGs6;y%O$eEym?mHK}G;O$SG literal 15979 zcmeIZ_fwNk)IKZ%q9`D}7X?8@K|neoHbCi3Q7KUokzNAQ69EO0CL$sN3B5>(^iHH# z2|W}c^w0u?KnST{KF>4r{sr%k&)hTjnc4g7o}FvY?zMaFv)rdnohm!ebn*tDJoirI z6DMD=i6Mh;YZt$hnhW)(PntP(s!jWU#Hnkn|0~^e|5pYwZHKXC+|)~jK0ceA&gi1p zqWTAYgAmZ;%wJaiCA+v*uY$CsUzh{G!wrOKCgJhK#^z@0k*Tq<@gREZ5cZBz_^J zyg9Ji_t+HHp9eo%M_6wBkhAPA`pOF6GJ6{!U?1TXY@9LmW0pX*cDF>o-V$iZ-JVux z%C7qBTnKi*oE&mWPHJZ^5%7+G=J$~_`@K=U(r;KW>$2}Di*!?eRx3L%C42Vc#)#CX zRm@td+2t=jTU9@G8mnJeYO_x+Q8_$g!nw-E4M6mj^GR1ng zG{j_;OJRo^Pgl8SofKIpD3HYdrw7-{Q#n%`Bd`UkJVjRaZG7s%+66xi<3BTmA8_tg zrmWV49ZraJQqS|=wPz!JEPtOR{J>Oc!jDs>#pqXmhzj^QrE_(k6sCJ$!}N--2j@@R5c;;U-nbyq0B2JyQI>3^K@J?(vIIQG-Uxe7E%M(^Ss#f; zc8GUN_w&xPZwTFkU3qg0i6GAE)LPF(@jo%w29K&cM6w&$@l-YVBOvT?ZhLpwtI8kC zy!gg%!8+nUn!q!+>RiP=zL*)TL4Y1VY@MQ%0?^ejJy(Uh|49~bfaU+*TBB=t{0Ql~ z;J9N(82lHu7pVN^iV@cf&ykgXMd(TE#3vNN!HtG;5~ zLf>~~-cqH9+CLv8_JF<|Xnwafm+6zq^K(}s+4ZLmVyIaW^f%wK9u9mFaY=fV$sY$3 zs8v#J*Jty7aZ!`|JC}h_uS~qi_*7yB=k(FwREPFoi;t(`pBwx#a9`5}s9SCQh!rf9 zBwUzOm+8Um;&Wiw7xz~L_6>5AOP}cJ6cVOg*NmbUie$EmKPyka27O4#vuQeWxMG_( zHZmIWdqnC{u88`2f>W_TH_bFI_pY;J`h{iF3BxX{I!x_HpV^Jd0JECoQk8!vqc!vE zqYcC-TEu!-iJk4{b#jr+N{9mqtt-5dK6|AcYwFZ?edEu_4*71?X-*aU9 zB$4-q?#)-LeOzyTly811=au?qxdAoso5RlES>b#+>1~Cj89*K3et)Kzf5Ml|5&wK_ zK~91dcg%r|%hTq6_M(9|bbl8mvULrtt9hNP{z6PRwrf66wA3SX&G}{aqEqH=y)CN4 zgucJ6iVh0RKhSfXH8A_;qtoly+HgtWV6w}@gg3G7D^F6-CagCDL6}-LblF>(_Rgqv zjISGedT!rke59@hJq*{(T3uf@ZgUGdtsY1}m3B8wDJMc691Oetmc^UK3^(=T4{wu| zcNF~mY~)WmhpMi=Ht*|?SH-EN#2QOnWzG;TdU|US$j#w#-j};RCRgy`~pvly^&&X@lK7L-MSh7%CGvs`^J2Ni%Q^(4NZ-#Qn3;m*AUAC%e7u zOS)a;sK4Up<@!<;a)(FNV55Y&H%RSZB6T4gl)N=|**8@xL}f2o-WV5(`45A4XR)E1 z>>6)_N&aTy?3+5rlGe9QTs8lN@rrVuW&Yh#vH_N5!-aK#>v}I(w&vz~dIBpg+){Q- z12$%*o|suEGD{*|Knu-1i@ZR#H!xlK-kK^?VP*NnFwE7;(fSrmhaqK^8*SC=~eXvTiJ{9uW9fgpI_w(4>ZA~3ruq2pxZfU^PC#f{s&LF>Kt*jmO z;adeoepUlC-#!&{E!517t#}5g=h)QuuVkMu%Iq3P0YEh2P-_U_$@9!jC1m!gqG5<* z#z^En?+@{u<|KHg8E!uNzUVJit&a1zrV6sWm?qb`GHUM@1iRZ>!51UI zJU|!Ioh6)Pr^(VOLb*0%Ym-=Sss7{nbj6h>t;r$Y+Sgv}feQBc#!(3y!^mr>?uVse zp9nEg1E;t)&!2OQF^xPuyxRDAeEu%0w7cK?U!sEUj;<$tm>K`knxxumE!lGRIy%xD z)J-IM=$fs#=cqP>?%Z42{bcmwkx)mrORSh%YrJeyjo(T|g56x`Zf{=EjvwDd3caK= zzvw_BXAHMxh}5;6sZz?T?$PrP*?5?bp}lP~SYJL%FUWE3NUp|)&&qNM4+V@L<A$$zCRG(CFR{&!319G?Rl- zjA8Sp(cS4Sx3h%m!9tVY>)Tvkgss8W-i&pvH#LUw{|am7%h-CcJkaQ*RjlLf#~{TZ zozh!MvLkubds0y8ZOBd9q2y=h34@x0qx^0MYHr_=%bNGUym%iY@t-M%ej+PX-5+OV z`RgFz0do3fAJfHIe03ca`sYq@RPXtFbT*U24tfq~z*8E>S*be!ED&c-Ri5fBhjxdT&I$RS#-$;9@_nFZP1`+L7Pt zpr<1hox$PiI1eI+3`#E)!S1T>r$2@z4Zch_{<1DG@bdu2&G!JrzE6C;ce~;bf_?0R z#f?9|?7SDtq@P}lFC34!`?tF>$IPtR`NPa%Dj;qJ2+cv=mSnV?5qK;8!&wxpXrEI5 z_c-y|&oObta_7)>my7<}IC=J4o2M^w%3oa#hDM2(MYCuA#JO^t zbhuK#YlIrbieRqHG+@n#UCiUFhvg(5oEGDfA0+6OMT|aYA~Y!6MH^=qS?qdF7Y!J- zNUOWfM##m?#kQ*el8V9OjLJJJ>yoxwjds`s*wq9zdpC@+ahw<}%*enw=6fxN^lGrj zd6-m!!pLtuko;r4g!z$C3*;v4&sCcj`mL!iPiObcXZiG>4Q$pR=R_rVruiRCeor9R zEoy@g(wEi~zqlyt(GFd+_iUUKB;*ocW5X7=?PWK8`|{&+o8IlLt9R~b ze{l)sNNCkwxOxyoJ?;$MX|z6f`RA{!$y-}YIpx(oDWfm?sundodS*fw%&UeZ`UQ+^ z ziq+)S^ol!8Ul{iJrO+poJNxzcc02d(?s{mHrM+bWI6q7#!O-hoMPoU~=YWu{G}&-1 zj_XAOhtt|cFIH^-XOR(`9#>W1hHtz|(E^Xu138{2 z>Uq1P9hXF|U%g$lz}^^jaK_L4E{x!^5;5}g@;JvYCGtgQYucBHl3;D|TYz%ML;b(k zfnL8T@`Q#@UGOLB{15(S8ST5+h9r#7)5`<%8VUKy$9Fu;J}#!gHbHtmdE*te_iO#ECUq3{XCJ#j++mXd{CH=5 z?`t5Qz3*eo+m2TDQF6vXTmi`_17U*qz@>STM86@CL8H~-i;uUB)A%)s{M|Py&cK&e zgoKjW9fV?zKEm5*2J>ubzvu*iwAeTU!^^wOrt7h6t~H(Xgn3A2iF^3^V3|C-P{&}* z3cS-}N%AMsre$gab>@D4G>P^Ch0TN%t6S{CCwLgwI)}&Bs)!HFzC6BEkxW|sZ6B`{ z7|ii;s+fLW9a|Rba`aa_U5(_RnGo4nz-ZZGn>EbTgclZIRA??TVWNne*W~#l5OC~yrYc{Gp0Bs zi?(Y{tTI+8Tl-MW;G3e42oNP%L*|t`>DnnYY)!Q!C=z#!nl?=nZJ;Qz6wnEIR$4#{sH=wdogpGhw;88)5{LYb z6crpewi((|^bC(J@8{%z{oICXM!|RDa)IYt#KA8_>Awsu?q9hy$p0 zOP~W^Iel?E0G+C9if?I?Ry_zBkvSy4B2LD^3& z@TfluqiW0#QsD&2Q}Rq$;uwb_(%2B1T4Co(>9X7Hm;Q`Or_jWExiloP0JW6EYwuLo zDbl65ivuQ;h|SN!^d3H#)0r#eSr$dfYnNf7e!e9pucRtSLRPF&bu;4viUfzvo*GB$ z-<@EsH*P|`3>TrqS0@cb_VhRgZ6NUI0{sL&_o|Dgd6@SVh|ya?Y=?il8rf8 z<)ZZ0Bg0QfQL9cGA!4Am{Z=8r@RTRz5Kx@aT6-MxAfa68cUEpl5n_jS)uNI-Xemy?VD0 zR2)|+f)pbCD`2JHSg;8o9%yd5j*tAjfzhA(hb{&>fzo%MC;Dx-)ZP0d%$TrJrAguGF%Gxg<}J%(Fm}MuGJ-|0qETQjC<$K zH!+>4s(%t509Lh%G~4c$m2^)*y_hK|$JK}9NWn>GP9NfU8EXBQIJy}op)Nt$_VF29 zhlWqsr?I=y8~nKAkfgwr9z0qZ|g`Rk4hicnl*ny!$h|&%<(dv zeuipW-KBVZqY9h!oq9v5i)ySM0(0#{xlOgsdk!mhVqsgcR z>B>X$Ib$;dn*qT8p%I2LNols0KdfZSE4U>r=wm?WS@E4=$fpRl6<)0|qR13bB@khw zZ22-C5`IiG?s1Guw_ZlnV`<_MM;d)uTtBRHK`$T}0R&n_vo)(|zyHkZw~0G&e{))E zTL;nMWfmk1O7>$MmLs73VeGiJI$JVn*q~YEHz&xMVcfHItfFhSG+UFk*5eCx`lKD#@j#q@svjyPqbemCqPQ$atMNXqTxzl0n4)TC^sc`o2+irA6%4hoc z6%PhdT?izS=nO48P;VPB3ra$TWkHpkk>ly)OTJ4LwF~%brU`{AkU{eEk;z89f5|3% z#~u>tEG*-Ll2~Mr6$~Kew>=cc&;TJ}3-d^{c`|$>umutuz-T#;zrMQZ^u(^G5;h!`H9;w;rhE;}$-qJXFvhq4iYjDv_z)O` zG`wRwRnq+)pppn0IfI5gIge)W3pMRDITi)j z{Sq;bK=*8tMo3)h3kJ)`e=kn(Fp43T#^AKJz^Ja4R+2RG=L4Nv{F}>BUZQG8;>^cw zioykIS>QkeT)~WX>sB8$Qdmk6jNGXK|ZJZ{geW!}){LdsvaOp!C z6#RfMi%xZ%>go*iy|LX!@z9sq`c8BwDFQD&pw<{7OJ`Z~{>DfwsYgL{(CTEL3=@&W z1{2ncbu(MV)Y9{Z;PQS=CcGmx^%0rOY0P?79ckJXs$hYnhd$uEpEhnpb=?!ZeByUd z2$NGu|Ki8!>a5U0_QNe=*)j%@s*Y&GAg*)0j85CQ8WY0gusK3_rTY`T)W#z2`` zOhiH8`t9Nc!Z!iPnIm-?U8ermpUZVKEOC!aD67e`Nv|7&QCujhHCXa`{W9FDol- zj-P2VmL6!vN4Dk}v%?Q)jx>BTLTs`=6#Dcm+02(b;yIlM1lB}XO1h1Ng~hW!;KD7|Y_SX! zrxvDsl2{V7BF5@;Z5?4qx>dGg-{@)Ww4=d1@=M4hxAnsek60%)><3N{YTSlU-*Ykb|A_q89MK8gZVli;?XoX zwvurtA2b6fdmAK|MIR(A1=TWSoKUTw&1_C+fJ})vpx77B`8NOKn}z07Vp`IlJer$2 znntEoVp+P7*Lj_suTib{pb?b}00#+LR*79X*`;yC{bnpkIE!`37e+LN)0|7g>B}j! zv@Lr{hHYcN3rd*dU|=`OIE99>CNe^Rz%b%6eX92@Dp7O_*|Z;Uodh(Ut}d-P)(1J8qXfZA6>;jiR|1ASRe zT;ui_epVi0S^?)T`Bs%B1@HaK&Ou5V?IaNFG}hE^18n!$G-cO!gKnas-Q%H63Ffq8 zWxFl;g?bK?>cT!OP0zxrxfK({zlOSs@_3sA34PLd`pe0{>%huvPWg)dZ2V)!3SW9^ zMK|+j{p2+&DPT@&Soh+ATfmBUgMTeAHWuBVhgR*;8T;q?s7Ds4ABcu0W4>n5sC0Iz3-kD~9wbpvC~+6md4sJj zL8vZysYPNw?I?8V*@cRKu5iU(u<+)@F6!V~0Z*P*GhmhhT262F%Eg<^*Nu>5cW2** zCLejc(M;_%JCSPEfnmVdHyduY_ZD-#6hqH6M0~RK7(Ehv4z*{BkKSGW^Vt7sf0rqF zfa7GLaA9*|uuTL%w`bb08OKeYE)je8QhgYVjJ?xbRfbsiOHvF@7tg82KHXcI6lrPs z24)U(=Y_*uG|QiVuENcoHK z9pK?|Q(CuXx#Dfy3k6$!RH5s^LX(Q|ji8iFIZ>1G`_zz&W`5gQ8E7Q>(i30tjY}mk zr(Z4E&ga*EL^ji=Lvnw;N;C3F@Lm6E{V0lpRNUo%3}Q;CgljkbF!(hHWpF+B_$8CP zE!rp8@^{imv;lV-s@~&3sbSlm5{BTlevrG=3Yv>S?nXy6{#Tx_uq%`VAh^5i7t$gK$pl8y+N)+=uaG|On#PeA6*L@p@Qmx*V}bQd{pRzs5{6QK zlxvC6l>$9vzYu)GT*{O#r=%rvFN9lvzEcrv&{*=SZKWsZNNn6Xmlmw;j%3v>iwWCA z`k**17SerGB`1=m&k!7P4FG)H@yqE!~^J7cu@UX7r6T(#Yz(fN{2 z5SWpGwMiU=h0FyV^Bv1${GgzW8P81cxrfw>0>&OC+n0uYn{RLgw?XaE zBQc{zuf<%Do~KbN4UCL@>L`xRO7a&U!nb$=fGglOy<1+7mbqYM!H{ZLh$|Ttrb@$} z%Qql|0=Coo(1;p7)QqBT`p-UE;SPQ2D(R3h62KXWX=Ln$IJ|Ktb0ML2-Kjgkfyo2O za?r{W>L~{b30tH&w}>Fie=gFn(fx{ZJD>9Ri#B^Qj@lT z=jB5VG+IUvB}1dCz36ef*Tf1#wNP;NV*HjesY~@^iZTR0AGyD=mI?zh9vBJEmbzK zb-C67n)W$xGRQxo&^>HnwT?p%xVj_m{mJ_^!=~iUkm?hPj(2-6-mH~@7H8AJzyn1B zb&oF2f5K+;hF6>?`HRiN&Xa?HVtZ-^q7C`JVm25Sy6sy;i*d*^+BaU5W~#$czF4|p z_I$2)0#Yf41&vQ!MA0K6-ht ziXC-SWRtRhBl6KP5bmCL3oQ?lEh*;6JVWJwj-l!Imrzz}o5zEip9<3#{*6o(qHDr# z8Dxbv+M^E+gVWzaBm#AL-y!ERt-RW7+~xOuSZAP($5(uQ5OFboZ#z&GH`5z|)Wmk+ zZSFt~aUHP;+->iq{k{9WeHgzrCS*%t;8}=x46%s^53jI1itfsxFZ7j2gd>iY=8xQl zQ;FbK-bX>a5~imTwp37Cq(*7<>ir9n;cP^HPpXOi-wybNAu^(e;<6Av%KY0bNaGYl zoD=zTZy_yS<#DLccHAocY8$%mzSyk6@#;tdvjm~lc4cMtTJ=rJr`~__iPEoD#0c+n zWq6S4;49^h<~coc0;)9J;lk0!KTsyyenRvMuGO(LESEMYKp*}kNXiaD+L;;{Hjq$) zM88`Md1FwoEW&WGtB3DmptB0cbNt;Fm$hmV0 ziXXUqztiHW-hh`k)fMJ6$1oBsJ&vEAMfA+CFNW?X|H4>s-AQSGG>OC#>R6D;S^~EY z#dv2g75?Jl3q|Zn&t)H(O-TCbK$Arbv=lr(`rri;IN}y>EBwW-jNMe&2&C|;LTW(N z?Sb~GwL?HCMrFyEU-A{pwDlv|Y4xve$e|Lvn-Qs+cV^$Ov%k7!er;u}tmVV`Zs8#= zlIPfbH!vi#D~OkYynrdSGhA66@9=M~@&SZZH6-+qs-!RizHhJffp2 z@6kEkDc&u?z+b>#xHWOT9?RXk9@vg5*>CCbi2vJWN)8nmvY3~FxwDCvE?2+Su@tZz zmV9kd{yCxj?&Uh_us#I#3W%+0h5qAI7G`d=e;Q?L?%}JiX2lfYaLu*)Gapq64UgtWbak4DGn*^3A3 zR00*l!Ggkoa8#FFOPtA!#NYT@EYzHSqU#hs#cP+jYqr$!(G-~U9F_J5bDB~HxXTqp zzqTTGCD`FKK)q3`8Wh^9k5uS(=n+nHzI8?wAG_N!tXLv9MYw5$ee)AinyS3wmPqRxxP418hQVefbj8OCoYBFzzRB*))j+7<*s7UM|PPdvbe)z5v1oC z`nAr=aYWB0#+BN5Z&QMbXt2Y|<}d^w){p_(uJfQSf&XTJh=y>B0 zJh^jseqP=MVRMHIb68k5qu2`1Ah`8?hbSdvepa@9iRkGnE+48%CX(IbK`YVlKBL21 zp10ISAaf3(Pnv7T@@b9dpSCtR9vc4^8hG4{c-iU#Y?cW2g=DU|KT~r-jAcS5vzHgS z!hsTEc@V(aW3{&klq^mU?&MZm*e__)(zOesZ4t`f+Z$+h-8TS7vITU{2o5R4k zLQhoS2BkuoDpv`1G3f8+-L>elFD*KepqrIF-*dv;@9XA{27gh<3`lNs1rBY^EI?0% z=A=h|PWS$Ph=*N!7^*-KhjoHsYZjNJF-@B?cP?`y0eg0_8Ihz#Q<39j(;@7e;taTI zn3X<+eMMWw*MSXr?szCBgDQFcn8K^sKowh|yjhWq{0HF-ZvXLs(x4zm?1SwW$JEtE zZRARRm*S{&rhSqe5dCZo^xxOq)Hy8Wpz9S%B>L!Fpbn6np2er)-By`)A-mI4rTEI7 zZ%aY;L(|enR@WTJP*>FDAv5_x{Q*(ztI)ccv*SlHVjo(GAvSdYXZ(uXf7n%d3azw( z_IXc0gG8KwX7(Rw=T1Na{s)@e320Q76J+5h$R>b%EbGwif7lxSR_GuSf#!~>&R4NI zizP`4^4P#sx7u}S*#1o=L0;jb%M1g3uI0y>8R*Tbc#}584Ms8DbNP&pFQn`6jJA`+ zp=(E7SMH}oMl)2^kO$y+#_RB+;@0ts`SR8pFj-YX(4RQ%BfJg$H~kWKj$Rwjl>x%T zI?Fk_$PM&2VeyA-oTqgqK(%~6?y18F^6|mL*PpQD`@@pUk^fSEZLS$r0NUsl-A!fj zjF;gieW8EYT`=Gm$oH?!f?Tyx{)${WRAIH4uu65}{UN%P^3F9-(HY4^ZQIX*H_=<4 zF#(N<{)O=Rk zv3CQmH?KjTEhMzns&>~-LizTPQ5pVjP!}Lt=qmCWL8mt!2z&FZTkJdA48}e?{pg`P z+gySjW%TF8F2X+DxkCmkg74iUbTY)ou;#sx`Wczu;;{(e6z|iFy1U@g_S3Xh&COh ztq`^pb`G|)SPF;VK6Eo!clfuZTu9)>Z?-u#8y7K`flLPyr{nEaXvWN9oeIFHG}TLeIW;49O?%X^wU6xs`Pyc>h}h zX_}@MfCC5QLJ#~)K4zpn8gHoKI%gGwJo;txxUQ`$Vj;)qhk>7kXO7u1!LyW_W zq_9{8n}<}ba(ynuZs<<;);D4-O$zOhA??jEH?w@ROsI!+fx)3~wzu^l+G7)~Hr#=F zVV>AJk_F8aN41P)alE;fIdTIzv-ee5d5xr-Ia?vb_%UC^%L1>Lm)YhXLv9D)7f;snH^(H0K zqCXAd;sG&(Q>ddA-BW<{o(vHkO!&_WLC+Nvs)&Ayb-3Q(UFO8N5s;G32jbUbRK%ku z0zJZK`RbS#vx6>3792(%Qo~#nC1_dDgL)`;@yDNi%zu-^V5D+>zU-}j-h9bP{dKup{D z!qhTOq(ualHn=KG_25MPD8Yf4o_7Blhs}!9Q_ewxULb}RcD)02d(dPM=eDXa)h-%z ztxb__+hnTuFmkhHDdc@eHkDJ=OeHOFKjXm=9i{e9$Vma*ctebEF9RHel!m1XelYIR zOp40pA1Fc zmj-KAK+u-_p>Dn`Cy}fuXnC7knJ$yK9rN$67lDBG*Rnl|BYm|kDD)$jqPp@q1}lC3 zeXTu{hs$M6L+$~J{!pKq4=r`(i!tL^lRQ(x*6-0Wt|BOU9-^DZ_ypL!Bd&vbFnvP| z{>fw~b6ePUmt8~gNG1X~uo0j!ofhJ>w0oPJEgVC}E|9QO?{2N02Bt2Mw7(z+ddozX zGzD;IudT<$DFXWRG~rt=kWJHMx)i!3VV1RtI^LiR*vFV|Ck)>-X+} zFp`HFWE#KS8xPm#>{?s%GPZV>c|-R0_Dd)nPps?LPB-c z;%IRHu|%YWthB`zZ7& zHv4z7<0pbLP7s9;sz_m@Rp`{7WXNkr>a1TvRnyq5yoNgxz1||*Kp`T6v$^4o zhK5JmRB>K)E37|sA^%fDqNZx+*6v9j*`1+dvg%X9LjB0HkLviqkrITh!2v_MvPa#< z4^6oN!0-7(4jMTer)o8NjpeIeTXPht} zMTdyL!!SV`BnoJ&q4cZn&HAoT=y@u!D4-{bzOU=Nh;<3GYB&ry$)f!e+Ub{GOtuLB7 z&9nF!gcE;j45<10SNJ&MV+Kf6^;ovrv~m+iW`PWTdpIlD-JE_ez1%p~t&w!FoIgpi_Wv zJ6{K*4})7Cyxqi7Z*{Jpvv^uDw|a-z33g~~DY<_VvTHbmE1=$TK7(m6IeHBr7REj%33O4LXAgVA^4Z z46q=T_K>{`$6>qY+cBy6XA~BKKx2yu1#tPFgc`N$&IEe#>GFKXMTume|d$Fq5W8MDF~@g{m~Xpm&F-g zK&dF94$b=9XwBVc8Yb>H4>pxbQ0w|;9aotcvU@?yn?F1bSgD!|$$D1JdoNa*K+Y)9 zEVvRrSf!R3Mm~O~HF?SBy;d=1vp{E|7}y&$G^4M3>=}{r6#2z$DRZJ@KaTmXSsa&d zNqo-=us5ly_%rTY=vRgqjCSedoIsGV_(faQIyrSwITx&U%sP%84$mHBB*nnTJ9arO zp1zU8f#pLv53N@si;JHy@a3R<_?2{|ppgKvs7 z>*fdndM3bn&`vPlYHZKAAJ4= zuhLY!(W?)Wxx2YFc1wx9^@XtFFUzoi(?^=T>&v`9qK)4#OLU*yY9QN7+&AR8qg#{0 zov5wfAsO)X$%8jHb;!N4!@Wjr4@LPC@RB@5Vn5fPNxs!hwp7X{vFLkc9!_EwQbfz^ zh{1?&><(PlCT&bUK8R`Fz8U>7x}910DlID=B2`i3?Lf8@2G7Mcw1Z(-o>;>R*BzyS zYV3-0`+*{PHyco7=cV5EC-CNU-ALbbt%U;{^otild_L{@>;h)C^TR# zR{JR72$DOrDQ$kk-RhrM8&tPfc%>Ng{@p45C2u>3IaNg`H&#`N-HI4&N76?OJp zHuhT##r^|BuwlVP$(J8g7wL*I=SpYw<*6vY3TZt%{v)YM+2_?;DL$0)XeiE_S$9Gm zyT5ztD(urnpy8X__1-D)u~+)%F!5S52?hDdV^)^NZfQdr+xH%4aq?aXS|y6PT{lSD zVdPYs=ly)U?P(%vu)&gow*2}I`1h1GjY8u(-yAY~Nd@P2MLe51`Te@5B znX_AHRh6Zr6uIK@Te&8PGTHY>OT&tj)|}?WHu~wO1WbO9HwVE~|4Nm=2$`{DDOLUB z>Kg9nM#P3q@~tI(ZBHcQt;-j_I+pkpDP|&h(!;F3x@F?v$@Sq@l(B(>fu+X)e%Erh4m2r;zixuNJJ%R0&C;xCi@!lV|+| zIhFxom1Pp8gK#U_EF9oE_!Tp691ms^&$?yrBXHri$HXYl3qc1&n4`%{mf0@agfIxl zwAn@3w?>HwiJ$qHwCte8`&c8v^WhKyjYRo?rs4>3GNQTEw~(h z&-1?5`RANm*S+)E@6PUIcI=vaXU=zCRqVb>&;oqYevS0skHhJI0;EX1{|zfV{x|e} zbr^)6#;D+RyiA0r!Y^ZmFTeHm*YK;wiTtcY?+K={W2K*{cm##@^0j4ICJbbwQJFB5 zm5bW!vE2}qInobgDAB_M&^OqEOc|LTNp&aB>|S18I(mpKv0A=blU6`u>)jMUElbpk z&C|;ZIt*l1Ux_ipT2Hw#5f%{_X}R$Jx%}Ebi-vItrIYWp6~7JIeVeN3K9d<9P9ets z)Ri)GBi)A=9P0UWjBEKwxjX$p7d-<$ZRHGxY1KlM`38xJcj6zg=YNY!2nhx34T*FA z(iab$Cj6`g8MJYnJOt!fDl0H*twg^&Whbom^}LM=!%{#XHu7ZkG%+eAMDo`L!I_O+ zW|^5zzemy;#45o};{ww1gXyInHv3?sM!Uo!6rNGtIHZCaG~svpy8o;alj~xbmO-C& zG$%iJQ!=aWDuPnJ8T?}`JO5S#rfXYkQlP;WuGwprtcy_3u>joikIW?Wq($b_<$)aS zKJy9`+Kj4)$6P(q-25vdHHp7aAs>+Yf>*HK;-!cuu+Vw%$E1~eS#D>sAq}fHzN^-L zmqteTV)uku`_(#nZV-)Bc4uRcS+SKy+*7~jr0_N6W-V;k!R≻z4v)($DK#b=&?> zY4o(;;0-^QCllb=Cpl|TMC(||3j^LTbEz+K=m|DrKHRO%Q-)H>_s z%2gS#JnU}YF3JkLv-i6@Ovxjd<(XG$1bAn2RR%c?I#E;2!tcuA$LVnLd?=T^nM1jx zL(7RHWYR6@YF&VnNH+5x#w)Y?Ut0Op)#8Bv5|go>@{FCH>SJU~T8Y4Vi;xE+?9C zxfo<&Vg_npJ*srU_#GHO*mAG@EYEXN?AJg4!l5>;gTh1C|hG6d&D z$NYn+bJ##dteLuAFgK&{Vd zUR8W-Rwyhhu%ni2WI8D?8_z-NbRNt$7O9?Rmo6T8DkwiFJBLu3^+g_i zPf7Vj7xXbdd~`QMoH=YiHoB7`^w>>etC4`eIE*mr?GfeOE8 z&GcTY`ap#~;<}NXh2(CxHccJ8eZJS0jQ>4PDq-nvU^ItBE1CBO#pXer=_m{;9}Tsw zA=c>!U@cI&sZ!m{t0EM~uy2UZ_G|OWVBfsPu-a}Yna^@-vxFk+5>L8lr|*9F>MM)%Y6cve>TY8+Fn!ZNN&bAQ&#inL zNwU-vucZ)0*?oM$%yPEW4e?c(eW#HcKVfEo&&6OkU(D)s&ss}}ttbG4Ea>N1PDpBS z-%UKetskirYy&(Qs*ivKx-n3QZW>BL%FikS@2Ft<=$8@$|2ASN7liuC;G@cezXrWT z9O*V3*n|>Q$xtwF=2v)`f{D%|AFEj65p9JCBF@MUuUq4PC-v}X=^b(_(=-;EzEuFk zYyY0dhj)3?N*yRuiYs5Di4yI`oB=wrszUa-FXE9LhNsl_u`Br>)YL!os15lpJjl4GOzYVEU1SYD-6vub3Neq1i=<5gRffN_mc-6}0>Wj8_SA%hN z*@VNbJ*oTSNdn6A15?Rtr;yiwZTt+&x{Bdz%>J6*8AHjSmd7Y;xiGfc3jgO`H?Ijy zLH`Iy3&Z_OyJ^V%0&Gs9kg?~fVIEc%m>*`xeg*UP!aop<1#GTp`PVe-^;>(G z9tzAj)i$PTGx- z5v^@|0v|Ge+CetIN5A!o5_fUB0S9}T%$*#yJc(~IvT0r4`Z8($Z4G1?vh-fwof2VLzhyyzFnrpiK`Ba*#6+ z4J*?>WBI(Ca~2ZtqlT-q+lkg<>~H2klEy;k*L+=;BiH)T1KB|6BkT2>+aSfC*acpC zyCht_@h*bbYR4_Z>qp?3F#W*Set=+&Jdm=$kD(e=&(8c^mZ!;{2U-BSKI%>i!?^E{5kkXW3*32`fD;WB!O9q5Cx=gd zL19Xci-n=|RA-y1!L95C|H9Hp{6CzmVx5$@h%pb-0FB3||Q z5If)GU52NFQxZm2HbCx1ea|zvY!DMfQ&9K7X(~S(B^ABrBU3C^sL%fR6_eRk!V);LKz4gXnD8eGOH1-skKs9-NO zYnH;64ZYr^Rg}| zk+OUXpASWF0dgs!E{6(O{7>|cNQ1F!G^kWrEk?Cw6UXEsUY)`1B>;Rk zN5gYW=JqhbZ$45a3c-%u6w*yZGfO#Jf%)ml5i8ve!34n_3`(LI%EsmxLkb;|%7 z%%yDqay9OPiXy6-sNmC2a-bS@RSC{}olb>UXZ>q)fZVj7WjLw9EzmQ;JwBf%!|vUB z`l36tqFZ&?om3tK0GjDM&-sog(xJt7v^A`Neh=qk%i(4}48nn)E~*Fao}00c_yNqDPScD?vFa1S-p!$~aJ<0!9>Lw8=h-O% zmEleRN&K3z07FhV&#Of%HKVH=)jY6Exo7q9WqyLw$5gW+tT{C63bXMlP~DBKVEO{UQMQK@mIcxuse>4f}ldn-u?5UOGaPEv*5z}bH{mWl zRnS(3xcR*+js@d64HG{mic|HZp<7{3< zu~dmKG57k`+3A}A$>yGHf}ZTg!sK(n1X;kZHZ!xt>;CsHx7X>aJ5;0h^+bMVlff&0 zj9tJ9kEC=INMfEv#xfRp*(V-+SID-v9lmqs&V22>~kSUtJ+7+8cqOBoz_e!0@qy_x*-#oJ{u4$frN z$-?&XzlPkgR&3Gzj5u$nE34wOWikd1YbHJCil*U{alcqI5`_; zWgf+x>|!T>;6>is9rb1)sG9h0#8%Wpgu?eXrY&OpI{}@U5azab=*8Ir^O>_>?ie-L zw7n-K?DTMF*-RZHOLJ1S%~e&E479zw`HhIz)0U-=RLPa~%a_3i$F?_%CbO!TiqA7h4zqgXW$6|34o{|`l-eMC}he)KY7(7IA)p|;-tnO;6@1{ zTyU&q!aL9pnq!MA9y6t!#pi6*i@{+(xc~R0SFI-ZOD*qVzoTA_h(EXNGiq> zAzd~XmvOS8eY0MQcpUN|I?ztqBOIwbzRzeER$Vpww*%^+88FD`Fsp-+SaRv^0obip zW`y#3?+cshyL%n(azJ)6If9*EI0ve2ZxD)ssVc{~BqJAJr=|OaY1b%(P3GDRI(h54 z=xdHM+(vn#o2D8>{*{|0k6I$g#)Q!h^siWAP#0X;)c{Nk=IjImRTi%9ke#UEji^9( z^{g;?`<+1FQn@u21&nlxY^mih01UccheuC8-UbbkRwu9LSdvOiJpRZ`8T@HIG@rEkK#bh3y^neJuTzQ zA8K-r-oZ9LopzcMu~gL?Cv#}=7}tjtdJKS^v-p^r8kPrCou=wy*URk4d-Y(v5tT*e z*k<2N+Dr{=r!yYx1p|BaYL6&7Kw~*(D2sx1Fz3fyLK)qr9#jQ|Qx(L_#)erK+H9Vs zfW@{{&!LCPw4Q%pK9Vr>$&SkfwHPIA0K z!XO|;H9Cyl`qNX1PAgk2h*QaJ+EFvA%qBzASb!pVqjbKMP;bnpu<6}GaxusOmzS*) zBpY)IFf=0x?l_m2l|=~rzDVFPWRp3PG$u4Z{3ymHi}={IR&;dG`Q+RUW5A%#)W#-hJ%6=B04I}ourfjG z!49M6>&1@Y3kPdv&rHhYMKoB0ciOT_6H zRsp>KuEh4ftdG*u929R1oSafUq8t&tik{Rqk1S&|A}&hG=qmw4|l61KmPZJJ=u zUN)GCiqv};)$P{K3mn8<8Tb?dFG)CP20`tl@yetQHIFqXsyp}`9|p0JHw&`ICF4Ve%m-dd^yz|rm$lhZ&9bQXyiEjn{IQM34%>vShba^ zkZume20w~Za3mtZcF^JTos1(l4+Q*aym{y%|RXWaEGDj9&u(`6eQ{XeBsf83Qt zM7C9(XspN2urLBX`yQ_$cLJ&_?d{+lu(>9L)_sKC@SQua#Q#G1o11CJkBH4}E+ z*>{S7+jnjMAgOEG4VJDy$U5)lE-l(o@p^S#hQn6gztr7Slkr*SV1%y0`NKYE-B#dZ zJ=$TWORj1Mpj+@Z!u~AXzS35$R~HJ30B7^y7)cnBH~1IvJ31MiH~hEA-C81Z`aw zGlQHwt{TTgCIolCE@sL)dEmaBXr=;Vb0q8J7b5|4G3m>Rw-ASB(eA7T?@O|wjXwHq zbbaa?aW+dwd0@WS8FqWcyvKM;%GbdkyL$+i%d>kLr}k96saU_8N}> zDz?)^X2?~Fk9NwN#*%D~MWeMZ226FT>M2K@XMZ^e z$Qxd+68pVeZU6pXy#L4~>Oal@Q_3dEOlGBrosWCR2R3Iq@bm^vbVH=S^i*UFeyh+{ zAcp%s7n)tekD?<8XP)2P+&d5;?eQIh*kn&b6Q?_F18NQH$~bcBK7NR*wL)uSg%g98 zD&%v~>((W!nVIdk?LJR?g~0lqluV!+w<%xHviqZv^01x@XQ*6;gr`n>fJ8%-4GmjN zE;4)UqFzSOU-!FOezJM3Qk-JwA*JQLhQL*)4uvH;FX$S5CmA9)@!1)0a=i&;jz7qB z9rrZe9l7c;Q4WvUUa8C`vNkUK@R~v{q4U?GlAAzjE@4*9^nz)Lw#o-5@twpL1x4$Y zlhiVdj}bW-4K0EC>-d3EjA@D;W?a}IDxdqMv1~dSW^x^elPnXE(wcVOUB8l3|6Tc7 z0yR^Taip$*BQT;3PqFm>KNJ?$$?b4-CZX9=eXLkY?@-OwpM}kZ{e!upUmIZIW%l8%rQ`G6P@J3+hP>B19Pd{0G-rrmDFvG)NRGo zZDJV3o$!`Pk1{rP;_7L8!fJD>y>;?3=F#aLkAKrM9{=nN9aMY#SEnb%R?X6il;hN4 zOoNe#ywrml@<8b4s^iC-b-XI6V81q6_ir46w($UbEt1qewX zvu6J_d!BR`_cnMKlK+djv_f!FIMWreoW~(#Tx;#v^m}BksvR=tdJXt85OM#VS5Vx8 z-Le-bL!oT@E=NSML>N`AOytExUrg-9#8Gi)SFSm^ne-hUKG&m3;RCk@mE2ndXhoLE zk&2B_)~W=ISCNFr(sf?J+6+QrOE30duvD!l%Ab-n<~nQ|9d9Hv9cu++kD|t{R4cY% zu7=a1LWp_*pIES%8~KVc1oyHH_f`z|It=%o4EI6_`P*g1XUmXL=#fvrQ=4sUP1G2E zz454y)wX=7E*x={dhx9Gd@ri_Wlk~&N-<<2|B<1+m z?WLUAjFr@RqxR&Rd}!so&GAezWlg6TAQL-_ePu2H#1CeHM&s0it0MclqP>CvR)-{^ z_2FeEDcvM{f_fu<)NvX2R757hq;GeiAfmnitzWjV*+~Qv9p&tD210#SJG@Jld?2Sb!UwXw3!Gs>^)iosc4u-^$ zucj)W%_mmrf9LR)_R>koYDdZjUknYpwR`&22?V(p1VgN<#GCQX{l}QC&P7MTYG;y5F=*^ zSuq`u1S6gR^e1WJjj8#kyxcs6Vz|3i- z+lpTQ=ed-s!7L<{<&K#1X3A}`%acH~x*~B&wJB!Hhrroy`UbJdbcG9SBXr-!#yk*I zZnYAhz&xlVTxV)6tZMz7`km1TP?#H3ubWXdT;t1T6|aYHVk|h{49|y^f1bC3Is%*$ zTjc;4K2;#f)ci( zZC&uOU7@M(g1aztmyG|y_omQd&R-P13xEED=ojeu4`N?n<3EV6y&&?goU^dfbBI$f zo2AUrtrn34+js;545xQ)>RQIm?2>N7Jd&N7I%6HnEDP8{6fG;4iKvoopMo`0OF}BAmPk zgD--3JWy2!_A4lQEKzq>P{Gi8kC`A!YWrCU*TkPadY+-~zJY>L*zcRU^4)Gl_;zI3e2ZAh-30$H`sf7iQ-MqT8~z|+g8W2+X`WMl zCv5TZn4kmbe!6NvLH9l0l*5K*-A5WVIoKJOl(@spu4{>G5q$&DG`LC3uAfG25yaM# z@mBAhk{8WHY{F&JSS~Poo6K`EUjqWt)dwr&KFQ)73jg>c z6|4C(w69P+tw~q?#^U7AJU&DS^VR1MDhcKrhDZU+kmy@T+)d&4ETT}Z+DX98AM7|x z%^V@8@VCH1?tt+jt{gK_%?7T*216GiLk$8?v}DlF9(_yTS*Qao+A~TgLp21I0Cr{s zmSX*8E5qSkKsGcDt9CuSI$~Qk0B%82O5(L5tFfn;!RVy%V#inlbQ~ z1Li_x-}7qjO`5uf4?T6ft+=-t24t8A!rNM++3@9z8=GN@>BK_4l0bb%qgMsMIz~pU z$W%OCes+qn)-DKei%}LCstaUgqGB=7DLoGnv>}Z{4jr{*oAcVmGbug(ZRKUPWRZzlt0?cooV1 z4+Xb13Z2qB%s~32}#PTWV0*(}Ig$E*f@A$0cznVeD)#|T!*YWNUFz&So73TtPG(7GWBnstB?Ol#T%68)YD4;aSlx9VPj@qiV1<1W}Q+_#2&moJ)LkbL;)zkYkuYbDJ^j=t&ESk)Y zT1~6B?74*}oU!RO`AzCn#kos&2KDd-OG!F)<^`Yg1<^V+($R?gWd^SEEb0zfV5nmw zW3SIEgwTm!;C%S8JN+u+v|Iwv^|pf<<3qu8t@TV@R0HY$jORwfqX=omPU2Z?Dh?RG33lnGIjHyd1*AXZ~0&$tV^TPr*$wb=LG*lXxnPa( z+||N{j~A0pW~uWOh|rt}6UtghS$Y&S=Zq!Jz~(6JOk!VP_5~3C^?gk*ec$Fw*O$CV zS$`m2Jy?DI`Ow`l&NXY1fc)4YDVrrHxj?nVq=G-*v$=_~xi1Rfi;l6=t-M^k{qFW*K6WDnvxpz+>aOI0 z9mzVzO=$ba!)?=>Zf%zgZzX+)>f`9amh}BA?M{M!9mK+yRQpYzm&`Sl{i~Qg_ z`uhqg0d}&1K3~V;HQu8ADSGEZH%|HX$92hFfLp+L$e;9{3#$!~$f@h+k(M-oIz0~7 z=5g3N$y}Qii)iwH;R*lwIBH7*X836&1k~$VlU5c!TVt(icJBJyZS$4p$Y)!lm8+Na zn6SyAB;XgyhzS72Vi2)Ku92JJkzxYe;!AG+>+9q5Sa^6yroO%jXFa_r>FvY9!~IUT z#Im3x&ItYkBW$~?M$$?9E(^ftGL&dKFfm7jtHc`4aL-If{VEo$5R`u`G6xXJIqrg7 z*W6W#qU5U;k^1tUA^X6ARKEjCri2_6K}ILN!&x}$Gu!=n?`jvTJwC<+{gJgDs_jyc z%roDd@0XKwGgtrQFsdWkyk4waQm$G$HN@%9E`e)oHg7OE@XAGJ_zPgIF!_6)S&`?~ zaGz6n>Ji>xTIxlmNun`Z+hH)8Jln$((Hk4F;TnJ6Vg8mcLez^uGqdN)?1&ff$+FCH0}Gox%h_c_tx@P7{EA6*dF4~_ zV#c0l06~_GO9OrbAp27og)gWdM|^AxE!)NeMV+rDwAf&|3pVQfGU17jvAIp7V_rng zcJ}%IoD0nzySmjfK)~xZb$`FnUupjtBG6?YCE)T)V|HBnpx*StKJOf52mkBA4=P`n0^^u2KIwh&IZr~9#;qOvui>nk*A;@{5LrLjbPfGn6b zG6@6$r!BPm$bGka{XBKdt;f)4VSjx^^d?UANK#YTpRXQbqH}9JP^&r(taoMJm5pp& zv9T}a;8Z75uRzTx$vRwgYE7Hh_9eW0sR>|`?42F?bbcvj-BT391<%)xc?LaW@TwC&Rs}<3@GUk4AQxK-ziy*k zVwFUrv2I*e=>eFW$#5RD``seb!Nu-~P=Uef=UxK*r!LaKS9p#LAS^`K?ZyPd25xm9Io+3*aa z^O~hs{WX|t`J_{36nGwDZPn!9Bk8%SLWM?_iBrza|7tf zCH#sH2NsaqOS#&wpg&mrKDcp;+-=>2f5m2{B2jn26!%}4^dE`(q!)yr^!T!Bl+R(< zrx9a++WEGD%^~+Kuj%%*xl|U0Pk3-(Q!8S#m%g2bCR_gIY+WSk1(0sf*5?7q?RU9H ztK#kW!6cWBJMh>lJUm7UgLtJ$^3eW-8BC6dI-9RN1@$PBsEwV!2($U;!DHJ>2SDSB zy6oW>$aw)w_vT6amy>*exp?w6a7^Z6?NT6^bpKY0Ts+VWdr8t}C=!T4xV>P}HP zH|Vmyp~}0l?n#Xj@mjC}tgOs-3{Cp2M#!-KzE}OF6g^p+))OxfZ3+XPCYX0x42k7{ zH{XegqXNbXa<7eKK1q^ch+2vM5!gWPewaoLYMN^;MCfg#9pnQLN@A8oP42Ud2kmKP z+E3h=%{|61k&^^9-9*(rqGGYy3R!-{==lxLbk8bQ{ez0f7qc5@HEqgR^af>{eEx;w zQ~Kh8m~B8<)2FHH=!e4ib=UZliG<5*O(aoq4DtcP_u`Hy_hGIAFeU;<>wK`ayJT`boysL$n@frNJrFcH zSUaWz@;;8DT=X59{LJAJv>);Fum4rlk#Q$~?Y$85iU&~4`5|94+vk}#;_Q9x;Oi9z z2ZOC{adzO)1BOayWaC%RpaebZeJN#pIFh6Z>1wUV-(nx%lQ^f|$K5Mzj26+qie>}K zHRe8NZc(#9=}9u`xV)I?B7gEB#XlKdhj&sR0LHv{dRHj4i~deLtt~k7)omGB)OUGgGNQ-eItZ}y+UuJgsQIpac}aXy?>k@C82g|Y%~;IrH{gJFNE z=(#E14vMI(b3|%L{+r>_ni^wK$_Ava(Ke(>Z#q(oTjQkt{@dOEmNxqc%&Wfqd-CUt z(>^pxE$5p{HH1?stwbKPs*^6U_^l1c2o~*yE=-^{%u^j8b`S~T145ae>j+H6LpkNOX6T^y~TVIny zYY`>!1{2bk@GD=m{NazrViu7}!oW0LmfjZ!!vVsK4_b^rGZNBC-}hrV8NQEVEqEDe zF`)CxiJS3tU@YW!+>*G)&6|G!d&;g8af$!>>prdPG!fIaA~$1&tpz@;2&Yx-OgByB zJ9%R)$s@J$(}BcSzhZ}OME!9=>gTUzf5pT2h*FTo)GMVtNc;LU_r<3mE<{q*jXY`< z^S4Y^pZRPf@019KbDZEA^-nAf_8&F5T_ndVvIM!v?;cmhrx=@rEd<2m0F215*m-;C zqOysaA=5-3y*!I`#ufgm^U72k0Weq$qm6~)q-eV0rBC-dRE=NiQNa=lJP>*Kf3m%U zI!F^F_M7At*)GY4qcbTqxl456pKfZ4V(f(Gmc+-%*0$Mw6GfFC6dwx762#V0!UqbD zMm=WcqisGm7=9QFxWfhvIS7E-aZpmo#&B~j3G>MUo=Nh3rXDTiA ziVaKG1fXm46>?qXFJ(G@wVI-X;b%va6E(OasT8T)y5(T{Mk<{I^+UBPtXh@ z;=;zmvG6sGtTz1HhsG{sopa3~>0|P;O(W^<^_ZW+F0t@>@Ak`94f>0=Ee;p|v%Pa7 zbdtFJgMQh_3I?o7R&#%QZ$G=8xt^W}eAPc1j#Or%Y>G(M%&tvX{Jvu2Ir54tku*g1 z*9v1dZSnbx#e)j8Ct1P{LcZ@R^w2PX=F{}!pqtl@52pE( zL4I>}m9HRoe~yIjEu?O3GM~w87b4H4;&R5chyaXLzLf=tHk8ZB~N(N^#Fn=SO73ByW)ImFLu8YLQ(IN`ym;Fe=JH=ym3nAI`=g;S-lI z%-K=hcw~~-Eb<_~DHwlTeSnOaAUl%ONw#C+1i8QjYw3Hh!w*__6vtCXj3(-{H*20N zF5QT~USK%j?g6)eFSwrmeml2TVvP{HQf8#N>&GQi){&qtch2|YMwA<#E{FCdz7q%i zXZ6T738JRi_gOxiT%AF&R_jJIpR##YTWGebD=e+&MmrGS=GLOCy5%py#WFr|oDOiK z%8$V`JzuLRY_GoL^v6HVhUXkN3j5t4iJsT;u+|0vYL{<3648tKgi(8GC+i;~-;ZA@ zo+_MLW?slbXZCJO}@zrqW%uO=8O`_ZP ztodeu$2XWH7iBJ%36FG`yF0LPggm0GbzN;w@^6lwNQ2o)aDU6aM!~V@k)A6%+F9um zV41-*XK0O`%x`Yx` zk<^^yCT$S86csPgKU>rNCdta50|6HfxKz!6-!h~(Rb~Sq%m2HfLfhc0gU$wdk-+2C zNbK^Q>$aeH7UQ>PKU}6l(Zf%lV2T(0x5ldqcHFM84#VCCG~%`6eSx8(d;{#tj|)l*5G7xya+wuYl3x`F}?Xc2ixkJ(zNY6wpbNps(A0?yS zxVW}*hV$MA5n9hNt27|_xZ||!?|=fez2~g206j8objG7%cNBNsM_+uwD6$lDIp9Qc za|-i3recIjy&tzV?E4WMn4S!{50Zr!Tx9)>T#wQEa2U!pdc8c9*03Et`au0Hd1>&~ zjm4VQRi6}14Rsi{=e)~DX%`&wgYQxuz#5wLX2G>q2_6by3g2rk~{2a-4++^Qt9W&4d@xP;PH4U<&xffO$X5GQ==?sC*`Fj@*_Y?)Z-4uL}wG zSr;0N^cIc}`A@E&XHf1s^E57rI@I+T%^K_DUqMa;b<7QHHojG|QC|NXTo8ryUR$|h zqA^6*Jp5x~gP^Z{<`G*2G(2+aGhbD_ehhK>u@KndJ4!NiQS#$KpZn0E@?-l1$sF3= zwWykz70sx_>$9U>RAUWEm~im7P6S+$d{y=<^~=^FeBK5~H0S(iYK_}F+gLyDkS+74 z2cC*WE*?id?ga*~-Wu^>g5>S>jK3V{JR*zOM>&#$ZNEm`%T%>f0B$OTg3r zL!~|>``w{v9pOO$#9vglp)_ed25C|sbO2?AF<(p1f4Kh{hUGLC?R$JdDoM#9A_%wI zfvhpC|8C3N++oek;ytYxy3@ss$~xn2hMUijB}SvkH}>R5v$QoAEKpRpS&y zY!ff_>(=m)pfzaU%B5>R&aEKytdCQ^p`Gt>L%ZygK|GHDTBWh8-u_>kI}nJ99}>ea zB#13^l7xS9If27>Ld5!^6zr-x^=*I%H#Bg;fh4C3gQoop<0EU9$ICL@W}UR}I}>?W ziRtrsPuWi^q4OQtPwC;^>EXxe>)D~};pyR_;oZ%W73n`+16Lu>q|HAm;`pS~GyHzM zlN9qf@|!gU)cfK}dV17u>qS9DC6+bg!1K4*P!VwC!?)-r{90c_lcqiDwCv+!xCv=? zI@R70b!HYd{Dda`mRJNucM@x2ILaG?EO9+9Y9n`c3Io3f zo(Ht-7NIK?McUfzpvkxFqM=7d$nQiJncsxxb>!h&TA%Z2bO_)qJjTurIkeuvMVrxTAP@*Vn(HxT7Hl(zSY2#DL*O!^d#Hn}MaC%a3O2 zJ~V&=1Y$HMW)wFL`=#lRUn5cl>*w~N)Ghm`{F1ZUC6b4eLQ>*llR~lfdk06<@B^Ad zs&pFtH25)`jFe;Al?UaPv3LaA2Hl2aXSaC$BUU?%FKn4F=n*9dD-6cRSNqt`_t=KR z^4g}WzCt8W$UeBm@b)-7J3B8syE`;*9?<->a(kP%{?w5d{?zf5_>|avEE)Ve?=1_( zBj!EnBUx$5cD_PUJat=xXnx0Sc60jE%5nHHTzYE%)D!ygqzhT1z8K*A)y8zU2bGUlPhM+4gNwQIw<@1+MU?>+P*S)L7|;>& zC>0RWzn#BZC;14;u)juHXg_PN@GvxKoZI(0S*3cvILV=T zDgEP&tgv_Q_kP!+zeNGv>FQf==A4j`lEIDk;0K{$c(^!tSK~O|*SOc5qodd=*OzYO zdKjZWoD{CFr{$^O)bR8p3OEfZ0DdG(G9kgw*ZASbaXtFu=;1@Ao0E41w&C5VS7dQ& zDhY9E;q~>n7asTJ)%6$xfrsG0MqF`^;#`fYUtg*CK%p@lgoK0PDHVf__HdM1*)Z9? zZ|iFwd_6K)wY|Mz7k|Uyp(|vT-dR|M4p3ccr;ZifdGP?WUE(``fB6W&?mdbBj?Hd> zZNx6|1cZB3gq?2nX4Tj#yjBi;qx7N>D}FaP0+5AEz0Y;}~U z@&2*gn%B$AFnW|A4;TOzBr97>-!9Sa5mdVzB>U*mbWFuEi!!ElszH~veGyBwSM<^V z0`4M-cWe}F&~|riTZ(sWNo@Rg6>N4C?rw&pU(ax%9Ld55B1;RQxMOP9c+gABwc``A zWB7444cT!9Jfk~jpOOqN;6X!YNX@%*X|OeE-=3NjgHBY=56Dw5B5+;Nu*<>UZVmNl z`bb9Xj9CnhuK^e)byr4ntnPmKp>aFDuAhjX`~9!f@HOghUO5uCo%cb1%K9303WqOi zB-vG=7hI4EB_q2I)`#*i4GF?qDdxkWFiZoU$6&@*-Q-xiz*73bB;BI$4aa)f9St=s zxN`bYQTTo~FQ8DJM|Y->jnh=JQ^g=6-v0s%uBgnTS_Dnm|C44qF2tDSAAYF(RO-1y zx?ydYOeGswEp7?t$+GHrrdX)wrPhA?L^jEq%DPjNZqqY=e$cP^xD^;P4dLFh;7gsK+GqsjQDCuPc0XJ0ss(OhF%I{8a6{_7I1b}<<_e= zaDO&WyGCq^Ebf_LT}_gj;B;B`P3dqBMkw3rUnL-dZf2e@i*8&k+dAuIy?_tMkqnRR zry%Qn$MdceFfTZfw`@{(M{LPVbB2#gYstvkN>@6Gd+NCcTgOi4w*TPQBn;AJXc?UM zl8;dU*d(iTznYD+;6N#GQ>y=Ft%sH2o*+R4#pB!ErLl zoV*nSU>7JOMA(3Hg`{d^7x?Gwjd^I11b+|%6&=9}Egt*%8<7*lHTO}`u%B*Fx_caa zx9qn;vaU`9TZcDnOMKESqO{KYqV_iUR~9QkXj9>Nt%()ZQGVu-FVg8eX`CV8 z{ojLswc*(<$ik>WR}*!S4`d$yhl(UlP4nScppM-Cdk{$3SkUrVor{Tms>TogBReqm zU|d`e0M9UT`l=U63r7p6HfP_vb!6aw4(oR)z<*TS8l&-1TWbN(Hl~@b=xM4v+u5C{ z@MKkzYY#tv`C-4IW=1wNw*Ztizj8hd3c%FY`HbHy?=mgnB?mZi-Ynuh38i8MzT& z_;Gh$$`4r9g=+$)skcavQeR$AvRUg(@qml#ydkq(tNv{FHWP)*{`w9bY({$Z@Y1?^ zE?IG@GrQQBtUOFzv*VN04PGH`vo`0>?RFBeET@^I_TYeoASfBp^|20bXVYoik13X*#WSr%G-}AA4KG$#|+X#Yo z{y$}%bx_?<*Y2?*rMMNhq6c?(cXtk6tUwR$DNu?_DN-ozt_OE_DHOM2#ogfmm-oH* z&U`c9A3Kv|CYhCF&E9*~ex6@6bqcp51b9!z=M23+3wAUd=ry~gt1%zC*k_m5{u z#i#kJV6H3`P)YL0XJ_gi3F-(dVt}nOkj2?^Q)TpaG@26%ipI(C>|6K!a=Y>!g za3Ju+zwi6(;k(3e3jp_*S1G>B>T3Y_*h$={#q9Z``sDF0JK*bkZ_L+!emM+&EE+W{ z2@HHrx?wLedZ{0M5psDPxdhfq|G1Pt?c6^e@~ao|H@x-^Ly^zKTb+@gQa1_CXwY8k1-xTS$)!C&Sv*18- zl9I$%JTS-3n35hdgqpjs!J;x>tL8m*ziHW|Q~ImzW-%mRh>4{CQhHi)e8n9t#dbGT zX&_SM#l6B_VulJ36C2H32QxAeG63#U*WPRvG9{@ORU@bc<}1-SPTSSdh+j+oQ-q=; zlq{ElcHh6SdjTDWM28`f_uwlJX^N*<`n&}QyN_qAxmO{%~Y~M7} zghbWsZL#TyyL&CO}wb17Ha z?~PsO)>J0Ok_YCoV7BSuR3`coFXpjNw&-wgTJnkv)4}@a5)J0i;k}S${rp=y4!k^V(sO$@&{z6>yX8tOH!}ax zsC_TgZ;DJZLVPB3(!4%xDqY{kea*P2{>}k9mR2e8J(|r9u!ANYp0j!1vWZ{%zEQc( zQav@9`!%DWO35Ke*QNxl^qTy*2wqvTjVv{Q|8HIkG#rM%1A+<4iZuxuFwNxLXss;& zhN!NIc}qm!24(MoE;TL%nH1MGZx%^v&W3KN${CKJLBX1*1*)&)w$<)`pesXpTzjA1A%&qpqcVaQe}NR&UC^nQnQ{$6&&9DgQeUEgpBdy`PO9UJ0xwv4D_H3PhCmhuKu zqY{!r9O?4I8Ec@D)o!W1rnavOt2tiO6gl543kDxcKQ%{B8yGIZv>lQRKI3-poy)zg zK_3*4mkF0ltYyXdtj`u~(TySbUBfcMX#F=q++m+vFNUrTj4(0sqj>orx z{6P}jPpUvU55dlcWLQF>$`IgQ+LTQu2R#TL3c++_dF@^5CZU*{QjVpfhS=?>D~11E z8Q>ks&B!_;#uEAypJR+~$UV-^=5DyDx9)IW52DSCTAO1?taB~5s!_8mEqV+3O$%O% z2@$Xm^aDRmt$S~LU5-n7kTPZewsT(^jIlw%CfOPyX~+9c5=H<4jN=}yzAHtb-L=ex z6st)0zuDoKo(y@L8hiGsc2$745yBHQKRUoP`f(asLiGC$kCXV6u`|6{T->9oqd%K@)cG1`5SPYX)1)stXM*b|Fb>kWL_iLB#iR2Fqt{lGew=Bo9A6x!Q;i6NF}J6 z*{W0>_sDhL;v)I_Ihce3n#$5J;{bo$@U2OdE$UzHy2OWSQ_;=#MDR1(F7Eu+qO~6N z%=hHI)+tt4s}ZZigzId1P4B)f%AC)owwnVxTsJ0k%0s8W`WWr$)LE4^iP2?7$lUak6jO+PGSJPm^VvxUE*J2R>kGRej~1` z|CQqcyGbAK1!bNv{QZRIYa&&pyyu4Y#AeZjZC%-{;*$}TINvPelYyQ%U)d%p{~Yfo zdSnv!(=m`1aCbgIeU~#jF783Akj(08ROzcWIy-6agX3xhj!sV|>Mu^PwNUWE{FZ2I zuF&i+eGv{!#@J{yx4&cJPo>_`+w$CYlC}gDd6Ju`MTlQYAQVwkkqLR?<7_!uC4V=J zvqHH@7&&bFc_GA}*EWI9Samr)eAs69dPr2|bwWWp|De$;y3Ejm#b)u=UF-0j(quOs z_6drrpl4W zGr&-`+DbVhU(Zo2A1{b7UB2c$?%=6emO`c1xKYilk_@(Yb$2=U{pBWlVsgsIMtjfB zI}dz9Qog5q{H=Mc(ii(`YlB`&Wm&#un%@1VLOfej{vOJ3e7>qA}bz}psGq$-H49QrwjL6tvtb* zT!rj=vR2JM&XedGMv5lLMYzNrThtX#&VTqlZHtLFud7p>{XluzrcN2tiZdjq!{~P` zYCtM6Fb>u!XO(20OQs@lRF03Vr&H)(!p(1MFd*I1r~C!xQT?rYlI1Gev zRq)BDe*5*KkAe8Anx=oNH|Jh~Rx!jT;qDRH_bV>rkDTAD+qR7K!qS}0#F4{e8Y4at zSZ4&Tlr_5P3*&r-)iZr3!>ht>F18`N3aujIai{)Q(BvRZR4+Pv|67n`pJ*=JI?{wk&vOv4Wi?E3j3|h z0O4~R-0ycRtTJYUVkmMQr&xZ(GPOyA?-Z!>zAG(RlpaiuouKsjFv9Dxy|<~upJYWV zq77fT`>!xLZd@e6?X57z)uOcgKY%jgQsmTrsCRw!{8wh0DL75Ap&G7R{$ENQD@BHp z5D$OcWMsrWySQnc_uf>J_TPZ~Xp{fv9r&vmv!DC3KW|i@%tWBnX3Wgb-2w8j2b(_f z&_+ieHTw0qG_|--D_{A`H69Li_3e-}dQ?~?8ntM_=?tBtSs53s9WlWvcK?vl6#+2x)8z9Wm_^63nPaRqlIHk|dD>sCJjV9g8CEVfJMVRD zSV?uXh#x_t#eNA&^*qJ3C3Y1L%ujMrN~L{4`R^V<9`gv}WEb)gG89=%W09QmWKGnJ3(YC}wj1)B)lG6m5>wYxP~U zV%rs+>=;X;+ZBtuKO8@T>gQKw=!SR(p(APzQtgsE_k&b|Tqlb)sw}>)$d|~Dm=lM> zh`qv_{%y3gm|mLU6nS+SBX`oDiFze{Z{H9#DL%C5OWqvgl})F8%ECgBQk~!>glZE5 zVNyqgjv>y>RbG-3GQf}CFH?+pkGID+5md(qO+RfBf?q1C*(M{=nv9lyW?gOvS(Hk}M=Bw5Teb^%D*b-yQOJ;{L@BzvlL_iGkG9Ov%$8Ip z5y1tlDc)>=+O{;A&8PkBXRP-@|%jNC9 z--irw!w75;PGR~ZqYjn{f}yw*B? z@m0!Q-(=}Tz?utlku>ZGaht;Zce`op?dftIU^c(7-ADJyn@H_strN6Rcrz$#hM?e~ z@5+Q-4?IK+&e=4Tv-NHraFG>{o_TYu7#jIo5@B?>xNe%bTRaYg3_MpnuxNYC`dXTP z+ka3R|1)ky`0jcz6x2mvU(rb(W`d?r|HvkXqu*cGEe!wt)7>4LR(c*}sK!oA9f5|A zsYTABAx15h=z-^O)5!d8Ed91an6vENO~^d_5D=`Z5*>&Vf1u>eg^lKBsN7jp{0T&_ z)M;$I_nJgmSm8f0>{_Ew79gnw`qU4>LVt-6qF**5uJyl@Kys@3sm-k3I-{t-K5pJ9Y0Z}YCdcLIe5)d}FZ`OC zz-#NLwne1zoQjS+`MF^Hn{)-lcDM!^3fPQ)9H2IZ3<*Mpo?iC{sj|#)8lX;ryP(<*i6|3}IcRaWT)(MQ9+YQ4SPHo=aJxM{Z&1X> zl0<#hXY}KV6d;ss!wy$)^M~jRDFeBv{%(xP7T8H33?MzJMT9zFjSF&r-i6HYSGT;zt%n6sHQMqeH4BjmaX{?Ig{N(2sLA;;% zlr7pcC*?9L8F&ycc+8hFk^M@AqUCR*5kMp_&GrdoNOUva@AdT}_QWzamHM;88Kj@R z_cf!UK#=iyWACm%|7_}a3q-s8YBIOk&5!e=m3`GcRw|~)TjO~m=_c0^8cox`3 zgbbm;m(1NrL#Qd?zw%3bOs)EhG}kZQXY=Gnc0u{&Of+n#zqtey3yd3Fp#OeBiWWV- zs>us0{k$Hv>x~s{*Z8$KbL|qD!eFScrOEc~-ls36#{ukA1}&zot!zIRIGt@Y!q-SS z7{RmZ;v8x7A)8VZWMLv?*bZ%{wW4^-J(mM+c?ao5*zMiqTyfzvEkWT6HXO{`1rTa> z?f8>3+Q}Ehp>_+R69BI3GQsJ`mbbY5`d)0w)Xd&HbAmwe%5Dt!@N1RFQ-pXG+Zl&; z^mvCb|3*p+n@d!Y>yOIIf)5KhCnqQE&F*~^NoF?h!)W6OU&PkQIN?g#CYwIHzE@Xr zV+yyqpPh~0FFWkYL1_CGDqk@%g?II-9N5NK>vM6eF9Ww9}%y5Y7!9&oKK}p z0yP)hVuma&{WrTqaGsx>vYI}(%CgC1z3raWg@{Dnf!(8e-d$X6y9QQ{u;hyUFya*> z`eNOj2*TdU<^Ya2q~&AP#yQtT3~Dih4@TKg8k4b$%0VBC&Rlmb?gKlMoOAVQ3BO-k zOWb{qR6k)~sR17Sk1!X7J6tF;QIJ8Wp*{3Sa+vwEWeA-JQ`f2PnT9S3nm%4UGK~ASx5rZ4n5mNJ? zjgww#X?FJ-K1L{^i+A3&|U2# zK^lOsV$9hYp_CXBwPbE$R6MhA-)_^5qMfuYeS5v4t-jm^NJo*}(v~Bb7VDIh)}wVM zs_afXhz`UJ!B<3mIcshy7{Tns2K~x_ysGA~m6KK>Lzx2Gn*!GCRI}H0>}=Z!aD7~( z1<(F0Gh%ab)zPko_~%VuXp-X*-_}|IpId-iZ;1uw8Y`DiFMLNf#91r$ii|39_nX`S z0|d4NM~$!d+}0tx=o7Fe!B!jL>?U5VXKlOuNRPw+EBu#o-#Ei2Auc2ZanR4bd_XXC z+;thONjFwn5YiQqW8v!0v&%LRC=BmrgYbRGur^&uX8Qh9#QdcVF|wgS z<|%O`D!m{vk}n2t9#Y`?M)s_}e4c2vf+d`jch5a5W*Zb^>|E(ei0Im*-5W`S7mUoqM*swm%Mi|W zw1}FkCpL~(8w2BqeyzLRKqfsg*awyTux2_rtT<*pzD|U%>fvt|@xn~V!rC}7ebA|9 zmpXXaww)kD%UkhY2t76r$_xWHPFZi)2A)h!rd#161lL;eI8GJ2(!ltNiv7OVfcb>raeoXL6kg z13`xL^X~mwBkz3i&tn)je`)qL=7zh-~qrUF5`Z_-0XKDSt3{$`j zLNB=Ot7^Ud-Yy+S_Wt02WEI$WZhvfPK>;et8e45;dPd|sT*$_{f+?lbqv|e7&i?dt z_ut!qnyUpK2|iRGGEewdbZ?a@ST~1-EUQ0re)dD4C&wHFsMRB+!IWYCl-Pf4c4CA1 zWo%uD`nVrdCG4z3II={%{O9@nCjwPc=0w$z`)mZye#0xo+Sx#cera+I#@tFsebg;N zL1+&B8`QRTUm1szKvfXbHBl70Kfx~W$6jj>={9hAe<8M^g4WjCwhe*J0OYYwF;CZB zz(;BAUcqb*T&A++$heq-s@%NXD=&ji$04nspPf+DBS@GyrtB?Jz820&z$X5~CXGt9 zapJJO6P@JHG$9nS!@?=ejY2j1PAcQf7fYGZI2e`3OH`FY+nhl2k9Z%vIXqzaLot3+ zyZ?Lb$9SSXW$4G2^kibzfp)fTwyJRbE#32I5~gb4d(oncSnG$TKfYn^f!OCs0-2*F z2h9OXLMRkr4zi#uDryqk(zy%^WW zCpsI>40Qom7u7S{Xt(_ds-C)~n9kU|wG&T`ka`!q5GyKN)EC>? zsbj<2`fokt&m^L(fG&gUCrei{GuO5DD7yy0^F?0M&Z*~o;6>m&4?$JqhF^=fII{kl z##+i`r^zR2FDZj;2@=9rd8bca=@PRLX{Mu*tT8-r=Jyi&8ttd9GY^X88|1%eSC+t9n8?Sc2bl>bQI#m+^Cn zI}(d1I!}@V-T9U4T9A+*Y;zuQPIeX*?67t9XYcgP5ypGK6ifPw_2Hg8-Arep z-H~j4xC% z{`#))PlnZF=!GX0!9T}&)Sd#18&N#J0WXy!+$Xd_UYB{=2D(@=JRjR4?C_TJ#fY(CS5}TWM72QXO-Bu0R0cl9eBN>y-TBa)hvg+D7&%XoWm)1 z*3(&szhf3n9IM%!g9GgE`@^_den!l><6I<-pWDq!JCqd7kr|<98n(Gs4R3I-*jCn} z%`1cQe6Hg8mS#-fPq(yKyQpP>RNP`FzZ+xOrpq4p9CmA4_GGWlEJw@&?v2%xFQNn* z)a5&QEQ2P}tg5FEVihzK1-0-0xELAD-NZKd8kFif40pR3Eh}~SuGs+ zLPdX{|Z&1vOe{Iy>!On#Loa5`_1)Xr9ZFv&WJo(IRJ+*G8Z>xZnN zH7l%+nwO?n+2X;j#;zwY%KBFLdS*qcm3ziyK5kRnXI05+x2i4`_#DK@iRw?2Tpbh1 zz89dqmh?3e+!ZE4*xqeCa3(X~8gOK*28wXAYk37wea{B|7p5biLR}7}kpigK1mWjV5 zOzuofqaTvde7;Ks9C!0)icCP&o((*oW?Zl9{pR*PCMw^7mtjJyh4-6$YJ=B{VGOLv z6r1S3nI_euOqUlD@06}~+&7|(FSr~H%L3$tIXuC~ZF9e1fTZ6ap$sp%-QzPMsb06unE@>lh8{}#eUlc(T;e8Q(w6i~mVPvy$~>ORL?AgK z{@SUj{%@44L-qGsp55f&{V}F90@OPH}RVG~|-J^}At*K)7cE&ADwcJ7K3)Q!dP?=M9VNhasZ9R20xO}51J@@ZAm zAy{TjbM65YZqw^U+vc1`Lo-dC8uJV7M)9}1k4-6O9ow^Eat?>cN^X z9DIb8ysQ116f;+;9yv8R-lmH=BGOkvE!i2+jwn9@8+50#C0l6F9E!PZfJ@#aRB%Ae z`LT@Etw4wumQmkqRCMGmTAQ)gl1sVYqg6Y!&L#4ur~uiW|k}=t7Hjr7bTOpc$R=|!%(3$#Z%DOQR zPK@{IhMSqO#LA)Ju1K|n_ndTtSewLMFMHbw;hUZd?YAaK0P8DGI2b84{LxntPS}e>^9Cl8}8Wp`2AhDHJeuFmgzr@Jt(j0+{(`*AYtJw z1;2|KElf)RY}v4u=RNK_cZReZ__%7R8>cjrn zI+!Opb;UJeQEZ~q;w^{u3nd)f%ZoRBzP{rp0$KdbokcD1&Qm#2pHhy3Wd4=p{z|*s zt#k9^XD@awX_KV4Z&ZS)aBvf`gORLg82jEQnFog=J>}(oP~wmb`uhG1CvO8Kg2X=? z7Y}$3OzjEUdU>`sP9;|lkFAOB{^H&hb#wBhB<*^?d^b8bxzX=nzRhKChF8cw7QA75 zY2v7ZvFs&!LSRCp=W?=TyQ=uFku`<@=BR11pk|m!iUOxJ?W5!J($R`h^4T z1&K4$Wc}M%W>|UVX~>ihTF!Vonl5W^gNlVO&|W4iPTl;RoX~uDkY<0S`0oiVwVpp| zc(#0!yy6RPK-Dav=)JonC6Z;dNse!e&5g51BsoIG9N4{~H!3DHXq0mLk~m42c>OQ^ z{MQhXIjjN31~ZGJf%!||E730m#Eo>mOQ*?s)gf-Y+!9hxil**MIAbJnnCi@)8~#`m zFtUyOcdUGe)TkP0lLv13PLMKEf8An^r}ah>dH%gl^F`y8jJ~3=Q$T zrR?9=ZoCAPYJ#qSo$1qFY^M6Zl^^NrC4`A;@W(nanq_q9>ceX)ckjSL4b^EFZ(y^e zr|vybF=3K{5!SR~y`r%up73(wTGtEd0I~`X9|AI4^{42xPL*N>n<7bqP;zAbEK^~_ zt|--SK@#PAC*VQea(4kMJOg2vubbDkkBQFP5W*dI;}RM84UtMR~H6Vh)31zN5~@hZ^e532A;R<`O!3O{1~%EVzs(3nr!gm}$9h0T_gfutSEF7%AIsP3A?#nt zR`~Gp2@(u`$J^aO(W+l%_eiDR1NIyElJeMBRTDvB9PdRF@$9RA7QoHEY>T~fPJf$R zBGl8Xbx?Z3aez-d(MTy-J?6=8D+t!`N#)@xE-k{jspBs^>QWe4&=|J}z{M=0E+_tX zTlJ?gr-guHs+NOKv#kH~gFR^GE$lC`YHXH)7wlc-4~h-G5;D83wGQ!XFPXFw|{4|Gj3t-6{$O>+A5b_T31j5TrLQ$UB>4l1#mzGTASIZMvEUQ zM}^0U+O}xX4h$_O#yPMf5_B(li{mAa+9or+5~5f2D{bX)4K-v*L1lnB|JCHMvw~-F z_A?PYvmu0yP$>U}K!bETANt0FkfszBaxKH#ua_J(PQGW7`8km%brJG}4y8Lt+Soi# zgvgIqybtpR1nfmcfwHh7apYX_v>?A$SW^%yKr5k z#bv$cJHoyp|J>eHf)!1-XDuB5Dz1d$s`8dEIEjT*vaFwS07e_eWw^`I$UI>jYO5jg zu*T^EOir1J1Y5QGsuv=r3p+VTq}+5^iqk@PIrVEr;1!aZ%}&EB|8rHhKI#mFxFv~I zD{;Ll0-cmI!R8%y_~l>uhF=+;pX($-?gMi76pY79R-5@5JzIOLY^lbEKxwdret(~^ zN$r6-On5c}IGIYAqActD^=uj(g|UBAVUSkcljw(U3>kb>v0#cf<#%*J%Urp*;`?h- zR|_#N`dlnT73?@_ZB)Ozf1@>4l&RmWl-Y9DY=%|dcYU$fwnz~vNziO#HtznF-nY~t zm12IpI`O;H4MkXP$o|ci`86x1SKFjc=|+m!n#Uc!U=x0TbyM1D#7-t9Id1nxWOAJg rob?am$w@z62l%FZ)QT0^7)z67%56;+2bulhfp9vQ`0M}MUS9qWSnR@+ delta 28091 zcmYJ41ymeA*zOCI(xPo~FD>rwPH`yC;!xb(VRvwf)8bxqi!AQ$?(XjHE|>rJ-Fxny z^CZ7KIV0Jbyt7Gmig)17x8b5yfELxaZ~pt7TK`Y>CK%&?b4RED&E4S+n~+i|BwZLG zW`S+S0li2<%<&)>)KBACN%7m#`6sGBtYbM4?zeCl)J6!?nZv__k z7Ywqb;Ss|6x<_Wa@i66vc_gJDnoE>HAdrjNN?ipXz_w83)ljGa-kHo-fr^4aH$*~^ z$J?RXs*koID|ag2RE!qvf@kIbPTwiD3!L$xwGg&FJaE6r$P6b}l-e5wcazSJ6A1mf zZDaa9ydq#wq`%r2FJwGcj}58mvU4?sn0Orbj*qWrW_;8BV}^^fjX|WfN%83cLyrYv ze6asFz>~rbtoEM&?2En0di%&Lekj!FIMW0+HV zOYTqF435rD@|WyvxhWpDt7+ImrPPEko++K=8)Z!dq7U?i=HSg9pn40$b5y&})%_BN|Zzz!ftnpH+4Z>5Hwi}6&~exs2$ zK!D2|^WxP%e3VM#R>d3O%!hxep?T;G)2FiDt;m+0xPx8Ifk+w_2^p3n^&hMiDI}q3 z)C)yb7}gSZMJXC}I&z1+U zu!H-c_>GMTi=%Wft8~RyAak;<(TowGbD-&>7v;IYtG2fs!p>JhsdxEuWgGQlvW3B@ zBEhXGZloH_=MdksHNg(9p0FX=%eB%>Yp?ZG@0*&Xm4jZ%_Q@W^SvIKZ$SkL| zPcn#EHrE&36Kz;g2~aLDri8)jT_<#woa+S}_U@DS2(m|bhXkyOUQ5?i41jFU)m(So znJTKo@krd3UXQVx7Rg1_mL`(X!A|^8)3ipyv7Vc<5UAP<<}z-^wbWGX@8q#`@FQO3 zU`je^5;QPjR)d;W8`)=C|5S0|>~UnpXM3?*`(wsT-fcu~1`q7Ya<64{r8xmM(~gfk zsK6+($j4h>%!vQQ``@tulB-|;b1+=m>^!TYX7n_^e0^#R`5E55ioD=q7ke`N3UW9ZZ4t&-r{&cyRHgBHxr{~ESE<3Pyn zYV%lN0h$tQ^SE1qZ)t9gpGW=;Uf_L z*C^Ga2Tnr>g&d3yaB6&cQJkU{YgmD~b?gemDB++Jf?sLyE1kNU7gpAzx566;5g6=( z{{ty)NYewTMPu3je|JTaD5y60^W*i1LLYx&`)$q9;WTtYS-WOPr=TQdQGZ>ge8m!s za##OdB*~<8GKuVyU!8qV>%;)4w=?o9^NmXd`SW9L5ykhj1qbr`V#Q#WP$G^r(x2pw z6$D7mMmz}haRdKawDv5+5fkO;+l3G8TL0a2-yKksBpBZsjqZ4@^8IOi4*F_d@Aa(G zOLknw|Hb@{k?~6Fq6O3kuEessl!?oBC}L2j7b1>O5GM_+D$iIOBozV*`>Y6X=R!!s z>5JPE&Qy~7PupY6h1T7-qcXP1g#>&(FOof$J~^DnNZ|KUbs%YWnel{dfi&__T#Qq% zy0|a0bh`W8%&^VU-KQj1@q>FlpqeO?oW;5!VMB>herQ%A+?`r*apFPR**l=TfpYuu zU>eCiyY=d*UGEUFk9Ytvw1qix2uE^{EqrCDeYM`lj2C#15B_zQ;gyfGQ(7Z zd^3(1jQVFj0=H42=Ncoruo6q20J6X2ff&2UhLB#>SUtV_T7cookJ~fh&tRk!lKsDt z`_D)tm`%Pvx_FEpvuiNpai2_{KN&D2%}b}ZEVaIRVN zh*ut~aIkCO0#z$e;Y4GQ)3`-trlZMbry<14=4-3Jp=kerUqTAkwa0Q8XiXpY^Po#w z`d$B9t*$$tQfZ}_-{H`xw$-LyVL(Fz3`&-sr)B-2P-Z1RFw*iwRHSN;Jh00jO3HMt zA$iBhHvA8>>8W;cmVZl_Pqr`FeP5J!2TTJrLfnxt%sd31okcO>%NFmtAVN? z$YC|_-2@=@K9GDuTQmbQb}P{*PtsEFn~wd|4-`biK=zN=S^Oo`lR_1Z$FM`H@7wS{ zACT@`Liz11Zeb7?-Px0Ox5lb@Srp2Ii2=gSbPAe4{(jl7x1EZENlI% zabZy~-}WF*-toNmCC{*|8s^47;!)z_FJrp37Caa*I;t^=8v5?_;j&JifZqPx#&v+L zzBuLv!$cPnqy4?pQ<*1%CbJUg=&n+nZ?jCA3A{~zU^^x|fB5qeU+N%#m`NunW6}D! zaFHX_s)6Si|cjM3} z_do3{`#tT4GnK#V-x#p$fd&bYX4DzY6tBddHI?bx3e^Md#1EfJydXRP?XbdGvFL%O z;d57_`%WglKFo|@-n9-p?0N-t&k?tY{=?17!gCdVKNZnJr5~n#bD`Z-{f~QvvZJwT zDdE}346dVk^@AZpThihfR?-09sPQHXzvds~?Vjr<0gCvMYOzd(QethNrdxFFg>dP; z)RmfP1(kqH;kiO%J*xZmp7#xPg6?S_@0aJFHFI}@X{W^`=5;bYTE6Soi;GvAtq(0J z@YWPxA}Q=Gkx3|cTn7CdDPT?Qx43h!J$_J7Re5?o+{avu{9&SRS#u~EMVj%OF1<(} zlT}Z*CQx#Cd*In)N#2p+dSJK4j@kO_S9c2O`|voRF>_pbV1$bWHd@A7zwvi4yHGqu z`;MhP^P;kUyv%X(H_bsEntl@xK+@(04_l4xkoi4Exs({-$`sfw*iC-WAsC4x|5Q|Q z&$8bur6Sb*C345}NTrp#x-f}yTW&MIkLnWnRC7ZvgC^k@cRdcKgBoAcUl+p1$alGq zGwKixk@^@n$q81lkZ~Mn+pI}UL&jgg-y2?zxXF{mD(r-vVT4X)YfFA$z8~W=-EE{= zj@p@t&AD2iHP?|wAfr|0j@Bk_yu}sUMdoX;Ld+r1zBGTk8tneZf$1b0iggmkG2-b9Ri4^Wr8N3)J z1OC;33Z(Eb-13DlZvg2AcDeEf$h$+>lMrmCn~U`R-ZK5KJT0U#i3XyQ#KOSh%3dNO+7C;O% z)$R-*lj297rqoC8i6{2d39@;K$2g|!U|VKdfcJ!p+7;c+UlCpWN-!&f5T~G(L@;SW zT3N|cm-73mdl$LpaqW!CMK-y1>vVvXd zmt7e5H9Li|Ns$n$v!YJjq*B8%F@Gh#1{xfQ$=HIH39upBDhQ}Uag}Gr(KW?|+>5@- z&q4Fja^$S3HX9uKj-2;1;bpiHiqf=P1ivbL3vl z{N*$0PQjt`SXU=P@2d+8mt}^5W1UG;>5eC;|A2_K!Ev%u$gf2CGMc zccaKZh_z@~=g%%RIVZQ`Z%FJ>oheW}n^ zDMOmvfu*RX}ht@1+3u0WRbs&y6%8u#uq!EaCGm6q+bH6%?w zJrJbt)nwcbZ?%A8FW}nk*H~(paV)=SA2}jGQAlsK0&RvrZwXM`=%FkCkDy-86Wgks zp10O9JT`M1T!GciW#e7-6H#K-aJmpOCIiA)7lZ`eNmaQQuX>Zpdz5pW+YO6$+?R^> zWj7qHr5{8?ZNIH{dCPgm#aCV^T5LW)JTI7s^;Vc9pe@#fm8DAAUpq3I;&vEU_QRum7ZIgN*X z%d3#41?Z*#wYAgZZ4a|F+MKEWET`X%0nDW;J#T4LT4vSNa%LqznPRzW}i=Fyg`e}U56)o+4KJ;>Vv|q0gbXsl- z>6l~1zl5mu15)3gq4Nn$-VYjqc2OTzOrwkg{~W>CCAbvt?Syp|0Od1!z4pG1HO%YA z>h|=Q_Vk+ExN~3>-z%h1U;p<_;8(jlu0;85;=zY0Y!CfO$a0pB9W44ugN*PEpL3p$ zs9|Qh3kj7(GxY-@T|Y!Y$@R<(TJ<`7`>wC`H6c%Bs+WQf!#PGVcoxz3{!V!O0rH{;{|x;9(7`FW@7^_pFW$QaVq&~%Qu)ET9FaYb5H*Kq+0jhNTzm0v9i zayl_9CNX25iQywTVopD^{OEgl|1)LVqv(kJm$psu-b2{6#zAw-T$s^a)>iQAou-lO z(PF|Sp>qH1CVBrnSSK{4sc}^vbR@D_9dw=|a9b~URH0TQzk<=Bajl^eV~42-R~XZZ zNepljyG$Q;DRIPS$SrYrIaDgq&NulK*?3mqO3wK65R%$dB{y-GhMGi$a=83#R?7){ zF4v6V(xd)1e%;PFq?hVr6ZyIs(E!%Gbp<8Hfd+V%AQC#HM>O+^p#c<*R(S9*_B>Pi zLpUuUlCPe6ozMU%O9A6Sw?| z{@8YMGif&u3%JD`%G9n?Gz#i%gxQG(-^e;i`UTHi3UN#X2--lhwZe@?E)QaE8Iu-? z8Dn?a3j9MIgj>1n>q|W{)$quN~Wru(g9!lk! zdfGF+RJ%H5_|LA@&3Fc^m@e$Q&2(O*h{?gbQ`N9mmdKB+T>LRbRdXula3*dsC%pA=llM^=(jz=>nHGM+%Qn%e!h9_lBi= z5t@J^`#_Y)$kn91!mh5CcWA{3lISyr8#+dd+q;HJ7f0!t!_q#NWJaCE>erqdF`se1 z0crU?*J&G=)d;1GN6~Y*;S&?Cy3BJGc6G$n%gNTxlAF`oGiCV;flpQJ)N%D|~MUIo|mDL6=={$G!5g|2F*9NMw#1#>xApdrrOT1!sa zF0~3Zn&RXk83&3=It7jyB4brOj$phspsJbiQD@7mIC$TRe22`aQZ-;6Tua@4yX>lC z>utx!$kkj!oItp;5IGQWV$-wLeN(;RxZ#DVGkpB|6M73~G$Bw`&p$M!9To;YKfmo1 zpTJzOJaY)wN?&vkVW4z{48-sqY*RSDT{zBnvNEA^P9;dO^|!F%lfyh>J}Tk@3DUE z40C{uV4tcJ`ER)o2+};zWEDx=B$c70Fas@(e_Vz%d7cRIh6Qetu*Cf(G3vZ^&y2?d z6i@+_bp(r=I6^MB!Ij6qhOxH|kC6=18%or*b*gX(L|8feTNE?XSUUo6=;z1wMp=H@G_-A3wrik6A zx~u5{#vLoJ7SBR@56BgcmSeN87J} zLX3*zX*~34Yd!aiwFrint9c&gw-4=7oc`Jjw^j25&uh|&%ORPXhbH^q^~nTU2=zt+yb)@FiEUupk8l0AE+`{-WB^~dB1@8b6g z3^)>9jN@9cl+%h}Pm#&s@J|g~o8Ipo_lwCassr+l1{g9u?q@$I1Bp+^*jcWjw!#ue~dsHo3LG z)D%O1giOI?at-|~HXNcRQQ}nd9w2q0Z;Wq43D?Np72CRRzIK6{P5|%%S%VY9!^Z0lpOWtoAsaxjA*>Px1TT z_xebD*}>oOktl!63`i0^HC~^GA9ShzA|9z3#jO01MJKkkX*1Py_ivu5*sa(N=`=fd z*!jB|^q6drT(KZuX`SBPF=7&pX{F-5Z{N1DL7ul7|5rYuS)ES*8hae-io5gx--_Pq zEK$AgY+OMN3_ws3V_6?#8HBN{jj@awFtOsSyH}?chaEO+$q_nRihgMyF@?Epc~Q4( zc~G~@4y&U4*>qyMmu4p`H$l+@wTRj1xE~#G(L`%@W7oKWJW9!XyL@9fP+5{0xZO9^ z>IT(JunG=MIC@PNtt=;Bg(aw|%#-p7luhu#Jkj`J^scXoos4Cm}PMRt6o%it&WSbF7>?(k9lk%O=*f57b5-U=e)6 z^t!mRHR;TVT(*#s>>Slpc<9ut)Y4w_SE;4L2V0#W#p7bqS99cO`Rm6^pBqu|ED=Pf z0=8&A6EHaYS%PA_H>ul$1wIzbMXeUcuX?6Go~0uuknK0EH7@DuVaVKF(NbWnr{vGClFq&mvjF6Vnkh&tKKemlUUk@D*OXP~a^M5K8Ay zpKIU*i+LbvmQMbf+=1Vmn*?tXhDCZH2X_J27ATG81L@TBT5$~33GAla!D5eSvJy*+DIo!rg75jI6pCpd;Eos>4AFD9R7 zVY|%n-nI-Ns_tsS?2V@9{ASK?wb?rUi~t& z_k{F1t5%HaUF_N-qpEo_=NeWd}-gJqYR(UDX-IvTW zJs{%!%CZ~tQy{KFljF+7JRs;>3J4R0aIL*lkI)ygH9W!XlO>yJ`&(*TQ7PMv04sf# z`D9zJ8`vaTk3~OsPltF^ST!FYAK#@y=9Lw?yI$VMI7pIiBHmBwf_zy<^bCzCVc4!zh+ho`gtqbE3gy}hXYjthk zRwfUfS*WFKOzd;^K-Fv`6@bDeq73yWo@Udhb%c1btAcjO*`L%Ba8_PaQUty5J2X8#JIhoRV6)3q%%iHcmNH)kDA4mtGC!v_b7Ow}7- zf%no1FjU^XzElw^fonGN6SisFOi0 z{}8LI0H*69(#!Ym*&lu0cD%)>EJiGyX{tiev|PU3$%e`Yl!!sBDs-H}9|c!L-`dqf&Jy{Q0{s!qEY~h#;yBIFNcf#s z4gS4b(NTPdkEiW3)>)++057gGp#E6k2jJD{u&8`+DmkQ6&5V6e?d^J5Wde;s8IO)M zxBp}T4az3ksl+q!tH&E1Na!O5t#m2s(-#AN_`<%ZkFQ^NR^ZB~fNul0#nY&-(@8HA ze{T~N6y3g&M49os>LVj3xM)wVaM@sf3rS2^RKr!sV3RnP+hSK(pP;1l3^c?6;1px1 zD9FAfa5D{_T^$O6CZ3%_QUaa8`*Q%J!+Qx1qu@AT8*8K zaHyeGHs+E-41O3C!$V0-eWlJ`+^o7_;hcQN&TB2;1&g=TPcHZc58cab{mF3{87E`( zf#wX6KL$cc`xd;;mmO0?NlUXQW8C}?SMxiaUcS3sENm7o808o)c<2Bwm~IRKy&)8d z$ab;PN4M2K=7o|P4k?D3N(QKKG-j;)f*eqWrTrYTQY?&y=M3IsfTtn25Xm62xNGDK z^bhk!O0ReSbwZ!BI_EmQpTypDsa$47`ial@UUVS<+$9%UFPd{xS4{WEDP_^wF#gXU zxF(E|Zt$pWT%daLG5Pe#h0^1{W%Q8-XFPZtOg7@QE*crS70>gm5J{wGN5><$p zm3XYWz}=8RjgOFH!NVxul6_y80duCH4AGq=LAok3gFTGr75vBclFHsF1hZQY>*2LBHa~ zWu83HdEM`jI{x_noS{^qd03{c{LGK{Rx*@ziu$ab48+ck6XkTqZ{opKu(^TfdpUt_uB-)HHwM7)V?fO1GG+Zcp*Ng)P~!Y%dSm z!gejBEiT0XIRp~QVS{R2)ExpF{9YYEQq7@iix69&AT6OHigl5ZE^(Z{qmO2Yg(#1` z#XfO-vHhpa1#&RW5Y4NR@oMP28V3x?JJB91!yM_n3fOh3iuYOg=KpnH()Lvr*?9!v zA1z^|#uHhSJO}EZ7?MY{c^J1am!StIT}QlVp{B?qF(FpltsP`E2cm#r1Ur3r1BT44 zPqIfCgXMQzQT5t(#G$-n%?aU;_cD2TY;MU4!97Zn=POhYg{#3bewOGgwu|A0m~ z!pL|Yg5vd6%cyv~4^3!E#>7TBSHgBod(UCDzJHjP6WzT~CK7<30U-xLlE!~iVb);l zl?f3U=?%AdsyXJLhCblq<)1o%C=!oS{RT=TW%mGO+4_U2OSD9>LG|?pUUpuX_VjM0 zpl0?2uW`hWg9r{@7~QJ@(a7#dD;2=|907|AVPZCSu5Y5@TU!1OT}at=_QFiFwac0~ zl6OvSnv<9XJU^q^u3F%5owCOex+f2z@el33OE+~vP+)8Dg)RuNCT|%9rCOu7*DoRR zzYccNZQbA$Y8rf?3%acnM~tgK8#=m%{?OM1rHo}|Ma-&%d{xkZF)P$={!A}*=-^+w zN4W^lB_G7RZf91QKWa#1I%;XWtxU((NYDR8Gx{tB4A5wV>guG+``W@yHk{QHen7pJ z`#@~7EH^T((!e#D>?oCAw-}ngNA^lYop89j%UqK z;xow^pkwlupZ}ld+M2@x3Wfq&((uwie>3*{}A18u~`E?tdHMELo2Sm^@Wct)BIy^spxp5Hy?%( zySQhLEp2cm$&G*QM(_Pnf75*ihN~*PdQaZV+O|Ne{}$`N2Y)J`wqlp@2VctKiXENF z#u{zvx4EuWu3_2JRrwa@IDz3#O&M<QkaukC{ckq^=1Lxi)<+A~`x6~a9Z()o}!h(`ax5bC< z5Z@RjMgoGXH|ryBknw!qB-P>!!%Vv{3f(&~5H@jJ_G1DWx#65*ni&}oIuw*Ejv49U z;L2hMnKgm=DPGU)Ta*M{*we?3ZIXNJ4DvVBe#PI>mDcZUmyHskLAU6(iUmGqqOtsm zwedt?$47YPpxDSTC>J7$fJ;?+HSL(1{#z5F(J4=LhPz`Nk*0Zu6?GFdtkvww$WkXe+js^J7K-@IjD?G&v#Hougg0vW33u;@A zfd^s3P{~Ox1siy|pHGJ&WicwVSlDtu3qj0*bhUmohqAAQ8khlc&Bv7dI#@3ls6Lj5 z=VLh@mVf4hZ@jr_*64`u_)7@ClYJ#<-kvmfc-|g3o zuur(}aZ2cSJGz#Or&q#^ile3hx}$Kl)qdTCq8r0v<+~P!O=KsNFT0BKQeAueLo}R> zr}0@IS@pIkw2}0w+9ZT7_|uE+P1Yk(LeOqJT*)Xn7xUxG*7O#p6Cw;))P5rVn9%T@ zP*qw5whnG^ep13wDC zNBk%hf0aZ8`pgDKk$NxO57f`t48L`A(0K%l_^2>Vyk}V<-m}Mo-cv)R3NHmh)yE0? z3V?UilXTZGNP~RiH@Jla@*N}6f!={_tM`ZfhT|ENS;G|xQ>gS~tQ0 ziUEgx-2Z~lHJxyDos5t!Juf3vx=5RUoeMrn_C!6;9BJWen*!7yFaGkgc;T)?LnD$M z)3t1}@=x-b$C}bujf4wYCMXj%iHCyW@H=XM&lw@u#aGFl8#KpB8$;gawRUK;3~i+U zjs?p{4v4A^7ku7w>(cc`(r(8;vKtwl@sk8BxxYEv7Vof z?pVHSO5E^lhYF+&cEmji_g3ra4;EXCxze9dHtprDs>^dxGz1y*l{PK^5^S@nzz|8< zLN~-_tzL;3?-s<~4L*)yU6M_B-`w6j%%IXsf&qVmk7LL8v|$GC=_1Ctl^^YpkX<;V zr5oJ;@MgD@il5e4XY4Q*Jp*lat+_X*BMG@`e~13m(E^aZIR9h>y_|9sBprQN?oDyY z6Lr=9&OVawh<-QrWI$-ku1K~qerRcPEzkfzQ9;OYtBI32b*!Yyg0N7^-^agXga0~8VXo3B$A1#{ zyp-Ms;UG0Ji6)bzv3^UUQMujYVk)QlD$OUMNAe}|SOR(2adNZ6S^&&cU#=9(4+Qwn z>H_Z~DtJy~ISgd7-rs$t>b&SIvAl2mW;5SoJA6}WkL1$QS8;HGz%^j6;k(Jg49BEnH>yhsI=-{rhg?Ji;l3)I zI$I)OIf~SObqt(ROTDQgRYx^`H~O0lQ_@9a03}lB9-W*Co^_bXU_Kl85W0(D|8XO= z+D)Chy_dBBIeWlKgUV#BGIJi#%h6UF&nD zzIhcc^)3Of<9tl?l4rQSw$X_KLiZQ^#tgqr@fOh~==~2_wpQ=b)%t z@nf#PmiC`Z-j7?a-kv&EK$u{I+k~m(C(gTEhPwE_HiORdN$lr>#1hXGc=-wOdwdlM zMrpU>>_<+m;qd+{%-&cujVt6Mg&&2oPU$g^u2n~C!*flVab|)Yo_#t)pfuWY9qL@QBV~AqyrxYmoX)aR zFSJ=!Y-BP!*nEXIyBb#7CUFUain&EHP>t8t!)PwNBT=d|E5f`bA!98yv;+?; zqjti%WlQkKXe<6`!(!cluPUEiar^v??c;N_G{MC*ZMZ-e1=5<23AMX{pm_a!^MzM_PY>^gdhkWxb`yu@m} zo|u6cVJBzTrGk^1eD)U~qvC(+2az~$ynSqlUZ-EM$ISHhYXwU}yS=Uo(TVC)Me%M| z^}CaejDY|9@WU?9UDtu>Q&#_VJmNi=NuW?cv^;CL$V|Xw|30tC)LUnTBFxGRY)Fgl`mxX=YIfhX z{n41ilUF=a&vv>o3(@XPH+;$z5~o$2To=dhe~6rg7^%IEFw?^cyEO?q%d0oXF6Q}F zli>%1Og-@LRfbn`tWoMd4KZ~G%mfv$BY5= z;6n{&5p@$!8lqWj25!V|c}8jL6yBVQw?Yqp1A@i^IQYBeE%1m<9OgwR&$p5NB*xqG zt2fa&6(VtwWtFi_*DUyIdzIM`D8g7|uuq+fA1er(9L+*tC5Z|%@z#42(9qGykEa$ z;cA}N&=$kB4HGS``+UNZtMtqBNMwo@S}54S(ow7qh*9I9*IIg_(8QS>cSujm-JF8y~5&m6OT?x+5thiVNS6J zXjFuCR{5eOW}FE;X5i4ek=q>b-Q@1`yVM~?CO5GK((W$5Tn+RwNh zB??Gv7 z8*V_0jPL$A^I~%6YfIj~6VKFng<%#o+J%YzAA9ML)QxSL)HK%9;@*2(>I&@PeRKk)9h% zn-ZozEv0-7-nXgXfeW(`Z3@>T*WK=}-P7^$#Z$wV@%8cD$MxOu$Mx3rapjTT*45Sa z)pq(866N>S5;{P#5jslP1riQUuD74}xtAA?X|RNuTSEp02ClV$?Y|nc9Jgw_YA9fDZ(w2Zx&j2TcQ7{oTk?e% zchKiR_=!*5wA~&b2)+r$eL;PSOa8(*RNeVlAyh?L$)LA6ZUiQyD_` zU2U4)_4&%+*<8TE7*Yw~OpUJ0u+0lGPjc0B*Rsz{Ebwuw7OWY*J1_hZhd+oh%*4Pr z-0mBHZ@LrYxqZL3A}dzmHbl0pgking%R4dI3;(7LfeM5U|B8Rh zx#{V5&$)qXnayi;&*^~>Bk~3&gO?E!gO~m_ph7F-Zc4t&>&?;ft@Df~>{#3Stp29= zbhLd@M}K9N-qNZTus65BDL_M|kGzbyz8ql^4iPoL`0|eZYN@opyJeP-n|Hota$yAp ziIji@e_tDKe~)laKRJak_2_7az4)WKdNuLV3W(69(yY?08E`hRuPh0sh<48Nf!9inV?QOW*0fF(`k`h5UN+=cnU?+--g>)GIymue0+~XRZ zf&S{|Ix>cUT9dk z4t}>IA;H3kmf00<=uU9y#%TL4j$(H&iJ16MKQZlylq8+>=-^0uH{)cFltcq)by+c} zBQa0+3r60f&#*fb=Hi&InB`+`tYjAA<*KaZo?&a?ZegFP;pVO3o*$7!4-|e-tUt@N z+`H(ws6hDUgn(Cz_DfuwC*wg>O*n^ zAL(;{IAxse5Edj%_3JSRRiRY{PUZA@g3$}%D;gVnqJku_z~)tZ&!C=bMnD$IDrQq%Ycc*|0ikLl-F zNmM`DC|`qLW)>*Lp1zu>=fZ~FYFl!O%yjZ zA4NU7$CI=hc-5wi)U>2#rf$2bx^7o%bQ`t`$DJ6ApKGW)fa4UQNTJD4%Q2DLEIA_^ zPK$o#2;G1dcELG$^=M^qo&ft18PL7>zm#c#(Kus%@0Z8){}R)P+}i8OMJ`Swqo=Y@ zf;nQ{5zjguRRC;SoWWf^PlP+{>POx5LxwjCV|38`zuyv>SlC`bVWUz5V zeNkjow*0@>b?=KAn>a?bBaz54NRpa`+FezOdfbYqj8%>I(K!rSZud@i9)Ja74uMP# z3OIG+T(br~jwpD$els%r=Qow`@Q_VWZEa$-DW(f zAo^X|zdX!ahIrre9~6}-c_L2DrJkRE^7>L$8LHCadlT#1tm>VbcGF}TCcR~s_I!h| zlWQ~SX9vA}GVPfaeEzaazKxs47D<~)yIowe4by4wkl!@vtG5q*NcvIv9e&z3PcQo! z)7g$>TN*6&F~#&4zd!v{um9Y>e*3I8J!ap$eZB&<-O6}SL5wf5ZC+MtyVO>HwrhGp znNOyb%S4Klxz)=j)BN`sQYN2hl=G|VD?>7=d0zg%DV34wThgn@f3COv z`t{!SllPy0S9;q|p6-{w+0%3T`OBZ4UjEKaas0g2YyWiltJTlX zzuNxgWb1$8^1sI0zViH^w5Z+72NklSf5!N)AX}z*MZC!W(n$IJ z`(tAM7c2j2(^qSsnEttZzkNH$KPT$Mt)E=}UqtH1uU}06^^^WYZ~1e7{a(2)%b(Ye z-~JmtJ-5HVe5*~5*=M!#*P8!i>mSR1wdp6$esUkjhC#EKcZz>hWB{ zh*C4AmQ!S%LwwYfSyVhnlw_2~TmHpj z1|w9rNR=Ehp&xS*rOGa`@DD;T7@_)44jF=Y)x<{V(i+5BLV_jZVJ@MRZ5sq_ z50kBy!OSw1qd3)nK$J$)xW#m~rCbc5GK`9Jio{GwXzc;*?;YB1&|c%vra^nHLz@Eabq?)9&|dG*c7gVn4(+!=`zwd` zB+&lap*<0_S30!4p#2|*_5{%W#-UvT+TS{~$Ak8F4((!p&|c-xE&}ao4((Z>9dc+d z0_{qN_J^PybZFOucEF*%7_?=F_D7&y;m}?J+O-bt*`WQ7LwgQr*EqD_2kmNy_FT}e za%j&3?Wqp!`Jf$fXnz3OVTbkt&{iDU3qkveLpu|+uR64Qf%a2}b{o*1?a;0T?KuwZ zcR+itL%SM(wC6jtr-JqZhjtjW7df;;pk43K4ubYa4s98P1S`Jlbsp`8cXOC8#N(Eiw=Jq5IXKXGW6f%bBTwglRrI zfi~^XUIW@5hxTgFe&EpV2-*)F+8sdqjzc>Gv`;#;hk*87hjwSszUR3);6G+Fd}ycwokxtJUCO2&FNrL^wlH3`=QNtp941 zL0ss6=JHaPaDSIj&NhnpvnZk@)K8eSjP{2&W1}t9HDwW*ybqsL1aGEt6n~Adh+t{~ z(Fwv2-E2D{v=cJUa7&9nY*;KlmI;ak+D13E0R~tcI&x0{#MY5m91U zF9h5f6z%by`l{c>m2r{^hp%%Nt^QV5v1hn{h?Au0Wv*hS)_^}%TdbGwx~kvk5`N_p zu5<}2uFwy-h`6tpT2#q|8yN96*B+<47AuwZ#1QtmdXyZd)b*9BvQ#f6L`i6=w=5xW zk6ZN9&$%WnvBU`_p(U2M>R47QD)w_%u`^x7O)dg|kiOWVJjW%x%9T;lbiEMp2Re&? zWhprf{!DujfxmfEG~s0~;!Q5%-&_P1c#1OkN6TpwGl%)OOTfgg$nrB6v0iNY$F5?_ zuIhNjhD2V*lWlrHyKMnron=uFy_QCcf_qEqP(d>LtRZuBN5Z;t%Q; z{S-TZij|E&5nn`XO(o|^6gAOH4nULvJ zaX~qZXw?Og2OUnZ4DC|PKGQmg3$3a4WI^;IaGP#IW@3ocD2sM}vS&Ph=ukt3Zc${- zG{3meWrJJs{XlQInS4Dy?l-OBRBjD;9&AEpVu)!#PxU%nN`Siq$T<;bPL#5CsvD^ zb7KcO$EoNCEOYZ~Y|!{X;{%NkG(OPyK;r|A4>Ue-vV1__q%r^1#MeUq&^^Lh2=tTLnzc%N_0*wV43pA5nP!@k+{aN77H$LOW)-AZ_X<_b> zvn!V_Z)w@v6Z9U3m)u0vpRRUL{3jNunqOms#s|Vau>P8Qqfujl_AT(wU}g8u(P33jMv;yJGQsC=Seu*gkG1>=Mwr|!hlN{Gz6JzE>m9TBIR{1QC{Z)<+Uqs$dNzn zT#q={qvn5_AI%?ISU3bj=yLOK-w2Xri=39Lp3`#mb6Q@6oR$|Or{zU4s^nQ1Ql5h$ z7p`!HW z6c!wqUaJ_~xqe~4W?_?=VjI!~$^gM~90kb>st9>W6(KLGBIIRNguJkdke5~w^5QB& zUWtm3SD_%-HKGWPrsI1ZO~>~-nvU;vG#%gTXga>v(R6%oQPbtEW79p_hSYEiWP=X%1q-f3J$@?UJO z*$9^NOZKB+*iy#>Vk`>X0~s2 zsoT8N?FDMy1Lc*I)AIVsX}L4ywA`NztuKFX4mmCNs+^WP7E5>T?DFNh9Q;fPuL}yZ zMoF}qn<3@m4JnsyNV(%0QtrBjlsm5>QmLTP|#oCy-^2qFd(-aNGG)C$!FuewplRPNX zYIBrnxH(CDl7W3gPQr&n<=B&xhRP)I2M_e9D)cfL8r@BPhGMca6qB2wn9K~NoR|0j zUm^EVTgAzpY?B2$P41l9xjpxGHh4siv@45?W~^l&&yjUbi(-oxgdVFqGsIpWvCl{B z_Yntt#6cf%$VVLZ5l4K)QH#hbWr+?gUaAYp$-~r`zGCU7#=A zI5FR4_0R6VYWA9yLfeoe(|##-{=gNc8SslU`ww0*Gk@U7^5Mlpk3zX-4jtY<(?jLh z2v1jl*@cCfl_PVH;+(=x1AYF$p?}3k;k?Lu8uIXW5Bz3l=2w>Ijw~%f@S(+(Bl{1} zEFV}{#An6Cl!c$J*?-``y>oDT=DJy%>2=k79TXiPE4-%WF`Q z>T)I#%8!1yZEL08>?r_A!IO1WJb#T;nn$DhD?QAhDve}NmDV<>G`*6_*jH&&onv2R zJ zvR7YHj(tgOXgH~ieMzXHB<%+(wb$K5CCD_#w8~CuLVZEy`PU&iGVa>8RV;dP2J;4M z4$kYl0K+@te7qyo4tG_EUZb;}%J@TZ7sEk%=XvM3N&31^L3ZyJtJ0cmpZ5VmP0ltJ zv{M;>yF%;~YlChouXjK|M1PZmfY2yodv@>ii6HIDxR_@Fg8!|sF3*k&xj%G`sQZ98 zgW`|}15#a}r=Yk1m8u!Fq662YeGr|FGZ*(1@F zCby04o^-0zvf_`x;j284p%6D#pi=IAj!%YDa%mT*z+# za%bqeXL_Q0Y`PmO#e24mO?6KOhr-_k!`*X+T~j;H$1?o($sOl+V}VbY$aDBDaPdjT z^zN}8<6~3f9*7`4IuZ96;3Dof1_-|nwQFp8dh())?&*oC$$zn84`yj`F3@+t_1MnL(TEzy&;(2uQ!eq1f|HlQP(u^o`xp{^%)OzxhU+_8J- z^j6Cg3eg>LX*=VPsW@ah4%rRJJ?Wy;-8=W}-nD0U_xRN0MQnKIPwm{ZBiN?)#6@2O zNOf0oF%Y%-iGRn}Ww@j+!xQQXl|KW-C*N_@^GA`s+K_MSg&%=Hlh}abS)(M!~Bw%irfVq7F<_-y%J0@W6 zlz=%Mm=qtnb0Y4HMBH5xad!m{|EoOdZb+xwhCPXYiZvqS9&yM$#95@y~HtAB{u)8xU@EU)jGfbJ>wOOMiYL z`bogp)6O0_e4q;V{dkV|#E5oFi0bV-XYfIVOstE0rGU-^sM_B527;yAv9tTU$!!x> z28HN-0E6OH{q%#Pc|ABTFcHs^HJby3QIyHg!lXj17n+ZLQ&Hz^7<#7#O5rA0FwEr;x z$#gcY);j^IcF1@65mq*j#8Yp!wC!V$AMWYtna-sn_UQa7!#EsywD?c?=7>Q^<$cEa zQzLj%noB_}%df74OkaJ!D;IPq0Lg{4ZUYnWO(6Z86-{rqm0XBk2XS`;Wq;S$*2i^Y zffEmX`TQ3vKNC~MqFZc#gA8ED)&-O2Utp07(WgUjbyXaVBEBEpqA-U%J-M8lt1^$M z&!kq3F9aZ2F6A;rIVdb%eel4c!!rxaHxHJqeW3cX%fYQ>#3}2t`5C<2c~HLJZ>5{) zm54=TT?+^wPVve8`P+7$i+|lA(-XUQ<9T4P@?HQqEAQN~=?R>?;Z>lXf#3AlcCjO1 z`<`uB3A|)$xCehV(4LC0r2l;s@tP>&wNb?DfQZ=aSRC@cIOM+psV?v*<3c_khkPLp z`34|VC4WH%7lrUmTva2!1qAKZ*Atu}|5QOLp2Pes4*5kK^2<2nCVxPxt$%Y^h`zh$ zYiAK(;oDpOe6)}*ASk3aY`86epsy?6v}qZq#Q?WZnRraEW!wr7HtVWq5w{MD0jCJJ z4?r@NjuT(?<52dSI}R)_S_2|TR!8^%pg0I!gdI2AcAh^2$13h4%aEwTgMej^c+myp z+h%%4NBebxI9oy=9e>cy!jA5*$gVi#!Z>6akm~x` z9T##@0AiWtVt+oCX&>)LSVp-dig-dm5lhHk1=+SIINf_9Ab)I?*s(XhXDjxyOiXRJ zBorp2eDRq+w3kWCWnBa`<*c#q>Gna^)PsA-G;uaHeONqEk zf#W?oR^yz)X1Q%Is}g3_(mvqC&@eSImU9iKXu$ zh3Gf0{1kP?Vt+{#aoC@WCFqDkz0II;&7y;_OrHE*H0nOvhp47dsU z`gfFw@G9!HQN-{15t+_Ie$CWVZ#kg#0>2Ck@xmzLMSg@;_kRdPY#MWY0_Mg3oU9VR z1c=Cx_|mA5m&JwraR6c&?p4uzUL6o(S?+ZKh*jh_1xp~7ls5+;mbPz+L*A+&6_euk z0#fa89}47S73iM>5UW5R2|%m@{aXNHW%MyXIOp9qanZ!KU~B&bP%P6qkF=y0X2qWg zWM$$12b1hy8h{RcQ(NdO(P!$~ORs75h7JG2ab|vGn~OFxC40I3&eV z_!oXDRzCbBOr(doB}NaADpoeX4unsL1=$scTo{MEF%EePAl2HwH7?|BamWpE$U6Yx zGX2GqJ3S#HzuL}Aqn-SFe=MU@#Zkf8Z{pZABnih(~%BY6}wlC zMiJLV5r5AGf{(hkPvSK{zIHmZb4Pe9{Pjv+_`&DPqdC4Jig<%UgcrTvs1V^D9X9}h zE+KsT_C5>@Tz1$of!84?!f#i75xDAV_)-+{Ad zMiJkNBEB6(d?$+dZWQsoDB}B3#1Eo~A4U;B0)HY>yFZQ!`AHP<(N4#06|?=?kIReboO>$Jfq(Ngf_+l z^Qp5Zc5KDx?8kL!-SbpHT$TeL6M*E1Ru+f686|Vvco!sOR&{zHkXr~I$m^Z&`gkA- zQW^;Cd`g(^+=4@$B85(H@3))aik>wMsQbKEYRf7`)RqDBe~bV}GIp{X?xzcVy;Y3yQVSsTn5{vq*_sr_27h*CiDCrGN2i zyds`aBgNnBH=kV+T&jWioneF7=xK%fPeLcgyeLelX{UbwtqeH{z z^bQUU_m2$r4EFa9_2hrcfq$prv#JjHX+r!(-^r~LGv|$MpWJrI*{}s4GkRZK2Ayw; z@ukk4yLL}*pL_z?c23QR7YxYBhkuEsM}=g$9TM>Q$f-+awq7tcxr114_1QkQV^8_@ zcrs8i{4v+9W8)L4EO%eL*>J|p%D{+%kT*>C@Dq<$VK!l^ou zwms9@;Ke7^O8#werp3lC8r!x<*pR-pX9ph7dLPSb{B$DzPD=i+om0DK_Uszpd9kR{ zJA*7pTX*i*!J58ka<})>o`0oA#?n+ZG6)uKV6_;sgj)<57y_@w$V73Rgq-kB20qkQ zneNyI~R>&VrF{lwkfI^7EeQ>Qf78cTs(tUI;U0JU|Zci zwPymSQs9oUt>->|a%R^UK6x;K2Ei=2X?MrY-51~$^%937yaujM!GE*8#aBkVyJaUv zn8vL1_Vn@E4s7$hwlKvvN|6@q7tEh!ItJ9|KUdK&uz`+^d)<`XEZur)V%OBfG{2Lf zI}`d2KC*;QEBPIq-(uLgV*+2;z^A5XCa2Mt?BI7CcGGsu@e=vR)e$R%va#8fvMJ&Z zdh*Yl&Kz1UtX^~@DSvK+45rkbWBY~jb|4kzYu5kng0jL(d*jg4y#ZwCIcR*vhs}&z!sGyz|h` zG`zSgiC6?@XeM^G9Zg#u9@{k4zW5TMFKgC)U4-f)n1P4@A_V?BF?3<7}RfBTGsZCwt85qRc^+X zvLbqNoALWBb!fZsiCw!dsC2HdAN;0e;dEJr-qzIC`&X}*k@XuL)awJa^(x)6alYPX zIsy!kCe)pBwLiIQcd}L<;oTYQ$icK3Ytag$sG?@dN`FV-U2ZdFb-v)ITF6P6vN{f} zR1v53-noCsQg)5!Tg<6!juETpCF10cb<{wIX3ARrPNuRAhwj3lY^I~`bkL9DykCbk z_PVgDzpy+ni~`6k;hr>A?HNExRqs3@jA|yi>ejtDy;$WewB=Ls zhYlZE*|%?G9)7?}{_ySc}j2!mW;TtkQ8#x^$e&{yNU(7{<}f9OXSQ<9LVn zC}$En&SzOV&ih^+=lzn7bMcAs8^QY^6~}ev#(#ewX%D{f_)(^H^2f64pO&ky-Lp=w zb9QC$+UD2kHq95eaXPNgK0Il$^blW&PI-1(?Aper#8;y)rwd=|%FC=}t@Ro0z}R`M z^IC86KZ^FKb$aA!owvhlEcq8g{u^-3v(7Vi{xjegf!F5{{?Dy^GzQPiL_T|Ju|To~Fow6VJjgj1|CR~o0Xn*f; zXy=3Wj}GlT(BA3LCO~_aMWa2DPsY5ukT$2{ue9QSvBq)Mht793d3^|S-m3I}oE3lU z8pkswpSFA$^5=OLG@gG?UB6CU<6c&zBTbxfA78lEbbX$1(%-A({9K(i?r+t#?n^ZN zZxsEpO3vx({C!2&@;|SxKcmig8Gl~rzs<>C-BYx#M*2rp+D8=jm^z=Q=+~-i)-CjD zX`Mc;=^hfq@_~S+y<9KVq#9xB=8x@`P{7MynBI0jQ@hcFge&jvf z!mxd6`a`XFzZLHb#2*o$bDYpJLvn5jj4sQI5vQIk`%e(JZKG|}?pfE{g?~yu-c%Cu zVF!^P`$Vokze-+{Cg_}Zhx8!+6JXT8UvsWC-NjKJ)AX5jp|PQOUD=^LL-abjoDO}z zi+dLEXgjra#=TAJ1CW7p>0akbq+toJExD`JtKsjQ-PL+!$Lo>pMAsAjNXOl?TK}w_ zKi836S-xvq5BO^m59QofVSmA&o#-F;cQ8+1c{J^LCsDr>ZI33u_I>b|7zciZu?+6g zddfMMWB7z)X~LCfUOrqP{Oii4_YqA*Bi?iH>{k~M_kQ+S4=NCz{m?CsC=mYq3$GQ= zNKGy)Z@u9*!k@L!kN@D!d^D2l@!BtZg+8AX&Uo>AA6Z~|%nL92V1I!?ctO*jJnw6w zkS5#PKJ^}*M_lv4cRrgrn_SkFJ#59=wP`10u#?i2y>|8TRpr*y7&!{}Xtl2942mHW zN0mm6gf~c{C+%k8JxKPX-CJ^b)6hA|km)mZiRX7?MSfDITRth1TUJHL^f}M3N*CD$ z_+|3pp|;+W2s&};1Wm6GPO(i>bA;e)JlX* zpL0FeQ~hxg6{^3gGE|ja)}P;=k`IzIkulD>UBdZwwYJ=-@%pU!kdLS&Uw)deZhrD* zSCLcZ=0|I3nm%h?Z#(jo?M;5}waQz(n9DfzAqFiy!;T>SAqR0L4Y#Ky8hP%51D6$)tc+*3 zobENYMhKkdarKH_W0Wf7=i*(vs(i$oZ`@w7Md^r|&+It$Bu(qfTOjp_(CCZunmFaU zbwnC|;>G5#Lw_Fe8q*EBmW^n}U+mf~OQ-R!&$+gYUAJ2o+!FKSin_4t#g4Q5b{!$D z^+V8TOXtV&oFV&v00030|9AnUS8Hq(RTRF%yOdXX%BwD@l|qq%O6h~b-Pyad)9yT+ znMKw_N2Nlku|SYm5)=tR0x=O3qQ*!t(b5D>jEXi<#D4?@5@NtdjD{a1YVd(dO#ER$ zqvxENJKNbpTf!vw&g{A0J@>rNU7Ov(hA_r9{IdCTc(H02nN-r(gy{=2XZYzX*BVsA z_Fa#AIhTl4o7y+7UDN(ZOJZ&Nvj`fKuY zt(>C@KYy)f2f%b;jOp_Jn5vdxTc$9*{>5Ds<6L)5&fIl%%`^tY^UfI0nyd2UYTDA4ADofP(9r;``0?bmMDz1oprW?aBNa2;k|k`rE(|b8{FiYaBz(c zf-#4aHN^Xbu{4K2$H73n?y4DK z@EGSI>>#YZc<%Sn@B&Rj*g%rVIFD z(_tVGGrG6caStmQ!$6pB_(N zy8-lq8ok`B)G-?X>Hl)iArN)P10aHRFMsc6^`yg{yl->8;MaOWJ#gQaH*g2Y7>Ja$ z)Mj6`3YHws&cfQd9qT`VSLDwgH3G@30>%i|1@kMNi+&ysZ>dQj0}uke8%WTl>n8kz z6d4{VfjR8wOx;t;$kj9dK5#4n2z(w7VJ0@q)}tC2@hWdKO_ndv(S(^rZ9+ z0S)!k94i-yOcgGuFv>*o_JXHQR<}W(O5M;H1&4&t<>YO)X9LMGF=QLb5r3je$Qk7o z-#*&YSXVQ0AS}$o*f_wJb(ZZ$pUWa%yhWKu@l&$%GBzS6&oA^d5IA&p1>7k($#H&D z+b~2+BNUA2{<|oA<7^#-qWGk1W09WgaD64{4m@p zzCzTk5vr>PAG%b9h+85AUZP~|L2J-WO`dgogh6Q}CS~Q4(9l9Yw?!DtZ)r6ht^*-p zb_BQ|azm<=v>?wyL?t0Ec2|*aWxCkhfy(6xM@dqev|s)Lb%|hYtr^)V8gU;}x_$#Ljf8+tMqCoZC zER@d%+z=JXDjR;eLJMw|0&Zm76AefVoT2$i?uy1l;V*zr)_<Nvia-C+j@Lg1lcJ3bSMxow((|Jx5j0oSoP7fzo76wO j@a3ok;Fcn^ax)c*$t{17MKP%nrN;{+rttp&00960XBKY2 diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..754b594c63e2f6dc8daa9103ef640b5d4182a42c 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..754b594c63e2f6dc8daa9103ef640b5d4182a42c 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 41b8f32..c2560bd 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567385057408 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057409 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Sep 01 20:44:17 2019 " "Processing started: Sun Sep 01 20:44:17 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567385057409 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567385057409 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567385057409 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567385057466 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567385057560 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567385057568 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567385057571 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567385057616 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567385057629 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567385057630 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057630 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057630 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057630 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567385057632 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567385057644 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.000 " "Worst-case setup slack is -47.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057649 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057649 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.000 -1802.000 C7M " " -47.000 -1802.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057649 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057649 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567385057649 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057658 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057658 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057658 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057658 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567385057658 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567385057664 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567385057669 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -432.000 C7M " " -4.500 -432.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567385057674 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567385057674 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567385057736 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567385057757 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567385057758 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4530 " "Peak virtual memory: 4530 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567385057823 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Sep 01 20:44:17 2019 " "Processing ended: Sun Sep 01 20:44:17 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567385057823 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567385057823 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567385057823 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567385057823 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567402899152 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567402899168 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 01:41:37 2019 " "Processing started: Mon Sep 02 01:41:37 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567402899168 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567402899168 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567402899168 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567402899324 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567402901637 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567402901699 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567402901715 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567402901871 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567402902059 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567402902059 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902074 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902074 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902074 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567402902090 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567402902309 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.000 " "Worst-case setup slack is -47.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.000 -1763.000 C7M " " -47.000 -1763.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567402902371 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567402902402 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902465 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902465 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902465 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -432.000 C7M " " -4.500 -432.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902465 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567402902465 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567402902637 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567402902715 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567402902715 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "263 " "Peak virtual memory: 263 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402902934 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:41:42 2019 " "Processing ended: Mon Sep 02 01:41:42 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402902934 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402902934 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402902934 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567402902934 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 3bbd688f070741fa3917f00734cd5e14e24ed000..a52ae2a4adb4cb63c0aff187ba1a9b4261d0cb19 100755 GIT binary patch literal 11151 zcmb_?Wl&sOur&_B-Q8US1PSg02<|etyUXD21ef3v971q+g1bAx-JLf{?z{KZ_xr1< z>eapW*}c2Z*=x_#)IkFS1FJv)2U#c3M+AgwCXP-PcDAH!9L%iDY@{MC7S_h3>>TW* ztXwQ?>@4i8Y#gM@CXTKaMkb`60Y+A&Y^2IEr0OPyq~gXF&Y+pl%fHisfsxby0Wd{~ zzZlE#7u~^+b>Ex_hg4HUAj19acP^pPA-+JWk9A#2*Pb3D%*;MeGVB>-5e?l@v4z^U z@+D9=EX|%AFBRV-s#4OGLXhpi4&sn{BdmAqDQuwRmOudCy$gbbkUk|}J38gAYB4kQ zaw{H4cKN6}HFo6j;;~w_?zK8S&EaEjZ-1_PpOKd4f%$4`YGww+1XdQb426bBbA@d% zp4dw6H9MHS8A+3P92?ydOL<@k>G^k@Y51jFK~H9XePxn*>+kAf@joX4D~TL( zT?zlXk2IxbI4t6M`)hu5gz@h7_UYhujI`PJL9Filya&N4@(Q6i-ziIYDmMR@o^REB zEUZP9*Kto9KoH+ldS;ov>3NOOl18(6H5#T(kA@G=#dFz$VF}+=JC!dorf=1ChTxd; zh4#n>$+q`}vYWCmrucv~a;!qPo$#dZMHmN{s=tPCr(h7x?Jj@KzM7j*OxuEHD6ax& zhf^tZs0Ia*SD`1kc$(WDyPEB)odqBmUmkLq5UZO%v$@;Woh1B7E8EO>90o5_jlsCB zk!jMVhL4iqI&=CXq($0|l!r`z37W1Yp|_Nh<@DwYvkA91i3F_WioA< z%0?kdGCl)dURiZm`bU&#&+zZqjCS#P@rYXDkzIv;bk=A5Z2lU=+Z$+}jV}o80?As+ za|FJzhRP_0-^Zr=qxPU+?7KPlDRRTsoWTODuHJI7r$IhsgcNU)vwt%*Us&kp1mGjv zj88h!odDPjCI`0k<;WIkv+o3F)M!_}DCeWEtk3xYa}OWAg+ABJ z_pHB(Ang0b1(o(pG&U1-m^Yl$*R3QGvD)Rbv$D(>VOLaUc}d_ZIet7ilN71ic*us7C;b$r&?_OR9x^%TLTcq5uUoP7)I{@N-MHo0yLBO>GyaSa1$+WboMYU znk$*zo5=69N#M=drnvEJ(`qXR8y-_@AyP40wQ4KiHoaNIltx16QZ!_h^{zR3s8Q99 zC!1g@4eJ4@P;3GT!q}xak~yYf4uS4`JZSzgZrhk)b&*(~$%?Xt(nxdaIl^JPka}0c z?l>kphUNkckN~h8!y6UNmGUc|0^G>fY{yr-p4y~%Pq&Pt@=X502eyq((7O`;-S$Hn z&17o<)*QG3rjwpFDU5O|Xy6N7wPwDkK<~>NRr0pFmWIHvzH)c#P{VEC{2!4I!#yfTR0Y=x}TaB#!s)(A#>hvUcR5Lzl_OLnejy%YZU_tOWCz+$> zXnYOU=!8RYwDV_zL(33#sV0PYV(O0$+=0MOTtQ3-oL<2plll;7vdF+wxxRZzy3;2z z={fG^+SXQZ6`*+@OobXumn3v9P6(a(P)R!snRo>_;s*&ni=Gl}ud(Q>BZfV0<3fWC z_$=WWnWqW>uOBnPz^{c>VU}E5a8gTASfo3G)PM^L0L$$=j;RJyf`PD~4i6Q75PS zg3tqqo&_H|nNUsxzaQ2-;zzaSv~XK%nqUiGLLQmTk5^>JI%5?_hfh55)M5L;xdfH# zbK0Dumr#s z1m*sR>x=FP7!Yz7RPZW_EC1*`r&GP$E4 zb-s3-S3RJE4N2E3HF6HdzI#!(-c zJ7&wH=)8BX5LxX?)1XMMD04QDAnp>{`<}9w>H&{Y-(iOajBmlS`i9T!sOz!w@l&WT zcic51-3I}r`@q~FR+pXb#Dn|$V1aw~9lcg2!N>XpXT$BlAZ2;Z6{wXBfi9nz%qOUP zWC@}u-nS7&`_K*KE}{Uw2_}r!kW|z}RKsp7X6g+hl4lE&=Drg;b2YjZ7Wly3>$Yuy=+b^!33IH9Fw@c9%IUk%F6q7J-c zRl34a5?`pNpC&be?vy=KAq$N64kaNAj>P&Gs(eeP?j{(n8OiG8crLvHtIUb+jF_qv z=Dg;^o2R=!>N3QO0kXn2qURZE4|z}Gs=Kk-jZ|*UeWZo;LeO6x9Z;=LM&j&@Y274x zxv`i}x+*7*$lJe_Ps+f1@z_bm-spM5<4#PK8oUo2=GGp$6RrChlY!NtPfubQ&X-Y1 zQ|(Z(v`6?el7Q=4JmEcpw}VWLwNU6pceH2<+hIP*L6kA0`CaAQ`E-#kt^YDR{W4}b zDwhvehT18l4Sr6(zrz`1zR7ca@m!oL^zLo%vhy$5Jg=HhF8n|Egm`c2+LddboO^gX zAPR|>L*Hkm;G}TIL{~g>>Em=0@B|8OY7hV9H}KuP1225?lqMd%5VXYhoPU(qlG~;T zp@(1f#5Th5-JhsFLwC@@IzCiUtz*)3uv$4}z*S2Duz1!qwQfSs$Vr^H7w#w6KY1vY zH(}qx8J4*H{$)dYx%tCpVX}ds%l13!M~6tS1#^L=qfLp+B{Oo^{^Z)p6}1k@7wT)5 zM#*wVxBZPB)!C+@_M5sLwy9)RxyZ9SI-<@@hEqMSj>1TcyCm_4+PXaMI*hJ!9lgYZIqu}kOu#Df z@jIXH(TnQtupeH*v}5*s2f8b^>F1x#$`%^U;nh9kI|bjR=KtxvLml+mi7_nD0DlF!EK*J*`9x;XYy z37@marIplC6BXLPi#(w4yVf8%=8w!_>4h+o6$cnsT7A@*Xio9nMvMoi1r0tRU*Tp$*>;rjDTiBtG03N0* zEkc>I5};S2YqwOh@ji*f6pexP`PJLt;@9*yz$G4IAWo zV|Xv?{%lw=ull90D(VVu4z;o|P<`d8Tn&Y{l&%aST$!AKnnx$b=ZG6+oB2qx zUPIPMd_*oOWV@O98B|zGPM7C8s-vboX;;F5U%+XKjvvfhQu*0Fs{Z=%&77d={!_Q6 z%K`1@J8h$e^M$A`%u^-hPP?$O5Vk#mAlGP=*y7qH((Mk>y!X!5kCq#fsbFLtEZ`7SB^i)7;Qq$Q!-MIMiLNn_Y_ zXI`QOH@bdk;AJlQw|?B_rTf{&Y?Y`u)bOC^i^SZDX%mmt!l6jC4h_Aid+{>d&JVV1 zv{fy*jWLivY8Z*NKQ7u(y?`%t8j#clWb=_$gwfk|V=y}659^N9 zHa!ic=#`g=l*9o9>Q%$yeV?6GBrL$T-g`6&33Q+ZnFD8`KlN@Zy<#3c(K~ulP%qM z-#@qy7JCmzUXnoi%@ZEs6H8CPm^sF&yzna0Dwfede>5 z&d0?YC#wXBdMpFT>REU0ljGqX?ym8rCbO}T@o~FBOr3=iTs$PNq^p$qF-FF(tBsA` zt`@eN!b8#3me3RU{O?bU+3~oQH04^@m;(Yu4ZEet&_A)GNd^#9Tk9(Hn74d29fCyt z#aG*)9tL+|%-v30T)owvPalq2E4Z824n9@cX=r%w4~dhmFaKy!#Uxe3({Cy00Z4V$ zmVr(MH<5uU_}p0Vxf8y8K05hMn-WvKv?6S_f07g0KUiDYD*8%nrprWU7NNu5K$FViU$QDK0mTrUY$DkeSDq2Rh$Vv*F^BC3+^Y%Qh2yJYHUhb#rQ}o@oVyUc^f@k`MQxuZgmtwan3~_XH6N)@gtwFrGS>#vk^OzCaJ#$<-^AF z^HI$~pIbKNdiir2?@3Y$S`H#KgEL0}zF32Ca5bWkE%VwBRS^Po)g_%M+b$l$?sL~| z94e|%2fS7zay9g-U7XTSuiC4rW(s;p%{aQGseQ1@fegztY4ARz@ zSN2x+c=H4j>R%U9`;@-;OA}jHG!=4Kv(b9nZjOke?mAPTBbAtF@;kwo%gBCUVkh*5 z{Zd4)W=oBZ`C@GA9}K7U1z-+!C18%d;0S@{MtSM3Mb9u6s6&|B&?la3ktm7j8s5al zIKq^LeXJy&8j!6OD3zO+K#N^T{H3tB$dgbFOBOz*PJiDy!NxsHN=3YHabCFD68Z#F zy`hH*r43TVYFTpE!;EY^_;k%4tbdxMBx--GIAw!`@R4V%Gh^!m!*y^VQ4)!2U7b7j zcUgv!a5v$A@SHW4y23KxCn@#4$&%Lk`@T?>hZ;s6fF@M4pGM&W)OEZ)a%k98TNuVU z^@$;3LnvSEN2rv29+n7Ir}Q?mBiTzxsYZ{!}r%?niW}I8EQG`e*K(>{hMmoaN z^lq@v2b^1)QG}i(!pnQ5tM1D9^0ajuJq-q&+W`;@>wbCfc-4Ilp2iO>_5j!AIksP<)xb8p8Dyt&^G#Z(chpkb!W-S=Fx-;A)7QBRo^rKfc4M>~tnqda?hQHmCCN zZSoV0;`dP?lihbWZk8xWHejPDv4ja?p6Tc-XBFO<2^V7&)PBcb#ywfs7Z#SJv1jN0&o$HdqkiIwjiz59#%3QB#B*-r5erUB zQv}B!Uh9iGfo4RL9m;aft59%-^NY-oykvS79E6szM3)qCZvBMy@a8}nl9*rxmH2_& zG4cJM=NEHB_=fcR*+qHPyjki+8v?S;>rygs`p4<+9RhNB0!zO2dkx~6wNHAFy3Yn> zQ{hweU}Zor7ycQNxMjZm0J%`9C{=5z)OM*{T#RLyQ>Ei2=j! zX!0xbRqCjIZPzhwtJ4GuDX_%ikr2p3N$|T_TsKAmSpL>_ZEZ8){oL?j*>sc9z`W{? z;^(^hR-zk$aoL88F^IXny~xe@xs(y|kHt)gzH}s|-sqKs`9&XmXcd`GF(^kfF}n{x zskJC>+5Y1-0W#YP!}-PE8u=!#rBZx8lcGQp_E%Xx%1iddFyq>cXg;@A!QAm@9D@3rb( zwH8(g5AnM+j)k~^vVn0Fi{3k0A_61ZTC(UJFYKpfTuZnfSna)$%Imn9ElL-B{&f z9gn4Oa9dOtO1XC1KBW|KH7kX-9AwT%;~wmqEGwH8I;S#pY)#!_oj6y+_tC;L7BqUQ zy-cQl1Y$(e*2~ssFpa`9@x2Vbnm&KL#Pz@$QYWtN3`)Jo!Mxu^DAVX!PuEeNu4W|n z*3Z{b0z>BO3YOJrfcT5fS?)fX(+RfOx9){H(=NOb^=?mfZcmKl8Xj4%bal!^CqAvl zgNBGihG?aOf*w#|F7VdMG91B%N)gF(v6F z-7#BDSszyPq*D)BkCbnLm?zKYkdYXC*MS8FuFkixLGAbs_@dHby zA*QwoZKLB*c56xLZ_e?F#V}flm zOz>w}!+2c`eQlcO7k(}uM0ylP{VA0wTq9xv{=Mn;9E;)f z=PWOz6-%C!@*zPRwl>Df`9}TvRK2+!l0SC+$8SITk0nV@)x%!yZ(Mgu)0;b~dl(XY zX7y>Kemm{CuBCoE{$o-9SmZwz@sEWES#RW3-4`5`40l?SC}YI#Lqeu0Dh3j6kH!aw zp>D%r$bK6WS>um$R+o0-q96h3*q=ODja$|mVq2MC@zzZ@##6GZO`TBDY77M0T zSYreGAc6BCl6?B;oJVPMAzSo!k@_Hu-9gZO7KtlojH(8ySy0w?Ux))l>-Xb^Ls%i# ztra;I5B!m68qZ8*=rl;tyH+ZmSdXmOx1^=yjrOc##Tukb@Kt^p@<$1}ex6>aoXwQL z@kmag5p1v5CTU`QB-mpVDL^py1PboM=jIhYiBl}Ux>x1|>vL~1rUVqwS4(A)5C7^~ zI5P^LW*&}MSU-`rA0#p(j$LhjJ->h5Q19mSePp%ogz*&V7hdWwTqeKV6I|FGrqyHC z??d_~ zk@l&2D**M+Qd#Hm1mDbMI;<40HQB zjTs(4;T4>}8Kb$E0vS^cS^Go{Bc7x8hK^q+LcM?*PQCQl0RyYp{PzeKU6|s6Ui*QP z=QS;(10s>Z*nE_tr4&{X?o|}A6S40;1_7-@%(8~Kx{0n^Rm4;A{fY-Hiip8U7E5sH zT^pkLmpn>%4PS6s5_k=1)uOz*tNuc>s-fUZ>&ZspHDv0~mKQX{+IA_G zEH`{6pGGUwz-3soF%kzpaHZzq`Umr%oD?NX6iPad^&ADPqshWKG?DAsx_nJ}?8Rlf z#ox9#aqtXR9zEKa>N^nk{6-lQsAeGT;@mW^9$D&9AyB;8hOMxiDtnlgJ%4Moxa0YD zW6W?svznZK(N(@z*{)D=&4~zRbdXTry&gn z-(%^BB9wR#FB#lVx-yHdh+Em`Pp77>E7h@U_YfSFqL{;*Aqgo zJ|2URP$H1g+>v_vAQtGZvc3{vx*rTx{%&0ccM|DHhck+00~39v)A(1&1cW?U7t$xL zQ=(MH6+U~5dEF8ZvaX7Vsj(J6Whr~e6xVg=OpySB#yZHqa|(MN|4h;0t^Q3&fEke} z@zk+^fm$QoE{y2%0WXSUg;4K}h}iT2FOJ)Qv87cj*RlCuv%`|(g)D*v`68l?g}xF! z|GB&b_ZSCWLkd^X@VYg==cNhygy9RirYx?1RO@WdiwGXVJBpl|i6qEZh|gpIDE3Qo z$A`EMKNTrl@aSD_fqj-7P!XM5g+BouP*VcJT2h_I%E-?M8o@yhopcibp;=oE_`ksd zCxkrsILn8*X?uc>^AIep38^*l1~9DE=0=j)B3f(w6Vn+aA@O3{o`pJsWX9F~0c+e0 z*PeuEuMn!ETSRT%^9BZ+Xa5EPH@3G0*!#3VZziw7ZTIzg-fDZ2=s@DM0IwU+ z6dH>dSY3}-e>o9cog+w+2{2}HW#=B&Q5=&V2Y~*nT+kJ4Q1s_D$(Non882B_VzuOK zhbxXYytoXg7Yvun`1vEmh`3rZ=9n{*Honz3EnVKICTrp+y|+k4@+QWE{3k%@1w&9v z#5=mW7dj%MQ3wMcw>kJZH~k8bGYfJQemgU81pQT83w2Kl zR|D*O?y>OY2`VuAt2jL)6u0N;0HcZ#RoyZ(tUzdGKS(dcQS!LDkkvaACbqoZ>aWQX>jdu3XWr81JL7l^1z{DKjdL@sXI&3Ykn zP9l}9l}9EEGgZx(&6O#DY5VDJGv0Me4 zz5x6<(R+L3A9OMbY16qxELCsinRC#P{~XLyD4F4|qOY6OI zWrgyr|0e$b8o(k=YkZ_5D`Q0aepJG%#!68!{T>29Y9sVRCS?*v(y`6mRmKD;~qr0egP-lzKxdPJwQSE z5F;sdNyHKtlcTrm)r_(@kAVFv?A#TIqZ_P1ef0CJSua@O_8;s&{u?Ks{6*8#zsPm= z8#}AkJye9|4nEp{Q+*AoSadRgzS$TP_5<~W{^5|*S`7yDn2Vw0-2_nzr`tv$Gf#Dh zH=Ok^!HQdAU_Y!XTXuyHjw(OR-Wn{!I^iehoey574v*PQk7$NS77ggQ0y9j<*!gQe z?$%x{zXxe0{(>zfYrQD#J7R2kY}4^G*J!4RyD@OYWUs+um$8T9$%vV+Lyn`^pN8V9 z@Cx;O!+?53z!I)Ilgi#D&ru`Y+=5s;Y!A{{Q2cb?l4|~j-P%!3UGEPn|AU(Up#DE- z0zwxIf+uhr5gd-A>Bi%BkR%cz=k##Jbuqe~2KGv`j+z||bZ!eR%g;19jK=8~A&A1lO*`d4}Jr9XrhfVfiw&nSPaD zRjmtOOC)n=zL~|9M$y3j9aLC3Xd8uVZgb`Og}>rqQwN~;`bXyqVt===C2UpFIh3lj zzMK`NJi7^%LOw!?_nsjkZz@C)n;f_ZgtKmx9`JKDVi+v74V4`=t6zX0EUgUjzqkG* zRr|kcrKQ3v932w^?FJR01l6Tu#9lKl1!&5&|47}xWGoULg`Gw!EdugQg+pCeZuwapATrGh~yFzn1@B`5pW#KkNVG=l!qz zj{eHe439XC#A)%tCY@*aeDL+JqAfOt2ED_usfp|DfL6=zYv2{Frcc#4s7O8D*AsiI zV7&H_;d{skIa=8H?M$zM4Sj0^Pf+)Qq-?l_kpiB;q7rAfS2?!aNsq$VFhPiUeo*QN z3)&yAdpDVFgOMk=O)cg-Cl~fQl7afN$W8XhaXz7_;nlw}!J^f4IG%x-BWR}N_v$ST z{^&#ny+8g1L`Z^&XE<w?De+@@2xrFJePT zIc>o(3Hc?u2h(7mT`c3%Jnhc)DX%)XluCTw_YvrjTmtu zFVy!6=JSUy3}}qL&WikewDcd4Vl!+Ne(pIk(Apmjdl@W)g;ff9cuPu&=VAv4 zK?K_}|I9RbhNG)$_qMkuWz*AkdG!Uoule@o4!&h(VA9yr*=c`s9xR70q=gw4sFS%R zn(4D8N|@!Xv;C6Qs*@$^*Nup1DKs+l8I1DIENcWN91fhV)X_{ICk`r*6P1JA9&CtK zbl5l!jvF;_2Brz-9#$C97y`bARR=7=mCZjrN=OU6l=j2S{5%^idLL5MF(S9$lt_rx zh>(nUj*PBPmX5BDj&2UK$qvl0&NF3u972f*d@1}^+6L;tJ(xm=yE=)wzPex-h3Oy% zt34YW*sKk(`XmHmLN3P->?<&)y^@tEir*l~lKN^&n74OAC8TrK!Ed(j)csyY z3wM(#l_7xtWo1*w1JB*Be0V`oGV6KESiH=9)}0 zS0m$lbk8wm&qs0s!c@N@(eA%Iph`R-_8XG_4yOvgaSNFd~FVQoyn#LPs%z(&u= zM9;*)$V{MY^4-P4$b>+_z{rY#kw96BK;6WUK-Ad63Gimo;-BGwfLf^jQ9z0ye>EY^ zU+o5buKVUlI-;5^1TqRjh(PYo^UZK2oW0UmvZHy~U&@`f$}lvkD~_!>Vd!VWQ*>ci z&1KS+(Q?LvP@Ea63RD?L{PmZZYo7t%-cLbA@F9eK!CtVR<48wNPigbsriW8qt{yJS z-{q5>jwUi+nEG%x8&zHvY*ePBeNJEt0O(YBRwu|&oHrm_&}|9qqZAi zcqef4YD5=#JfH95hlgsOkjt+pmswF2>C`1Ew~RMo|61n z_bwsodp^j!gay$ZC-#V0o2~YQTtD6h=qM~BjWB;oI*V>}p*@HV1kN(7Npg=8tereP z?!w}1hV=?G&YzKY@Y38FxfX4znJo-|xO@kfBH}uJa(TPyE|146B;CY!R)Jt$fo^u7 z!GravV!k{1+X6Gexh^>GiBRM61zK_a+EUbexWYnf*mZ@&4J zUf+UJn9A9G1QIf<5mo<^1nHd$hSA8B)QO49g%$Twa5iAgr~J+X`4$nOz)IzX@+&)# z5hH>JkQ)O?h`AC@Lxh@o7Tuj-BfhIRw}TbOsj#MAx7bUs6y#Uu<5Wr*8tolHo`A&r zc?6@?l0XCgE*`AM*T5l)_8Cb-h81}iKf>b37){NI`eNjE=2PmarUZjb7l??FL~0%& zzFU_SYUep~tHvJury5F|yQ|RMmPL6#gmq#2OS74_7RbscZ+jm+FU!l%UZk7p8lW92 z!qi9ZZ&yMaG2B^&pmOcLv6k=!{p9D4w0!8gQGMd`z_+>HOaZrpT2YU`s3pPp7-SG? zx!$_aW-z3s9dS&qW*cpb^tWg^vT#J$9v zvsNEuC)Yh6Y>-Po5ZXqFkKjJRIVo2qdlm0R!8v(Pn|l@TFU20ZP!T_)kwTPU@gZ;Q z?GSZ?hY1+-j29pw40wR71{y0ssWrg1<^3YvqNFH>*If9!;++_yOBsTis%4Dq6?zeM z*H7RFA)(S=zp_YZW=6Q6nCqx$sHgOCiUc>q2w7s!XBAz4XX;G%D_hx*(kg3&8DjC< z@nRY`PUgR^S7{OcaPosg&}#&Z4t$gJM8~3GVK*Pz302%U=`)Dz_;NzRf?vh^6Max; zLdTOOD8FL~WI3pqX3hrMm_9l;l`s)uZ*yM+%%l^)grHD&!Y{1w18ffLLd-MwW@deF zYVmnZfOW6EIafB|I2`?qZLD!7tM$Q248D@ldJWx!`x|BlW1v&58y1FX$L=HiOw_Nu zz4&DtqAkDiLk6iSV$V_Pk?oupnnl5~$(bX$m|1E1l z%Ox_&sBSHiVgF1D+B-X7BWw%w*T}coU`5Dw!k7{p`^^BStQxuH-+ihrdLshMm8LYZ z#-#7x(z>C2@I%FXT5H3-DIG)e1IRN+ZSGbO$F-5;KXo_1Q!G^u4Y<{&kPMhBH&L_A zY!al}&rU65V#TSSQT4%Nr;ycQG;ORQB64va zZzV?h&K-8+!=Jp1p{uL62#m3fFVrq#30yM@9;YdODcU#Q4wxxs1A@RQ$ZE{Hi>5tV zYMX3w)aFv2;SU`quq^smqQDhOh-$%K*$|{QxO5`06b6NBQFQ~`b3Ig23ukZffw(Zv zNc#1A=j20DDH4%u=n6bF|7+kL`Hb;&stzjQ*7l?p)la49vL`>2fojDwAf>8~16yeH zZ>3HZ(ViyOHPOGB%_s82%i%U|ujN*#dq`LPve8+d;8P}ts3f}0?j{abqlWqz^t?=c zYu~O4DtNH1=`OSF4`{_~YA&VUz)tG%zlzrZbM)^x(xjdzBF!UljFy{^C z7e0}hJ;8vCoGHfyJV6;wg8fve36W*@6OVC`37)|`i{dC0X5Thoz38Zv#aX6E<Jfz)hor<5VSk;B1m~1}dd3K} z4hx;;J+~V0m8M-&|8m8geFuerAi9jb4>Jy3m6jRoQ9`c8a2GE#l=%1Jofy*?tRDB- zh9j46_7Z{F&S+zViGiD7;Cx_!vTwEz(F5g!^iK2~JPGW{KL|M)mEp8UetEVd%jks< zZCCx!QTMDCfA?!PvgU3VTkh0GsH6Jg!yY*l#QsZ+F4dzC2d0$7NXU9Lbgx#!F06!? zBYMg0&e;&5+kVFDdiASNfRJ&Ub6l`cXg1#m1mXkC^R?s;@rUJZuc0`6(DUAh-UscG zhhDyKIcL{)pmEuR;3FmQz64TG%Hnh~ZP79M$AJjn@CG|x3+${U$z8fTIQA4k;nl&O zpf4TwH^^hT{*<=~Mf=bl_&(eK?ipH?-yx}pUkC?-XvlW55Z^WN{f1Df%o|BJyn)LzR^WR;DmS zp;%?*aSB2TLcL!bc6DSu4Kf5|agRV{ZR3cM7$0PMxdm7fb>=J3Ju(z<`bih;Tf5Q< z5q0F&@F$@*G7H~@7;NZLv20IMnirH54vT2DmLq?@iLP)i2M;+Jz)5MWvG##eTm=3&uO)K0qN0I?fYEai#8m$sh)Po>`ZIC! zf>OLYQ=Xd=@EJ(bg2DWoA5SpyBW6RWN-U*F+~BKj4N@Ab6g2eAKWoX z)o+|7C(yb1L8`Zwi;330y|wiRz6t)YdW(T1Zv)XPwxl+Smz52wdU^0e`MTAPd^Md{ zEYxy(m(R!ZX~9uTO7wQ?&3x_HYEvMI5@d2r54LgFdlg@OezZ<7YI0x7BeD19$=>Te z?}%Nl4|i95bApY=gqr_G2_54Zqy9L2j$)|8!^#CbO_)_~atdn*7vGAsYds3}>!45M z_))saiW8AMbs=!&5gg2AdL@mpmWtv1?nSm%pO=8zB`alclX=U7R^yjby!=3JoBqK-1O(r-QFDg$2=C z%WYpsv!RCep~~S;zc==Ha|S1!$oC;TErHA}i@xS}sHi1J=d|XjiwzM3>ndnaBTzgP zeADn6<=1|et0@d+UdQM4Qw?HQ^HAWNYMcr5;p^bK)1Gv>JCaIP>d`--QGhqU@pQj}=<0}Y%}m*~_Z;<)t$ zCXK^cOQ>fKPwag77a@#!`eYmpMRU?`QP74uv9VDGJQgN1?MuJDGroX^vkxCQ< zXZgge$(og^8pZ&fvYvFU1z{CwfZ@i&AZGYl+aMaittr zqn(q4@QD{?1tj_I%|dg~%PghMJ!Dj1e>0*Y1dzEv@8dF9B6AqVzEowHWrm0ESE~uf zqYfQ#2f6JzYnLmpTrkH!vsKzVHM1EcvYstS%RF~P3n3GRg zy4zda${bmtQ*XIh{3cQRh`QC#@gWnj*hEKAx*c=7iIlnV;YsM?UZI zWp3}HJFk#9id4(vX=k5P#N2_rCjU7O`y2|%W5RqH3=|WkG^j3m)4aJZ8j>tpjZkQB zZ}yb$-ho1q8O zM$?vTh&)jD37?dPmZ!1V!bxfeEbAeTF8$9~%!JURa#I%UM=E?46{?-yM4Xu9(S84u zG$uPZQR)46=CH9!D{=qM?bOx~ay3{Lw>Tx>9!{Hl5I%o_*;X7r1Vl61&I1D^S>j%Cu7u-v!X%WABVGTXEfSaSErH`RQJu3(BKg zV|MP9BV6qGLpTvQ`lx(aqmYh~2aeuf{ju52IlyR#PwV0dcg#E{@cP6Zy_#we?lMVHk|$fU*I ztsR(tnTaw9ILsN(G%b$yy5neIRh-r>zI9X;@`J&{)(DpEm;sfls3f=SLZfGWN;>Az z!JLUWP<||MRGu26MQzISKN!xF^asH{In;Y3AM-tu#CM246f9UL_ae&)vfuPO2+{Bv zgn1+7Os^^fKTBCb^cvZEyqsF+Bk*}UDYI*)3ol4ylK(sqq!oz@kob%b$GURtip>wn z#%8GiWkYA#x*}VK$9jl|ZLQtaX1v1gW67E&@Oa)mt}Eo1@Z>;8XolRK#`%#fPfJHj zCyo%U^gR4IR+eObvel8IeyOLa#fNG``aw-8!_WnU!c}l!e%3X+H+le7a$u^!f$qxO z7Ek1M4<%D6>c!jj#G7HpHf?K;xws?%*Soa$(da0P?}Peoo$39nyQrv$)*FnR4NFvP zK0`}fO$IXcMVweLOo-GQRH&}x5Bya^~ zBf#S9kukD4M%&Y3d8ZRrW!l_%;zaDdvfVkDrML36na`hV+yi~`)D|dZ zhI(g3GrGo-e-*&eeb;a!RK~@;-pz-bPqgL)f-qU)r>aJpEZ>Xr%KOCQ4h&b zR`z=|9X1qA0-P6x>x{oE(1ak(D-1^(hL6EOB2RfSGPPnAnFIVGzxa`XM=f8_(n20> z=4)jPAwAxuo|7~*m@5%%9{%KKXPySw4$iqWDc;AXAo6cOYiS0nm ze1@p;h+a--Ia)rLhXz$B|;ukkhId(1jQ%7p@F zcH#}d5n*=g5o0}RR37$C3)0GJ z_uhK~gQdIH%hVzm!35P@xBmM!QSBI){g?imxw+kzn;Dr=WVIQ2wB*$v@wC`-VLMGv ztPh_vZUVjvA|(j z>W=y;^}gUmrnkKr!=EmfER+vKmic_3INyo>7pR+^8(&Dr9Ir0Elr-zg(V{VLgk5Pn z^wZnQlV6Lm%*S`*6%Veqa{3V?s~d(T+SbRV%s?MP$4~1KXNw7}L2bA&&4zT?ue8$w z+1Y%P(Fx2DcJjEEx%|_QI9}qV7O+=h50BcD*vzOfkH2f(jpUVv4}Ch^jV>lu&@plZX0W@YSxyYsS%l^iy^^DuzLZfDKS{Af0b?a9OZ2sw%U z);59h7;}H<#Ep|@iVueVdy%Xby_6kt8_5!jSziQ#`t(vq)A1TNuek20A@jq#`Dlk* zNY*GOH9sTct>Z9?Hy!hB{V+=RXXJ-0U}nY|cD|dPj9zX0fZ{vX8iO3I8 z=3%wUKFG^6-;D@H&sf3DoYmphqQ`k!46Dd1c0waEDK5bQq;le)8b+E)n%wZ+#0xAT zM&urEws)Kza?OW)vz?5W?5`(<(Nzrv(uia{N3(>v#0$m+m}8LXUiIz)*Se88+QoHX zqp5Zm9Hd83tPwZGOPC{Y(WG*wfZV29@3Ti;aQ`g+xiTi?Bm-Tl(?4nHk4C1A#L8mr zW%4e2G5XMqugaD-=ubwb%^s3P+%Tur>D>*bYSy~ofN%-PviWe0r@42o8#8VZoo<7b zG?!DYJC~0lSuSC6KWJyLKh|IhZY_1z`Hn~K?lE<7{xgp_*p;4t@);hG^ck)meiUq- zdWUU~WG(JqFC9b70Xdzdp3sh-Tqh%=bf-VUx)CJHIDj{Iv7E7(2QhCtN&6mCNpWxS ze#NpIo$FbzIo%}Cne*1vuLL+%c_B16#Qbar#sf%Sm<*h!Zmiw2pH~&*9#l&1pVMULX+nXlY@-55RYAZP)$k13{B7Is1Nc!@CI)GD6(bMuDUa(p zbRoq{l|68X-M(%Cy9RM3W8~Oy?L;e#%-tinfUgE=;1SPRckVZ6Mscp%2XVQ-Dwv+I zV>RD9nVu|THxEXdbM@bX`W%KRvqmeFWOK;NHe}wc7AL@omnM{SMIz-`r6P&X=A^Dq z2$fjk zFqIDQyiX_w3}+{Ln-MQ}cMO&9@YPUNdo*F)T`K_e3JOFAFsIgJx9*Pr8E%%`%bEW( zT9aE3aoofacZ4eISwGGy8}961TQtpDSU<)q8`gh~L??8Qb9K;G%6Huq7>2?}6Z|9? zq_WLz-y-u)aDMcX{Uka&Z?64u4-qKJ3OQ0S#(+I=$AGAB5^)vueEIYLR%{GyJMK<5 z=YKI>O54eNLY-Y~?WLJcr~zTpf5R>P$qnRezqyvHDy?`$CEEs0yta5?&%)DOtiRT% z7Fv3%&|9OWk1INQn)-ksv1u5nSxzcQG};9P@tbh-agxcs6bQw-9hJp*lX+{!&Xkc* zeVAXuCzpCo1`C)ZE<%YGI@91R-v*p+ka>8WVLxTf;LYH(LPevB8khL%XmQ6!iy>#WgyZlWM9fyVZm63=Tm%l zl-BQ^YnV&KK&ve7Ch+<_~!`=a2NdW@w$qljqV+j@5R`%4?~p^<+$ zJsVXB77Qy|y9!FokI981u6n+ZqP&)w=(6vv7oK?H$>D@XdAOkHu)?6y(p*HuxPy+G zR`dGY%tiuTi!o!K`TaUWs#N6M;9F=1*P~Ej|kj7Wy zvRHfRnQ-f{U|MMDo7d5P=L+ZkiYV}h=Q|u7>6ClMWe!HX(zZFiWnPth zm264+_Hw%VY)0QTKXLC|4r+8_VHMJoxXHqiLd2QhkdmD4uWJk8QiQppJlQ)(<5aRy z7p^9ECyl98U0v@vrlgJVxMlk7$_nC9hPjrdWm7%L;36P}Hl=+v(m8}ilR5^PIlnx$ zRt*p3v@dx$$fLH1F%Qq$LZ%dQT|!2qW8vS&J~vrzimu!7?m;6AcP-~ugdI`UN`&w} zd?a*R>AEiW)5=oY9TZLO>}BL6z22Jl^X&HxOp9V<*j99Q{K0)R5;w7LH1n5xM_-Gz zds(G`rL#NOFnlVVClOsmoouZ!=?`1J4h`jd5>LJQ727WXu>?0l<$=ipz zf?$YNP?HYUy|+ej-Dr5hjHAsuLNbuQYo()XGEAFnKI6z;3a~QNZ7`+}jN+C;kVSh! zl7)Ce(pk4Va!%ux(uMaAbB88yE5PZjcpce)hb;Gp!M^_IC3}MwzW?i~o8ARv1U$@E z*l3jlI);l87+E64^~9OF;m_DgrIzS)<;c+ny4VXh6y7BL@8cZYX_Z@PWpn#4D z-Sx#$q7Bq2H?Dgq>o<REH6p_kM1guQL?gKWu@e(w&|8+i4(zY272~4S+>RqddGd4BO|U7eh&-Jwr%c zJVR*DpO@)_`fYu^SNcOb`K%36ddSYn*g;;X8j>@VOljOBxpOVSS`R=kleI_p<^9gq z2`Rd|c?0anb^EF0RpFC)1UVDmf`XYrZQGO=256tcJz5WJ6%ksl#Wa%4cz>g9K`!?W z72|){OrTV+B1c|mxjvjHr={e?AUu3a0mfs~uRUhmoNUnkvcP;=Zl+RcbKJzGAJpJc z=jNdqyPUkaL(p!7S3B}}PAm3RgO8TOU_A9)%6#BU&I}#17twY&;rWcOYM0G+73&-b z*Udpx6TxFRX~*wlFcwhlEj4Y1dbrXMTDx+qzT}pLrf=ier>h1dkNReTLzYk<>K|g5 z$$@q$xwj7&C_!iIlu*kp>WI~LEd(1gzTo1`9@KI=!$S4Kt%=lz^!*{ft&V$cAv@~w zS~PYWQdV_7QOO1QH+V7Ns=^jp-$x1x@;nijkybW>d~3@-oVWB_qH8ktQjPI|g~CR} zXBW>q(ku>$tg%qi(WFn&4V2}TPvxiqdYy|ld4aya_)+z*jm`bnc2WClYyYvAR|dHf zz3$eB$Rvx6wAv^(eO_cK7fpVpY|}OC`*f`Myj&Q*9kfJTq-+nurfhdUN};}KDBn#D z6(lyO@$v{g1&ePy1dDqC1P36U_rc;inYb~Qz387hov-hXH>Y>gL&T%%FN;U`MH0*6 z-oM-29$t=qEgs;v9IvEp8!LuTRugO`aJB#Z@f{*oq-=trliZnwz+nm~o+1e|0MAuECS!hd2g`iYpsNyPt+0TByT1uPjq20P%XGy;U2$4VfnlxE;2e@eiZ zrD=s#9~tJ>_VKD1XB|V6*qd@w4<(nL0n{B?l)W>~aR%KT*$1dRp93nJfJ&<#HXiRd zRyo}hMx(J~EUTk2xeT-1WID3&SY_IP$mhB39QTg{bf%yM!PV@b3y)6EEGIr~7uLHU z`}@_|QGS$lChE*F5BFq{_GKH?4VTHlmK9Z zqzJ;2m9qQ+872xK(-(1p-efPt#d6)wi8S6Uqp~9o{ctvHv&1`J);oo~LzcM3W#3L1 z+WJ$8iQVVMBOG<*5sJTZ3nJORfs*Xr1Ft74MSsb@5We+_RzyY8)6*wS*+ns_sIKAC z50QVbYVSVv!sLrec*=zi!M>T^-LFA+1T^!4vS~+U|1j_4 zJD-FP;5*XSK=BD9TKBF*%?7BL(h;p&56T8pBs_IAA<08qoCk%iso+Agx@AoHEcT zq%o|6d%*2kM6Q+PMdf#*EBooH%W7Hl{bcM_?ailNNNjD^i%qIW5w)Y<71dRM%S*Oe z$Ju7lJxbOepVU^Z{BK+GUk%_hQAwBVKe+ynU)c%!m&qzc)b;;o*#7GNFSNgg6{(nE z0Sv)OWHSH%oR&es5rqAPz+AvE3z+0zug3p)g}MHRW~Ax=F%LgxR1%ceUxxZS9!VH* zv=~qF!`QiINk+di{D}lAasFRgr~X6htmc1c<@ztJX8)n}xbi==X8lWRse$R6(e@u) zZ*QsEz`7$-RPArQpuI4q4-Qnn1GHJi&i)JXGZV!4c*Qw# zO+dntl`QC(ha11*tTE8idcW4{qcJwFKJ2VR9q z@Bwhzo`5PgIq(3{@TSNDE-=AWvO<3nF)3$=Uw|VEBANIvo*e(;8TT)qhX3LzBKlkchP0oMa$`4HvZ0;7bs7TwFOUsfxR5RaJnA_ZlH4d5ISP50#w)YG4mU+t^Pm zY$K)NP**TH6x_7g3-r?bH|nSI)BxtvN`?|%0SsD~@mJ}D$g||2$)5t6kBWXbe*ZrL z%}xbv$v_cW3_~s?CItNemkDs@=HBfe@*p|Z*LiwZrw)Lp9!UZ8ow@ln@D`zEhHuDp ze)o`UuPYYj`F{sqcNAIBrtNlXQ!?o;(V!A`3lBCo3mTi3CFbve;!|TWfm?Gszx}x_ zpwW^p;CN+EP?DNQ2npK@xY+kK1OhLSLMNn~Bn$T+`%#tC@eA@qgs%l`gs*!5A!a9h zH3CQhK=uGa>}F0eXb7?L5GWu1c1H$!Je>xc%dgMm_giNa==F{S&=3b`;1}whQ4k

0ZtMB1-iA>S6BPAec0U`qn zrUF#nXllj_-yD9AaDxBuRCt++JrYN%u#3jJ+QwQJmn$}`h*keAGuJIwGEhcyCcmT5kqR83NX6FX15eal z&kf{b_DYjVHzzoST!~)JEZ4UzP^s9W<00xfHE@l>ZwxX=re&QKw((!Xo$FTZ0}+Uw zP=mowdm6i#WTDA~OPi=jUD-WYYFot`ipJf8qeCd9U~I4fzt~9&#!<=Zqo6~NDHEcm zTDWi6E#cyWOF4lcVcup2@i;8ovD3TH6+-0co&Yc4(#>{$6Hcd@$hx4k+xwKL8Hcm9 zJ$n4O%MLNN#zeKp)+IJLD_M-muM)maVpLML(Z!2&MK09gUHo5cTgBO48`?z-3$)l(C zZF%<1$%G3u=f)DbwLrQ1Qyh^mkWxdaL$fuNv9rmf=7ph&K`!o8+38(go}QipO`)S9 zvjkCAGCSN`9(zcZ=STdV>RIC(tECiLw=Le2j{R_qkMRB>`tWh8bmu`9VC}^`s5a)~ zj7u>V%6r5IM_!0Baa$waIo}^sTplBLQLAKDJZzNw+LLRY#p1^4*=usZmeseY!dFb` zon-~wy@eIMurVkC;hf8J8giYH(#{85h=UzR+aMs#oM`x#_8ZL)@Z`VMW2zSbJpnM!1cVS zyZq^W_6pV+()XW=zPgW#+a}~bF7^tlZ*K7(Q+*(0jGk~^PHn{3jsW{3-s0EuQNaz> zi?RYQKfNPu4M%7$WQWRNX_Zb(VV%n>7|I;>i^F?IAnGn zosvZ^7h0n1W$66E?7VJ%MDzXm-PG#3#>P#GRRYOG+H2B@crt!H7Zuyk$SV~qEXc%g z<~2v@8>G}HdFE>UMPyiN9me3znHwyelsAhD<=_bWgouU#_ z%>9sJ_gK`AL^@5G(!baiDr6~71HyAP#nIRC8sVK`zukS%AA88AI6U(j^20*v|NHna z8z{_D*pEi2ift$v#>&f+{%MwqmE8X>v^~9l=HnIMxgft8&rRNU_9H4oquUfE^xX9@ zt4yZR(IA#$mBIOX=d^J!SQjBs}^0WLVc9w zy@n$8ny&ubK4<`VgJBJJ=^TR$E zwgY0vF6KzgAx*+W@Hh zr~DSR57&Lp79<}4*06~4l9s}^oNst)QBfghvGCrVlO27E(1G|?%%(?$Gg`GHy^dw4 z^(QgwrMPRS%Nea8b_I*_1KHKQo<4bm`JCW47dh~aO?o4o&CiS8O_}z75}MM%;t`LP zX{=WTRJ{En+=?ueEGDPDms9qFvCmu-*P7o2P*>wu`I+~$>DP=Fe6P5Cwd?gEJf3}_ z!z@p3Oe=5Ux+R=}xY~glF1EDtHdGe45wzD1)Rl)4m^T?Ypb5L$xXA{0nZQg1bU3jb zq&svkG90yi%BDK5++F@0fkr;w#L-~)7&mRfT_qqj6q_S?3`GUXFin96qkX99`!C=u zKvrB35Sp#1+{0|Q-z1M??_??p+rm+OE`LNIjY2kTx4!wUb6ptu@^@3^2;R0*P63i!L*RNM@4i^?(>9m{{@0t6Dov+b(u(wDv+eAquoIxP9 zIin8--cum|Dwu8vWF=mVN z)=0~V(IcpJoKcR~p>fd-)OwCyD{_^8zS4Tdsc%|`$1$3~W}Q+~+cbrt?NL6lZ5u@ZO2ZB0>|IH8RKz08_aN|CFeR8lk9By|&7o!9Z<1Qu?Vy?GI0^q+{#IZ_uwqS8iu2__P&hT{N9> z?KrN4>J&2EIeIEj2j^sV>uKT5{q@3c_Ro^RtVUtdYN{Xmtk>`K^BsZ}Ud(_$f)E$; z5y;gjQ(9)LqZ1;DhKlv2a4`NM#fQx+lCeCb|elt>%G1b zR-iYY-HtW7ZLK->)8f5;E^uKh{ZN8{x+X*iUwx$LBe)&dLidAA4~;h|q2?^!C+bn7 zK)(h7doA=PHJ2>dJfqa{TzB5p-do?`Fcgy@LlBpvtZ1lI=525g^i4$`8OmwPI+Cx2 zGje=2Zx3!v#l}k}{1a-9NE5+b+Ahezoir)|oeidgtFY_HE!Y@mFTK$++py_DbxstqMHW?IxBRo;hufw`#EI%k-POtpC=yd*SE#S1GRLc4 z_Y_4Vw+Rj>L|m2&$t;Fr^tJjr>fX6^QrYPB_?Bqph)&Djb3FZ%4?&yWGn&`R*@oNuQ?v9fT*pg~6s2&?OmHYDSDS*8N?Kl{zF+mg+yHd# z@_x-HcES;?4AEL*sh&2@hDXL5TiUbpx4hX+)4B;5a57t{nj_>8duY5RH(E0K4BVDV z?NriuJN3-zw%a{B_m&Xlz=7#O7;+FPZS-ogFX|bv$+J5*O+nz93U3KcvVBVqYn(XZ zq1kl5JVXh4*TRYwI<1xmvGFOnsZ=EAE}QQLMS=0g(v^^(_SjnaWrA&{m-@(JT0id) z1hpK!+)rLtU~Al@f%9P3vdlb9p{f?yyes2vg^W8S)R_e~g$oaWom;L9)^CjV%EsKC zsJP&ZI;|*DCFvwYZ#+%Os6OhXH@+`!c-~q%-I^bU57A+$P)mn%xHRIb5iF?^ELB3Q z-`z+zoxeoDECZatOn;zmjr&m zqQVuX1!aoxOA&~oKlJgc(uP|sB>n_}rOel@;}f;oEHp<%3{It793W$!RBUQh(1naZ zNOWj11PKMCYDLQgs~!zIzpoz?GRi^z-CvASuu&PNERvW=~!m*PonH?DEquwu9#P%xc*yOn3H-_@@4{;UCFdHBXc!tjF2I+PON8+5; z0!J@7QgQ(gkO5ozAaoKQYNs)_Ir4r%oaB~AGeWT;&=w4;H7dYvZ;E5f?F9NwNo)_R z&H@JKjFPCcU@6%0x_i0aR{KqGnoWlZjC8cx2#LP};-r$JW(68jb_=UoI1N9=pTm^4 zkwlydJ++nE5}wb$T@A3eS_>8Ty78&HR&8jS=q`k6J$?wx5#{a_{@F zez}E%sOvJE*Ei}((>+WqY2*@KW2Ez=lER)QXeBG-_j!NL>#;BmSu1=Km(W$yW^Y%R z#%k@Ou8FcynalTENpXp!8fDR#ho$3SpLU=QWmhDOX){|ul2Yj>D%n5?(EAjgXoX*- zo+_|y_I1PL)oy!TMaPG@CL??bn^8A=F7=1GdepC0|Ax_9eFzM*b!$(oWuP{On0Y%a zARBG$jDj=58MeTF`UL5&y+04URW# zabg3Q3+Et|j*AJ6*NVCWs(_*J4!ldN++wOS$Jd)~oNde>rZ}i%tKpZE1AKKuu3f4R z=a5wABq7PrKet%RU94!1H20PCtv&Q&4nxKBA%G#_?d?_T7vs;jPR-JUan{o)J1Bf4 z>atM^7WtC^wMAW4YKmmX-U=Ni8+4`YdT-xR({=tuX|xui@H6Mnxa~_Xc0ebYb#jL#0SMo>(?q;KP)sG%70_) zjxIFk{|H<+9uqwruixas#wTkKMC#HPRkMAnn{Hq>%_9kzjT|^Kb3iz!9fl@AwG~M2 z(Ckr2oGO`MEJfR3Z@~oP_d2@st7??oNrS%;27^{mU@X;#>b2#&o1$S4l>uuD@>al> zcZ=i^>qi#?ek&eUp`IV0yb_#~_TT%FlSWpDLw^;xUK`M9@*#L-*sdx%S^{{c*&>{J zP-VH5+oqL0t*R-=yfOohHK)b|XVY|+z({4@0_(x6+Admw+i2W2GdCz7yrWH76S#$@ z*Vg3*#e+&p+_>+exPRP{@e;m#PI_H8+|L23AHkDv+Pz#Ml0~XD{l-qRd1<9l&fccP z6s(&l=d>%C7R)p6@7&1Yaomh?CQZK+uo-&U;A>E-O)+|E8>w(QCh|{Ko@2(VI-v=? z-ze^IJoEf+#Et3XU<>3+NuewZa5gzc*5bN1S65j=@}{#ZffP2y#f=lDB$A+`py^u} zI2>rlThS}$c)$+aQFg&%_2{QIJ!SfI#_p(NMYIG{`V++Ai%u zpjNn;(ReMW+n@=jA^+k-c_iy3(#4r(Kr1~AeFe3QrRSgr2&_6_OUuoq8gWjr^Gurb zai<968(z1-N0H7Vk4kJ9G=RXW0nW7HHh1>~Rp)yA~l4*AowegIvj4Rs(XShZ~k=~JkHi~gMvXnxQhuGHU+aO3XdD)TTmYR zvoX%9>FClq>}(?_LNp6nHboE^1ZK_>7t(f74K$%~Y2|KpPgBviK{0qtTfVL7 z0>OfSz6G{}*R?5Y18r#3RHPxNi~S$cgHS9FbfBSIj=Mp-5O+JUPn#kl>8P zS;xT~u5+1qisbwsSg9Ro;9^bV(NH;H5}0e;v>fM4u+88_CmCU7QIgAcqt+=Sa~_zQ zi3Qav@=X%2VqeHu351201w=#dTV(R<#yH%2tTJFi8*b@bIVZ~Z1cP8W^;ZyGC)=0q zua}AuC{>qzw8oaqLr@~vsy(J5KTU|wL}_!J*|~0z8|ZE<)v0rFXDf=X0e=# zqLBzJJx()QbSME%|JrzsCV+rZrJB&NH(2XAwyoIPARfePSMt}4uH}$hv9~}+4iiRv zt`Zv!8<9H-j-^WEI1A}31QNm5hZCV!OQWi%RS$}2+_MKxOsZ`{^CQ8pxdxJ209mpZ>pot z8L457>R5r;7C$%0E};uST!z)IW0_-Hy%0_U7aN1nT}?3QYlNLi(<$1&U9mRMI+CS@ zN<(Xnh}!<;06d{`({+Ny4PJn`5Q!lMgI2KpezpC$q=|refEM^oo3bKs7Y*Hd{4jJi zVIF}|v-n@w#1oVHnM}aU2_RrIxpzSfJJ}7=OsGV7wTf(z?qv2t)fdM3iK9hi>1>vnEb(tG*u!ihxH{p=B|hIIS08C z-ikNiPVKP`@(2P_3vi?rwwSsnSVJ!m*yY4EoIRWggJO=`F!u%(Gw zfK?>0sUFUrkt|YD8y}{X0$2wsiDXg-$mn0&8hjX8539pMHu+EjDuLRPk}{VeTI!d~ zK`#OO=B5w=Y8lmu_J1ZoV=0{dwB`Gn!U!lOlr4>z9-jkp*&*(b8fa}I-3Co*%dMpE z5P&lN7=gMPWlw`4=?=(b&l=;@n@$j@%dzV?6CBgS5G>aafNmu})RYmn=qXyIE!ULR zApoth9W+)ZPw?uJREy*82JK5oLnsh|7Bo}_^DbBb4r$Bno36t9P^?8-TgL)m6{cQv z>9Qi=NXY^CYJj?h9_KhG7|t{NV-N-24v}fXZ8#7cRRVX@ghtIN#yFUZ{0l-HKXwvz zYkhNVtH2FnfKJ+SGpBfhLWN~bi-szE_<7s%8%?FQo`|DQ4dh`2ZYnQ+{e8Sk9lmqR zN3|BAqxMmXtv~hPz3=Iq#p0~3ELDp6e^Q!c7!M+qSd6FKHShLGGh5INT>Hh{a>*@V z87`5=dj96BD7SUdYuaectzBUbVgLG@e|3i{#t)#&qor0atdM?9U%(QyFSSlij^km=9j4 z{~6Z8J(REqn%T*jvKxjL~DlU(_^72Y*rhpMep&XYa z7qNio3%($KAMxjN5T!14?95H~TGiyST~oAZjwh;fi&sphm_mzVkxBIrh&L2xVe(~@ zPSxjp=IEM8VeN+aZ!|oV;0T3j<8^SS2}E^ZKg}zZs>3O5#pHn29E+86xr`;(gVTc9i0b-!rQNrSxj z?w$N#%J1I!D>0qsVVUlr#j%bcN+;XdSh^}fgaB5L?V+i*F|t53yL2Uf-NQGF>Z$Ro zaDO_=wbF^Yw*{~!LjcEz!N`k1E&=VMi*h(@TIyeXdY`B}wh#1ByzplQ+ijt-QsEjDztzAE zl7JU={JHbWkztui2U21-VU8E)u9j<6%6mYAm7Z4Kirf#qY9nNZN5U+Es6ko>6IQsq zq3xmJk1hl$Xh?!?Or0$1dB}M8V;)ExI45up$vghwkY`C3mA+{llT{2NW%XH z=GlxWAtnp6Pd{^!l&NDXNG0C+c*`DU?tcS>eV7`xhE24g!+ zm!em<*{*y#h?GR_PW6-gV;gc!LN5U-)#PU)0E-M*AiT;OWF2n-?tuTRSb{*Ukp~cv zD-||0tyI8>lS#`zl#mGhg+Qsx&k&HBm^GY-9L%Br6eNx0J_II#C+Xfdk6i5227R;x zRpmzs$W=sb4y?aS{-@qZgCprS*a60yNE4u8?Xi_E_8%ql*%}ctZ`PI?dD#T_1)C=+ z3H9`=X(9fRt@Pi8MU$pIQXvAXg*4(Au}w^xbaCg{N>GM43M+xfDMh2j?(2U&Mow4<6Wd5 z5!7Y!1Of&D?4vDJI5_zH8M4AN6YU53$ z{RqAq)t-jU5W$5Hj_qM+7UY>oJ)s(Hmu2`^0!xE$#1YZpWD3^^wdKpCKM}xMd=T4Y z6hFUN`mM#WK{g%)wZj-aZkdqVBEws%Mo8Se$(Vo0TN_msd&n54bQa82FzOjZJ9#rGPVl0MR0;a3?XqrcFRiqV=MJeu_q64!nmh@Nn{b(yNDDg*g@DsA z<@Kk!m*HQsP9H@ojslvbjdggS9nu3o-Lq3;XSzdZu+3O%0JWy_$ichi#tC9LL+tCw zZ_&E@Uc3>1gaD|acEH zZOT%*Vz!EoUZ18aMF=g3e*+vgFTS)wUI>ajJD$m5`fQAY$umLmU)0$h!h+6uMT>gh z$-)ZxHXR{jm3$*w;XE&NtUWE(>TDjlqsvKTqudi*pjw2*GL{Y}xy^Q)z0d*%HvYZ8 z6&Y-vXrMwSYQ^Mdw>7Ly!OKL7fGQ9qCB;@+E`f0iTm+}I$JV&)r{!h>(nARsp+;@IlXMn=(wARl z*H^Hb<>^`~rcf47fz%OPsxlOEp0eFBT4o7FkjNTocq$ z=s*Xx@p?^O?8R`8u*@!Y+6q+vYvwvoq9h_Z`mdr|=uq{fPg~G+b}8(5b$o^e4K|U8)45W9-;WdodZxz5^7b3zud?ON z@3g_3RGzhR7#U_-u!7sGGPa$@xnX^;t`SSMju+Q*P3<6?cY^e-qB!-$10XbQ$y$VWEUs&k5!nR}#(oYf|hY zS@d;mwWSk%xf`M9bU`aUEZOEVi$>IwG75I}W8rey8P2D$?e^pFW#l$z+sMx$_*f_; zwab#KGG(6{<{}8Ap)~w+#5G44n*M?UU?uJ>`+7I&MABvU6Nc0Q^jeXB5g+gksah%S zUMjXl+D|e~WG9zy!d|{K&+@6zrFr1Og^GEn^!X8BL*1mc;tHe+-&Ab)#{YNZdY5hR zNLyoB;L=DqcPQmwlt;Z_ty=m{I4oLzJO)-wkykKrleVc|n(%^79Hr{R6H(!s2fQGx zXVQhGk?X-#OVb|uHlYM}B@=Sh;I6NaP_>u3!UANe>%kmHqB7OaN%3p581rukB)6+-6|6o9CzsI3H&>^YCK`qeRIru z9+oJUpWBY^+^f;xKK9VA=)$)s=^L-8U$*A^z^`-W?SpH_uekVCj;}qnM&(Id{&q-T=u}@Pt(M^33Ha>ZcT0isAZouS;<}>;nDH=MY)ASn->)qL_Ear}x@y_KaEo73ixz1VqdPw+Kv9X;SGaY7$s5>BDJI=E0jC)^jT z!^}Pxxw+e8=3|QtI}_dt)-iHSNe-BiM{ZVmPYsF|ZmLh7Ha&52kzZRp38_4uCQ(Nh zu0PJdl=D=#Oum>LQJPn4cZakXe+lEew? zgV`t3qKuT@VLdMV@)wDRY<&?{k4chq0k$6Jo!X;ns85aJF5=SPi7)K(_VfR6Wuzqc z)Fdsm*P~e>U#eS7b>yP&v3Gk8TBV7v z8pta9tPTTM(nP7sY_vn|6OxVH9h_c=C(@VBpmU(Ulw4ci<_o4!Fo89Ev z9lT-^kGlPPvgOzuERntN8uf zbXfe}SEP+hmzNV|L*J-o75L{9WeZ}zVv;zf;#;Ii@OpRe^*94;cz=b`jt-2q zd0O~RB`e6^yV!J}|ID}<>8<<2^C0D?-nh#o^v_;?TGb}=9J3jC6Q66EPB>-;`v!K7Idzj}6A$k&DTrS6eDTrrFP%`q zI&|mn8l{fJOYeYB%>9|teEpQ76*tvOXD=8wVm7rpx#Y|x?~fU2w~M1X-ATm37hOX2 zCMs6(gh|FZ#Y#hS7YvP_pHbNAM8#zHf4QnR@z00_u;Mw!!pLj?yMcDQu7gFk1)ZH= z-?$#^3CMmfxGN>=@A1S8w0@8EcNlB0Pj8~94{u;a%RhhD+CpL!8{Dh4lYIbA1Tx+E zc{X@6@mtt4uV)KtP!`)rVQcT}V>)XOeq?R?a6Ss&);E88(U|k@oi~%WT0e$&ZrP_K zOPBGwg)PMfte~b_@5J%<`o%)K!*{HrBDTJs$bP(dPyA$I-K8)4Gr5n>7Q7^B($~7G zO!#`;In`-}pRS{;4xuwG`?rS6Q@+O*&Af*S1hFH%Z>E(xrs>Gv0)?n2^U++4dtm3s zvg|X(fN7$Useu)qBV~NxJ$~^69f#NW>99TEV_l2b!JKDTi<;oj(S@M~|0IECT%O^J ziGlF!Onc1mobONDz4Ysp#oP2PUY46UIH7Khn{CH7I#Ap+bYbtrGdil);TUwiFgQHU>BiV+(1~;&S)FAu0Dmx%|flAeK@Yep+FlU0+O+ zzg}dXmwpx(e=L_r>x;IA&u89e$^-A7e7LVt<}Q&q9X>NqS6TCpiVhm-5s!Wh1BeXB zV4V$ydELSE^o5bbFkTofY$t_3&3zYj;!H{CCb_`y!5F&N)6)DhE<|!~`a)C_R-i9P z6gy6Y9SHc$Ogx=dY?eJ7V;x>SR>P2WlXx$urt0M<6;Hq0o;r)_Wlkaw1#-XXuTot9 z$@PxAM5E?5<=k8Jd$Vyj{mhG+yTYfX+*i4^Z^qPw{=%u>3gnd)Mun##l7_wkDS@e>~Tpbs_7YsE(>T=DVwr?#sI=mlfm^Vba`_7Bb zS1__|u+cxKYFB#1&zo}cPM~&#{v++*9PZbw=g=o^Q2jcOQ=PfOC8tj%Q1oV$xVfpJ zR7g3lLVzKJQol&13#h?va*xAC3_Xr*oV;wW5d#RqG24Okrb^br1*b9b-4DFQaMg)n zI`IHrEx3L|ZVaVTD^}~xy{HU<JvP>=KR80_)lsxynz6%2!@@qg@7nMV~(5=ZHzv80wgsEuznloqjxI`OT^DNmydx z+%7cci}AbJ{iM(Q(nea1lZHuK!=gA#8u-9^q$ceTL)qQS8e`}{fGm#rGs+M7u=)G= z-y?<(eSE#kz3&%JB!&;U`U6_@=uwm3A0*`kKRsCRIeD$eypUX-*|6x5e(%H-KQul{ zZn<|h_wMO z@!aycA>@814(>s>!z+(OjVJPl3%xGS66xy8cjqju>car4)5d?!r$3WtF546(wBHJ0 z+Kybt8Clm_UM>6;88zb{unaB9X(xyH=B5vH#1_AjH7sYlko^Ntp+>u`gw&H41Z8{n z`9AgO5qe|vtSc{Ot3=;blr!&s?g1^J?7=AS;(EwoGuzp>s>2gMC^CjJ>!nZ^9KWvLxkE)ZtB zN!o8$85EPaUDN1^AhIJyQnq-=Y(NP2eI*;$7$~Nrso(I=Z0h>OmwLE6PpI0#JNHq} zuK)Ik9Qqa|JyTix^?Kj>H&Dm!N}_MUB-(l3FLmCu^KgiHEDT;b^0;~xz8Q%cB1e3w zdtLg;{Cd>sh@4NmUzhdm{P=mkY3=OhG5fVos&x*Zoc4Jn-ueY<-P?}Ri=>n@$a~+G zg+TmJF0cN^VhH*inhR+3*d%OR$QGPIhUW=_xDFWMNd-=4p+a!<@|=Z(BeJMcAkaB5 zs8>u>TnyZyrW>lGWHf?=%`(O8$Lx!_{H`;QHKU0>gnA?y&ITPz6OWyLc?zrcL~+F#uyU zwdAVzyI-^`jwKHX`y8L#+v4$!i|bR^J+fE3f?Me!$){-H3FTgnkEN>TU2+02p@q2d zap|D&O!1oGM-qd?0E}?O>A0@Gln4FKoO{IPl+UHLU#=uxmSLJHZ^I*mUwbP_pBjWK zN_o-~Ynn-K)1s)#8_B$K`x|NghK-b0%l#&$_ui!q{aAlapG#CXDQvi{c;rakdKN>!@igM>1UnYE?f8iUjmpy9_$t=?G1dgvd}hG{fEQU2AD9+qt-?XcODCzK6wE# ziQP<++iU#s*VnsxTb_k~`RxZCozja!ovMdjP{>Gnz=zdI^~HV*x@sx!53h$Bp{UV} zLRR}5UO8q}UEk7iWHp?7jTka!?dv{Y@;sxn@8q%KxxFhk61@WF1t1Gu>GDu}$1$0@C>n5f^Mc@^K1TvM^ixF zyvpPLc=K`7N|%&0%-w~}1!cJ|YTqo641Csd79`=~yd%i(9(2%f@1WooGB!hUr65|27GvcA%JHmPFMwVPQN znHQ0*o-ugLkn1z5DVV@zt+=RLvcRRDr87f%hH~$;j77@hHVpPA$-^daaCD${tv2|# zVNj7?6HS?QZx15bvlgEBRpk|7I}>YKcW}##PnS%6q>~qvWSqy7OE6g`9p#+fGs@~+ z|HiK4S|a{xaQBnJQp{=?B^~J~?vShC!&Jq(C6&P#kBgeU$SZrh#XN_U_6b+?Ut47Q zjriVd`*hEWBf2jsmkPLzi%qvJYIGFNQ`0@L{i9uGR&c4xrxg_|l1IcRz>g)9^Q?3_ zpo$rOv2o@k7nut?v0mI80jrG}MN*jw;@WmFEss;RaAktl0?9wB#af=^zIAnv7J4L6 z$qjaKhE7^GU)sOQ9J5YnWCTn}5ARJke-DW4fz=+fwc7n%;=%)sHR+=h(gV*%12ctD z^4c#EvqACpDBueDYgJegi930ftXU<8t@!>b`Cb*T2rH=>Vrz2Cox`b=R`K}8-xPfk z;fbJt@RWTuvPB1`rZ4)Tkh$7A0V58JRIOXMcbVR2p7S{Bb4cikgI74H$Y>`fH)woR zS7@*HVe*7?s}(<5_?4S@Dj8%wtaJ%sdp}50kE04ODp#o#L|nQ+C^j4HHIdqW5R=$p zRLaV@0)baM6E9mcvxQ5&Ly{-z&**UD-=h_VE0f=g<76c^ln?(N@ZC#p`X*DbiWus5 zzu;Zn^)$qu90m;4wImfQ)74o-^e1)BFaaR9avR-E;i=N&*iEBRo^2=*6 zsaSx?3`K2JGnkMn2ca4^_IHRM{=H_fcbPo7O`Ju;$Ly(iC@^D}6Gv7V@NvVrm6^r= zz=w#;6EPGcZlB8MV$#B!327mn9H%5hBe@3Yu{FZ4l9LW}@^Zr;L#}-HP?kYk+~esX z&gpY5pUhjZ|47m2lSu3+=@gy1yd*i73Gy5jagXP^hB%B!%9yCX`dRKg%=pH7`fH8^ zNWF>_Rvt{_Z9%a`tW_l!f9x9A&E++_8kvQ)=0wVm?ro;-Ggv4SaNA-?aV8Zd0<*SX zhVrumA#}`&9yw%J(IDt*jj3o_wooq+(lc@%cIlTpC@%D4Se^Da=GC~o;>6~j2l-3b zvbrakm=x${6px28RVn!w-PwER zRCo}}5fgzZuBHmw!+l^#O3wV=x;M|>_K)AC$8W#+)=n*0$X{HhnB|R&+DdoC+RvDB zS<8EcAKR*Q=+sTbYtt9xeiKqT)Zmi1_H4J9InJv~+H$Q}K*Y*HA?S*+0^c&=9M$bs-w>jhp4~ z2^uaU$tz?jD|QnFH7-LezZQHP#f8x$cUkqQQ;cSZ0az7DpLl`t*W&32?(>i_G_2L& z&;smo2LuI*N1}DW%jzou*HZTNMR~N<{=Ser7hihAVP~4?A?v2!A664dxyPm&q~yW& zugPxvfa#0jUR>UWvReOEpsP2^F_-D@Wa8Vir#X-fqe`we6 zY581ajm8#vEkli>#=9!4=KayQ4AU4_#rwnMU6>D3GaUYL-@#w>-cztznQ}P9_jnkM zw|KnpWv&&J5B_ZsCpeEWiNpsIOvzSQ1# zej8USZK|$U8K4NfGNDXxP$=c2htJHrskbg@#9^^U^Tycua{kZ_0NMpzCcx48T@qcl(tKT^5Z;G3$DBEbBb zL$LSxfMV8dIqSyTj;hjPl1ROTLsfHRiCG-m_oz(^F9cm|uXe!TFl=02Ca_k#9oqQi zTifTYF7Js&pwJWgy5X}99wTjq@<4tNrp7Lb`Ob{8AP$@ri2&1B2Sx@Lf@oklVdaidDsp+xx(ntA2t|GOe%V9xi2gN2_c=dRdq=;pp zu$1;y1=3LliUZR7RmnvPC;4+|(Qznc>6wkC5mk*vq{UY=dpp9402lu@QMSXck3HwT zFFqnq&0P;m4shI6(k|=?;JnJr@S`;hNy9_QS)5THW}&}hw(FNSGphz(R~k`h%r_OP zGl#k8_W(j+`S{Vr`Pk;zo#HHT{|0K|`!pzbt27L>XlvZc(Q2WpDYi%*ee|=Qi=s#! zR1JkMQ>)WNy@k455%H;8u|ly?>Uh3+r*&ZZAwbI05!UM{e5LZ+i|X&Gx{4^9)sg*%^zwHs^f3VFvi0+TP`YW0-Z9t?+u;uU^ar7icvu z_;|`s=FI;1 z%<`N&n6%Bnww(GWS3z$xNr<%emp{(aXsCOVDGpl}Y;>j2`anUiQ}&R}Yysu_(C5jX z-l3b{F_pQw&)@yPqW7wlXGNXpGu>A-GhNp7>F`N+SYpeUlD6CzW1rhP)M)2`@qRG9 z9QJw=?cGzi^Rq=P?ZbLUUuWKplCS&itvz}kW5DGl8V6X%TFhv0!+_p5)wXcru0h>D zG5Q&pC}yq2$P`xxZqtd`B+1^`^Tt9tIxwx@zBc7_ZrwI>o zw^Qg2Z2uIPnKCW44ocq|Et&HUdRR;n{5jW{*|y#e!&M%QkH2X&Csmq{WjU)aJQS$W zVpu6EGkdw%)G3O@ZNQkTmj*X>pG)MD(&0wpfDV_L2{#M}p3xNu_{pACk&0PM4fCpl zMJQ#sv84)IOyavuQzT9Ut}xCR!*g#~C>B^OP2f9_N(T_NH{UwK8J@agwk!-xJ}+?u zw3k|eRmft+MtrDLa$aIjb(U*EXxa|CA#s?^_mbmr8ds)*cHidDla4@((wSx1uB#NW z(jGV>_@5}@QM<;qI;rZ4(1q{`2mUAIgIkK#l}2gw*r;EA8l+P;>ld=Ko^xwWbxZeO zGwG^=O4+&Zk*a3zt+I1Be_c-9cCA|A)rm|;EkFB3+y)9~%9O9cMHnM}=j10VyZE>i z_Y!Bk^*|h#oYtIy(s~5HBgp?0gA`;5+*|gmA2|Qenzs#;W(gOJQ$^iTlluG>J=T0 zEO7HVI>3b+_BY?2shXxUT^tJEo~mLLky75R4>mi$znH4Wlk5zB8ANnYp!><&MqCp-`BmVU20Ja)$v|DD%`< zx6n4LbZWa?khteaqQM?44ED#jS`Rb|T;y+Ix?55gx8{D2-ASO3A22epqZ$|5e{||m zMUSI7Jr}0C69bv>OVxFIdyC%8DKYjhjwQ93a-_sOSDU{4WI3nL0fO?bhI827f!3EESP6$M{Si=v|q22y;&xLILe z9Kzl;ow(~WR?^s|m=pZ`P*r)B`tjY}xvuR!5p4mE`{3BDST!35|L>Jr@4|x0_oXdnA;mH%+z2N-C*-IW$@GhtfI3Qd6Wu6SVm)x z$YL?I8(E9Ps!V>RmsI9qqFdugPMy0J9vTn&&N9| zj>B4PvZXSPLf->hMA?9iEB1@Q*cimU769i}`%`?v;qoktsFXI>b(vNfFF#X3n(LaT zMa0Wfdf`PN+hAA&?y%wIZ=$HY`&E_t{<<_*2M!)3%Z*#5v5@1A!VJvQ2A`sJy(rrP z;V*{Ui@SPScf3P9_Gk^Ye>g)y_H)~Zo}p%x)tRD;*Hm(GwUDsr(H|>pgf%Efx>BY04C3(4^jyv-l<}P zh@()0xh(ZW)xc4`O>B`Wlj?>dOv@Hh&4aodmu16>Q~BgjU+0X>A0m(?CH_CW*AyK z{VaNHm$W3J-l6iUQE%?{M#zSE_W1K3XV$&7UocXhSPZO(-#9QXW^5o|KN0>Q#Gn5> zDbRkc^gg>`)9-%q&*$75h2OY7Nr#_vWyl8M+oWAZeGe}!#$ViD6P(c=JY8Mx&nPdu za4hy~BW8Wb`cPT_On9$b=gJA+mTNz4*~35gzt%t-z67?wzt&(Tt*^f=e||ANs+iQn z|Kl5Qxl!0B`kwpZ+OmpAVZ`Qhi2+@K8ifv)OD2@JQz^;lr0$2N8J(5@fTt{}$Sd3V%u$o;HnE@lxq%Vw0pI*(p%Qn^3NBe!M(y!BSYK7yfv&EE%^k8_wJ~nT^1@?-x>eW!$AA3e*9JSESGC7* z8?<&NtHb0%>v!af8Dp4SFeS!FHfn`rOzeEVlZ{z1%o69e#pM24Gvm(1X!JOL`~RqV z_jsn?{|~tGLFJSRIki-xh~#`+5-PDuQaOxDlw;&PTS7vpoRY(G&T+~qr!D96Mh=tH z99zt6v&O~__q*@!{^P#?vDf46I=rsa>$u|YMbFvXqUI*QxTvT#+S{34M_CK}) z;kqBud@wKoBY?#`Z~RIFU<9!2mYUmk+k_r8;ywkv{YoMLz4L8u1tVCGWd*qG*lb>! zG{K?{j0RhUwd(-#>1CMGs`))vB1z^Zg^S!fy$mzPj0YFSwrvHWa|vrsJe%tCSP&$3 zw3*xC$`2rMr*^PgrPbb8@ZMsISMtl10Pb}4wba1qQo?&{F{?O2_TW`u1q2QmiDS&# z@paK?GZTXa&?{i4Y+W;J?7F|tFmCYTcx)}r|Fp$>^#W!#^DXH?0bk}yJS6kEljk4Z zD6yC1Rd(wtPRVwQkLzo6K?9*#gNcXAfE(xxeJjgC2`0t>imZA2x#B4NII*0Ey!`7N za3n!-c?aDTX>@%kMkM^4TRE*kAGlol^~Wzs`Efg{N0l8CFkQgq@~_iOJ~P}9I^A-_ zjYQK0bn>ucZst@WKvgxfn(Ez2n1_)A)NkG0j{p%-FISI;YjO>U6v6QK-(CUG1j=(< z^XzmyrkmAtHzM*Io2i%$e#;GzbepCj{JE=tLFDOB+IApZ{^J%IO0_pYoLSfJ-)i6H zY}qa%&3=i(a12gE9<%W<*PWR|8x>=HjC>pxlFSoaAQ{i0BD)!b7EzmFQlsBD}(&^rGF+t~RPtK6WKVDoSe65IF_Eeg%;#fbwqeR~QozXGg92b0; z7$W6!V@Xq`YsT#DQ^&9w;`&ruMKRLWOJRFLIeHqeNW9=guVgq z<>~jcGLHXPC0w{`LAfJ~ANzT(rndM~j{xp`bFu?OzOI^zkHt~W- zATCihGD_5>q62TrMVzUCH|{6&L@0EMkJi`gX+Iywo47@c6B2O>dFZ<-+<-aV{I>p^`HcrXIWw3-8<|?K)sAO zxGupSaA`0Ar50RlwI9W^)XQEjka(N)O@^ye$66sT=*Rwx#IN$GAVB$Aaf*E5BRM3) zQ!t0*T;#PE4kB*H9skX-S|tY+UXh|a zy|*B<6_2j&Y6YD1dq9M;qb6tZ-(z}@cO{V%d7Ie_l`j_0~5iAhXKsL25IxrYr z74hJfj_6h@osm1E*Yzqe09{q;09*ofCRvYMmd<(#8RA&pu1dGJ47}a|T$)6F+p1Q> z;*Gv^?nWBSIjxAX#B^( z8gE>(=y{=vq~)|N3}HeRAQo$>R7R^%tD&|qPoe)j*1TG*Zzd6O;OUZq&T(_JE_Z)F zAg3Rl%M5pwKdV{gnfN-@iC=b=ZRt9yEW4jH&rWa=Q6?RbCBs_5Iyv72UC22EiMz;e z1Fk4Tp4p^js_}kL61xBZ)S8d#Ot89KG?l}TO-!*oT`g}1X?SJR13^p;x8(K*20YJu zPW@`p)_&-EmQt#*sOJLAJ7Crdx+iNH5X?;(@WT^>tSRC%+aMFKZ}dRm3jV3Y)fy1Y z5c0H>D{v1914OU8OOGM6r{h6tj!-zBW#)>!y`@_5m2TMaB57SXYY{+M4J=Ir4JTM( z7Pq6m)wqK6pcv9$X*YF1CC)vx;~oeA7PdJU8H5_ws`@onJrd=K9(9Fdj=kjRa|f8Y zB;B2|MPxcPkaIzdmlh3vlPT88i(bMcnX|3LxGXb4taLZ8iYP`n^zK~I3&+0{g%|wX ztM`4^N{L$aj;+lP9{4pL4HET=*04`0|qpm3iTs|1$~n-_iQs)ISMHyhd;n;tz4O;2?g3XF(dn#jk6cJOJUuT>H&A=L9!9X@gqvlX zjsfqq&JW4{;BhUX zfB}!1rUSe%Hu zdqc?46}dK}bYL&6aWpo@8~t8?n-%al-$aKX{16{7V3$=|A-aC5!Ld%-ycFWX$bry{ z8)DZ?W&wMm>06W=x-Zb@gU?kFk`{whnLoPrmf&gk_=TT>b6!pNb}405A^0Z4)soio zb|6vOLFk=NYk9{g2GGD;nGfYCuy3o}Vx915z)HK`HcR_Cdam;Bv^;;-I;_>9lDnl) z0VsWy-t0`fR$s${EELBH=vQ@q++TSZdC{zSHj!56E&1aCFzo2LaMV6oBD<0K*p|*r zUc7<%N#Q)5J-FmqO)lB4`a8G#IvPb$T+{cj1bku9bF#=p#M^R+C9G8!esVpcUzJiSoM$Ymjp?9zgOZ6!r!u&ibDH3@3#5hs zyX{-dKqn4Et$vQrS9EuB+>-K;WK4|%HWzz&#&PC>YK8wJ9IENrC}x-#TW`|kpOJe1 zMe=W$1Guip7qSG2IhO9*wNqB5bY$td6clje+U|zNF#KrK|5{+{lB^GCh)4Y#ceXh(o}vTS#nXYzBT7fZi)13A<_psJ{t*D?F#&a|r zRU^mk0+bzKaR8R=0L%%XG8iLn5nxWh6Gw=1qb|g2l8d+3&b`CPL8I!3N=zXd2mouH zS~{pj3N8GTYTC{)OJ2S53-67@{AlMQZeQ;sXR|hgM zUYt{3+9QQAF)`P-@2Co*F?ORKp!QZb=b+$KJ5V7K8O8{Rza4yL%~tx$4&e=|ZA}vd zCDu6{f!o34ZFkSWcF8MQN6=MZ33^pDLXma=xfaDc;RdWQkZo#!@%n9G?{0Wjhi>RN z9t1xRtE3oLC*<(XnwXb5S6c#=lrvhzg7WC&zIEt-*!7qtX1j#Z3W7$ByB|Pfm+Vi& zIJuw4Oby# zjrj~Ylo}kwb-r}D=-?(H01Hh*ZRzh1s;7%}+V8@I!rNFXk#2;9Mcqj-@_y~PO zQcE{FL&m#!nSf|A+GqHy3ugtZBbry=!h%!(eG)M2V_6-ze5*`wU7I*fTTr-XNZjsl zA6X4pK1Otiuv1C|up$klPM$FU9UBV2E(MSMz`Kx#(`t7*vZGOilDmiy@B(SORGXr4fT!~C-i>%on#zY_ zWv2|3BPUR-kX*QM!5%R?+PSFe64518YJ1_MZCuNuZy_u$0W;YJ9_v!awXFHZ)9L^m z_RgQDfZ_#3FWzzBn{2@1>FNw*G60Prj-YoS#*@K-jmI5crl8?4YvtaT9f-uSu1vrR#jHGMp!jUVC1W^iZ1Ny#nB>}+gB1I+rMho-h-F9}&y{rzHvsDb%kOfv zoWWaf!u?AO+z``X{un9|#l3&iBqs zi+aDD-P^Cx$2?+YO!r)o^Np+s^HH=AZ*YuIL#+fpY@Gl+iyWO9a@}DPf#^9*QJg>a z2b2VBMU<1dC*jYDq}l(p@1LCtt)nx1Ue)g&oN6qj`zpMt-_;+i?Po=l7S`|HTafACVpj^*+k=CpG}f-QWP` zEuGO=kM%??s0RSJL=Jvx0kqC`V;Z0m+j!k903O*^oSM%FM4M=iQLI`bF=MQq!JD{F zhlPv)?$b`ZCat}?3k$M=8S~BVDW8W$h*r6Owu-|Hvv%YhiM`)CQGeLsREF?|E?Yf^ zAPdDE*zQCvvjqW9n<3YNpN zBA^NASs-Ojp_tkL|7OFQbw%a%JLl1M6fYyD~T>!2T48B9 zPv}Ctf6%arZ_^GbyxrjV@BD~QhCtn}Bl;q)M{=M?dh=~#D@yJ!K|cE;vKP zQFQ&T%%naRu(JwM-z&QY<0M7a??V3Fo12xUHg?-z>p>~G9?hue93spZIvzw2+v0r< zKJJo!)Q$kUc>U&~qo!_q&EK6k8UG<#k*KUMsA%abE-v}*wE8}ER?2DR&z}#Uj#X5; zWooLick|?l{1YEWIb6&})yAmttX*(1abs}(4j7lSWqQYD0CR-+pf_#E zvqq%(!};=$s4dVo1LixASQ&ODz^2;SsbFEa8;}8Ue#%t<(E!wC6&F<4rmh5Jh|0It z3PG161E~rBqjUjk??{qX$b`X!X;O!9Zk_1yzm#J}JkWmM^CP0#A!y3SK_ zaJ8tK(v*S#FP-f!-(pp}B%DiuK9P5{1USqkJad(2$Nb~E0N~mYQX3`Ay$LXZI&8fT zJHFI#`JSNeq1=uk-)SKn{hP|U5O_i~(M{HlI(;B{9e0jdQpmS6BEfFSj~i#DQ+X=6 zHO!bmGBt5Y3Ptg6+L!M~-EDjpN$1<>YK)uJ?cY0^&W+`LmaP zig4~M=x68hzfimDuD*cN2||gBggeA-1r{LWN2qkm{0PGQHV~q&Uff$M3T*d!`5E!# z2v$2}&iY9%!KOAxI5#+DoIeNjCJ5a|=Ug778@{QJ`ag=8u*DaJa1Qy+%DFO)od=&Z zqO%+GogPz-N^I+Vr8vL3=Rt>ZL%F;8oZk6Rn|>o+;7I+&kld8ADSZconnp+!B$F6? z#9Jr|Y!4KoX}U0$5D!|97D-M104H7_y_>K7JGAp&-d?Y3xCaVY_z6zYBvm4BtN9QvKGKu=>x*@;) zLxw3jA?R(9xv%->J*m4^U^-jZycs_qitaW-w0J;)RfN*OK@O4OQN-|WpuLB@pGFZZ z|FzeAx2TPB8t9g|{D`)B+!3J0JtLi1Vr$1gwy%YV>Vl4+HKLfW+qZ!ffZy!f|9-#i z-l4Xr?B(PhglBs^3``g21~2M22P70%-AL-{4EXDA?uw3~eQ5(LA|5wUe60NwjSBL^+0HcRAtb38Y7Yy{t*a;k=zT+$}1f_aGVaZ4SG@reO~!f{0wBSJQD6b z;Xwpb#;@ABpFc0dbN}mmLWYY{nCeO7s+f21NanlEZJVqOacgI*QvByG>X?_;OD{h)1`nQg z2wcjvcsKWmwgUQIm9pzb(8wojj1y43rk3|rIXHxMYBU0MfG{G^F#?f#AA*K5p9<#^5>Mp%?&npt zAx{DvNXH;>2P~N=NSkGDz%i>p||x zh4(p4uZa5mf8#PAmLRuP3elRkOh0uod5R-%h-3L2JQD%d=?aI`iXCHPf2!L>jK*() zfCYVJk(K08Bh9XAKZ08|4}hF#Ap& z<-h{ZgUU{daaU2lb(s$@#FO;a zhysEwRZ0CmL=F}}lu{klTTV>5i*SK}WUW-!k>fhR)QKj=5Y2b9+dwCb@Iq4kJi;*} zOh5;ty?&EN2Pz5jYo(ekAl#_QLO4eIL_4Bw-d!@66q69cD{8}>1M)Z=#MHLo&Hz-# zK?J4^a~hzsgm`XI#9-C$&dfOKwhS8+Lkx4ZUC7W5*-0S948&lj=U3IMq;$lc*I_Lc z%0P~QYl5+|>J9%Y3Ha%{y7Vu@#=%^cb;1eNAjgPbUXDOK%2k5`;_=AG z`8DqadLCHdZscoJL8Q13q7c?v-HhYys7F*#+Tq5@;8ZTVeIA*P986*Rvcm)@4=+tu zAhA%(fIxfawAG+Mc*h&of*OaF`PB;k>b?!UtaoXC<5cBIsA`0>-SQLSlT~IJEkJ)H z8kv}L|^Xc|^rGCWkY3(b`o%5LUiVqbZg{wpble?9q zPUZY22WZ6xxTPft3q}ZcFF1yp{!S>TA6J?jkRZ|%k*I4Ltm?SMmI7M9vytA|KyyRf z{HpNg!n2Ve9j4e5&Uds2@faI(R8VB~@gQPo{;8PY*C6kL4R)ZGeeeoz;6?M!)U%AS zt=YVw9M|y8EHsH%h7ivPn8T%a_DRM{@;-=Ql`*0P4RtSuEsI(m3X-VxB z50^rC`OD?}dhr)>AbI0FgLrib_bC6YRu0SVxDWfef9l_?%JNU0M?V!bAG_1@p5Egs zpL^_cNqmoGl9>t@Q*84Xv3caIQ703exM@0$on4f7SOTLRcq2G;j9jM6U$%t-mHc^d zFOm4+-yx@}TIm?a5tkqAJ0hwT#+)_WWFgEAN9J{<5@Emfe$1=i%7F=le`qt@wd2iB zUA2jNu2(Y6TKt2QNRrdP*R*{x2%#clkaUEs^(feM>-@DNdXGJG@>g(GvI2oP=@K>lcm>*~>fPP_)vN zqy-qCygba!=SODPIBd$cVx z(u<2QZ*HGl2|n4IHflcoW1f|>e=|UAr0OEG(YyeMJ?d>7g}AG=8K64?ccs?ES)g;y zPZ$eY4;t7a_B!@6?8i zaE(Shj`U=Rzy-(q+^e@`TWY|=upqP^YqTf}hIVWM`>t=Cm0`8Sd0=#cFehbLup$pD z8Mb`>dmJfY>s=e-o|Zr9*+}{YCL-R#1f??ND*~4s`LpDC#bIV1DxL^)cBr)!hCdj& zLvFS~NCF3t;9kfkZ~Na|@FRNzF0$j7GexC9Tu>&Ao3{t4v%^9>wlj1X=taKeT8=d? z)6eIh_n;I@10B0pa1K1?$f;-x56b#H?FRRje*pLzU6w>uz4Rma5C&) zKNmK61p++nRV7{bi?iT@Ri^|+xC+2Oaq(N<+HlG;jZDLlC)!M1^HV&jBV>sv;x5mQ9DWD! z1UPK+kZkCcTD&kerCv}JnOuZ|nXMB-|yq`rOp*g(~I=S~Z z%^R?wseX-N+T{zw3xBDGgh6S1xfA7!LK50d$9dw*+l+t}p^xs%O0gZ3ih!>M0aiTW zZrn-@Z8MwdE5iwPTXIudAh=UYt^*qtO4f+3v&6rC1K4H6UbN^zv)RE#S+va-Haq#N zp{Z8)KoDD8 zlz4=r+{}Z{qE&|L%)_VkbNgR>XVN)?Z!?6({$Qjzme)VhzO~9|06k~J zz2N-ubgmY&t`I0Xjg2JCQ|^|$BihDoeQ#6Gvmv7YDs}D_wvFCRicCUb?o8ETKE&=$ z3NWxFnxLVO11}9mQ?mn^baT6=d7gJu4$PUQo$24XA+YZwA2X6c`FG_@l_*L7rMr@j^8~KUAzl37; zA_Do~1p;gdk&xeC4G^L}KWZRfIw*vf;F`S{OFk}JfNdkfw34Md=***@{qP-ri7DEP zdB(@(9(2ACtn76p`LW~LNC+>9OjNilThCma& z<3&q`pURVKf3nut6%#c4=1i#kY~9dWwU#C7=h`39rR%YI%P%*s2m6q0uxaT%`mrfB z$1KH0DOaDT5Fc0>}CXb){_>8f61Ape>cl75( z@W3CyMuGT?_1Yl#`H2bpCx01%*uSWJU*iZbq-jl0V!LZXWo3~)uI6dWl_2k$E97Mv z#`nroAyQ&Hf6Xi+M-MrecQj_ZuWOS~M)1r0vWx;ze?`r;l`@MED@sDvoo zfietSdI)*cv0AzasXTlxu*4Io_Ci5slT#SaeK!8f@Uu{Ok9~|vpqk+c`Cl<=N^5i^ zdrO|~Hki^>+fWI~3{jKxNf31`lcfuwaR?u6k#SSmZJmDZF)C?PfMhfKF@WKm<})Z3 z1NVvjZgm!EqGn5OdvqE~c*%J!@llwl)L)Xr_dMMKPN$vp$2O>TsCtONb$gPrTKhTCb@yCual!A&#h zxpD7O!$^)O(Kl`6ilEddOrR2q)Zt!I*7=b5<)TWUkMVBOAxu*PC?2-OvR(OAe>Zfj zoLzDAIHzvtb+BS?pH{!lDw$SpEe2Usm%{j=3AXjK-UWu1)ea-O9nr4Fulb&*e2f70) z!P)%8L|=#H{Ratm?_H;zlM9<9te5*gs`JdgPPJO2zgy-f%aw~MLK&u^H|`Qu?tZ}) z>D}Cvh)qRZPxn6`q0H)&?3dRJ?IGx=KsA>PIc%%bOx&uNBG~mxpGrh3mTQyAw$3z0 zFCOC#N+($^jj4}<`V}>e+0jCQL_z4SOF2kJVYVIUx_h#H=oiE0s#*5(;TL!lA`z+y zp1}Tvsig2;JaLby*zl8j{2~@%ltNVM8K$gXRn_0P+1z>E-(MrmR#6P>T32eFvR?8% zBQ9MRkf$>=tKiJZrH`5{mDm(R(G#N)lV#^dKV@4bjY++m$tX%kzbo!mth-~ARxts^ z(r)Y9m-jQayJAo0#^7Zx6nD4IrdoP7c4T4SU^TlTJD;VmkC+shp0qdmY_;Mzcw7G& zGk#|58gKRV=;FH=n^>3<;t${<-0MGNu{gW8H?3|A?=dpnh|M?uO!Jz0Event_|ZCJ zKwIJKN`kb%xu+1$r$5ZCZ054~EG-key(yVjbZ_eeRLeN8`KaX-e26TaW+_F0lTTKA{&F=wgo zhPa~L2c6Ui8g8ZD+-*54j(-BM5x$q;F+L>+!3J-@n@# zCJNaf=d`MkRCn+HPV=-?^48P#?8xFf_I$Phg^&dvUr63mVshtn zYPP!{%XAs>k)0COKls742@n`m7Qs zb&{6+=_>WE=~upG&OT&%-ok>FhEBq*!JWvMVrSE;j|?IGIloJ4tsBP%uirne|5(uX z%I6wtfXCGFy6^W!vvA{&Pg7nWm0rDDcQ(RnVs<6uSQ>waZ0Lhs+^30+TQUvh z$y2br2wvq=kiGF0xc`O(ZDmCUiXH3@Z{l;*qpuGw4?OI>&c1;;BO>y2$jwtP{JX&t zT&{Nu_v3p!tYlGIGNfFa@%B^mc9w~@xWa;+efYbLYY%8Mx-MQa;{TjEg@<2mmNRzykMp?i2 zn>v3{;4vi0JCr{0P_3BVFS6m*p%Xd$u;;A9HJ941h7~n4f~pImj+f8f$_Fu{1NNml z?^wV4+H>?x-|(ZA++tpC>H5#rv<(Z#&>Ieo8$qU}>b;G1&rbNek*X%NV!vm)YN1}M zU2pa5aM_tPM`zzJsIl0(gLHU@gn8WB?ZtO>C1v-0jji8`&pDNwMo`GpYUK!9<9c!71mI??*5$En20o63jCEJZRH!0+N!nz70ba#`SRHt39iBS zZ#O$%tJ1x{6yGq?Tb17(zG|Lmc*{JIH>~n(X7ubcq10y;m-v&z70nYHYrdpa(lIdW zmW$sa$U48m9FUN;D9DtP^7WabQxBW&PQ>aI*R7iKs-K-bd>rhax%qC1=l4@4pbK^7 z+Iwc-E$Zhn+VC~>7_FLmHOgjLa-@Vx-xj$FfAObDu8()tS?%$;*mS#qPG^(mY%JMzQv_&=MVn5T*L^b}+%a#H1iVal=( zwr-vw6>wUT+0=SRbndo(@>S|f=N_J%_e@qG=h-ct_``2zQ$X)Z4!i9Evaa$|~ z;m(&OX`PRudHV2Q;bJ=fz8Lh(iqX5| zwUo7Wuz&xr4qj8S0Z%0-i&)pVOnlmCGv$23J>B^C$2%K=ne*U?pEBs|Gza%5^U~gH zLNvB}QYX$W;5_P@)yN~wH$BNuiVSknYqws%DS)C`33<3$Z+1hbOde`vYS@i2)wU^v|cJl)OE;_F06O8q&P% z{}(i2ds@R#Im66dA-45$(pw|Fj8jc2Wq0pEPvKt$!k^U5)8;NeFdKNaQ#c_l-?jh8 z&b3B4{kH71lYPgZyuOq0R;l?*t-uFuHS^nsX2?|gB&DUTK}R7nUuM6C3?d7EDL3cT zz}A~zf%+eK#c%`ES48M}RO{I`T7IGAF%_SdKj6`#6~oIDtT=oa+e-zmcRmprJmL zI^atA;V2s3!k_-k)uiL{gMyy;(6}i@#na(^N8?(rEsl2y<9x91@2NlWc#)e+dJ#T& zM@iF=H~xO&-H{9Q6q7~HdxP*=*HuSR()Nl!^)4{G0t#AQtG8%6-c!GOVmaO}OWk7U znOe^j^l>`6G7CCxt&A#znS<_*XE5GhCAZaBo74>oxCMdYHJyimr!8m5#SWLjqC=cLNG=yE|HV7VKGr3G~nCOh3{6 zlU72mqiEANsH)QkzWMBe)K?qd`1cSM_jHo}K6i`jqkdk>iGyEzIp&k8rD)j~bn}z3 z`~zh{HRJLt?~CQWYk}pXPOSVWO78Wt6?F_t8Pr+%QE2+ef8<%GTtTgX8&>gfc;xW= z?L&i=lV9B|<7oCP2ls64kvlNz)_&hd=8U=A5iO8M)!5Tta|iss6+BiSO|8EE7W_B~ z)^@$I^*4X!DXfm7R?>%bZ|#PT!okcc{RD0Pg@r*K2fAbbuiJRVb7y07u4PHjR$a@^ z$bJ=BvhfLd_b**;STDly$l20>)b>7{V&f_I>N7K{9ZPqT6hN4Dc(HY+oOh636c?Tk&4B ze>yuh()T_*PKsb#%`|ECIjZG4eCzh$V120dL7lUErXi8OhwLRH&!4@NXLobok1HLE z?7pPa%EIz6qONW5t^3-x_5>r_56UOJHM*Q44KCD1cpiuT>t>RseRrd(+66MMG>1!3tXf4)MQ1k$5}11skuaz&zJ(KGvBX+^xgRR4q6n`IL# z2adL;6!T9G>38k5Vt1*Xu%41UjO1543-6L*V2>CUu!XuZrwjrRlh zo)LOe;(eJRXJR>bI`xj!gEcw*{pZ!s6#j*@f1aJWM8t=eNaa84w}pB_sI>v5AS0xZhm>zLQp*N zqsi$BM*a-$Mm=z#sn`-LeMs7p(~pzdXmeDjH-b))B>$Kb-pywT=7&SI4|<8>ffI)j z`aW3DKWT2pOqf2Lo_IOsFnqN(4lg1<+i3bQRW&T~c|gg~^NPVTT)RIBZY|{W3G{D*!4>S-YmaB4 z^Pc>$B%>>i&3D{k$X7n0MRrl}JU+9GCsciZ%J9#`U`5aGy}30$g!@Djy`-6Q_D2J^ z!`7nnB3F7P{LEd|pM3i7eCvxgzNqokWd6W_Bw@=95xN%AC%XLDvYx-&pd_s9hYzXO zfBB%eqq0H~;`&>!OG??|*dLZt-}p%-Nu9GQ=8?T$3YJ#{`+ZDQ4s2T{%KV+JP@3>O z+lR7kME955`BZEY&*|ERX7*R!anAQQOp_{|xwgVO7knp<6A*~5!Qx2YW^R60i77D} zV6tCL{W$I+O8bL!?=0!;)*Im#8w8t2=pwnQCSf6&N##z#a)ky1J=wRnEXk+q;x<$Z z>6zMnQyPgE6{StP(oB_ zmd#T2-vKQ!`zl3@?f9aqRQ(R;#<)@`wr14Q4(!Mnc)<0dGFRU8}CP^aTF@Fig z;=gPB2p>$U>K`TVkQ?Mda8lUEx^$3ud~%j(R_(e0Ixgj>*$(GtQ`Y*N#IrpuhU;_mb?=5|S|8t$P|2k9b1} zf*)KBreCp@{vcKdUPfFsc?>QDp9U@Jc6E)IZMOPA9XJ)dJRK%eP1L#wBI!I$N~zye z0HX2~2N{N4g@519P~dfk!OZZOyj@5h^EJ~Og}c0C#(u|n2m8alKE12!O%J%9A;y59 zSb4i(?XI{m?*BRUswV30pTbx$e#yqZwxpFPH zA%c78CxOHSjTr+g#OJ-u@MP4|Y-zT-hXVD`vBX%+~&i?-^!;e{#ea zlzYV39o?fy=j7yf-0$dJ3hwo9o*sB-N%tqQVVEhx0?7~_<$&USfy^wxB2}Rfj7{d! zW`p2fD&QH86bfpd3a9W)~-8(xo^_-3zFi`NpM9lxU;OO6&`dy%5 zdKjBuctpo6kG7+t#rM_+-oo9)flft4h*d%rc$UFiTXVbhw8YIv;jow;jJH5DPt*IH z*^U`LS%J0i8?s8WXY(#0UU%`t)|GFh`Zd9dXiaIBmb{r(m6}9RbLV!b=y)83wqA|v%=PxS;8SXu2oqjpZedub_VmF z?sCREX2HPgLI1i0gC|)6Ga}Ie$OzhC3$vsan}c-H6j;L?@-SyrF1RsKZegr<YNi_2+U=^z3yy3U zSm7_%6vpcz@BwRcrw0}BH^4F+w#9ja5qkm~!yxu^&<-#YVzTcvV;W`b4~T{S-5$g= z$2@gAdBmBBB!qpOu0;j>efN5j$KB6p;yq$p2D3SHv5h|2KyQkiir|5^8w$cXqAP@Z z#2!Xo18Y_X^Xa!8(Cx{j8t~;-ECR#0fM*2QO8-3c0BW|4T;$+xMswIVVP>2qyva^u zfw9SR#N;%i22aBO0hzXXo1*YN&fC6!5igQ)lL#)?({LwiD-Gx^P!9@xSp&gg$UPyWqgk)y=x6bAEqs?p|gO(>1tC!zGV)GuXRgNY$2M#x)1dKY__J+stDoGMLd* zpm<8E8mJ$6h%lrHj0pUCGU5wI0j|uuya~u9kZC$dO%*iA!zv;09{x_xnLrD(w%n4A zPt03(vNwB_QlbB5HRI90sWAnL*#ftwi?KkP>Zd_;JSgubx0~6;WSu-jSK7d>!M8g& z$9wM~!LUaqvd*JTls^jct)=cQRC}pwBt+7{bby%DDe` zB80at@w+MF^8Y5_zYKs72`TKsQ6gX%Ab8=S|FyD89_Z-B25-W>46!cV&S1{uo!84| z?63b%JJ;FNgrY>{ipUj}jzSbvq=gbeDF!iDngs|g)JPGe6C$BQ0D&t?5fBKy2uSZ$ zLrE?Q2%#zhh88KIw*&|TV)A(RSG*5z_RD_TowH|lXXnhESqNh4UmSkaCWxNMI#Vsk zs{YsWfcdsCPoNg{I@5AH|KF;|018tVw2eG$1PvgvE}iU!XHW3B^UWs%gG3)m{lK*_ zzKQ0~(eMaHh&*JP;^qPA`6?FCLb ze%Hj|7ssUWumSFHb_a9_Ab&0a2|xZKzrCGHo1XI`y_iCZJ-|nwWUe2#6TLFj$4?p_ zaZNNl;UUGXAGvOqdH~7iv+#B+7}bz%aaz7Y7XgxRS4r0KVKEf6X?wdxuhtJmPyyQ1N(0<|1hN73T$^0HU(y!YuHKR;XTu#HDgA)Q`!xPz9qW#6R znf%(Mjs90n<-HnLNi5ZB~y_6t;wy zHGh~aB-i>7+WUxRiq}qWFl&^sCC83iO21N9!0wWXHM(ZSl@43u>K#e zI<=CySpwu&WoZ+?HWl!Qb{<`-W+Ww*ef{=v%LI)!tAw@ z$^>DP>^3Xh4{Gc1rTjK>j%(SN+IIh<5-2+?6nY*6^6(W}oD`NIO%UOygKA-EF--b* zp7E?IS@C{v3I*NU@YL30s=UZ)e1&wCY~P*5cQ@m+>5J5c2%hr~?xWBPEt_Q`5=86K z0Z;INBnyqwPijta=a5-4pUgXh9gtm@W1koJfwJHmpg#l9tRl}`jgLw$NFEaDw14~b z`4yU}w(3Ri$nMAX)C-$(g$*4_NLTj@LY{T!9?5XIgWLZ) zGAY6f<>w?Wb43^qef9VrGZFPpoDchyZ`>0`PJucJq_evR-anfiD4IK7ye0vEyWcLO z)wP~~9;b3XT2;dGJqwK(4v|lbgG-{dQrO*0LoX>1I!xE z(^QIsAw}9;Gxz=hSf-wgxCXP^{Z&@{p;z@Zhn+k!YN}$7l)aQh{Y79W=nSef$!+aQ zEGVw8nBt_8#*}R738gI3ss4-3Cw%6xl>Jx`M;mLAmYU2UcXb)Q>eRl0Y(r>m>FHLH z$C_&8ER@s<_#f)k{_{eyX0 zzlRf+cLFy(jEW=JrU#kod%P~+bZT^Lru{wu%R$99jrI%<%uU)M)g8#-cSlDTL)EW~ zsv=B|`F(=zNIEf3Ns2Pm8CCw?_|+QckE?u-Dq2Ac8h5oc(R=&sbj{eDq*#0gP#uh! zl4*Y7U9o#x2Dt!Ztf}%*Y)mM-vj*Ux1t^!UPMsUh8rrytug`%Z7NVc7`zER2s2TJHq7vQ6=bxm7emv-*cs7Z5GJSg2uAAjlU5IgZ%#viKI#;o9XOPmfvhfswG0^+E^y;mXb426G3)LlYx( zHX?lu%+mG8Wesr;3|WlP9}ww4aDGy5ZPjkJFLb(ReR{HC8PD&mm}n=oJ~W&@a8WSx zwKlv^)90`R71GDBxf_sz+EVFu*C7Lbs{7&4{?JgJIj??SmiclV_sGzbB@s)oD6g?!Z&6BP%b%B|H?Ihf`9!cTC z(MW4iogr69QC+~FZ8@D`I_*;$v?}CG4oE)qz~xAEobGbA>{u@ui+rgxbEs^hs9xE@ zD#c+Rg!&{NISY@f9x`+&Vwbfj?+5LSvcvDjPPJDuSlLH=;QiIpYoj3Zqg0gyjH7M0 zgK;%{LRtuKE|+%YOFid4-7$7pGj=#1rcg!&c6$kJVuXHJW|Z=MlrV@FGP-vqFn90y>A6DM^ z#ey;3YM^gz9iG?*`Nz!IGVPM+_=v@to!)8?6C=tDp0^{`F%`RmG zKc{W?#Ewg3l4lQon2ROL9ahF@2zJhSJn?eL+Tk&MXT5LZG!v~I#`to`h7kS6v@NI> zXNApCkO)dGWoP2VwwOEIfKt1=0}=|?;}*l84c0eOPpoYkT~mWGwgV6C69ty)PH{{d ze-3Tqy7F`TT!>M+ zt9eiL$JiMMl@iEiP!nu2A=|8`km`ydnFPfXv|yr@)NCNq7~4RAno{ zfZP^Ae-mPWQWf_!$#cL&SWpI`2o?q>2f#!ts4T8ir%th0fo$=q8mWbgc z00W0RKyCHWGDXhpnZB8+HPRci44! zcyE-wHxmI=zQnQmL#wfWam;QFW|LTh10hJ{o5`}=H*3qz0o1tYpYf{CPKRsiNFr^n zIvXq=7Sy{*`6dJZ&{6g@xN#3Pcla#uhX7B^4$E<~uz%obYcif2Cp%>EdB6RKra0p%+T`{y z2>eg9y4u|$S)}d0q%tbyw}IXQ$SOLtp{E|a@LJ_ZUUGk3$_nhXG2+iKGkEmx%Q-8* zQu%^zuamB6-Q}qlkgHE=B_8zMPZQZ7lhmr>P6KjVWTdhuQ{Qq}KUW~NP%(CQo{ne( zS6$vwnznT(gMlxoDn)+wqkX7|b+_sSS2|lKpwORIo0#P`_sA0kGqoN z&9Cd$*4lJ8{p6VT9G&)4c@dv&Vg*?FFtXC3P+%L5^%kgcR_U^^HF>5`1W%e96O+0n zf1{Vw1BL+Ha`F#m(cxz;&H@VmCa~vluUA}qnLpdutk=gO;+1g!mz5O22*z%SZMP5k z8!?#67)&uqEL4gRgIaA#4ice`#=5mTTk~RQWwRhi&$dvQ^WyU86Vdc#pTM;oYSr@P zhYkzhq;mHTcH>+y=z^4r9ly^ImfA;l+^cHXJ+-pTY;SJw+erZ~zJhrU2ahAZ_B;u^ z3jzq-;o6n04}pRUhJ?#=4RpcjY``Krnlx2oL(6`NUX(o5Ltz7V^%A3e-WqWWy->w) zm8yx45|qIr57}J}quwcgvJvJpPuq;^8;R!Z==Q2_O?5nvQ0*zP#yH+|)#%*J%nkkf U#AaDwS$gl*Q|dN@`@bCi4_h(>S^xk5 literal 39310 zcmeFYc~BGS+cv7~f`CyGWs8D}ihzjhOU7|QMPL+VQ6Mlb44Yw*JtP8AR0LE+K!NBe zAOZ;vvIv+!5RfeyK?n$vga{dlkU$J{NR$4W`PKW@Iqz5BsZ(|SJw;Wz>E+3@+}Cwq z*WDe&nl)>x)z-qFG4S87@Yd@}bW8~5+I9;|vjb)p+kcG>3BSDkpyk2s2dvF44w@f4 zU}3r4^GbAF$fYaWe+#-4w%ua8=c(=9SAO4q>~hF;_|Bvc3UD)P*3cgRyIC`;_@8Zd z;eWOXYkw548+SV|r4sWWKKkhRh%kM5|Gr-bP8=*9*uL}m*_|OtPjuIQr>hmy*-vZ%PJq#Gg(pW#)vcw1?Pa89OsbN$H69N9Z^Cj`T z%aoe|ads)E-clgAu)7yA0I|e>HBT*9M&(Y8edW4$N-L+9UqpjLjok|?pKx(jsBKH+ z$AX;2C2#KT-X#~Qq*l@m;OmMU#sqVnu8%Rn(oz4CamL(Z2j7yfS^4|X2W6~c_c=^_ zFL6I>^$PQC5Xz)@Trg+g@>fx_uDLJeG8n$h64{$xWQ-cO5)#&pl&H^DCvto#+xCf zFV;4XT^y&H&`F)r=A~e1-4v;lb)GRP8=4}1We~+s=)3S{Y7>>(R6M&xHj9s^HaD-J zO&cPDrOdN}$`6It`pY1{l=Wi{#=*(29A3XE85S?^Yc8qI2-p2spZfoQ{BLuBkJnAW z<=|yk+*Z(zXm|RTYRFN!<0K#B6xP!ojvxEUbb`8}GiW>L2`9C zA+l}w6Hyp&v4m*&(W7(p$K-ECMGpI{|tM@1_sI-8oK(c;j`@}iW z*;=UiYw`y3_LhCZ3q_4@iBEH5bp7z{=PmX%E}+&*cLTjNT<&b!;?pM&Pw9VLG)cqn zGal-R*#PoeStASNm5DXH>o0rHjL$9|8yR3@YPkLV-FEBHQ+xZ*@}d3seWmumKETrV z3r@4$cQepIa?EI@t_MedD}nxLydFSR!C8!%_qG?tPWXNc4-AnyrTwI}cHBdDF9#!V zo54X9ln!M(&7>W5M|g>ibV<+xKoznoQJXWwjxlPn2Vba=*Ah2ztT@Qyz#E~aA!rNy zP$4VgQM9mjR;G~45gZ5B3F8f0H2h|79cwaoBi1gTS_xa2Z81wYE)-pCzBzQMWD79t z-xx5%^-z}un9;k14f3q%FHlHivwN&t=~&+KwlbT-!{7OgFJl!i(VF8u=Dh3E-bUbQ zzyvX|)!8DlE+P|e&F9K93l{2puJ1q^G@1uH z05vLtHAo$fKIe!WQ+REvB~9!izceL~!|&Y4I|Up;;HrO<202sWQ$CHcw!+ zrX(h{OOH;DD^M+Im{gVl=Ut=~dP^bSo!?-|+Q^BgVeSf@g%hb^_uQGma46x2XTfVV zQ3w3Y-=`5VW7OqY9N=U$uiTn^6!-@FbSw;0lTkok z4`P`U1~<`>Fpq1KPCNM(ZZ-K*8S@z_G7U>K%d&jd`0MS>Ai+&z6B284X#&a*nnO zpe7UX>=s$$M=WgFB*wU=a!ttcM#sQM69D8*fNI z3U)rQCATubO;NkKM8qAD4)_*@;KhQTMo|+4;)3yH%T3mSjlrrdZN%G_T2!2n7{g^> z2TrD<|KM&9-yKtY6#E<9q0jgzkSzRyaMs275%4PHZJZd+kR0lU_&TaR+9Ia3H9qpW z4pEc55wMSU!pV{K?m&CNS1M=~lp#&KO*2zy&dE3mcnj|$D4TJS?3=y~3;6!!!>O6u z&~3}92+GC)>&Rq(&7RSZezuXU9Iai?5_`8M49tHgitV2V3Uf3Cy_ZIpQSFmxlj{-8jML!~X<6SCNeMt<^4lp%=JyFDR&Q0#dk+pAzUgc%H2| z!bU5}JlXaW@@$p@J#;!jbLSgFT5nsvOF|;>SL;gDc>-Pwh#QvVm+Y(gYo`#qKg;{~ zD^WY$V*!^`LGV=H)cB{}5k<57FFelpPRVv4r*Y9Y(^jBNN(mjlu4;l&qCAS_cGWIi zV=MaTpdRG;rb@ZhYD5G_%pNpsS&KbIupv;jI9Y7WQ6NOfguD2RYcKC?7wKi$6}#om zNyLPL^Nz^oIW3+epq3iEtPCg$dGd_UN6eTTn3UrD-xuxg;$Gvy8&%@CX2YXzP4Dp@bfB0(5UykVpO zeSC6Wg{mj>A)wdd57C-ik?XK)y4(*s`FHmmNfqe!6gD`M&vWGEgC8uO*K}gb%kd&D zqe!<<8*D2JxwFS7W&Z(Od<_!{nD{7cGFPRB4>l7KEpM-gzyC`0ZWGwWuy>ro%*s z9%hV<{P9Jk2Jnu35oHQvpDLFS)ru}BB^Bny$Sut#ZUn1ZeJ_6zT?V$LN*5gsaB=La zt39aHqq!WYEKPQo zl6%2v39mKmDELkoif~2-?22TSXt$dv&W(vSCftOFWR{+vBb*XOpDb}jvS&C)m~``J z20co6cUwUsUsSRcdVa!zsw4Y_O>T~(JXv|H5j7D#3!c@OK1tg3dcS}0AK$-U^g5*k z*=q;uwL&HvyE9^caYli=xh=M7kJhf3^HzB3R{nnezX_!!|z;%JU7 z3g&9xtrQEmh0IoJCeXp=8?`84BiQ>7GMR0{Qh3n<5VG^|%+1Vh*oZz31PPBJa0=L< zhrDRk&=6y*H>xsH{O-|==+6V$ylP@@%pRHc^RHj{Gpw?gXEWMSn&V51t{PU(5j{Mb zzX3Einx*cOwXiGQX7v7etpV+U;n1@Cj;8z)kN4I+DCVXAcD^G(KWVJ>^nsKpr@3U@8L0ddDh4&A0v1qQcwDdQgLdz+Ch zzDk_>idj!=FQrpE#jd8eE$t)GGB4p7c(qwN&Ic!nnn(mEg3VB*8+t;k8K?YXA$LXr zsb^ccZKCkQGZld<-j+sAt3(@p5X|x8(phlO{=7+=Fo+Wm-$`n=0Z_N*Eift04pUYq zPulBrEHOLm6XshzwwD!~i)UAk6qQ{o@`tk`K#`HVsK!8&dU({e8?55}s4I;KoHyH< z-Y;}81icz3h_Ar(s+AfNGrU1*j-0ugtX>3@GAY6U7-^FlKtDX_@paU_G(j3`D<{4k zqf#_<+G+jvPewvlzZFM0!A8Kxf#f$a=*h4)t9pL8oS(ZCMxMN`DhrxxS--=*t2ITE zL32=m+YS=OA@H^>5d@Sv)ix5&lD{8nImVVXZB@f;f#WA>tIMAu1dkgwcZ4T}wT9S( z;5`+*I_|ba|sf5o}w z6kC=g*$`kEi7v4d>L6r@mLvir-CG?VtApgxhcl`CfNM=FwSIEs4GE?Wr17Gn;Bkrn zKcxI+TV+LRy@R>Q?U+C|1vKGYkzZo=h9-Q!4VkuFCXmy$HH(f-CymOPZ)l_j;8J;- zMMHh_*Nh&R(;C`gj?x!8B1(>|{L^UxLD#U|@+`!ca+bj0HDf1Z%85|P%bv3z2u{D4 zj{e)<#hZ=NX+*|1!88hL#p}=IknsM=<8 zZ1RUDzXsY3Z}JFu-9{AYtk4P(phK~z_0qhR z=5iVwvA*o!cguEilmn4Y`461U=oklE+ZEj9ht1hPPxqv;`Lc|mb_)QhJlBiXayExs zI-h~xJRe3w-4k-zwesbXtBYf6oOi(|tl(tSNX|Gev@rw^0bvN75;mGZR3vWZxUn}G zw(Q0F5p2?PvV>cNpAZwO&iiN=+g*+W5+MRHp(OJopcU}DY38XYElxg-cu%+}G&XFp z#Qw$}$I<=Dy=h2QM-im}tmJ4a%#AUugi@HIsvW=y6{^MrDw2hZ=DdSp7vlgvZcUNC z+l2EZETt}G3*i7qOu(sv_FviyfkU-iB%oBN`)J5p@ma#dLOQ~E>%?AKMytbd;J1Vf z6{HeIo72vTFakq>4uot!NK-*7Vze4D-j~?@aHg5L0@%T%WwlE86n{5@O}5aR9jr7r zHgqWAeQQedleJ`B_~Kf&@o}J8$Tb2}5~@|8HMnpBBb9ku_+R`^L#29W3q3e4$ADh} z1cIW1^C95Zp?1=!Y2NpQrNVS0Fbp`1pvd6@3FMpkD&q}Ab>W}z7)4H42p;38g8Ak~ z3<^b4I}ZGZv5j4_cEdBVaDN0b=OS6GRmLV0c%X;5j&P%}4iTVA*-h(h^*#oy6=Doq^stG9{0#F2C~1 z#_3N}yV9Xuzdp&0wTm)P8*j)kh)i*=?nnP_4XFYzQkk*jj8zQ+XC@Pl<}qMMsB8%8 z04azGeH?~>Ru&*RO*YXUY?&lOmmRM>m*dS?Gp@z4X&1nA46QO^?UM>3ZfBCx96xAH ziwi-MfY#+KwKpFF(uI|VU{C^61*ss=24iU^q;*a9Pc+pfksXtAn%%ybsbI`fAXnD28T*&u7sFp_1^Nqed|CuKwl z%Mf_EmXidJG$I^wC{K=9KG0>th1|qZW4pKj!9pV=Fs89&^i+MNIXmMx@Owh33L0iMPA_}P2y52@t95zQ zcA9rv?@qhfnm_p&jE*;PuLP7w>nWWUVHy0$yUKH89HoR$aFPUJnec%HM;r%^H#pRv zm!$M>g2_Ct;6*VkQGyHrjXCO0Mz4YWgbG8MwS^<$P>&|81s}vtlCz5)X4JA7>qf{=CEpdo68dO@9k8Hcu8H2l(PFzAwrs>k5s<2oVRr~I zzpa}1Ic#SbahWtkV>7;i7p0D>&>#$gs`b!LIP7N2Rqsd;R2}R!0`mp~PU8$Xcf(Mv zUCrK&PlLZP=|iv{*~0#O}_CM(qYe{A$*RQKsfKDIkYLeBm@Khoyt*LIm}jp z+Bg+6qd~P%3*O|i!Mo`Hqh2u45g1YO>!ASfB zvn|sFSPh|-L`bm&1s`c>6YKdbG}ixjR+K%AAn-VrgZkJt1^1}`;VgdqmWMc8Bd%*l zw_2;%0dbOI?ngcH;G48f?4W%z09KPUR~l6v*4AeuoytpX^?y*5`K6pndF1q5@*_B) zfGZr6O)}Js$qaROvw0|t+3I%U4tD)>H_L!CUn9yS?;Qs7VB-SaPoHEMmxPVfXg|N>B zmw|a4;?6}Aswx&o@J?sAE%-Te(=OT(>wqN6?P%Oq(ACEye-rho2pLbA@aWf&P0^_% z5)WI*q$oa}EI4w}spvM!M2OKtt#ft~%3DVLBlQRQmX3ML4S3cp3=IGC0GI@DwwrMeNHv|g<*>o_A;R%6{X!MSTajP zZJPhTC003@QLdRA%_p$!d2tiu8z>!)KYO{vX94TK4!?&+hMn1J6lV(OgvmyreFCIH zHNbfgSZk;bGz@|DFIV0cUgR_!1HKA%<(QUsUYLe9j1@7pAJ7**m0wypiA)f*rr`e+ z{3HI$HZCB#!nIMX$?}0tLai4E9#J%3h|bby=!)B{ZnuH{i}c^ei!E zdzF-Za6_6;9d?UVmnp=**Q5!G?zPAL559$ErnBnAK4HM-0eWv_!dR>HoeY9g_5)i6 z&HSE_$I(9e-*pj$Y-7unhn|cuV8M+TTgqEX1UO66;ej&7ndSqGL!Ih?MefE@4%A=?Ii zRDtAhf3PVKdOdmbe15CRQGh8-mqP}v$WNh_%#jJYOw|62eAmwIsX~Xwx(2_@Bgx!y zwX@0!P@HzK7OwEJ-eR*-z&;w2=6V!JN_e9JX$0(~+0fLC?WQ30Yg5eH<)cruk$w7_n)Ju}(Hf0uzPt z!rvboLUXa74eoB@NZ4bBjwmo+#e5B1^ODkRToMpKd@A2T(Tf3V3Kc&V>cA>ABU!Rl zDQadj>xn9Fug3kncnJDd@d(Ec^A|QZu_Nt)PQ})nuZoq5#f=xW0a0M_%1SmSAXq9ce;|c0OkqT;y>@b0u zMn!VE+F4n`O`KZ87BlQ|0yEtsQ>Y}|iy%7nKjow_KEwOAo)>TQ?rMfI{&h1>toyKCZi$Ie2L4tNs313T zirMK#t7?`Ie-ow0@oq!j6J8Tajlc^)tBPPPas$VSvtrny-GU)3-CDXMe9DeL4wwqZ zj2yK~bU6*}m^(rTj?J+I7*#3)HQ0Htu$_#s|4-WF2uELz8DCVrqIoM`)sK~7?W{0i z5sCMAT#G?Aj=v@LT82e7o9SG!rGBE-MTQ7j(aa7{Ab-`r1+%q}0Wm^rgtHup6?Q3~ zn!IvgL)0og9_{)r+oTgwQ$7i6oT70ov_;$qq- z@#?5Okt@y_^IAfAqbM(0?{NUOWjlGxU)MMAy{THc*~re7hiyzj&j^mm(e~)%=!~y1 z*Ws|*mvV$|!e0?Gqn1Ddq`)%n-itTD)zW*&EO35`UjrciTRKA86zwa9gow2*|aoTGMpN!>HvTn5#mTBO?D( z*jMtS65Su*na<*;v&f?frhpWIa|FMtpb*X`G(Ii(Xo3^)Rt2+$xRJA*wbWqPf&jBr zQ0lS^gw^T=P#8B+kqhHSo*DnG`fCa7=I#uxRu!ePvYC3=(y{LbMO|ohQ6?p`J1@4m zDAG+I-@~s3B`1pD+#%1zjQSSA@<~Z{#{^ncP*GoK87>KM6~1*TZt6Q+h@U4DP@7?6 z`me9csZ_@PvXgEkG)qPP$gVVQL9>_jT^uF?gp>!i?>TT)is-pogBPAB$yUqojd=Ph zKVy+x?tSs#HT^2dOBh&0KSwio@yQd}Mr`63v3bfQ)}96c)>iUMQn;9o0IoK$ z-UzR*+CNxOZREuQ6$rdeO90!YX`y8uYL}4l700?o)N4ddR0x+5&ic5s1oMo1wQ?q!(Nu?Pyr@krzp*J3ID+>2@g-MO+tQsMOToYdf}%z7 zCP1nzOBz0%x2mi*gUD2A^z>8$UagDI5R?efu+(dST_oGtJqYN$jov~z7`f7~R@q=E z6vz>IGqqc>DR2qq@eOj8@ZUNET!5fy-oS6-h&i5c!8NZI_LS^)f4fz^HOGGr%PqDn zG4GVH1Fmb^ZdHU1#jke-#u*yN!59=*spu29yeojar<*JF69j^|A!G z`a*)W6YSFb**UPR_OJm~9@wrjIps^L+hWpwkCmb#{=8rv4*huFU^e_D)4Xxu%kk`l zl4VDS_T{gsVGg3?cBz6{7pUt?HHnM}mbnQXbKL$!e0nU}UEE5pq;`%-tn z|KiXIgViqy7;auYiBzFlN7_xZx|Xe0`zOeV zSA)M(vj!KtdaW|YTM=$I!-slswYxCbR&PZNH!G~(3Y+3aJrVNC%H7twVhJqQrpe|yxP!#gyz<%JrTKjZr3xucMnjI=O|Gp zduqEIw<6Wc$cYvV@wVH$Bf|Xn4w)}_*l$vX`?u)k15V!q21y?{rQY`0^_J+UpEj2d z&7bHn>o`N)haQvICe@$VbiUa&%KE0QtZ-+?VDc5Wq=4Z`lj_(Nr|oW?5fZ0sh^|<_ z$K|~CaDUf}!B({-Dn_dk+Gsnja{@_*bhGY0r=WA|>k1q)q2PDs)Wx9r(erPM7OLOp z#Zu~P7wZ>L&x;^B_x0Oc?!wYRS$N`K&UrdB=VOC%t08}J?T)wakI*#s<17Z6x`exG z|GHP{l)ptKH|lM1J-$xxl5D#?=4?HCs_4oN_eAT1=-%`r8>W)4@q6mUG%5P$nSfTJ9Kel=%S|>)nEC0Upqn~^GJbi4? zQ_{4bPy2#x@raPG4vx1I{}O2$S3Bwxp?>EM&yuo}bnS+ey^P^m8M7_zbZ1UralfZ) zp(W#N`=PiBocmRSt|p02`eziifBar7_bz$aE;q4Cq=jDjX3}R`L|$^8G1j%riKEOZ zhhU38j`v7j1GU=#4`m&rYpnc7_n4oW9QcgiQD;|_@I<6 zqR{C%I#U|zmT^$J{C0M(L%;|R@rPzANyEoaclOqjC^KuXGQ97T)EbZ9?*^l*_+Q@V z_`>&Y|9bzr=2blA&kf%jKI*n&Ux>t#)a81OzW6uSqE0>ZVp%^b96Ak>PuLh%Q}0xC zgQu#fhXYsy>Z7+`Zp*yNc8g4ENHG%`z7egBq`l(u*RDf#^O+|rQA1X78KOKg<0@*o$DV=>-*yoKTQxVB( zBL8fY`sFY;n}@;9mETunmOOOSb<@{quY1Xb{VdDdO`UJ?+zZUh3` zO;N=c#X+Gc&4aoPz^Tf<&ZR*A+>QgJ(#~~m{(jifyroxO1uf*CT8-)Yc)M`vhN|4m z7Z#b9XHHFb6_NR_Q~cf{$($j7sxX$4WPzeL%`)js)0*ComL!o__d85|k(8N$F05iq zGOyBX2!-kaUy6p5E9b7ChNI)kxe_|Vv#%a~aUY9W%e&C<*0jIwt*QAs>D#x<{n&8w zLH`X4K5meDV4Z1Rh(d@+%RA*&nW&f%`ZlG98KxmIDooLPKmGUTByH}9^a^w_cY(e8w#r(8)zDa)wu7MwRv#^O52EbO}7qNy9dqnHAz-{n824Cg;l4Ep89&DjLPCJQyw>{9vn^n zr8@KJr4UoH@h#x`u7bW#Q4jO%?EAE}?>N$X% z!wwbFQv)<{9BkI%nXb9Z&Yvar@izA&)kU zT18}Lk-d$6LH?U-;;OjH7|Wt}Eux`oLrP-@&4a&!{1Z#Y{)Bf$aA-T4QiH6~QHQ=i z8BVmOG;GgZcDCTwA7T#FkDtF5;mZpc`?838XW}OvJZGr)lr=%>FeDj#>luBewOe4~ zzct=7?WyO-4umCXHr$`*$49Fk+{UysHi=acUG0Kr{1R|C5k1_Gbics#J~nMyr7Jjb zfyu;9%h8!(T0y{*F*3!M;w1L=tNK;?V?T7* z+(^(!K;3`4?6_ij)onUH*gvI2wk3zRFDJl*k2#b~S~z6vfo~XH-aS=`6F0dH9$zq} zJgz4>USysf8~OIG+JrGY#0Y)wGtvrpzKqqc4mMLeL@Fg2xtaJoWj=l3coC^JxrDfU z5NF1}TzbfTIoJffyL!R=_kfv6qI;4_VunTSlRGb%B)3AXhC`4qXz{S%XG3+YEUQ6v zjI8!1tI|L8R6PUL8ym4VJ8#!ERN{LdY@&6T6xAy>I6PQ>hkLe2Gu^NwFgSM@_%qjT zP_pR+zX?zloivk)UdH;LOLL3I=@xY6choG?QQBqmQ^wO3bmXxMWY%Jk^Qc68f-fFp z^kE$E_90o?$(BeS_PsSTVP112oVSz~((-rKOV47w?g?&3Ne#1@sOI@prWMyD5*v6i z&j%FXtyhi}ET4DMo+Vy^)|TPUN6ctx6E9~kybbTeUkLrHl>X+bE98;9V!d0hG7l{{ zsTSpEQi#4Tein94FxY#r;kY&0HB0%)aeH*g)OXB_um#G%a`N|x8=Wco&b-MzEWL`ZNDXlV*NNzwR`pr;N3Ch%G?TDbcIO6%~0d}WM89cYA5azwNC_G)qO;ms2Tz5wfQ5_;ryI={%{V2XZ7B3YC-{PI$LOx4@}PE9acrP@O` z>+5D$qP-gz%fI(TR@J|sz`w@ZYDV6y%wPmIEe70B-^~Cqfk}*E#b?x8Otiykvv$j3v$ z^7pDf=l&3Fdp5uEKnF&%@nc@F{=LgH>txAvNoimxl;8Hn7P?qKpXb&f=;rc+c2vDM zipabDvkaM@bzQdhfVk4T^@0O_*XfkW7d2<-4N*s9Vcln){VLnV0o@hKJ)y>Xae0O? z)Yk+MEAYB5x5AE;)qH#t_0m+Tr+i3u+;(E_Mj6RbJnAKmG4Jbo6LpjqS(Pcm$LfV_ zo#~tLbk%inj~0i-+FIV8@D9))_x*)yoqbxN=%2|E+doDRWB0DPB71y!(bw+4#s}gj4KkcZd4TiB&7U%DjO!VUF8Oy^hQmWH>a6l-KJ=CQ@d3xD8mnmMCDzPYs}U=HmR#Gk zXqJ(3vP2?iJ(TUJVf#U1OgohArzGu>m;~y$bhhrZr|O+1Yl@pUxWam3+K!W6tm&Q~ z^o~$7mp>Kh-DvA2h}O6s^)^xEc~xIiLH(QVqGAc^W&uO#6PV)5sX43tka{bYi^}x^ zd237k5>@Z-E^N914cp^QLj29mTTj`dP$6}9M1lNb=-(r>yLKofe2Pb-#K#)3 zOeR666Jg^a>&E`U>c*ezx>=0jX3qf1JibrF_$WiNr_esgs@6;vJj3cN&iitI@BV{a zci+W#ihp4DbiL#b3I5z1IrUDVY}szpGK*3A zh=oNB<3VW;w5?%HVkg|P>d55eNMo%w?+TKK>V9|jpQU+xG|pjb=<6+z)^&=r@<8m* zwXz&KGq`T2XV;{5G-XbkZ~r8n3cr5e`q@2{EfByfG(9P071Z)y>ENbqzTXaldmfmc z$(SyTDsRj*C$0O5N*edwmOYg1LX3HZ_gN2o89H)iplNa61N6jDyGlW4@vd*?F%)O> z!A8%u)HG2r?aSZ+DtVUbziFa#3!(?(r+tGVYb=(*VP-=A(or zIb|YH@pFUKZ12rRmnPeR{Q^sEm%c_;z<$COx~+o zkPfH>l#m?rPUPl&AwsY1@O$B;|MVk~530fmMD+o>U9vBSM2`K&h@$ko;8)s8y6n-h zWZcEr#Z*x&`2zRROx0tNZ@(i0q=?oLD@?pB`IBEdcb8ht_A%dwPU-8zb};365A{Y$ z$v-_YF?%5L?OMOYpDn4NlU;z9<$lm-7waOTXI=y zvR*S0Ep>YIHk-3~!98j|!r^bh@;2Jb-twiG=)S>5@<|FWsdxjozWAN9DXViW*WTSN zj-C}3!lfUL_lwSpuUGx>Ev2-JYaQDaCUsb6P49RU6>R@QkH_kX+WQj+F8w9KWK?A1 zZdk=rN*??jduFS3rzA<~#^q2Ws19Cu@<8kvg~f-WhPKb{l=Nag*1tS-Q%9h=J(^iT z$`sd#idF-Zt;nk^`LRM*q&FcU_fIE4j*8Uv>7K(EX+G@{lTGHv@og(e2^KOsI*WGVF^?eDG3|IZ`n4hQS zk1_Jf5zf99If3tsAnV_lm)v~NJev>3h0FJ$BnR}=8C^$>;jY!7=o+ng3J?F$%nx_o zm~E+jbBCnrYUf^PY>vSAtW{YU8x?UWci>6f^qB3CQ}|^{mgmyhk}>~8vu_2TiJvpR zPWC`MPj@+JU6l?FbnY$A_0#6%bXJUS80&e#aIkW>GCc0lfKHiscInmO0K7JuH_OiQ zw4oWq$~r!$|JuN&niN6nPsbk8Su7BV?fsa?Oh%^YOn&6UiZkF+qsW`XZ~8;MxleLs ze#reett65{@`M>HXttQlKga#7GLj2tj8n0Z6{7P*Yj>w9Y?RwGR-H1V?pnyj9+tlQ zr-4~mpqA4$yF#aQ-QI^3&73%V@mfJ{8F$yv^PQPOjU<`+k zlE9ZA{WVQ=@5JjX6KkJNVRf13f{pE2omNAm&GcX^OOn0-OADU43#ZP;`Zp&-+#Ey^ ze#w@r>mF8K!_f3gbY~ZQhQ`$$hVz1TPIo2C_brx}W`)@s3z}{5he$)JZ>k;TUj^4O z)_!>PW3iGUxxT*ZVMA+2!|X3qe%E#SVdx6?NpXw`@v$}Od~{do!F`xJ8aKM7r@UMJ zXR?`{bvc2`&9?Bl4AU5#{>a_!DavU3yyTWP`yE_7kQEl( zhKrGn!8^G@iN&l9Wl^*13v}v-7-t{O*i3AS!6_CjXMOqoP`Ie>E#KnU5i1RMhvno% z81-XOOV^`D{C7{2&9WzVUAmwLW6{n*M4oOj!Gw-{1eI5lfY^>CM{;CxU5n}Xi!doC z9`LQm6)we6N~{K+(?76&fE3_Wg+16n6rDHl7YNu88=IGLc}4-G!9e&ew*HgETH`hS zt}XgX1LH0w#+d^>UVa-DX}(x-GRkVywlOc|apctAZi@=)6PLE(rUk6LQQ_;J`OxId zOWbGllNPIpZxipR@Ay=EGL%95i#2tPD!<|S`nrz}AK`^Rhks&P9pk=04cB`cb8YI= z0IB)dT;sXpQ~GTdA+Y*ai^{nU13fTgBp3#IdS#G{9rcj-S(0xXo@kF(KOK90JMYb3 zBJuhI-9>o-(H70fdS2GEfY#!nZj$-GTgkAzh7RMxeOc&!Nt64~Zb}DnmEm=iT_>55 zl&tjE>2`E)r%~I4Dp7|OLKZ*U7E^uk%j1RkjLK^&4k|U7>yq zc8K11+JXL|^pf_!ZGCRYeU2AL9yrZqn**N3)YGRE0b>VfXF+FM1OR&|rPJI%XV9|< zzXQG^4zwwT^iHR+41jWb=r|00eSqvh)r7Ax00)a9+x;o#N{g>W4wGSe=Po%8N-(s~ zm~W}?C&A+f#JUcFS;bU^GX9%A;eU!uXg{l3m0-SLE+Kyw-kRfO#ZxGjuXr=YkvMu3 zg@S~4l_#k`uqhedtlFk6)OR8VVb)^;3tG^L>!l?v`vB8o*19sm>&MTW(b2L?2gHc5 z3Svw#SvoLd^o33R2m3XDc!@}CM=>PJ;5pW4k`AQEsOtWM{i!$Q zc6E*e_C&hPXxio#EqK%J?A?+J0$#vQ?USTEP>^f0Ev`Wni+*FB7~FO(k}_xV+M`gRxl6L# zaysSIrV%ln$-5$WXtQ>hB_W<68sCp!_rsotJeD#u`k_4VaxneH@)f72341BK9n6>B z98`n42`qW^$}uScZ!X&j8Vf}*RC8EcsoQ?oc$XLgo94S{2GO`PKAXTTH73Q7ez;uX zi=Z4^>b@W03m4j&Z1K+Fj)^YX0JEVcY`YVuHY)X&78?URm2i#~nx#X5O^ zRfIx))E4fs+Ix%u&DKtPsxHnO3=8Zpg4W2|fu*)iJLnu}GT+?(#2!)yGlYVhZjrL| zno6N^>l0h_)Y$;7>63J-9O)zjR!ro#Shvpo5xrlh>@)5{@mXqFv^N(dKZ_=ZJ}{m3qPf{bm^WXzDu&$RbEkPahtC9tj-+7rGe(J835n9Sgl zjWD)9Y+-aR%YcZW%fVk#ONetPmqV~_UJN6j?-}?g8k7H)KVRYoFgX18{Wk$}6aU)g z(}D<6JL;={Jdc)?%S1w#7Q(4I<#W3QK_eF5wlQsE<#FC4ay(4CAQPn$_f{lMYSP99 zj|9`1D@Dmd<@W6BvI`^PZ?S19bKs?*m5;h|4&xFeE$JZ>v3OH-k+z&`vl+H8F6|^E zvV8a(k?|SX+Hd`-=c93#dRX#QrF%b4uN-Qp=Ku7AJBnJCKKMFcxeJ>TAF_P{B#;-$ z%srvzn$4mqsU#+Hzs#et9@d0#r4*|&_B!Y9VCgdbVFZ3N-{XPJ-Dr)-*`r+li=fvX zZj$|npa(J4CQ$8%#4XmhY~}s+QqW7kP98F*C&2@Zm=_1ET=B73xQFUEr3=Ocvh2_- zWP$`yO2DCOD#jEt|(LLPKPsb~f zDs1G2?%SD3p4{{O^0>J-RznLC%ZiN$0l(VRoggr36d@5uz`fnwe!@lG^N1@0ll%`o{>cQMRDqb(u=S_440Y)-Du99%P2lQQ3%}hlZc;OQB5SWG0{`Zu*XW@ z&Udm{l9~9jhf8~9OLV5~c_g$4{F9E(Myca>$dq_U0$LMN0VnAm9FHzEfl8Mo3O#73 z_0*RlO+tSwv=O?B?PV4|mi&6Ig%Wji37iYo659D;J;_<9+)| z)K8tu$OUVfjsvuNWXr-MwNs50saXKVK*>7PZZ0#>H#Wk1`qT26!q@Un-`kx@M`lS4nMYk1x~wW zV?q{P$&C9hEk_)P~Zr{vaXNqOw-h0-cJGGkyfc9XPZZSxo`Ns)iQ8BYJo z2J02ZZ==-VQm=S95f3WW@QcZDi%zo5K6svar+FG{LtL>)vklUKOh?|s`DQY6(mNv- zmXO-iwUE(B3f)}IW$zu63&aEcX5J0GA1ckt1~KR{ zvQ@FLKHM~s8<=AY8T6nZwp@j47J_3EvE6r{kr#Ai7Fw06_(PS~@PQee14{}!yfrQa z^s4DCCbKdwWT8~ya)=cvugzQ@cI7%uFAh1)34RtAdu5*bC-M&gyl2u~c>*Pb*(F{7 zmx%+QE6k8%touw2XfKbb;c&kN{#9<2EUZTBn^QV;PZW#%OE0mDgZ_s7n(;aQMlct} z!)lnUG~Ds|_BM(#(38B@J9pS>bir}_ff?P9WHj|%GB|9O9boik>`?%^L7DmLS=g@Rx}5hJD^wI zb!O@Y@odp6cK=#x7($y41-#Or$5Cc}Nxa~Goigy`dfyY+S6+HtKQ$LUl(p!3W_OE)jiS z2YYzlyjAD=8$&TTU644R+)H)`?dYCAl!NpGR(GHeyjfj`H%`nT_M> zizJbVcE}8+;xHj`2-L|Zr@a?o-y07%f(PIlpSZ+_8|^)!3_n}jU{B8$r1+5RF<)1Uj~C2#+Vsq=KkJ&-oMB14-dz^oa;K* zxz4$s*K!|{Gl=o_epcY_6$P@!N6tyy{dRdR)Y*TW9RUr?_I3v4)+~wr&$3uvs1S>X zOCJFfo1PqxR2oBzWp-_3@SjkP)4AJCDo zb*(SX?uO5Df}NM8Z@1sk1a5*+;(brcK?G#aW#79eK&CL%?TQ~)G6?k~VAlCZjt>UN z{ukmlepv)V?*c>IjbJp*qYWjI>%(nW{!mG`<{v_Xp0%%u*5jWUbp@A=#{7aCmT&JA zoL`CnU5bH5vtEtI4)ed#V5dsg4Ogyl*;YZ7F{Lq`Pe1UQp&&#TvGw&EM4QFT2lDjx zTA`3fjQ3RipeAB?h%fKx;b)6r80pSfRg?^Nn;uNF%-KInuKL8|?Bz5QP$FGMV4A37^LJ5BqBzi{nk|I^Cjfo&WITq)Il;L>Kpg|={l_sO zP~U=Ao^ZGzMAaQcqh0|mbVftrHeGr>P7AdgWR$qBaTTWmHrm(Yv{9;HLzza>#GM8k zdh147xU0ro_Ct;jxM_dkH6KB`lSwa&VW8a{W!F4dCMry22J-YTG03SjTP++P_^cg^ zUki6~-WG)wLPgWbcaaS8{5qcSm8|IiUnXYGdfqTHQ)|F(B0oE{k$eb?+-?m4I8N}= z0bmy=ea`NbB9ZIXol`w0F7{{pW13^CuRZemh=_kzK~RBC#9CFiWag-7lZm7mZ<>HQ z`~~VN>B|1gE`Lx|Jd-wWKt{Ft76X&dfh;=s4Twv@n;FO|C-FteODfyv1-*2N!r<^& zT~~p9h!o9i8Z3y%2F=Y4j_n}({1S;?5*bRcl{|0OyG*dXpPFD-Q{d(PKa|n<8)+@} z#@+W{?hV`@TEN^J_YtTdz`>wP{eyaP>gE6%bVDi2A2sD{xu}CrU(vgcm~(O9xh`}} zr!9cySO3Z0c1qa>BrEyA}4_p-}rgMd$@njaRc zU(AESR)oovh_Y<}?p&ye+JoH&{;MSAz=hyk;P|jO7*Vxm06T5xz^JAz_}Z)7c|?R$ z5f23$mLXHLtN>t7Su9-{qz^x#-pVFUl>(FdJ(oEW>w|#Ui+Fz|1i=6%Tiyk&8RxRb>bXG2qeLirO!R%r;!GWaguUi6Oo?`?Qb=79W2DnAC0w^8q zn!fcN*0$s47p%B-5#RaW5$S8JRcCnP#v-<-h1Gs!UH>SK9~pBK5%Ef87wSnHBo6!> zqbq9i6x_>qKTm$kwX1*%lqyQyj>tGO$x7@uyP-C^cXyrweucuVQpjD(IS*-4wAKp zR@%yU6^_gPwFjkCR!DDZ-!$I30KyLb`SU(kBb`8xA^gMp&A%7Us4@;cdj)zd3->{< zrKfzYcwrL?R$_pAP-@?|&RUpBeas`Zib>S(;1tuDdp^F5Uopa)D?0(hk`+=OZJR6M z5yL+T=so={DaDmdIg>(P!e!;!y#si?mvj|4>MP!43Ufv3H0J0EAK53y{i%ddzi*PU znb}=ryjSkJnz)YT-iG3XQ*#^7apKk)V`Pz8lnM67Z|Wr-!x<}tZk#Je%SK6- ztHp}|JyF)xoQ|bRPq4mfy-G0@(4Ew)Xzm7S+Uz7yl|Z6h*t{Y!^OcILA@n9Y@rsi z;zy<$M%1-Z1?c2kIBB{LZx@2d$bKv~#WY~)(e$T8j9Ih8_izpN=@cv1S$Nn+udG8U zRPnldwxUH z3Mjf>S~ZF>==rI z&kc`NNcFTno?*VoUEzpUYRxfTnFR7~&k)c0oG-)DnR1|)D(PHeo3{DcEphV9Wg&R# zI)%nK+346tQtb>A*43E~?!vsBJdQfgK3=G{^5}@hRIu|nE3RzOZn|lGQkocI_AXBp z3$e-8nGK6K&uaFr93epV+FzT9tenl6+!u5u)V38Gz;hWcoYNI5UE-)d2s_uLup&)X zei?Cl;`F^hGUT9kN;9D{lrXucE~&Y-vV;H#2ki~bb%uaxfN+HAgwXK6%!^bEh#%N= zKYs9F3_Did%y0pl(Q- zk5)>^Yx#R~TXsf=GIPo#;`rI|l&h0TF)4YQPiZ1Do!<{Wn;)P)T8o)HRoBDb9*3SSAip(pRCyj1t92rLz^0A;;nch?f$azaHpuVK zL~(&E&5Gx$pq2PvF&W_jx176-1d9Srkt-t=WxhNs+vlLW$c#8(E+Xsf~HB#Qf}w?#pNCX8G?l=+qS<+s+XLk^FLT3eg|<~;gV{lqyUO<&?!=9 z93Z)2I7ViT3h8Ks%3Kb z9Z@$NnB;GSe3~uUyVJ)88$0YcFuFHGnJ7P4d=YzY46`=wcgI5EC>BZ8^O%kTgw|_U zJEMHoyL*ZW>KQ|ShG2g4n+{{|YE-=mzOfs_K{c_Cy{oo?Uz*=nGRKkDZY~ATsKFrU zU^WyYzY|W7%Yr60>Z4xLy>Qg2ZTfldhz?^h{v2p$>aj~@JM#g5!<3--0Cr-GiNCMa z!F0g!UIe+cy!h0c%Y$tBxQ%18F630>dX2%6v46$TxyviZ$P_2+^4iVeYyznTQCn|U zX_-5jaoWQtrp+lBi_ErNT@@T&BEXct*0(>vXo2XJ@RMArnu`*`LfteEY`vkwTEVPz z8qiJnTB^Dp_;hF5vzlHwIo?mc2$SJ-vbJ*y?t|L8z2yIo&R83c+ZV(r&1^*;8S zkIj*GLC>&~=;c48!u5}5UKM2fH_LkpKGa#5NpyV#GJA3e|HF1?fPAdn8LUOyDkfgI z-KwRH(*e1DA}le-!K^d>t{8y97PXBRqcA=oU5#1;vI$9~z#)JvU}tKrX45=nO38J7Tilz3p9;>HpC zkib+LmL_20F|(4pC^H=9I{pshx$ZKjzq0wBN$7m1P+L(|s+v=J?YhLgBjdfarWsnmD3 z1zb+xH+@oD77$?JfAV9au|Mw$tbG{d;;OH~q$+%381PN<-0cWt`hEQLWf z2a_xs(-ckgLC`2zhDUmJ-jB7oN7N1Y3;n~Z#oc_b-Ps+YGu zf2}sP<^dJdW=ctr3SSV&W>b|*0eq~5jEF0YcvAOOF&;#~wR%sDDD0!P^|2ilOIxL6 zvw?||?F-+yrmvQATK+4Y27!l%k6YV<7JS`sp}7Y&n1f+?_!PD;XrrzeudW8(6D8M2 zB^&4Vpuj_yn1=604MjnjCX@6jqKm9~Gism0E< zrEw4995@QJ!HO^65Bl?*5xTIU(|3_v@3K5d_TdB0JA>3tj~4GX_)Q`IZbBOHYzTjc z^wYvtswLCH{#QVyLgIwPkC}@?tB5rDE=~PcK@)FMo7;ZCS7soKGmy4A5AvI;KWw8| zOb}GiW{55N+=DFFW}}UQeR#8>RQ8pQn)@&SO^k`4AO;IZ$vc%P1V9wNT5}xC%2oNu z?)M;E;dZ$Mrl2>9B8H4Wug9;JDEn^)>dKR7)Q>tdljoK#(VmB()+sl<&StCz?=~iT zik2lQpj_WA*9fiXlPQQ|DCzqFEjZbTVRv9Fm2M4_@3}t=CD?L{phn-3L0F{NJw}66 zT|J$V9bZCxc&S=-p2Ylg*B{%DG7fTxUbjZyIs$&5UcUYJ9gWfA>1BU)8YaF>-!J+G zKT|KrXfW44li{ly^I`k%#f2UW`H&TQ=gr++bh3q1P;SjWIO^)3kiZYiHM>9*Qi`-f zF$YYjpajitO}_dU0cr6bbb}&5+U(;HHMb_-qw0;cHqytnWEx}JoY5dX zO<|L2CY_RpRUCwq{QI5pxyM3$mF6YVn6Fghua2a0K1iEI$ z5yIs0o*E$(2>8xo3E`m}3ouD+n9EEVpr0h$c924Wj4&lI217_GVcp=_&m(lZKGAs> z*F~Q3T3K4rVpFJDjw={bAhR(!^k8#?6ixE9axl_KKKIjSr|-&C&{`Gx(j7RiU=Sco z#!`94_8Cutb&}tH28^B-gAI5aW{%Ufu69tp3%DXs<{uc|FKQ`}z0ZiXSR&o`g8(bKUVy}x` zMQLL@2jH|sU!Gr2{!S|UU-;vCnm$vme%dyWXN8Dw4WA%2R8^m~TZ!7SNT|Y}f=AZoSOYn)q?8HkH0bmhCgpjVj<1t(v9i5*{ z(OibpCjGIa{AgX#7_S}1uu#$N2s{KEU*9dC>v28TQOGA!x{S=1fx*YZHB2p|NleR<_Cj`u+ zj^DO1l^Ry4;E1(8SuU2W>?Dw=$7(1!w<}`_B%je5%78>=O)emgee>rKn2XV$PE8LJ z3`(HG{`(W)x7_L!gcL?FV)}WIaPn>?!@Dh9Bh&x>OS$Q4w^w$*LB=T=13tr)S-B5N zc83Iv_->i+ZN){2Cgu1OGz|~ky?I@hoa2jSYK#v?H2MS&{$14$Z@1AU{$180>lT`} zh!z%7-?~`1tu~s31bPuY+EN|DZ4Wu=l?Q?+Zp6^8;cVNKm38V!X*7qr(k1Cvm zXFLs$L|KJwN7&#^)mYL0E~P!yk0b*-i_8(3oyb-?5V5&KPvQQW3eCtv0wpdujgY*= zPm_Sc2!RhserZ+Z76eA)bLq*fT zYj?K5bI$Zbwpvl($waOGKt{wfB|P#G?Jv$1!ijNdlHf?S)rcVVs%M3GSEon#0i}^V zJ|g+yN+c|4#rA(KvO!&aE4_L5&J-er`)4Y2;&}v30KauPgil~+s$NEWbV}|}UIfh- zk9 zYmAI`_f$h-2lU%J7k&vHX7VsUBx%L@|N8hA<-#wnLq4$8x+9}KFx7w}Kv|ObgO@_q z&^00x(j(J+MCQ#J5hcYDqHx~ierHItQMI&iUQ0qm(xz&p8%8gN^CS{y#rquruZ|Ex zMzGx=%?8!*lR8xXF*L>S-^h?j5wzoYq$`axv1?~)J7v|K=81>xOv$E5y3t|;h|q5p z{{*!g@?cg{>0T#Sn7-jTYvMx6>c!kth;r7K+n$>9;LH{RA1j9&Hfq}BKj*>sH|4LZ zs{G(ssW?7np#&0Fi~nhtCa7JW;nQZS3@2G}hL`jRSWI$1Z>@ZSWuN4@oP80<3r@-Pp`k?c0(o62UQcOs0n9_n zUT(v$sc|jXooZp>iE?_EQBW1A9tsqWcZ!!YO^4c}1$g3>)L7$DZn=|s)eIq?21p2^ zFfz}F%LCbvGpb8yg`WeNG)-{?Ps2D4_FkA$?n+DCPt*bg@fGT<;(xE&R;vl|{&Aa_ zvT{EX$OK>AF|2EBC0+nuJ)Gj`Ln8?iwQx9>(vuvIe-zG*#Pd;y*n4DmDq5QYd57@c z>a3P|bRhDpi-I`k@&-{0cE8$Q7^w&LDU$qjUnI@|9QPjCrtvAUe?z>4&roH>{38vo z))q$I{zp3LsdyyH1bmDu(=;}v(J%8b7aVwj5buMG&-r{si`%` zl;BitB(9ynAJ<^%{v)l7m%73tgMGqms&5JNO8<%c%t$*QU=Q|=QXFaHBl^fx5vb=z zq(Mzis3Jg=M{e+;8o|Nl%cN@AGY=jEIomsJc>nn5lKFI~)NGe5=gGg{!Lm9y=JQo0 zSsmh&)2I(okTY3UgU7Nx-8i@7 z940$h>-o0FZ?N&^cII0kPj1vFsETuB-8qvfD{7Ij zdm%F@t7>I&aG(VqiswfpKq0ACP3p>wZ1NGQp_P-0XR`+E!r3hcwwmOkgZ`S9oxhlVy*!JMjY&o@RVDEB`M3nO;kQ$?x+{U0%7CM1QNqMP8)sfDnTOVn~*(Bho6BW>id6qBbkPQqb? zsRBrz!vPOn#470EYbjRK)Bejx@+Qf~qY7UBL24SL-aZ4r~BLhBks^QkFSOAHiqCdi8U1*$F2P+DbK!N)Jrjazr9re`K zb`gZB?1%!N2+X2(BJ=6-$Vr4`Jolgrs;X;}rLyeKKhh zf+Fbtbeb4w4zp!BnitTjX|(JD1XhW&AGE0))G37N*^!z*nwkKFAC11F{%5NGu#+_t zQS^c5O8X1RC{c^J)h$a1h`6$<4|ro|9Tqku21 z^s#5O5oyk(5OOBXY+`SpC+#m)^eb6XoI5zxFxk5k?Y`-Tj3kF!S+9hevT!GK0KIba z`|!ia-H~LChu@U1+-7xw-6@(^)yC5V#W{#JI`Y9|ywv3oWa?|Cpt4b2Wvf4Qj@wq2 zqWhGVBNB?EeR7FAsk0+V6?>ezay`-wH_L6Krzm>U!uJz*q6?1!Ts~9|tr`B#eqGs} zCLK-Yw%N5!deVshZYxH?d!`DHI<58vBdgHt9|CIY5l~7#|I9omx_!P`&b39j>{(&cjo?wNDVH@XG z)vH$Gmk_H!;ztkaARq8Ds&8`7z(lPO&tN(Wr!RmiXr(GNTde}MPF+)uD;*uZ>5jK6->ee2nm0;DU_hd0ns;><1r^=Te5YRhaxW<)}NSF4ZOO z@S*0aXt>hFA9#PeG6~y7V#AjS+xh)twW4xC1d++mJ_hrcARg~Vsh@|wlWKw0f^ zFvqbIt>zjY8N8Y=-0UziZ@SQ3`*oj|6wHidONjs=Wh&lM6&W^}N}KR(q5BD!OxV&L5xHMj5${+EQBwOjPcU@X&Aa6=u37ethn|=rrRO zC>@+LSlj!+QAdeTeM)Jaw4_sDX3&&5x>Lj_KrU+9d%|^8J5lS}kD>HG+@Y^x-dFW6 z4yhzD?N|Tm(WX!oyoh!tH zrQ9W%$y#O%?mVY;iyX_Fo3V2sS=1gp%sV!VlE%M|`5L*7`q<-*g8iH#i{*uwraaY- zMBPwXSR$mvg9}tJu8JLwue;1b8FuP$Kf1V`;AG0?`v9j$Z1{jrE^mt^Wwm>!U>QkO z87M2UlIPVKmgoS46>2mLx>9W z3I%uTH}Bx^%r(QvU`Emydwx3yd(+KVeyh*&O)4PhEamv&Dp`fWyOR(CK;us7INtTi z;@{5b2=6Tk%kBHoK78h<}N6x%qO?F5~BITca;Q~a)_?zUpx$vd?B|{b)>p8I~ z0sL#O6CV4J<8S)N>EeYEK|WZ#%d=3N3mn{6F3=zP;+<4jhBEnHB6rl|T2bf3=@0MX zoi4M8Ru}&2UK}yzBWh;UUA&l8UFJyc=HJkc2BC-d7tiARz59Vz>!>mpZ)0O04)sE_ zJ^zFXt3A4~g=n;OD{>O9GOC^J0!sR2%I^s=+3e0KNb1`yg8WE0xE;8BWxC?A&NTF$ zPOVEdE|3!(@`04VDQoE-Wgl@7oh$G^6?$p$(|l`_+3R91`>c{m@C939&K6#$4rq zwQc9=2Bdv+at`8aMS+J&DKd-Dfy20T@G59NCjotmASdlKEtj7E)5p_AW+ zUP-5^p46En8;?Pc@vI}sJ2_eUmiu8ft@NgtzWl^!)W}rf(Y(+rS+tsyI^^5mcvdVi zVWj%B`;JVTLT|`bCukDxf=;aGpNcTU!S|*t%t?T@)cFe)5BzRxPpr-x5tf2ZyM^I- zUNn6pKA4YzdQ2--9)tsi)o$Q%Q}`*e8h+sl%T6{Doh7qV*ou=8=QN)kao`g{(^TVJ zfJ-4Pm)uapYM&E2h-w1Dt{Nx7$&7Z(4ZU6+DwGHGKJUR8Y<+H}qr}TpiH7RvR{Bnm z{1!qfUrvbEboTl{{kcDAA90S(QsZ^mM2Y4mAL35hKH&+5TPReEoewUVJd4~mE&tS$ z+{$&}|A;Th&nGvm<(-&u>#ELN}^^_s>kl;?u|9mDp!Wo&Uj3m~oN3 zs_#4TXgSwBfz|wc($=ozlhbk)<4?Uzp2?ZxVu?S?|CSpuGiIi0i!lnyZ%qUz ziuv`Q|7Df(yRJdDX<`bN1YSyWDV5-$tS->kvQr#AX*H#1`kHWtb+16IpVQYAD%&(V zrO8yG^5jSEgHBKJZwh-T+q7NR zP{zu|$Qaa6m4eJz&lL5z(~rDB23aT)em`WWs#j*LbBZmgOn?a*sCQ1)A99zklj=vW z3L&+UI~%_yxfF_XB$kg26+{<|wN6=kX{VE$Qqd{f&s_5|A4xV{m*GyZ$e|5en)>k* zc(8SM2>nA=dt@p&S#Jm({dw%iKm61&*W)cTR345~_`!ZLoT4E6Nd);#PP} zTIHPyTTYl7PZ_gLWPY`v2JJ1Ld&v5D@;k%b1Dy`BngxEH1jI;F8fC4MmXU4~hZBv~ z-cS1bk{16m-ZnlX+3=#@^B%&B+WaBk?(j$;08=QXC6cC4_aXs3VV;~C>!>F!d~j+c zvvlT^VEkGW*c>l&C6M_IEJJZO}mDXQZ1K7YeCeR@sO=l-vslZM|6JAFf1 zDAyfyN;9lmsnEtBrOZs4uJ9e1{Z#&@b*Vdd0`Cx7Ij>|R=GI``Y(uUnovZK~ib>ZD zwX=~8K9Q*{q$$wQJ6@}(t(|EvNs@7MyKE|zq8WiA_vub#B;7t|w^K@=FdpmGKdtj7 z>WzLnt0>B|?oq;j4*piduLTR@EbJ`qB>Zr|q#WR7Et9QAe)=ZV6{XkGjrtL~(*BL+ zgeoz3*_k=oErR`L!9Si40|OCo(rEeU3CFtrsI?mnj=Znoeozdt`eX zTvo9ASmBfL_s7D+`?XOQ#FULAyv|2c1V=-B4rN??H3 zj`5EhjZRmWXIPHrX$O}6I3+dKE#0*B>|Jk>*Mu(iiwk{c-&D3tm#T>)!8SJ+GoicuR(@`6F~R^g z_>;A4bpPBw>evG=HfZ+EBO7>Xc1_ckrBD|`?fEh4%!xrW-)A=^#{#TRwg+;L^-??4 zlc2*~gQ|)leuQ}AV>|P^ysG7^*c%hE-K(+Ci}mTq**yb`TI)X*+w(nEC>_B)Urfn! zD~hndee)vwo*utE%xerFr}H*!$uaap_5RD7Tt#w5iZ*kzo~ngfvahS#&h((X2>gDa zL0iwJNac6c23`tpTOW{eweZy+-(sTTjcZG#1O?Zc)H$C#t3|@gdV^O_7%KN%!%Z)g z=C+J^_RbcrowC}p49_S~lysEOnx4a8G8&J^c~e6^WH*=pdbcO=+CMW5Rx>RKEpl5{8~K}! zR?0l#5P{lh{l<|7hElZ#M!h z*^e$DkK%7H21X*D<|8Z=Z3bc{rDY}Z+r?b5Qj4S>{l!}j`4-_JFY~kJ(cxYQ;~xFS z!fxWrHpMS0Vc0q}0tV8FR_=+R>_fI)setrS_opYO;6&32lJC3^s)FE_E6Yi>d2qe{shm@5~-soJ*2)tCuu|RbIytG)?_;G{g&?gnM`YO zSabcT2^;D`YU_cd>yj<5o+VSr-H8Uh9D7Aq*VUZF@bf6;LAt+Zn%iO6oKBDl5Ba9a zz}Et+u1NQV&W~HL-Q6P)eQ*D={o!Lkq7`+n=}S=m0YRkkNeE2CIF$ZXmt5#-OI$BT zkx8|w;Qw}WM0b*xeOH6F?JRfPEp%WJvALe4f#Sclk9Mw9#9KD0pc4vBEL%J?+nyAn zN+nA^=^n=wAYN|4ygzzayf2Et&Q8cXK|NsI-zB@}28<#;i;tm}e2ed&PW7Lb?@3L0 z=~*aqJgM2IAnon3!1qr(y5Re?^+x=JW$Q`sR1aYqMY&HFenl>7}m1<_I zUH&s-pg?jB(On(xv@J6$+q0=Exvp z_-Aou>>dBUT3u#?$Fi#1+25kckL>*(U0NUETFCjfjo6DvYSIy3uH~fGWs&>$-9gte zV?`_-p|;zpvY!?IP zm%{E1rlybAsk=i`N&>OWcT-0XAx!@`jRXye7MN9EzV80AE`jKIJ`gj>?ua?*=ae!U zuCHh=Vdo_N#^Bzwe8%jScemSZO*i?k_H#^&O*{DeqRKn6P0Hl26AamisKU>Q-~70j z+_OEQsh?Kokwusm)M(P?X7&YTLkYsEvpK7mopVIPqwjX<-rT0PZxzj2HcjMzK!ugT z^v4?`qgp2r8*FI=^4CJD*%>{=P_9}S=KL*}YSYb#iQ_HCfAfAIdNeQ9eCz&z>3HJG zJVRiPt*mL?9M<*TUuo|$;m38#rrgzwq8yDmMQX_+*ZAFLH+e0vI}qo~&LGahhDk|h zNs;*-;@g8O$Fk9w2E7b)qm9wXD!L`aA?#xW)#htrq4Wvm{$r;3TuJd-oXuTrqT}3( z6uR@zWNkl|w`CYNQTimgiLMq%Vk25U1?8#Y*>ka}-d|f#h_HEsKQ?O7x@64xxmhk`L zKG!lyx-VL*v}J|1%r0*3AzDw<(=8wjGvV1dvB!@H&dA48?kdB%4Z4sJrPqIYlPPJL z3*ndh$cTkLdZ>m2@z3Llhz+`h3wH8d%y=`f7?U&J3<*)+KUX$_+`I9k4B{tac6MP$ zktlQA^8`tDhEsXgG_OuN<3i2xKxq{Z%r;_v_$$a=Z4I`~uDX4r*;V7=I$MU2D1UFJ z;pobjMJ*#A+W}-wjBMU%Mr{!)fR=&|-?+O5{rJ9_(%fv>+G{ATyuzh_Hb(sA<-}Fp z981OQmF0aNU`r*Kt-W>5M9FK{A=*aV3c8D0$IqBS?*@l^Y~WuHq({W%?(``Fe}kV& z(??qEc_T&>)HTbFSnV}t&UM5>!QaBM*$wMqF*|Z&qx!dWOlZVF63Qm;>uczYyX?#+ z^Re&EhvZIgLJFpKATRM{a}c>exwh$E$@c5+5Jv4SLLo*t_8@h@_yQ#GK@-8V=(dGh zXkZQZlDg2A;_^(PZK%)jmS6Rd^r57Oaj)OI^;CKCoQ^qdFtgd+GZ$`nJR$UcAH3$- z=Jni+8D-^&Iks~?XG~ph|#r4wyg%?bw$nHsZOI)`#zQZw4+D#YuGMUZS2T* z?!^$o`dVz`bkFs4UQZMaPvwPw40sF~)AU-^(mpC%;gSs+l#;2@Ls&P z>?EOO?_QdvoKW`B?%`${?2$8K$69*bzeV*#;FD1T*i`My}!|3m1->8S8MfkD32+}CGSQ6FWD13%G`T3X3os- zu94FW)XQmR;sN**b3o?u*`J@pPAxg* zJ8>WnEoNebSitU?pUl5EyUtIia|iH5)0n{4kQ>k)EM z&$QUWaR5@RP~Wq1%}?X%y5U9n6B#U^;U>Qk2N7;D(;LF<3#d%%5>R$?Gw3{>EPL_e zqd=qPU!z^BbJ``mKI1OpW2xMwCp+E7`ktXTWY_;QLj0GK_GC}~Y32C{ql<{>XV!^_ z?Z$;p6QXNQLJvjuy2%DexXONV!hYd9YY)?V$c!wzIYtlN*;h-o2{jzORC_0M@@1d? z{{3rV3*Gx_jwo(hE=2q4%J)ay)vb$`GcEoz>b`24B`KtonU0lFX6HPPKMg8UeW=Z+ zTO6{Jx0_R~;8B$%R}n2unTeXYlHC1pi}$+m!Sy@7s*u z0$B-(r#6sDsZx2}6%uENq{T%O?0!vmh;$0TsMxr1vHr0^XYU)eZV}Ou2jWN2eI*@o z#yVN)MJ?T@8)c4vBiKr;@bX4P!-Xn*2+NTL>7Gf?xN$=Gr>BzBUxR+J_OPpU+04A- z1vZ)`}p)}s9m(b3l>Ie*F^QMyms9YGR!GF762mz zbiBlM$d%8d?j6Y}qW&xkN|IKUk-dH6Vb}f_pbh`s9+tcK#$dGGZXxw@6)q`OvKEpN z`Z1A!Z@yH0;*7wNaduWku&{)i!DGTcaldn+4VU_-;{a1*#Kza5w_&ofi0UmFujjFk zR3s5==_44Z{<+S)xeyIK zEvsgJUsvHly`Rk|VRla54JpFU<=Nhwb$v;j?WJZgtIHEtDx3nZ)^k4$|F-eYU+&U6 z+4%6f++oS~Bud$Qvzgv?$$8RJitnGiyPscbHazXSGFQ|SwR`HEJjuU6W%F~{?Jxt! z5v-+166L?=N!|m3u7h#+(HZ|qUbSd#dWE9Y9{V1+`#JM)*aJsK+fHcl<*OFTR8qn7 zJ5>MfPd%zC&4BV?q%>m#`7Y^scqrPTEjjGtMI3F##o3@m2X^5>Ia$-PfIj0#5f>`X zbnCoRPR118A^)BqQaxdzOzf+?PxMaHOjN4RYfTCZU0AVM5})o4c%8zme*EQI$+vT& zf1B!WJpZP&>ky$dvsduW7k)`<$)ei+%99^K7r?Ge`-!f;hl#2D%?VdezC+2WsoOU7 z5(c%AKYHIwT&&&mY3RK{Y?xeCd*E@keaE78_wSD7$gL_odO`aTYgt^~C;EnR#il#c zfZcGmJ0279JiW*+v3)i9UcPlp65yL^7RQ~tGX z&uL;>g(iiR{Os<1X8q;6^50xSW>OH})4yhotiJCEJahio&E>VrR<{p6iE=tW9;Z_L zYsPV3*M!w_*5udg5pq9I z4ix-s+;c6*W~=;!Ghx5v1=SCdR%%U72d&bX2V&l{Mm5{+W7|&Tc7&RkH(uZOYw(ry zWbgFT1enFpDT3Ti)|Od8wOS=<${_2qN#mK^fa8l5zeR;k&+l@V{2;GC+56<}t3c6V z{4b`;jBv#stNBI2+d`}eA#uWfyWQR0_4$*#@yV$NF1Dua8}SVI+_!6ff@5g;E&?|Hj84dZ|J%=EkH+v0V3#@YOd7d@KWFAu9#ob3tGbKuMDoid1iCYIE- zN4Dec$a5c9fXXxZg-&B?@#nzj=Dcd(h4z`$v|EP9!WVxy9z{Peh!L?^cvnE%YZon) zD|PXL|M$IHCJ)`7_|rc33FNjZD)G;}AK?3NvkHp(GhlNm;x+2l_}5UQJ8rAJkjjH6 z8avt+d@W-RK64s=`p)!kS@;!6x^?9Kd^7E`U9gvOUT%J>at99!TrCd&DChdt@8J&I zd3i{aQkoN1-6IecK2)C0n8<{{gG1e zo|FS8Jf2nx<(j@VTd7QGGw--;0@t{7|5s}D7riM5$%>KOo09^swyRIS>w*7{BR;uQ zk$p4wYDN1mgJo8U({a7rBlAyx*%}EvxSnPx?wXcq9G&%_Q}IHp?-ja3RCb2OgWJ2k z0-u+h(!Jn$#I#33+0}xtt$z~~zWwzkyBcQyojzMJTWedn+00%;Fa4-%4p?cw-25*a zZNIaRA2trHG(RWG3eiZOKj5Z0el^cB;U(;se|{Y zc_05;kGUu0_HEEE{gsVx$UN&XKjDU z+>l?mo~F53qFR&+l<7$zQmX~_%ZA5hYjt)1POW~?8gqX~ep5AlM~D(I{P>{z*4ME3 z0Z+G<<+nHdRt)XZu6S8}a9=dcI$Xa%Tzllx)SZ!9-n2E(BFY!A{~pMy(R+lRO-j%* zu=D)*guUz5zbC2i9tC94g6U?oW8|G!rOhoN-3xICm2+>}7RA%9>IQ_p+@;^+Q6RP| zeD;*ZIoHz@YL!+&H(s?rmvc2eG#spZ@LmWr^3e6cPb)&p8%}ZR{~ceG-!u35qRw9I z&VFmtoHCLw-`+B{gXV z)GuY~$Ci$HmGU*bJR!h-9^g{n9tcAauG|PUaZcr%by8T~zQ8!j^Zuo$B$K7Lp=^@%;qc>{OeeyX%^V;WOChV=AV&Iq~wTNP=-A6jQ<~NNuQ}r!l$V{p^aaV!CsEnHdL-P!bgN_#k